From b0a001aa58503b7b3a73cf17ab9a94ee69c8587a Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 6 Sep 2019 17:26:42 -0400 Subject: [PATCH] Clarified assignments --- cpld/GR8RAM.qsf | 73 +- cpld/GR8RAM.qws | Bin 4241 -> 652 bytes cpld/db/GR8RAM.(0).cnf.cdb | Bin 17097 -> 17092 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3393 -> 3460 bytes cpld/db/GR8RAM.(1).cnf.cdb | Bin 2359 -> 2353 bytes cpld/db/GR8RAM.(1).cnf.hdb | Bin 776 -> 784 bytes cpld/db/GR8RAM.(10).cnf.cdb | Bin 6074 -> 2222 bytes cpld/db/GR8RAM.(10).cnf.hdb | Bin 1296 -> 800 bytes cpld/db/GR8RAM.(11).cnf.cdb | Bin 1209 -> 1103 bytes cpld/db/GR8RAM.(11).cnf.hdb | Bin 605 -> 642 bytes cpld/db/GR8RAM.(12).cnf.cdb | Bin 920 -> 1104 bytes cpld/db/GR8RAM.(12).cnf.hdb | Bin 521 -> 642 bytes cpld/db/GR8RAM.(13).cnf.cdb | Bin 2770 -> 5943 bytes cpld/db/GR8RAM.(13).cnf.hdb | Bin 954 -> 1291 bytes cpld/db/GR8RAM.(14).cnf.cdb | Bin 925 -> 755 bytes cpld/db/GR8RAM.(14).cnf.hdb | Bin 539 -> 523 bytes cpld/db/GR8RAM.(15).cnf.cdb | Bin 843 -> 0 bytes cpld/db/GR8RAM.(15).cnf.hdb | Bin 521 -> 0 bytes cpld/db/GR8RAM.(16).cnf.cdb | Bin 1822 -> 0 bytes cpld/db/GR8RAM.(16).cnf.hdb | Bin 742 -> 0 bytes cpld/db/GR8RAM.(17).cnf.cdb | Bin 2228 -> 0 bytes cpld/db/GR8RAM.(17).cnf.hdb | Bin 794 -> 0 bytes cpld/db/GR8RAM.(18).cnf.cdb | Bin 1107 -> 0 bytes cpld/db/GR8RAM.(18).cnf.hdb | Bin 634 -> 0 bytes cpld/db/GR8RAM.(19).cnf.cdb | Bin 1105 -> 0 bytes cpld/db/GR8RAM.(19).cnf.hdb | Bin 634 -> 0 bytes cpld/db/GR8RAM.(2).cnf.cdb | Bin 2194 -> 2188 bytes cpld/db/GR8RAM.(2).cnf.hdb | Bin 877 -> 908 bytes cpld/db/GR8RAM.(20).cnf.cdb | Bin 5953 -> 0 bytes cpld/db/GR8RAM.(20).cnf.hdb | Bin 1278 -> 0 bytes cpld/db/GR8RAM.(21).cnf.cdb | Bin 754 -> 0 bytes cpld/db/GR8RAM.(21).cnf.hdb | Bin 519 -> 0 bytes cpld/db/GR8RAM.(3).cnf.cdb | Bin 6077 -> 6074 bytes cpld/db/GR8RAM.(3).cnf.hdb | Bin 1296 -> 1291 bytes cpld/db/GR8RAM.(4).cnf.cdb | Bin 1133 -> 1128 bytes cpld/db/GR8RAM.(4).cnf.hdb | Bin 638 -> 643 bytes cpld/db/GR8RAM.(5).cnf.cdb | Bin 1132 -> 1128 bytes cpld/db/GR8RAM.(5).cnf.hdb | Bin 638 -> 643 bytes cpld/db/GR8RAM.(6).cnf.cdb | Bin 683 -> 680 bytes cpld/db/GR8RAM.(6).cnf.hdb | Bin 535 -> 537 bytes cpld/db/GR8RAM.(7).cnf.cdb | Bin 765 -> 765 bytes cpld/db/GR8RAM.(7).cnf.hdb | Bin 518 -> 523 bytes cpld/db/GR8RAM.(8).cnf.cdb | Bin 659 -> 657 bytes cpld/db/GR8RAM.(8).cnf.hdb | Bin 515 -> 519 bytes cpld/db/GR8RAM.(9).cnf.cdb | Bin 3188 -> 1818 bytes cpld/db/GR8RAM.(9).cnf.hdb | Bin 972 -> 741 bytes cpld/db/GR8RAM.ace_cmp.cdb | Bin 31439 -> 31403 bytes cpld/db/GR8RAM.ace_cmp.hdb | Bin 19596 -> 18816 bytes cpld/db/GR8RAM.acvq.rdb | Bin 415 -> 413 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1332 -> 1312 bytes cpld/db/GR8RAM.cmp 26.rdb | Bin 0 -> 14916 bytes cpld/db/GR8RAM.cmp.cdb | Bin 31439 -> 31403 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19596 -> 18816 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15065 -> 14921 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9053 -> 9041 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.eco.cdb | Bin 1104 -> 1104 bytes cpld/db/GR8RAM.fit.qmsg | 6 +- cpld/db/GR8RAM.hif | Bin 2611 -> 2146 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 12808 -> 12811 bytes cpld/db/GR8RAM.map.hdb | Bin 19104 -> 18399 bytes cpld/db/GR8RAM.map.qmsg | 80 +- cpld/db/GR8RAM.map.rdb | Bin 1187 -> 1180 bytes cpld/db/GR8RAM.pplq.rdb | Bin 246 -> 245 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 14856 -> 14552 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 210 -> 209 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 14777 -> 14471 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 13703 -> 13660 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 16089 -> 16089 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 29575 -> 29425 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 11525 -> 11459 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 35584 -> 35585 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/add_sub_qnh.tdf | 2 +- cpld/db/add_sub_rnh.tdf | 2 +- cpld/db/prev_cmp_GR8RAM.qmsg | 156 ++-- .../GR8RAM.root_partition.map.kpt | Bin 227 -> 225 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 74 +- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 94 +-- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 60 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pin | 2 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 752 +++++++++--------- cpld/output_files/GR8RAM.sta.summary | 2 +- 97 files changed, 661 insertions(+), 764 deletions(-) mode change 100644 => 100755 cpld/db/GR8RAM.(13).cnf.cdb mode change 100644 => 100755 cpld/db/GR8RAM.(13).cnf.hdb mode change 100644 => 100755 cpld/db/GR8RAM.(14).cnf.cdb mode change 100644 => 100755 cpld/db/GR8RAM.(14).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(15).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(15).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(16).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(16).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(17).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(17).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(18).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(18).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(19).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(19).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(20).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(20).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(21).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(21).cnf.hdb mode change 100644 => 100755 cpld/db/GR8RAM.acvq.rdb create mode 100755 cpld/db/GR8RAM.cmp 26.rdb diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index f8f2fbc..e9bd59c 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -147,48 +147,7 @@ set_location_assignment PIN_2 -to PHI1in set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES OFF set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES OFF set_global_assignment -name PRE_MAPPING_RESYNTHESIS ON -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to ASel -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add0 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add1 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add2 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Add3 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Addr -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrHWR_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrLWR_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to AddrMWR_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Bank -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to BankWR_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to C7M -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to C7M_2 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CASf -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CASr -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to CSDBEN -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to D -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to DOE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Dout -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal0 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal1 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal2 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal3 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal4 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal5 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal6 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal7 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal8 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal9 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal10 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal11 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal12 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal13 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal14 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal15 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal16 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Equal17 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to IOROMEN -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to MODE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI0seen -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to PHI1b -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC +set_global_assignment -name AUTO_TURBO_BIT OFF set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b1_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b2_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b3_MC @@ -198,33 +157,7 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b6_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b7_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b8_MC set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b9_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1in +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI0seen set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1reg -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Q3 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RA -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RAMSEL_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RAMSELreg -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RASf -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RASr -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RD -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to RDOE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to REGEN -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to Ref set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to SetWR -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always0 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to always2 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to comb -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nCAS0 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nCAS1 -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nDEVSEL -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nINH -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nIOSEL -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nIOSTRB -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRAS -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRCS -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRES -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nROE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nRWE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to nWE -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT OFF -to A \ No newline at end of file +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 4df5a19192b5bdf3815dd895cb0d262308a5bc5c..728260a17bf39ba79fe19a84a78378b3cc2db88e 100755 GIT binary patch delta 88 zcmbQJ*uy$Oo0S0w7$=+Z<+3ra05VM`ui#S=V@v=sfmoE`|Ns9C3?L~+m&rWrGMoSL Xl{3mP9|CCt%7Xz=$v+SVahMnYWU>~m literal 4241 zcmds)&u>&!6vxkd)0W0G>Y}otF%v>aLo#LB4sAy_fw(SSN=N8zg4 z1zUn@AV;&)R;PD@(K)APY|3Wru$_XcB7M;!dQIkAPbBkBXC5_8tv)m zF^z7Sej|2~oqA~}ZH>`U>@3+BdX4igFfxfg6ZA&;MYIAdir87CSL8QFn?=`o-s8N* zdG-I|#UL;XDrj?$X8-DCmdg`oL82#Z{e1h^Q-Y79U_Ty-v8QEuJK`~G5y1x3S-wqT zH;2V50*u|`(Kmfww&SqIxJyf_w(zh;Xl81|M5AssJHL~iBv?ZBxl+q0w~eZ-dqCA3 zLlSTR#wHrb#-+y>GZOrI=O-6r{eL3vU9*9G`0*DUE3Yp@4?+K!ZkNDq9Pbnzbb^oI zHUW5I@0I9}0mTA76dW~MW^9xdMLWcA3|e3vwX5!b%;>EleF~E?`ySf2v=0?Wt#|=%DoKzUG>;MC(QKYCW5G+CG6ft&1RwGJfw{rIwMN_Z zNZ5YgZk6pWu%WU{-p-&PpaoPi?&fq?L+`{ub^-m_zzvkLM~qs80w&e>Z~(~O^O+nWK` zI(J=|Wn#;;*T-Zx)1Du|#AU9JUL)?YI^dV>x{MqAbP)3P4%9{WjN<6tkchnVPovjH9AFMwkHOpy7VR9|>R=AgM(ue^`MWM-D99QBVY%PT zc3s2HDtYuP=-V>dFTkY2P-34X=|`mRDUy1`0i0wkt1who=vs(;wzI-G#B~q>7gZR~ zs6O(j-C#eZuB?U#Io-k6&|4lRncU68vUE5KZuM|N5wBFB)l#u(9Z|H zWZ{nS)qT)}*U*Qjhu{e`opdrwU9jeVe^h5q0+}+&M^K492^E0D1g*?i8A$05P=RDt zrcFUb@Tf*rpT9)e(GBh({XWoOU!VStys`GE46y+!%hQmEMq;aE5Ep z&kQIEN9Va#eAS-#W_zMU^lDpGbQ}+!U)c^nh diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 964aac4f874fbc2df087a6903b38d9ae6510c72f..527b33003cfcb7f2c4e4a5b334b8fc16a1bc007e 100755 GIT binary patch delta 472 zcmV;}0Vn>+g#pBc0g!6}#IbCWKz~<_t|mTqH#Q<zxrF zC|4^Bt+cSUun`LdL527atZYGD}0Rg`=%8p~mz_}lDzwg}hOp>5+ zB09R*n*&e+<`o?6NWW-%zLt)!W|*KFSSuX~qQjJllc)6n(xX@AGf$x$+s{Nv97|L@NpHPnmqdeh2q0-s@FILnqQt4u4* zwuOE`v3Obe2?#0+)vxt25mXjOZbYX8ofW?M0t>aKTPkOL+bBw{WC2M^<*_RGny3g| z+Fm~72e^Hqqpxf<=S6#i{(m*n3i$TQ?Og{j8PU3Br0=^*e4;c6^~{5BjXZ(=86rl!U+cdgj1({$0|D|}0L8mE zc(=p{vOt`FyMN-DalgYnT}-9Ke#D)w3l?o>eCSHX-Ye~@auz$8q)zzseLv>WRA*9M OCT(OrDV_lU0RR8x{^(@@ delta 477 zcmV<30V4jyg#pQh0g!6}$+2vbK!4Y_ChEGIG!n=b!9pz*6g9fRo!PrP1G_Wpoe|d% zxmYP^rA1l`3quNpK(de&=~JbN5|%^>q(~JT3x9x*(jOrBoolk=EI4rH+mTYHBqdy0{-=962sDl5|wv*um9zmjTjw@AG z*-n&g5AA@q{G)aTqNyxm|ErGyQ&}ISar!p?q{fz~kceyhrE)fOt)kRQ7LcS=0kh24 zMLWh4_LfYDt~Vjs7jm``cGBAV$lZ0{E~r!&yqG2HdV1KNY>dEz?L2h9?NbbXYN z7wv|!wx>770LLTb@_Er_@Ml{WLrcJWV^5%emgt}=jIS?`tu%Bz22KLxr4mU~#n%(( z0~if&@NS7#S_N?M@$UuCjQbts>0>G-_9O0e3(exRJ3IALb~G~GI`buVGG$hB^z_R* T`~IIveVMeC4WzgM00960Z{qDp diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index a11b91cbea506b200d311e8cd497e30beb351c85..2fbd6967cbf0820a165327de60b3d440062f760f 100755 GIT binary patch delta 3402 zcmV-Q4Yl&Y8iX5=TYtC<00000007+r00000004vy00000004La>{?roTt^W;n{Wwt z0utgXjU!paLPT?BW@lz2A)WEgIJ;;s@fqWdG+4{p9y=q}W3oFN1B!U!g}*=~!~;+K z0Hi!6`~ZZIc;W%^fXFKd2zN|VN90?&|L9>T~wy&6_vh zmu1<1shs!wDb@Xl>W+WMK6upEzz1oq{+rh7-TX*2TVBYrf06w0@7M>&Yv6bdyxZ5n zKPeXegMJ^U*ofcS@?dT4*%RwIKvDZhz=m+>h0b6*bA)-Vbg4!Fk%| z%4c6-h||^0Dx1ZLeXcz1#AS@VI7ZeDe@>Ts!@7z(Ztl3EVA(4W=4Ko#w-;t|05kDt zGIbt+8?GPB)JpV9njK~xiyb>eV!&ZmNQPDTe;%A%c(4M)b;Cfe!nLZ(OD$OBP=7uh zq=U&rwSRpygyC0;!3aMbiV<*d@K2^3O(=|hAaE{@x)&1NF?8F|i-v9)x@qW!p>spm zFTf_OKS5=Pk{SUAYs{2bi0<}AxisjFmbhV$ItT^5Q74q`P%ehD70PBP8==fYS--%+ zZfgLeB^!VxqLwZMqN_wliMA4pO0<+{D$!6PSARmfUiLQ!thi5o4n)w`9|e8=QP9^P z1%3Td(AOUYef^Pioz%fgeTu`RFp}*=E+(>-$YvrNiOdrz-9<0q;r>WGi#`e#&!UHd z#k1(2;5w@c`7KyeIvBYumxoer4y4=)Wp4LuL~rxVQ@^!;Fy%bF)4#%QU=GQ z41bPE861;!UV}YKvPTVBn?lRl6xzt-OG!SLJ;5@$>~ zNIjSsj%P|rZr{UODfD~=dSvIuYyivZWJ2yp3&09UODaKVZI*Qy9`nF_tC ze~5aL?x`5~;H86|-Fl?*NHrqWj8rR9i%>7q5NyR88r#4;@?}+#+-Mcay*FxWN2(Kg zn)=a=bxWbHfw|*;=k-K#coD7v( zX(llDu;F3T!w<(3G>nleq0;k5L*YnG_L{Nf9BL6cLh15h0lr5%)i-ME{ODn+%C72L5)k zG!9DlAsIzKkm6y*-zkx2Ia=GW>r*|cxivX7XDFYVe4O@~%P&$$kzNFev^SEll=-_0j?ZAi50_sV#Sj| z6(LUJ3ILowceq&$LEkkEJZB;B;^8t!rA~XYnoSx{8 z6X(SP5R$@*XIx^%V}B5=Fxq%jCNamV^hP&=*l?~23g;PdeM!qN=jBtepu3{N*6kFm zxB*v0bt?wZnAKo}A?$(VFb4v07m-3~OsNX=m5IO%S|VzsIMhHB6ERAo9tRZEQW&$4 z_N#>yzyReSM`!|q9=<$km8>#t7w)7A!);YzxIzJ9wDjTzB!32pcS1^IB`S>!P-$d^ zhK_t>kIF|z3ECu`3ei!@BOR1!hA`JQd~MUimWPWTO2CP#Bp$(cau-pva;xbAhRI#@ zRUK2+@>OjQxnWS!^i{E8xT>QTGgsmY55SX3uOGx8io_j?#2bpl88C;}7tkTJv=Lfb zgf8(MqBRehhkq4OWh5+`rmXGb9DlLrY@8ZQ#)Qv3IFS(DS5!4_ zD5{HJz5`%f1VF+hViUc6c2E+4SS$N0!nFIiZQ7d*)6Qj>_BF$_>lvn)z)&3j7wQ>4 zpHsm(XQ2e~G7rvx6`lhB@)*HyEclYLsq_k*YW=bZ=>lHXr?6?Z2S2lRY|icNIBm}8 z?Q3deUVjZ2r?f9r`W~nV1d0LuQS}8l`V>l_yj$>NJGiHehT^xj##Cg*O9tJ+&JV4E zHwfr3iZ74?!ZP(nS*6#%w{5;^7)^SpTv%pt5+? zihbYK82a9v_#LYnaa14gw_jPYXHe$Ph; zvrB<-{Yq`Nvv+kot3BO4b$7N-Hc#xIxRa5S`H9OXZg1yggQ`z`g2qL?>AJ4hh<};A z^~EehI(j+@^CYG z7=5o1m!Ctq81Fvxxl0$TIF*NWaep(vXBW2~hKpOq#nktj4*gIr)Ot$TKH=V1hjjtLah_lL2HpP-$i*#ch5U?VL& zFeVu*ULmXDw9blezCm7b{Cd4O7afgf__YGIM;^9 zC#N1HEa+H8g@mBPKl+vUZ~`55!Sc%YHG@`~0DM`xP+Y52m!#U{7k^Fz>ZCE8o9|x! zf}?g=UPsT&%P0#T`3Ba@r|+45TBo_j{p@)rEuOvYKKsea{<^ZPq!A`6SDnvM$(&|^ z|6dKnBR}|aecS@iRy!YW<^R;gKRotncm81?Pn0RRC1|Cl>@M*si- delta 3334 zcmV+h4f*nf8^IcoTYqy400000007+r00000002P^00000004La>{?rIV@VNqmfLU> zEJz3;A)Q%i#Lmmg$M@{h@z|b;krQw1kgQ}ySqccT>0Srz6U-*d-Y$mS0Cp?s)g1{q3}=AzxrJH;A#(C?ST*a9(adh z;Xml}S&EJL^je)>@5Sbzzdr2r_SRck=lK2o8+3+77vy@s z-7zGrXucJjguw@%0xH1r+0LU4+u9Hc1#W05wxheTrhh|nZy!T%?anqGbLH6=nBuJ4 zU!+-`=&ivmqb_r7`Z;pn@aL@692Qm8u&H6CgO=?;$iAN|w-+)vfJywB&Ws1(hWn>; z9mRVktPWFGYF7@C7_iI^DPR}=j|XoqJlKKmx)DLH!nJCV3oTe>sXt$I+HT0D+P*)O z;a7^m2!B5uiV<+|;-Ahqnot=1xMS{)8oNQRM{+HatC3uZjJA~}uZ;x5L-=+~%p zQBosdr^lqkLYUherP81|TIGgKG7ud!M|D@$Tv>Hx#g%1OmRy;-vbf8^Zl{Am2Rr~q zB58Hk2@MtMD%4b{s!&m(tU^hJR0T18(C&A*<9{~U>;%_ed*u3Sk6eH4k?XHLa{aYO zuD|w3OsDSPr8dQ3>d=d|AXbA|31T^jr68t36mwxEJlr0MXJMmY@hmJ9ES`mZf{Wah z%Wpx==*7t8pf!}T-;r|1mCYd>!tXN`cwZoib(3RJCdZ;ojzyUqi!wPDWpXUa_x*GITITNgt%9#*Z&VGAMeziS;t3YT6D-OsyR&;hJ)CwYuSYVk8>Jb?ST8CMP!1fX z@&Fd&6dz9xUBD=wOeP#qhO~8|K6E={NPiob58LE`_Kr#=FQr}*)39EYBQ-I^a57g> za{DH}m4fAK;1wY2_n-%WVbIae02_-Oo& z*4aSw*06o6vw_Jqhm#{r{PyY5QMcdOKnwWeF0!U3UV8)i+lDp{D=HYkEbfA40e^Cc zfHasKU^3hVu4x|Na^?Z9WFFum<^ir>9^lgD0j^pe;DY4=u2ml3GUco)ev&K+_f$;0 z^ZM!dsOY8COC>Lry;Sj172V4+xUu{VjmN+`@?}+#+-Mcaz1R0v^HSYe8tiEJx>Z+K z!rHOjX)%Z#UWAPl%22p~?VPudf`2U)XDN>^HdRAy&DB-{rPGeIc;2!tu^st@f8YZg zJceC&5w8$E@KLZdK{Tw^YNW#P6fpyfs&Q1~s>WHw)lX49-t^zvpB_){%>||wmMkn= zSh28bVa>w2!cW7lXkdr^$PW9V9rj~8><4$)kM6J^-eEufVvdRky2&Ahj(;V6DS+dR z0~&HxcoMgLoH0t{B$FaRGAR-ylOjPfDH0@;BH`&Lm9X!kvq_h@V&YGdrEySt3dtz! zK#GSQ|89vq>(SoExHi?3nn#nv=pD*$O@5uWndL81s3W}ylE~jNA@D)QgSF5HLm(6= z{*${M0(0M55tnF$S9Z*)jDK11TUrUV2w2|xT7&-ektrzBJ1Vey`)d_`ZBrQ?3>6UX zE1o5C@$2NjQGLYwSL>s^yksBc<#h`_id^dpxTjbHp<=KEi-C&`4l& zXe7BgG_v9x8u_uMeZFaFpLv3Yk2qIKYr6(h?qqSgfQuH>MbYTfWzpg~W5h^a#+?DG z71BwJA?3n=@-D#wD}RzHsj9mPDRg%vg)VUiL>$AKYn9}fs|wL8r5XmxbYUOYiZ?tg zTjh#{RSU(lzHM-Xn9)!R$uHGNu0UoN(0x&?QzXMt8(DKCv-`ng-ztwI7s+fBbckn*oXqw(*;|8b9c^KPkGv*` zn7cDnJ)yzW6Mq^;J)r^A6B;@_p+VCV8ZJGdfzlHi3q7GR6XA|FBY#DshDuCv(Nk~S zAvI7YBn8UIjUC;DC@M!kV2KO?%gGIRA<9x_Zu^td?Gj#8VRdGM9X^I4%aIhhGYmSd zNN%!>GlJjU=m$2aVdKfqaJ~^`v5;9&ez*g~nMK0N+kZm=?+*lmP2NrD499#r)2`uX zL9h)s6zz+@djw_ac^gL zfHl)6yMLh)m6>`5oCWcHAo~gFsf(@J*&Upx2LGR`=GkTZs?snN@lT&@jU*i_Qx@fu zgCE4^=osAf*}zO8zByUHnf>e<~tF}B6*>=3#vgXd1ug| zeEfwsUL|>Zay&h{f0(Sbd&&6ZWO_JzZ8DoD55~u{>Fh8W&lA;tzFfTdVlqEIo!uMH zC;Q18p zL2jhi_phHl&W#dP-^6=@tZ5k26Cw+5eWg%9O185-xc%~8ue7(_8FcqLFTX_p%Q5Ws zH}s^;t^VHa?$)=jZN7cv78b={XkDM7as^j~&C~Jm{PZO0c2|(jzJDp6 zidKF~>=F)6OSN-*xO}M|{ya^LJX{VQhV2Ql{4C|-_}DzAi*+0-mvwPDJ-3T1?}dvi zk&DUpM5n%#i?tETi+6FYd~O$CZk%wtIEoV~*`BDkmU8js?&4bG|JcQibGlg91?9!> z;(F!WF0Q{9fubG-3bH*>Z!NWpwSN)H;%cRpnNRuMzBBT?nA_=4rv``RID5MJ{e}R=%x*+(?9#7BWn}1#A`(}1# zc0vkhgNSxF*OqZm2-rAvb2<{a(zqy_GeJ#2D|Nu04gbj4GX$_Ulg2_N)7;tIs5ExC znmSA9cR8$F-7yq=Ziy&ya3 z5$tsMVXqbE05Bu0Ty(-1QGa)lPEn9hY0<$e(08Z`yFB`MYWh~doQ_pg3J7|9(NDzs zPw1!*C^FyV3_2)*`@Hg3ajwd>B-5sN@F`rq^c?ox>&fpps2eEq=$V%?%7b>_z*=&; z!ZgpRpgG6Q?78MPKbgz^v#+dtc&$v7G{Qtl-T4@m&T1C;|7xNt=6}C`@b9Dz9!AZ^ zZh>h`g5P64e!u;t;bA`0HV^uUf9qLw@Tg32aX~k&!nb$4?Xz-A9M#wumiy!tU+|~H zt(|Z1JOEgh%SANS*e)9-vL-l!`1eCUfzzqaZ`soilry_R9d!9Ey#MB6j8(h_tWqzq Qb!ryVV6Sig3IG8A{}IKA(*OVf diff --git a/cpld/db/GR8RAM.(1).cnf.cdb b/cpld/db/GR8RAM.(1).cnf.cdb index b0a2b0fa809dd3fb790b3cf3d3e5ceba9a67e824..eb6c1cdf43372fcdd66f2ba3e700577f6ae8a438 100755 GIT binary patch delta 2153 zcmV-v2$uJ^60s7HTM@tq000000049X00000001$OY#4u#P#zGk?B)(nqkN4hii|gr zP*%Hu6CvdRe;~xy;1LOlGs_`yi2FXm8T0@3bd77;p0Q_!O@iXG+TC4U|ElWhV|v=2 zOeT}7N>623_K)%#G0C++x9IzC`DiJ!Lw1|K2OH}fuiompSq6W!v3{_Ie+d4i+p}z+ zKKmndpYoFg0T_QF!Qs~VGiSFWVy!)V`@$67-ulJoH+Nn#>+Rqn#KWx@qwAAat_Sew z?1eH?ZNoMg?re{`!5Oo`*3MwFlkqTvrrmBIvr(UJkNS0c)VbR%hRwl+!KwArox)b) zH+td^_QW6RhG!ocK4&Y1?V(Cd%bY3mf(340cC`bzW7K~N%N;{jfIG$u@Nnm1_Z51! zj2MA#6K>YG&>ce-(H&zJ(H(;p(TBTD(TG0M3w^W~`dBaY@m}Z?-OxOPnJpdnHG_AY zZ3gc+-3;Dwz8SpZgfqCCajaD%9hD}#lgXH~6A3=sXgFM&P?Bq%=UzT(o~d?`_ewZB z`g&S6{o;S;w59tHJWt5`y3{Y!@8uVjQ~k@x`*^M`0HpeP7ifZ{@=da|-Hh@+{`THb z7pF8nynofn-mLL#ibvX(#xreC>nr&*BGvjTKGk@nwMFsS|73yK)pIe$Qm@0W_(in# zFb+~(#GgAJ_Uyvq)b4-D_qr+5FS}dvZ7Y5ejlBns!yg*&*W#0)0z`jD#6$Kirwcy* z_vI7Mcck|L>AKI^wm7SK{FAdw9lIniA7h!P^5$nMZ~dJof3I{C)2Oougz6TDADus@ zpE&g8>ueXs%ErgyZ+=+bhX0I&xoV63&F)kgUq6;D^0aI`*@AMu*7y>IE4y>&MyK4{ zCHyFx9%aqc`_7avds9f(^%+y{EgVyk-jL^4SSOKyy(creWMb6HTlwgjiDlV?(_$zA zWJ%ylBk%_acr3z~1*`?xj}a+IfO;1J-R}`9V__jEE|(IMs{o~nSnrpFAQ{-VdrQiiYDGFQGXKHo^5 zP5-f~zC`!6iBHowek~vM&>H(QtGayM{Nyb8L}K6KpN;{4r;P9AyZo&X@^?oatTk(d z4~F_fF{cclL^-tq?C^6?z9wEQyqwJyi( zAE|$GFWkw&x3y21d9KWoiUqlS*H|G(kM@kb!c@iU_igw?>^+Y%V@OK*b$09c%!7IQ zc}I$UtC(P|5uaZpPWOcsQs3DB!k#L<8E0SwC_@^9@E56<4+NHuq!j{Acu7FaHG}h} z9sVSL74Z_Acm+%1H%|A%AMA-g)DwTWC;muJ{L!BHV?FW5d*V-Y#j`aQf1K(#flNW? z8E6VRPeD`Ac@CO_&Xdp-l(W!psH|pI@tfK>?5g|WxY5}zfJ`9mE;GxYTM2F&=4~H_ z%jRucvYPX{-Uv)xz*U~XfE$6o&2g0tmYaEhfOgrD8sNz=(?dgf5KasfzlX^N|!IlOQh345yedk8NdZF-iKb1V3KC0|Bk z6(5D-|2rRw4MW%WIp@c6RAYgD`My1_iV?pRxN6+Ba(=KQS)FS-lGRVDObTRp)+=Ox z{Gj0#IfU^($rR#2A3b$g(@&4sG3(^6C!fLP!NZ>l_|cw=6<&yV$x+P5q@QCB-*{XA zTbZAeb)pVq!OJ9NYdfIwT5RLx;S06vv|hHGT$}uJ>A)A_hCSkZ4_}Vd7Ty5JYaeY+ zJ`|sp!jxC7qb^V$^N{@u00960cmZ^OQOiohP!OHe*7|CdD!3`wg}BfPEhr*BXdbss zAWdo#DT;7)tt)RXwt8!fe4S&`C_54%4XvFLCPU6%w>|GKlMD}|MgrEqdel{ zp}E2X@bFPP(-NNQ>1K4jetLsr=f3q03Re-izZb$nxQZbf!Q0Jzw#AtVAoA*NwWbD^ zW((6PK{a}f3*O3CELd(UGXcI_M4RQBa?#IDtAhH?dveFmola2Pw zEVSV+9sM;WMK+SxFXkEOmw^|r^pXkT_Tsr+2lH*B4lYKnBJ(v%BK!+SrNxIPGTlzA f<9vdarYvId^>PnHqX2)ivuUZRzEC~^00960{Uu;T delta 2158 zcmV-!2$A=(61NhNTM@wr000000049X00000001|UY#4tYP#zGk?B)(nqkIHjqbM@o zL_%5Z0#3wR{DBZ(gGVGJ!ja{WIK+J);f(qJdb&I{ZO_;(+V^>*+O;^EfI(e+6y*8_NT z_QEt$ZNoMg?re{`!5Oo`*3MwFlkqTv%5Jxh*{DyqNBz1z>fCJ>!{*?^;MDr*PGOtk zH+td^_QW6RhG!oczF;eb?V(Cd%bY3mk_B#GcC7=rW7K~N%N;{jfIG$u@Nnm1_Z50} z8ZiRhCfuxVp*w~wqC3VcqB{mHq7QeQq7i+h7y4)~^s!#(3BDc>k)Cy;bAc6pyqmjc3}P)>rarM5^^we5&zCYm4Hu|EU78tLI{hrCx_$@r!8f zVH~8oh(C8c?Ae9I((ZrB_qr+5FS}dvZ7Y5ejlBns!yg*&*W;6)0z`ku#6$M7(*+;@ z`|^qBJJS1*bUol~Tb$KA{>j;;j$M+MkFm^CdGj-sxBkwPzhAnEY1CN+LUoJ7kIo;{ zPaOL4b+!v*W#ePqf_qf z5`L6TkFsX!eP_y-y(viR`iv>}7LKV%Z_4wltdmH<-jf+!GBIk?TlwgjiDlWtWigZh zvLx`O5%_}yJQm?A0@i};$A}apK)s8A9`p#6v9J&nm&+8BsskZ^i8*EXB+97`cwb4!u98kWL6_!LBVl`8$>bY_)rieD@{Cm*c{>}+RE{q?#O)w4i7vITHP>g9m=v57}(ouT%L(uV6|1#_4|egFW$wdg2fF#2@L2KiU(2tSA0>PyC6lc(%skk5e5dkSXXq z15H8aDQF5h&p}hrc@mm}=`8dcDyx}Q{FXKjyXt;4ZgjQ_AQMQt%gplUR)U*`dE1BK zvU%H(h3VYmFLF=DP*e6G1sWn@*+HSWN8irwroad?L!7PCrlhzn>jl zw1xO`VyyB2BY?clFomN!x*85=U4_9x^GV%~0Z?G|P37wx=B9z7HG`sX% kM{ZY|E#)h?c<{f{A2)|6Xc*vkwl?i+sw|$CDW+bzRp> zA_ua*1^?&TkTbsIj71AI?92L;_+PG%_QxQEo1fsQY+Am2rJL0_Pb}!FS@%8b|yTs;w zCkuS13w&ql!+(3}bdU(OqQStigMeBLXS(TXVJB)34ZMM=fd|mcTre^-Fz^QM1-yh85W&CN*V6o5Mb%f-qo58Q z5YfZc#eX>r^&vJ*I(DUs*1@qHB|%+i0gq@le)19-LBe_+7?f^e;uvgW65P-VLYq*R z3j8~7{bz~%cBnP8GJ1~PMYfkK_D*j;gk6WEg9+}$r`Y;=d zr>U|!s8CjI@-D<=n~4)3FR?@ec(*Ut_cGiTR64UsQBULl)?8M)R!9-aR7Kw4b^q>C nB2q3Te>eK3JInbx8)xs^LaqK#_6XOo6AUELJi!+L00960m$62? delta 697 zcmV;q0!ICi28afbTYmxq00000004CX00000000OB00000004Lav{X$?12GWwTLdc} zJoqcbkDI3)w0F-UCCzR@x@OxZ#ed}g69m6q=gsDYEmZKJ1Cz{~c{`cS%x=>(&5FpO z=$F|4satZ!r<}1&QNw}ghs6I<-_!dcKhAem?Z(?`j0dGx%YVmY%_l{D?>8lCT{Y7^ zlo1^3Y9AsJ*s8V#LLpH?qJ&Hdq!c#V4WYVQzb4xhkGXmt*JUrKn#~sjOJj(5F&YIr z?5a2*c!0v-kdE%I8RXQik2bRtclWj;dUk2Zu7wjnb#AjK&WnEk@*EXkAK$!5Y|(eJ z#CN*Hccwm;hkrcz9lO8+w1vU~AD2lRmrc5M006yf8$%k}MVj`Kw6?{h*+fHvb}Yzq zIxawZH84_-VWM)KF#wc^F`*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEK^ z1Q0VZ2!8-c<(on~Kq4?3d|iWs9o=1#)T#kxnHdy-q+Zg*-$05%6o^4KxCi-%2E>O% z1|Tcv1WK}kmH!nvvlgUW3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP3c#LV1M8Wz zr*#R)agspn8|)q*;u;Zx@O7}WhpVq6NCyMRRWcl4ADlLvSpgCe0b-CAco;!cGqQt2 fM=a%?5=a$4RF$U-Ff=^ffDQtQf?WUr00960!?!7R diff --git a/cpld/db/GR8RAM.(10).cnf.cdb b/cpld/db/GR8RAM.(10).cnf.cdb index e2ad5bce1166c60f677b41a182aa0d306c927e8a..ccf67acb2a7288f0eb7afbbd429cb006d411b789 100755 GIT binary patch delta 2162 zcmV-&2#xo;FRl@gQ-AC<00000000sP000000083x00000006EC00000004La>{?52 z97ParhgW#NBtVD@0&(Gz5FjY0i7Z2M)@*mzS6$OxkLjKo&*$^`4S!rOIp_XGeLG&5nv^yC z{)0J&u2lEn_wxC37e2Y$xwQvz;qPodXwcJ)qd`wI zjs_jgh~RbO?SI`ec-q7fqkXu!xv_UJ3gg=a^1ZzG&UAb&jGniOVm^O{>cdvtMjk98?7cEN$BYPk%W%!A4%xw|B-}_0T4;(7y*%ljv+ut z8cz@QJ2}#074($tn`zp#1OjbC-`Xcgf_y_q5PP}_qDiRZ`b+jc)h>kuWQ8i zSNgH~sDJlX`l)Ng_Lr@nvoCu2+!yVge6Q(#EBbAxADF~H-!(twXIRa1MZY=v(Y-gX ze=$q4@2YLmIP%-^pV*f_&BtVsjuB}qx>sr|J^TSZt3J^zpMj)9ToKbKo_0ZgyZ9cw zvEA$Z4}lk~^FVixqyHnu@Lsu#vVJ)MNBkb~ZGVq(+~ z;vjGD>bxB8F7JoL>KE~^V6!G$aRO?Gr_dg5jQ4g&2U}Z{*(JV%Z|qJtCuDN&5nNpj zA%6nGPpmj*3}eMnCtL#VLpRMUk4zkRxV^dm0XuJe3|^+J)~~$#)|>BLdFO2=U@xz) zlV1Na@&iNzb!)Ui1^Ubo^`8NKx~Bp3Ih+R2CuSNzpKWOXeF~)kyeOX+9uBkRjLW6y zrvpZEvK0L+kQJ*b{|4}wb!w`D}?ri@aSdGZn8S^<^LWx-;TA9{(7PCgPm^GHgtdRu6 zk8G6q#4S;(#HcQ!cG*-@m4vEMR87;mS#$)F>`sL~Y0Oxnf7}Mf54{NbMpR|3D|EDOvVp+L1 z`D6M?^8v5nkH(fNMWrs))^_`y`ra-@CMRTN_bc4DJ8ts^pq0b ze|ky@Z%VX!TwrA;c8@~%ihsR`o4b(-c^&L0*6+b2dkABqe$nrVFOmU#8oaUJPx)Uo zOLTjPmlC_Y(xSSE+LFPJ`odi+|Zni^XiF#bP$o zVlkU(v6#)Y2xbj{RA;JXzXCM?jZm7+8o)r-00y!KFpxEXfvf=pDwSLrfGegNsfg zg8#wif;4T#!gEjV?z#7_cip5=hKR~Hhif3}0obW;}wn!1A$hz3q{p4wY-py$YDs~xUBMj&9n|aUfP=Bb#wwjp=rY*BOWgfyN@+ zslEIv6s=T*9ohaI=Z3My8cm5&9>L2OqXcRY@ST+wGLX1FefnGi^YVhOHa1j1got~E o{iE+^rtjFRSDIDjo}Y`ureDuDLDUQIo7KZgO?8Fx0{{U3{~;+d*#H0l delta 6045 zcmY+IXE@x!+J}+oMDIO1iN0Daf(X%DM6`$+-3lR=KOsu=-ihdK^$@HYEy9W}tlqoT z7R$al=X`qSx}N#nbI&#NdFFvpwTdPf0SqxX{~d(%|4V{<|I1c}|D_-9ey&*pzoz8? zR?=@d_R?*cK!lyBA%Yb3^+))NFuV>%t5|X0%d_u$y4uZP$-_`8U-W7;ML2VK2O})( zYj7B(x2IlUrMq~rejnz7lNag7wa9sJnVi~LVeMdV0^DM!kZoYCEj1s9Thxaw(S~}7 zhC6RhPtRj7OY6>8kM8uYnmje9GDf$L28z5}W9Rtyj;dvH+IEWb8((42rH|V&Wf#rW7;veKj>Mtz(_-Ox9 zK=G-uspx^5TRuXS#G&%6pFKY_WNJ-Pvi&2}fxs*t@mqiIB$LS#tSH$F8*p?u4pe7R zYEVtkTp87KJwW<;ZRz%il$g9dGI~~57Z~Ku5V_vQ?Dd=ns6c{>am*bgp+8k2;)G zDt?Z-aT!sH2Mi095Ww|9(x&@ho)H>!!~wFAbgVu9)&Ff2Hh{OV33FiainipZI`qjJ zpV+TkI6hcJaiOt;gq``o$O^tm^Aqnc8R*fgC;Wk zSgHOY7O+ml0#$=pCDV1RwJ-{M#EVv%T~@O;#|SSpY!v{PrHk__YPvx$>0dyHz%3#x zaN#YwDh{(ezg&TqE8O{{An{(2iFt@n7xvyNwzz&lDAd8r7+EL{osq3Dhs8hS=? zFn7P2(E+0*z}nAuO$reuN#qy3)$03f_s9zN(XOlmX;iYn=iXX9NIAHs}N`hD=PcfMq5c~E4;DpYls2Tg8SVV0-0c`5*Ml%~vWHw(|RBAx`B&6@AEu!}5 zqH1!Ih(=DgUQ|6Btt{agk89v|Y0*reIz zmk{e)$<@=gMcol3!iD&0R9Bh;5njdof($*9m?i?<=Gq&j?Wmux&PM|0s%W(%*yEfN!)!%Z)t1&F3Rx&n7z05b*`buvRh<8z2q(dF_xzuou$ZM>uB8RE% z^n%nhi1v$PyGDxwjmT1vARAtrH876-uGXR%z5PWx0)N$xy?wwTXZ)w`F{ocSJYLwWnZ|;Ad8%`VaSfrDwiFU z@38;oLE6m5|10{veT20zBX;g%HdDci*VLii4LK;yFv-EX<4-|pNuz%V*uFdMkv$kG z#C@)Gr1wjD281Lp4W4I}_fs%c6!grToE`kcA*oqv%c8VMIB9N?3(&S~uH)vmAEx{{ z5*GIC<$eIv)yeTdE17@U##BgB^=QvKu$REg&rn?lAm5$ zND@dx*U4vPlV>hU0H)~6D|hpAy$Ppc=@D}=kGPhcd^P-vl4AqJ8pHm_=E~Iu`=744 zqz{QlZZw57{Fw3|Wr=4kEV2TFg&pXaZrUNyrww2aL-*l&R2as{xbp*ZO zSaCq-`F_P@4DbtlcWWZzPkGwi^XHWWbmjiBGa)KD0qS|2$j?_;PHX70!^6X&7N@D9 zILrFa>!SdbNdtAG9ay6D5TU5(I?+52$NGP^8QGgCkB-LS9k7={JjZhlDf{}#);)2m z9BHB-M3)ZpL}C_QKUO|WVV*oR&8Q&sHCaBniu=$oKddF9A-@)D3uxp1szpfCnhoYR*%aC@0Hj1z z*>!@L>q$SJn29yH%W<9@wP|l>MN=Ytdkj2`-L!l4B%~wHcW%|R^qc#VbeBEB5R=07pahB%wHueXyDWUgz3mPQ98`zXacf3O1 zVSYcb?p0DDbW-G;QzRKK(?nb-=$_10^*^81V*uZCm?lG{%yi*S^YMTS;VvX)L)~w3 zvBaEvB$IYw3!c0E_XJDp3c)N7^|jjp^L;A^Nb=|CmvWM+iH~zvgBbU#%&N zIqfY&79t;hyW^Sr=>N-ZD~ZK7NvU6@Mx7}-VzUAWEQGyd)XCJh_R!AUXWHEh6tFE| zGcA4@)i=Jl)rg<>UCm!55YA^`?>(gDZ!P+ii4-ilS&m@u|FXM>6iB{3rJFkgq&oaU zoBF;o(7^jb6!VX-c(^P&rj5$mX}P4%#PvuE82jP9Srue;^Hq@BNt(iR_luuG{M|QL zG5g(oGNSKP<-6Qc@-@*5)b??w{%+NAqwv5?%i5|yDTZG~*?*GjebAL#j~`s_ob#ltIV{JN4m0wd8~owTk%d&YKzjJ=%>4Y6R15c%cEmvIllqG!a{$qJTns(^{X zM?-0Pr=!*_F)OX|hB1Bd5}r2Jt{_L)eksdZ%33rbPqt*JmEy*ua^SK@RbwqLoHXJs zSmqb&4L3*acUFmW*O4MowuwszI~~8;$KuTF0vB+)VlGyLR@S2P@k~wN`8zAfIg?>O zL7Lh495Sz0jaA()Jyfa`9_an}p!VOnSoR}!qYVF)(Tp>ddlcRmKZTJEQc5i)@ReY0 z^#`nmfo|*;H@wi02EW0dy^+YIi)NnQwpJ)FbK$=kSFUDwCh)Ws`a`;A*wpMFcu7sC z0*C2d>?Pk3cs(;fF{G@kUpZeN%?e#jQvHU6#}$&~8|Saww7zD7%9rh`RCk1pbUS7| z(Y>qOt!YXbXjIguZG1K#D>UsiWH86w$DFYyYw8QjY0lq(xEv?A;32PFEA&DUGMuY} zCOTdcM)T?p>g1m@V)z;~HE@4s8EOtX#|38=+lMiV_(uUpdsR6pzXp6>J5>3^WVF*7 zHbBXiW~P4eVwvM20v|3*g6BHCrW8S&#f46wi3$#_B-Zw`0u=X@?*P;M5kz$&A(vpl z%K!0po{N}xx9E}vH3Z!zrQ-h<{a|HgIou=2tG(jijVop+KUV|h!_Nrswtgs4B-wP6 zJwhepr31SouPj`wO-7st0&G7F!|lOW%QATf}&@2D?UZxZ~2Ck6CX!> z$CdtGf!@+^rT)?qCCtj2PlVDjYMv?-yFqg8#P+DR9;aH*3YI={@i$u--BmYt`jw2; z3WVnVj8!ZZz1B$obKv!zSKGSt)!C6j@yE{gTdhAf|-VEW4cS9C{kV z3me@Px)BUyQMgeLlYo+?KNEVxGhyA=1?OF61}^d~x}v-%ID#mM5l(}}MwlHu$Tm5k z&Q7x@Z=o0xBOWCnQM6E!teSG|-*{?ZC_3Mh@W447pX<1G0lfY6ef5D5l{tr27+~Zg zDvK&)7OM^WSwqc5VX%7z65g$szE^9G^K>i~B<05w)cWy*hhsCCJ%8?s)UaFFM%{%< zy5j5IbUbLbK6Z;nC{oslYa;vA4V5Lpu3=`X&ZDor4Tt-@tASl2jL*U+7_drN<~T6!;03NNC3P!0P{_QtKpH%O)Do{O4#LbKTq_{$2p ze&V5dnXO&y0_!;dd3N4bB0RN4DAbl87+o#%TT5g~8b$C(M$0j3AXd@;WZKUP&RD&~ zOG0s`7E_gHb9iaEHA64b@U;Pe8=5?Kw|c#PM=PqjQQy0*7(C96IsaD7l~QnXAyvst z&abYO&$)h89^2*m{y?*R)To@SAmMLEAT}X(H3y$J>|@CxH=SUX>r`%GYepnTz`U59 z(73i}hfh~kx7DKzP20|qmt-Q{CkIp(@ra|Qj83w2);(uW^tMYxnN&EyNRECd*{dv0 z=&t8v-TjCrAf-v6j1U$amv@c{sgy6$GD~L7*(A4j>{=P@a$tp74@IE_95pAL?>7>w zMDm61YChI93Z|^oSq)UBrjr6kNo7-I-2_x*DJR@!S9T|k>X~~{NKy>2wl%Xtp`-AD zf#%6nsjk!u`*%ev{C@!`wE~JuSO2bnCmE965~4+_U%*rKvCI1@=^uPVSiR?Je07>< z8^c;4_)%qJ_?kCb^9LuRDd^lcsufPhep^e)W(uNenU^u66Pc!DJ5!Dv*@%_S$x{aZ zHmpyZaKkZ{XU@>$?Wk#h=vg%Pb&&n2<8oBaKt2DrazR9p07mOp7Fk||-7OX6{IDlP zo-gexBhC9+z+T8XJnl}=JK;ZtQ4`i4&OJQAvQyJ%nT^wwCk0Ge12!G$1M6q>a}~)x zp#`I%+41?UBE`1$UYI{S>p0DVqBdShlqVo*Hf5QV+AOVei{z*iZy}A@#mP-?hC!+G z2{l=Cq`*%JfJx(?&)16S$;}FpAb&Ll6T|(w5e*~0!rgF6c9Fq)`*LwJq((5QU)Zdz zT};KPs-}sH_C)QTfD}ct_D}{{4#qETrk0+lyg=SDg(U4z_{hrw^7JcglRz(2ki+?R zB>LYAN;=TEnN|@4R|Ms;6JXEkTWEm^{-KQhSl$jG-BOsPWA~e)(cPmH7X`4Z3G{TU z{^C=#!8M@HYzM^Va%4tR>_k-uqEZOY~$S$S%?yiDNu)3r8a5>9AWY~LrH?ERpFqnT}C^j(bPT_CreGV*w$yreW|X`25g076`zmA4r&?xB!D zzBJ4}4ru7bADwG^Ai>}r_r-X=`BQO5IS4R9!LA!Hbv8g@MNwFXkUv<2ykyzFyO92x zAzLdC8QN@?_?vIB*$fHR^37|ENaQ8*3W7-8#|?`~$cBXCs#GoC$2&Js>1N2PzchZv zjD#d4d=U-(%gY1d3$9Tv(+lJ>rGtW@AE^`5KGnd4So86lw1(sklFVL5>}p}Az5r@g zCco8PoWty*Y)1KcRLV>SoeFPDY~pLb=M5^`j8Z)fJ~0f_0u5NwvB-T1anmS=S%2-} z11x&fEpa{KsF|M^e%61nPqSSZR{V!j0?*u=ag8iKo9t@lbO8n%)i`u< zUrM)ZF`dsp6UIFSMEA;mL1Rx9cU~k=J-VOr+V*%=kd2yrm~mdnWlJEhzfEUjrWlp> z>q99DKh-+_7qfUTi=n^jMu4He<%UefZ)JqgxKFG5zKQSqZ z!|5=+G8J~5~yG-{A&Y~w=uBmV@Uc6Y4!wRDN-EtF;mGgRtZGtGB87 zg*p7|9u*ji4U8wkvOIMZTZsfo?OHa#&1*Qy!#)D%#82&yFhNh9wSXnekX1F`&h zuOqMnwr8TwA1x#79l!KrAioKd{cPBZ0fXhh!NFqvaNiqtirzP%%saoqw5zHl=tmNf zP_M32wgn&#_&&4&`-k{Zv)i=tpD!Mg{Gp`gp=C}DS62%YFlHtpiH-A)!gkAORn6B9 z$(%0!zJ%w>oId0+2#8lBWvW4PM#nx>j86@05OoBqC9W>bqNTu*xD0pIhp^NTo%OciC&GaJGi z7cDvJN$=0tJu?I4iCUS;zeEiB6zI;0_clXm6<0HrMWC_G8WHQKXRlWpI$3&%Yv@3e z;Zt+U!@SR5ied$Jf;EA^?6rlszlb|;G4C3?F~ARcQ>GjEujcaQ@3;quQyzyzN+ziLd{&`X(p$c z7bqVZKqHBw4-3$Q0@nFknHj@TVmi+&!fHhGfMaYJ%{;f0PUX?}l4CvGM?&ubR>i%{ z{O}I|0RR7Z0peg_WN?!Z1S4h;GBBKx+Y$g0kpW_m+5p#}V4x~jzmWLgkRVS#_jn*P zC=#TEK>~=G7&w5W@=c)~AQ6}izOKQ+j_$5#YMH@0^pYn22B{SVVvr5)LH?lu@gb1` z$jUi^lB^5@K=QB1nYBQQL6e*WAX8%y0kS6TXpUPZBXM_wCh{)L7QK;j?r0hD|J6$RpH z&bhN^JiCrlr9fh&-I;stbMDUEyYu;cehlL&o1E8bVlvFT0lye&a?gV*Uk@jvaruV2{k#j*R-UVC4e4%C4u-b?^}1PA^k<{daI#fW z*EmH>K-D8J|05M*BwH29<^%vSO^8Efy1Gj0<4+N$Dez4~vNr7LH%nx9S~- zBnLC7A!c7dIyZw$Ia=3=5t*J9B}F{Vj3Q)Jh-MtLd4HWkL7Gos!HvefiJ?8QI+@i% z99q&aP9;h+bt#2UDo7h(C{*&1(>CY5bQA*V#>bEVZ?@KEG)9sdwMeR638wSn;-sk7 z?IR|fd(e9M^ox^5-@cBLN$2Yz!m-ye+gX401FjBWJXpo(tYU058Sz}VeJmI)9}7my z$AS@jtbe>u+{+>NknGh}hTP_@A(9pZ(JE}DnfJ6P5Nn(y0rB`tD=jU=F2%2t`JIpf z8V?@~6>2A>tBD0UhHY}bs6!ue9=Um1o52a>S)7d4xMAVWrGR{iu~^2GRhU zqWHP(#6Z7JFzKIBW`nEmcs@Y+3LXw;@A`EJ0kv4~4iRfuqt3Tl&yf(zAVldmL$3 zgEk#8As@7T#HK$Yd{#mZcMl!?tM-F=k@$UPqy{WIK?6FUkeZmcHAEV}4>KCYq-=iR zCJxsilxGv;5!Z10V8zeKYb}fJEu6|o(?pu$q7la=%Dm$lhy;%chl%m9a~RPu(9oe6 zML6v2ge8d2u&X~7cR!8oi9E6)ptWS>WVkjRjQ#=u0RR7Z0peg_WN4El0wZYy2ar4? zwO%1|Tb!07|lgmH!nvvlgUWldS?E zR0Hdow5N56BTz&Vh<$_I<3n5{LJ+frk-9 kH6uGXbi`8LDS=e+LsfaY07Jvm4d@_{DA)x600030|GeB63jhEB diff --git a/cpld/db/GR8RAM.(11).cnf.cdb b/cpld/db/GR8RAM.(11).cnf.cdb index 7bfc774df2ec1d7e859af085b6b3bd340b981b4a..33068ff9aff1c3ce27fca54ff45bd6cc581a7c7a 100755 GIT binary patch delta 1035 zcmV+m1oZp43C{?SQ-7Ho00000008m=00000002e;00000002(}00000004La>{+=^ z12GWYa0mnf2?|Nw{r%Q~ zY4VN3T=@;Wt%G-T@U9NNrGszl;5*^)o=Y4VAC;eoBV0io;R@miR}e?If;hqz#1S4y z+zd$Sou65%%CI(Tu$TP|T#j-+_@sXn^k6O}gNy4cStlCNN2zqP_`rO#_`rm-_`r;_ z_`sC2_`saA_RB{{RledtYX|B4ak$Q3bNT;V!kM{({ zG1c*Lg5jx7IzICo>7=-hd#1#sWJ0vq zsYgBGSYMGR9Plghgrj~%o^a@|$P3;#=Idi9QGhoa^TkWBv_Rv*(*iw7gR(seH55g1UPqvC8Z2lL!%!BLBT{`EO zHpvy~FKT{{?6bthK3}^Y?~6)qtK*Z$g0(#aM1=dJ(|k-fyLbitEYg;LV5HqdABz#e zbFHL^-FV<(1_Mk&hiY-iS!jJU;f$AwP(NB64}VCIVMt*d2&NXne8&EVqU~E*&h|YS zRCFqK=-8=8p8x;=|NnRabWuG^13?g-i^jx{phnApDa1m9Bw!GUN^UQk+`;88-dzwu z*x1`y*jm_I2r8I=U}YmBLGd4GV_{__@n87n6qhI-ym_}XZ)Rs^Gh|Seh`M*DyCBK} zVSmF@o{U^4jFj}EV21;g07j{65MAUgSfT}BD{_@+dzLM0%JXY--Kq%{Hq1!I$HhXa zSlnbWC4n)@!>+DmQ=mWjeBuB3oROdc?BlV$!41p;`a0W@ff-n~?lw(tQ0(3J-a+wX zTKzpA2ja=bX$pTg2a3S~qks8oYZWNj3tv zmrwm=Fb||J@zHe?p09R>f?(yFHe`=4Krui$qOA{o?g$Ne<9Yo7Q`j7a~zojwX;eR1{sP^{c&FR^z)$3qr zp|P7RQwWZX0E(_m%iwqqf=y=$GcpJS`z#?4%v{1IB3-&)$|b=iMu-#It1US7p-u*>A6ET<3{yVXeF9~X>zOz}5g zbk3uj)7e}f54Ewl_RblPGc&qdi-lVY$gk%f#LrR3pAk*4lTY;JU-}$E6K9} zGe}LlPc7Clne*42$w@x=(njSb{5h*9oAt_qe^vgEm5!Nz<@ zXf}SLd0G&o(|i!K2ZoQ@oVdtP=)7-^Fz0sb+dPrt2V{%Gd`rzmYkxJEW6TA}X8}8! z%YTkTkzRV|9Ao7Wng4zU|?XFvukn;kYW%5;$U~bct6(=AJ5>BcvruWph%De z0}~K)GFUJ(Fw9VJW(0|-0dZi6PrOs8pRr;Zi&K2CyJLten5(5@XkcIm zQp~^##9Ry(K+@bqh#e$?t{-F}Zv7w~On(d!K=NRVhb_nfB0!9=&co9+$T7&-1L0Z@ zh7O>z#C?070TqIMhENUlA4mf1Gj0Y2AZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=# z=^7LaQUwOg3=4qtwD4kU9w5yN#0ZU^{y;9opKJ^hfGm}U7usAvnjeS}%7ErOF>bOm z%m50Ozr5(g0;B~|6gY*txw!^0@-TD&g*RUFm=2`S%m9TwBP&A&P-4gI3ooRAv;Ytz zEb#}r+7~DSaSjWE1CZ74V7XrfNTaIsL{Z5Ljxxhrt8;(~8H9ltWF|bY%B^1cC*SQ-4YW00000004Ud00000004CX00000004pl00000004La`v3p` ze+D4n2jYJ~Ho2G;sQ)ieKRO1P%>l%JfO7coZ>T#!>REueI5Q7KB}1v4Y>)-XIYnU6 z)VxFxw>ZDF1S|}sxuE>`y!@0@s7^+p7znUIC@=|Ak0byU)tOcbl( zqDTxB1;2nE1z8021BeNB571jM;pCibm@vqDFkxVDz?i@w0WpPuW(51Yg;;@!~%0MNk1_~hceq|&ss)FQo-lr#VU00960 zcmYh1KTbn2494B^r;iC#6$Gg206P*lAUx8%NPRC+9HhP}5`uvnurhD}*w~Sn*cceN z0lfg1-~tGV&q1_R^x2mEOJcjA4xJFu^X>H&9Q7eKO@ErWQbp?!SdLPlF0_h6G#kBo z$qXT3y$%dYH!*PxwlN7|Xa%7Ss7nRx;b;F%GXFo+npqjW#O|WHLSm0D?!SfIhU5nm z+__J&^~<FRYQ-62@000000037300000004CX00000003PA00000004Lalv2wI z!Y~l@t@!v0&r-n$c})d0ZlaV@C0G~! z1pddX*z>?1?bK<(@8G`qEjyFz8}O~~1fhT!pa#Yb!aAqun13|W1scT;5OaR)TIp;J zjYt^@S|y!iG{QN~Kz)iKazLwJab0~PFB57IrP z4pFpsHl8;~yno#b00960cmYh1Pf9~E5XPsi)h7r_se+{x(Y@di;xo+)_+COLLfRVY=U#B-&V>taU@zb$ynqOP6H&YPCgGdkFnuAM@di@TA~7RK6&=yj3HsY4h%{+F>wsGF$v$$3V%YYP?t6k_udCD;{0}~HM25$ zfn7(oM>~l7r?;PYXcLkSCb$!yV(S<2K9c>6e+}nPf{&m>#d?-1tAh$<)h6#kOtzUi z0rC>dx#sTm=3x!aHdM1oQP1N4)?8M)R#HJSRgpJ%JGj3TX9!8j-;I9g&Pu+{*4f9d XP*Nw9Jr2S(>=Xlun diff --git a/cpld/db/GR8RAM.(12).cnf.cdb b/cpld/db/GR8RAM.(12).cnf.cdb index 7c779692797afda03efc7b40f68d999a6d0bc66a..51ad7723448ddb11f2b8e90c5cd1533cbd00de92 100755 GIT binary patch delta 1036 zcmV+n1oQit2ha$RQ-7Ho00000008p>00000002e;00000002+~00000004La>{+=^ z12GWYa0mnf2?|Wh) zz%=>BVXpiJ-qOL_I(SD1-`2r*bnxA9c-JM4jE~As#1XC_j&KEWge!<6TtOV+3gQS4 zByI*Ib4@(-{4oj2B%Z}9_%qK}@MrJ}{tRBhpTR5mGkAwTav*|TIk``g zA-8GRV%o`1vdNlX&>y?w{iAYgJwA_xuU5y$7nR(`_~b3*W}2(6X{25pKho)G)Z;xt zaZGi5oM3pWla9~)Mmj03o^aH!$P*6z6?ww(zame#2#k4h*_+C^lOOKLBY7j2lm!%Xqu4sQ zX&P&heSdnuch1}?+zc4=&{BJ7t37no9=6pUcGMnr#e?v~_>--o2%G=KF7x2JbC=FJ zrcH81`iq*MBl|3IvCr48$NQp^+v@n_v0!Zv0TJQ;=rkYG%`RR+KZ~^G9~fyj(Z^y$ z@LVe?VmBUmn85&((4kr!au!-2O*rFaBGiu-$A1G7WEfHy2ZE_ZFrTsip=kS7ma~0N z1{Ix(9XfXE(I)@^0RR7Z0d!G4O9Md=or}iAkDx}&fGNa6gCt-OiAruSo7}zce_&-JB0=#VXk%ezCGlVQ<`kDG9=v(CGjC>RW;0|^m591`r@J7^ z0)Jt{Q=W`mCybQzqF{#ulmJGlYY<)JEm)!jU@LN!XnU3|Ys&L$a^0#46*kOB#>d4% zsaV`(F(rX9%EPX%WK*C&`F!F3`J9oU0_@|ly}=F40{S}Jk%1Xlw(d4fZ&2*r_ufJA zWLo__9|z*e#%T(FH|1Q9J#&E8T7I)-Mt_cQr3z#NP0Ao-Rs0Q62Yj}DP~oHupc5S* zN1_Ulq89A@QGY27o&>ZE^@TA)y#K?GXTiIf9uSCjy)FY|f?T+-U+i{&}r z!!Q(dg+S$V0@jE%4gX#4fmlSLt42jCWzA{059ic6D@Jw)IWt zVfqoi6Npa&@yCJqlR*4wApR^6e;$ax2*h7<_-!#->AwzXKuVfi`%?cM{hj@zNbZ@d{d@oc`+Cx znt-dNd)&x_MA5<8!@!XV1t4pismvabh&&J@)H?h7 z1~`UzI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|YeOK-3&aSGp8h~C1A{dXb1`%P$&J@M zrb~lF_<0pRtPB}I!5y7=0N^Wp) c8{S%-1JWxD#2`!I0Tu7$80^Xj00030{{%{WDF6Tf diff --git a/cpld/db/GR8RAM.(12).cnf.hdb b/cpld/db/GR8RAM.(12).cnf.hdb index 8aa3b338d4153062a995e6ba8a7b5b7177265a47..13a4c33405b670f89053d6403a8b79dc9df23be0 100755 GIT binary patch delta 570 zcmV-A0>%A_1cC*SQ-4YW00000004Ud00000004CX00000004pl00000004La`v3p` ze+D4n2jYJ~Ho2G;sQ)ieKRO1P%>l%JfO7coZ>T#!>REueI5Q7KB}1v4Y>)-XIYnU6 z)VxFxw>ZDF1S|}sxuE>`y!@0@s7^+p7znUIC@=|Ak0byU)tOcbl( zqDTxB1;2nE1z8021BeNB571jM;pCibm@vqDFkxVDz?i@w0WpPuW(51Yg;;@!~%0MNk1_~hceq|&ss)FQo-lr#VU00960 zcmYh1KTbn2494B^r;iC#6$Gg206P*lAUx8%NPRC+9HhP}5`uvnurhD}*w~Sn*cceN z0lfg1-~tGV&q1_R^x2mEOJcjA4xJFu^X>H&9Q7eKO@ErWQbp?!SdLPlF0_h6G#kBo z$qXT3y$%dYH!*PxwlN7|Xa%7Ss7nRx;b;F%GXFo+npqjW#O|WHLSm0D?!SfIhU5nm z+__J&^~<z&oFm^bNv{Pp$fWhujGk!$zYJT6GCJ<;@f z9dFA8-g5n%i@TlD-V}R1fAXtdm|OSoyo#vf6a247OjW&d%=Lu)0mjQeoR4|#pV3u% z>67967t5~l#Xe58h_ABrx?K-+-v9pMc+eqqU7g~`gNlyx>fU{Hs80(} z@!(`u7ce&DVDNl8+sWEN$w0a$wz*mMZtTS?M#dTpS6`_5{^1SM<~pJveW&@4GxH;7 zCFTiJH#4b59z6BGYfdD0kRIz1zrKU(1V75nTj$v4cusEON5+4SiXZ3I<^5A&P4M5) z_|@mu)dq-{IDxP$T>1%|pvquQ@I;eq&_6!M>a~J*>gSr9ol|tDKv_ l)t)yGE(m&8E@ufk${+glB@+*?zxje@heZkEposbZ9{>p&w=nqq)eU;PGJLR5%0EStiMlANurRq*g)-u|4_ga2w^k{4YOh21(RUvI=xL~6c8 zg@zCg;82Z@^?cfhAS8(${T#wv;1`Qjy}h?XRPSfLdS#3O%8ynLZRB{%#Sbz&<$>(< z^z6&R`+uRochfTCdal@6(v_g3=#INjl^WeuJkH@A0$lN3ep27d4@=wB+C`Q&2!o>WpR2iU~ zstmxgzX*Xjgc;;AP#KRq&^T`O%}M-lI!qeo@An`JF4X2zi4P-9SiW;><#; zaKp+6J$;8ZS+AqzZA686bnxnnvxT9K8n3GYjdo=hW*a;OudALC4F%x0(|PZX@QRPkj%ANfscbFsk-~c&O1@}qs9lB(x}&di0(CBgxHrgf_r@@5-i-@NN-woFc^$P~H(t0@vM5;% zIax|+yC}ds?XFvLKvq^8w=J))@jAED$$d8pf791bMgz{4d)9Ng0PAg<=7)mId|HUp zPyw~yX7Ry1g`r{xaENDK{rC0#6rr1!XbqYvrR*3CxCiNqGeL>wbv(n&W#3_3c`!iXFh<$o3N-&v!>8xtYD^nXrfvF~~}FkKJNJAM1^T<=OBJR&?PfpkE~ zu0V4_Qsm=86mp9C@~q=CC}?|_IVJ*5=y2v=x>@X7*4ZC?F(`hm(0e*j;5s@8cdEr_ zQ#5D>8e_$zrphwAgr7MTWL?lhxh*TKGMV4JzFTa!9&z2+zFllB#ah4Tn5N>6nI=}f zM{q@p5vvt2rcznaO|&XgcGzfhl(+eQ>x zYPGy;adWe~{8Zw6E7*HJfViPMhCMD|;y?9iC#IQHXY)B1`?|B_e1lVzsygyZ@&X9Z zO5TcOZ!zzkkth~7XZUR@sT!sC1BwCW1BZFdCN)w zruRfZk<_*)M?BY2*lM{YU=Fe)9As1nUjXSAs(~ANB(hhPha$NAoTw;o$pe2Nk1SeX z?c8fh*~vfq$L(b#j>hrz#m~SmuQY!!?3nza7}XH(&HZ4C=d1Y|irzMZhF-L63D}b8 zyxZV<!c3pFLmuGEKP9S7{n=EjwSnag8~XPeI`u zNzIl%(edDQy*rADKh91e(ye5fOEJs+d{@QgOc-4Np}^vIHlneF|Lb7A@QEI}Zs&_$ z>nxp2aC!b+*C5!6O4)s6V38(*JK{N0=iGwULne&1Hb)d-P;0PC{*1+yoB$9gOo|F( ze6d5lub{I=`?f*Dse4>hZ)mt8@L1^s0ycgsTb)6vxjXv7N=NJ4q9aG5M1^z0k2Ml( z(=w>Bmt4u~8D^PpCX$ZN%8kcrlbnscCX4@Bt3%~)(?$bG<{3m+&Uzl!yC1Zu%Z+dQ ztaRrFLq24cTFtQ}?aleXEP)%J71fM?o=iz)-GCE06>dg^|E9$3N^<#Ew_FML#_#<9 zf11rS=mx6EDbbua>@6PdIY7Xfjpo0_-5cA4{@*ln1RkZJ4d{{kaouZaOS1~w?=!T~ zatqcsyeq{I!`A#uXUfm#M#`4SE+zi@m3h&+7OSSDX`e;a}2`hiEv8}2R1OZf~N zciJ(w(k7Qh0rz@g8mB-a>5hhGIHLr^B9e?zv{t`?N`S0o5Kzfi1v8P-60Fp{VGlbB z`H`fGoPIU*DNsVtZd|c*)~3tccPg&`;6vRj4bIY`SBS&HM*I(;&t*}>%la(A>g|2< z8|va2+Z#)2O73hYt`}?#I1nl~EGxUuqhWMSB~+au)$q=4<#pvFI5pg(pWBM&FsR*+PxwXPLv(~QaZ5dfpT1Vcv>PEgd=C)!GzVPjd^u+Hb49hQxur*E%RZg;kst<>XXQGPc%W85Z$Cmk4!AYNcm1|{uByyewIiZ*we+=2oQ5!^+WlI$D&wm2q*616s3*bc(nRvt;n(xi0Kk9|yJspREjY~&zdbTasm#pM z@fB*e;awUu+ok2UElT#uzR^#ABR(^i3+9o}Y|FR_5FR#zLciTu$yx=qSK0lb|5}}y z92ZeQtF6m)p4kNv@S6u`?FF?L+x-B3035jmS8hJcY+;sUa#b>fJJj0xR6P;B05|)3 zdBc#}ESH#}Tix3WPU)w%d!kD0S(R*&`v&oUOdorP9D3ieYk*-@x~Y~ zG=dxSQ5)(hW8Koig`OGS7hWA@>pjDI@c18Z1XCSS4E@qL3t5x1z0>G&Pfauv8kz#M z>+q!+ri7iivMeXCh-~C2SrKNpfIe+WcB+e8L2ZbAhWA$ej>s!!O}k86L9L8-gp0h6 z)$0r9$U2GgIDve&6~npt-qODokUFj#&?_}#9nBe#-AGpwe~S@h?98^l{FTIa6G?E; zOu0iy)$18hg}t!?bqwbR<#^#Nf(D?;`#-7A>pqtedz%6K>vppp*)#X;ri;5#qm|a8IC^dBoY|sk7*C1iWt0uo zDc_ZRj>Qa$A5(P+1xfX2ig4s!zP?djg>RFWc~Kk5nAPk31SWPeN*I;>b_?< zFMbzOyB%LhzP}R-w+dtGSlGMxdL{~mIriJe9=lfTb|zwXjU@pb%Kx@}Q(F*eZd3dr zA_$jKxrRq|fj57|d1-FyBmAY=K@h`(So?ZEc|jRc$pUG6)bz<9YWgBv8QPX`Lg>ac zzHE+Txv#g9q@*J&i*~rkU{dmIf2Z5MLGk%f0ivs@HgGhgICaGw{cHo1T}RP8S=9LO z@1>pX*u@1?J^{dqyis0QD8rE9Eb?P=fZ6pzOU}=zW~O5GJJ{N4)f18X>{x(O>78ZH z-_3W`mOJK(wWe~&#h@YgzO4EKT%pyqUTgySKI>pCHxx9%>74N^$!4`kM zVj|ax=rSQ`v)V^t)7-8(R2}jsj$WP%4vR|opWYsqXy9d%o>#P_rz1QH4$d-6H241b z!MWG;aZc4sOb7SIrTs>SKP*osUGDIAzn1eM5Z`fkV)zZoORy;aA#h<|*?iX((%!leWG z)7fcMml~Bt>^NJ!3h2}njgUz(8oCYpzePDVc1BY;$+G1hG^)PJ3+f?z6rC;MF#oP3 zM2bRbm9_g4#T+47_aKw`!1+DHcrYe`9ni!LUQ`fy@hfDBdeKy5w2#$ZkYYbX;8U^V zzq6;De9v%}i?GaJeSzV}*bZUw7HbYf1TVzI9BD@)iLS?k15Hw8|fZ`s;dE;Y>|_BPlie*l>KozQ=w%X%j7XC0L1^1A)roDhH?E$&~r%z2U~X z?T~D@<1j5{kj9{~F5Z!EpdBv#LG2{k^SkuoP$15w2zdClUL*F4y|>m%>8Ot1BZ?j? z8CP;!1Ce>yHY05RDxu~CbDb0*(8Fnf1#h#ww4ljt^Zw^h>Ca1rxl>Jji^fd%EjV~_ zHfJ?7XCkx>%Tu;C$8Pa&@-0EnZj!_V|l8cBEr6 z{k4t8#1!Qr){S$rh=g_tcISeT7OByvUi{DIgQp$b>4zjFJFyi}QBQzAF@|TjKA*~G zr?<<6g8en#)40TiY76X^X5^dG=sNw)ka@x0Qe5W(p~ekEFC8qt5fzO}$3N3VS##Wr2z@+M$ zY5mu}&s@9J-x~BT`h8dYW-XY%vRHpVZKY{IfRZ$mNd05hg(0(K0E{qeywu~T7IxRy zmOpXUUmRu)^&%>^a6$_&NY->Dn-ni17*C7%Qk`ZVfT6iEz)WT8(wU7{%uwt=oX;XF zbyl|OL4NKkI<(w2|1?APsxQu+lpxb>EyA0@FM`zq>+csT#80isO$s3o{De7JW>81~ zs*3orQ>x1dohEywdpOxwrYmP1ec8@R{VU`am7%2K7)8k+KM|&mOvNvlqypAI2idDF zfdP{%ZEU&?z>ckm=T;7zLf%#RULso?tEMfR)M7j0yVUsop{t5$t-H@w${48nei(B5 z$n?kg7sWV`YSejZF@x!UKT!w*+;Fq*=eYY7;i1(M_Q&61Bw7%U*=cWvb3p9L#w<#o zKCNDn@;N@IC@j$UQnFT2L6YeXpZ6Z+Xt2weEwToZ%1lkT&dT3Ny*5G;F>FJ7G>Pg- z7+j}$X+v&U*Tq9x@Yr!9WVP@@_E~LQ**z<_{fzrHgWD*Vk_;i8DiONqrttC>oKo%Eh@#KlrM68=f1B}e_C z$uMBI6tdlG#UXe%i{?6E$%jCJ4ebqgBIemTyo^#D~%|igKu6y0mey zDSxM$eY{Yz3Ai9+MwlQVnE!?c(zvI_R=^nNp>W-)cCD4hd3?=pq=nT>%{ZGn1bo|h zfm;__ZQ%Cs+DlANJRenTb@Q&EJ=u()!LWyLQowEce4DJgZ0|F5hdJ^jl$`C~A!u?J z+oJRZY-LPvv58(v^JE{~I`VnD6^wX9vwjfSIV7Zz! zx0X8B4^o5RStQR_FTbrBiTm9M>=#fFeMkGZINo2_bZ0R*N659!%_y59hXP-SKzp=V z*<={+8+Qxt1w8+*zo}8{l-}ha|1?$Xee7ba$xFbt2p?Gv>s3ToAU?!ow1eJf=hk3U zmmVKuu(7Z(7(Z-pL%0B*&7Ms_xy(GrmX?Eqvb<5N_(3kP@WQ#NRklgn z)0n7#W;i02D>*C`mz>$+Nl85dIB83bgXkz8i~m6?!KIJej_KJW2BP0$-g(h!Tz*Wg zQ2;kRpYl+&Y4(hyeuJ0uunU2#+ZWwlAXfzZcT3MI!Z zwQ@u0&_ARTq%`OBJMND=|GkY0_Pi5w11+1j{0l9(f7t_~pDp>?6;W;jH^?_Z&|OcG3TVl7 gB~(L5&A{)CK8%X=uPYYn*YQGN&OQ->`aeVaKcRH98~^|S delta 2715 zcmV;M3S{-SF47f{Q-82g00000001os00000007kj00000007bo00000004La>|9BY z95ocK30nY(MZf_eK_pNB<&p^rgq#YJiHO9eET<@M|hVS2dS5XBL2E0U$&^ z%+`Y=u>eoS0vwG6I2H@=bS%I#u>i+o0iF#5xC0`iC2`q`Vm(Q65CUb}G4a9)BZ6DRf(be9Qm>*_Z(YaxnvlW@6-;?zSS=bhj0`rn{}kHQjARu4xET zp0my>Thii#($y-my)ZsI`1Gvh@a_0g!mbR z2=Oxrf#T=zAy6GU2!Z<0K?qcc4nm+tbPxhn;+>gHhU!EYB2X$_h(NJ)Ap+&ng$NW( zYM0({dmN-)xJekk(I2f1hL1WO)sLGG6$u8vgjWlI871{!npaH{9MFuJ&al*JB*g7E{^=_r7?|{uJ}7 z?wj&e+);M7;b#Sl8u`gHXa8gRp8K~vMc4zL*yj{223sfAx>iz@+1ZDDu~;lC zR_Q@p4~D~yHcZk9eQ~khS#57`t{a~Tt$#t4Wg;4t5-ka@T`NKxtf}fkl`ASQ*0q7J zozb0qd3|+!k$pb?4(K!*r_P*RIeGrfD=*VqeQM?Oa~EDbPZ}9?;oM65}anvxEA#z`GyUZ2QSa{Rg3 z3o0Mel5?URs_aILaV}6b3zQ6S_gSE79+Xiv6UwNWx1IeiB4?g-A2sumTPhcO_w}~p zFwK)Lc}bbTYbJ4{s5-p&R|9sJDSwBef{asE19?M2Zq@JY)u6^%Yc*H_xuI5r;zRgq zz#3ZLG%pqHk`hfUu?^izRW%jSQ`4C-T6-&$G?sk*LEdk|?LDF=EX||4)bR211HgVV zfRbm@RK~UF`50&F^ige6Jbg!&3MmdM6a$?)yj+y=s{XS-?35F1|M%^tseeiTvSeIX zx2c08mnk-VUOtqRSM_)}^P#S%Fr`xh>PdyKs=S9@%xcOPIg-C`_><>H@vatsoI}O5 z2;{r3TmD*}Hh)|U zEFH0jZnk@!{^-o_EcU4G{C|Gq;JXd`t|wwCVY(rH|RaNH~6!Hawfq=QnnM^`{ zrl-g{6r~wXpW!rLrIk|zAxkfKkC-y0lY#kCrU+pU8-&cF47^923BsJF#z2@O*BA(M zE*k@34sT;1%n5G{gnu~(j)5>=OT|E#&!y@>;3O+2o$|d@6h?r2?&?Q?fbPNwkkDNi zMntvF;VRRCItM&bhM2r6E?HHIg^J;4s0m}0(<04x&qf$QW1JeA^3^PA_!G|`WfA#7 zU#*WrtSgxwt>t6lT|Tw)>iHMAQVuhR2kLyc!I;VK%>Gq*hkq>1b9irQ9#5&Ic^svd z=JAtSn#WCQX&!H|rFopBmZsIcS=rkAWocTE=V;m+aw}OZG4f{ZN5Uswe#>M$C^Cm9)v%oT$YI%7p9{bamty9J$b` ze!!?(9{U%I&xabpq*Dq1i)%;jC1pMzvhhE=6pjC{i*K$9oxNipn1ZCr95Td5klKgI zp|S_wXGtn|d0u2y-j_Ywp$*$M%g)?>uQM85@juJfX@B1uDOR#?K;>=JEM=@N*UC{? zS5$wQ>Qqdo>MYb`K615%aFkG1lh_KE#R?(C{Bq9HLcaHwKo~a5GENOVNMdtjBQSPDKCX90b z5@?Jn2!9;tDoDOUc$>V%5Emgz$2gLC^hfZ>34Xvg56nfupeg$ZV*;yo@Y;Qf7b=72 zkiHMRgqaeHBL6kg`*6>Eju+}&wL^N{$T>JD6Jb(d+GPT4r4E@_86HPR+yeX`jPAX2 zoh$br00030|9Am(QaeinK@grZzMt_0qJkD8qJI_!B1U|WyUQkbkle+)3n~bQorRr+ zr9mvTQVf`Y7B*H^HX;%f3o8q?(89(})L-E@r+6md!Z+XU%r~>Mk042uC8DFt+!Bb| z06Ss0hH#R)?YP2ltVIq`1<**508yb|mDn=~%sEL{$r!38Vy?zOZ4gb&Qj0#$Sz1h-cxPcD9-%itoC0nZIciTYQkS$*o z-yrl(=Bt0s#{utT&D4+C`FL-UJ$-<$Rn1gdc622vTuUU-q_AvOc_To_;DhUX_aV8RTH1ph$i9zsg1Jgbjw01i0nYW0M}>o}B?2WAj|)p`p>4R& zp0zxvtOkDtsftz*xHx=x-v9>ze-jgzn1bi~b}A`~*=}_5ItMOQ>c7f+FUCJ8^b~Ts V3Ziy^YyQ5ytH`#Hz5oCK|NrEIA+-Pi diff --git a/cpld/db/GR8RAM.(13).cnf.hdb b/cpld/db/GR8RAM.(13).cnf.hdb old mode 100644 new mode 100755 index 68a5496d5b10663ef9736643f654846a419b1e7e..6f8e93ba4182a561045b9d0213d0a1976d95f79e GIT binary patch delta 1224 zcmV;(1ULJ-2a5`jQ-9SB00000000C800000004CX00000000XG00000004La|Gz-*h*s_4#2%@CZX$>>#wz?Cz1&3hA0g%`s*6g?eD=vWe zLwK*;&vADWR-%IOaFd2=qYO~~6 zW6lO+Ol8PHAb)vbzcqiIv)`Iaa`m<;snIE+e9bzy=CO4y`N92r4>$7-E(f$Tv(zze z790-W}c5pExxk-%F_^c=?;?y$=pH(61anPns3V#KuKLCLX4QmsjHL*IG*Fp?E zsTosCQ_r!q!j=ls0vHMhxxjSYIj1oSfqH8*WWbv(*_?(*Vy6~Ky)DDIpIw|7mE1jI z!np>?^QT`-9DVmX$|mkxyYL-Tw3bYOUg9GzEWQTBPQlJ!pww-xJ(8J+aGSPnEWo@S z52o3vN+v=8&6n$gMf#b{om-Ch9Odkk0HtIY0Uo6B4-sE&jgm@m1kAWC{%gk?1=OGM(LCSApuI*)JeR)d&2iYfw>qk>75Hz8k_ zJ?@}HRMNl)$pspvS+uKzWV4yefaL|**>e#zdw)i#g_ns+^n}egJY!V2I8<q?eX#lIP*aA7Rz*=2R>o`~g}(_>;c;(OWW+zcRsJD5}j#T|oGW77$Ba`6I69 zrO!yD45-X%8Z~BB=kXi#SJ2PkV@sfiLY7=W!TQ<&$w!oZ9%#0Nf6Ts!K|fV#ON?)R z5`UWTwV<9fpmlq!Xb$?`W7n?{KJO!2@)pbdto1O(kp1GWBLpVJ%=nP8GP5ODF(#zy zB@jJP3EhUgt-t|yhRt>%Fq|Wdxg(fnZ!m`UkgdIQ9K*Jlkq;ZQ+|2ySbr|yjlAiAN zr0FFmH|7a`1thI8RDZ%Q&{i#xz&?3_**__Q$3Xb*v9-N<%>O3K zmFKayRygs$y5v15i<*<+F;2@WTNw{We*gdg|NnRaOpw1y13?hRCo$@Eh7d(XEVK_; zr*S0I(nSYF0 zbWB7~H&>T%)PvYm>CELST8F@LlmfM(4j$2b|HVsY4-(evz@T&$6USgHlMsel5E?>l z%3u%Qd#{rD{h`#%%IGEb9Mu)th8;}rK84+ccTGi^Jh5Pg-#Uf zNh+)kD&$3-ybCeeYUBiHmRO@cqCxxF?W2OPh_Hn)5=JQYMnAvSx#~qlatB mNV~NB-RPTctvA=%y7)K{YU78pr?`e4VIavi6MO*x0RR8?Em<%C delta 884 zcmV-)1B?8N3c3f7Q-3iC00000006TB00000004CX00000006oJ00000004La%vC*) z)G!cT;P?g!1Pv7@AzI-0W-C|MQb4ytQ8saO%Z3DHy$AOz=-^lI^AK=%u)H^p9cOX2 z;R-A{o;Pnio{Y!#YPDL8(C(uDhWx+vbBOB~;)->~eG~l{{C`RIqc$h>&-L@vq^T38 zThL^)>H>x|&y&S+N-8VsY<`xytZb}euB-D`-mEa=R&R)K^CGj+{l8ZS zLYL-1>BY5VczqyThm164b)(0U+{wH=wH3ueLe2hpFAIAUI@dAT%WV^V3@Ki zMQ1%6p7m&$dMs2}X#AD1*ob=tM@7Hrs98&#GwO0dO@Ay!&m@8v^K_7TGRWBfiFvb0 zh%q*EF=lvU?H>JI3Rd4cAkp$?HZ~nf^4l!5%_os&y~U!ZkyhchBU-9{>OV z|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*?%#_(;vw23=RQFFfaiz3j+s`JR`Ry z03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC|@k5Hm3d07>PWLOVbrFdKYbgM%I2 zU6ItP0cDvP6o8~&(!}3Dia`{JK{mJt`G*F?heQS-E9V4CvVxWW6*;pOq+AM!og9N* zBW>b6JY9nvgPc7g;{*JILgGFB-26cbz@A_O>zTBtb%`oaL=uR7gWcmpTq8mdz7BTw zaP@Tr>0ki4iUaI}(}puEKq4YQ4AKG*BZz87c5vv3rMy!Dsp5yK@^k@)hNm0QK_F4E K3jhEB0RR8Uo0-V~ diff --git a/cpld/db/GR8RAM.(14).cnf.cdb b/cpld/db/GR8RAM.(14).cnf.cdb old mode 100644 new mode 100755 index c61c1d9e4ceac300421ef6de49c534395528aff5..a1177a7373686f1e04a7d86bb78ce8799ed039ec GIT binary patch delta 684 zcmV;d0#p5+2lEAxQ-6F400000006!L000000006400000008p>00000004La>{iQe z!Y~kYRJB5tdf*>W&p>I<`2oHFafkvZA}Uch_m_Ju6wHht(Sj04?5a}LZnSZ1@66h} zYb#o7eSmhAQhT(q3Yt>74@xC?I&LIE`vo6tcW60$!xw}$vwv)zP5M*Q=Zkhy8sP_# z_+cdeDH1=5#6L&kU&8QrNK{_D<_niF=KWMIW$zZISzfG4L>sVmy_hc@x}EELpjPv@ zO|kZ+m#9Ol#S4bO;u8#kd3s5iwmY`q=EJDE`%CIa7eBjp5A6ma}q3EWZW3A{% zepL(RQz~YuSAXR%+RLCY#pG zTD52Ht7>mQJw7(8v>CzuWqyNPem?DyOL+lOec%6$`M#ewFi*$xN^xA4fr0QFywrLS zJvFi83Yv0X<2wQX0RR7Z0d!EiN&`U@JsXWtgQ(HMB7a~i3rUfHBI1MWW7u8T?CS1{ z2*PM@=P#tU5L7UKU}YmBLGcf)EUc`=&+yz;93(h!?wz@F&V5y3p#z}*aDGUK8j<<2 zj#VCoS*}%_rw8(Z5>Y|l0TyC~ot!*Kr zOpK#JyMMM)tI!SUrQrX1QIyc6eeMNY(!e}n$_1fH?bHoSw_|uG*gx~h zM={w1W_Y_>tq&x!NEoi0ba(7LbRwlw<+DkZX2L4Y2#)A4_D?rv>8A)I3==+q1!58r z?d@xDDUoTy&}45`83BX$*Ds%vN18E&gcZAtd?lwEEtYuJS$`U4hJTn<)TwE|-JFPa Sj*y(ci_(srDeDUW0RR8bdp`64 delta 855 zcmV-d1E~D-1)T?wQ-900000004Latd&Vl z12GUq9R?9N2VxC3@I4ShA`6sF$O4wA@5Q;8C-a}}vfQSlCM_y*Y=8gyHMG+SA%t&@ zL+9L==CL7Kv4k)wZ_nF3{O4Rldp5L(U*r4=>wUX! z`R#eT|8M^MIDbXpIkmCaZ;JO1xFnLko}I<^U>N%Lwn<3(-WGpX|DUqH))vQsOVMj8 z7!kmSYO8Vm;yqk!laAiFo$2Up=_6TRdHpLcdBA_k`NZFeGSqV+Psg6P+;j2Ve%54N zj7<;F9!HX)$(&hJTi&3fNF}0fa_m&kkqv(?nu-xoZGY)Vm-CGgMd(?oIdnwAaWG=- zL0xk4HO3l@hPJrv5q?YO9;{cAk*XOzal9bLsBO!q4*lzT2{T3w{al|PBHvd9sm^#| zGB4jJulvItvipTxG&@&1juFk|jAVFJcvSK@zL~1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK z%nS>F^tA9|Yfd1|3&aSGp8h~C0|P%0voTBnk}3@^v_atj5Z7hdoIX#pTcnBWg|k}psMqLGEc0m$liu-q>Uq)}CR!c|HD h<#@pHVR&nG4v@nDQVlW_9+L4+j=`>s00000|NrgMjwk>C diff --git a/cpld/db/GR8RAM.(14).cnf.hdb b/cpld/db/GR8RAM.(14).cnf.hdb old mode 100644 new mode 100755 index bc3e1cc6356d350ce06473ca37c1c3764e888224..5bdb854e3d6aac7e46aa49a6d23670ac415a4837 GIT binary patch delta 419 zcmbQu(#t^EDgr+*Zr2DdhTB&bBUS>LSHeijHMJ zHoB)xZ&gy-Stz5O84}9hVf=yh{$rNE%ySLyOV{*Y-=9D4Sm*8q9|}L%wp+^|cYSeq zZ-YVGOTkv%s{Ru^Q97GWPsz28Yd;&YcU@BA>l2@OUKdFJ6kcI4LEI>7-o5~lZ}YDh zdTUiwZkk#VJLToItwmFp{RO)2|NjccM+pfj1(W3&mFoqJ4CYL{EyN%+kuhn)Er#n0 z5*BEM@1OAF!2|_=`|lMB#u`FPS{j8rW=dEzEcxWSP*y>32JgOg2M_kWTbGeFQ&OcN z>&qmye{3s7S(7I4zH|JyknyA9M8*@RA{!^I;bC(4wEo1*tqxs|Tpe>|nq!q8+1kW5 z%QVliReH?)r`hRIjP2h$e;m0E%u7fXVBDnkHZzvV$Wf@Hw)yU3=NM%tfqi^s-wM8# zvUoYx9ANx*F!S(CQ3b&XWfR0IBpgGGH#IxE>pYz+)szV9W`K=4&z#2yy)TiA$!D-~YmF6DMUwsZ;Fp zE`QeWnwz;xTQO(T%QJRq>b#0AypI~@G+Z}we&0QB$(j0q=+lOor(&+&*!H+_75fRs zSKDrX{@DNUL{&2DSC_(R-q9w$lAnZD3!F4^DUDRWe$@QWOU_CA*;6mFM{e@gcyvTR zh4EtSu9O3h-6zfdyvNu)f1bo+`QwIf=QzB-cmK`Noka!L<$=!p|G$FqQ9?pW#$;Yb z<$7lJ2{y{xRT)&2n2#uIWnAZY&>?hPo#MxXijMQ@-hFgP3sCXkWL6h2HsoONd^+37 z+Cj-cx+b={S@v%1#Vba}8VpxosQUil4btX1q9A>z`HwU6BWES%2~#&SsYV_=^}lOQ zBzKS=>k+@cgX;u8%FSEn*yngoZsJGAe~yYD=ha2!{Zn8~@ZZq*)#ujbT`jyCN}Nab z9enpuah;$dM?AZA^^RXwO(hMr2N=H{%sf0(R6$Tsfi1JKUC_l;+1jGyNYFja#*mNB Yp_RKBoDW~-eW5Tx;|41zF8==q0CAzQ`2YX_ diff --git a/cpld/db/GR8RAM.(15).cnf.cdb b/cpld/db/GR8RAM.(15).cnf.cdb deleted file mode 100644 index 52c203fff5d66a9415cbd6ef2dd07d4535af57ea..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 843 zcmV-R1GM}R000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JBT00000000;Q00000 z0006400000002t^00000004La>{!cA!!QtZgg^yu`~ec;OnGz5e;^J~=&2D%rJVbF zdm$$ zzYrt)PxKt#;unl-?z3rjdvfP|esP_&mGHwz{AncqEE0bniNA=%Uq<4uBJtOe_!}L5 z7eaIT8!81D2$Tv81WE}80;L86fl`D!NMc?*=Hu$E<;(xumc7E;SeoU{01nELvYn0cq*1%q<`1%pxmff!Jw=~fdS_hpS7xpTCQ%jkAkWe6YJ? zh%1<@rDJGdUHAr+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*u+GEN zHOMi@*#qHPHiizMvc!FRo&gnteTGmC^&dzA>@!XV1t4pismvabh&&J@)H?h71~`Uz zI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|YegW<3&aSGp8h~C#GhOY9YEH`YaY`UXf*kEzlVMI|>lxD9Wu&H?Ea V24aw<@PLYUatwB51ONa4|No%;a^wI2 diff --git a/cpld/db/GR8RAM.(15).cnf.hdb b/cpld/db/GR8RAM.(15).cnf.hdb deleted file mode 100644 index 8d21dc1b51684dae154aa3aad916c78ac24e5a89..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 521 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdV@>@0(K}}3Z*%jAmSB_G5*nf%?3Ql zlW*+X=3LM)H!*xAS4KzHWCiO#ash7~G?XSu-|n2Gc1*(9g|Fv9wai2Q51g-mH^2WF z{pwnpqA5qxKxX$sQ zL+H9X#g7LS9p}}(`{A?s?A_RlSB#7`7_Poh z_5H&eq|J3iLHbVfA7|!A&PvP^rfz0ZjXZejf7hHy?jSwZBYu4c*9m@s92Gy#tIPYRz?$H{q4BHFt;@SuQkql*ezWa9-oC@FMWIIa&6|g>UUOVx{Km+B zgMB$~dRT*tOM}D`Ryj9;t37WXToClGT+R}7lt1+8OC}y(fAa;+4vP}RL9z1xKLG0k B#oYh^ diff --git a/cpld/db/GR8RAM.(16).cnf.cdb b/cpld/db/GR8RAM.(16).cnf.cdb deleted file mode 100644 index 8094559d46b4cd45d526e6148435fce838d3adb8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1822 zcmV+(2jTb;000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EQ{00000004{y00000 z006QA000000015b00000004La>{&@~6gd>GW*F8PI3RHv2_z5~F6>C03X%qL2`HVM zTBy}qM3h!q>U0QP$nTjyG^e@FVdjF^cZ%<`{cKmq)zw|aAeMfSW7pekKR^3DyOShI zKB4>(MbSspU$dY~3v)-JsDi%(22(-(0pnr)9yQUw@E_nHN~bw&HtXl^DTEd^O^caC z!Ff?Y6=|4k1Q*IGxL8)frLqbxmsN13oPw5H#$v0`s+U~GR=wmhw(2F9u~jd*jIDaf zWo*?8F5_NooA%m<-8|FmBkuNQr_u*~Hegn}j`6o$s7!HAtNu#GGXV?k@=&{cq=5p8>zq3WC zHM391Dh^k~K293GtbN-@rP|b=LgakR_{SUQN4L*`(|Z0qH$#@ln*R*1t@RDr0Yg#o zE|zPczn&cD_l$psbMNo4kH#5mFvDvHn8*%v9>$h8CcYfS_cPd=wk=ZjL!SPYRXDV> z{0G2`1}``5{-Apeb$1X|IGp1sZ=vG_Unnw&`mT^c)YpI@Q64KY zh-0QAj|>@-W2PdH0~wNIrXrX6l%#iIPvO0LkbPH+a6s*-08v0Mg{~GqBu`zd+T$q+0kI|`H zZ*B(|$27NI==&-7X?JeqQTuu8ks^I^A&U}zqfDg2#Fa8COzCAU|9^1|!QZ01eyEzy<3I|^5l)y`QerE+z z_Tz)!KiV;A!T$UFd8K^+sS`road)2(lknKxsrR3lZgl^--ARu08KY@3>1;`IC@NM+ znU1Q`zNgSC5Qp%Z8b!*dCtoT8b z^rZ>3NlhYF5rUh8n}48)LpMdi3OcwrIk|{PQ5>9HTy$`86X~z;xhPFrF!0>HclX@A zckd-i5|xSQ;{Id{isFEsGh9PBdEItgVK~+X2dD$+qjV?HSwfZAGXbnRc~@C8R83@E z!_0~$HLJLGPIiQ6PR*o}$rUD35C~Hma(*@*Vo!TMU-*AMXLL{r^65ZZ<^~1<|2j<< zmTak--)%2dK-+$(eSzpr=Cl9iXV$5=j zvLzRqmJ$UeM3a#9&-xV<4Fl~-rq#SiZl{NiAjiw!(_v_?(vqV{{ZxVT=3T2owGnvF zQjm5KxI2IS=9R_(zk-PuD!}tQdVn%G9cC6D73fx`-e7Jb7WICQ)W`KM3>pBqW@E9g MBHKdx0RRC1|8|O5`~Uy| diff --git a/cpld/db/GR8RAM.(16).cnf.hdb b/cpld/db/GR8RAM.(16).cnf.hdb deleted file mode 100644 index 06423d211b62ac5143c391530250816baf1f44c5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 742 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FG<000000083w00000 z004CX00000008C!00000004Laq*F^y!!Qsn|F007fSv&MUF9AuBFA<_Rg<8^1$qrG zz`eKzE6OLl=VTP8tzf}OBhP#DJdP*hrfHfH>;nFc_`kl3I$x+0yVU;#|6l*;zLz!k z;YN9-B*=KWo)(8#lrd>N&zRD#)U!Qu+Epzvv&8|3%GPt|nbPIlA7L#YY?Zt6@r-g~ zbXnO6R$Co+qO>TDJ)x4afKGwhN&$`mmGkxOH20H^w@*HG%G*LO(mby(V~JK(wMc(c zv`4KdzqR^pZ02pz9$%~|5F#N8tvG>pWsX=GN8A~opD#(PsW z#@GDO;NzPibI*jKoQ88F@A?HaS5JHOJ24| zBXHp@CeH|fgC+5AOg4Kqmedj?=2lK~6W`1Mj_ zSl}9)g7h4DcYl|5Pw>i{{09I4|NnRa;$UE8XkcJqSl*bK3Zxi>fH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34;U> zGcgDNN#&bDJ3t~Z8+=`ZgB{&n(bO_CC;-*yB~APdQY#9?ARF9+{6hocLm~r^m9qjR zS;5Nxikw*sQZ5C=PL9E@5%C_Lu0f7L&K{BR0scWD@t%He{vZWlPq2aYOxn}BL=Y$< z3Bfrk-9H6uGXbi`8LDS=e+ YLsfaY07Jvm4d@_{DA)x600030|1+pKk^lez diff --git a/cpld/db/GR8RAM.(17).cnf.cdb b/cpld/db/GR8RAM.(17).cnf.cdb deleted file mode 100644 index 5b7817b80e5b438dd8e3d28cc24a4c98aebc48de..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2228 zcmV;l2ut@7000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J@~00000000yR00000 z0083x00000006WI00000004La>{>~097Pl^hb^pOkpLks2*ia;LIOcKb%Z(O0utgj zLZg}SI9RqkXl&!7e+55+1Ahc@K^!>bj6fh3A@(K1_f^&Fs@GL*Pj^pnBD$=es(Rn6 z*Hv%ns($UoVzIc1>qTSCKd5iV3sbXY4ZnY5j=pQFd+>Yp!ug9I-)+q75o6Zych)nI z|1a>f{?m`U%VYPW-WctVMuYS3dJ>1~vYdbmB7k?-WjO&acNK84tAI;g1-#N#z^mN^ zjDs25Sz$crXvXoNqZ!A8j%FMWI+}4j=xE0AprsiRyk@esTLw>)IAXMqHa3QP2V+0J zSs>rbd+*F9+kW(-Q55s}Gn(wo{orQK=We|{m4!E(_yf4-e7bkAvoFrSW^Oi`d?cZz z_eT<1x_>00rT<3~S_VKQp=AU_5?Y1;9ceN<*l*=Xk7Crp?tFTEs2zgBn#58fr=?pY za#}h^BB!N`Byw6hN+PGFyCia2I?aQn-CMg34??pKrt{66*&*Guf_dpyUJh1tNot8suA7q^`rGs?e+SpYDD)}t)H_mIQiTc^_^U=?tVS} zw$l$x;-2r4pK>z{^X%!jMnAIm=JjvQlI*);n>3ExcKiqSWHPkl!x818;2iD*r>^1?$4o&12~QfHAyR?xL)pPrwnsM||63 z9Cx`O!o0*E6wV77ugPpay@Gl=XZ~jmGc?cP`VDtF?F-W|XK?+Bx$t72368_re48(P zoU8mw%WJsI)H@!_XbjW#6c`sWoH)qYJDivO-R=7!vFb(qOW3T=R-Ay?;YqYd!^z(6 z_+WE$I={kq@Zs)kV@f7t@HL{fF{}Xp6Dy7x!&q_D36}ta(@pcrBNGQ6Zf)$p$IfdX zftM+(^=ogx@%lU0-g=V>*sJU7q*uR;d=Jq;%^GbGK$jV!{xhIU_tbzchf@Q(#7qt7 zvMn{BOQF<&m+j|;hy83h<8mqT>41@(EJZ#GWW`F#zXm*Josz1r8F|b)CBe= zL8>*kKu#NQC<_rTN9l-Hkd6Zd>1bDwjsXSfNVgC_0`1}PD_=s;{YqT9#DX!Ol_ivz zHlmiPji)hfG>vIvX-pf*V)(HeB|dT6C>3H<7g4)xim6IMXcVETTDOXhK(f12Ax|20 zSKr}r>~puqf+Rc0V>OjMegP|L@GAL##gBBLJ)$nFpXm6!lsh4cYgw}JJl{94n4n8d9~B%YY_(b{8bI=eq;T_!x0X48;ogj zn}@a7pHJF8$p2#$U$LxQoBT2Tr1^kX@yBCp+IKYnvic_js7d|Kb>&awQY;G}>_{#Qhh_ z3UeWtoJ}V2rg1*RrRs>f!WNYwHK~F=j&}J^GInnAwjdnjW?>(fmBLzRtTR&ldqqbn z{tcv~6#uT$QHp=7=_tj&|8$h%-;`+exWLLx>>l~>we}*e?nWl$b+DgkzXy}uLl_g) zi+)dhnGE1l;Enx$#{Z&Oq1!{eEwRfBEvk#CZ8O+$KhswH8N5om)A;55P&e=Yl&&(b zmziOebc#zreFG<^!ZVo`x?uw8Op6+&Gc5|znHB}K#w4WAKI1OIq+yAL zObeHwF`a4An9j6lOlMj&rZX)X)0q~FX#*hDnQGasKny@5lxEWgP>?o&g0uk?qz#}T zZ2$|EN)86#3iP6b0iN-z3&zm~&@#0FG^P!pF>L^iX#-g7Lnv0tFL(w=UH7;)#S_4J zNnhBd|2zo1Y?1LitFs8*O8AuSNe!*wDg~pl3V9+!KbS0RR7Z z0d!KoOT%Cgy;y%+ZBao{!44v#4lO8Fs?sF=Xaa4bNu*VT;O5}wKTyP>PKtsRbZ~KU z(M3dx;^5@sqJxW@NWuTXcR@^BH1O_nckg}QOD1FE?)2epDA#REjg5mjQrD6r&YTxHo%HIZ@+GbQHKl;YZH z*%4kiJ{gNf7nn>zHUsH8G(qGDLh=6D48ylC$?5)iU*8=B`)c-y`;Ru?a^#0H680SXYs4A$c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DsU00000000;Q00000 z004CX00000000^T00000004LatW-%(!$1&Z-ywk5E_?xT&dCqp%mpFK%Or|o*~qcU zBRKIT-ouF#yQ%89?TMXm%1EQ>uIlNYUhJYMiY2U5>|e->-W%}y1HZF26(2_@!_M&9WyMEsVD$)a`!zpVwPC|1I$a8~H?B~6nNxKQ}3e%~K5lWD= zhAl%1anT?qZxf2SAWtJniLeZ$xwJZ-5(IQ9=__ELb%|_L<#rCr*k`k-HmCCZI(?$u z{}h1q+`^HZZXuBwZ6e%!Cb4#cMD01CeuOMg`yfe8S9)-FeNViekxG*}p;pc*MV(8^ zY=#Ug6>ErV4s)xD#G+DnNhy95zO8svbj&G#VBaFzO4$Nu0AW=Xkn-c8?mSyF<-CHa z?i}NXqTi#l1KR_2a0#2Y(us2eGbY33yucfSi(+{O!{3N9`V=u!w5?2;jloxOo^a`1 zy=lO-Rjz&^@xdWMo__A}KxR-RNC|@k z5Hm3d07>PWLOVbrFdKYbgM%I2UD4DsGbjMn=p{}34N@x##2_2ogZx7S;zJ?>kd<=+ zC0W7B|B9Sh3sNox#7>UEt`YGbo~}WTLCzkL@d5rpA@QDmZvG$zU{A1t^-S8+x&&mr zBoO-syT^yPMuZ@I9qjDk>gx#70rmk0*axQ#XI6kjM1UBi1s+Ba)r{=m&=E^{rvy^P Y4^`#q0t^jLH=u(+qF@&Q00030|BR$ktpET3 diff --git a/cpld/db/GR8RAM.(18).cnf.cdb b/cpld/db/GR8RAM.(18).cnf.cdb deleted file mode 100644 index 6a1c968bf2193335a37ce2cbbd2ffcf93cc97fe1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1107 zcmV-Z1g!fJ000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G|z00000008m=00000 z002e;00000002`200000004La>{-c9!!Qs{Sp-4?2@YK80dZ#SIsbtwgh~$x)JiN@ z_zeDlFXGIBU*N#r@Sc-#oYXAwM3h4YxsJzvFYdg-v701Gk`c;U6h$AXCslBJYqv{L z)WY9<=4qjR$J|)Ip{9G9AFw%;^W(w(X4f?N!C|ib2EL_(Z|mSYI{2;*zNdqC!r?tv zI4WMWpM)b^Aspcf;Rsgb!WF_19w^)lMCzTMSgES0HfykFgA80va^AQ4@(+`Y{QrB%Z}9^fUKY z=x6W>{S02ApTR5iGk8ZoY9NNEa`KQQ*L+OF7t>FDk_}$@4gIk@-ajh$HskYH_^z7x z_@a{g7@xd_+)Q)Tbrr75;|rahMl;?M6vx%X#|eh#a?gO4EUcjX9_n1#u&EL4BKjk9W}$Qnqg1P z&=CgFiSegeWfL|3i(lr!b>}{vb4;J)iu9Ljevjn1l|kg^;t*a^h_{4g|9n!+gfxL(%uG;ayhj4k(j4eT8wK00030|9Am(QM*b5K@`247!w~sjh2Eb z#6m?9Fc^tS_A$vWB)hu1K?Py3v#_(UO<|EvLC}B}_Et6`5)}VH8w)=`;$L{~Dh>&_ zaPFD8_uSWPnlx$>(e>l`9*8nPP<5p%!kQC=Qn;bN%K?f3LsX0twX>$io;hGEtVyHc znzpc{>seyWvFnrv?*#qw*S=mgfQAnsekw5K(yHiWpTPMOn2Bb11PQO)i?FfF=|5kqKYAf zAFwL=;&cPP*gh(8QW{W+j)yBz2}sfz>}<2UkOGeZN{0G|Hb`wakH6jwew&FNfzhZ` zgs+WK3+}sj`x4YwgWq0D&;bGuSFhbA@Hn8Fc$m5l&(AmmRL1GFy!u=K_bQzpWjgw0 Z`H$N}2x$`FntzWi4LuOrHvj+t|NjSn3g7?$ diff --git a/cpld/db/GR8RAM.(18).cnf.hdb b/cpld/db/GR8RAM.(18).cnf.hdb deleted file mode 100644 index af535365adb2b73e3dc06763b8aea77015a11031..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 634 zcmV-=0)_n%000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EEh000000046V00000 z004CX00000004Rd00000004LatWrw~!axl5kLW`203Jd7FI;uy)`jjwq}CS1QlYIU z_6#ok)|Z$mQ(;_)18H8~n@p1FBuSFwuo|!@@UK=z{sZ}+MrT)HcWB@2CVkNU5-i#U z;&IU!&XbtMp2IApo|6QElA8O64j9y^3 z@!F$(#G{Lc84lWn~Q)P8fp{&~EU5LpxBPT!} zVkPH1e%wE=!`XpqHYw_H{GXc3O4mvZ8*v7Zr2OsZhwiNA?QC6s9S9}G Ug|esEh8>|HadQWA00030|02{1$p8QV diff --git a/cpld/db/GR8RAM.(19).cnf.cdb b/cpld/db/GR8RAM.(19).cnf.cdb deleted file mode 100644 index 2976e2bea8121d0173bbef9cba93175bc5074daf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1105 zcmV-X1g`rL000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G|z00000008g;00000 z002e;00000002=000000004La>{+``!!Q(0c?g6A5)7<#K+HTl=RZ(|Q0ag`t;A!6 z|KPir85mg^csHEmc7V3A*jrAL9x~KU8n?pH29_(*+O_LuS=Gt%ITRQl*4!)y<@9N-tI(R1>-gAYc z;zj#OIKmae5v~x9aD{M$D}*ClAspd>!p%UW-sy>zs)}l}275Nhz||z@jr)Vcpc`{3 zIUinL$U4!AMwQad;sf)|;sX=T;sZ0z;saC8;sbNe;scY8_@1L5lb}lCS-e6&bAN?? z2CvZ1;1&8Ayh1;Ncl4tMVt6Vi_epZi$25E~{nRJf;FaIdAG_oIqjGOEK97a(s)>&; zD!GsG$y>I-d zAoS^d<@oFBKwTPt_4vGE{lE3(I{m&}pOpXQi~0N)|7wes}CO@B>H*#6hVgoD5s zldIlTrk(t7M;)mfwWKYem=ncj_o{8YW%bE`|2cD}a5G?xVN1=ht!CI!GwiAv_S6g= zVGx}df2vhBQS-m}Wgc92?$SBO^hvHrf4S!O$i7Ql?(?;q@xG|!z9v3-EO>Q;fQa$= z=ro_whh4mkeimuV8yIP~(Z^y;@LVft;x`Q3%wT{?=+IgSISVaE8)Q68gz{){+#tcO zLkipU(~d&*zL7?ZCdA*y}vN6rkGK zj_`HgwAHjJYC*RD)cJ%k+Dxf`_v1jc*$8EEx-U$3*fRqtt?AV__0TbDLi(bLA%!2X zD*EDd1HRZkDsfU8P>GI*D^UqZ(i!Y*v%8Q2j{!=C`i3@0Z8(p=-VA=5i5`K`s8ocn zjZzElyLbB%)K`PwUQ5sc0uNWO-6ilipqhA?x(?6JI0ID1>9oB1TmbhfogQU6`epf# X+d~Lx65yJDk1Y*75ZX5Y00960*`N__ diff --git a/cpld/db/GR8RAM.(19).cnf.hdb b/cpld/db/GR8RAM.(19).cnf.hdb deleted file mode 100644 index af535365adb2b73e3dc06763b8aea77015a11031..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 634 zcmV-=0)_n%000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EEh000000046V00000 z004CX00000004Rd00000004LatWrw~!axl5kLW`203Jd7FI;uy)`jjwq}CS1QlYIU z_6#ok)|Z$mQ(;_)18H8~n@p1FBuSFwuo|!@@UK=z{sZ}+MrT)HcWB@2CVkNU5-i#U z;&IU!&XbtMp2IApo|6QElA8O64j9y^3 z@!F$(#G{Lc84lWn~Q)P8fp{&~EU5LpxBPT!} zVkPH1e%wE=!`XpqHYw_H{GXc3O4mvZ8*v7Zr2OsZhwiNA?QC6s9S9}G Ug|esEh8>|HadQWA00030|02{1$p8QV diff --git a/cpld/db/GR8RAM.(2).cnf.cdb b/cpld/db/GR8RAM.(2).cnf.cdb index 4b9c0c5991ee1c596e6506aace82e6bfa360de29..566de5b1ae00ea461fff4a82e15b7bb69217a96c 100755 GIT binary patch delta 2049 zcmV+c2>$ny5sVR#TM_RD00000007kj00000004}UY&Z)19{vdue*htI0gdns28Fr`Wx;4RUzJ%Pw$PQ2L7ElkOtbnFgLb;q9yn){-E5C+Ura^ zoz~hr3ZRFYM++&#;F2&Pi+m|JgBR*Dc(E>nm+CUOT$jO>x(r^f#~{h{V6kINS~c|` zY1Pz&q*YT7l2%PUNLn@ZAZgXqe}km8pdQ=}!|e`6)_kj2GVhyCuh%{}Ow4%RLisZ5 zCcw27b%*2bz8R8M8hsB&b-Kfel~-N#eqR<|ZQ>6==A?gcIGl?0G@{#;Chu@q(0m*Y z3)+vvVL=0OI4o#E4u=Iz$l3e4><-6Af0lv!fH!#h zS(+a6l@54J9CbZ?j!)qNeC*PPsWRw_KHH1X(`tW0yOP1~#QxAQ@M>z0#=X8sx)XAQ zH(T;|`?Ni`??3zWKHl%I==~kZ_s{zNoPSoYm-l&o<+@k2{uTVx?+=bp-!Br9>lJ0| zI*af>aDRRr*7dkRr%x38e|OA3G|$rl=l+N0|C0i#P z^sTAaWh&)9&mR=ZM`+m{Px|X<`x6FQ4a_8Z2K85P{I4p+spQ!&kSUcg`us=Z$^Lz4 zpF(vbNY3+Hy?ZtR2aqa{;Fk%wMENyb7DI4bASLH;kd--rd8`mfe=ds^BFbg4LTI@x zR){f|#R|dZvREPNTox;YpE*{rKvhILn8X!}`^nQUu^j5+a6H~`!)(^|!(PABYafmV zCO)MI-+Cy4szwdxv#Z??6QHo_K|T2@$+5O@n#}DS4SLhPb9i{=1K@cTY+SqEyt;ku ztv8uE+GuXRy7R_1e{qkZyR+47U)^fIwzIj}yuQU@mx#(SQV>6d&3g*eQG;?bq|U2= zLmWWrlt}?nXG02*I?+*p)H#d-q)ty1Aa&*;h?ErVgQ2BJ`n6!>jCD%dv|!|nbxOLk zVC0N-N}4h^lKN;;kU6-Mm$Ba}qHn17JZJ}-Wzaa@$7b2Fe-Jjye1))CRx5KwvrLo4@=cQ5XghhNJnCi;MTN(x!N{Z{IR>E28j_m@NN3-9nb<+JO=hzsw}~!< z=zS#-3+{OXBhI!;J{41~lz&~(&p2F-is7@+F5|hBhThymrRYg(?7lR8RsJjb z;*;avRNbF4_DDN7dXp3G*#C)#BR01>sn?u^h~W~&CP)Nv5zn3B z^k8qWJ6+eGIotjBrtM*8+8=dqwRd+9NFGIxq56SDf7L$uoI_>c!OFfHe9--OU#Fy% zg<>Im(Z*=lszN7<&dd836-dAat3=Hj4jtZe!p^_SeY&75Aew_!q z#(V{DTjuwS?bC6jcomgeTp%}Lae}nx41x7!il@HsQeS& zhi5Y?=i#yZj3qu9wm7jyO_=?jC^&Bcxsvo0e*w`YmIvLccW_jdo{_Wg=NnddGBU;= z$toid7+b=jF){v_R^j-EwEPAkG@Kphz!oS)BOb~Hz^sQdR%RS@P-v9mkl-<5zsS*m z3@e+orR8@!lgX{HgH%O+?ryP}&-~p|hQ`{iwochP-#RnfRhvxP^+pn|Ho~*(Y$SOr zf4(I1N{sX6nZ+JF*d-(E6=_-L7JBcbH)GUUxr4p}UZ0?b@+_&7Z2d93&*(6^F9Oo3 z5}Q^vA2}V|28x>j;q^`BiJ!m^tMp06D*ZL!SXB`zz^fu~#o1JfZKPTb9Daz00960cmZ@$O)mpc6upCLeYVO%kgyO7x@Z$3 zQlvA}*Um^gQ#0c;l3{OWVJl)UK~$n!D=QljX^4fD4GR`Ftc3mw=T5{JVv=*uf4uk3 zx$nOFUW_El5K-lFe+5Kwz|I@4A)JD4JFYMsYl#=A4rrx{M07NuA`%S&vrfTP<_uL6 zS=TVLVp7d2uAP@1;SGlqsbq4R#S{YCXaI41G#&>1@$-iN``J-P3B;QnZHf!%0{rbX zU0AZEYJRmX^a{n=RrL+zoy=E%f1QsPypy$441ecieN~?62Yjt+7E7|DD+S?NB99`4 zWwXlr>S+~xxUi9CQybtLbrVCPG|)%~h>PXNTkb=!a?WoW=(N;~4&%Gq+h*zo{A8?h zOR^;we_Towk|yd#ti9AuK-2^LHksDXi{x@5R6-owdLL;AbC!Tdk;25!S7GzxL5YAn z0s>_&mlKv0r2?kUp0qOPR}Ov(QiwDxTpZuO&w#nV{w5}xSi}s`GSJ|?i`~q`^*lyF fr5;ems1J(N^XWQ>Iswl4efO>++d}#T00960qLBRj delta 2055 zcmV+i2>ADm5t0#*TM_XF00000007kj00000005GaY&Z+t`6v7U{sTV&aRiaB7Js|D zs=E4B)m>GSP17{JjeH@BqQ6o8Um3&O^wGUh)WE;<2GT(J7v{$DPm~1T#UG^mk$atK zr_)+{M*(zKQ?-yX3@!-+vdE`$GkBpcgBR;Ec&RRf%XJxCsmtKydJK|G4i-Dcq*YT6 zl2%PQNLn@JAZgW++tl7Gu$1&?xBtl(NM zixqs#WwC;@xhz)jI+w)??q`k_G*Bgx4hC^W<9_nwOEibFI2@1n+fbWzUD@k*dhNr} z!1$*e!q*;-KvAQFv+hdw!vrX-s;HVTlI&{}r^(pP(V#coJBP|E9|F&-VB^~L=GE{L+ZE+ zIK%;@4w)1nbu^>^sRJDaNFBo{KbS1et?7aT)uqBKn46&x3ZbSp<#!eQXvT3x8p=$X5uPMYTfMEMgVH zX3?k+Hj6Y_EMJo3M%#%ar4)+}SLezapib^@Z*i6M#LKmxNzDkI>*( z^fNZfv1d!|XMa`tA91!-;;EQwrTFWLe#YTyR1BMidKu59H1y^kDn(CPqxYrhtMXsb z7oQyWrt1Eb(MRgJk(=D$j{cu`IDB&}lX}gWi^#WHJc6QP;o z(}TUi?sQ#$=4|)ho3@9YX@Atc)!yAbAbAu$hU_~MRe#&$a}Jq~2Q%BQ^Fj09eNIU$ z3&leC(8efOszf7-#>@K`6-dAatA?7@9X7W87-v}gU}hyO$ntBwoz^3lVd%%09phIE zv7iL)vH*+{KbM6uZtJix7H}OF#!Rlm!r0PvSQvx54hv(I*I{8ycP*K6q*{u>Rz3S< zFX7zWP=6F(KjAJqHU@a?<3eo?!VDbq+_a+q_ZBHV=NI=%Ul((+2o=;IWTW}^_Uk;@ zHO4D=+cLgqbf1PJhgV*y#RXy$78h{YEiMq5u(*K3ZgGLQgvABib&Cr`B^npD(QNq-Tsj zl2u9|FqVWvVq*L;t-|pSY55I8XgE90fhACiMl6&IfLRM=tkgJYpin8rAVD=^yU5VM z7}a{gH1BRUXhl0Zld*0decXpmD}kn;PnY=D9@5I$BCv`j~2@ITQ=R(*o!YQKCc=02Q&ADj(OV|NnRabW%Mp1W^>dW3lUV*GC~pY#|~j zb`yduVrKU3&dBZzGqWs_OmqsJLc0;gc7y~8g+`^)h=>q{N<%@R(FuNqb0=cVCVwV5 z_sqNRocr$kh>=7kB09d>TLw`pU}p{25Kd0F9ak8Rwa61x1vJuFi0Ck`N}TBf=A4|X z6bw}pY1c5*VnR(TuAP+~;SC3dl8M9=i>U@^qA|qL;npx`D*e3R|9*BPP%~`#J?tCs1iJt-lw^?L=q?vA6Uw*bL?>0bGwFh3OE< zjn5|~0&WQiltLyWEGbH5q|aZp15iZ`ehN|ztzzQx=<#C)90UACOdMh!8KNbinx+xA lr^j#S!9k@SP{zC$lzcr~gOJ()uK9cSt|Hq)`UU_1|Nn`X_$B}V diff --git a/cpld/db/GR8RAM.(2).cnf.hdb b/cpld/db/GR8RAM.(2).cnf.hdb index 5f7cb2aa3a7284604baf014bdf3009932b8b38ae..bfc412fe402833c3cfa1cddbba0403d0c1172f10 100755 GIT binary patch delta 830 zcmV-E1Ht_528;)gTYrQC00000004CX00000004{w00000004Layi`qZ)G!d;@?DBl z5L|jd?U56Let@*6oVapmZxxCX+fi3*k}B(M*Kt;P)r|uIh~I3HlH4|FeIu&wtGQ>E9+HNmNL0L1RYw zJxqzU@no8kGQKe5+te9fR*JF9??O?!$QbF7seqFf*!576i1{zkviA!ue%}3z@xXQ1r+2I-=CMTmT3~Rmn5MrMMmz!Cf zWs~i;iAlSYdudBikWYl_kh z*W*xw)hajXy7C_nVnwzx=r|1F${|;Lu zV?F#6V1L=r+8ZGNNgp@t?#9?YqYKk6HIXJmm}iJo*oT1r^gZh&tM&6>v)=#!0RR7Z z0ZfoPN(4a=hHKZyj*+sgvWglg7z*CNcDA>t!I^HlTG)viL<4VNYTyAhGZ&1^3=F)1 zdjT)u1w`<#_O&#BS5fs9^(d%AheY&nb#V?ueSe5ela5`fqIGaAM@di@n#UuWZa;a6 zY(m0%9T=2uV&WKVV-noZ3PMAuO9lSjxBjz4emm5fSs6XU?jbvuEA~%sK7?I`q=O0W z#HZN$S$qk}1zN%XIha1e&<1p*SWi-Abx@(K+T>k`$u?srKwe^z2JmiQuJ5;C*P)tC ziZ^-^|F`C{(zQa0NTw?C2CoNqmlBb3Dfzq6H{I#w>#Uu?<}ca*J(nr&55=7{ajspO-=xoSer0#Y{)it2HVDM1Eq@ZD8u2Q9f-v-3?HVLy z64hJ+r%c)>nmS$~BGs+7v5-{KwrliFo^%?Sqyw9F^5Z1b>zU4A15WT5ARM8_2zygp zo$irglBDSqs`QPz4nn^jH|!>9{kXiQj5Wr#>W#=&GG0Gh-vn02s_REl>%etUL7H~` zX&(L4jd%=>Hh)K(jSh&MND+`586wt%aKFe#et%aHJ5RGP?sp^^t|az08I(qqq`Jb% zy*s}Dq$=1UzItR4RmOiay?Eg^?Kwan6sG`X=zoC%I4>DcUMlRouw)e%f(rtG ztS1Q1%>Y0e0_+d?(aS*YFg(KSz<{aj$D1v{{p3Y@?}$nRA-wK%~)2d z^ozdFpo2~!0#NR-QK@^BVHn<1*hX%xlLJ5OH+8#|UaRyIy7f-HJ!EJk+LEU#=GydOHdV;@`| zBA({Iiih)Gm8bo$`e7Y>fh2LNnhjxu%~x@Gc$UZTu!~}#Ym`w6&#*pXQPBCRR3Bda zbZ-AfHO1uB;&zn^>bkak9}y*Mxr+5I1|Ien5dI1Iv2VTMJ}%o_$$>ehBT|+ngE4%aBo6w0afXTP*}*BvrnU6_j%? zi<~ue4VfvgGqkvDnb}Xfm*HRy#en-bF~>?s?#iQYqlho9p4&g+d@BWVN!t!Gct4)v zeBJqvGNtt%;@i0o$4quyoo8^{8+1=#iNJD`Cm# z?7}EO+AKy&hi}RN*pTZV+CNyLT{x01=M-Mfll++Lf3Sk<v|iVvWr#Ff9)8epfxC2Ov41TvTE?#zy+{<(btXD*2RIJ5Cxpd%pg2_7U7(f8)x zDTK~lMi$UtH)L;h5@g5#{;Zg!x;8;p(Cs}J`2|YYp0z!&Y#(Fo1od*DjoECc_t$;) zE~oDZ+}Pbcl$QZuLDZtl2ln@Y4#1be4w|a^>ulc#PLb^Q@$iBV+{cLxfhBi40_)MP zm92la2fujjjCYq>I31oyDrlWI=$LFsrm>C9&Dh@DQm)L+jB;L!!o2ILFy37i=~^hg zb2a?-Wz=~Vr+C|W&2i1Hn&MSn4+GF_C^%{V)P-|Cz;158;H7(RdyY#Z?UlK%}aQXk9c7cK69ZnFB%`EjX1nH|_0;1~M1Xxm3&2p60!` z$y>2=-@;0|_v|GDazg5}q3S&$roN8xEP4@KCm8&M zEKC#Iw%dldOOHe-=-NgB;} zRRS&;*>Pe59{y<#MCCm(J^8)Ejr7%lzq`GlyvF4or%JsKgAF)cnx-gfe70z()o9gR z40MCeQL}VdM3@vSB}=hZz%)|0Qdu@D1-Vb9*#eOGeRCSBO6e959*+{LC+e>Q57U$c zLi{TSjuSlFz^_r4+_Ce1E4r~uhVE=Mv9R0}f_)Hwy7A|{Ic?Pe_+aw)*e-r6w(xV? zny$dg_@-h1jWi`^)G0gVHx=)1IJwz9esXcX)vSHuAojp9-99IE!j-nP6Y&hnv-zBh zea%@??x87ic@60W=@(nPOLN zeU(iD?(f^-@O)gXUNeN_w9WHIY&>YCz=yVt}9d^-mJIdI z^J>DY3u?iSca{Ba6`6i2f$!myZv#z-cMfs7;_v$n3)TBbRzGWa`~SlJp}#JEa(N?u z&50U9`>z*B-v8EBM;Uum(&d#-js#4FpScYf9Su) zUUwz1kXs~K0mQTyL9slB*B zO-H#rUCy4^t41;ODYYrjV(b53@F_%KG`UM$dEHuzXNb zIO{#Bk2HRslKFf0pX1g>C_-rcXsdl`7cqUE!!YiY1D%hn^uCT3S^wwrf-dnLS!0On z#Hr|XR(Rr$P@(JNcZcoxz&`NWiXS<6*R*_Be1*R!lH$ZE{0|Dvx%6Fi%_^-Eyk}?F zJt1k>&v$b@IN0j3etG)&L*f%4FnSrhZU2Wk3MKGZ+a76sSz8qnuw#P+3)B>Zi&e6) z2CQ8A1}R}|3X%F5?i10|5h8>M3>W+7HqyQ>BY3~1sfwEHv%_)vi`Y1utt^MMx{R;y z=SN6a+`$SvTFan6vP5GQtsJIaaS>e=r)w7_uMj=xSz<)WTo2YP$Mec(>J=l9DG)W* za0^33TvBf46FEzVxcAyE1k_YssHHIt>jroKcIqSS!ad_j?jvyTM2>JONx!MLKZ~8& z$Hf@^IPQ^Ibqx;b%*FcDLmS?UPbuGi4Yz5a%U^%X-v2kwp87@ITs^2W)0TI+TOSQ7 zfOVN03H<>~&yBr?Dg~kp2)uqefs=sSa|Pd z2A7w@PbvsgkoTQXu3C2PikZjv;c`3SdOA1Hnys3w_$V=DKXh>eqt=<+D0vD)@+U#| z(lH%*6>p)v;djdu@Cy5lL8V}#{QOCUr$3$?<+i;PAI*Ep27J@TsC8dfeDT%!I^@+W zv7I4h+sut$Xmz_4{y(y7pOLS{@K-tlMuU}LmG4}cbgjb)P9nBD9YO?%=YHiio!%nj{(xeP=W<@-39iDSbsrXdoj1eRh?xS4i&O|OKkRSEfijI#<7UOd9?N2uM1`l_&S)9B zBh?fgl?QW*py;W++`Hbab;)XIe4LyW$1$bz8f$=p^_)07yVNIwj^97__+L#PFJj2y zrOdVq8wV(InitrQ^l9=O>5kg4633RMiauP}zDgDZF)3GP8={RJvoo<3cPu$T`aV5Wc93Sk#-`y88hpLjjO!Ve#>cVdi}r+y(y~u zlT)caV2g{Kmm8s9rL>RYt-#jib?$Fd*X!ZS22tG4;23Ma44i2A5g&enmap3lTP((C zLUvOvdRe)CE;U|sYRb*~Y24yJjFNr9y)eQ1YLwGGBswG@K4yK#HIDQY7zS-G?zyn% zzn8zR_jhPW{N5Sx#qDyyd~eYS$=Xd}Gh0X2;ulVaPj^5beuLGrb}m`gi!pB;m~k+( z18c%ktG%yYrt}QC19>-zu)5y5U|Vb;mh}J<9(9D?k0^r405Eo4d8yjPzd8rnJ%0zJ zh%&WVEQwo5@`uv6F3;cFJN2 zek5;s!U8g*wNRWmI_oa9)q?u4GMboW)A#(1I7CH+;?Ks!vA79$ZriV`iw;S!`rjqw z-E(GCAYcfDPPbE=F8VGbW-c@KZkx$-U4Oq(n)bN5N&NP#U%hl0`1Jkp;-OtN#<}Q+ z56uSrk2vAjSC4Kfy+=AKMl7I(6n2-+#N(@GHVdQIFu{i*YSPtwC-hwM*sb)`r0pll zg37JfltGQd&BVGNFgVMw&7LWI-hnk11b?;eQKD_$A)0Rll8vxnAtJj_imS=DIzsB& zkJ||$On?0mE8+Pkj}!qZZZ-7p%7(7bY<3|{Uy+agl7Z$J#78Clp5!F(4?Yvc*To}r zyVB=TvkGe_T72O7ZFf)#7YyJ<2>`lMri<%`CNrg$mgkRc!R`U1ib*Iu*1*# zKWaEG%z+&;VgF7giJaas@Jr6ltO^yP@0k?i@KBBZmW5e3KR6plRd-uUVNz}2?M1lS)c$O2eH3}|NMV4`JimO*3`epeRG&>o zohTo#%0QkvT!`hd z_p$+lgsIwR`c>b(BM^5#O=Gv3!N~`h#K@m$aFzJnsADm!#36&uZHpXXKhN+TgGmU$;ik1Rv9Txd9FBxlfQccc}|1Aq(j%+ z_zr!B<4GiO`qIDw>uqM9Oh2-oBiAS6qzT{#nlc_s@H>ZOO^1K}bsO>dEv}%I;eyo0 zTG3A0plVxBZ>WfBu*cbo>qA&S6c3MoiPuw(>~sJbUhKtO7UG`>w;CMYXWC#BgsNw+VSPl zz2HvXy1|tTRiUb&md#^^-iOaI+`{MMEq6%9^{=?n*6VGA&mk^&d>T8TrUWyTTc7a1 z zy|2e>O7n<}MsOd4_h@>u9o?b30MT_tJ&vg=hKy@5k1A8nA6xy~)XB8*^#2-VI0S^l zXQjnE(YOXfQX70;2eI@kPl)-KdH{PuCqyzWkLFd`M;#Ks4gue;6EVWbKzG=eCuUS~ zlN@ttd~pgUD+Kg!#1Ce&@;!r13l5G)*uOdkJ-%_n2Hr!F7}bkKkKaD&DjaA+CkP=&~M-gZG%c0?$RNC`92|wN#*-eCHvp z?nDZ+tW4}{^RG$(=Rc?%+(EvOq-)kK7pZyQ5>eHLz0AlilAsJI zN}Ff?ED_5Q@lP@;JsJ#4j@~UZ zTXl^|b5gy;N~}r%aN7NSbWVs8X~QK0@`NKw1L_=8N~;f-OlwFlE=Zpci)Dlt{A3!m zFRqx~C21~y<%ScMiDPlw8Lfk-#j7JGt_SCv9dG^dnD>uOVOD?iSSYxhL7ga6qOTNM zsa5?rNV9#t;ZC3F_@hJdcMKlRQ|Vr7A-_%cXkr0e(id*H74F6_Wq=zPN*ZPVWfQ_wIE9iHc7VKMWMZZxqO2$>*QF@lEcM~`2rn&{xzcJx)t3u7{-(#hV zwketL`QsUO)9v0=?&f+gl>vhM90lN~n;4<3W4(lqooWpxEMo2V#@f%y^A$;c^5=hD ze0H{Dm-kNURHggk&r2xVH)7|~2(qt6ixoTL^yb<#uQ&s9kJfORWY<3q)LdtJcdBG| zRT1wFp?`4gc++p`js8w=%iOy+O&4zO(B7iu-if^?O1G#%0lZa*jFn06TP$eBY&gYE z+bqX48=0h{^^xZ3^k3X&WPs$xXfJQX?OuPFpzt)2k6(LrNb^xLve5E6BK@VTyU4BE ztjwL!=6wI4Wn?hy_bW!Za+SShqv|*G|&Dz7_dKS#M#iAHQ*pNpmLZKn6iGO z`J(PiGSgb*m!%OU>#Pxd(&;xu%`i^pZH+ewrhAzED+TK0~^Dcd=ER^lK>STAJ?ZSkDg*USgGvtZX3UcU`oo_^uE>!SC(>`OPBqSrS&=(=1%(!J4{GWiiek5 zt@&E0w9q3MBBzXM$$G$H%px`-m*z-GDI@ABB>H10Mz;H b4|>?jN+AB4gd$O`P@`lQ)}V*2IR^7Tty|Lv diff --git a/cpld/db/GR8RAM.(20).cnf.hdb b/cpld/db/GR8RAM.(20).cnf.hdb deleted file mode 100644 index 22753686ac732ac4cb4b1e771f106dcba7e7495b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1278 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J8M00000008#`00000 z004CX000000090300000004LaK@;Uns@*Yr= z9Hk2l>t0a~vnzo5y|gL@^U)}qZkFt8%=uu9p$s_;Broh+@#~y@D~9B%u_>w1DWQDb z8n^DT4Tk*a;e*GU`E7;++L>AEIB(>{24RG=a@LFWWulB{#LVcxw5!nK>HDl0b-6_` zK}MVc;ijbvN}d%{Q*1hJO>5OZ2ul`bP(|cGK-@Mb7c-KViIE!b6(vQSTF$~}Rft+_ zw8b)og47;@z(7N6A`}yEC-Yi}p(hn%DrsujmsVI(K?;CVVJ8=uwmaw4M^m8QSPU8P zWJ@-uVJ5Ltn@Kg6VcgaSCq^Z=x0rCoAi2By#l*93A4l25Ed$6mzQcEP0H|{Qxm%Nn z6GYL+8zdK`G#IX%Xnrfvc_BJaL!aCXS08b9+2sV0UU`a0UPJ_TqQi08-gvr#SYJil zT1BiiiLjH~W7I@zjGAbTQ4@ZQ<}Jzq{&G_irlG&Ws_lC=y%@RMLGE;r+a1JbEcWBW zh!H>kfVj@+Kw6^%X^jq~H9C;i=s;Sd1L=>Z`X(~I5|^=?xRkGTjwbFzm_ zF?##!E_m*#)HjzXn^LUwspyA*@;B7axR!{qByVxKdlAY9Fud%Ixeh6q0kmQarO=0W zi%LR8mtMlLSjZ+60>kA~X_~!;ncj)y32fv(QD^oug=@r;*mwEaMQJ$LW1lfPeN_m| zpPVEwk)5FI(c2!)_#Uey64>su!USrprfUX zfH>IQFW$%B*)hb^AIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AO ze(v!=W>6$Z34=8dGcgDNN#&bDJ3t~Z8+=`ZgB{&nk<>;3WtkZifTUj1#NR-QK@^BV zHn<1*hX%xlL ofrk-9H6uGXbi`8LDS=e+LsfaY07Jvm4d@_{DA)x600030|KU155C8xG diff --git a/cpld/db/GR8RAM.(21).cnf.cdb b/cpld/db/GR8RAM.(21).cnf.cdb deleted file mode 100644 index 8030b3792565fc0ae184988a54320307dfd519f0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 754 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F`F00000006xK00000 z0006400000008m=00000004La>{iQe!Y~kYR8gUx%0E<`3#FX%AE<{Y_)#SOITAk(!`~uNd9lc6E@903SGknE z4otJWSeA%3VC#A|ojY_p*LOfIr*H4Y%9mcEj;$6i7y^q=Fa(yLU$N?fijIhqb(>2I3$k_woS~i9bpt8h$d!7Lmf_;Wi4fP*L0_-zR1_dB%o2kqm zkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y2Fwf#fb_KRVrxMl%?rc`jh_BME(3!E z5OXnf0LhKlJf?$0_<0pRtPB}I!5y7=0N^Wp)8{S%-1JWxD#2`!I0Tu7$80^Xj00030|NYEB8UO$Q diff --git a/cpld/db/GR8RAM.(21).cnf.hdb b/cpld/db/GR8RAM.(21).cnf.hdb deleted file mode 100644 index 704c9e508ec93e12c3e8065cd3529b4fa7bd3235..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 519 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdV@>@0#+zp3Z>bZAmSB_G5(Hx%?3Qo zDi4mRe`C0}VSNbemX)HD6{`Q-aNB6TNl7WPSN3$K6NjSn)B|1rdYFERTP@tj|I7H^ z?-<*;g29au|2OnKJof9Q`i{Caiavk;d^sez*D_8_H|pflQy;HhV4eMcw$MSbNmCq` z-M+EEft#sP@imv6e~-&mk1xd&KNOioGE3-xerxBUeRl)UZU6sQFg{91NXbY@NQk&M zVOB=4RQuu@|ox8EY_HeWB|6 zhc`%@>xhE%o#sEz%#WOvm?uo#%%mE5@YMgVIg#8!daOtM`VOuW{3thXonxQlIk|}+ z8UHydewJiPwq3z{7kC5VIKi!=K7_=EudM}<%RzlrW~sqWL1Us%7gzP@(u zhY|=c(mXB4;09xW^gJ!c;Ksu;xaqJAZayr7Z#*o6TMof z0|y8D%xSp*^4vPOK2}%%Nc-UNOKVD^5+9i*j=wMQuXX0hU__oU-SM^6E<@OTd!;^>g;+f zz-{howh_13brRuTrzt(n9?K2i?kyjJ|Brm|2dU3{xxhsm=kdma95}ovpW+{%e?Hu_ z34Z2kf76iv|1IJBHi2je|065%|MwO7|Hq2_|8qtD|Ft6j|6YRsgAGpfwZ*@}J$oK{ zf9kz0MC9aWWue5E+=J^9-n(;N!TOQqiPwpOn;)<(=@&EXGQBOQ0{lOeomwLZ&u}!x z2mjpX{9yishmT#u7t8S40sN!l|N3L*fA2B!f5&IP@Axwg;7hw=W2JU6*wbCR*f>DD zyvOl5>v%v{<=!sJ z*i4^Z22Ok$zL)Fc_Me43$aW)`H zLR$3#=T95ktM$+cW%2d=QNo(_^P871ZCt(WwynKqH~Xc{689OjWJ^tx@`J-%e`0~+ z3r!|s-(hd}&YitG*rWPUUEa&M_^YKP{)eX8fFS+ifOlxbp$qRkD8?`yx`fht=L=Q# z-eEV;E&m^tZxBiHe))n3!2?agWG3L4Hs}{nzrVq6{~F=vjKwG%e=|C?rCUJAlxkAq z_jNR}1mT-SI4Tj$m(P`0o1(l$e-b}?%EsChg{ktSjXw}A>;7QlA5BRF{bdy!my%eU zqP$fSwG&0pu6GP7YEu+m^CxY5KKiwedHZCQxHbr^6B!1?UjkPHXOB0he zzQEZ>5gXqzB@x8Gsn{rQ2c(WR2V;&;NW?x3sH+p|TutCrYE3VF)Pk!| zz#f*gNy0DpR4N-G4jNUJGh=t&e&NcGK=G`X2$yHV<=Zc%Ltytyx&VtaJVdRkIfMi6 zUR86hrdrh;m8n)Wr(dd7&4HF`RdYtATGbpo$*Ks0%X)m+={DW+!VwK?>$>Ab(B^gB zk)eKFcMPas*B$NY*LBBrfBJRZ5uAQqcdVwZv&LzTK&v_Xjni0nORA#n+9u80Evw4g zEvw4gEvw4gEvw4gEvw4gEmpN{)1K|7n-p!+wcFR4buC@qZaL4q-SWD;-SWD;-SWD; z-SWD;-C~{n`6~tEKU;4zBUDLCVla4?Q$C4s200d9(9crRIohDZe->?>!J&CH;OOW5 zN!jMQrn})3aGOI1kLSuK1ul~s8(HvUCh2r+^i5PJpA`QaO#Fy1NW&?%4A50m%$ME6r>!*-`mF^m8lYH>^Ssc z`EvL2?e6Kq=*_0LDnhA2?S6%+dSF)t9-rrUH@m(4f0KreZzdLeJdpu)BQV(b9yoC0;{>3bicZ9M+y`5*#<^)o+jO*tI1aR@RO~IXEyJIy++<6?VGli-hmb z&+PCu{3CRL=7W;$qp*yc^lZ=L6pzhnd}RG(f6+5wX3g+yc?}$3Z9>ws+PkKJnj8$) z`JVu?u0Tyj$PK4%Jdko4JKD1$0>#9;#qMP$H(9u7l`~Tr+0rTQhr!O8(~F7x&griQ zQq~p7jVL1OPm-;dr%ZM(xnU>yV=VM)l(BeduKL$E>Y9eplnM3q#+1pPp}yXSG8Wo# ze^qLgSS;;*PFvt2hg61@Kp zQ>Om$fM6Susy;%a^Sa0_QRXci-~@CV@B3Ak&wcu(s19bDE-z#T9lwa$C1ex@-_Ilg#6vN<#81&(=)((lLE+M%IJ}+Y+PUfnwiVQ5wP#rOQEDFP)iml;$N@pCM&z zV3{SI-R(I{#9>5sIY@Kb+c($J4trll*68t`F@>2&>5NG)H1IHIPrTASOY?(k+8Yiy zV&uRf)z{|1wO_y&IE#l&JdD^ae`$YnGQ6wHSE4bxtIHRV^SXT9H?PZ=YxBB%l{K%+ z7e`}V`R9Ha=V5C5EFgScDu)Ve4D<o}_LN%a}Nud9{8r-Q04)apyv z9DIhkb7kksdHY!|w+0Wne7S%E#~>o)u3lzPD{if8c+X z`|}_f7RO{w*Q#6aFv_em z8y{bHR&W+>Jd84YYWQP(YWl+;K3;eD124ZFG5BAsppVwU0xJv9fBNL*df9BRy{Uo^ z9Gg84%D~}OgFjOE?|1s5k8~N`9%oy^^!3}n1|#?O+JQ~rY*#9)@~_#u4FB|61N#N| zN5Ov?xlv&Hvnsy#&#HXbx;2`UY_FCjT0Mv2YRGTej@Z`(H`3qj;tf8mc-heo^8;{; z13sxXIL}z(>a4D^f1lE~!Lx5fD8t8aS)>9+GW}Hy+*<;!@n`?31)MlH{o(hJ@Qj9B zI>nzZAcx*y`)S^|CDt>7Zs<5CRX&-Gd%P*V?WU zvGuV;_}8`ef+Ihw0Ru}&{7Mn>5T%}9v!X6*GXT2<5CmOWe?x;u;-{;3_jY#MexSnpxu(8%R2*Pg`dJeA523aRbe_Yc`9&RI}GsAuXvQjwy`R^2(O zi;4KLf42=orXtPs;#&vI8tlb_nKbs>Y{lRb9N!+n_4xc=i}}lN$+4~mhmXE?h)QFK z(SV;eGYk}S;P!;}70fcRM_J2*df*D^=vmJnxT-_Clr_o0Y2HwF1{{tIY{udGm7FM# za+Wl$MnIb&glICTp3;&u1qiX)B;Ge9Q9;v_e@L?#p}D`OstE+yzquM5>HM@6_b~Ht z%&~jR!N=#^dwhILT}cC6Xw|g<(*Tb?(YdAiKpOg!NaaB`qm#EuY;n5EZ(Q#E1fxCT^RpDX{(LoP{ zf7o2!Xv66V)Wa+#YdPHpK&7LB`BdWjIxF|YbzAJqkQ*Cv281bp^u%?$_I#)?MefC= z8FvtjtD1V^x`O~`MYf8#WxUZ7*PSge@>`R(5O8pl_^InsI#w3Pe;=Jr4se_D5*8;35np4|0dzwNtGQIqHJKPc zr-Fp-O>I7RflO0BLxh8mh(aurfizGDs%lMbuIl2KN5s=5#U9&?G-WcXZV2Jwf-GB> z8k5zH1h{}lh&@Kes5(ECRJF!54ZDs)^DxPjjLE>skLP#|Yk`m&s&huEVClZ{e;&sr z7X<2RZtygi@}b6zi0|uaWz$D>OX0)c>r>HA34T&Sk+$e)66;Q?|oM*n}1Zd6#g>$q)yq5YrF!n zaEUOK5R+btqeky=0u$Oaj`k=3e;8HwOC5~V%v#E+aFtv@@RMEvoT-4dhrUoFJ~U-A zt-4|9!_sJ~TPL^W!{!u`7mXRqv^^%IPe@r|2*xA5{RLIWVcOs_$DWw+ZNcEu4ZM&p z1252n3qTqxV_n)K>G21bxB|)R!^^%vJ$%;$khIA)WecN_);B^1)D1tKe`yWA^nH+^!Q%S^w!fOMEoZfX?r(1ciircOBbRJ`E2I6%WqvCMxMb%^dl*@Q5a%aHLl8j72)QXY7$C$7 zP9RWUB@}$2DSJ;*TbhL5osy->Z-!=IZ(Tl zoYO9urFE#j#9yvRiPvLZ1Tq?Y}6I-<|v_YG+GFy#6E#ON>~~VAtSIge}^&7@VLnUx`$9( z9s8q%!dcu?aSmg`sEsB~9^_T2d$C~TA-ZW7xEmtnT2g($qpIV=Nm&N~<`ej7bPFCn zR0f|*^<32?OBdU_=d2F&^bQsbHkN~*%pJvNqNvvB4Yp@RZv%pt17G^RhfxMjEKxQ$ zQ^qaU&AGP(EZJkAf0)CE%Ya{{8%qj+IKriSsZOf|{x1P8yHC~e9+>732lp7z*a;Ss z!6S!rGQbo#s=O7T!cp<`e2oA1sPMos=Um%=3xbzLt+PY>voPv!%y`~=# zV6P;WfzzIJ4F0UgLk-k+8lZJ3C4_#^}4sY`ft&_ zW;KC$LMN_|svdn`sf&2Pw=dLny~^lh@n&W2@jLhUf3ucB)$ zpp+UBe?K!v3a>N)`C6GLg@#(%mxy*dR79dKVA4st%9NpMBJLVyT#Tr3#kCW%BfM#M zw6C{!jKvfL>Zk*8us>1(`sL>e|M#<_grbO7YuYFmz{UH&({y3Uma6&3tD$Eo7B9|U zKwc|+^-n{1!)rx|>M=SQXglYXcEH!FW-2W^f4Y(st|byEQdl;tye~it;GOB^SU0#9 z@Qu2ODbWy$t)y*?X0rF!0dQgEoZmFiX{m~8*l@GC%G3(@$ynv4WlK&KT}qIeA^dpy zIABxDA12evzxfyx6_iHo%)IrigG0q0MXDx#KP&Hd3Re*>5GYd<6T*_}DT(Fd2Q3RK zL(0KVK`JK=8>f4>Z?9nPuYVd7O-y0syE|pxyVw{XzMMy|3YB_J`GCDJwZYT(c(}yg T27q(s?%q{oTS#9300960R7l$2 delta 5929 zcmZWt^;gt^vn8ZNS-L|cB&1_OQji8|sij1^q@)%;bSNFtAl)6pvNY1&A+VGP2rLLJ zz0dFa{`Ahf=iIq7_nw(QU|@V5d(Q#Ju_`qEKS1Rc!Mv1T(7J&<5FL>cp_^N` z{cIPjOZyxD!>4;Le%GbEluY;MKel2Xq@SL&Ytlxih+mhy|ry(ww>1)q-`ma4ak=DrbK7pm*TNY+QE>#SIM4}5sG$Fjr(9cEFXNKYN6SCi{7@j;U*V;$9_27G4q>mD|z(aD3DCV-Li-5Akp z_HYTA&Svk`A2|{P?dk8LI|ifQTixFMV7CI|>GbS7^<%2+2y5iEe;+W zFxfLn2HI<==xwny_Mf8I9-1dM)GfWpMi#=hMih8@jou*ZbR~<5K*}^c zN23iB(Ll`*y6fEzdV~86oyT@1vxInKZgR){vvDsURl2?^_vUTjJ4#jPuv9DWW(W5k zMHQ1#u1^j}>ow+TQlLN|@9e5OS2r4axm+X!DXe4#MNS4xfPQKETrG(}>z~vzb<1!) zxJueQpcq*I0D9>kC$o*w*~BgS&VedaYQFhPEOygqJ40S$9G<6$^u`~=0dnH))>;1YPr|bP!$d~Y>EfO$q*D3r$lB>{yp42`o77y0 zjUkk7oIEauoTw_l5{ zR7ck;6SO zpZQ9w->S2T|D@BUS{B=}klpatO!i&8$hLj}>jzb)dVgo%`f-kgzjvL|1(xahXzSHs z5$i)_$x6_(`Xau#^Kh2Mj%(fffN zkuLh1N(=bb8CeK@V`hYAQC79ZGS~*+O{>*FV~1`utJvc{*PncfF{>HH!*x z_mh*(QJ1`ABw6&qqN(aOG!~F#BRNmgcY>(Ccm90#PG#;bxm5eQKv|iFw$FH8(`n@N zBAXL*HRTP`T&LcRGrE~`Bu{zER&&`ftDsI;z$dxw*-R@D)-1IMt zu~UNRrBX~UFS(pb0=R0d>YbK?{yG3C=7bu5ydYTWOA=MN($7`3X6b)jSmAE-XJVBP zaxK7-drG=_rrrv@E%wC!6R1LE;T92DrY{ot5wTY3Ffp%JnOP_}U-9c)t+_&cN~hXk zqU@h#^}>k4@}p5aNMfzT#7wNf(%IA-j=uu0kr14#J&eP=ID{2S-NTMs-vV7V5+Sqy zy!j@t1+j4wI}zJ1H`+rN75ygD)gs?^YyJPv9~CXmD~)TO$py}^Pq(;@HN~T=JbM}6 zP0Z5%FRL15fI^EqszYa+FfMzQC%BXDBQHoMo(=XA-WaLAQlj%*0t%{y#DwE<>F?n( zoU4#UPjEJti^p%oDdf7S+gitpg)nf8H7Cyb&WB0M)y+!>k(rF=c?Q!}?5eHlei|=! z7e4SS0a@+95id|N!XKv9t$9iqd1dm2r6(j3lqxc~-1WM(rHno_?cJw+LOqvwib0et zDj%1-Klimf)vd!QP|*9$UviG7si|Jea!N|xM({VIun>)>W?{w?n9_ne?wZFq^%61> zY_Y9wwwh)0a(%Ded0s}dFm*-PwFfS~pLV&)gC6lB)zt9oo$TPi2M;mu6Kx-3F<}c145rCi+$7$W~a!Fy1!_ zZ#qRU66eeDsEI{3+h#r*eCl^$RL{`f@}X~J#f<|GFGAkd)~T@fEmc4{^8Px5p)&#q zBbtKBHUyA=)lSYm^3C3=H;Rp~%Hsn3aL1}>aye^*v8yzc{S^Hd$+eP0dtRZ*WEUvP zhVk1r=VI}6-dZX7i@y1m?(==AV{C_=kgD3g*5;U%lzEL!t<$&HL|0yEnrx6#3TvMC-o|L80A7=49xI zomh0{mFzstsM^>@==c7v7&GJm{4} zw7%%K*)}uUnN-05&ytV8G{|*gD3hI3hgzTZIE$iq!&vzX>G=y^7=7detl+%J>E+bA z*Q6!_D!%Gio96w-{_OWs_c}JK`&#QDzBJyIJr(E^vTBJ z{0JMGHZC$i5FsSB-03ziE%K|l&`xBwf=Mlj9)6yG#xnnDkY@G7U4Awp2Y1lSm*;n` zBfmfo--a?k>V9=AEa&_FifD5Y5#bu>2Cw*ca?|Lvf6IS0;vDaRs!axzWZCoNBElcmlI`4>5tVg98lrdtH#q)FIybj&4E6S zzS0PpfzlG0yUlH{NEzhWDoH4M{_%|+!^^q`jA}sBtR`*za>az}s=I#q@3$xPW+GQC z;b`enz8mGV=_9umHg)r^dq;kE*II_9M-!il8@SM!9ivFiT9G>QAb|WXEs+kVM5c1f zA6+#PS#44%K78q5R50(sQ4?`jaugMy@sZ_zjdvZ<_c@K>h+hWdyBH$bA@pwyTg}7) z*Db&qKqq;t7$yjPlBU3A!8&XHqq~C*K?_{wLAs+oW|;y(1e10{#kzOx#|T7o2p0V;NtRE!@ooC6t;4t4n?U3cfy0cf`ziJNwP*Da7l{dzW*A`N$R~DI zNXuUr)?Z7)4AMTh7U4c<5XG)D!FWBDDgp@mum-~Xyj^X-OEKmxU;owV;kHzCBoVFn z_OK8yveXc}N5&N;rpr8=W$53@3o5GOW+CNZKVM&mH5n}4mU8>`?SCN!Uy@pE7b~>* z;}Ac>1-Y~1l5pNf*NSJg-?_fZm^FKcANkI}2DeVsQbLA#Gg#y~%g}1oPc!a$`fVU= z=P1kL0{9enBU4{+V>+99@E4n6eNyD2qj0OPgvHEgmbg^T;d|h^xua`qmBBeLSTmed z_xQ459%!3dg?)DO$kU|jW8cvE^|YXhJ0Z=P1_ik4jis8+*5Z8NEfc_8U^nh;2^VG+ zKXENSHC7$JGbeK`Friarug$XRLjh6M;4wAc4bd~)mtZy9=)qWN-_r#jvkvO&4W7rK z^EWY7xt7N$oxKenh|OzT|Kf_cpYW!kdrq^65$nk50E}X#D@O%2c@BBhk^MUn+P5)Z zrC$&I)ujtw{=JgylT)fgBgfnvaQnba_d}de75_qgO^HiKc)3DC$xDDl<`QVJ9MUVw zFoPZj8HIKj@+S`jW>r%|Lbg{~S{d^;90dRxUh#!_1af+jNZbC204;LXCL;Jf(a+qe z&JZK^OAbe0Ef55gLF(`t+rUdXt$|%!Y@BXnBB(Dhcw7w9l|;6yJ&{y0k8ej7My!E5 zpKP2|g2DVQtN%8FmCMeL6tEX%5k{f(M1r5)f(=4ha`IZX7;PBi#6P);UtMMU$H%*t zbK3`TAC1l^_h~j=v-<4FXMeksS7F~3b;C}h{3fSjcoDQ0LRmnVOJKd(&t$^0`H64f z*3a;r{nNocWU~8f{u+maBT_GaZpj-<=2tZi&p&>5ZQz1bF~9m9Obld=(m9Js1^cBJ zf8Z%y#VGR>=Fd}wn%nN?wz_-?pmDd7p9tdLjsG_-=7K0nhB{h>w~0dB^mR2M-o%Ki zp?4(TW59k}utprkK*yPP1xjS4UF$!?R508ss`rKLtC_dtg}%o1LHXi`_jwxhUmW7f z=iq^vWV}pO2S>K9fWp~fOc!0V-Yr(}1<}dI@zM<>Pz23HAr2 z(I1f{+ELbm3AhKc`qky*`lfehQ9cz#OIBHlCF+XvPnjhl3D%=JRt>O33@Rjk{ooL_ z{$6BK#X@?C<)XG7BYQ@Y0FBu7DSfRa53!EC*8~T}%Qb^_V7qlEMAmftX)dm=^jtd0 zX@F_9(@mKRC-llcz(G>#$-}<28|OobW|F`%of?#{{hcUuULm05&S-1V$8V|P>er<8 z5su9G+}8y(%OEwVa)mH3Q(Wo3`0jnu>`YLW=?182B{gedARr>GqIBTLjv^uaF!3~4pI4tH9h zOWDuQ3Iwb&S_Z?;2{^f*^!OBg@A`HircMX>wL~V=$wLi+1yjvfBXImaVk%o%SE>24 zNIo)DEeRh}1Z!c*BRE zt}{)l2%V72xN(@V4)gb$S%WiawCq{&yYFN&NoHSG?8h^~85OPayjD7Pt3;>nkDO%% z+$_9ylEz)Fn`GL?Tz4_j{z%$`Sk#EO?sn|(vG8e_!MnKFVTL^fj}9usLimKOPs%?F z!*-tm%oOIB&1%X!>KNw1T6s5eHC$JISLdwHd2q~4^~I#=9MY0JR@fSwi00F-xGAFH z73r}HbNIugec77IVOo_5(~7qmeTVqVD9_s|h-$U9#aC z53OObFsyZYHsLr$!u*t_7gI_EYK6=n9<}@e_y=eh!8EccVuwmAAVo{;i$B+dr8;%< zbkT4qoXd-kGDh+Z_z25ez6hdL0rJuFf{1Y*Vst~XWMW-<`XWaV9_6`}nIHDw`=hew zgLBt=LV5<=k;!d^SL)>Rv>iQ{Rll8Ok?sh!E)@SrcQo6`FPo9pA24y$*C00kC***~ z)khj6^O~U!Mn4Jfnwpm}8xkP+xExRshw2uN6l)y}8hBfm{)ACHI~lX2TYI+}gCg#8 z>7LbHUTH7(;J2bAGIlSg9OAoO5W=paE$`pJc$COJO<0g6CrE`6o+URm_%B8D> zu~B*Fm@4FytUHMvYD5fw`P}^FHj<%HX<*_kri@-0=Y2w zOA4M_by!vNy~zJJlIAR(txI_^6n0*2Cu@G(rQ5c!Xhm~9xuCFCTX8Htk^wk(>g}HB zPmrB~^#W_$16%iM^c0C6d{b*s2O&&wmxT-CHOG1J7PeZlt7C?dQ#?^<0WhzZa z{&Zx!^L;gJFAZazg<87s>H6`3y~obl3u+_0z@q#bumehMcU3f+gOq zqnbGBoJTnN&`4W$whmmmf={Idy6T$sv>g6galKesBr^4@me>6Cx$$OW7hNwwErrNj z#Qd`CD4UWoW?p4xIRUUdom%vfCs8)Bw;^LUAlwtbOQ@OrFr*d>n(y*nja-4knmi(B zJpitNjh*`Ux+M6m+V0#?NP9KKr|}?wy74E$a*}Rvei)qdmzlfLONSfz55`?Dn6L{| zL@if^mXE!zGQTM%a@m1;c2RiYl~Fq+Dd$(Mbw&Ku%+Q;nquw_-6Nu7hsZ&^_d$*`% z@D)E|W8e*$ZWPq3G<~3Mg_;hQE1!0jaRd5G&=!RXxyg;EGBUwKX2>*pQ!G=?y!iJD HN2C7-0pHcK diff --git a/cpld/db/GR8RAM.(3).cnf.hdb b/cpld/db/GR8RAM.(3).cnf.hdb index 2f9ff9a18dcf1a22a060a0c19a4d45bb025dbc92..6f8e93ba4182a561045b9d0213d0a1976d95f79e 100755 GIT binary patch delta 1216 zcmV;x1V8(b3X2MmTYm%u00000004CX00000000XG00000004La|Gz-*h*s_4#2%@CZX$>>#wz?Cz1&3hA0g%`s*6g?eD=vWeLwK*;&vADW zR-%IOaFd2=qYO~~6W6lO+Ol8PH zAbDZGHGiG6-+!7*a`m<;snIE+e9bzy=CO4y`N92r4>$7-E(f$Tv(zze790-W} zc5pExxk-%F_^c=?;?y$=pH(61anPns3I(Y@0D%h)Ykw1=HL*IG*Fp?EsTosCQ_r!q z!j=ls0vHMhxxjSYIj1oSfqH8*WWbv(*_?(*Vy6~Ky)DDIpIw|7mE1jI!np>?^QT`- z9DVmX$|mkxyYL-Tw3bYOUg9GzEWQTBPQlJ!pww-xJ(8J+aGSPnEWo@S52o3vN+v=8&6n$gMf#b{om-Ch9Odkk0HtIY0Uo6B4- zsE&jgm@m1kAWC{%gk?1=OGM(LCSApuI*)JeR)d&2iYfw>qk>75Hz8k_J?@}HRMNl) z$pspvS+uKzWV4yefaL|**>e#zdq${*mx)UBgn!LAJY!V2I8<q?eX# zlIP*aA7Rz*=2R>o`~g}(_>;c;(OWW+zcRsJD5}j#T|oGW77$Ba`6I69rO!yD45-X% z8Z~BB=kXi#SJ2PkV@sfiLY7=W!TQ<&$w!oZ9%#0Nf6Ts!K|fV#ON?)R5}NR}pq@0K zb$@%TXb$?`W7n?{KJO!2@)pbdto1O(kp1GWBLpVJ%=nP8GP5ODF(#zyB@jJP3EhUg zt-t|yhRt>%Fq|Wdxg(fnZ!m`UkgdIQ9K*Jlkq;ZQ+|2ySbr|yjlAiANr0FFmH|7a`1thI8RKhOMRxOdhK7VO3KmFKayRygs$ zy5v15i<*<+F;2@WTNw{We*gdg|NnRaOpw1y13?hRCo$@Eh7d(XEVK_;r*S0I(nT%R=OhivNSAUmq z)PvYm>CELST8F@LlmfM(4j$2b|HVsY4-(evz@T&$6USgHlMsel5E?>l%3u%Qd#{rD z{h`#%%IGEb9Mu)th8;}rK84+ccTGi^Jh5Pg-#UfNh+)kD&$3- zybCeeYUBiHmRO@cqWjtHqk`XoN;x(u>Ph_Hn)5=JQYMnAvSx#~qlatBNV~NB-RPTc etvA=%y7)K{YU78pr?`e4VIavi6MO*x0RR6S6Idqz delta 1221 zcmV;$1UmbR3XlqrTYm`z00000004CX00000000mL00000004LapUPZBXM_wCh{)L7QK;j?r0hD|J6$RpH&bhN^JiCrl zr9fh&-I;stbMDUEyYu;cehlL&o1E8bVlvFT z0lye&a?gV*Uk@jvaruV2{k#j*R-UVC4e4%C4u-b?^}1PA^k<{daI#fW*Emdw*BllCO4+$c&v4$~!jsPQW&} zH>K-D8J|05M*BwH29<^%vSO^8Efy1Gj0<4+N$Dez4~vNr7LH%nx9S~-BnLC7A!c7d zIyZw$Ia=3=5t*J9B}F{Vj3Q)Jh-MtLd7VN*nonTCjeo|yiJ?8QI+@i%99q&aP9;h+ zbt#2UDo7h(C{*&1(>CY5bQA*V#>bEVZ?@KEG)9sdwMeR638wSn;-sk7?IR|fd(e9M z^ox^5-@cBLN$2Yz!m-ye+gX401FjBWJXpo(tYU058Sz}VeJmI)9}7my$AS@jth`U$ z%OUrW?0?l&hTP_@A(9pZ(JE}DnfJ6P5Nn(y0rB`tD=jU=F2%2t`JIpf8V?@~6>2A> ztBD0UhHY}bsVLYltp2A&j|A%hx`uFTsU&ENZI$cx zg(Y@CS0v2jg;vYXL4>6!ue9=Um1o52a>S)7d4xMAVWrGR{iu~^2GRhUqWHP(#6Z7J zFzKIBW`nEmcs@Y+3LXw;@A`EJ0kv4~4iRfuqt3Tl&yf(zAVldmL$3gEk#8As@7T z#HK$Yd{#mZcMl!?tM-F=k@$UPqy{WIK?6FUkeZmcHAEV}4>KCYq-=iRCJxsilxGv; z5!Z10V8zeKYb}fJEu6|o)0*O<5yvFTyno{vhy;%chl%m9a~RPu(9oe6ML6v2ge8d2 zu&X~7cR!8oi9E6)ptWS>WVkjRjQ#=u0RR7Z0peg_WN2VuU|8OmnF^#Bgn&5M-7nt9 z-`O$5(;vw23=RQFFfaiz3j+s`JR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}K!0XX zBuEK^H4rl~2mndtn?gH4A}||#U4w%i-CdE?Mge7+85Dq|Ued(hK#D;Wh(R{E2lJY9nvgPc7g;{*JILgGFB-26cbz@A_O z>zTBtb%`TTL=uR7gWcmpTq8mdzCI3i_HgwDNi#5jT*U$Q!D+*p6(A82AO>lHhY>_I jBRe>B#8TcVfmHEBRe8DqL&MVz=pc|N*aZLp00960+Y2&I diff --git a/cpld/db/GR8RAM.(4).cnf.cdb b/cpld/db/GR8RAM.(4).cnf.cdb index aca1351d085422ef07f011cf90a0b590653f05e8..d4b7bbacc2f99a0a71ad2a3f4156833fc40cfb08 100755 GIT binary patch delta 988 zcmV<210($H2{-c9!!Qs{Sp-5tLV^o75EbXLU-J!I5kjbN zK%gSAT;UJ7bKxI2u@l~NGLD;?r5=MKk*ORf6TcT{-X!(3S(at*XiG_wyrHj4!*r}| zPbNtVA9TiA*uG+}>|fB+Im!)e0`2^$x6|1*hum*~&g>9_B6CtRUB;R@XeSLjZ-LU+Oyx)ZL@o$x^2$DncdLouvLvb!w1<{|~Ylz!@) zEpyLrIG?)X{i8~6Gro+4Z?B1uFDj+)FXB^P4Y{8`3$CkgpY!Q+d~?u@|2jZTeExTQ zCfoV_^Lxk_iO-YK0T_Rt@%?q{{lwOgTP=rNdLOB+R358iC zCX{FcOl}^G6j>lYC^I9N0n7^86q>2^I=fd3c@D{6*SHN%>k zVO`Czp=Q`rGi(WiC`|>achw{x>h72M@!fcvlaT@-e-=R~#x;U-_>jM-(^;HnlVkah zQ;yp>$7W3Mz#0?3Vc-H#Za~`$F=rEti+90-PsI{V2700030|9Am(Q9Vn;P!zqX*0yR;t7E}};-DQ`sED*m^U~KOkR~-r z5k+`)e|K|mb#QkORIvZR$wfqp;y=*E!O2PLzwo>$eN-`U?#a9N+^_d^(x^s6-TSjW z5M_bT@`T4D*9jxRy(rj`0ZITF>S{!nc@vgs3D}BU!P=f_^Sbc-IjMbGZn(g&}zmN>%)gPzQXzeOOrl>wrph zd>n}?K#E$hi^u(y40sAqGSp|<7|HuT`g~z&@XU~awHpl{Xp`i^ee-HxfPU4GcdsSM zI!55`>bYMA%Y{@EA5%Bs`5tF9eu&fB`ePNIVWl%r?jojB4z~1meE_0aK-L^wwqQoc KwJ!hw0RR7zujQHm delta 993 zcmV<710MY72<-@vYkzG700000004La>{-i7#6S?t`alr`K`-6}#e*l`Uh^L!BC7{c zR`7AvKlCnw|KQ2Dv1*c@%w}g@cY2h7>18rWXR0#k>SU*Pvn);zY_@)lNrGszl z;5*^)wyQf6rrQSTPPjsM!WFs`uF#!uh34{Z(7}3WK_PkSo zhszjV_^5LbbYZ^8F3zql7F<`?KIhZr7;w;x|2{xXeExTQ zCYSR2=l75=68)3W0T_Q?@%?q{d6ww+^Lc%!f-4deO0P&vD8eE!p&X0Egu*Nm z6H2rmCN~d8iY$;Hl$jCC0A>Yk3eD7dt9#cAc@achw{x>h72M@!fcrlaT@-f9^pj#x;U-_>{k>(^;HnlVkah zQ;wH#j?I|hfi)(6!@vcg+<>+hV$LQO7w>`vpO!Bco{LM^jixb9B$0Tr$NYN!0jBR; zo2jf8tE3J%b@r1l00030|9Am(Q9Vn;P!zpst!>q!R!6~t;-C&KRIId0^ODyl&?cIs z_<`_ne{*niaP8pGO+nCt4(?7aB2pCpfi4dI0IC1N^P=?8f`RkSyZ4^^^^ziunnZN- zbg>VjG~ibq;qahl`+?w2;O)u)MSvs~qD1YCp-ImIuoctTTYr2300000004CX00000004sm00000004LatW!;k!axjFR8|y0 zy!!_{$odVQdh+T)ZzAH>7Q|A)>R%TBfCqJV>q|_^sEijKNb~YunoOqCIF92KRvGpW z{Ep>N;}10+jq2xNztCRUMfO7ZSy;3T$+_Z!6*X{!4YOW2r++Y7@3u_C7bKpA>xCz8 zroqwrx;cJozCk5W`X;dfw4FgE@UC^j8sIMBj6tapW+2G>!B|SW!AfZwPiY*7LL3Rf z3TljogK?kIc}V%{W2uPOj5RXmH5>WF9e;ozXVrXOoQbEEKQ=lkBKzFqiN00030|9Ao7U|?i$ zlYjvue-JV-oRQlS01}Y_VvyPZ*PvjaDp$Xd_~4KrPe1o~ATuZuq=bPJh?y8TfTZ$G zp&cL*m<_(J!NHF1u1IPnfU?XCAW|=B;%|^zQ6L7{;2z{38W0~68Gx)DWHc*-0FeAE za%L@%Vvqu2C&ysdh%(^hyG; zZ?JoOh-*X$!q>sh92*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEJZClE6+2mndtn?gH4 zA}||#U4w%i-CdE?N&sb<85Dq|Ued(hK#D;Wh(R{E2lae#er+HhtCNJIpPL0aHp1X0b%4h|i$ly^!XRs2v@o-V-9 R@N@$@2qX%20RR91|No`R;5z^S diff --git a/cpld/db/GR8RAM.(5).cnf.cdb b/cpld/db/GR8RAM.(5).cnf.cdb index 572e965fe05bd80d6962cb38b00b09a9bc634260..891361c786ac9a881597ba8c0a259c2750ca2d12 100755 GIT binary patch delta 1052 zcmV+%1mpYc2{-iB!!Qs`c?g7r zgaj9ENJX4^bIpGsgizstK&`~%3V*<-ap%H6aN)(zO@E=CA9r_G_sk(T9Ol|j z;A=Ygx(>dfgKz5KTRQl*4!#o(@3^`%VfyVm-3eFdPPjsM!WFs`uF#!uh3Iz&-8MB|NI{EMPgWfH2yqVHGhf&ref9)sr=aC>dARF{@;(! z3%+WAHlKrVzKk#9AfDY^zDr<&W_*hr(cYB9NEUsCkTLXdl zsZbnbpmNfSpM5_Q%B)CCD7Ydqq4bKxgd!{w6UwnjOeoAEF`+~oU~=&wUk$Jl{_K?o+4B2xw$mJu%>2MS2Jv=88+1nTWW@FHN%cDh|*M$ zdRI;Iq3(W}AK#64`Msp{NfyfaYR~&C?lG;N^Bp(ieNicWO?=8&`1WGQrwu|et`VHW z$NWW|&f`3r9Ls;4a=eUlY{mo+tTFK$1}*^Q27k1@5OX%MxOf*V__Tbn@LXKNt~HHu zB8kL{J?1y?4={aS+FWI|SS5A9sk5Ja0ssL2|9Am(Q9Vn;P!zqX*0yR;t7E}};-DQ` zsED*m^U~KOkR~-r5k+`)cXM!caCZZixMMR3?KhVX&$w}(J@VqE}R55Vw$-DR5 zuYdP+(x^s6-TSjW5M_bT@`T4D*9jxRy(rj`0ZITF>S{!nc@vgs3D}BU!P=f_^Sbc- zIjMbGZn(g&}zmN>%)g zPzQXzeOOrl>wrphd>n}?K#E$hi^u(y40sAqGSp|<7|HuT`g~z&@XU~awHpl{Xp`i^ zee-HxfPU4GcdsSMM&R!1xnBm$g;WzCQ#axH9%nRuh|}8oV-=oZr87|OBBoOgwiWbt WeE_0aK-L^wwqQocwJ!hw0RR7)3Jr(= delta 1056 zcmV+*1mFAU2{-i7#6S?t`alr` zK`-6}#j|g(`4171RY8z;tW$u8%NSnxu(Ka@VZO-DyO$U8mTDy*LuISQ2d1mV2j;8A2PUk= z2WG6r2d1pW2Y=?Q#Rn!W@oh(cCebj7Yw-&G&Gi-f8@xh)gIDNp@CyA6UZKCiJNi>Y zF|0|l`z*WWA_cybe(IYobI)%$pSt7yqe^cxzKn%$uZfQ@Dy8o);!|D?xt~7^uB&IC z^XYMXbI^?cIzUZ){&##Pm-74P_mD3VgYu*C=h3QB9DgtsvwleB#|~Fd&a?6Vetcf= z{dMDcmgx88O5Oa=1Lj$G|9p|`PsRFy55og=U_Vz`GfczBx_YnEJvd}>%_7|z2+U7~ z;vfT+lV1GndznyXMPfq16^RL@S0pABVUd_njzwZZVHSxAC0ZYon+GFB7RV3E%m`)x zvw}8-W`AnEmEEg_yo#&j5gG6lnQG6?r9OsLHN%>kVO`Czp=Q`rGi<3DwuM2Irh?SF zYLX9i_sjhFZoJL!C8bZYP|jC--d}N#Y4x1%xEb$@O6hCjQ^vx#7dt+8AQa;o!8v@) zU)1R=&a=s}{KqNBOE|}7Oz^-O6Te~L0#I&1+kXo&XA_Hycfo>B%NGmJ#U<=o(-7MD2{BNzVeX71RW4IEKYd z;kYI*8732cRS$S*E-vS?*$pYC7%)NwoU8eCTzUrcWyAmTWk!T{aK4^e>vDi;K((`M z?&+Rksc93`g6!bA^95nJnNt7lCj;STqkoja?O|o6BR#W#(i(1kQx9xb*m1|fNJ7m>N-5X;|x(5x3luvO9|Ysw0p`u#2HfI aFUx)29l@X}K-TO%wqUx?wI2Wg0RR6-gA`l< diff --git a/cpld/db/GR8RAM.(5).cnf.hdb b/cpld/db/GR8RAM.(5).cnf.hdb index 774e9bb5a49f3d9780680a3cf1374e52ff10554d..0022b6728140e0ca596046c72e71a756958f3949 100755 GIT binary patch delta 532 zcmV+v0_*+$1cL>TTYr2300000004CX00000004sm00000004LatW!;k!axjFR8|y0 zy!!_{$odVQdh+T)ZzAH>7Q|A)>R%TBfCqJV>q|_^sEijKNb~YunoOqCIF92KRvGpW z{Ep>N;}10+jq2xNztCRUMfO7ZSy;3T$+_Z!6*X{!4YOW2r++Y7@3u_C7bKpA>xCz8 zroqwrx;cJozCk5W`X;dfw4FgE@UC^j8sIMBj6tapW+2G>!B|SW!AfZwPiY*7LL3Rf z3TljogK?kIc}V%{W2uPOj5RXmH5>WF9e;ozXVrXOoQbEEKQ=lkBKzFqiN00030|9Ao7U|?i$ zlYjvue-JV-oRQlS01}Y_VvyPZ*PvjaDp$Xd_~4KrPe1o~ATuZuq=bPJh?y8TfTZ$G zp&cL*m<_(J!NHF1u1IPnfU?XCAW|=B;%|^zQ6L7{;2z{38W0~68Gx)DWHc*-0FeAE za%L@%Vvqu2C&ysdh%(^hyG; zZ?JoOh-*X$!q>sh92*HM1H>S;0j@#8Kvk}OA@RW>L7sl@@jzx!BuEJZClE6+2mndtn?gH4 zA}||#U4w%i-CdE?N&sb<85Dq|Ued(hK#D;Wh(R{E2lae#er+HhtCNJIpPL0aHp1X0b%4h|i$ly^!XRs2v@o-V-9 R@N@$@2qX%20RR91|No`R;5z^S diff --git a/cpld/db/GR8RAM.(6).cnf.cdb b/cpld/db/GR8RAM.(6).cnf.cdb index 04cba26b34122842eba78290fdf2f34f071eaed5..a6570473ebf7597786d7fcc9a93bb641706946a1 100755 GIT binary patch delta 600 zcmV-e0;m0}1*ip(TYq!`000000006400000005{000000004LaoKr~-!Y~X>1%mPq z)H6@WKM+Eq2h>a90OvlGm#~JJB#x32sERAsu{|?MtkxJ~UhtVx>WP?hw1Qnqr3yR< z)(X5I;4$72S)A|%TflewZPTt-8(VNxzYLWDfny>-iPV0bV1Ei|-L!!)hRYk)hx17e zUnnzb?>YFmJrw@h-qMc=J?LP8Q5(#=L+Frd_INu}ag{gpH6300pb?92Bj^tiymo-` zj3LP^`uGeaDGlMvrGs-W3DGCt_?bFNcAgsl!-p2}elE#2tjAiFRdJ9TW)Y=VHyX`f zg)X`1NiKa`7k@tIN)xbE;H9}aJv6Y8T3n}UjPGOK00030|9Am(P`gS4K@>e3O=1k9 zM9YAwEF?t&iii)gk70LVv#Yx+A_$|soxfmj5m3SWft8Jj1jRqFvaqreKf`lZaggA^ zxp(HyIrmkEg&u(6!{Cq(4I=Yn9jiPFvs|kh+C4Zudp#@yETv}j>*v%vD?8R=N zJRK*V+H^ffXTF`Q;1!DOJ z;>;8B4}_5D0rgUPfO8+khxi6-m`UO&DS@iEavj? z2f3sZojSS^=e}aj_Q}8(j(9$0+dMY*MAAdfR*@pF4>taD7%! z^H-ruE_#wn-+$JH&$-eBY!!HEZcYykETk6KsT$+Em^T0b0RR7Z0pez0WN2VuV3@OO zatx4S5CY<0cfWW)*AO4i;E;G%zmT9vkOTu05VJE_Ff%aBP;h1hiKqc_V2Dq=Q>dS_ zhpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGdU$N?fijIhqb(>2I3$k_woS~i9bpt8h$d!7Lmf_;Wi4fP*L0_-zR1_dB% zo2kqmkcd1GBh)(k`vy3McslvG#s|5&10Cra6bw=Y2Fwf#fb_KRVrw=a%?rc`jh_BM zE&~H65L9z9bO6bX*F2_!MEHRip$rsmjI0b9K*1feFT4PmBY>j7A84a5Py}KW3xflY p)$d@rA5*0#ib`&9a2wuQodeP<48$Ny;QR00000004LaY*1Scf-n$N@$tn~ zJOiKHq7fSikx)M1te!709*o3+=`)(Zdt0RR7Z0peg_WN?!k z0V979GBBKx+Y$g0kpW_m+5p#}V4x~jzmWLgkRVS#_jn*PC=#TEffIJY9nvgPc7g;{*JILgF_){oMRP3c#LVV-NwdChcim!Um)zf!H_L lJwC)WA_U>0VYTfr11a% delta 389 zcmV;00eb$K1eXMmTYnS*00000004CX00000000*P00000004LaY*1ScgD?!+G`4+l z70$pXx2VP{5CTy?;IJHJ57yZcDF`)^Rl81;G~RoELfn8KcnlYK3p|D9e{mPXXRWDu zWo??C4axx|0eLq4m4}6$Z2?Hk(GcgDNN#&bD zJ3t~Z8+=`ZgB{&n(bO_CC;-*yB~APdQY#9?ARF9+{6hocLm~r^m9qjRS;5Nxikw*s zQZ5C=PL9E@5%C_Lu0f7L&K{BR0scWD@t%G(ZvG$zU{A1t^-S8+x`YiVA_>I4!S3-P jt`Q*!Uk5vTxcWMRbbx)p0rtUZ!%o=%=Cbi1j~ftpViFU8VQH&MG*ix(LJ$tyAh(pO{%B(TU3NMVs7ki;TG zAdN)^L1afOeBj;EE3IGGP?#yl$Tr>QmtQcRQZY(BDyR6vC8}!af_Ns*@O*rY_k`43 zr}$bq_Ke@IPk-;KToUi$-s0f+ZhimIk1dt^_0@v=_3inu_y=b7e3 zjZuRr(ZV8NDho-GfFk09>|@9*Y<6{bMFe5AxAPb5Eq??R%pX|Uh)7WU11k$FEAcZt zcNGT-4xD>u?woU9RXFGX=s%nv(4j_T-Ppt`kHRcBD$dh=`9O)Npzi=z4WAZRBzE%1 zc)PK$Rbb*IP#b>WnXK#Ps(3Cn+pX4?kWnVa(V$&ju2txU^iuGDy(mg((mtQ+O=)0` zu;p~9Qhzt~we5Bc?_?Z34nF9L$t?S4KKUpno4^cjcPsUQL>36k^^@MVn}=ScOscwU zQl*)&iZg;k`is4j^;!BU!V1HLPhg&yL_~Z0sxKunO<0=j%_&1Ocz^x!DS4zBOGsF; s$H;f8(PD{bowcW7X84C$MV*@V+s(0Pg)KRM7Yk)PH&f0R00030|A;d}9{>OV delta 658 zcmV;D0&V^M1^oq(cYjN6!Y~kZgs2c*as||N|7>#))J2rCA}SKlbn(Jkl=?*fTZ(i}UVBO<_@OhBp#8vH>^JD??683_ z<}q7lF1q_4;jNMMm6kisHEAc;kW zKpKk-g2;|m_`tiR-?e^OL1CsGBir-^zx;ynl!{U6K{>_mFHu!X7sNAhhUepZyeFjQ zI>pz@v19yoeSdnxa!I_0JBx$kr}h0qKeklv*H;Vf*SF_m@xPhXmnXQ!9Tp~@S6=D# z91Ydl_J69i?YK!|@q7;`#>s|JERfy!q22;HThrLKb2Q2O1pom5|9Ao7W?*D!U|?XF zvukn;kYW%5;$U~bct6(=AJ5>BcvruWph%De0}~LlGk;hxGce3haApLFr~z?ch)=vz zsGqZkt4q9}zl*Dlvx`%Fu)AZ3E10XLV`yMt2U5(y3d9@?7C_S6M2Hz#C?070TqIMhENUlA4mf1GfoBt zAZwec%zqw`h&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|YhfVG3&aSG zp8h~C1A_z*b1`%P$&J@Mrh`QIff%6-6mE>H3>iSd9kVaI0GT6zqQD<$qc2bdViXI5 s1CZ74V7VVtr6-C?Zg6lL-dddl(hE`zvJ@Ur@e59l!LEz|00030|1wE9tN;K2 diff --git a/cpld/db/GR8RAM.(7).cnf.hdb b/cpld/db/GR8RAM.(7).cnf.hdb index c66b29495fa24ed1de0e6ceee1b5c0e72102550d..0b16496dcc7403fcb346b11ccb8c69a3329f8e8d 100755 GIT binary patch delta 411 zcmV;M0c8G$1d9ZaTYm-t00000004CX00000000XD00000004LaTu)mLgD?!+CT)Ci z3jTKnu2PkvA*vxkcKESJW|xH`BAGh2l@L|c1L_QX!S^^p|3D`!_XgkN_4h5)IB^#= zJ`TR;aOdN=2z|I05XLY)qR?XYh?hmjDyK}@zU#0VtJhU*Y%?8so?#BRkw1Y719>5< z=IIMXWB-#Ji=>8^r&x)vi!$B1R<6>tKL7v#|NnRa;$UE8aFYuGBYzMwFr1Ow5&#mB z0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKR znHeO2YV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe z1WG}CzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000 F|Nm~QszCq% delta 406 zcmeBXX=9lXUC+YE00yN{nvDs#gt4+YEWe211E90aO$`wwv%&(01)yLYl3Qk_Iao}K}CuAh{9IJb&dxeLf6$P zemtn?IIr&AM~Ack6%S5kbpc~T4hGMsvz@FRlnkV6Vw;;~@5WxdVq~nraP@_%?;qYE zZLT8<(s!EwI5R(TR$`tobu*J{nu*$g!TlQd!0TH8TqN~srYstEUT&6UdV z!8plqKEa`Vz%n@D0U>5zw8eI_b3PB^Vj1Gk0W|f|RDr<0pC&TI<}`(=o1QU->|0mm zA+X1_UVznzcKd`b9k)ZeoRu)!P;%9j7Dh?7HIQP!n zIp@A=aL@%XcsM_xL!HQav58e4g;{P?oTvNpff7-{zyYqBJ}t0F?BtR0c4J?wz{E+Q zHvGUdS?2?;Cq8Tn2%UM%sf jyY@874F51|Xi(F6yEzu^93eS>7iBy*Q_dFv00960_*^XG*CTY^n(Na>&hh;$j)%&jBpWp{xUeeJ4L0=T-9Ederr;$~oEXkcJqn6qng43J_F z0^(qIzj!~_5FgLrka$@!XV1t4pismvab zh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|Ymf(dff%9D(;vuXVBiE| zE`|;ux$&CEbdU%?5F?a3 z{5ylIOa|GF2?_1+>|<1+k?EvNRduCXd+&XON`XIkhy?x*KB2iUc!kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKRnHeO2 zYV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe1WG}C zzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000|Nj{f BtP21D delta 403 zcmZo?X=a%aUC+qK00yN{nwbg0uV9SvcjRj};7RVtRgXJ3*ZhrkC?juRBg@Ul+ZpHb zF3IS9Vz%+F$;W%YSqfRho)`vw;I440HU1=V-#Oer^~jxo2=g0#XM0N@`<0Z|vm0K! ze410WN`J>=i`b2atW17C$j&|dr{Enr}*)p zqT{@}cOMA8f=S>f5 vaB*pnSi&mjCUCXq&4UYq-j&N)f{yZsK7Gl=!|QLppxI$jf;cG5|NjR7X8NIJ diff --git a/cpld/db/GR8RAM.(9).cnf.cdb b/cpld/db/GR8RAM.(9).cnf.cdb index 1c5936ee8ae02c14dd650ae110ef8e1a33446765..6f2fd8645131b42a62f298e9e6a8491de7792dbc 100755 GIT binary patch delta 1755 zcmV<11|<3P7@7`{Q-4k+000000058$00000006QA00000000^X00000004La>{-ok z6gL!~g|=P( zrY$WDzn|@Adp4e(-5H92?28?JQd91>T#sRy)Jnd(gP}0K;MuJU72sy}C62=K@t+ zo|_|{23xG&T&&ir3rm+tWWKt*P@BKLP_-P(wQA#Pt#M`f+O_IpjY`i_c=Vx$mIp1W z@Fm9)_5B6>ae%0=CK*J1>&PJL3q=M|-xV^5`hOY_B+6q&264<(?yo=53=uS5e}$rwOk(6-8U&eN_BV15Y^oiLsWMM3{l4q`iSbu80-|4y+N(wq4J4(!2a_}q_CS(X%l<*s6 zA{8dClu==VPZ<>^LX}Zr!dMv5 z9KebTQ92SeQi}@tejyFi?;s?q@u}w##fQ;mk#DlG$oC1*SQLmn=n4d{G=DteVsqI( zLlMPh(78H=pCje#`R5qJG&+7YbH;cx`<7Fldg*1a4KK&ewe|r#_Jk7eCT=YJ0>wT9 zm&pJ2+9|$I00030|9Am(Q9Vn;P!zqXt@XQ79YhOu5C`qhf}&EDCh4OIq)AO8ejr45 zH~)Z$yP#qPyE-|!h)7W!oPS(gba2s0N`HmtMrqoL56(TwyXW3_KVl?Nj)*FECtDzj z15Uy8OyL^3<9fn$?G1KN2HVu}ELl!Tp|iAO1_UD&d%YJWksJ@g61&VBU@ znrY2~>7szc$Sea+a1DMH(Ojm)CDv8Pq1=JxdYN;JCebsm+6VctHjW x6Ds27r}ePE^rPIugMyn@rQT3(!WSc+Vdnj67eqq<=WHz2Q)EX--v9sr|Nn!lWC#EN delta 3136 zcmV-G48QZ54)hq1Q-70o00000007Sl00000007kj000000049h00000004La>|IH3 z97Pl!hb@4_a$<)Z5E2BT!~v3692ycwL_~nHoGdh&vB$)+<%zryA|&!NxNzmbi4zA- zTtOfpKLD|VkU$8rZyDZK>+9;O@pM(&j7^nQ)7AB=zN-5Ab$`|C?$_QcP4d?Cxl2{)UyI1L@Fag zh}1@g5UGv~AyOY1LZm`6gh-8K2$3qu5R!E=b&l`Oq<_xwgb=BdSCaKKyRdA`?m8AY zt`jk_?R_&{(R%do;#k5zy>BXKNc)gB8c~*L> z>q~2TWt?bTuGM*lkT4yoK13dR)`x`YNcACMI#LJ;(~&|*n2r=e!gQn%5~d@C5IG%P zZ*?+ujeq12ky^df}n*P>P(*uni5dMoow{qqKZC+H^I;LX9{BJR3TegPSlwZVNz`2)!dUfy5I z=H~L23tuYp<736Ugf&|dD( z^>mw?(T>K(7WjN0wh`ZV({6cJ?(XOEE+ggr%uksQcQ5nfGE&~p{FM1{_nPKs_r0K-;CmkOzZ`-B+e*}2W`SQ%mJV+iw`uw}2KX*}a${HXaHE*VPiRK}k%b|$p zIvYhi#>f0ON<_PR@k0UallR7Kf2B7?+ujO%878PFtve|GMu=M#sX5_S{wuYqBl7U9 z?RL6OHsQ&8l& z(G(PUa5M!)o*Ydd z>7{;uu}#L~luVrMb>`aZ%L~do3f94>HYAX-7{dNTn%jPNB11?;LZsY96Ln2Mx8YoT zeqnCy>@G?idY$Cx&e7v1r;nUI{(sEV@LfJSeeCfwCr(2Kk8_BYXVGIp)^sceBlvF3oIa%j+gKwrSoJ!DBU6h zLh11673w#V?)MFaUeFM45(a7x8E1E9$T+(*L&n*i88Xi9%#d+*XFTJ?1b?8s@=K$D z3M)5ZnsQWl2c{?vG?PjOPyqE^siYtzl^leml7x^{vJjF=8bVUZLrIGHsr!7J`CFRd z2+=vO$SS-f3D=1Nc88x059G7KukZP6U~lTj&X~% z9M&t|LeQ_D(eAB!oi{SitY>5%3jp8?>}zy zanUqZFzyFPdyWZZiBQn92B9=+UvI|cc!~Z~rwZLMwKe@GgUyu*MSs`VH%`5RX1Pjv zDih5AKEO{+9MKIt2YO55i9YB-2%-N+9|uw1U!;_q%a`b=>|}l_l$0_uKP5UUJ7dKU zdwyJ0dg{rjTmEyJt8mYcphm(+xm?~uyv?f3&rfWjf!LMqh#h9ZnwlIZK~k;q<|BSJwd7!ev;!H7`N3PyyERxl!zw1N?# zr4@__HLYMYpr`s{aw&SMzb2Q2q4ZR)NqQ!hYZBoKLw^_e?vyS{V&!qex3rAlNi5|T zuFSWOMi5DcxM9fUhf|dK$^38zwxd1>ixuCRto7X{7m97J=|EKha4;Puw#WDdaTgHj}>|dvch2usioV` zkXn-iLJvdCzs-6^zk+Kqs4xqwude*_VC5U9)H5P*uxkXdnn^#4`*ELA&rZNv!<=i z0BhQy42eCgQBe`_K; z+!WK8aB`!6uZPQ$Qb0a#a?`)z6Ege@K0HFzh0r_n0b3G@GJBQq9@?6lnSEiec<*Jx z_9vNG`NTMUMw|EU+K|&K=Guf@yFA7Zwe}5JYwu%F^kEr))avmUJ9}$h|e;{IdP5B}y zEQ#Y}-+cS#eKT+7&67!@91)%5 z_m@Bv1KhObTf#F9*YkztIg7kOWq&{&B_l*fJ(|RxK48u>eKl)ox=8t!oe~pTO7-2e z;)!56FqDYLr&vrCKs_a4M~7ok_LRo+f&a&IL>Vo>-frrXTtEvD9;X|^Q5;PVtF5Ii z$d<1Q?+^x^h3cRFcq8bnfqL+FInr5RPd5;1H9Iq_c!p{U-w|mPDIAwo!G9N_Q}Dt3 z+PDd>2f{$Z#*%0os3aM+EF3=HaqGpEbAH>xO-nV@#sT+x8%!NQn2c5atl}t{KSN=8 zHTA=Oy?vd5s2wN`vYo$!e1M{gc42#SAA?=s#?ly7iqa9B>z_|*E4Zmhpk`-ggd;W5 z7Tgyv`XQ(!2R{X=f>y9`bvgX_kq65_SeT7V%)mp$<&@Jj?9TMq%?r3#Y5XdaxIsC1 aL5bJ%RS2mW;GDm+_f^Fe(l-DA0RR6V>-A&+ diff --git a/cpld/db/GR8RAM.(9).cnf.hdb b/cpld/db/GR8RAM.(9).cnf.hdb index bdb3b7ab53d084523bf85f8afd737b245b083297..e7fd9c98c9447ec939cb4d0234fb3517d882f905 100755 GIT binary patch delta 611 zcmV-p0-XKK2jvBjQ-5a!00000007|u00000004CX000000089z00000004Laq*F^y z!!Qsn|F007fUXcL4$xI@VmY=Ws+t%%4$up6BF=(D`Gk2+rghQ^79Gi%d2gO4_SkNk zrWui4(fFZw+p$k|zNt<+xc(RM+m6p}y{LIeL(3o~2RZ((rhnxzIjOa%>KrL$othnh zQ`Wb{%#=q&cvICjAf;R#PRP3_;|uGaFCf=iId3N9jX&)~qIBAvK?zwz=Y-ly5snE; z8>;(h5hfjPUV^YPm{QF}QB>EdL`m=G;x9$}tAT zsb6c(f=*>H4}Vm@O<;Om1d;X}czHYk$vFb{y0)<=YpRXCg=$Ru`R9-zYnMaU2cWxM z2>CX#CSJo7rdWq5yR7c9Hk9gW^e5dq|pMy8|>o@mUx;W075 zgImg8IU=92KF;X@k~=G z7&w5W@=c)~AQ6}izOKQ+j_$5#YMH@0^pYn22B{SVVvr5)LH?lu@gb1`$jVuPlB^5@ zK=QB1nYBQQL6cYmAXH-z0kS6TX$4_9ADpcKRh9AF=u xHk?@j5&>xkX@Q3kL^UHjICR8P-YJ1p@k3R4x&TAN(+%h#kSN#%00000|NpZD2gv{c delta 845 zcmV-T1G4<(1pU}NuiF@zJvuK<2 zR1^pSiuc|-Qpclo(rh-HZBRR=eoOXU><=jR8;U*Fv9|;2e}72-m;JZT8`j7D%fc3g z7=vwM)Ue*Ap(x9IJQ+YzrctB&;FM_{G1B!85v?9ijD@6{iG4_8^I5l*X|7?@N_pLs zde7?&_TdDNIYI~nMp&EftJ`N}n5G%!g!<07(nXn1>Y;r^n!7L0Il>%c$5+G3_C2q^ z*xVFW*J_$q)qnUfbWugyPV;phF1jU;d1#xo7}`BI@D(B_QaGHPG!X|vgd?)i?LSn+ z?$RtwI4&h4l*E2Q2BlFot?qMjFHb)FqNiY$?=kS(>1|qa$%san&PFetjelM`8$Fke)uVE&#f~bixZA|$ zqz~SuJT}K=Jf^sW#}t?EnBvmC^fcosU3@`==?Vy#h57;_EIxvzFaa+Vgy`$O;uS3$ z@H)clwZ8tqZCyo!KCdqVl%X065ENrTDYme?+90#|oee<&;LE!3T+acdro;XTKPqm> z9a_d$e}BnHWN+ZilRVrVfWj?bzyG!$9G-kJvLDDIH6$*Z(gy?tgYV3H@XfQVoYNKm zHVm%uryxLBRu%wuNvm_qFa_s~mJJEupcz168p`vnAkeS) zjmM;!Pap9e$KS-UEvvr(00960cmd*IU}R`uV3X*HM1H>S;0j@#8 zKvk}OA@RW>L7sl@@jzx!BuEK^1Q0VZ2mndtn?gH4A}||#U4w%i-CdE?S_5U785Dq| zUed(hK#D;Wh(R{E2lqtO0t5L{}nm27NlI0aRMMz1M8Wzr*(-QP(%`l zeS_WOLtG<55WWs}_Hgxe1nFP^xrzhqgVTmHD?lP5Kn&6X4ND~lgfhb59P>@cD)QI#>AS9LmB27R*TB0JN^xh#D zdJTd!X@=gD5K01py!@W$uXxXScF)<_bN1Ys*|{@!K6hr9{oJ{8B`oL9u7PK#_8GNu z3kdY^^$}K3lDjLXAgmqi;pHlqP*f=1tnn%w}2227dPQ2PA;Cp3c?mf z!q#ri!n&>=L1!ahq+C2>bM72l=>H+-t}y;zS`_|&Y1sL#T;}HcF4XSTNbqrrxbai| zM+Y)f+M45)aa*pvDb@C)0q@MUzdkdn<&a7-csF7C$c?2_+E!bniEEX9Jl8*JG;e5u@tptMA`NeE#La)d9AQfAOg?pseCUB39qZF*I_oIe(6OEyr+_bY6*K z0nGA&-}`jo$UMe>$Aq>{l9;_MQ&>jG8Wm)NPFk2qfA)e*+C|53JHW#>fxl=6H7e7- z_G;&JXlh;E%6wmy)@i%aCCzEKa9g+rU8tn zE?-*#%8H6ut)~!gzTD4AQ@IASH{MN>iPbIG^e-3m|2isIRvPZU^y_3bZ6Yoj=CXzQ zW~XJ|x1E4Z8JqiNjysRWWHb@RSVcvfxHF~Bw-;Ywy=70)ESnDMon~cg-D@12kqAVw zgYinN`|&5oTWQI3?sUez&^;ML=irArxRJ6|5;R5T=G{HtLFCfS_5l6ogEEofnd$=( z;aRTo?G=8Xr*rb2U&#}tq2#^QSNrdpuW&!{pr*L~s1-ZEU$ZjpY4iMtXEtFuA5c^Y zld6i^+lk4=qoJG{c?A}CeHE=S;*#_EXnw{mQre@JDS+!A zkH60-AGA%+?qx#I3Thn=iQ;pG9x_flzNdw&dc_PAjOy%hAGhTfFW*19K7BxK*6X>< zP#3AUf5WMDjd1zv4~+D?_ot;{-wBFDeT3Uy-dJ8&KZ2kmJxw3csUCYNXW{Fcv~_N; z=2ID2tO6P=*@!g`bc1!ebtQHm4Q~hr>H_B?haCLvh1`nY7WOv(oj%%lX3_OT$hz69 zv@NUFZIU!R80kP_Ur!YyT&?CE=yChLB%F5wKiaJ7nLPfJ%s$OHJPwdP;s83aQWqP| z7TVoKB7ajtT(naBSnn1jYQ9zD;g4wEhO}0Dr0%misb0>jJMeOmk_C_S^hkdf+>_Yn z*5Wrdq;fb4H2nVfV2&894Zi!AV|PnbRGv5F#BhqoJ3f6DoFVV_wcq&j97ETXqaAo~ zC8=MF9B-k&qZA&qSW%&H#52b7TH?i1N3H_r@GTNWscaS5k!aI%GPnDytNWWPPag01 zF^6MQy0VmQ&&Qibk#~8#H+tm0>t$Tt;%2QPkg;ogGUR_P_~5JEpWlu_Y0Y^1cg*}J zr0!re)#{^|Mpj&A!V$L+EIsR^jE@nsXP{r^!B}#)2`mN*+oCRyCC9K7mzkKUcvt3- zOeYI=HRpbe!L~J(c6IX>cR2QICU*M7Diqit80!T{Q;2e-bY^P8VVYC6*XAwH)fc7J zi@IGe=1fKA>x?$WCh`QjTQ<60Wv@R5IIk2g+%R;WsF3`*eZxw+)H5P#|J-8vY3aYl z)6!36Y#LoU((ciQl?L;6?Di+NbC|Lhz>~D!^cx+JX~iwCGOuXxjiz#mUgM`9xra}B z>ypGOJn#AQ1Y1ukzn(vM8l6zYcwCPy{o2`DINBHPY8{K5D`~?1{cXlG-sCSfo^~r0 zq~}|d2J)rp3AI*+JTaeE^osnTHtl#m!g=MAcUid`td^j-U}e7wvKQ)3vvC~LDpd%| zKKwEk(6tNx_z|zH!xGMi33zVZ_G!9$e+=`O$L#PaLvV(e^x@O!?Yz>hJXStyf4bA< z5QKC8_KlN2&-ZUwDu=~NWm|DX)NNe0XK?XFbUr>xtj1h0LhvKP`H~G&)>CyJgFty0 z_d-8yM!i;w_CF2#;ugVrvdZX)xZ3Vc6tbRNtW7~C%7%p-P zNi=iZ7ay23Cp4GJCpfs#rdfi-4?jYM5zGCed#1H$vU>CVzysTv#@=n0+Jj4Vru?Oe zLl#aYhix`mWWj9ZFDDYcdVgEGd%8$g*3Kx>6ng|la)6K*_tsjUTTQH2&E=q?Widx= zK&Uw_Z?v~88(9)q{dk-_@TS?+g-q*kt&D|R?J=~kMVywKB$?>rdU}R84N54K5$h_d z3q3Qb!dj1rNTI0{1zcq1w+%*Ih=BH@rpW+>XQq+I?z*EM97_a=Xg05W(S@>nZ){zfdyrJ?2%DqaX^wl$ zA|*ZQLV`GEtaEgQ8dk=J5C$ufTyBdUOqHK5F6pYgY*Hl-+Q>Rg+SEUM?xf+1xfhT@ zIxu7W(f?I1V_Osi6Uaq<)Wk%8OQ-23a1Vus;y zKP6XGdH_QZX53bz;gin5*06gjLV_t;w{VSRla&V}1=4k)x>s<}E;!LFU08*Aum+S>(aR8owWQ%r`mMFg1mx?Hk;k#ThpnmLlK_-mue<2l!aoN#1) zIzjqzr6MBT`OEgP1}fL?FB}+xiaU2mVdqP(22aN9f z1y?k&UfKR@RZHNfT+0R8w<`rkX#vNBKUUS$6V*-ul9kfMaVELwnA`40ekbzap$%t2 z>v12fPOjOt!}L4)CgKU`w7g2~X*13wJG)z`{l_4-NU03UZ++h^-sy>=cdNL`+DxxI za@IbCR^G_MFfDOZLMQw9x~}pO%^~C`7dJ}2a8%cou`#UaN1Tu@zzHO?Km%MK>(pHM zXBUe}19@+pXx`X|e=9mf)x%nFH>U(Qs$j9(ORK(y-G}c*iw}Lrh%~DZ zP=V)4(ngC!)3Eqb!`EoYCCG%n2rAEY;%_pdwh*8Bdu-y#HQ?mF#(G}TQslzO^6mSj z2^%c@JfOeRg~*sd$a=U?3_3tvUKS9az-G9rEXLK<#rU-n+gR`5<{@X>gX1-0&68g~ znzU)|I^YALcei^EtaG=E;`+P0%sls|uv1!~jw(!;6{o&Uflvv)!3eP0AN}Q>zG%E) zBN8w=Hdzy0Q^!y&+zYZyH z2yccxTyU+uA>&PRc!RVj5brdd38Dntk?_(W6#u5GQ=f>gI?fQ*P~CTr%u9VW7$=Bc zj_fW=LaH~pGJG{Q3Z%(2^d0B73M0-7Oq=%vh1B8ijbWH(y+0v|8Cq*^SVNNI++(*c zZ!VBh)R+INb+*^Q5M`rkJ7$EyRUxYu=(L2cTa-FI4|`zQLH?|&J$+b4!q2=%$2~*n zByaP@F@lDtCOmT4l0Ix_7#8@&n`pGmkFB@7264XJ3ZI&~(WL}vg#30XzV%DPTG`G(6JDz)#-?>Cw0qVnVf1bGnbAl5zYY5|2w z&=v5sfsY5?=@j~~f}~%dv|l*d66_h|XkM%2Zg;;YxT7m$yQVjIVb>cH%Zq{-2Sr07 zNe-fkce`4xEx6)0ugd<66YY2__x15~;>zW;ipFmn3kxZYlcQsuov%SLf7Yr>_ns!V zv|B2CL07DP?J2c*8FurdR^4Wns*ul@Bkhb~;Pg0AMiwX}hWcWFwm^b-Nq+`0JHa8H zfTsS#j9C5q1#o!19$LpO<52`AX|JJg`G<6et`}!rl`i1l$2{YRj$430DTQ!g;Ld#z z!R2(c5+vQEY@DYtq|qz}lkWqzH1qBAC6=_oq*sda&h6@^$;xp{~58G|DS zLv=14h}r!Z?H!7#7Mf?^N`$TXy*JX5LFE~Ty;Im@-Y&HF46oV>FY@AkzY%o5Mj+^m zjIT8)URDex8#D+OMa^q8VP_Q058R+s{!%cweV{qlh=J77s>M6kNT1W|5 zDfoMTc(7-9e=l$ks`JpcI!=1KhFSfXqGoGk`n#c08CPeBZV4JZAE5W*II1$Y@$%wo z4zJ+;W(&E4^H7S9MThGKx(f$Y29Wz=vU_86l0kKijr9uUo>M>Mup$1{TSv}@0C`c? zNbRN#8trQkHP!3g{**rVncsn)xNvQIj&d~Z_=U{MoCTb7Kva*MUth9uc;Gt-Ckfe* z5m@k_f?n>!%!w_XXd>xVyT{1aQM0&7dVdeV48WM|1WCdT1e4 zl(8s1N03U#y}bOVsV2-pjrRQ5~&)zu%}DbD|IKe%BL zTCImZ$<-Qt1aIwJSF+_VbqtT0Aj*6`mRbWq?wSfuwCIoqxP`s?1JC?V<^&;1X%sRNi4GkF`v*H4A8?kLQ(n2Zrg!)&Nf-U7A!$Ke2ornRM9aR5E6r) zs5jm{r6!HtY7i&l0m=Y(Spzlo+7plU$EB>1HWLKc(^GrvBbrQ~EIM6ljbk@Qkb1W` z&g0HTkkmR^VpRxOdhC1!N4;}sPJMFPGq&#PwCjzXnNFLYE{leI-TQ5E+)mOQmp2qz z>H7jITl-QVwIIh=_gDhu*2$Tqgyv#v{G3|1$*Fwwguo$NT=%?;xK!F!dhul#{ENEv zmzM0WpAfo7<)~|agM+WIk#i5N|7iSgglANis_V&@;?~lD{r$SOQpDIA*`izN`c!a! z*iP(LVccq@>x6ox^vV>Nw#M=IMp-J7L<^)+}lr``o}h{&_^l?*33|#U^8=@z;CIfKuinRzal9x_0kbX0I9kTIi~QgU zj`;=vEQN;}U%`++R1~L>6GLCFNlrtki^3B&1~_b8E~H24V=7ynd z?sHBN^A;|$n)1_e6A8ZN0Hxc^-5l-7T9=J23OIvLZdArWLv09@rS1jd;tw71zS@T4R=LM$eJHIfP|<6wvAp3+>v6fKI9d8JL{&cmyy!C!rYyz$^ zoUNPVqq5GE@iH+T+Kb2ORmQ$!-0nMxfXHU8%eW^|q~`pf+o+f~N7}2HhQ{ad?}UED zQ8Hf-1LKU*smr4u^zZfFc?gDB5-6 zT~Mo;dYZ4AaF z)vF6!4qEvOeOn3N+IY5@l7rY|xnyBle>*QCNsW#SiF|f?J@|{9>4%}Y(uUlD5e`5+vxqd^{DGe`19%z~gb5x-b^^{;=EUm~>h18D7ysg}_AY|?Sn7^W>S%M;wq5HePh z>hLe@YSPwGZdhXMR#rQwguj0XWU!*r3VOzi9Se?Arh+40A(7A0I=U>YVG)9Uax6vutcCDZ{l)Lw>WFa(3eTD>vJIw9Z*`0^5ghq!Wh~{{Lf~ z9ozOrvYsm4nWhsFb1@gjf7;Yl$_I+{K2yRrWLs#R9B_s05PNFD5o6K$Rx5(x$ib?; z-R3dZ^##cLu#lv^%!)2xG5Dn65t^rjo;rIW^85H&d-(Uc2#b39sf#~>W4-D@f>zS| z81=-HVlZpAI^FLx?$C3u!JI#IJ*z$M2wN&38o3b6%*FOhe^-SxBZUeZqU02nZC{KW z`uQ7D8Fng(lGi_K);;p#TMvx9f)iYrdUX7xL+4m~zT{#g+@ZZHdodP`gXsc<-tVb3 zMz7W_{KAm}2|AT5k+n>F4d0?yWk?3i&nNa4rT`-nKVya9o^6xPFPvM2f^aXyvl)*5 z%!X1vZptsLKH$dr@~>MQHY0cPxSJM88=T{FuI&%dWY4X?mPh4UH-YWt-zdOq>zrkE zND`o=Bm>LKv@c1Q*knBVvk%TtZ*m4oj0Daapc|pfBO@^+*Z|q10H^+13-X5o*?)h! z>DP(1OV(2Q(}9nyjMLikeMMYlKS*S~5w{bLNUM)1&qqgp zsH=<%!ew2H6}h{Zrn%oE*VxcwvSZrwN!h!v_ou6JY zYNvAHo~j3P-~vGj2%mtE&XXuqtRZx6XHTuFt5j-1$`h>eU&!Ft*Vb|K2Dkm-*0Iq~ zD^R$#%f$9j>6ch2U50;BIc~IICzO984&n*oB;REq!4CjO-cUDwt%beVRVmudN%G^x zJt`~#UG{T0hW{V*wmPc&<(}GSk+NM{+A37{@X=mNDC`WXS<&Ahu2voJ-oaJ*UP;2B z`HrFUZ9r5(Y3uEeOA00V5=xAK@UEv8&?+r0#f%|;0)sABiW$W<+uHM7l7U{ur#^rP zEd9ZX!m5xaW6tB>jv;LCpsA+&FgQxr?YN>K^XcvB|CAv+zh4-n?!i||jZ-r6vrz^n zo+_!f(8h0dNosEghUC+Fn>8e=Jb$vfn5};z%9uLSGkzfv2D|N7G2>(Tdq$^sW@hUQ z9ZVn1FWtnWQ)$)Vt!qlA_Cz%#Ij6q$rM}s|V0)dK`xo~JUCPLAnAx1BeF`n$J?CAR zgCH665;QSh`b(Zu2~(NE)KnYO0jT5Fl6O^!X}z;X$%nU|ol8Qx#|9p)FN(pz?oWG0_O z%DCl+kUZ4c?P66k27k=oR3DrOwr&sA@30P<9Buvsj`Rlcw{9Qp2$#Nc($)9^uH zPof#;zN>?50p0H)M#7l9rMfhlM0HI4PT>`_s4ec71i*gnZ%t==#eAExv;cf=K{GB@ z0>T+kViU&3ur|6&w8G9tIHZg^FyDPr5NB{|?o^yF>}z!0R12eBFXa0g@kI5IF8|(7 zvq+IPo6_){c| zVJh_usHv#=Yim2p*2&$ltBfu>rBD&V%ztbp_GECNCcoFez}~MnI@{}?$G%#P zOquV4My0PW&r9cotxoz9VGjT-YLT$p7bdDMw#(Z#Lza0!0Co!Bo*GooY>gE&tuQ?Q zww)NhHPxG|LYOC-p^@ayWOXz0*{3k>@?cn_Z$z>15* zFDCoM_wIk2F2@A=*rGlz?Jc+lRXr_yJ?ANGy5VWos5s&gg-a^cXz{wxk4M)8FYCAyQP*x!Cxf8l;U+by6T7uP=n(tf>@SCk!9cKF=tN zfd`m%(K)N7%i(j*$IZ?9b5-56T)ifM^IXb@F9+F(P19)6pAfZh9%qb`wV=-K0Y`7X zk+_glGR*kr(yEbI4c6NHh|O4xN9g80Ej)JVb$&`rn!yG&iepGV-9XUlPUZyUD{zY{ zf53@Y*}o^+{LKMpZ)^nqUcHxRBz7pBHdFOzx5K;_WGuG-=Rs`7=`#^(sd+CZ-Jmt^ zS!E<_*SKt;pEhTVX?x~F%KNH}z1ZOU1(U0rI3*f;FPNwzQA zUxws#k)qEJ3EbJ~dvkmRHTbdDY-rts8k&Z(%tY6{T{GVvC@%XQ-r>xCu?pMNS)NRE z$-UW#eKB+-ytpT?OA)~yvbXvoboB)yn&({guCB4OX_H#!kh~wlk&w97G*Li^Clv-VTZPYEZKV*1855VrLJVQeynT4hy2DSu|Q!}LHk0BsP)Sa31dF`ir2 zN3*J!2$>lx*la!=m~;LP+^>Sx|IUF#+p_#o>TdCiZ;T->B6ABP&AMY~j#xI%5Jw~# z_RtbU&QXpmkyGIV0Kg~SB-G2G0YB8f+sq1_oZUAsoa5huK&5gLi32nbEQXInoTjx$ zfw}P$moW|$E)g0p9>ac`#)Pq>Od!n)auriu!DCDcR8WG~o^jCF?Cg>JMZ;Y-L--R_ z%;$>w687<5-;?)`f_-q;>TM#QDd&T)9dciC6zFI>Nr6OvN$Z#kIqpXCX_GO zb$^{4nLW+!xQR#J0K|J@lNeoX+o_@8GEjFne%qaGPtBH+5&ZajrfeHREN7Md2kF|X znkA|XAdW>y(loGD#aQ_V@5UHw2;Y^$Qq-{eY1Zp3JT0~};Q{jaKqF6{6hL9%Ts<|87ihNl7^dS8Bv6#*DsjkZQM!zIMnfcT zMbyMBpPEv>q!et+a1p^GIhHX3NQ`tFw12oPxH$qzw^9v#RW&(nDJa@izIJ&#EWYBf zbeA>nKfCXpCEX$HS|!QGDKWuw5%CdrsX;Ws+=!8m@|t(^2PxK}V-3`cS0tDU9v{8B zh8Ba_sAk2e9wOmerH5)CCs#rxi_1plW0vP+at>!vvVtdNADv>eY+4K{Nyx=ulr&kD zO1*~PdvWV5b)Vf|lTgO?){A&?2POz?zVTVjgN0=5>#pYNdqxnoY zr3{PayqGnmh7`C6Gagv7B*yM=vNeCU+1=z}>to4b1nTXA1G;zC&EE>i<}|<00gG#& zW@8aMeY2M8{(?D^r^%`GpeH*BtRZ!~{_esO9{YQ)=V8$| z+6(xLlEnY+{}-dMK+593mD9XeexR27{RPDmug@%cj1%|=W#-P)a_lNffR%EvvFn{6RYQeZZ^egS^&cO@2>*{-@zEx;`SG$x8GbuX3XW{cwz7~; zf>G}V0`RS5(I4;nZ{XtQrcCgA^w4k9x#zA;sWNW0pI1K#_-K~r5qY9oj8})T6Uy6e zDR=K7?nwGO;`J|!-q$|Mv}i6ZetaN{gzs=h)b3J#???_uhNU;jFn36bCWnvvrr<$R zL((6rV(KoFySA_eOskI1-{Ef4WbpR-lx!sZ!O_;ONNuWZRBA<))oAKi2P@fcnrlkS zQvvFA(JAIz{r=KdtPp3rIo9pn{cItd&Me7+GQj`_W3+jEBq(cm^lim!pbYhGR4xae zjakAshZ~>7gfUtUXqo?KYjFe=o;lGT%(+0%=sT$*)-3Z}++d?DV&%CKyR~zgek0gV z=a?V>Xeu#5goefvI9u(I0rw~!tTGf0o{}pv`I>jN7BDS z*VM6y&Qj^NiWeGCcO|E0rCS|P)3n35Tz334)4U~jQTG=B?rY^$gdI{T5YwHepXv3n z>BnBPQ6>NJ6`0BT`our&oZ@}B+ij<(}xSN?{S-U7D*2 zOm~zsjuByy$rXvNIm5&z01fdSVUzpptso?srWoAfjpV$j!BWr}4d`gI!t$&lRTZAp z)cQ3nwaB2?s?zuNsss^Na~j&S)Qrp0^J#X!jY+ZjZ10?|#305zE?zVf_F418xHGqY z_rtVSgM~5CM1fq|-1*iCr4huVFLEtTGqs`9zrIvv%W{%5^F9A|GW z-c`EIV5<)9c$Lo<>lBRNyW|v9B4%>`nkkxNfpF6_7cPT^I{Go5psn1?trt^fNgk{Os(v=G#1<)z%%&6oFkg~TkMAjIC6Sm<#n;Qd zhDPk&)*J6^Kc%|C7x|jx_V}nF-gvr^|1_VYB+NaHqHR~WeWk%z%X0D&i1Prmw-^t% zU9F&5rGlB~t+@kpuK~>{ud#BmL~;~(abxHZW^=E~FT6th&oR-_*LVFf*6hYmk9r5> z`jC-;;8hY25-df9l~pHxTj9LuYYgeoWo?#8DiNn`OZjLjSyEV>pSzuxrKz4zYWc>& zBP)%@0glQF3 zavbn7Py(9?c95l|oVj~o0kKMiW{59f!T_;Ud97|koW$8GuXT&2-U|_QwB4_%Erp{2 z)OZnoHYJPWXuvpUqVml;K`nENSViggK*dMd37X^ML=joM#6wn?3FQ{nXGCylCvyU( z$1PoQYpB^B;S~A;Y?@_$LD0o9FixY(QxN!ARrFqqb=~3UXNMK}P$o1tejwEJ<|&E^ z_(+v}dZj~PckPRg5=TFvw%N$m*qp%CzCPqqELh&9i^i1|o5SQvS45zgPVQFg!XYVH9A{gl04;SX*GOPCd&J&+YJL4Mp2bb6u=O z#W>@GTiai77~!1X6uAXE=^vxVQ3xYdsAz|+iFi_^HYMUB6~iJS@1(Zr4|86BjrF+X znnwKDc@assQw{unZ62&j&V!1;fOu;rh}Mlhf;8w>jw9wAeXf0#hNa1s)kBGg9B1B40mn2sl5g%Dx+(P zA>J7VBV{A?^KW?hP3gcq)(;M1hIHDhAp2^U+7OGFp%%UoBw{$nC-w<6T_)E1S7Saj zQLJvraChexEy=ZBR#v_wJ+;{}#jL5PJcX?{`#@^c4GCQAQzG!Q!_iBb^MfBMm+A*zQpfK`(PR|ka_{1WQlh5Q`rJ1-v*?)Ueqrr*>Rtl0G8 z9E7gK4w!i_wNb~-hvq-}`3Mh*cTy`=!FR1~hcIzucK?kZ5CUk(qOZ3MkehN;9u7Ci zF@CPp$dGdwOZF#C&AdScehfg_VH>Jcxwz)Q`FVJAjvKZumZ{t z^b=fDhaq3DYJvPRO^;b(%0+?nn`@fA2JmD1s(o|!Wso3ALntRU0F0SK(dVx%YrK%D}NRMKTta9wW-2Qk-Ph|Zrz5{;;d-92u=7&E^!f!9tyao=4es}mrB5|<&{muQO& zb>eU^W&7%CtJLamVg%MUV=>IYjmUfo!k6wZ@66^1(^^d7nGa4iu%uUY=6ON34?%ac zRl6yDc6+`FBarL0I`8e{P}fd>a2G4DIc=^nI=c^c*thfC>oDKRgL(oI^OtVAo6RXM zsoVf=2H5Z{WHajr7BiovW(>H#%q)TC#vbgC6x*&U9v49!yL}6BJ>wU)bNI%=xcsK~ zx4CUzg}jRSVZUHfYQD;qaDO7sI5i=v>4r@pGldJu3EFAZelH}GqilY$9@vAHg^%BJ zrtx7LtW##WbW+qCAO00u+DMEjE(V*(=R|1VtV?$krfqQ;*QMlVqJVsx9hpHk<-T&k{<(y^5KH{+i8 zjOOmgmqbUXySmfBeOVt*qAylHG;1=mGQkpFWmuf@Yb9_sHoqHLl@*!j*YDy<^uf=J zHaw%4zovna^71q`Yz7mt2}zLQ&uxe{qX=CeYUIb%u=Ph8P$r^;glXI7*)VD+Uy1Ee z7}++VYw*v0?vHMrhT>zGfnrz!m+7>c${DOI&{JeX-(dsG|CewgKVVxydoPx0rZ7HZ zEtAvzwLJ2aQ{~b6N){Oeh-^;t+j~wxLwFd zXXEIBVW}^-SF4>x$|w_1wbPrm_*PElzP9s27W8YhwcvD*(@x!5*H@qcTrK99ha-JX zZ03+1SH$|M&G&k1Xy28WB!UrcU0???+2dRExb;yiEjI-LVIJa+_{l*F!N;ZlJ7j`< zOcaFYIqbB_*}R#T2apq5E2pW4^<&F@%E1>C@fHJCXmd`hM7{EN;`g~!FDPR z9hqNM6=@UVJf(7ILPcqH0;aXsqVR|ZyI{_tz{2NV#LbfG_W2udFj7bgmcemq(Lo^8 zVSh2;aNp=!3q3D4RBw&`(7SJ;i2zwEl)4ljT^(D0gtz}zU-DBIIl8$k_s}Mi4`45t zSDTRn3p4Y+)vF|uYq^^~|58yb=jj!C#)jv2hd6o39G+!!?0Tf+`9ZF0Lw}XKo8?o? zh}0;AwklLv=Fl4(6Gi^k9e5rG!}1spC$>kt^t~|zdOM(`->;Oq^g6qm;0g00{3K1& zn6W;F{+pTe%$Sj}&WwamFIMm!Smh_^%_D&wKo;&BhX-GLpA4pE&AC^p@&rXZxj>CW za!x~->0YnsR+NWW-OPWNwD4#>S_e@Jiw$V#C)(nhSTdr2(!}s!j@A}p=LOhT;$Rd) z6Dz?4sZNJ(dG1a=f<~i4-r(|zv;818nqxp4J-?eCNk<)Htk zc9TQ{in{t?)GxtXmu9^*Gy91)Y&jA$tJAs{Xx7;EIQ%a=ExfHZt@>&ri5LCP_%h^A zw}Rt8PMANQkp&0v`vkcAFx^K~D#C0=i+Uhsm}rnPBIBb;G{k50T?7XFrH%(h-xDK{ z9!=>!+=Dyp##2|*VPUvPA$+k%vks;3HLV*dFO?&~fQ6hpxOwV^x7kf^`>Gj*c&w@! zV6)?hZ9pF@;4}WCMKBeN4U5QMCC)}69#S0HPucPDeQVy@)LG=Host>c5GCf0`mj_H zJ$0ss8+66eP%CX=JT>O>{6k8pt5lu^p;w+6Y)c^^U1a%!Rf>qgNVMq8uKigFpk&Jq zPs1?CBi{L;fBu^Mr}iX#*ERGzbrtz!0-#V?s@3~r;B(#ec4_sM9lqfF{c~RoEAxnl znJz5~Z7)2?UFS7`2i(pI`6x{g4*r2~J`dh+x4y+6^@j zyfRrHC8@iJ>8S$;O}rkBP$WdCVh^tksjfCK6&%vi{Xkhh(cmM3I1Q9?DA5*c-c{Y$ zlH4Bq@+($fazfZ_Z-i^@2iU*jXE}V4#y0Z#Ks;ZAbkb#kCdyb2FU1?l|Qo; zyOWRQcpK35bt`EWQA*u)_4_paD7u|svxHl1@DYy!{mE_yQ^tl~giA6&@1D;c+P`xM zD~dA~$c{H-ktl?6hDp-niHlKYLHu?u$txWUd|pgZbP7sHunhq4?hi6trBhd-r}j{QDa z&`ft3bpZoXr?S+rD@%vif(%-~cM#U6f0=7-U|?b187vR8!W--FPA~%>QXZu_8KkuQ z^cV0a9Ny0Oby{NMcDr8*7;%~Yw!LC61fTI9ALJppP{)kW-krI@MzW7GJ8LU3V`TqP ztB5Mhkl?lPbF0=%RZR5fNcvOCVH6~bAT=1*EsaF~&YH5Z-RvhO=}wQ*BA=8B`8?RUu6-Wo#I_h zv!h_K4XZ7ouarcbXV(Gv4Zjuj~=%ucPodzGx+91h0LokhqlmZy6PY-j^L zjT!X7Dh-CFtWeT5fi{S>_5P$wk-rF&!von-9<43+(88|zhDSF$m|h>oYs$~>hy!5O ztg=3Oz2rT;$x5G_rdOxru)V=%aWMtYu<6DuCkabG^R5*{om%WN>hD$$D~Y2$S`+SB z@9&3XETs! znH1(P`*-0W##*B^oe_ukS+$4~t^7xCR)EQ3W-@n$_EdRKZB$#`PDDG*xJ>Px{zo~7 zs_bnx=gltTeq!aXg_H$w;q;glNoQ5lm|z+U2}$Y2;*w$Csf_v5QEi)E*m-(WanFwx zDSWkm+_Wm>S-aAQkFF|wtHwWCtx^D!eT~s(_m+m3NCW>X{pWt{6lnE%g|MeUu@c|i zyzZ2oiY{4BQ9|F&Y3{yZ;+7rrQ!XRoQJVG8io%A}h$S<8EGwFkP*rB^>`(FnDIcqlvC;fr`*{^Tu zsEpyPqb7gzY!*@X=ylih)DE+yy@WbWiV^Fdl3O}UR%USOc3JYDYcDpHMU`~Gie2gJ zMvJUjLbs@)%t`u2FI1EK%4#y^wN(Sn%4)hR{;YU{cN$0d=ZC&=(0lA&!%Vf7PX8=o zAtq;Tdk1TlvZA4zWhSwbCr-~d2-N!>zf7cxMTVQ)X6jxY@H}8qJWyDG77#W5F)v9N zo+?IosiwFJc);^hU!`3coR_BU=7@!UEPXGQ>}Ww*$`Qk?)Ym@R)x?(d7#<)2BCdMt z(C@Lonx56>mVKeW$7WhmvQFOZq0PDh?E^0*EB|cyVCPr-G+b&ZrC8|u0PW$d4`?%r z!*_rtVqxetPI3mCSD@p4RCYaT-v6Hvyc^&ATj@=g%cNp%&~ZGzHgMXallsAL=k{bP zuIn6?Y&OJTVdjIX%q30>4EdTk>DPH9!HY!33ov_p+~s-uibpHNU3+Fk9Py$kO$ST5 zrTI(}lTR#?#o)`8kIQva^i5(9cDkCxsGr;EZhoHZQz-*U3~^aKwV6n-^?RWMo;?u= zzZ8RGZ(Y1xK={qYL|M1oF9Wpmfl>Q+a@f`=#nw?|!>7vURM^(G}y74SK8{M;&hUC~&l|pQY5)#CpRmxa2Ww6%Q;knBy zZeq+OZ&}SSim7ozM~9GmBd1N}QPn_6&y&J_`;NOA9_(bQyo+IDl31KQ!%(Ih{yO-*=%sH@;1}_}T&I>(+zXp5 zXb`1N6sC!%Y*3iM))W)0<@Ki8G}rY=ZFn9>gV0iUYUKk-iE43(7bLR2-0v@My%5WSw0pOb z+DwwbFRtsRfHlv6yityv2(wb%Pl4h6n0sR2b=Sts7xlv+Pt`^N1?Z*c`*+sVUePBBDGD#S9&;ve_}T3!loYX)fHK=m#-dB5H~ zVZd#qglf9u7WxeX%HF*mCq?4n3<_^Gd}&h6J$?mZaQQOAOS?gkdo`_4k->J48xkY* zx_sOOxDfGt?^Wii(^|v#`oCn#0oFEKB<@YcEi-u>>~5T4+-SCv#VOpbv=b-;bN}DB z3c1KK830@>=Jy5MUm=bJSgU07+H19rws^`dD&rOE@~qkQ2o2^{Rc7biApG7_d&5&F zi6mVJ6U5CFJXIs*D-I5Oa1_{hnnW_p@3Ha?@ao2zsrPeFVa3Oj z4AakAfIy2cTlPS&!^ax;GctEEitNkPn^zV^^?HA06;DjD4lh9=Jg<5&W3v97VRRJy zoR`OsV)DpmnWzAL%hIR21&tNpn^HXEW&?oRJ6nB~z9;1#{7MgIOS7Qo8c(zdS_<%K zy|+z@$BM-z`Omta%B$N2f4=xlGiO}iU3G!_Fg&2V=JETUW-DsRuUy5EZy6R4FK18T zuj+E7n&xp~jna9E-d>j~1~K5P%63aiiGdsfQ3*7h&03qBZD zGdEMU7Z_;4Vt@bp@=dRKYkBw0plgl!@aZX7UUZRmRcCBf&$a_bb`bsK_vH_*3CZ`j zV?)NbrxdDqyobHzXT$jU`CNJwsv-rJhfOfIc{J=d4y$ov$jArPl2ogN(Jrq5JAsS- zpLvjZ(>LCh|LIWj>VLs>&)sbMNCL1{cLKfBJ)>MOv4Koo(}@ zao7-UXfGrw`j!z(?LCCx_Zi3A;3VC~{MQoz7RkjD=jr$76lNmny^<=w|IOc{msQ*6 z$6g+IHxRr1?rOD~g_D<^4>`r4bbV+dU-HbZwgPE8(XjEsViO*Jrf9j z`6YAv_+rhT#hKl{xec7uur;sL)DH}A*)O&6(e4bko-jB*ay2rDsj*MElgyhKui_Sg z85ojT+qCaWkM1)~=)aIA?&<}GL~FmzwQWw@{T;ityY{GXIya%HW-4vaD?&xCz}3rb z{xS2qIa}SwzHfcyMzbU9Z7zAdi*9b29OK^@CUM(!7yI4~sI;di!UXxpxaISz$0I@o zmKeVt_5OO%eK9lT_A?6E|MdAWG+}cj)BSf{ahADRr3kNKjpo4QNZ5Gl&AjIFNnho>!?>CE~Rv-}EQEoD#x~o0&c9b-&%e7Gf@>V9}dyC1>k-y(W{VSLGz; ze#Q~Quk^X=r;TuB4folR|F5H~4r}sz`}##hML|VGB&O0JjF65A2uOD$NH@rkhD}AJ zL2~qHkd$`7m?&MNks3Kh$Hss$;^qDQ@m$Zjp6fYvpU=7PQ}^dQ;0ftYn;sQ~`yE+3 zoBk+vI69&j2~l3?xQnn>XL}Rh+@#f?(p=;d_}DtDdasSf{cc<_e=>cNqpRg-;7X6+ z-&9VLeo150{c?pAl|w5rcXOw7v8`zhp8C^;dM3FBJM+5v+Q-BHzeJ zF=b(MdQ?8_Vmdg}$O@g>s4sgV?K?jzHKEu{VVC*pw1INPZskio|A(!T(c|gJRER6$ zt|2=0w6bi&-em$>*Lf1t@u@IxaqYh`dhofE==zL4!6+Iz18I7F~>!e%+63`>TcjgNnGk5bu?LZDEZLY4Yt1BX_+N z2xSh`X0~_SLW6p)_rb}M7nY&^zAxSdxK<=M*WxXdoABetk8iT&#DyP= z74SgDXlJ`~`1Bgf%z7SbFcs-K@2ATH$&Io;O!Ros^dFucbOp0wkVisSk#54|o{2wh ztbcsCvbZVbZvIF7?8M?yIY030SSmjYa6&-5!Cu`Fi|X-FbqAu$#vp`)MoTZbe<1t$ zy4UA*MFr;+ArE)qY=TqfWw4TXB!A&SDu&Dy;jy9CZ}M-{{9j;Yv^%w}wREpv*oNTy zmu?$T@>1$gsm;x(H|o|YtjUr7nu{@E{FPy{H-#VyUW)b z55M*2)AJdeZ$!JBlmVnu)-WSAhD7R+nj+cv8H%-L>(M=yYyAA_lrf)U9XEv=2AYI^ z&hHt&QE5+5c4{82U4Nz=x)HK@OeR*Q#3wNK6 z5nC6l_N1OP8Ks@QXKGY`JCq*e^9LpwMWSzuIALvhdFx%;wwFE z#6hDV<05|Z(^ZWf0=xV4s1S*P39jWVpDuSI^u3|DoqUgt7m5elx6wZ z3FcM{1N_&NMFZ^(7n?@#fhbwf@WS#&*eeR=dzOL`lDP-ckl8qMF6P)tdkZq;k}V{M z+_&kOoa_uIUW@doc#vo&^-n5W$Z^BTy-UvJv(=#;v;D%%Nqb%8nxlIG#~;UyiXA#L z4sN!-pOBBzPdU^#>+h-frZ*k>Q4FqDkCO(u=ato~XWwsq960X2UFQu}1wWd&)(bm7 zg-#RQk#?uaMIA?94Ng6$85&P%_-KL(=Be!Y&=%+FZCgiE7^?XAQMB}Cky1fylGxPX zgO0pq7Y%!79ih!M8lTt|b88o|-u)w~d!%|zwI`}GnzjWWgEVlG1;fupn=o*y?b~64q|z*{k>0aj1)^0 zHuSDhcz>bdJDHz4CUZAS{vvpx9P~ORivRkA-`y;s5TZ5DrZGh#bXCtRd-w9T~=PLiMjVDm+oNn8)AJBw!g-n9~a8em>3C=85^y~i=-&!<1iSfyO zT}`nNor!Ap?|N|=B9(ivwG$q0u;(MS{!wY+97Rv-`L)?!c9!x;|KjwXkf|*CsfCO| zY(X&ONqkzxW?d@{Sikoh?M+;`_!pW$y<#y?vP3)S{RfCY+xjO_pMWcOh}npE63=izFvG2nvqF@X(RZCASu>%-}v!t*MY)nyO?P!;sYK=z*jC+4pCHncR`QX6o61kEf#L)H9S) z8l#pap;|Dv&;ttbnyK-j$}uSxOLfp9#nF@q>mfWiG1`tD$XH6C2z=bsJ|1?gs4o)} zPIIa>f4Swq@6(Q~n3872-15oeDz@?i-4y`ow9N51vQcy~*=7`Hi@^b|u@SzC?-2Qx zt@{r`+Snsi4u8bw9IZk^99N{7cII9%b}_xIy;t3Bo^7d={V?TQL+e>`)3q+CcGk@f z2_DP|s(e~Z0-E>a=@x2%1NJtObG~nl+5+xQF|JwoK?Jl|rz#%#%B?!qqhpxKP<)a) z^CPuw_1x#`*oBMZt>hd&%qEx$x34Bw(k?jZ``upr-xFe&dC2rCaPn!U&5xM$%gl6l z`?`?5q=&QbcC*Y8Ns{{dB>S3}-D#s@>#!XGak)ISn7yOD-9p!CQh`q?s#Yn6VE3AQA{lhcm)f6(eUagg5I zhlr7`K|9S^x6o9{AxXi%%?$LX?QOL$7Tg*ec%|vPnUc{fxsSav2X)xwy9w6tGA*TCj+Pt@!KQSiw>d-lf) zzvO23ThKhL{4sf>sl5$QJ;W)17~6>Rj>HPYa8R%xgxUU@Lawcq zeGFLxyKBMs-oi=d>-wWd%J>JMCn1GHZ%t7k1$*v|{NUAFxry!{PJiCiH?lh47~|Ed zWT}0~6acy8B(Vtu{g)jt#^;8kxM;b|%E+NSD1Y1W6?SXoFSI(2?!4sGPIae7;AdEK zhx<)wd`Q>(P5bNg2B|0w9sEO6cYCjVx+6#bTWPU1QB4c-8#UeK=it!IWd`u;ZP;y@ zi2Om3RBX+e)XW_J;r{6%!L(A^8!;;S`rV%!Q(HOJpwPHgW9uF#OD<>%NPt{1>1;T` zH&+9)zURaHWA-+>=qa0KR;PKozL&Xcf-QO zYRS(E0?`uUTqra|!<6bpz^-`Bzy#wfDKdll5IO#fmS)~aCiP&t<70d8omS8Fm04F?jx%;UU@XKX=)laKR39jJ9x@5zK|9$E?WJO}8zwA1AQ@zt4y9 z0PbAzMG-jf)ulR!^miwdmcUg|fglrspoduGo}@XEP$ z!hk0$EvWzes}@&}HhjXdU0Zkl0n11#Eg*>^B;9fp01HXox^Zes+94fkoxW8+Soax zhSm#*Uro>;>dOvdvAU*uZ~cp6vb*bqkS26cJz|${Ow&INsQyu8N8ZMR$xWk%75`X> zVN=(n)VS@PsUdzOKCIxtv|%BF#Uvp9brR%ZuM+*!hji0oM`@03I-BL(YwE$G&rz&` z|D^079p(IY$pamt*wL!Sgj97AI(b4ihqB+-<1*j!Iyf6w|lw$ zm&j!F%2`^xV_MfT>Hxp=Z|1+9s0!JVBZYwmCu))$c%P^W(lLYU27Nz05KG*vz6l>2 zj`r<&Xw&YOix?7_p>TYO;rK>bDTg@=)_xjLMZCI7UatjOThKeh10MwOB2;vR8S z0FtCTtc2UAx366iA@iS0UpKFH-zSEldaIuX(i5YXRbSLVMxLI#CRz1XCdXD4$!+r$ zcj>@+;iu22^Y!In+>OKHGxcsvFREiv+%RVOEuQr!6V9cvWDl_VxA?YuT6z!PHEV?T zXp=6`sb>m9+SR(K0%0dOaI5n-G#Ql7X$}fe&SSZV~N+K?aR%u zk=2m@%)0jL2>k>f>EFAv<@7mS*G$TgCRwgFLq(HGgCDf>m z=rhdbp}>eL4P}TKVRW?NWj3`BZ*LeIx_Bf?pn|W%JX`C+BGMy2uPwdBxXM};@vDAc zVo!1H$H7{^=V`?xEldCDE0HvP>5`6C)^r++O!tA7yP`#x74WJMn$V(6Fl(=e^>Y?3wFGa zZT4O+YBV;_CcmzOg~ux zuQ&>-O`@EHNBNiwen-)s95y;&weD*Ls7GvPp31yy3DT}>`=L#@I~|2>@3w3rO+>k{ z{CdjrKA*l@>XsUR-;}uH&2kDNGRtJ^Bk~tBiH=HWkIu>`A6WMN7P2ik!HYrQ9heZ#?a;e zgt%2k;f$lNMiuVHKOjb5(*o$<%I~B5d$sua_UF6zZ)zSv{+dJ@{gMDDPVD&*LP}Ed z?{n2hj13v@gea{Ej`of-sWxy`wZaBJ6H-_9%-Qs`C&skIS zLrFzBC0J#!!A+05Kn-kN?2QXIUzUYs*M&64t)0p##2ix@VIX@$oXmkG?|slJ zt0IkR;WnKz?mtZX2w$N^7ju=ejzxf z+{r4?$X{Fe)F{KX!BlqVcvww?JN~)A_^!a4^6`w|H`DvXLd=UyrkLl+o0WIwD6^#g zBtGfEOexe1P;#W|KT}{VpwE9R0@kcEDpo7|RoB;Jbl$7h1e3%HXJc8Io(P_VkG~|` z*q$K0mR|W!cJQIyKXxRAW=ZkgZ2__1A7-C-EGhTgIJbX%cn=Azq!x#=zz-TyZn#(T zd%GOW)MH0RbUaNfAKlY9*LyWAT%xRENcZ$Du`o`M-sb6hGd?NDCIeA`p1u8(ypOrr zl7o*w>pHjE(_5RT9!m&)#;&!BE;zpjwK7MsIsWi=NPG6DH0`g|mWoO`c3Qj~25?}M zDZkG@wShEvr-9+|L@fKjyZxSHC7;`ct$X)0 z3z190?&D5qz>K;!L(5<$Eubj5wvZvSG&KIxt|@S{u;FgktKm-i^*=nQqLGbqvGTee zI=YOIuv7as;na+W$j_zDU+yROiM=3!dhBi&m0J16`snMT-u{tUN|}mpoe{wnruQ>E zvdZF}`t#t!Dz)%LI;ru;+p8lp*Ey7wA1a4L4G0J;nel0OVU9xuzhA2qAO6K>EI^dJ z@Y%H$CaBNo1S%sx8_66?nx7P{XW10JIly_!-%uAy_?o`Frua;-K{Fve-tA-FBX6L$ z-52phLDw|*9ex+VpAkLdE+cxuD+2;|%+z#yqcVD{Jah{^1*Vm}5}XnAl9_j_28jbl zuT96AHa-W88nuoTn~=SJ(+@^b2#A&!rzlnM|2N-MJCI$3eM}8V%lwkaH^pi0wdaM)AOGw5W)*>KIWN=UGfQoD20UFY($?R>|iPj8FR@E5sw+` z);SAQ>KMhMXJZ>RhDx)+6$>1 zR^M#Oyq$3|E?DzLz(9UvnyxRD>yC7JMT;>Lw~o{+A&%7ZypOU>uGNk=#zgL1*Iev* zY*zLCUPSW5q=FLdcgdbs-_lEE&!~3C9|8)e%nD~) zdrF=#Og2c1=xTc6OiL1a>M5h9U$krb^GFh7c#q-_u5&eH-l5k1;^_BE|9q^srBd&S z`%-ugc|XgWrH{V$%5?uuy%ZFeLWe(HG-yzCJ=AU*dmrPX zgR6hegm++MLpC_rju}WbXz+;?Q9?QIaODoZ{<|v0mQoz{k`z_^%e`FPFVNo3KQ>bD zHPgYm+~g>w&7?vhs@8D`0TS4r3#S+?<2aS$9ikIbo9*}I*LP3kWOPUF|4JUa^_x+x zEkP2Nq)lYc9r4o%)PuLhsYxOoy)Ai(j%F&=VW3D3SuU2@A=D;KbhJyIfi{~q(vxGz zie3`g$ym)Z0hsjmII{Xf`g)kG)Kxngc)a%XHdM#6#Z{dJ_X$4=jRu8$+?Omh*_5cH zx`{=19HW=X@*2P5t<2OFJ~$91^!C0k9*rB5FD51 z%6b^j8}%%ZxnI9~>m?9y%X76oB$q9zjN+bvI0=x+YH*P#u3l-#D$2X=1+KqRvV;%p z0o1}(3d)rc3-Br@6%g|X3t`Wt>R7zSo4NB^}4<bhvqT} zh{>$F`nBLjQCvl(B;0wBRQv+R(btQcO`|1iUJzX%TVdhy7k8~gxPa~@Y0#RUANnJc z)bU%Aj*oEE6l-_YY1_1W<8d|I5=@YHuVj8U;t~$IYmwjdMdl>XB`--pGdCBWUJ%!< zs!ARtGG~W_H1)k*?E5G%@EyO4Cz(kL?{fZIA!VdM;Y(X6RGh(W{6PzRgR10&>qGl< zJqP|?ubU+&Ft_$^t8e%wsNc8M(mZjytY#-@36to^>N055_o!BbrGSei{ht#j`t%Py zE;AG1Jn>Q&_S)}d8lfu4yj;s`gcRscI=8ow=By-WIGrk_{OWB&=O&tU7L@+>p|kw< z5~sx6_fyXVgJ(yD(m}scOgdchFi!udI}ed>^iaL5zP4DMG${4~^U8CiZmmWlgQjv~ z#T>bzZ!!5luGCgFw$z8Jx8p3qDqa}f`fTXweK(WCn%k<{Y-Rt;y!XD_+bZ&A&wf)> z;#u9-_lkIV)r*1H_AmNp(xHp@dszx`J4!=|HG^<0TKh3aKoRt3dq5jIZK~n*0b|QX z!0Wdc=7D#%Cl6MwfxHZ`t9cNFXSG*WPoM>J0jx@A+MWAE^WVw8{s|wXR|>~zqJmi zJ(uphwbVKL0OyU(YNe6>$9-2Wb&XnbsGBwhnGZc7n3Rbmj zVs`r=P<-B-pVN9xGV!eFa87UZ`oPrL{ef|E|I^Cz%ASpj=RZ+7qf^PC^O=lp;t%UL zzn&uy?0W*>)Yaw*Gw(!@IJcR>L-o1{!oJPM1#VW$^zf{W9sN>mGu7tm*D7{e0X2No z`0Gc#V;lj#y0u^R_p+Z(UW#o|-UyDheEJ_Qf4Q}~$5c;6T@AmZ)SJk<#E@vbXTQa2jB$ZnYAK3R6Z0f~o^%B`Zpn8j+%(siofhNEX7j}c>b%PB{m^{{1Um_7b zrpra%{qDHV&@0)H8_-v^pMWN;23!dw7x-^6*esaC_NFDALA8p1h%LGZcH;fZqP7E0 z#XDP?WvTPXD!|$NXI{w#s$*ZHb2Px-jJ=n)=6?u;Wl&}=)$1Xzt(9wqF(p=)twt(o zS>AaZ@dEKf4b)Uxa>G{DC{}=5E!BVHtx|T>2Bp$L%9IHuQxV`9FxzB%#s9Oxm7l_% z?p3lr`s|2gegwnsVE#xFbrEh&N%pwcDl+Gi#sS-IYWeb!+gqvX3TT|X@|aX99x7UCKwVV^%`E5FgdO$U(7iwf=8qSy01Gz<`y7&)LE zy4B_jxt;YO`22DmwvsPaQDJ8}&iE^=x{Yh`p4=+>tHJ%E(4TTW@MsG|dzj+tnk!EUM~P8zg~8)HQP=v2J7Q8P z(%ao}jlmz*?(j&yF0Mp+UGU|J94tGq+n4CafM++4m0`2uQTm;xe^}i&?)3dBBEI0; znQR$2=sXXV&F`_Wp)qGqW};&&O1@<4^1?0vu{o^U zPcA+kCDQY~%O-VJ+ieb_W&H`}oPN!Gv@CdGW_$472b?IeDrKwyuy#gfTCMWJT5ikn z@Xxv-);m~`cjHk-dm^HKXd0IH=`8N2Z^4_WDIB808cUG&qGTPNdSgxG5tHyuj z`f>WP250w4viI?L&ypj6Du5N5+zB8;;gkOkX)rmU%n%3cG7jqMnfMo79X@wk>vEpy zY#T?fc<(4z2`E-x3b*e;i{fBT^-e zyGjn^1tEKf!b4=n!u>t%;7TC43ENaW+_XsoK?_3fZ1;X#F)s!j;D3D_BQFI7q~~XO ze7&c!d)F9a_4F(T;v_tt3%5d><|@;G0QzRM$-h%OMX;E1>9-um3LG$!XYC2=_(fy} z*hikW&68Fq&I?yFbL_-@tl)Ria}z}Nw=Dlgdl{l;zx<v5_oc{mr_M0pSqxoN5~@9N zXcqR~g|wE`%L%u2va2tc;~%+bp<-PR@n4dI!haohT`YxcVS~oIQxo}`j5T~kz*KLC z;%X?s}gguXO*3EZ# z_VmB81Rk|2HSef_V8iSM~|%#xx^qx;lx(`7kST^ z;l_xHA~LZs7{OD*Bc5E&#~=yXtLB4=f@K{?3zD0`#8+TmW5cTBx?^=y1TSYS2&ghW z%{lHNJGmQ>Z+Pififi8%Ng`}@Y6e#{d7nR9U6gnmToHCcjBULvK6MczKJJ?!0h7xK zR@^XBhpFTB6_gnGgceckMR#F4XOPofcltUG<`95N-Z(ZpMZ=77DEt%d)9P5}bJCj~ zO~_~JOVLgdFLYLM9GXlEA_ta7gZhX8<-8X(2@q=t4*`H>eId|g0zsY%U_r78Pf#|6 zQ~>Yylh*rgjPUo~fX2b*sCdwokK;7w;P#~LJAB}d=1T7@`(F+p@IUpCV3QW0_@3kO z-PqNAAaQA{vJc&@8V5fARn-Sfj%KdubcFP8`=ILbXoc~H;PL6~nXQ?kDpBGHr>Bl( z67vO{c76e%8hZ{yC*@hBT*^Hg>hEZZBdDib8chVuB*de5QSZBRS6h+$B%j$F2^W>R?_EC7?c`U}v|aH0m$e@&=dh|=%t<0kvh+8Whl)>m7RairZsf>_i}K%XyM%YH;!Z5k+a)`;cn(O zV&9XqyP49p1rw*k8xw?AciJ;q9gYn6172<|G(f@hK;D--Ei)h^Uf8sdsqiYSm<%{n zamU|WdA=J)3NT1Pb{HlxAGN)#`jC@|>p!JEq{i_CAOPt*V`yYo8aM{57!U!eQyzB-fS*{CuNsy^W_P!pVI)i+g* zhgi>-@Mwj|Y^5(-V!D~_Fa826)J1!j7*@~xh0*B;J1-==b~M2!MXEHYGd*yViV~yE8(Yg54Cz}l)SUci%m zYFix0`IwL%Oddol{v~M`k`1q=kq~g0VS`nlLkt#+mvT4~rhP^`5gWdEnr!EU%K>^) zY4>Lg4#fWnwz8*M=~#&HbPWO9r?4D`c1)&f4J9XD^ls;(K`PQU@Ek3a9eAK5!F5?N zPGX;!rI!w51RRVecl)TKyHn!H!g6QZQ(Wi21Qz0IeYK?TbTb+5M4Zg&NNG)S*&C6j zloe%9Jjm*ihr2MeNyj$!KCqT!j7g9dTVxE|4i zE50%PC|nU!7gfbhB9|$syp{qqhIW$m^Oxr`WS=I4Z@Bk^Y9((Cv9hb2}JDTTL zXOydW;Q0(YJ=K4)?nBGTkk1ln3)AKtDyZKgF+OPf^%>Tk+E`}7ovvxkb1aTs@qz&9 zt!|pdJ>ovTzjK+G1&11t7*T?kJ+m9}c*t|sv-C4Z&Ty-lo+X$Vc;ML7{9vV_3>*my zZwLx1Uwz`ejIw8%>2_qVYn;)|=?0hvja;6n!JlQy`YEC|s|6qq!7XJLU8Raza`36q zg9=94FhYMBBm?3K@!DiLDn2Wd_@@a*CC#~1&9%lEfvjcmPgmygICWt}WTJgY=?|o? zXMEG5ZLoLsrY-hcARExC9N9~=>;0nXn;fALoIKI3!cH*Wfnc($<13K5s3rf(GPx(> z=c>VwHZwy&kQ8#x^Ms9F1f&lb7;J?Ev}@3S3as>V-oza(5xg1UCSw;<$72DgRUE+j z(g??}O5^mPWc{PE^X$Mj2Tc!^CZzpw7l$VJka``5?J24 zEjKqDC%3)r6velE$a-al7-k-b1xe$@9EoeQy80peXEj)i*?~j@Nv9!@jt!eG%_W)ova4^a@6P@<7@ohnx~YkM z>QTw~9(IP&^Dk^c7^UOsJ|+IARkthL>P%+(*z>Ac$j)6sw7gYu zZat)|y}$$S$bIAoQe zlX-AnyWFbe>m)q!_gk67ye?R!Y_8Z>H46h+r*dqx_ma{Lc3(5^@ODz^>THVfd>af( zVhMV6NIkx@*C0OKE^!ZGNK{oN@$ozqjkz&N2!I?=8KVk%%NY)jLS*d_D*VA%QI4udqUJ_0)B zh%t(*^)EgbU|NU423I+wN_Ng<>IUnTwxn}SqLzyQL3^SzVnRAJk03iB`?DQYpxRZJ(>r7^KyV3>MvTXnCmN9Pa>kmRK*!CFS32G_l+XX{#VOX;vsKJ~`w;eTQ_DwpmY7%{juYZt^sJ?Q?(xSSkhu>0&R`$PV{>hp%k6P|m6x?#sR4 z7_Q8d(go2@`nYPm7rdb%AZ~{n>pP98hEfgr*^rPyYdL>W{VPp`;f;Pp+s|9GT zVh>HfA(G?2ofcWF$uda=mG^PbeCI>EnvywaOwdbmnmN%08gUZuFD4BHyoL+m@f53P zS8Y#4B0XCX1R66?UDc6UkaZc6P%QE6a{f_r=CS4)!)C#bM1sr)!Hf|AIaqlE=ejZ* zIFulKl0=DMvN$&)g^?y>63rKSQ#QB;Dhk4{fd0VP8fw51KIpzSHpgJ0v||faB&S(| z4{@5l_t7!58C^`{KvFJ`<&*G+{|%f@zLK6njtF6`m$gUjS&yYN4sApBwR#U)U2>w? zn9#{Bm39}oATuzIu=)mma>`X7#r~dS|$bp})jz2`I`D@PU8Xp>*}8Fcpk9k%B{`Ni_p2XDSkYp_ZYDB3wfo^vX{3j z@%_G1sQT56E-JJ-=gX!cU}&^6CC{(Q8Y6eR=Bkp@NxiO_o(R=R@q%I#s-uM&IZ3@O76+Wy4lCHiWrhBWl3}%di{< z&Rhf3)2{Jo2KTf#ed4DVnpSD`l2X>0BzZt5u*Ca*cmKQHgq)|HkCERhDnQPN< zDq3X+<$`3rq!)Pv<*!dh*+)~LVb+{#nwFf}ubgEfBB*5U>k{(6z2I20&Jj%7(9+ej z`Q?AVxee|O>7UukS=eiuT+N#CTL^)`TP9~*tC3LGJ%Y3dy~hYXqCCoSx$MsaV@5}> z39wKV#A@s8)p>9;_S^EN-iEC4iuI-bh>pcP=Wgz4t+@{~wV51i1y%SVGTSwY5CraZ zG;CzYuZtWP4BqbcH#i!rpa4V-;!T#ZU;A?20Ov0LQ^_*=rPTiyNRRAr4r9|=N>w?t z@j5M&@PEPHwc!#mW_wTkQAq`pRYXfg(p6{`Q5~&_JL_Lb4vv)#ZUl8hx6yTbio=da z*C!WBXNeD?AZLi1#u}B^Ys-gNlYZRd;8gq~S4g=|O#D`t{*7^dCrq0sVDdj>=8023T`;J2Pd%o0 z<>@hKEpXhC|1#(K5wZVE?2)0wcE3awP<;>0>kE$~jP9@jtv#*h{qkxOII_{PwYS zf_eQF9V2?1t!npyie+uXmP!ntRdt#t*HaJfs-7tfou4k)vsLbHVB7j}KZAE!7|q&P1-Xn3gvk-G zJ1S>}R}IH@A&l$*oOeQfJsyM*GCdZ?rVcNw7bPjz9FHya5tl}tKRsf+)Th;;6?i#iGGLp?0WYuV?Jp85o(*jUF+ehlfYUq z28;-}B0@T3B`4cDuCULO(oGi!`3VeqwiH2TFqCK#DR1>9e?R`#$A3FqW=M#Zo!JYe zj?MVWR=vRIA-QByJ-16l4W8z~lgc|Awwz;dR+pJ7CAD!)R{}^65hqs?QwL{u9f!Pq zBj)wiJ%a@9W1D5pMrXu3-tmN>BSQE_`i~{|bOLymhJ#Rj-nUia&eQr5zA9pTQ%dM) z0y@;UOB&{Y9d%}OEe~CB|Fn%I);urgUgI@b!BvT^921Q+ohJmJtP@v4a}BZns^i3; zB?mB)o%#2G0XJ&ilPTF88oXmvL_N_GXMbh}0vb1>A&~*ntzNbeXT)>VeX8)MNKQYa zAm|d!V@dEjcej}?D-Pq!F_~a{(X}-h#e6K$@^Gt%h35mGjitbcAkoKg;x3}*H}N6R zMKk~1q?FIDW^aoHnLp{iE6lIyqtPKmuRb2l&WBH1iC+F*ha$}TA=~5-lSHTusb`^9 zl@hIhoJ4q;zCY)%S@>cl|)*q zX7A5UaqzC1>T>a4%DoI?#VmQ2uJrHJ)iuNfso?#Gjw{|^Vw;DW#Zn#nQ#Z~RKRDXf z$EMD9XUJG8Bnu-QCmVNj(yg&;bVgPvy*rVB$5E$As3rVWaik2u# zSa+Sz2?7dToeSCgtFnAmJ#d^T%u%Ekve=>q@<@4U)Ag!zh6rB3&HTfa=W}IwdJRo1 zSV6VE&wUD#LWi@7w^;zUlrmNBdc|~KP3K!dCUJa4Y0{)dHAD+XyE5Vl2Q|IXNC-NrcE!*hnNNGu3co+yD>Rbm@=IV| zF;DPIa+~Av#rW-lPTMa+Whvo+fx2CWuBLpyl2vBz^#=64U6zsl6Jhj|CJ6Z~;IpAn zvWW8Ph*ejpsHpT58x1crq4kd;(MWPRHy=hA-s%{N=ifUy5e~O}kVy)YC|wVEQ+q^z znAmO8%X%<=AX*nMu_&qD<>w8=;X0vF&d0JL_(RNL9rq;Jf8OjWXNvPHs0=*-(#QG# z_)zSpU+pnP-HiZuJpg_<-zx04z0m6DgqZb92A?(ldl~=Cl9$va&>L8r3#(JDb7;$% zE`g8j$sfUE3C0kC-=@ol?nf8fL5F`YBB19`iEO$G-TaOKvK`@O%Kj*2BCP-(PHYzK*iIbNfq7 zPwopV!gKb(h=?zceMETv{cZV&15&sOk*zfa3dda}?lKrRH+y$BkLKD?fG3mJXW6&& zx`dvDh@D$gTZ?v&ruJlm(N7qwUEnZ^a2a#jJ zYNUG~ZNCX>R^Ii<+n-p`CQ4OtynQ62lh|lPiS5a@keF0?ejaENYF01i!C{cU{NY_^ zP?S3PxBdI?ciIw)P+SYz-(3OvD%|S+#UEwuirX}Yzh+WfaXkhso5j8n&>eaE%b*1o zLrtGzt(B#Ddgr?DYuVl7c+J=c{nhs>gEcFkZtCb6?zd#VD!s=C`5{voQ9uJ9%nk`B zkwP;#%3jrHGxvwQdi`GBYlf~f`$Gr68y1FUBj*`et8FO}o2b~$$1)S`lHn=n?o3L4)R6eKkRjoZ-4we5_w6I51vM4W(q{Z^2agr^xC6f26u~$u%U8)^ zV3Ch@W{wOvkyl8-me`4t6!^qwa+K2BarNyeo5H7v)buFl v%npe3+kw~4nlf*EAzPVHY)t&*06w%X-s##GU&?H~=kxS4R#z8CUtazn0EEFQ literal 31439 zcmeFXhf@>Z7dQO1Ax#mG-n&W@P-;k2iXs9cy+*nSNC_>JL<9t+Ne3yR2q?WsFM-gc zh9ZOxp@mK$lmLOe{GR8pcxRs7nLWF+v-j>j^>gky^#A?$Un$MCt1IN{d~rn$?K~ik zuAg|NWF#I-Nb$b#a&)%km6nm_eJm>}B`qoaSW1Rh&(6c!(Z-JVt(A=vuN1G|YhEKe zYhE>5N6)J-Kg8d-8s@+M#C`q``R~r{|4Y$+|Cjn++s?e5DyDXHjGNcI8z0PD@tN1; ziy+lq-CoN0=U;BdA#-i%&Es<^!?Pdo#@~N_U-c&aeF-)}O@_Di;VT&(w~qbcOpV3G z%iDKp&b&Z6dxy<|XQdGBOhu-~LZ1&;$D6`2C_1pUU!+Y*aXo50XhE3T*X-PEdf{Jd zwstcX2*wnqaM(!j$avwNSzHup|MA}nri0Kn=d!w-Ox$*%{UbHp{fy&=3+*BI4SRec z?8y}A!{ck7Z$sU81xOnQB2(RB*&RAVACPpulX_Z@vOhRXnudh2n!|jzK<%XCmbC2= z`@3!T;4%B|U0>Jr6_Nj{`o)pk(g@R!Q>z!ZwkR*+yjulU_mB1Nj!c~89I0^J&coad zkoXt~^aHv=%+r^rkCRe-1Lalzo77t=C1$vP8F{XUs8cjk1{48H@DD1E3>B zEnRflTFk06VwX($`?I`zh^a~n~$u0lQoKhHGP1Lte-azdbyN2K`dJ5 zY8oEwCCD26X~-R9%3F|_Hh*$Y|Ebjf4-ClppI2i*3yVcb71oq)*Q(t%`~gYZ}$Hjz3Rn`OJJX#$Bq0dT8ld4;!`S1R%-u zV5|)7QB=$M_FPWNo&LNtrGRAZp3N^`v+|A5%LHwQ_Rl#!PTiiL6sc8hP2WO*nI^iC5vl({kY}%EU@(Sn4Ot*b9$w|J`tmz zENR#HeV$9s>R7r<=$>S2$b9bJU=Ey3r)`f-xOiAfg3Js#+J1g2abYX}KDJ!&ol<5` zum>oFQ=D3j=uGV*);(m)G@SDqdfTf77 zWAF?xkM!*^_|W^7?!Or(RW($mOn#U@kUc8McO^97U7gKkPgWvGxbBLPceBhk9yAPdRNl;Pd)E~K{akhe}Anr2X z9`m55TKyHvIWvCsOPZsFW2gGr25@x=J+Hfur}y%Zz{sd(9WM5&!2etZt8*py8N0ue zEsrz;Cg1$L5$%e>fjpgeylV>bOWvxe46o;t7Y*jeDAxY_9Na8m*I zp~yZfkW)iriea9kaVqiIJl^XC`0*@5)wYU?E1UO)#w42yZOR-tMcl5WUo(52qI=5H zG|Zl`5DBb~VhrP!^$T0BjFUQJ8&3}s`JijbQfPfJx4iFQh?e3~vgSMS??30@SyqhX z7_XR5!C%&txMxDO9CH@V6GnvZ?!5o#Inow$`S=_t8P$3zeX_t|iF2c!24}uRbF_x0 zwvF(RTQ+58zA0w940a8u2S%NMG^4k~Y+qA3Rm0PcTXGz<0>YpHE2pb1IU*h4O z7uOdYsbU1xwK9q&6wv~OBs&m{d#=T)HKYUB!h1n3psIb+>Ha3PyJDYc1 zgguxLV+BsrlJ$d$8qG6T^yT z)^D_sgJyv12O66pQ1F@6(6Y;B*Mt~hMum=5@>1aa?t=3~xl^dSn&Gy=Uda$lRx^43 za_{70TIO_4UAtr-;zE7|*oMX$0N_g~A?p?fU}JGkb%d+3Zn_PS3V=$`hF>;W;s>pKD(O5bdNeQEjmJDw&jUopx@a1iIM|_A}zdG`M255B;RO<6Srw%&HLOfO>XML z51U zBw4`&jE=icj}fQRf&F{ny(cDNB5$jz6W3Z$oqQbWA2tQ%7ff!_h-3Z7vr6-Nl`J4q zr?WC+!Cz^8vuZMPaxh`_vBMhyrh+^M&z2S<&MsFvbDl$9z>@>eoIap}e&KZ`X&FtG zKtbs+?dc8J@39SuU%9CZyaX}CEx_28@N+h_+S15ufZMaP5P(ywQ~$IUqHK28fC(_8 zWj#9_4K+*>Zwglt7%Ib^YTiQYbbB|-yp~-X$&7pM85aS#F`wkrxFuTuqUmrvBjw>7 zl}!ndgTmW=XLdBqNx{-DBk8p1K8?!)X5Sezd@PV(z3!ptw3^qXWqXc(q*|-AU~TBYWyGS?KRjF}Zg+khL^lF0r_TDVd3c&%mX|Tu6^h=+U5m4@vT$A} z0Upbahi$>h+NLbkjaA+Z+?xTp^>PLZA0KIu#8{G;reOuX|3*FbOB^;E*EJhDV}Xqc z`jf4O8UT1l?cmVYC685ap0eS>CyM6z5Xhblfqg#2Rv6S7i)Md3%ugWL|4)y zO-QdfY{J+2Uf@GaPxlL^+J)`2M4p$mNSrfR!yG6Jv&caU4;}aNX9adu=gOlLFFbCc zpDs1LlBHDzuv>YK2(6@*pvppDTVLKkg(m$4Z5iUtw@Hj!GU;7PqBk!a{-DZIXsm-- z$A0W)d%(NPT0{>Uv;nyFA6-|9r+)c7Wf+7kUrg&cbwGsUFbC*D0dhdY7u*>G`OPw^ zI;!5YA%a{dK>o~F_$O1t{L`sRHXUyM?!jhKSxI0>n2UoSR}ttC zBfq*nx_dNK_B{-;qR&GZK-PYrwMMD%wEmu~@7Q?LvgzqFNS(7LqB z3kj|dm@%pUf~&cni8V0;U?K#fDiH?%ZY?*e&}=Tf+Ru)BkWib~@WQb~BDb-=BwNDU z%gf#5J%*3ztmI*!zU>L6!JBo5?S>~+TZhzH(4T1KM&Y5b^k1#RLWk&NCO~8_`gXVj z?QlBG)dA~!gaCv2w?P&WYnz_U1iqb~y7p6k9>t7YMeu#J)Ga_K>sg4mLFv;$ z!6x7%4vJjGOl_Cj77zMo447;P-y9e%2rmO_x&c!wOhM5J;{IgK?~1oPOM(+3 zQI)rUqIK!%YBNfnD)ZPtG}j2Dr*)_f)~4}|fQ0Rn{bv225aWrS&gx3vPj{UQOO}JH zwDkfr1wpRNT?AGuj1oxCp|QlQrl9U8Nd+NyFHx{Lq7+^AupKU+)GO&`D<%#&W_)myUe8y<`a znlHVB^kU3MGoJ%E!bJvJGL)3Vr8Yf9dOvY@v3hNK9ZMP30BbWh4~_RL?76$U{ZJ1Q zb_}86}NV#bP_%x2|1GU`&@CXvbux;7H;AEUS5N4c9x6`||e3rbc!UPCQ!JD(;hcQ-| zKTO_pyPKkQ$qfH6Ninz$>cC^Kvo{Qy4Q}0bnY!J=Y(iAKe31ExdzXyO z=L&JOak~uSkeuX6J#!bZpnv{?rJKPc(lOX{488KkEyCOO^-0f1u;ReV&7nY{55WV= z#x}DizLVaT_h2+M!XJ!9_VE zpA#3ZgSI?ZX1 z-TQL<&_$5NxdEP}?N#=osZLdjTy|)s%=c$&nwon}2_gR5$Md;}D`u3*{qLEIZlJ~T z<`y`}0&TEPaO#n{H|161%N?~{^bMzMi(#)4U7Io@UHL7h@`R9mlG*9$`y?(LzHZe) zo||g>OgGfRL7~YqdWo%xJ7&A6&#TE`GE^eR0$f}q>0q?Y$ z#aS2})dW|Ia?cD~UTLyZHmVGv0P3;%Z*9-5LfP@O5oa*z^=&);Ls=kKZt9odK?1;_ z9a6O%aWQa~V5b4)E?rcp48bYg0#qB}GZuZ9d@n7pp{tkxAhG>eB$D&2@S_WEouLV4 zoQ)P>I!oLq&F_cU#QW0Tt39!JIx$M9n)96_GG8fJyqlO5>qcJ16E8(W3|Ocs)hFyI zMhEurE+|G(U;5>%V*nOgZ|7(c*6Jtyh>fRP0U)-exDnv|+yr-Pxg%PT5aayz!giwO za4Thi^UmDT(XWbd#|S-e`j%Y+di9k!k}77CGG8S1ca{r!<{P+@l|#J@)!TRj zpu2e#Iz;yHYG#xsd~Bjt3U@7V^b@Q0%|$wVz!~bHvA%$-*);nOeK-x%EjR zjjC}TqxOrS^=RvMyoJK(snteQs})BSVu8}j(y|s==rk0$nW&(2TtpnY6|bOuyww_5 zpbZ}Nit>+ZNB=b{JrC?1-*}cSgBfB@Jkm5OWKXdr<$)ihdZ3=N&G`=yMgDB{9~AzA zc)90#9(xZi*)k`*XDufDLgZhBdbyk5FSfEE;=*4vh4Z9M9l5Xfgc)3%r&R^Uy>jq9 z_NlGbUhLbMXPx5SgrsjTDSYqxq8N&kL750p_Ov(|;W-}!dYXLVECYM~ID%$scDERy zT}xJcDZco<QePICTQTNsYV-d=>DX=?}a}U4aX6#t5R%389H;>+L z9JHDsXY$(tZMFF4O6|19TlC~%d;IBk%Gpo@nK&P1Pcbt}7t92tzyqbm*? zh|piztwm>JfflfsogcYZ_gOsWAF0r@OYLQ@6h}wV)F2+oruEw*5leWksT#OG81XwC z@f?RE!XzMf{|{??N*DK`0vT|r%bb>uAZ_4X@UMz3dn54HOYi6ui*xm}X1(@~mWmXb zCAVDPVF%1Jgy83o*@XLhisBrN}UknpXlvThyGUx4pCokdNjrKZGP@)ma|XX>x6#ltV~WF zQovf%(&>wzXelNDoS6<5gYf71Zn0jik&Rwu0^q*ATvBKfWGi;&GCcaV&;^G6Tj)4{V8O5Ojxalxz)55-ajJ9UM#`RK(E*_>-rSjq@q=1Frlsix;zp;yh_*m*1^zsVFDi4(fRhp0oSSFuFj}>nEaLRpifLJgR9#ibYjsa&bP)APS z#$g2RA&GgC@Mh`o)G!oLE;XoT~0S^OyJX>SK@hIP(P0Mas=e|b}&fGjB0iyekZFFSvww>w!Wi-6Z?KV98AeC&e^`qAN4lFeFG$~1SvPM~A&7>1A&Zness4N9nrKPF&YZ}Bp z))5VWg)Oix{u|jHb>rI^fG?`U9$}p{U=~(UbpT)u-aX#S=EZvfc+C@1)C!V!>if&( z_V!Fcq-Q6n!L1wowe2EjR`M^0o~>A*W^&oa6Y!YDeu0?sGg=v?+1L+O@~`U4YoPj% zRuedgsSA-4eA4svm z)4Ai=>l=0Xr)Ac}X14$uC5|8X)|hksQ7`(|YW$AiLNs2I3L&hw3P<;We@I%#w7i;cgdaty@ySb{!_5 zUO^|$U}-t-)1-8mr-in?>d|&NvH2Q#sD~mSCNlKo{pVJGI#=P@mY#e_f#@}gO;1=aS&h}{;oo$I&;)cj z!)}k+B7$Y;f~+ZEjxM5w=@XOaTjSgg@V)e9&|t?~=lwVMK$V?@wRNmqU*_{Hb3?$N zxwl!Y^Dhn-qJ1ZrxYh5NB<7{>=S9@MKnoI>M61|LKp6@@2;k#^aR|!ZKHCj)r%BT_WD~E-Rfl;>G=Ws zzP#6F1(C+NYbH$VM1|T1X=kdt3t87h>Fy?f( zIfw&QAK3OEOBl;9o<^<6K`e9Z{7wx*8TSHCH~Vad&f8ggtTNHDQ`fHy)4$X+$F%`3`g5+DhGOwxK zl_O0}V-J1S19ji-_Qj)dA6To^t{(J86U!<2vSKPm9 z&bj-QFDH|_zBd;>^B8&2D?%gaAel(A5Bh0Hq<&3?1_>^AG-oYHexF6YJ-}brP)5FO zgSrK+U_;|g0=v)3E#U7#SLG)i8PwMOEvV7+jYyVcm}k%mFf_i2`Bj$rg9e+RpIPh= z@S~Zx;t^trB!{4t9vY$a9uef>>z0ANmP491tIQzwx#^^b3+YOxFtHZh&#nan%Q8*7 z)?V0WSxrV@2q{V$(E8i0+c5wv*VdrYWntomY_E=!K6PYM7AMDyR*Mk`-uEnuGSiN{ zOk<57Tfa9XerBCugk_AqFk&4v;jzuQ+@VB(iQK4@82o97%vaE36pl&TmAjTr?5rN& zL@l;j*9m&i2F2v0NA&U6B71WO_1S-H+m-n~b1mEPEHj#z(`PLca6Ci-Nk0Y|aq zXgvJ&@$9Qh0CrgjUh3H>Ivggl`fF<@1d?KYUmXnz;Sn}hXr`Ii2+(vu^8UUZf{$wa z2n}z%{0Zn*YGIW!o%OS)!j?A{qer28X>(m~;F}Hvw>$+jZ8h^RhnOZTs}~Elhek=| zyex+9bS{KPVjD$?JN;7CxTfHAW@_94Wr!t}BCrjA6)0dP;K~y;lEFT}tPg2Cf87?y^k%q*R5S@ZdX{F2-1A)#Jou^eJ zk|PE_bn8f3PepRv3NDmD!yY-qZpG!yU{VgodEW_&94|D`JG}5#vyWwD_=49$`+p5TXi7$IXNrhYYU2O>JFTC{kh`vlPa?gqIVq^H<0%5(P+ zf-{$ZY@KpZ8x+HLp0 z!pgclfO?eGh{5zetIZzQDw{ghpEr?au{*p0?*uR#fE>cS&FmO^;T~e5fklhfERyIV z3H5rJnJzQz7UkCWla6xV9d$c24;l{9Sx?#ZL#RZ!z`{^xk1hg@cU@4>g&pY92>CTz z;;)Etvk>wNc}rY_bZi2Gj$S*Kd1-~vAIigoU2$1c5K)zfrf2?67>kBlIS2ktWEFmz;_-uXLBHaFJ z%mG(tE|ny;-mFUoij$s7ZEqL{ij9=@$Vbt~Vpd*ZSMo*vpx2+Mb?i}tOj)Pk$^VgK z@WG+VT-cYCCj!RmkFv;bu_v#R_o94>A>_x{k_QD|jX`4hyPv+REn8$-Z&-ii+M+@@ zGpt?@Hq&Hb0v6iP5(ZRHD+Hk33x#Y@93;s%{PCtpXTv%EhSfhvcy$@<`{PZ8P7@cr zjjKmU-MRvHw!^xayRX`G=-a}0{5aY7m1$2ks<-X;?THDK&HJFt^33M;zurnFea_F( zQcAOa@6E7!)aO5kaze|Uru*fsK3u+gyX1_DWl(nxxeB#Np@7FI2YT)KY)P8cUd`ov z1tE!?>7@k4OkLsy3a4W|x+Fu1G>}KuQ4_ikQ6iK$%lF|rA|!Kc`b*-=5Cngq_tnNC z=?|)R(y@4>@1ylsX#Gy4GomINP#aUB!Z7RSaSe+Ox6;K*tELaql~_kJtr^iN1m{aW z>~59RTHk1&Y(sn}1);QK@jamla@sL?kx+1+N5i};zJ&jRhiMmtFFe0`#n&2y9}G=+_#z+>D%%Qxd(LI`n)>37RojoNmZV_)@`Fy*2Y@Iq0!E2y(QUE+Z$LjHF>n89>z>v zUaF$S&D8m?3aztnhP!q+;|)6}8l%_q{Ra`iVhtV8);_K+O{pZl^3c?zubipnKEn9y z7ptK+(I->(G5+RK4@Ah1y<@BAg-truBQl@;wwF zQ8FBIK;cRB7$wwyQM_nefo>IbPS(r`YU09KD-PYIN(3`nd85kg@_Q9g8+tEDdZ^!H z<*M>%noj~5{x@<+qgT%s0s#-Paw7j*tfz^iHu!zq{RK6@%aUhVCIixJnyv}?)oQfH zXNjyp@r*OlpRzCM3?gHsOcUNC4cIZ!82bmj3F-O|p--GZ{YKwIsLK0X`-Q&8BhoA; zpv(*HBXFFp_o~V)6Ic<83(0piZN*xyQkLJNS;^qFC#{uRFW~X6Ml6@+UuNd<3|PJ5 zPPv44F0Owq4kA$9n?EYZ`8*DX{fe=xbw5bYiSww`tq@`;>V(A`8Uhw1DH{2-;yQAF zhmJ*xm&}Kq`1xxVGQhsNsZa0$^W6+L@owQdd{^q_;Gh3g@M##UubHBNg!Lj9)cGkE zB2<4gpo(?<7L;`B4}SS3;JEg+?H|vQAqd6bLOdYPX@Wr| zAbDZokmlCCFoc4ns4hlxzRuNcjm3u&1VW{O%|%HElnww00uK=&sbLFg;DV@ANnnpA zn&ryL10_imy@lMC+DA2wWtUNa(;|LOIvKt5AixAu%v0t%Zs2R8d}Y}$$dw^RD^E<| z`NgqD&uIg6hNR?$+vp=Nuq)yYTjyC3habK6)#n$BY|09d`7`Uk)A zPbZk?4Flj^CZH@TZ7)NZG)4~#cU!yI3RhYgQ3F)Hx2`amfJZZWw-KUA~_M1A^( zR|;KN_TB$-GKoT&+^K(YMs&ZqDj`Uccb~n=azK0;4tKg0B$Qnq(0O_t^~Q4!@8X^( zJZ$v6zVq*99e1ieQFHgB(w91^jz?P@@qy<4>xAGC8TN(e=Eb+X&cgA^SDxlY#<>2$ zq)})&`(Lt|Jd~>6BzBom^MplPl(#rUM|@X=6pKoe2_?3o(s%~hvFDV?*YbqlZ(*)j z9~xYYYxtfJNgunVN|XyBzde&_rCvo!WU#-napf~PNxdhw&rw_ep>T|G8!f5}uFoEaj2QUkz=FWH()HDFh= z7H2~EWrqhVfV|HTe|HJNRt^3k z_&0)@dqM41;N~qaPwQ(qqs~*ReO*va)=6Z7Y`llI<=@lb>)KU4UzYMDYXv?+-_Eow z1t{5^*S{Fr_+or=X$$xd=Q(}Hq{m}kY_>)TON2P{;U=#Sop|nCUrN{T|8UNQQd3ok zfxO|v)n6a-@!IL>k~8as7P~!7{9LW~S3%<%PN~rwwm-<`?y&%U^A++piZ#D(Dqtq# z&u2((S*A_^K{Z2;k|!OzlBt~~<+4|DwaFKKTIaRXyd;o?s_iu&w*y$HaJ88IRjA}m z7B&#O6CPW&c%-m`Uh-_vWzA@Iz4NdMI@5pH`6-}JQVf6_U-I-(ZcK()OBIq5)+FXH zHZ`>_I*1}k(8jl48?r2%)Zq5J(5wcbgxdBnYCGc@4ZB^*M5}z!q=u%q_WMx-JE78= z4z?tJay1ZaDgEM)4Mr;zAZlxSc(>^KjM2c~*|!e}8&LyCq0*vuwq>G;?oPtmI42rA z!(P0(-UETAyy*RuXt4ivge^T!@i72ymNskyCI8GC)s?%L=yd(Iw4i&QSWuE; z09VP}6E%7x?u@&6)%>tW1vhl}Q2hc&-S_?J*3EJ*T+fvTH(@I4 zruoZ>P=m*NCAt5SRpq(yh946pM1RrPGvYYFCXtrI4+39X%?Q@ltV6Lnk?p3z+_f>< z^fFGu^Xx;}e90a>gJ#R_VnTI~{ST7)kU2z?l#af83$NsV*l2~j@{+1ifq@@aBqM)C z3J5tO>}r_zXC(z{@)%}KJ0VCG2h%S#AC!+iVItU~FTQ>ijX;RCSPe%8!!>`DNY0kF z{m^WqW6<_&IH#t9WxGwhy0du63(q2&jD_#>T!AJHKQOJv=DM&W{ByC=ZeF)uArjn^8j5#odl! zx(ud-zc|=pO7ySnn``|Kq(Y>)MN~u8J!&&iHg5e7sX>I@s=rK_U&K)3mqT4eFP48S z$(ca3lY4j)EX-cb#|YJLI%_Z_NPYx&CgR(MHH+HAR?>Pyq-Orqm$t*HkfV;)4t=tQ zr-Q=g#KDvbmH={2k2TUqSF@kA2QWLXgVi`TT0wL%J+W;&0-6w$8#QUhU@~SWEt4PU! zyIACXn8|0j4sja=ze3>D3%b5VSad$0R|`@QBTFj_F6Hml2;oUxl%+6a9vbkotjrEY zx4AxuEyX=PG4r$;DUAn@VqsrH^6My10y{Y#-4Mp7hwf#$1)GuzMN^YK7U0f;plK1lvTd`qxf1H>;m+gfty3YaI>t#6NMOByh8}Q_?gZ;CD|q{L0r{}$dg1m2_a-W zV&x$DiS8`wgn~G+FR2!CK9fE7&(BNUD%uGg8^|#E(I1MIe+7%;qV>@W7=x%S)?%3X zRfU--eD!}HVc5gQX3cws3l!*to?km^LOk<&=|%@puL_fzuW%GCrGtJ4IsYu{A!dm{ z>7d?ll_K-Jenw~rt@a-DnP=%0A!eYEk20Fslh38F!6@kH^3i99yVxk94?S4rnpkRF z+4Idbo0T;8gEsN$6Io#G$v>jYC974P$Q7ajhk|!DmmV*3adg1xftCXRnhSRy?!m%$ z5r6Ke+a)iUdZw+gOL3@q5(G8g;=~4imf!C`#cLEYiNm8m`kD!4zx^E8sfq(U+oV> zDfQQ}HE81&+iY0+b49zv1Qai+Jj9kx;p%@EVP4cE{@$i3KL`5`8)df~H-syW4iBO% zl>BGIH1OD*=58F#c=$Klg@rb|g)C=bm7MNQz z@JQ+&Q`yZ=rdTIR5V(G|j+pIcI(39Ph2OEfyoUwq1y{CLBM(=4cl8Ob)H!8PYg?_r zc-^$;u8+R@9A!4S{!5y;5#p^lLrso4Et8{Q6?d7RL?@+6?+MsDBj3=HrFYh@byS22 zF3?|MZq^MPW+5RvTN|IDKG+n^OUvH8YaF%r@;{L1PLJRFaLKob5L!j}16Z(85X)FM zKQs>&CcoF7m{0zM0zHJnuV*~4ds95a!Itq$_)$QJ$DIRJ$-tm_x}-RAmD|~uF8+-5 zivs*r3H8I(?tVADw!Vy#rZTedN#SlxLQjFm$lr_3xu@kB4>A;5yGn6LF;eWoVlY*8 z>6mNyDJ~vqUav1V%@MgGmFup8y-h)MLb2Sx9H)e<$?FcLx2c>Og(7$ZN|uM&BcF)K zrWSe**yp)}HCn|<#G7?L_5?_WD<35)e(doUOWxbXyq6DN17Je(0an+$DK0gzDfbs6 zX^`)TC2^!&6x6;O=a25NAaYQn=mHDDpLKR*EZyquapHwD0vlFRp6oDqn@J#_ zIoLCkna|a+W7J@=_^TeF2vkoIt;*2B!7!K_B-n;#(iYWzN{;^%s7ZO*f_gP=cnozUe#J*neR_&BG09b) z$k5$K3rgn4D=4u`^XJB9$Fy1#UH*`Ci2+mISlUa z{G4_j-t{j|%J1De8yZ+xG9)C0$h0QB%X}EUP>KeLlM3VQj@ss#YS=By8H|{Qe4|b1 zFjgbf@eXBu_1jI?t}G-!L?)&h8DDWgqDz+vE&SmsGPp1PRJEV*Lna=swV+k*>Q;QZ zjk;hQKTfGPBurhUMfjcIAYt@tfZp>}Ws^}7jlllTT@;*zRP+`AEAk465E zgEdch1Z>ST&X_wXgHEDa_!f4B0Rcv|;-6mk5)RcTt3EMm-<^7d>h&rQ4=dD1C2P`L zB&__-yH^+r6gs^j05x4#h}U_#@Zv}DS)-EXftrJWcw%xFqohAYy{n1pJ#yS^fACn< zUp^&udGW}kZx{R|PHf7nEM~3)lBvAti-Ecq8T$n2)ez(_Pf~IVcEII24@aI8z#8!) z%&ByKoOj?4ITxxf>6aX+3QH^gN2zgB;w49WBB3~1EDMeQtA{4kz zgy@Jurvwz8;_^>LUl{iWFp`@}{{C7M!v1lOoRPl@Dl*>_ZRI#{G{vpSNq|X@#;-b0 zR)#3|2Tr_hWGFqPQ3x3Pk6ekT360h2n|y29BDSJDMRW}YK0Yi9XbN$L>JnKkT-=MX zODG&O3}JI*6ZN|*>Z_4({kR)DmV=UP5CT^v@?6QOL_}*Xo&uiw$7Z`-I7{02wb13u z_^Y~4E#dNkscIiyfp0x(HR{g3tSO?XDhqR>#yC5D0Ktl|r7M>o9e+Bg<+&kagcTE# z=Hb)C?+&_st*P|2ms#D&GU6pev5CD$3wQ9*Az)#^c!0R$<(GTv3bCo8&ODtLCAh=P zxR$QcwOO>H`VVwz?Tx1L(811t3|oXpzB|QWY$8b{v`0YbNrpi^X1d>%Kck@#Gres5 zkx(P)qvaUmdKCtJDhzoM33LshqY}I$+E*a_2>cQiSmF!hBU$=hKXs2sqF{2InM3TOq>m!kL^*zxKO*%-3Hl_KMF_@O zsu77%g2=V{)@KJ}sPev7-l2epwy!s!F%j+O2DO>xIb>;6ngQ|0Mf@Q`WdZs%ZTzk6 z@2SiX_yE?s`{O=WDB=EUYW7n;P#8W<3+i{-nRx^8uAZQ71g_aYzFUFy1i^`1VFYyy zC3~z@zwPJ_$Jd!57xX>cGO8i{{W*~1#tK>~^G-q5EWl0_dm^8)@Bm&ag8Vt@HXoDh%Y{ z=0ragKM+enllr$dEyQ`_iO+y&zki*g#?On|C^r@bi%9pLl|l~-UX`=+RQz*S8gS94F9BO$0FS>qUqG#mU#6BE6-!h{ zTG?CSBBm}C=S>y!+^VKrudNKH z`Ff=?Ep)7LAqJloQZ8&B^MrgmPGp4$Y;(9YYs`+T~^ zN4$C7Qa*62ub43edu49F-b%~TztRG_9Q-^Q?;Z^jlT-U*Hs@=N^~RR>GmEQhb|EjLRM;XOkam-gpA3Oh0SZ_HB(aZ#~NMD8sDhtXYgx0 z(Gco=HL1Pep1T$7S=({$!NUOi@Wl-AMx3)e-hb_}kTD{_aG>)>gF`JZH&7%a>ZHOA zq4c}QD|Cz_KHp49WooGqzkcKsLGXrc zRa#t;8^0lMldS3BtvEsXynQ9x_<2%8iQ}@}S+1J=?(eJ8o8NXr?J5@uBkDnE{&i2X>oJI2%xZ$Efp*XhP zgwBPPBQCAthJ~o)1-)+GNbap|yuiJQ#*LQ&bWz-o2>@DU%uOSI?gdkMCp}x;$FeIM zt7&uvQjYF^5c@t6p;c2-B&v}4f1L{2L=V(54#FyqFS+0w`HOpxh0+c1QrLIK_JcnB zmE42nPtIt|FDN~KlO>b^{3z24!md=VJ7Y@*Hm=(K4-9eHFY`f##bj1Q;y34G`X28u z+~pEUuXXgR*<6OTmjAKWvh#O@xKwEUJ16KdeT(t4@^>4JYZyo*XI4Hav~XqRk$!!Q zv27VDh!sZ5YVo|eto{^{-sW$00IU$osp_7&sJh?hV$h)83*PF6>Hhp_EGb2Zsvi@L zyc%juz96>ILdJ{7^E`7t(3(^mO5vk+oNuhB`8y_W!Q;>7v-4N}H%ns&+*UX)4sGF1 zY4_v&N)LZ^XG)L$Opz%lu_@-uRg^fXX*LBhprAlL=cgt-o+==ljkrxE_Q`jfZ~d>! zK0t1(tcr!chJT;}+h*)3|+wVw3IP9FaC)M7nB zdE0>$7X)O3M&c+Rlpn!uWDeJJ| zN5x45vk<7reL7rAyC_fc?v+#UY%1bO{^9%8#r;l@y0arg_j&wELZ9Ps**Dcz>T8Xq zzXCg*{ip}+lWYPQB_35veN|ugPVx8`?xo2eGCR*B`yj!pjr-z51Ljn)yvZluTbg7{5`YiiAQ>~L zUz>2ChpQCqr1Uj%rhV}f-JpkY3YAPFL9)09QCj__V1@|RK}@*p@0eEoPw%*FlX6G@ zH$=!z*pF&`u!)~+b|+lE5;j;EF3v0M2d!k!Z9|IJ^bUuUa`AE@Z|bu=jmG5B@un8t7C^E-Zs z?PPo$+NOhjIX`j2Z?EhI>6t!AfpyoH*I+b+8h#lInuJ;HGs@u&9{uckJb9hJV?`O7EeE~C-u7<0BYrm^_jc`~N1o|fPxa9#V^URGuXJPPw8}tY zl~9uA1iQLRfx5>}^6=U_FlaJ99kqZdw|`k;Ce5F}$0}u3wMK~G788Fo{%>Fx#@pC~ zPfz8ObJhr1*FE|FTDt0}sG9fxs;@690xBvZrF5@=bgYQd-MGN2fOIXn#A49h4NG@O zH%K>2H>`BWvMjJ{{rH~q`{SN_=gxiN+j0S2@Ea+Q{6j=?- zzUk1bVe2kzt1C*F)!fq(1_>681JfKQ_e&RI-AnrY6e>X4gZ3TuVths#vLh=vP%}8bR-D3>6Y)afWi@q4;5`5Q~=%>y`GD5mmf=vZ*ZHJ4L2P0 zzo37=p?fQfRq;9LNHT5=ZUbp4n~A-6>*{8~7-I&CY0T{vyth&5gJ5n}(vVv0@w z1x2lJ_rnM6@!x`}_YH*U#$W1uK0wM*Y7%D=D362w8tTDGTY?Y=g{n+1^4a1Ha=sj# zV)riX-(E+&3kyyB!?Uz#HoHMtNZ5{ltk#E<+G%eiq=Zy`4gQyCn@Q#i>zBG`;hizC z1#?!r!C(of!_8e@2VcNcA0=hLYG+Xw&7RDzoU2U|`ek}y@4e5?ZEh>5hg@ctZ{Wjo ze!UTT$Pazzz-p(&x7**j<+fPs&vb=qB1U|`-Yet+37VD|JJ<{*jtu!F?Zu{=X6hG8 zpP}mYVKVcQ7Be;P+G^Nx2?XCK#~Cbd=0GJ$g;A}1tf<6g+(DSbpz^Tdd@N|*t31q} zoaKw;j7PxWo6k2ELuCb3Ufu_3lMmMH`hLMBc&hyk_vb4PFu4|h5uX>tdSixearMjm z1P;2K*`9CQs^1J8zRkv?+qM^GZ&<=4`D}Z2tttUSE?vbY{W(@{^3!g}fax|LU-I4X z?-7>!+I1rn_vRT(>N7Q!yULoc5)pE>teFM-x6I?VLwcTYN7V%6Q{jVM51{by>+J z2;AowlJ9l@(-(Q0GW`Pe8kh>h5;aJ$e!8PF*zF)>An}BdD5Wg$kkX9RFLrr29K!m+ zXk~ky7Lyk}?I_KV0{SQ1=6tB+k7M^12Iiex+0i>iZhbBGvbB-P<=ut{zW!N*`jv=r zlJk)zA?h4@%v0X+MVsAsHkY+IMqcr&wcmm3Pu|V}UzS08JNB5# zoV7lsxPx!^)-|1lbE@AKy>M3zYVJdozY$I|_w>#P^jYk4d!e+bW|nL2Ex#k4ds#tI zwM1>UOnPZZMS0XLw*pnRtV4N1ExnGs{3>EZig4ZHE&2ISR-94gowEv0^q$+JB1n0X`ru0_g~n!!d%j}+neKy&u0r@hPSs%reAZ3xQv4t+PRKPnqNH?>`em@ zW_}2kxDF&yO@bLJqAB%If8KwA9l$ARitrYC?-D4#@Tj=$!6|#B?DQn^wgMFhGq)sx zRtz!^VOcVtfUXk#Gqq}?604W=m@fk}UdMHU3ITkA(#MWV_%~Ow4pxM$2eWCGJm_u` zz${sW9mDU{`}6p)P2=b%^sb~)fKO}&`1__7L%$O!1}AX&1q;-R?QHL?}* zlCrS12J%X2U$MmPeyVW2rjIVpKPWx!p${O_36?6r(yzC;s-uu4ZttQpYH4Ny=6+lf zwi<~0r#rWSngw$|nqOv8!sz>FOm|$%Up!?}eMHK=E1`=RN0S$3es`GMgmHEj#l+o@S~#4O81SX63IZ zDoS~1Cc|jj^AHVes5}|W#LO!6L%$t;&BPdA?AO*#=9N>gR=vH1xscMTL==_dt#G#| z=B|I^D4IMsNEHPj68?pz z^xrOB%5c?ZR9l;kr0fp?LM>ah;KgoN&)$Z7Me7!8uGWBFQ(yIaYi$AS> z`&TvY7q54ty%Y%DUFta7x$k2--503kKFK?Hk7{qreoln5#nXhHw=HHxNuIfqH|G|z z^}4Jk&8v9zWkSY01=6<~7x16^94_61qbChfN{o9F*UpBz^?>g+6K^3xg6VIi=kV7y z?Dy)ESSrJ?5}p>T!$DG-T7$d=$GYdQGiZ8q#i1AJQeR}Nx-ze=;xGsMou9mZEkU~S z#s1K-&Im`dR}0G0WMS}QK3R87n$`lDo(Pd1y3kE0w}umhr<=& z;&i(@;}?O|kC9Bid1IPd9l#G3oO?MwoACsr{k+m+{o1ACk6Mcw-uOT&Zm$WxFr=A(Z?Z1(i(x^=%pb%2 zUTjBS_9J%qUp7F@OrUY=oRw7M7A*>%*GyBqV)5~4dt0sj`lsm@qc`==`vy(X@J*K?uJg!^ZaZZ zI2EV2U7zS@1&zB7Uzc}CP*iu>~b>fqd?E$Yb8f;>2&@(vLwfWPXV@eTJ0gjJKpuc z*ZQq1ri*@nYu<4s`5$AY55Vu>RbVlrjU<7E_FO6nao|w}>@xXhW9Ou1;ZGzLn?1Er znqw=FH}-mTW6iP(UPPkaDr`GXLF?#xd{C&h=;ca2TR@*dPc@}1pO zVqjkj_J&XHl%SOGCF2Q0(Swkf z9h(;-Dg+m0?k100xXwGTq*A=$QyV0_Ef{zv3a`osc&;c@0rWZzKG@J*oYYv1AqPpIz4HzpFw4tl3y0)~oG@=Kq|m z@_cjr(Cw^$L4XPI1`34UC$;VESY0`p+ux!XTokfF^cw%Fk3M3-f#K(O0x-07WMH|@ z9nJcVeLrqmu{v#FUf7+A=?Sy@|U4!=l2UI3md>}uT zU^WZ8E78fuK&1Jm>x6ixl4-wXJd>T-Z?=_dF!(ABKO_VfC**j>Dwct3)npO zPKqh`_4DL53++gjDlnmq!pmZ$7Hus;zy|Iy0CsEFPPV9huam>I61c;&Z!6&@7I68H z?rJ|GT%RS_aVLsvD{3hW|62MkNB*-bmLY=^oi-&ZANkeEman!4eA7lrRVnpfsIa9; zO>Bfvg|tY^_u|!ZDEvK!ad8^6F0Wy*(B1=Z0p;j+2h~o^J4>zyL)U|6i!Krh0!Gt8 z5Z~suD5Bom^*OmM@NB0`vvSOM$6W!sa@&j6RlCvnC%aW8i>R{eaLk*8N=n8ro7Kcy zN3PXJp2=RpWUzgIn^4T7NXM~`8L9PiLz19nIvDm2pJl0iJ+hHV}W+9 zov98dF22gtu411gv{lXpyZ+YDwhr}AY;QG?rpf;p8ShSX6%raep9 z6&2_?QciLraSCi`c)8#;t7Jd2IHe{FUn)80A6J_D)t)Edy!I(^EI{JfW>@xHtkuVq zvcOlxQQmVDegYlYKiLu#4{WvVLt5otx&SgdO=Sa<16=#MOU-c zXO7vFZv9&lf_FY+YB;xdjX4uaYp%cdy(kB)?w^Mu3=WLMb_xgHA>OdJi5RWy#clA- z<_=!km{|W{x#SX;T20;6XVocp)Jji{y@TvaJ(WHHF>|eky56sXw0$b9v z=fY>2G;q?P$TseJ2(&#CF?mgFT)v_W|A_$zF{&Vq*9{*0PP*M%Zrx<&ufrG%oQ5U3 zUuJ$|DAv$<4eN;mP{~}Bl$(-O$dkE$axVLowQ0gTVBSDH_?`ElXtEO&zdxZ%#^hnV zM@XE#Yn8xsI{L3c+!kyg!HdJM zb$AW6*lkvVXUl?%Egy!G_hiuvlK)&6psvh&)NS@b?cI=mVH8)-=)`~J@4Ozr4W#OQ zawTWR_GxW>KuN!YYUHw9KlFY2_$ze~Sorc;O7{ov=|@NX9g zMRrPKsoEa*GQ@LYBAc>MDTS2S~$=5y++nmsrA-Z_{ULVpQV z?ouVHyHhL~$KoA*O7IZl(3+!vJJlqW+UNf-`-Xma$vs)^*- zU3qCc#*9+*JyOQ#-#xyqPX9&ep?T12&cx=dKad9>IB!zHQ)~GOrCDV?rKcYHv%9xXLgSK}RI{v$=FS}^`iS{~Axl+Pqn$UgyLwW0d#UZg>VoP# z_1Yi&<|i5lqJ+$bt;+pWQ_$u#zD{X4H#d8A^3xz)@)et7mW6tFWC{D*=zS&nFWfzE z|K#brjyZ(b$mE3%y?H>MPuYSl=8(yJ(m!?%(AL+? zRS9POl6g9`uV8wV3W*)rA`b=HWeH8wr1Iw z_NF|V-jD2CiJNwUjUsbGOMs!&cD2jVxcc=75$s~r9eziBdZ!ShXXU(K?H}#7C*&XV z@`{2zk;_lxjn@lwyYQdImiWnSfm$A(ug9arwh}++s3{aVAWL!zgGcEM8O1|>_(qt( zlw#eSBmOfIe!)|`aF<0cvwSa=K95O)tXRTce^%~c;^@=fN2x&8XSw7n)mU)M0#|Uz z7wXc^0k$?Ni4qr`Mdp|0BduxSj4@g$gM~OsFM$`&rXW}wfb6)P%nz?MUNim1$_0_q zgz`W8?XxXCoHLC!g8JJoA5LtXT2iw1)F`NVcHckS%#bb+^UV2OGt}%|_v3be9JS8Z z2`1&anyL#g`8OuHkH%lLFT4+XR(&hx_u?ncy<6I3DRY#V)m=4#E8dBZicxY_&C0a& zWkGp1DY<_<;y*-dIndLD4F2%>>HI~nMTlB&<-+42Zd>9>O8q+l5NqRo09#>&RxeRN z=5L<6&X+Z%)APu0IevoB2E7Nlsqe}N@3wKzz1vz;-`fCx3(^Fog`YAbMM

Hx$e~Zev9X+!!Mv-;VIOK!IIAQrp)&6FcaSc|Ytaia9KN$7Ll!~d5{5%+ zDcEVz+0jk2uQT*IT#?)FJ3pMJ)nMQiH`HCIPhZEX*Xr$3!k`KS(SBL|o@S98*1@}6 zV=NMIW5m&zn1ndy#MtbjP$OKr%}_?k(;I{}7=V}!6752PcrwUvp5!%r#r(&)0SPj^4qd^|#-jZ}-xF_BSO(W#+(&7#s*uKNTvez> z+YdyDq(AhPQbh5lQetk_)K#I!0pjMsdB4AsBtwLC92pe;q(lYu(gfWSf^>^*Bns^J zUCkkag}it&1j5mm5(w(WSb<=ZGPyul;f@XJ#X0H(BZUh&Iu@7DT3lw8N;yX>1)O$o zEbyOmz$efJr>@pRlJi+OBu#ymOB1~^=i+i1uAbDKwYnidI$DWAr;URV=cqugR!!C-hz_1G$>gU|8Xw{*CS)zH+=O| zycyMPoSJWoy+)&LJv8x|*{CBGo-_nG_9R2FeAKcBmd-EpcSJ)OZM#vD2+(+2*F89m zZy>R`5rUe(jSDIPz&6!l8(AUJS7TTl6{T=@2CY_Ux5z;aJQC#qchh z`0Q5deE_bh0icgQIGYmB&+iBEftO~;t1~WlTUNca0ja*9NSPg_ea@foGe(3RH>ia_doS2Zx;U7N@ z60D6gA`xty9Iod0F0Y2TJC^Pr#ZS2)Bjm>ZhJ`BHAbH2j=A-p+-9`RjAe29tU?6!H zr80)wrV~ys$iZcWU4p2dSS*NrJ9dL%6w50+Pd|Zl%JLYOc+)p}AH!E!fkQP5hAGXd zO{c1tSfU#i_O)mScS0@7c~d_IG+{>MHI3Vb!|hj)FrhuuDUBJBPAiR9LFwZ;jN~-~ zBS)KeD?-=6N3HsLNaq(nm-QS!Q_6It5Q`_BZNup*p{r{5BFrkLs_53iHD?^x!*hy1 ziE&mwm|Ntu>Lvkl*Tnet*>I5lo^M;(Fi8XaJ_f!dY`|sEu<5!ZUqFIkG|&Qyj!Nso zm6CQO+7*z&9;Z<>CI)TWkB?P&!omYkLZYZB&gfbaoG`>(_P8=Sg#HrJCki8%Okv(G zds&-Ka*azLBg7CWUP0{aY3Nd{Cml!Djfv2wP9S?x9E}5*#?kXYMiLxD<<->VUCV20 zwKrXBX|+R|E|YD`H*7i^KVglSTp+;J(%##av$a^J>p0?vwd}fA_+ee(hjoJ=@&P}@ z8$U5tIy4=;MW>DyPZ1D@r@Ut1x#;Yf%_m-i%O|f{`JC0U`NTT8eDbREF=4r|8ADUp z?y||N&c;tXm%)k3b-cxD4F}aH)9L^keMU8dBq-%Vk8=cSgO-u6nAh2BoQ{ zBk7G!VlKm`6KTl8*Ll@H5755*DvqPq`$R`a#3Q3TSyVg|{cj5WmuOy5B%TaR7h?(| z{;;cIdDZ!xV7Ll?f>MgLlxq4fj^v+fZ-yM%fS7a3uIIBCA_*%TYgMAfwl& zUA9w@wna9hu=J(KX`^v=A*&bvZ2r?na~)L=!#1|MryA$3?8KN!J&FKm6`G8)A=7>s z6X0#e*!e&!K3cTJcsXC-3rG>SPeBIb#>^JQY}OlBZjx!#I1mL}XU3;SOo`vKR}(jtsV&Z;%`j7DrkI`8HXogn053=!#DpM;_G5W=vgZ-|JjN$$NZ(?l4nNImnzSJ;5YGy6XN0x7K4rMTdv#7Er!HoBt489HUfnmK$DcF<1 zgwl_JMB&LW5VE+%_s!RR-`q!qVUw%^+@SYAK>12&LmyQ{bWDYh_)ON0_&kA0@WTLx z4dw*A$t|4gTKpsA)h2WVMy%TrpD#|2=B}p=x)O|d_LxAtC6%3;Q`#HyTUrrmr++5f z-ne-k=k($*)eW5}>Ra?RYOxgTr^#t6}f z&ssHXSQO+DtjCy)BR-F@7_>K`owPPCi&?HOJf*%9crZA&^dHaG_qm?4)<0}s#%!L2 zoU`b4JB<4KsNig5iL2{i`_y4|s*eixBBKDg0*`&5WJgyGf{t5va1+_>aE=V^P$Oi> zhxSv3g6N|qhD8%CuIqYFZmuX#BMigE%oXj1wB&Jf_2P7G|33f#0RR7Z0aTK|OT$1E z$6so#t*x~U)?a^sAUIeog(3)-<{E30v`w%6Y>D9Dr(8a;Y$;HKYNv@FL-Gh6d_r3SyWRM^X0ITWT20DnMS*l`Nv{JShzkvW+FTCJ6 z*QieRpdUlyP_1ql#9FZ#QFLmTl?9r2Q)Ur}!X2JRTkC&3MfOrOQrBqBW2G2O<9+t( z_8uLK`WcllVpE+O)O1xN7>_^)PdR;=4WS?MGN_?YRi&!XO~5NgwwtMU{`QDR<>!gT ze8oy}NMP{#UX$i>+|~uXDTM< zay4cZO|6S2A%-%0PdmHlx|4EIuYV~7<-d9yEgJQ)qkvCSCIPGvHv0p`5{AOnR6r zND~lgfhb59P>@cD)QI#>AS9LmB27R*TB0JN^xh#D zdJTd!X@=gD5K01py!@W$uXxXScF)<_bN1Ys*|{@!K6hr9{oJ{8B`oL9u7PK#_8GNu z3kdY^^$}K3lDjLXAgmqi;pHlqP*f=1tnn%w}2227dPQ2PA;Cp3c?mf z!q#ri!n&>=L1!ahq+C2>bM72l=>H+-t}y;zS`_|&Y1sL#T;}HcF4XSTNbqrrxbai| zM+Y)f+M45)aa*pvDb@C)0q@MUzdkdn<&a7-csF7C$c?2_+E!bniEEX9Jl8*JG;e5u@tptMA`NeE#La)d9AQfAOg?pseCUB39qZF*I_oIe(6OEyr+_bY6*K z0nGA&-}`jo$UMe>$Aq>{l9;_MQ&>jG8Wm)NPFk2qfA)e*+C|53JHW#>fxl=6H7e7- z_G;&JXlh;E%6wmy)@i%aCCzEKa9g+rU8tn zE?-*#%8H6ut)~!gzTD4AQ@IASH{MN>iPbIG^e-3m|2isIRvPZU^y_3bZ6Yoj=CXzQ zW~XJ|x1E4Z8JqiNjysRWWHb@RSVcvfxHF~Bw-;Ywy=70)ESnDMon~cg-D@12kqAVw zgYinN`|&5oTWQI3?sUez&^;ML=irArxRJ6|5;R5T=G{HtLFCfS_5l6ogEEofnd$=( z;aRTo?G=8Xr*rb2U&#}tq2#^QSNrdpuW&!{pr*L~s1-ZEU$ZjpY4iMtXEtFuA5c^Y zld6i^+lk4=qoJG{c?A}CeHE=S;*#_EXnw{mQre@JDS+!A zkH60-AGA%+?qx#I3Thn=iQ;pG9x_flzNdw&dc_PAjOy%hAGhTfFW*19K7BxK*6X>< zP#3AUf5WMDjd1zv4~+D?_ot;{-wBFDeT3Uy-dJ8&KZ2kmJxw3csUCYNXW{Fcv~_N; z=2ID2tO6P=*@!g`bc1!ebtQHm4Q~hr>H_B?haCLvh1`nY7WOv(oj%%lX3_OT$hz69 zv@NUFZIU!R80kP_Ur!YyT&?CE=yChLB%F5wKiaJ7nLPfJ%s$OHJPwdP;s83aQWqP| z7TVoKB7ajtT(naBSnn1jYQ9zD;g4wEhO}0Dr0%misb0>jJMeOmk_C_S^hkdf+>_Yn z*5Wrdq;fb4H2nVfV2&894Zi!AV|PnbRGv5F#BhqoJ3f6DoFVV_wcq&j97ETXqaAo~ zC8=MF9B-k&qZA&qSW%&H#52b7TH?i1N3H_r@GTNWscaS5k!aI%GPnDytNWWPPag01 zF^6MQy0VmQ&&Qibk#~8#H+tm0>t$Tt;%2QPkg;ogGUR_P_~5JEpWlu_Y0Y^1cg*}J zr0!re)#{^|Mpj&A!V$L+EIsR^jE@nsXP{r^!B}#)2`mN*+oCRyCC9K7mzkKUcvt3- zOeYI=HRpbe!L~J(c6IX>cR2QICU*M7Diqit80!T{Q;2e-bY^P8VVYC6*XAwH)fc7J zi@IGe=1fKA>x?$WCh`QjTQ<60Wv@R5IIk2g+%R;WsF3`*eZxw+)H5P#|J-8vY3aYl z)6!36Y#LoU((ciQl?L;6?Di+NbC|Lhz>~D!^cx+JX~iwCGOuXxjiz#mUgM`9xra}B z>ypGOJn#AQ1Y1ukzn(vM8l6zYcwCPy{o2`DINBHPY8{K5D`~?1{cXlG-sCSfo^~r0 zq~}|d2J)rp3AI*+JTaeE^osnTHtl#m!g=MAcUid`td^j-U}e7wvKQ)3vvC~LDpd%| zKKwEk(6tNx_z|zH!xGMi33zVZ_G!9$e+=`O$L#PaLvV(e^x@O!?Yz>hJXStyf4bA< z5QKC8_KlN2&-ZUwDu=~NWm|DX)NNe0XK?XFbUr>xtj1h0LhvKP`H~G&)>CyJgFty0 z_d-8yM!i;w_CF2#;ugVrvdZX)xZ3Vc6tbRNtW7~C%7%p-P zNi=iZ7ay23Cp4GJCpfs#rdfi-4?jYM5zGCed#1H$vU>CVzysTv#@=n0+Jj4Vru?Oe zLl#aYhix`mWWj9ZFDDYcdVgEGd%8$g*3Kx>6ng|la)6K*_tsjUTTQH2&E=q?Widx= zK&Uw_Z?v~88(9)q{dk-_@TS?+g-q*kt&D|R?J=~kMVywKB$?>rdU}R84N54K5$h_d z3q3Qb!dj1rNTI0{1zcq1w+%*Ih=BH@rpW+>XQq+I?z*EM97_a=Xg05W(S@>nZ){zfdyrJ?2%DqaX^wl$ zA|*ZQLV`GEtaEgQ8dk=J5C$ufTyBdUOqHK5F6pYgY*Hl-+Q>Rg+SEUM?xf+1xfhT@ zIxu7W(f?I1V_Osi6Uaq<)Wk%8OQ-23a1Vus;y zKP6XGdH_QZX53bz;gin5*06gjLV_t;w{VSRla&V}1=4k)x>s<}E;!LFU08*Aum+S>(aR8owWQ%r`mMFg1mx?Hk;k#ThpnmLlK_-mue<2l!aoN#1) zIzjqzr6MBT`OEgP1}fL?FB}+xiaU2mVdqP(22aN9f z1y?k&UfKR@RZHNfT+0R8w<`rkX#vNBKUUS$6V*-ul9kfMaVELwnA`40ekbzap$%t2 z>v12fPOjOt!}L4)CgKU`w7g2~X*13wJG)z`{l_4-NU03UZ++h^-sy>=cdNL`+DxxI za@IbCR^G_MFfDOZLMQw9x~}pO%^~C`7dJ}2a8%cou`#UaN1Tu@zzHO?Km%MK>(pHM zXBUe}19@+pXx`X|e=9mf)x%nFH>U(Qs$j9(ORK(y-G}c*iw}Lrh%~DZ zP=V)4(ngC!)3Eqb!`EoYCCG%n2rAEY;%_pdwh*8Bdu-y#HQ?mF#(G}TQslzO^6mSj z2^%c@JfOeRg~*sd$a=U?3_3tvUKS9az-G9rEXLK<#rU-n+gR`5<{@X>gX1-0&68g~ znzU)|I^YALcei^EtaG=E;`+P0%sls|uv1!~jw(!;6{o&Uflvv)!3eP0AN}Q>zG%E) zBN8w=Hdzy0Q^!y&+zYZyH z2yccxTyU+uA>&PRc!RVj5brdd38Dntk?_(W6#u5GQ=f>gI?fQ*P~CTr%u9VW7$=Bc zj_fW=LaH~pGJG{Q3Z%(2^d0B73M0-7Oq=%vh1B8ijbWH(y+0v|8Cq*^SVNNI++(*c zZ!VBh)R+INb+*^Q5M`rkJ7$EyRUxYu=(L2cTa-FI4|`zQLH?|&J$+b4!q2=%$2~*n zByaP@F@lDtCOmT4l0Ix_7#8@&n`pGmkFB@7264XJ3ZI&~(WL}vg#30XzV%DPTG`G(6JDz)#-?>Cw0qVnVf1bGnbAl5zYY5|2w z&=v5sfsY5?=@j~~f}~%dv|l*d66_h|XkM%2Zg;;YxT7m$yQVjIVb>cH%Zq{-2Sr07 zNe-fkce`4xEx6)0ugd<66YY2__x15~;>zW;ipFmn3kxZYlcQsuov%SLf7Yr>_ns!V zv|B2CL07DP?J2c*8FurdR^4Wns*ul@Bkhb~;Pg0AMiwX}hWcWFwm^b-Nq+`0JHa8H zfTsS#j9C5q1#o!19$LpO<52`AX|JJg`G<6et`}!rl`i1l$2{YRj$430DTQ!g;Ld#z z!R2(c5+vQEY@DYtq|qz}lkWqzH1qBAC6=_oq*sda&h6@^$;xp{~58G|DS zLv=14h}r!Z?H!7#7Mf?^N`$TXy*JX5LFE~Ty;Im@-Y&HF46oV>FY@AkzY%o5Mj+^m zjIT8)URDex8#D+OMa^q8VP_Q058R+s{!%cweV{qlh=J77s>M6kNT1W|5 zDfoMTc(7-9e=l$ks`JpcI!=1KhFSfXqGoGk`n#c08CPeBZV4JZAE5W*II1$Y@$%wo z4zJ+;W(&E4^H7S9MThGKx(f$Y29Wz=vU_86l0kKijr9uUo>M>Mup$1{TSv}@0C`c? zNbRN#8trQkHP!3g{**rVncsn)xNvQIj&d~Z_=U{MoCTb7Kva*MUth9uc;Gt-Ckfe* z5m@k_f?n>!%!w_XXd>xVyT{1aQM0&7dVdeV48WM|1WCdT1e4 zl(8s1N03U#y}bOVsV2-pjrRQ5~&)zu%}DbD|IKe%BL zTCImZ$<-Qt1aIwJSF+_VbqtT0Aj*6`mRbWq?wSfuwCIoqxP`s?1JC?V<^&;1X%sRNi4GkF`v*H4A8?kLQ(n2Zrg!)&Nf-U7A!$Ke2ornRM9aR5E6r) zs5jm{r6!HtY7i&l0m=Y(Spzlo+7plU$EB>1HWLKc(^GrvBbrQ~EIM6ljbk@Qkb1W` z&g0HTkkmR^VpRxOdhC1!N4;}sPJMFPGq&#PwCjzXnNFLYE{leI-TQ5E+)mOQmp2qz z>H7jITl-QVwIIh=_gDhu*2$Tqgyv#v{G3|1$*Fwwguo$NT=%?;xK!F!dhul#{ENEv zmzM0WpAfo7<)~|agM+WIk#i5N|7iSgglANis_V&@;?~lD{r$SOQpDIA*`izN`c!a! z*iP(LVccq@>x6ox^vV>Nw#M=IMp-J7L<^)+}lr``o}h{&_^l?*33|#U^8=@z;CIfKuinRzal9x_0kbX0I9kTIi~QgU zj`;=vEQN;}U%`++R1~L>6GLCFNlrtki^3B&1~_b8E~H24V=7ynd z?sHBN^A;|$n)1_e6A8ZN0Hxc^-5l-7T9=J23OIvLZdArWLv09@rS1jd;tw71zS@T4R=LM$eJHIfP|<6wvAp3+>v6fKI9d8JL{&cmyy!C!rYyz$^ zoUNPVqq5GE@iH+T+Kb2ORmQ$!-0nMxfXHU8%eW^|q~`pf+o+f~N7}2HhQ{ad?}UED zQ8Hf-1LKU*smr4u^zZfFc?gDB5-6 zT~Mo;dYZ4AaF z)vF6!4qEvOeOn3N+IY5@l7rY|xnyBle>*QCNsW#SiF|f?J@|{9>4%}Y(uUlD5e`5+vxqd^{DGe`19%z~gb5x-b^^{;=EUm~>h18D7ysg}_AY|?Sn7^W>S%M;wq5HePh z>hLe@YSPwGZdhXMR#rQwguj0XWU!*r3VOzi9Se?Arh+40A(7A0I=U>YVG)9Uax6vutcCDZ{l)Lw>WFa(3eTD>vJIw9Z*`0^5ghq!Wh~{{Lf~ z9ozOrvYsm4nWhsFb1@gjf7;Yl$_I+{K2yRrWLs#R9B_s05PNFD5o6K$Rx5(x$ib?; z-R3dZ^##cLu#lv^%!)2xG5Dn65t^rjo;rIW^85H&d-(Uc2#b39sf#~>W4-D@f>zS| z81=-HVlZpAI^FLx?$C3u!JI#IJ*z$M2wN&38o3b6%*FOhe^-SxBZUeZqU02nZC{KW z`uQ7D8Fng(lGi_K);;p#TMvx9f)iYrdUX7xL+4m~zT{#g+@ZZHdodP`gXsc<-tVb3 zMz7W_{KAm}2|AT5k+n>F4d0?yWk?3i&nNa4rT`-nKVya9o^6xPFPvM2f^aXyvl)*5 z%!X1vZptsLKH$dr@~>MQHY0cPxSJM88=T{FuI&%dWY4X?mPh4UH-YWt-zdOq>zrkE zND`o=Bm>LKv@c1Q*knBVvk%TtZ*m4oj0Daapc|pfBO@^+*Z|q10H^+13-X5o*?)h! z>DP(1OV(2Q(}9nyjMLikeMMYlKS*S~5w{bLNUM)1&qqgp zsH=<%!ew2H6}h{Zrn%oE*VxcwvSZrwN!h!v_ou6JY zYNvAHo~j3P-~vGj2%mtE&XXuqtRZx6XHTuFt5j-1$`h>eU&!Ft*Vb|K2Dkm-*0Iq~ zD^R$#%f$9j>6ch2U50;BIc~IICzO984&n*oB;REq!4CjO-cUDwt%beVRVmudN%G^x zJt`~#UG{T0hW{V*wmPc&<(}GSk+NM{+A37{@X=mNDC`WXS<&Ahu2voJ-oaJ*UP;2B z`HrFUZ9r5(Y3uEeOA00V5=xAK@UEv8&?+r0#f%|;0)sABiW$W<+uHM7l7U{ur#^rP zEd9ZX!m5xaW6tB>jv;LCpsA+&FgQxr?YN>K^XcvB|CAv+zh4-n?!i||jZ-r6vrz^n zo+_!f(8h0dNosEghUC+Fn>8e=Jb$vfn5};z%9uLSGkzfv2D|N7G2>(Tdq$^sW@hUQ z9ZVn1FWtnWQ)$)Vt!qlA_Cz%#Ij6q$rM}s|V0)dK`xo~JUCPLAnAx1BeF`n$J?CAR zgCH665;QSh`b(Zu2~(NE)KnYO0jT5Fl6O^!X}z;X$%nU|ol8Qx#|9p)FN(pz?oWG0_O z%DCl+kUZ4c?P66k27k=oR3DrOwr&sA@30P<9Buvsj`Rlcw{9Qp2$#Nc($)9^uH zPof#;zN>?50p0H)M#7l9rMfhlM0HI4PT>`_s4ec71i*gnZ%t==#eAExv;cf=K{GB@ z0>T+kViU&3ur|6&w8G9tIHZg^FyDPr5NB{|?o^yF>}z!0R12eBFXa0g@kI5IF8|(7 zvq+IPo6_){c| zVJh_usHv#=Yim2p*2&$ltBfu>rBD&V%ztbp_GECNCcoFez}~MnI@{}?$G%#P zOquV4My0PW&r9cotxoz9VGjT-YLT$p7bdDMw#(Z#Lza0!0Co!Bo*GooY>gE&tuQ?Q zww)NhHPxG|LYOC-p^@ayWOXz0*{3k>@?cn_Z$z>15* zFDCoM_wIk2F2@A=*rGlz?Jc+lRXr_yJ?ANGy5VWos5s&gg-a^cXz{wxk4M)8FYCAyQP*x!Cxf8l;U+by6T7uP=n(tf>@SCk!9cKF=tN zfd`m%(K)N7%i(j*$IZ?9b5-56T)ifM^IXb@F9+F(P19)6pAfZh9%qb`wV=-K0Y`7X zk+_glGR*kr(yEbI4c6NHh|O4xN9g80Ej)JVb$&`rn!yG&iepGV-9XUlPUZyUD{zY{ zf53@Y*}o^+{LKMpZ)^nqUcHxRBz7pBHdFOzx5K;_WGuG-=Rs`7=`#^(sd+CZ-Jmt^ zS!E<_*SKt;pEhTVX?x~F%KNH}z1ZOU1(U0rI3*f;FPNwzQA zUxws#k)qEJ3EbJ~dvkmRHTbdDY-rts8k&Z(%tY6{T{GVvC@%XQ-r>xCu?pMNS)NRE z$-UW#eKB+-ytpT?OA)~yvbXvoboB)yn&({guCB4OX_H#!kh~wlk&w97G*Li^Clv-VTZPYEZKV*1855VrLJVQeynT4hy2DSu|Q!}LHk0BsP)Sa31dF`ir2 zN3*J!2$>lx*la!=m~;LP+^>Sx|IUF#+p_#o>TdCiZ;T->B6ABP&AMY~j#xI%5Jw~# z_RtbU&QXpmkyGIV0Kg~SB-G2G0YB8f+sq1_oZUAsoa5huK&5gLi32nbEQXInoTjx$ zfw}P$moW|$E)g0p9>ac`#)Pq>Od!n)auriu!DCDcR8WG~o^jCF?Cg>JMZ;Y-L--R_ z%;$>w687<5-;?)`f_-q;>TM#QDd&T)9dciC6zFI>Nr6OvN$Z#kIqpXCX_GO zb$^{4nLW+!xQR#J0K|J@lNeoX+o_@8GEjFne%qaGPtBH+5&ZajrfeHREN7Md2kF|X znkA|XAdW>y(loGD#aQ_V@5UHw2;Y^$Qq-{eY1Zp3JT0~};Q{jaKqF6{6hL9%Ts<|87ihNl7^dS8Bv6#*DsjkZQM!zIMnfcT zMbyMBpPEv>q!et+a1p^GIhHX3NQ`tFw12oPxH$qzw^9v#RW&(nDJa@izIJ&#EWYBf zbeA>nKfCXpCEX$HS|!QGDKWuw5%CdrsX;Ws+=!8m@|t(^2PxK}V-3`cS0tDU9v{8B zh8Ba_sAk2e9wOmerH5)CCs#rxi_1plW0vP+at>!vvVtdNADv>eY+4K{Nyx=ulr&kD zO1*~PdvWV5b)Vf|lTgO?){A&?2POz?zVTVjgN0=5>#pYNdqxnoY zr3{PayqGnmh7`C6Gagv7B*yM=vNeCU+1=z}>to4b1nTXA1G;zC&EE>i<}|<00gG#& zW@8aMeY2M8{(?D^r^%`GpeH*BtRZ!~{_esO9{YQ)=V8$| z+6(xLlEnY+{}-dMK+593mD9XeexR27{RPDmug@%cj1%|=W#-P)a_lNffR%EvvFn{6RYQeZZ^egS^&cO@2>*{-@zEx;`SG$x8GbuX3XW{cwz7~; zf>G}V0`RS5(I4;nZ{XtQrcCgA^w4k9x#zA;sWNW0pI1K#_-K~r5qY9oj8})T6Uy6e zDR=K7?nwGO;`J|!-q$|Mv}i6ZetaN{gzs=h)b3J#???_uhNU;jFn36bCWnvvrr<$R zL((6rV(KoFySA_eOskI1-{Ef4WbpR-lx!sZ!O_;ONNuWZRBA<))oAKi2P@fcnrlkS zQvvFA(JAIz{r=KdtPp3rIo9pn{cItd&Me7+GQj`_W3+jEBq(cm^lim!pbYhGR4xae zjakAshZ~>7gfUtUXqo?KYjFe=o;lGT%(+0%=sT$*)-3Z}++d?DV&%CKyR~zgek0gV z=a?V>Xeu#5goefvI9u(I0rw~!tTGf0o{}pv`I>jN7BDS z*VM6y&Qj^NiWeGCcO|E0rCS|P)3n35Tz334)4U~jQTG=B?rY^$gdI{T5YwHepXv3n z>BnBPQ6>NJ6`0BT`our&oZ@}B+ij<(}xSN?{S-U7D*2 zOm~zsjuByy$rXvNIm5&z01fdSVUzpptso?srWoAfjpV$j!BWr}4d`gI!t$&lRTZAp z)cQ3nwaB2?s?zuNsss^Na~j&S)Qrp0^J#X!jY+ZjZ10?|#305zE?zVf_F418xHGqY z_rtVSgM~5CM1fq|-1*iCr4huVFLEtTGqs`9zrIvv%W{%5^F9A|GW z-c`EIV5<)9c$Lo<>lBRNyW|v9B4%>`nkkxNfpF6_7cPT^I{Go5psn1?trt^fNgk{Os(v=G#1<)z%%&6oFkg~TkMAjIC6Sm<#n;Qd zhDPk&)*J6^Kc%|C7x|jx_V}nF-gvr^|1_VYB+NaHqHR~WeWk%z%X0D&i1Prmw-^t% zU9F&5rGlB~t+@kpuK~>{ud#BmL~;~(abxHZW^=E~FT6th&oR-_*LVFf*6hYmk9r5> z`jC-;;8hY25-df9l~pHxTj9LuYYgeoWo?#8DiNn`OZjLjSyEV>pSzuxrKz4zYWc>& zBP)%@0glQF3 zavbn7Py(9?c95l|oVj~o0kKMiW{59f!T_;Ud97|koW$8GuXT&2-U|_QwB4_%Erp{2 z)OZnoHYJPWXuvpUqVml;K`nENSViggK*dMd37X^ML=joM#6wn?3FQ{nXGCylCvyU( z$1PoQYpB^B;S~A;Y?@_$LD0o9FixY(QxN!ARrFqqb=~3UXNMK}P$o1tejwEJ<|&E^ z_(+v}dZj~PckPRg5=TFvw%N$m*qp%CzCPqqELh&9i^i1|o5SQvS45zgPVQFg!XYVH9A{gl04;SX*GOPCd&J&+YJL4Mp2bb6u=O z#W>@GTiai77~!1X6uAXE=^vxVQ3xYdsAz|+iFi_^HYMUB6~iJS@1(Zr4|86BjrF+X znnwKDc@assQw{unZ62&j&V!1;fOu;rh}Mlhf;8w>jw9wAeXf0#hNa1s)kBGg9B1B40mn2sl5g%Dx+(P zA>J7VBV{A?^KW?hP3gcq)(;M1hIHDhAp2^U+7OGFp%%UoBw{$nC-w<6T_)E1S7Saj zQLJvraChexEy=ZBR#v_wJ+;{}#jL5PJcX?{`#@^c4GCQAQzG!Q!_iBb^MfBMm+A*zQpfK`(PR|ka_{1WQlh5Q`rJ1-v*?)Ueqrr*>Rtl0G8 z9E7gK4w!i_wNb~-hvq-}`3Mh*cTy`=!FR1~hcIzucK?kZ5CUk(qOZ3MkehN;9u7Ci zF@CPp$dGdwOZF#C&AdScehfg_VH>Jcxwz)Q`FVJAjvKZumZ{t z^b=fDhaq3DYJvPRO^;b(%0+?nn`@fA2JmD1s(o|!Wso3ALntRU0F0SK(dVx%YrK%D}NRMKTta9wW-2Qk-Ph|Zrz5{;;d-92u=7&E^!f!9tyao=4es}mrB5|<&{muQO& zb>eU^W&7%CtJLamVg%MUV=>IYjmUfo!k6wZ@66^1(^^d7nGa4iu%uUY=6ON34?%ac zRl6yDc6+`FBarL0I`8e{P}fd>a2G4DIc=^nI=c^c*thfC>oDKRgL(oI^OtVAo6RXM zsoVf=2H5Z{WHajr7BiovW(>H#%q)TC#vbgC6x*&U9v49!yL}6BJ>wU)bNI%=xcsK~ zx4CUzg}jRSVZUHfYQD;qaDO7sI5i=v>4r@pGldJu3EFAZelH}GqilY$9@vAHg^%BJ zrtx7LtW##WbW+qCAO00u+DMEjE(V*(=R|1VtV?$krfqQ;*QMlVqJVsx9hpHk<-T&k{<(y^5KH{+i8 zjOOmgmqbUXySmfBeOVt*qAylHG;1=mGQkpFWmuf@Yb9_sHoqHLl@*!j*YDy<^uf=J zHaw%4zovna^71q`Yz7mt2}zLQ&uxe{qX=CeYUIb%u=Ph8P$r^;glXI7*)VD+Uy1Ee z7}++VYw*v0?vHMrhT>zGfnrz!m+7>c${DOI&{JeX-(dsG|CewgKVVxydoPx0rZ7HZ zEtAvzwLJ2aQ{~b6N){Oeh-^;t+j~wxLwFd zXXEIBVW}^-SF4>x$|w_1wbPrm_*PElzP9s27W8YhwcvD*(@x!5*H@qcTrK99ha-JX zZ03+1SH$|M&G&k1Xy28WB!UrcU0???+2dRExb;yiEjI-LVIJa+_{l*F!N;ZlJ7j`< zOcaFYIqbB_*}R#T2apq5E2pW4^<&F@%E1>C@fHJCXmd`hM7{EN;`g~!FDPR z9hqNM6=@UVJf(7ILPcqH0;aXsqVR|ZyI{_tz{2NV#LbfG_W2udFj7bgmcemq(Lo^8 zVSh2;aNp=!3q3D4RBw&`(7SJ;i2zwEl)4ljT^(D0gtz}zU-DBIIl8$k_s}Mi4`45t zSDTRn3p4Y+)vF|uYq^^~|58yb=jj!C#)jv2hd6o39G+!!?0Tf+`9ZF0Lw}XKo8?o? zh}0;AwklLv=Fl4(6Gi^k9e5rG!}1spC$>kt^t~|zdOM(`->;Oq^g6qm;0g00{3K1& zn6W;F{+pTe%$Sj}&WwamFIMm!Smh_^%_D&wKo;&BhX-GLpA4pE&AC^p@&rXZxj>CW za!x~->0YnsR+NWW-OPWNwD4#>S_e@Jiw$V#C)(nhSTdr2(!}s!j@A}p=LOhT;$Rd) z6Dz?4sZNJ(dG1a=f<~i4-r(|zv;818nqxp4J-?eCNk<)Htk zc9TQ{in{t?)GxtXmu9^*Gy91)Y&jA$tJAs{Xx7;EIQ%a=ExfHZt@>&ri5LCP_%h^A zw}Rt8PMANQkp&0v`vkcAFx^K~D#C0=i+Uhsm}rnPBIBb;G{k50T?7XFrH%(h-xDK{ z9!=>!+=Dyp##2|*VPUvPA$+k%vks;3HLV*dFO?&~fQ6hpxOwV^x7kf^`>Gj*c&w@! zV6)?hZ9pF@;4}WCMKBeN4U5QMCC)}69#S0HPucPDeQVy@)LG=Host>c5GCf0`mj_H zJ$0ss8+66eP%CX=JT>O>{6k8pt5lu^p;w+6Y)c^^U1a%!Rf>qgNVMq8uKigFpk&Jq zPs1?CBi{L;fBu^Mr}iX#*ERGzbrtz!0-#V?s@3~r;B(#ec4_sM9lqfF{c~RoEAxnl znJz5~Z7)2?UFS7`2i(pI`6x{g4*r2~J`dh+x4y+6^@j zyfRrHC8@iJ>8S$;O}rkBP$WdCVh^tksjfCK6&%vi{Xkhh(cmM3I1Q9?DA5*c-c{Y$ zlH4Bq@+($fazfZ_Z-i^@2iU*jXE}V4#y0Z#Ks;ZAbkb#kCdyb2FU1?l|Qo; zyOWRQcpK35bt`EWQA*u)_4_paD7u|svxHl1@DYy!{mE_yQ^tl~giA6&@1D;c+P`xM zD~dA~$c{H-ktl?6hDp-niHlKYLHu?u$txWUd|pgZbP7sHunhq4?hi6trBhd-r}j{QDa z&`ft3bpZoXr?S+rD@%vif(%-~cM#U6f0=7-U|?b187vR8!W--FPA~%>QXZu_8KkuQ z^cV0a9Ny0Oby{NMcDr8*7;%~Yw!LC61fTI9ALJppP{)kW-krI@MzW7GJ8LU3V`TqP ztB5Mhkl?lPbF0=%RZR5fNcvOCVH6~bAT=1*EsaF~&YH5Z-RvhO=}wQ*BA=8B`8?RUu6-Wo#I_h zv!h_K4XZ7ouarcbXV(Gv4Zjuj~=%ucPodzGx+91h0LokhqlmZy6PY-j^L zjT!X7Dh-CFtWeT5fi{S>_5P$wk-rF&!von-9<43+(88|zhDSF$m|h>oYs$~>hy!5O ztg=3Oz2rT;$x5G_rdOxru)V=%aWMtYu<6DuCkabG^R5*{om%WN>hD$$D~Y2$S`+SB z@9&3XETs! znH1(P`*-0W##*B^oe_ukS+$4~t^7xCR)EQ3W-@n$_EdRKZB$#`PDDG*xJ>Px{zo~7 zs_bnx=gltTeq!aXg_H$w;q;glNoQ5lm|z+U2}$Y2;*w$Csf_v5QEi)E*m-(WanFwx zDSWkm+_Wm>S-aAQkFF|wtHwWCtx^D!eT~s(_m+m3NCW>X{pWt{6lnE%g|MeUu@c|i zyzZ2oiY{4BQ9|F&Y3{yZ;+7rrQ!XRoQJVG8io%A}h$S<8EGwFkP*rB^>`(FnDIcqlvC;fr`*{^Tu zsEpyPqb7gzY!*@X=ylih)DE+yy@WbWiV^Fdl3O}UR%USOc3JYDYcDpHMU`~Gie2gJ zMvJUjLbs@)%t`u2FI1EK%4#y^wN(Sn%4)hR{;YU{cN$0d=ZC&=(0lA&!%Vf7PX8=o zAtq;Tdk1TlvZA4zWhSwbCr-~d2-N!>zf7cxMTVQ)X6jxY@H}8qJWyDG77#W5F)v9N zo+?IosiwFJc);^hU!`3coR_BU=7@!UEPXGQ>}Ww*$`Qk?)Ym@R)x?(d7#<)2BCdMt z(C@Lonx56>mVKeW$7WhmvQFOZq0PDh?E^0*EB|cyVCPr-G+b&ZrC8|u0PW$d4`?%r z!*_rtVqxetPI3mCSD@p4RCYaT-v6Hvyc^&ATj@=g%cNp%&~ZGzHgMXallsAL=k{bP zuIn6?Y&OJTVdjIX%q30>4EdTk>DPH9!HY!33ov_p+~s-uibpHNU3+Fk9Py$kO$ST5 zrTI(}lTR#?#o)`8kIQva^i5(9cDkCxsGr;EZhoHZQz-*U3~^aKwV6n-^?RWMo;?u= zzZ8RGZ(Y1xK={qYL|M1oF9Wpmfl>Q+a@f`=#nw?|!>7vURM^(G}y74SK8{M;&hUC~&l|pQY5)#CpRmxa2Ww6%Q;knBy zZeq+OZ&}SSim7ozM~9GmBd1N}QPn_6&y&J_`;NOA9_(bQyo+IDl31KQ!%(Ih{yO-*=%sH@;1}_}T&I>(+zXp5 zXb`1N6sC!%Y*3iM))W)0<@Ki8G}rY=ZFn9>gV0iUYUKk-iE43(7bLR2-0v@My%5WSw0pOb z+DwwbFRtsRfHlv6yityv2(wb%Pl4h6n0sR2b=Sts7xlv+Pt`^N1?Z*c`*+sVUePBBDGD#S9&;ve_}T3!loYX)fHK=m#-dB5H~ zVZd#qglf9u7WxeX%HF*mCq?4n3<_^Gd}&h6J$?mZaQQOAOS?gkdo`_4k->J48xkY* zx_sOOxDfGt?^Wii(^|v#`oCn#0oFEKB<@YcEi-u>>~5T4+-SCv#VOpbv=b-;bN}DB z3c1KK830@>=Jy5MUm=bJSgU07+H19rws^`dD&rOE@~qkQ2o2^{Rc7biApG7_d&5&F zi6mVJ6U5CFJXIs*D-I5Oa1_{hnnW_p@3Ha?@ao2zsrPeFVa3Oj z4AakAfIy2cTlPS&!^ax;GctEEitNkPn^zV^^?HA06;DjD4lh9=Jg<5&W3v97VRRJy zoR`OsV)DpmnWzAL%hIR21&tNpn^HXEW&?oRJ6nB~z9;1#{7MgIOS7Qo8c(zdS_<%K zy|+z@$BM-z`Omta%B$N2f4=xlGiO}iU3G!_Fg&2V=JETUW-DsRuUy5EZy6R4FK18T zuj+E7n&xp~jna9E-d>j~1~K5P%63aiiGdsfQ3*7h&03qBZD zGdEMU7Z_;4Vt@bp@=dRKYkBw0plgl!@aZX7UUZRmRcCBf&$a_bb`bsK_vH_*3CZ`j zV?)NbrxdDqyobHzXT$jU`CNJwsv-rJhfOfIc{J=d4y$ov$jArPl2ogN(Jrq5JAsS- zpLvjZ(>LCh|LIWj>VLs>&)sbMNCL1{cLKfBJ)>MOv4Koo(}@ zao7-UXfGrw`j!z(?LCCx_Zi3A;3VC~{MQoz7RkjD=jr$76lNmny^<=w|IOc{msQ*6 z$6g+IHxRr1?rOD~g_D<^4>`r4bbV+dU-HbZwgPE8(XjEsViO*Jrf9j z`6YAv_+rhT#hKl{xec7uur;sL)DH}A*)O&6(e4bko-jB*ay2rDsj*MElgyhKui_Sg z85ojT+qCaWkM1)~=)aIA?&<}GL~FmzwQWw@{T;ityY{GXIya%HW-4vaD?&xCz}3rb z{xS2qIa}SwzHfcyMzbU9Z7zAdi*9b29OK^@CUM(!7yI4~sI;di!UXxpxaISz$0I@o zmKeVt_5OO%eK9lT_A?6E|MdAWG+}cj)BSf{ahADRr3kNKjpo4QNZ5Gl&AjIFNnho>!?>CE~Rv-}EQEoD#x~o0&c9b-&%e7Gf@>V9}dyC1>k-y(W{VSLGz; ze#Q~Quk^X=r;TuB4folR|F5H~4r}sz`}##hML|VGB&O0JjF65A2uOD$NH@rkhD}AJ zL2~qHkd$`7m?&MNks3Kh$Hss$;^qDQ@m$Zjp6fYvpU=7PQ}^dQ;0ftYn;sQ~`yE+3 zoBk+vI69&j2~l3?xQnn>XL}Rh+@#f?(p=;d_}DtDdasSf{cc<_e=>cNqpRg-;7X6+ z-&9VLeo150{c?pAl|w5rcXOw7v8`zhp8C^;dM3FBJM+5v+Q-BHzeJ zF=b(MdQ?8_Vmdg}$O@g>s4sgV?K?jzHKEu{VVC*pw1INPZskio|A(!T(c|gJRER6$ zt|2=0w6bi&-em$>*Lf1t@u@IxaqYh`dhofE==zL4!6+Iz18I7F~>!e%+63`>TcjgNnGk5bu?LZDEZLY4Yt1BX_+N z2xSh`X0~_SLW6p)_rb}M7nY&^zAxSdxK<=M*WxXdoABetk8iT&#DyP= z74SgDXlJ`~`1Bgf%z7SbFcs-K@2ATH$&Io;O!Ros^dFucbOp0wkVisSk#54|o{2wh ztbcsCvbZVbZvIF7?8M?yIY030SSmjYa6&-5!Cu`Fi|X-FbqAu$#vp`)MoTZbe<1t$ zy4UA*MFr;+ArE)qY=TqfWw4TXB!A&SDu&Dy;jy9CZ}M-{{9j;Yv^%w}wREpv*oNTy zmu?$T@>1$gsm;x(H|o|YtjUr7nu{@E{FPy{H-#VyUW)b z55M*2)AJdeZ$!JBlmVnu)-WSAhD7R+nj+cv8H%-L>(M=yYyAA_lrf)U9XEv=2AYI^ z&hHt&QE5+5c4{82U4Nz=x)HK@OeR*Q#3wNK6 z5nC6l_N1OP8Ks@QXKGY`JCq*e^9LpwMWSzuIALvhdFx%;wwFE z#6hDV<05|Z(^ZWf0=xV4s1S*P39jWVpDuSI^u3|DoqUgt7m5elx6wZ z3FcM{1N_&NMFZ^(7n?@#fhbwf@WS#&*eeR=dzOL`lDP-ckl8qMF6P)tdkZq;k}V{M z+_&kOoa_uIUW@doc#vo&^-n5W$Z^BTy-UvJv(=#;v;D%%Nqb%8nxlIG#~;UyiXA#L z4sN!-pOBBzPdU^#>+h-frZ*k>Q4FqDkCO(u=ato~XWwsq960X2UFQu}1wWd&)(bm7 zg-#RQk#?uaMIA?94Ng6$85&P%_-KL(=Be!Y&=%+FZCgiE7^?XAQMB}Cky1fylGxPX zgO0pq7Y%!79ih!M8lTt|b88o|-u)w~d!%|zwI`}GnzjWWgEVlG1;fupn=o*y?b~64q|z*{k>0aj1)^0 zHuSDhcz>bdJDHz4CUZAS{vvpx9P~ORivRkA-`y;s5TZ5DrZGh#bXCtRd-w9T~=PLiMjVDm+oNn8)AJBw!g-n9~a8em>3C=85^y~i=-&!<1iSfyO zT}`nNor!Ap?|N|=B9(ivwG$q0u;(MS{!wY+97Rv-`L)?!c9!x;|KjwXkf|*CsfCO| zY(X&ONqkzxW?d@{Sikoh?M+;`_!pW$y<#y?vP3)S{RfCY+xjO_pMWcOh}npE63=izFvG2nvqF@X(RZCASu>%-}v!t*MY)nyO?P!;sYK=z*jC+4pCHncR`QX6o61kEf#L)H9S) z8l#pap;|Dv&;ttbnyK-j$}uSxOLfp9#nF@q>mfWiG1`tD$XH6C2z=bsJ|1?gs4o)} zPIIa>f4Swq@6(Q~n3872-15oeDz@?i-4y`ow9N51vQcy~*=7`Hi@^b|u@SzC?-2Qx zt@{r`+Snsi4u8bw9IZk^99N{7cII9%b}_xIy;t3Bo^7d={V?TQL+e>`)3q+CcGk@f z2_DP|s(e~Z0-E>a=@x2%1NJtObG~nl+5+xQF|JwoK?Jl|rz#%#%B?!qqhpxKP<)a) z^CPuw_1x#`*oBMZt>hd&%qEx$x34Bw(k?jZ``upr-xFe&dC2rCaPn!U&5xM$%gl6l z`?`?5q=&QbcC*Y8Ns{{dB>S3}-D#s@>#!XGak)ISn7yOD-9p!CQh`q?s#Yn6VE3AQA{lhcm)f6(eUagg5I zhlr7`K|9S^x6o9{AxXi%%?$LX?QOL$7Tg*ec%|vPnUc{fxsSav2X)xwy9w6tGA*TCj+Pt@!KQSiw>d-lf) zzvO23ThKhL{4sf>sl5$QJ;W)17~6>Rj>HPYa8R%xgxUU@Lawcq zeGFLxyKBMs-oi=d>-wWd%J>JMCn1GHZ%t7k1$*v|{NUAFxry!{PJiCiH?lh47~|Ed zWT}0~6acy8B(Vtu{g)jt#^;8kxM;b|%E+NSD1Y1W6?SXoFSI(2?!4sGPIae7;AdEK zhx<)wd`Q>(P5bNg2B|0w9sEO6cYCjVx+6#bTWPU1QB4c-8#UeK=it!IWd`u;ZP;y@ zi2Om3RBX+e)XW_J;r{6%!L(A^8!;;S`rV%!Q(HOJpwPHgW9uF#OD<>%NPt{1>1;T` zH&+9)zURaHWA-+>=qa0KR;PKozL&Xcf-QO zYRS(E0?`uUTqra|!<6bpz^-`Bzy#wfDKdll5IO#fmS)~aCiP&t<70d8omS8Fm04F?jx%;UU@XKX=)laKR39jJ9x@5zK|9$E?WJO}8zwA1AQ@zt4y9 z0PbAzMG-jf)ulR!^miwdmcUg|fglrspoduGo}@XEP$ z!hk0$EvWzes}@&}HhjXdU0Zkl0n11#Eg*>^B;9fp01HXox^Zes+94fkoxW8+Soax zhSm#*Uro>;>dOvdvAU*uZ~cp6vb*bqkS26cJz|${Ow&INsQyu8N8ZMR$xWk%75`X> zVN=(n)VS@PsUdzOKCIxtv|%BF#Uvp9brR%ZuM+*!hji0oM`@03I-BL(YwE$G&rz&` z|D^079p(IY$pamt*wL!Sgj97AI(b4ihqB+-<1*j!Iyf6w|lw$ zm&j!F%2`^xV_MfT>Hxp=Z|1+9s0!JVBZYwmCu))$c%P^W(lLYU27Nz05KG*vz6l>2 zj`r<&Xw&YOix?7_p>TYO;rK>bDTg@=)_xjLMZCI7UatjOThKeh10MwOB2;vR8S z0FtCTtc2UAx366iA@iS0UpKFH-zSEldaIuX(i5YXRbSLVMxLI#CRz1XCdXD4$!+r$ zcj>@+;iu22^Y!In+>OKHGxcsvFREiv+%RVOEuQr!6V9cvWDl_VxA?YuT6z!PHEV?T zXp=6`sb>m9+SR(K0%0dOaI5n-G#Ql7X$}fe&SSZV~N+K?aR%u zk=2m@%)0jL2>k>f>EFAv<@7mS*G$TgCRwgFLq(HGgCDf>m z=rhdbp}>eL4P}TKVRW?NWj3`BZ*LeIx_Bf?pn|W%JX`C+BGMy2uPwdBxXM};@vDAc zVo!1H$H7{^=V`?xEldCDE0HvP>5`6C)^r++O!tA7yP`#x74WJMn$V(6Fl(=e^>Y?3wFGa zZT4O+YBV;_CcmzOg~ux zuQ&>-O`@EHNBNiwen-)s95y;&weD*Ls7GvPp31yy3DT}>`=L#@I~|2>@3w3rO+>k{ z{CdjrKA*l@>XsUR-;}uH&2kDNGRtJ^Bk~tBiH=HWkIu>`A6WMN7P2ik!HYrQ9heZ#?a;e zgt%2k;f$lNMiuVHKOjb5(*o$<%I~B5d$sua_UF6zZ)zSv{+dJ@{gMDDPVD&*LP}Ed z?{n2hj13v@gea{Ej`of-sWxy`wZaBJ6H-_9%-Qs`C&skIS zLrFzBC0J#!!A+05Kn-kN?2QXIUzUYs*M&64t)0p##2ix@VIX@$oXmkG?|slJ zt0IkR;WnKz?mtZX2w$N^7ju=ejzxf z+{r4?$X{Fe)F{KX!BlqVcvww?JN~)A_^!a4^6`w|H`DvXLd=UyrkLl+o0WIwD6^#g zBtGfEOexe1P;#W|KT}{VpwE9R0@kcEDpo7|RoB;Jbl$7h1e3%HXJc8Io(P_VkG~|` z*q$K0mR|W!cJQIyKXxRAW=ZkgZ2__1A7-C-EGhTgIJbX%cn=Azq!x#=zz-TyZn#(T zd%GOW)MH0RbUaNfAKlY9*LyWAT%xRENcZ$Du`o`M-sb6hGd?NDCIeA`p1u8(ypOrr zl7o*w>pHjE(_5RT9!m&)#;&!BE;zpjwK7MsIsWi=NPG6DH0`g|mWoO`c3Qj~25?}M zDZkG@wShEvr-9+|L@fKjyZxSHC7;`ct$X)0 z3z190?&D5qz>K;!L(5<$Eubj5wvZvSG&KIxt|@S{u;FgktKm-i^*=nQqLGbqvGTee zI=YOIuv7as;na+W$j_zDU+yROiM=3!dhBi&m0J16`snMT-u{tUN|}mpoe{wnruQ>E zvdZF}`t#t!Dz)%LI;ru;+p8lp*Ey7wA1a4L4G0J;nel0OVU9xuzhA2qAO6K>EI^dJ z@Y%H$CaBNo1S%sx8_66?nx7P{XW10JIly_!-%uAy_?o`Frua;-K{Fve-tA-FBX6L$ z-52phLDw|*9ex+VpAkLdE+cxuD+2;|%+z#yqcVD{Jah{^1*Vm}5}XnAl9_j_28jbl zuT96AHa-W88nuoTn~=SJ(+@^b2#A&!rzlnM|2N-MJCI$3eM}8V%lwkaH^pi0wdaM)AOGw5W)*>KIWN=UGfQoD20UFY($?R>|iPj8FR@E5sw+` z);SAQ>KMhMXJZ>RhDx)+6$>1 zR^M#Oyq$3|E?DzLz(9UvnyxRD>yC7JMT;>Lw~o{+A&%7ZypOU>uGNk=#zgL1*Iev* zY*zLCUPSW5q=FLdcgdbs-_lEE&!~3C9|8)e%nD~) zdrF=#Og2c1=xTc6OiL1a>M5h9U$krb^GFh7c#q-_u5&eH-l5k1;^_BE|9q^srBd&S z`%-ugc|XgWrH{V$%5?uuy%ZFeLWe(HG-yzCJ=AU*dmrPX zgR6hegm++MLpC_rju}WbXz+;?Q9?QIaODoZ{<|v0mQoz{k`z_^%e`FPFVNo3KQ>bD zHPgYm+~g>w&7?vhs@8D`0TS4r3#S+?<2aS$9ikIbo9*}I*LP3kWOPUF|4JUa^_x+x zEkP2Nq)lYc9r4o%)PuLhsYxOoy)Ai(j%F&=VW3D3SuU2@A=D;KbhJyIfi{~q(vxGz zie3`g$ym)Z0hsjmII{Xf`g)kG)Kxngc)a%XHdM#6#Z{dJ_X$4=jRu8$+?Omh*_5cH zx`{=19HW=X@*2P5t<2OFJ~$91^!C0k9*rB5FD51 z%6b^j8}%%ZxnI9~>m?9y%X76oB$q9zjN+bvI0=x+YH*P#u3l-#D$2X=1+KqRvV;%p z0o1}(3d)rc3-Br@6%g|X3t`Wt>R7zSo4NB^}4<bhvqT} zh{>$F`nBLjQCvl(B;0wBRQv+R(btQcO`|1iUJzX%TVdhy7k8~gxPa~@Y0#RUANnJc z)bU%Aj*oEE6l-_YY1_1W<8d|I5=@YHuVj8U;t~$IYmwjdMdl>XB`--pGdCBWUJ%!< zs!ARtGG~W_H1)k*?E5G%@EyO4Cz(kL?{fZIA!VdM;Y(X6RGh(W{6PzRgR10&>qGl< zJqP|?ubU+&Ft_$^t8e%wsNc8M(mZjytY#-@36to^>N055_o!BbrGSei{ht#j`t%Py zE;AG1Jn>Q&_S)}d8lfu4yj;s`gcRscI=8ow=By-WIGrk_{OWB&=O&tU7L@+>p|kw< z5~sx6_fyXVgJ(yD(m}scOgdchFi!udI}ed>^iaL5zP4DMG${4~^U8CiZmmWlgQjv~ z#T>bzZ!!5luGCgFw$z8Jx8p3qDqa}f`fTXweK(WCn%k<{Y-Rt;y!XD_+bZ&A&wf)> z;#u9-_lkIV)r*1H_AmNp(xHp@dszx`J4!=|HG^<0TKh3aKoRt3dq5jIZK~n*0b|QX z!0Wdc=7D#%Cl6MwfxHZ`t9cNFXSG*WPoM>J0jx@A+MWAE^WVw8{s|wXR|>~zqJmi zJ(uphwbVKL0OyU(YNe6>$9-2Wb&XnbsGBwhnGZc7n3Rbmj zVs`r=P<-B-pVN9xGV!eFa87UZ`oPrL{ef|E|I^Cz%ASpj=RZ+7qf^PC^O=lp;t%UL zzn&uy?0W*>)Yaw*Gw(!@IJcR>L-o1{!oJPM1#VW$^zf{W9sN>mGu7tm*D7{e0X2No z`0Gc#V;lj#y0u^R_p+Z(UW#o|-UyDheEJ_Qf4Q}~$5c;6T@AmZ)SJk<#E@vbXTQa2jB$ZnYAK3R6Z0f~o^%B`Zpn8j+%(siofhNEX7j}c>b%PB{m^{{1Um_7b zrpra%{qDHV&@0)H8_-v^pMWN;23!dw7x-^6*esaC_NFDALA8p1h%LGZcH;fZqP7E0 z#XDP?WvTPXD!|$NXI{w#s$*ZHb2Px-jJ=n)=6?u;Wl&}=)$1Xzt(9wqF(p=)twt(o zS>AaZ@dEKf4b)Uxa>G{DC{}=5E!BVHtx|T>2Bp$L%9IHuQxV`9FxzB%#s9Oxm7l_% z?p3lr`s|2gegwnsVE#xFbrEh&N%pwcDl+Gi#sS-IYWeb!+gqvX3TT|X@|aX99x7UCKwVV^%`E5FgdO$U(7iwf=8qSy01Gz<`y7&)LE zy4B_jxt;YO`22DmwvsPaQDJ8}&iE^=x{Yh`p4=+>tHJ%E(4TTW@MsG|dzj+tnk!EUM~P8zg~8)HQP=v2J7Q8P z(%ao}jlmz*?(j&yF0Mp+UGU|J94tGq+n4CafM++4m0`2uQTm;xe^}i&?)3dBBEI0; znQR$2=sXXV&F`_Wp)qGqW};&&O1@<4^1?0vu{o^U zPcA+kCDQY~%O-VJ+ieb_W&H`}oPN!Gv@CdGW_$472b?IeDrKwyuy#gfTCMWJT5ikn z@Xxv-);m~`cjHk-dm^HKXd0IH=`8N2Z^4_WDIB808cUG&qGTPNdSgxG5tHyuj z`f>WP250w4viI?L&ypj6Du5N5+zB8;;gkOkX)rmU%n%3cG7jqMnfMo79X@wk>vEpy zY#T?fc<(4z2`E-x3b*e;i{fBT^-e zyGjn^1tEKf!b4=n!u>t%;7TC43ENaW+_XsoK?_3fZ1;X#F)s!j;D3D_BQFI7q~~XO ze7&c!d)F9a_4F(T;v_tt3%5d><|@;G0QzRM$-h%OMX;E1>9-um3LG$!XYC2=_(fy} z*hikW&68Fq&I?yFbL_-@tl)Ria}z}Nw=Dlgdl{l;zx<v5_oc{mr_M0pSqxoN5~@9N zXcqR~g|wE`%L%u2va2tc;~%+bp<-PR@n4dI!haohT`YxcVS~oIQxo}`j5T~kz*KLC z;%X?s}gguXO*3EZ# z_VmB81Rk|2HSef_V8iSM~|%#xx^qx;lx(`7kST^ z;l_xHA~LZs7{OD*Bc5E&#~=yXtLB4=f@K{?3zD0`#8+TmW5cTBx?^=y1TSYS2&ghW z%{lHNJGmQ>Z+Pififi8%Ng`}@Y6e#{d7nR9U6gnmToHCcjBULvK6MczKJJ?!0h7xK zR@^XBhpFTB6_gnGgceckMR#F4XOPofcltUG<`95N-Z(ZpMZ=77DEt%d)9P5}bJCj~ zO~_~JOVLgdFLYLM9GXlEA_ta7gZhX8<-8X(2@q=t4*`H>eId|g0zsY%U_r78Pf#|6 zQ~>Yylh*rgjPUo~fX2b*sCdwokK;7w;P#~LJAB}d=1T7@`(F+p@IUpCV3QW0_@3kO z-PqNAAaQA{vJc&@8V5fARn-Sfj%KdubcFP8`=ILbXoc~H;PL6~nXQ?kDpBGHr>Bl( z67vO{c76e%8hZ{yC*@hBT*^Hg>hEZZBdDib8chVuB*de5QSZBRS6h+$B%j$F2^W>R?_EC7?c`U}v|aH0m$e@&=dh|=%t<0kvh+8Whl)>m7RairZsf>_i}K%XyM%YH;!Z5k+a)`;cn(O zV&9XqyP49p1rw*k8xw?AciJ;q9gYn6172<|G(f@hK;D--Ei)h^Uf8sdsqiYSm<%{n zamU|WdA=J)3NT1Pb{HlxAGN)#`jC@|>p!JEq{i_CAOPt*V`yYo8aM{57!U!eQyzB-fS*{CuNsy^W_P!pVI)i+g* zhgi>-@Mwj|Y^5(-V!D~_Fa826)J1!j7*@~xh0*B;J1-==b~M2!MXEHYGd*yViV~yE8(Yg54Cz}l)SUci%m zYFix0`IwL%Oddol{v~M`k`1q=kq~g0VS`nlLkt#+mvT4~rhP^`5gWdEnr!EU%K>^) zY4>Lg4#fWnwz8*M=~#&HbPWO9r?4D`c1)&f4J9XD^ls;(K`PQU@Ek3a9eAK5!F5?N zPGX;!rI!w51RRVecl)TKyHn!H!g6QZQ(Wi21Qz0IeYK?TbTb+5M4Zg&NNG)S*&C6j zloe%9Jjm*ihr2MeNyj$!KCqT!j7g9dTVxE|4i zE50%PC|nU!7gfbhB9|$syp{qqhIW$m^Oxr`WS=I4Z@Bk^Y9((Cv9hb2}JDTTL zXOydW;Q0(YJ=K4)?nBGTkk1ln3)AKtDyZKgF+OPf^%>Tk+E`}7ovvxkb1aTs@qz&9 zt!|pdJ>ovTzjK+G1&11t7*T?kJ+m9}c*t|sv-C4Z&Ty-lo+X$Vc;ML7{9vV_3>*my zZwLx1Uwz`ejIw8%>2_qVYn;)|=?0hvja;6n!JlQy`YEC|s|6qq!7XJLU8Raza`36q zg9=94FhYMBBm?3K@!DiLDn2Wd_@@a*CC#~1&9%lEfvjcmPgmygICWt}WTJgY=?|o? zXMEG5ZLoLsrY-hcARExC9N9~=>;0nXn;fALoIKI3!cH*Wfnc($<13K5s3rf(GPx(> z=c>VwHZwy&kQ8#x^Ms9F1f&lb7;J?Ev}@3S3as>V-oza(5xg1UCSw;<$72DgRUE+j z(g??}O5^mPWc{PE^X$Mj2Tc!^CZzpw7l$VJka``5?J24 zEjKqDC%3)r6velE$a-al7-k-b1xe$@9EoeQy80peXEj)i*?~j@Nv9!@jt!eG%_W)ova4^a@6P@<7@ohnx~YkM z>QTw~9(IP&^Dk^c7^UOsJ|+IARkthL>P%+(*z>Ac$j)6sw7gYu zZat)|y}$$S$bIAoQe zlX-AnyWFbe>m)q!_gk67ye?R!Y_8Z>H46h+r*dqx_ma{Lc3(5^@ODz^>THVfd>af( zVhMV6NIkx@*C0OKE^!ZGNK{oN@$ozqjkz&N2!I?=8KVk%%NY)jLS*d_D*VA%QI4udqUJ_0)B zh%t(*^)EgbU|NU423I+wN_Ng<>IUnTwxn}SqLzyQL3^SzVnRAJk03iB`?DQYpxRZJ(>r7^KyV3>MvTXnCmN9Pa>kmRK*!CFS32G_l+XX{#VOX;vsKJ~`w;eTQ_DwpmY7%{juYZt^sJ?Q?(xSSkhu>0&R`$PV{>hp%k6P|m6x?#sR4 z7_Q8d(go2@`nYPm7rdb%AZ~{n>pP98hEfgr*^rPyYdL>W{VPp`;f;Pp+s|9GT zVh>HfA(G?2ofcWF$uda=mG^PbeCI>EnvywaOwdbmnmN%08gUZuFD4BHyoL+m@f53P zS8Y#4B0XCX1R66?UDc6UkaZc6P%QE6a{f_r=CS4)!)C#bM1sr)!Hf|AIaqlE=ejZ* zIFulKl0=DMvN$&)g^?y>63rKSQ#QB;Dhk4{fd0VP8fw51KIpzSHpgJ0v||faB&S(| z4{@5l_t7!58C^`{KvFJ`<&*G+{|%f@zLK6njtF6`m$gUjS&yYN4sApBwR#U)U2>w? zn9#{Bm39}oATuzIu=)mma>`X7#r~dS|$bp})jz2`I`D@PU8Xp>*}8Fcpk9k%B{`Ni_p2XDSkYp_ZYDB3wfo^vX{3j z@%_G1sQT56E-JJ-=gX!cU}&^6CC{(Q8Y6eR=Bkp@NxiO_o(R=R@q%I#s-uM&IZ3@O76+Wy4lCHiWrhBWl3}%di{< z&Rhf3)2{Jo2KTf#ed4DVnpSD`l2X>0BzZt5u*Ca*cmKQHgq)|HkCERhDnQPN< zDq3X+<$`3rq!)Pv<*!dh*+)~LVb+{#nwFf}ubgEfBB*5U>k{(6z2I20&Jj%7(9+ej z`Q?AVxee|O>7UukS=eiuT+N#CTL^)`TP9~*tC3LGJ%Y3dy~hYXqCCoSx$MsaV@5}> z39wKV#A@s8)p>9;_S^EN-iEC4iuI-bh>pcP=Wgz4t+@{~wV51i1y%SVGTSwY5CraZ zG;CzYuZtWP4BqbcH#i!rpa4V-;!T#ZU;A?20Ov0LQ^_*=rPTiyNRRAr4r9|=N>w?t z@j5M&@PEPHwc!#mW_wTkQAq`pRYXfg(p6{`Q5~&_JL_Lb4vv)#ZUl8hx6yTbio=da z*C!WBXNeD?AZLi1#u}B^Ys-gNlYZRd;8gq~S4g=|O#D`t{*7^dCrq0sVDdj>=8023T`;J2Pd%o0 z<>@hKEpXhC|1#(K5wZVE?2)0wcE3awP<;>0>kE$~jP9@jtv#*h{qkxOII_{PwYS zf_eQF9V2?1t!npyie+uXmP!ntRdt#t*HaJfs-7tfou4k)vsLbHVB7j}KZAE!7|q&P1-Xn3gvk-G zJ1S>}R}IH@A&l$*oOeQfJsyM*GCdZ?rVcNw7bPjz9FHya5tl}tKRsf+)Th;;6?i#iGGLp?0WYuV?Jp85o(*jUF+ehlfYUq z28;-}B0@T3B`4cDuCULO(oGi!`3VeqwiH2TFqCK#DR1>9e?R`#$A3FqW=M#Zo!JYe zj?MVWR=vRIA-QByJ-16l4W8z~lgc|Awwz;dR+pJ7CAD!)R{}^65hqs?QwL{u9f!Pq zBj)wiJ%a@9W1D5pMrXu3-tmN>BSQE_`i~{|bOLymhJ#Rj-nUia&eQr5zA9pTQ%dM) z0y@;UOB&{Y9d%}OEe~CB|Fn%I);urgUgI@b!BvT^921Q+ohJmJtP@v4a}BZns^i3; zB?mB)o%#2G0XJ&ilPTF88oXmvL_N_GXMbh}0vb1>A&~*ntzNbeXT)>VeX8)MNKQYa zAm|d!V@dEjcej}?D-Pq!F_~a{(X}-h#e6K$@^Gt%h35mGjitbcAkoKg;x3}*H}N6R zMKk~1q?FIDW^aoHnLp{iE6lIyqtPKmuRb2l&WBH1iC+F*ha$}TA=~5-lSHTusb`^9 zl@hIhoJ4q;zCY)%S@>cl|)*q zX7A5UaqzC1>T>a4%DoI?#VmQ2uJrHJ)iuNfso?#Gjw{|^Vw;DW#Zn#nQ#Z~RKRDXf z$EMD9XUJG8Bnu-QCmVNj(yg&;bVgPvy*rVB$5E$As3rVWaik2u# zSa+Sz2?7dToeSCgtFnAmJ#d^T%u%Ekve=>q@<@4U)Ag!zh6rB3&HTfa=W}IwdJRo1 zSV6VE&wUD#LWi@7w^;zUlrmNBdc|~KP3K!dCUJa4Y0{)dHAD+XyE5Vl2Q|IXNC-NrcE!*hnNNGu3co+yD>Rbm@=IV| zF;DPIa+~Av#rW-lPTMa+Whvo+fx2CWuBLpyl2vBz^#=64U6zsl6Jhj|CJ6Z~;IpAn zvWW8Ph*ejpsHpT58x1crq4kd;(MWPRHy=hA-s%{N=ifUy5e~O}kVy)YC|wVEQ+q^z znAmO8%X%<=AX*nMu_&qD<>w8=;X0vF&d0JL_(RNL9rq;Jf8OjWXNvPHs0=*-(#QG# z_)zSpU+pnP-HiZuJpg_<-zx04z0m6DgqZb92A?(ldl~=Cl9$va&>L8r3#(JDb7;$% zE`g8j$sfUE3C0kC-=@ol?nf8fL5F`YBB19`iEO$G-TaOKvK`@O%Kj*2BCP-(PHYzK*iIbNfq7 zPwopV!gKb(h=?zceMETv{cZV&15&sOk*zfa3dda}?lKrRH+y$BkLKD?fG3mJXW6&& zx`dvDh@D$gTZ?v&ruJlm(N7qwUEnZ^a2a#jJ zYNUG~ZNCX>R^Ii<+n-p`CQ4OtynQ62lh|lPiS5a@keF0?ejaENYF01i!C{cU{NY_^ zP?S3PxBdI?ciIw)P+SYz-(3OvD%|S+#UEwuirX}Yzh+WfaXkhso5j8n&>eaE%b*1o zLrtGzt(B#Ddgr?DYuVl7c+J=c{nhs>gEcFkZtCb6?zd#VD!s=C`5{voQ9uJ9%nk`B zkwP;#%3jrHGxvwQdi`GBYlf~f`$Gr68y1FUBj*`et8FO}o2b~$$1)S`lHn=n?o3L4)R6eKkRjoZ-4we5_w6I51vM4W(q{Z^2agr^xC6f26u~$u%U8)^ zV3Ch@W{wOvkyl8-me`4t6!^qwa+K2BarNyeo5H7v)buFl v%npe3+kw~4nlf*EAzPVHY)t&*06w%X-s##GU&?H~=kxS4R#z8CUtazn0EEFQ literal 31439 zcmeFXhf@>Z7dQO1Ax#mG-n&W@P-;k2iXs9cy+*nSNC_>JL<9t+Ne3yR2q?WsFM-gc zh9ZOxp@mK$lmLOe{GR8pcxRs7nLWF+v-j>j^>gky^#A?$Un$MCt1IN{d~rn$?K~ik zuAg|NWF#I-Nb$b#a&)%km6nm_eJm>}B`qoaSW1Rh&(6c!(Z-JVt(A=vuN1G|YhEKe zYhE>5N6)J-Kg8d-8s@+M#C`q``R~r{|4Y$+|Cjn++s?e5DyDXHjGNcI8z0PD@tN1; ziy+lq-CoN0=U;BdA#-i%&Es<^!?Pdo#@~N_U-c&aeF-)}O@_Di;VT&(w~qbcOpV3G z%iDKp&b&Z6dxy<|XQdGBOhu-~LZ1&;$D6`2C_1pUU!+Y*aXo50XhE3T*X-PEdf{Jd zwstcX2*wnqaM(!j$avwNSzHup|MA}nri0Kn=d!w-Ox$*%{UbHp{fy&=3+*BI4SRec z?8y}A!{ck7Z$sU81xOnQB2(RB*&RAVACPpulX_Z@vOhRXnudh2n!|jzK<%XCmbC2= z`@3!T;4%B|U0>Jr6_Nj{`o)pk(g@R!Q>z!ZwkR*+yjulU_mB1Nj!c~89I0^J&coad zkoXt~^aHv=%+r^rkCRe-1Lalzo77t=C1$vP8F{XUs8cjk1{48H@DD1E3>B zEnRflTFk06VwX($`?I`zh^a~n~$u0lQoKhHGP1Lte-azdbyN2K`dJ5 zY8oEwCCD26X~-R9%3F|_Hh*$Y|Ebjf4-ClppI2i*3yVcb71oq)*Q(t%`~gYZ}$Hjz3Rn`OJJX#$Bq0dT8ld4;!`S1R%-u zV5|)7QB=$M_FPWNo&LNtrGRAZp3N^`v+|A5%LHwQ_Rl#!PTiiL6sc8hP2WO*nI^iC5vl({kY}%EU@(Sn4Ot*b9$w|J`tmz zENR#HeV$9s>R7r<=$>S2$b9bJU=Ey3r)`f-xOiAfg3Js#+J1g2abYX}KDJ!&ol<5` zum>oFQ=D3j=uGV*);(m)G@SDqdfTf77 zWAF?xkM!*^_|W^7?!Or(RW($mOn#U@kUc8McO^97U7gKkPgWvGxbBLPceBhk9yAPdRNl;Pd)E~K{akhe}Anr2X z9`m55TKyHvIWvCsOPZsFW2gGr25@x=J+Hfur}y%Zz{sd(9WM5&!2etZt8*py8N0ue zEsrz;Cg1$L5$%e>fjpgeylV>bOWvxe46o;t7Y*jeDAxY_9Na8m*I zp~yZfkW)iriea9kaVqiIJl^XC`0*@5)wYU?E1UO)#w42yZOR-tMcl5WUo(52qI=5H zG|Zl`5DBb~VhrP!^$T0BjFUQJ8&3}s`JijbQfPfJx4iFQh?e3~vgSMS??30@SyqhX z7_XR5!C%&txMxDO9CH@V6GnvZ?!5o#Inow$`S=_t8P$3zeX_t|iF2c!24}uRbF_x0 zwvF(RTQ+58zA0w940a8u2S%NMG^4k~Y+qA3Rm0PcTXGz<0>YpHE2pb1IU*h4O z7uOdYsbU1xwK9q&6wv~OBs&m{d#=T)HKYUB!h1n3psIb+>Ha3PyJDYc1 zgguxLV+BsrlJ$d$8qG6T^yT z)^D_sgJyv12O66pQ1F@6(6Y;B*Mt~hMum=5@>1aa?t=3~xl^dSn&Gy=Uda$lRx^43 za_{70TIO_4UAtr-;zE7|*oMX$0N_g~A?p?fU}JGkb%d+3Zn_PS3V=$`hF>;W;s>pKD(O5bdNeQEjmJDw&jUopx@a1iIM|_A}zdG`M255B;RO<6Srw%&HLOfO>XML z51U zBw4`&jE=icj}fQRf&F{ny(cDNB5$jz6W3Z$oqQbWA2tQ%7ff!_h-3Z7vr6-Nl`J4q zr?WC+!Cz^8vuZMPaxh`_vBMhyrh+^M&z2S<&MsFvbDl$9z>@>eoIap}e&KZ`X&FtG zKtbs+?dc8J@39SuU%9CZyaX}CEx_28@N+h_+S15ufZMaP5P(ywQ~$IUqHK28fC(_8 zWj#9_4K+*>Zwglt7%Ib^YTiQYbbB|-yp~-X$&7pM85aS#F`wkrxFuTuqUmrvBjw>7 zl}!ndgTmW=XLdBqNx{-DBk8p1K8?!)X5Sezd@PV(z3!ptw3^qXWqXc(q*|-AU~TBYWyGS?KRjF}Zg+khL^lF0r_TDVd3c&%mX|Tu6^h=+U5m4@vT$A} z0Upbahi$>h+NLbkjaA+Z+?xTp^>PLZA0KIu#8{G;reOuX|3*FbOB^;E*EJhDV}Xqc z`jf4O8UT1l?cmVYC685ap0eS>CyM6z5Xhblfqg#2Rv6S7i)Md3%ugWL|4)y zO-QdfY{J+2Uf@GaPxlL^+J)`2M4p$mNSrfR!yG6Jv&caU4;}aNX9adu=gOlLFFbCc zpDs1LlBHDzuv>YK2(6@*pvppDTVLKkg(m$4Z5iUtw@Hj!GU;7PqBk!a{-DZIXsm-- z$A0W)d%(NPT0{>Uv;nyFA6-|9r+)c7Wf+7kUrg&cbwGsUFbC*D0dhdY7u*>G`OPw^ zI;!5YA%a{dK>o~F_$O1t{L`sRHXUyM?!jhKSxI0>n2UoSR}ttC zBfq*nx_dNK_B{-;qR&GZK-PYrwMMD%wEmu~@7Q?LvgzqFNS(7LqB z3kj|dm@%pUf~&cni8V0;U?K#fDiH?%ZY?*e&}=Tf+Ru)BkWib~@WQb~BDb-=BwNDU z%gf#5J%*3ztmI*!zU>L6!JBo5?S>~+TZhzH(4T1KM&Y5b^k1#RLWk&NCO~8_`gXVj z?QlBG)dA~!gaCv2w?P&WYnz_U1iqb~y7p6k9>t7YMeu#J)Ga_K>sg4mLFv;$ z!6x7%4vJjGOl_Cj77zMo447;P-y9e%2rmO_x&c!wOhM5J;{IgK?~1oPOM(+3 zQI)rUqIK!%YBNfnD)ZPtG}j2Dr*)_f)~4}|fQ0Rn{bv225aWrS&gx3vPj{UQOO}JH zwDkfr1wpRNT?AGuj1oxCp|QlQrl9U8Nd+NyFHx{Lq7+^AupKU+)GO&`D<%#&W_)myUe8y<`a znlHVB^kU3MGoJ%E!bJvJGL)3Vr8Yf9dOvY@v3hNK9ZMP30BbWh4~_RL?76$U{ZJ1Q zb_}86}NV#bP_%x2|1GU`&@CXvbux;7H;AEUS5N4c9x6`||e3rbc!UPCQ!JD(;hcQ-| zKTO_pyPKkQ$qfH6Ninz$>cC^Kvo{Qy4Q}0bnY!J=Y(iAKe31ExdzXyO z=L&JOak~uSkeuX6J#!bZpnv{?rJKPc(lOX{488KkEyCOO^-0f1u;ReV&7nY{55WV= z#x}DizLVaT_h2+M!XJ!9_VE zpA#3ZgSI?ZX1 z-TQL<&_$5NxdEP}?N#=osZLdjTy|)s%=c$&nwon}2_gR5$Md;}D`u3*{qLEIZlJ~T z<`y`}0&TEPaO#n{H|161%N?~{^bMzMi(#)4U7Io@UHL7h@`R9mlG*9$`y?(LzHZe) zo||g>OgGfRL7~YqdWo%xJ7&A6&#TE`GE^eR0$f}q>0q?Y$ z#aS2})dW|Ia?cD~UTLyZHmVGv0P3;%Z*9-5LfP@O5oa*z^=&);Ls=kKZt9odK?1;_ z9a6O%aWQa~V5b4)E?rcp48bYg0#qB}GZuZ9d@n7pp{tkxAhG>eB$D&2@S_WEouLV4 zoQ)P>I!oLq&F_cU#QW0Tt39!JIx$M9n)96_GG8fJyqlO5>qcJ16E8(W3|Ocs)hFyI zMhEurE+|G(U;5>%V*nOgZ|7(c*6Jtyh>fRP0U)-exDnv|+yr-Pxg%PT5aayz!giwO za4Thi^UmDT(XWbd#|S-e`j%Y+di9k!k}77CGG8S1ca{r!<{P+@l|#J@)!TRj zpu2e#Iz;yHYG#xsd~Bjt3U@7V^b@Q0%|$wVz!~bHvA%$-*);nOeK-x%EjR zjjC}TqxOrS^=RvMyoJK(snteQs})BSVu8}j(y|s==rk0$nW&(2TtpnY6|bOuyww_5 zpbZ}Nit>+ZNB=b{JrC?1-*}cSgBfB@Jkm5OWKXdr<$)ihdZ3=N&G`=yMgDB{9~AzA zc)90#9(xZi*)k`*XDufDLgZhBdbyk5FSfEE;=*4vh4Z9M9l5Xfgc)3%r&R^Uy>jq9 z_NlGbUhLbMXPx5SgrsjTDSYqxq8N&kL750p_Ov(|;W-}!dYXLVECYM~ID%$scDERy zT}xJcDZco<QePICTQTNsYV-d=>DX=?}a}U4aX6#t5R%389H;>+L z9JHDsXY$(tZMFF4O6|19TlC~%d;IBk%Gpo@nK&P1Pcbt}7t92tzyqbm*? zh|piztwm>JfflfsogcYZ_gOsWAF0r@OYLQ@6h}wV)F2+oruEw*5leWksT#OG81XwC z@f?RE!XzMf{|{??N*DK`0vT|r%bb>uAZ_4X@UMz3dn54HOYi6ui*xm}X1(@~mWmXb zCAVDPVF%1Jgy83o*@XLhisBrN}UknpXlvThyGUx4pCokdNjrKZGP@)ma|XX>x6#ltV~WF zQovf%(&>wzXelNDoS6<5gYf71Zn0jik&Rwu0^q*ATvBKfWGi;&GCcaV&;^G6Tj)4{V8O5Ojxalxz)55-ajJ9UM#`RK(E*_>-rSjq@q=1Frlsix;zp;yh_*m*1^zsVFDi4(fRhp0oSSFuFj}>nEaLRpifLJgR9#ibYjsa&bP)APS z#$g2RA&GgC@Mh`o)G!oLE;XoT~0S^OyJX>SK@hIP(P0Mas=e|b}&fGjB0iyekZFFSvww>w!Wi-6Z?KV98AeC&e^`qAN4lFeFG$~1SvPM~A&7>1A&Zness4N9nrKPF&YZ}Bp z))5VWg)Oix{u|jHb>rI^fG?`U9$}p{U=~(UbpT)u-aX#S=EZvfc+C@1)C!V!>if&( z_V!Fcq-Q6n!L1wowe2EjR`M^0o~>A*W^&oa6Y!YDeu0?sGg=v?+1L+O@~`U4YoPj% zRuedgsSA-4eA4svm z)4Ai=>l=0Xr)Ac}X14$uC5|8X)|hksQ7`(|YW$AiLNs2I3L&hw3P<;We@I%#w7i;cgdaty@ySb{!_5 zUO^|$U}-t-)1-8mr-in?>d|&NvH2Q#sD~mSCNlKo{pVJGI#=P@mY#e_f#@}gO;1=aS&h}{;oo$I&;)cj z!)}k+B7$Y;f~+ZEjxM5w=@XOaTjSgg@V)e9&|t?~=lwVMK$V?@wRNmqU*_{Hb3?$N zxwl!Y^Dhn-qJ1ZrxYh5NB<7{>=S9@MKnoI>M61|LKp6@@2;k#^aR|!ZKHCj)r%BT_WD~E-Rfl;>G=Ws zzP#6F1(C+NYbH$VM1|T1X=kdt3t87h>Fy?f( zIfw&QAK3OEOBl;9o<^<6K`e9Z{7wx*8TSHCH~Vad&f8ggtTNHDQ`fHy)4$X+$F%`3`g5+DhGOwxK zl_O0}V-J1S19ji-_Qj)dA6To^t{(J86U!<2vSKPm9 z&bj-QFDH|_zBd;>^B8&2D?%gaAel(A5Bh0Hq<&3?1_>^AG-oYHexF6YJ-}brP)5FO zgSrK+U_;|g0=v)3E#U7#SLG)i8PwMOEvV7+jYyVcm}k%mFf_i2`Bj$rg9e+RpIPh= z@S~Zx;t^trB!{4t9vY$a9uef>>z0ANmP491tIQzwx#^^b3+YOxFtHZh&#nan%Q8*7 z)?V0WSxrV@2q{V$(E8i0+c5wv*VdrYWntomY_E=!K6PYM7AMDyR*Mk`-uEnuGSiN{ zOk<57Tfa9XerBCugk_AqFk&4v;jzuQ+@VB(iQK4@82o97%vaE36pl&TmAjTr?5rN& zL@l;j*9m&i2F2v0NA&U6B71WO_1S-H+m-n~b1mEPEHj#z(`PLca6Ci-Nk0Y|aq zXgvJ&@$9Qh0CrgjUh3H>Ivggl`fF<@1d?KYUmXnz;Sn}hXr`Ii2+(vu^8UUZf{$wa z2n}z%{0Zn*YGIW!o%OS)!j?A{qer28X>(m~;F}Hvw>$+jZ8h^RhnOZTs}~Elhek=| zyex+9bS{KPVjD$?JN;7CxTfHAW@_94Wr!t}BCrjA6)0dP;K~y;lEFT}tPg2Cf87?y^k%q*R5S@ZdX{F2-1A)#Jou^eJ zk|PE_bn8f3PepRv3NDmD!yY-qZpG!yU{VgodEW_&94|D`JG}5#vyWwD_=49$`+p5TXi7$IXNrhYYU2O>JFTC{kh`vlPa?gqIVq^H<0%5(P+ zf-{$ZY@KpZ8x+HLp0 z!pgclfO?eGh{5zetIZzQDw{ghpEr?au{*p0?*uR#fE>cS&FmO^;T~e5fklhfERyIV z3H5rJnJzQz7UkCWla6xV9d$c24;l{9Sx?#ZL#RZ!z`{^xk1hg@cU@4>g&pY92>CTz z;;)Etvk>wNc}rY_bZi2Gj$S*Kd1-~vAIigoU2$1c5K)zfrf2?67>kBlIS2ktWEFmz;_-uXLBHaFJ z%mG(tE|ny;-mFUoij$s7ZEqL{ij9=@$Vbt~Vpd*ZSMo*vpx2+Mb?i}tOj)Pk$^VgK z@WG+VT-cYCCj!RmkFv;bu_v#R_o94>A>_x{k_QD|jX`4hyPv+REn8$-Z&-ii+M+@@ zGpt?@Hq&Hb0v6iP5(ZRHD+Hk33x#Y@93;s%{PCtpXTv%EhSfhvcy$@<`{PZ8P7@cr zjjKmU-MRvHw!^xayRX`G=-a}0{5aY7m1$2ks<-X;?THDK&HJFt^33M;zurnFea_F( zQcAOa@6E7!)aO5kaze|Uru*fsK3u+gyX1_DWl(nxxeB#Np@7FI2YT)KY)P8cUd`ov z1tE!?>7@k4OkLsy3a4W|x+Fu1G>}KuQ4_ikQ6iK$%lF|rA|!Kc`b*-=5Cngq_tnNC z=?|)R(y@4>@1ylsX#Gy4GomINP#aUB!Z7RSaSe+Ox6;K*tELaql~_kJtr^iN1m{aW z>~59RTHk1&Y(sn}1);QK@jamla@sL?kx+1+N5i};zJ&jRhiMmtFFe0`#n&2y9}G=+_#z+>D%%Qxd(LI`n)>37RojoNmZV_)@`Fy*2Y@Iq0!E2y(QUE+Z$LjHF>n89>z>v zUaF$S&D8m?3aztnhP!q+;|)6}8l%_q{Ra`iVhtV8);_K+O{pZl^3c?zubipnKEn9y z7ptK+(I->(G5+RK4@Ah1y<@BAg-truBQl@;wwF zQ8FBIK;cRB7$wwyQM_nefo>IbPS(r`YU09KD-PYIN(3`nd85kg@_Q9g8+tEDdZ^!H z<*M>%noj~5{x@<+qgT%s0s#-Paw7j*tfz^iHu!zq{RK6@%aUhVCIixJnyv}?)oQfH zXNjyp@r*OlpRzCM3?gHsOcUNC4cIZ!82bmj3F-O|p--GZ{YKwIsLK0X`-Q&8BhoA; zpv(*HBXFFp_o~V)6Ic<83(0piZN*xyQkLJNS;^qFC#{uRFW~X6Ml6@+UuNd<3|PJ5 zPPv44F0Owq4kA$9n?EYZ`8*DX{fe=xbw5bYiSww`tq@`;>V(A`8Uhw1DH{2-;yQAF zhmJ*xm&}Kq`1xxVGQhsNsZa0$^W6+L@owQdd{^q_;Gh3g@M##UubHBNg!Lj9)cGkE zB2<4gpo(?<7L;`B4}SS3;JEg+?H|vQAqd6bLOdYPX@Wr| zAbDZokmlCCFoc4ns4hlxzRuNcjm3u&1VW{O%|%HElnww00uK=&sbLFg;DV@ANnnpA zn&ryL10_imy@lMC+DA2wWtUNa(;|LOIvKt5AixAu%v0t%Zs2R8d}Y}$$dw^RD^E<| z`NgqD&uIg6hNR?$+vp=Nuq)yYTjyC3habK6)#n$BY|09d`7`Uk)A zPbZk?4Flj^CZH@TZ7)NZG)4~#cU!yI3RhYgQ3F)Hx2`amfJZZWw-KUA~_M1A^( zR|;KN_TB$-GKoT&+^K(YMs&ZqDj`Uccb~n=azK0;4tKg0B$Qnq(0O_t^~Q4!@8X^( zJZ$v6zVq*99e1ieQFHgB(w91^jz?P@@qy<4>xAGC8TN(e=Eb+X&cgA^SDxlY#<>2$ zq)})&`(Lt|Jd~>6BzBom^MplPl(#rUM|@X=6pKoe2_?3o(s%~hvFDV?*YbqlZ(*)j z9~xYYYxtfJNgunVN|XyBzde&_rCvo!WU#-napf~PNxdhw&rw_ep>T|G8!f5}uFoEaj2QUkz=FWH()HDFh= z7H2~EWrqhVfV|HTe|HJNRt^3k z_&0)@dqM41;N~qaPwQ(qqs~*ReO*va)=6Z7Y`llI<=@lb>)KU4UzYMDYXv?+-_Eow z1t{5^*S{Fr_+or=X$$xd=Q(}Hq{m}kY_>)TON2P{;U=#Sop|nCUrN{T|8UNQQd3ok zfxO|v)n6a-@!IL>k~8as7P~!7{9LW~S3%<%PN~rwwm-<`?y&%U^A++piZ#D(Dqtq# z&u2((S*A_^K{Z2;k|!OzlBt~~<+4|DwaFKKTIaRXyd;o?s_iu&w*y$HaJ88IRjA}m z7B&#O6CPW&c%-m`Uh-_vWzA@Iz4NdMI@5pH`6-}JQVf6_U-I-(ZcK()OBIq5)+FXH zHZ`>_I*1}k(8jl48?r2%)Zq5J(5wcbgxdBnYCGc@4ZB^*M5}z!q=u%q_WMx-JE78= z4z?tJay1ZaDgEM)4Mr;zAZlxSc(>^KjM2c~*|!e}8&LyCq0*vuwq>G;?oPtmI42rA z!(P0(-UETAyy*RuXt4ivge^T!@i72ymNskyCI8GC)s?%L=yd(Iw4i&QSWuE; z09VP}6E%7x?u@&6)%>tW1vhl}Q2hc&-S_?J*3EJ*T+fvTH(@I4 zruoZ>P=m*NCAt5SRpq(yh946pM1RrPGvYYFCXtrI4+39X%?Q@ltV6Lnk?p3z+_f>< z^fFGu^Xx;}e90a>gJ#R_VnTI~{ST7)kU2z?l#af83$NsV*l2~j@{+1ifq@@aBqM)C z3J5tO>}r_zXC(z{@)%}KJ0VCG2h%S#AC!+iVItU~FTQ>ijX;RCSPe%8!!>`DNY0kF z{m^WqW6<_&IH#t9WxGwhy0du63(q2&jD_#>T!AJHKQOJv=DM&W{ByC=ZeF)uArjn^8j5#odl! zx(ud-zc|=pO7ySnn``|Kq(Y>)MN~u8J!&&iHg5e7sX>I@s=rK_U&K)3mqT4eFP48S z$(ca3lY4j)EX-cb#|YJLI%_Z_NPYx&CgR(MHH+HAR?>Pyq-Orqm$t*HkfV;)4t=tQ zr-Q=g#KDvbmH={2k2TUqSF@kA2QWLXgVi`TT0wL%J+W;&0-6w$8#QUhU@~SWEt4PU! zyIACXn8|0j4sja=ze3>D3%b5VSad$0R|`@QBTFj_F6Hml2;oUxl%+6a9vbkotjrEY zx4AxuEyX=PG4r$;DUAn@VqsrH^6My10y{Y#-4Mp7hwf#$1)GuzMN^YK7U0f;plK1lvTd`qxf1H>;m+gfty3YaI>t#6NMOByh8}Q_?gZ;CD|q{L0r{}$dg1m2_a-W zV&x$DiS8`wgn~G+FR2!CK9fE7&(BNUD%uGg8^|#E(I1MIe+7%;qV>@W7=x%S)?%3X zRfU--eD!}HVc5gQX3cws3l!*to?km^LOk<&=|%@puL_fzuW%GCrGtJ4IsYu{A!dm{ z>7d?ll_K-Jenw~rt@a-DnP=%0A!eYEk20Fslh38F!6@kH^3i99yVxk94?S4rnpkRF z+4Idbo0T;8gEsN$6Io#G$v>jYC974P$Q7ajhk|!DmmV*3adg1xftCXRnhSRy?!m%$ z5r6Ke+a)iUdZw+gOL3@q5(G8g;=~4imf!C`#cLEYiNm8m`kD!4zx^E8sfq(U+oV> zDfQQ}HE81&+iY0+b49zv1Qai+Jj9kx;p%@EVP4cE{@$i3KL`5`8)df~H-syW4iBO% zl>BGIH1OD*=58F#c=$Klg@rb|g)C=bm7MNQz z@JQ+&Q`yZ=rdTIR5V(G|j+pIcI(39Ph2OEfyoUwq1y{CLBM(=4cl8Ob)H!8PYg?_r zc-^$;u8+R@9A!4S{!5y;5#p^lLrso4Et8{Q6?d7RL?@+6?+MsDBj3=HrFYh@byS22 zF3?|MZq^MPW+5RvTN|IDKG+n^OUvH8YaF%r@;{L1PLJRFaLKob5L!j}16Z(85X)FM zKQs>&CcoF7m{0zM0zHJnuV*~4ds95a!Itq$_)$QJ$DIRJ$-tm_x}-RAmD|~uF8+-5 zivs*r3H8I(?tVADw!Vy#rZTedN#SlxLQjFm$lr_3xu@kB4>A;5yGn6LF;eWoVlY*8 z>6mNyDJ~vqUav1V%@MgGmFup8y-h)MLb2Sx9H)e<$?FcLx2c>Og(7$ZN|uM&BcF)K zrWSe**yp)}HCn|<#G7?L_5?_WD<35)e(doUOWxbXyq6DN17Je(0an+$DK0gzDfbs6 zX^`)TC2^!&6x6;O=a25NAaYQn=mHDDpLKR*EZyquapHwD0vlFRp6oDqn@J#_ zIoLCkna|a+W7J@=_^TeF2vkoIt;*2B!7!K_B-n;#(iYWzN{;^%s7ZO*f_gP=cnozUe#J*neR_&BG09b) z$k5$K3rgn4D=4u`^XJB9$Fy1#UH*`Ci2+mISlUa z{G4_j-t{j|%J1De8yZ+xG9)C0$h0QB%X}EUP>KeLlM3VQj@ss#YS=By8H|{Qe4|b1 zFjgbf@eXBu_1jI?t}G-!L?)&h8DDWgqDz+vE&SmsGPp1PRJEV*Lna=swV+k*>Q;QZ zjk;hQKTfGPBurhUMfjcIAYt@tfZp>}Ws^}7jlllTT@;*zRP+`AEAk465E zgEdch1Z>ST&X_wXgHEDa_!f4B0Rcv|;-6mk5)RcTt3EMm-<^7d>h&rQ4=dD1C2P`L zB&__-yH^+r6gs^j05x4#h}U_#@Zv}DS)-EXftrJWcw%xFqohAYy{n1pJ#yS^fACn< zUp^&udGW}kZx{R|PHf7nEM~3)lBvAti-Ecq8T$n2)ez(_Pf~IVcEII24@aI8z#8!) z%&ByKoOj?4ITxxf>6aX+3QH^gN2zgB;w49WBB3~1EDMeQtA{4kz zgy@Jurvwz8;_^>LUl{iWFp`@}{{C7M!v1lOoRPl@Dl*>_ZRI#{G{vpSNq|X@#;-b0 zR)#3|2Tr_hWGFqPQ3x3Pk6ekT360h2n|y29BDSJDMRW}YK0Yi9XbN$L>JnKkT-=MX zODG&O3}JI*6ZN|*>Z_4({kR)DmV=UP5CT^v@?6QOL_}*Xo&uiw$7Z`-I7{02wb13u z_^Y~4E#dNkscIiyfp0x(HR{g3tSO?XDhqR>#yC5D0Ktl|r7M>o9e+Bg<+&kagcTE# z=Hb)C?+&_st*P|2ms#D&GU6pev5CD$3wQ9*Az)#^c!0R$<(GTv3bCo8&ODtLCAh=P zxR$QcwOO>H`VVwz?Tx1L(811t3|oXpzB|QWY$8b{v`0YbNrpi^X1d>%Kck@#Gres5 zkx(P)qvaUmdKCtJDhzoM33LshqY}I$+E*a_2>cQiSmF!hBU$=hKXs2sqF{2InM3TOq>m!kL^*zxKO*%-3Hl_KMF_@O zsu77%g2=V{)@KJ}sPev7-l2epwy!s!F%j+O2DO>xIb>;6ngQ|0Mf@Q`WdZs%ZTzk6 z@2SiX_yE?s`{O=WDB=EUYW7n;P#8W<3+i{-nRx^8uAZQ71g_aYzFUFy1i^`1VFYyy zC3~z@zwPJ_$Jd!57xX>cGO8i{{W*~1#tK>~^G-q5EWl0_dm^8)@Bm&ag8Vt@HXoDh%Y{ z=0ragKM+enllr$dEyQ`_iO+y&zki*g#?On|C^r@bi%9pLl|l~-UX`=+RQz*S8gS94F9BO$0FS>qUqG#mU#6BE6-!h{ zTG?CSBBm}C=S>y!+^VKrudNKH z`Ff=?Ep)7LAqJloQZ8&B^MrgmPGp4$Y;(9YYs`+T~^ zN4$C7Qa*62ub43edu49F-b%~TztRG_9Q-^Q?;Z^jlT-U*Hs@=N^~RR>GmEQhb|EjLRM;XOkam-gpA3Oh0SZ_HB(aZ#~NMD8sDhtXYgx0 z(Gco=HL1Pep1T$7S=({$!NUOi@Wl-AMx3)e-hb_}kTD{_aG>)>gF`JZH&7%a>ZHOA zq4c}QD|Cz_KHp49WooGqzkcKsLGXrc zRa#t;8^0lMldS3BtvEsXynQ9x_<2%8iQ}@}S+1J=?(eJ8o8NXr?J5@uBkDnE{&i2X>oJI2%xZ$Efp*XhP zgwBPPBQCAthJ~o)1-)+GNbap|yuiJQ#*LQ&bWz-o2>@DU%uOSI?gdkMCp}x;$FeIM zt7&uvQjYF^5c@t6p;c2-B&v}4f1L{2L=V(54#FyqFS+0w`HOpxh0+c1QrLIK_JcnB zmE42nPtIt|FDN~KlO>b^{3z24!md=VJ7Y@*Hm=(K4-9eHFY`f##bj1Q;y34G`X28u z+~pEUuXXgR*<6OTmjAKWvh#O@xKwEUJ16KdeT(t4@^>4JYZyo*XI4Hav~XqRk$!!Q zv27VDh!sZ5YVo|eto{^{-sW$00IU$osp_7&sJh?hV$h)83*PF6>Hhp_EGb2Zsvi@L zyc%juz96>ILdJ{7^E`7t(3(^mO5vk+oNuhB`8y_W!Q;>7v-4N}H%ns&+*UX)4sGF1 zY4_v&N)LZ^XG)L$Opz%lu_@-uRg^fXX*LBhprAlL=cgt-o+==ljkrxE_Q`jfZ~d>! zK0t1(tcr!chJT;}+h*)3|+wVw3IP9FaC)M7nB zdE0>$7X)O3M&c+Rlpn!uWDeJJ| zN5x45vk<7reL7rAyC_fc?v+#UY%1bO{^9%8#r;l@y0arg_j&wELZ9Ps**Dcz>T8Xq zzXCg*{ip}+lWYPQB_35veN|ugPVx8`?xo2eGCR*B`yj!pjr-z51Ljn)yvZluTbg7{5`YiiAQ>~L zUz>2ChpQCqr1Uj%rhV}f-JpkY3YAPFL9)09QCj__V1@|RK}@*p@0eEoPw%*FlX6G@ zH$=!z*pF&`u!)~+b|+lE5;j;EF3v0M2d!k!Z9|IJ^bUuUa`AE@Z|bu=jmG5B@un8t7C^E-Zs z?PPo$+NOhjIX`j2Z?EhI>6t!AfpyoH*I+b+8h#lInuJ;HGs@u&9{uckJb9hJV?`O7EeE~C-u7<0BYrm^_jc`~N1o|fPxa9#V^URGuXJPPw8}tY zl~9uA1iQLRfx5>}^6=U_FlaJ99kqZdw|`k;Ce5F}$0}u3wMK~G788Fo{%>Fx#@pC~ zPfz8ObJhr1*FE|FTDt0}sG9fxs;@690xBvZrF5@=bgYQd-MGN2fOIXn#A49h4NG@O zH%K>2H>`BWvMjJ{{rH~q`{SN_=gxiN+j0S2@Ea+Q{6j=?- zzUk1bVe2kzt1C*F)!fq(1_>681JfKQ_e&RI-AnrY6e>X4gZ3TuVths#vLh=vP%}8bR-D3>6Y)afWi@q4;5`5Q~=%>y`GD5mmf=vZ*ZHJ4L2P0 zzo37=p?fQfRq;9LNHT5=ZUbp4n~A-6>*{8~7-I&CY0T{vyth&5gJ5n}(vVv0@w z1x2lJ_rnM6@!x`}_YH*U#$W1uK0wM*Y7%D=D362w8tTDGTY?Y=g{n+1^4a1Ha=sj# zV)riX-(E+&3kyyB!?Uz#HoHMtNZ5{ltk#E<+G%eiq=Zy`4gQyCn@Q#i>zBG`;hizC z1#?!r!C(of!_8e@2VcNcA0=hLYG+Xw&7RDzoU2U|`ek}y@4e5?ZEh>5hg@ctZ{Wjo ze!UTT$Pazzz-p(&x7**j<+fPs&vb=qB1U|`-Yet+37VD|JJ<{*jtu!F?Zu{=X6hG8 zpP}mYVKVcQ7Be;P+G^Nx2?XCK#~Cbd=0GJ$g;A}1tf<6g+(DSbpz^Tdd@N|*t31q} zoaKw;j7PxWo6k2ELuCb3Ufu_3lMmMH`hLMBc&hyk_vb4PFu4|h5uX>tdSixearMjm z1P;2K*`9CQs^1J8zRkv?+qM^GZ&<=4`D}Z2tttUSE?vbY{W(@{^3!g}fax|LU-I4X z?-7>!+I1rn_vRT(>N7Q!yULoc5)pE>teFM-x6I?VLwcTYN7V%6Q{jVM51{by>+J z2;AowlJ9l@(-(Q0GW`Pe8kh>h5;aJ$e!8PF*zF)>An}BdD5Wg$kkX9RFLrr29K!m+ zXk~ky7Lyk}?I_KV0{SQ1=6tB+k7M^12Iiex+0i>iZhbBGvbB-P<=ut{zW!N*`jv=r zlJk)zA?h4@%v0X+MVsAsHkY+IMqcr&wcmm3Pu|V}UzS08JNB5# zoV7lsxPx!^)-|1lbE@AKy>M3zYVJdozY$I|_w>#P^jYk4d!e+bW|nL2Ex#k4ds#tI zwM1>UOnPZZMS0XLw*pnRtV4N1ExnGs{3>EZig4ZHE&2ISR-94gowEv0^q$+JB1n0X`ru0_g~n!!d%j}+neKy&u0r@hPSs%reAZ3xQv4t+PRKPnqNH?>`em@ zW_}2kxDF&yO@bLJqAB%If8KwA9l$ARitrYC?-D4#@Tj=$!6|#B?DQn^wgMFhGq)sx zRtz!^VOcVtfUXk#Gqq}?604W=m@fk}UdMHU3ITkA(#MWV_%~Ow4pxM$2eWCGJm_u` zz${sW9mDU{`}6p)P2=b%^sb~)fKO}&`1__7L%$O!1}AX&1q;-R?QHL?}* zlCrS12J%X2U$MmPeyVW2rjIVpKPWx!p${O_36?6r(yzC;s-uu4ZttQpYH4Ny=6+lf zwi<~0r#rWSngw$|nqOv8!sz>FOm|$%Up!?}eMHK=E1`=RN0S$3es`GMgmHEj#l+o@S~#4O81SX63IZ zDoS~1Cc|jj^AHVes5}|W#LO!6L%$t;&BPdA?AO*#=9N>gR=vH1xscMTL==_dt#G#| z=B|I^D4IMsNEHPj68?pz z^xrOB%5c?ZR9l;kr0fp?LM>ah;KgoN&)$Z7Me7!8uGWBFQ(yIaYi$AS> z`&TvY7q54ty%Y%DUFta7x$k2--503kKFK?Hk7{qreoln5#nXhHw=HHxNuIfqH|G|z z^}4Jk&8v9zWkSY01=6<~7x16^94_61qbChfN{o9F*UpBz^?>g+6K^3xg6VIi=kV7y z?Dy)ESSrJ?5}p>T!$DG-T7$d=$GYdQGiZ8q#i1AJQeR}Nx-ze=;xGsMou9mZEkU~S z#s1K-&Im`dR}0G0WMS}QK3R87n$`lDo(Pd1y3kE0w}umhr<=& z;&i(@;}?O|kC9Bid1IPd9l#G3oO?MwoACsr{k+m+{o1ACk6Mcw-uOT&Zm$WxFr=A(Z?Z1(i(x^=%pb%2 zUTjBS_9J%qUp7F@OrUY=oRw7M7A*>%*GyBqV)5~4dt0sj`lsm@qc`==`vy(X@J*K?uJg!^ZaZZ zI2EV2U7zS@1&zB7Uzc}CP*iu>~b>fqd?E$Yb8f;>2&@(vLwfWPXV@eTJ0gjJKpuc z*ZQq1ri*@nYu<4s`5$AY55Vu>RbVlrjU<7E_FO6nao|w}>@xXhW9Ou1;ZGzLn?1Er znqw=FH}-mTW6iP(UPPkaDr`GXLF?#xd{C&h=;ca2TR@*dPc@}1pO zVqjkj_J&XHl%SOGCF2Q0(Swkf z9h(;-Dg+m0?k100xXwGTq*A=$QyV0_Ef{zv3a`osc&;c@0rWZzKG@J*oYYv1AqPpIz4HzpFw4tl3y0)~oG@=Kq|m z@_cjr(Cw^$L4XPI1`34UC$;VESY0`p+ux!XTokfF^cw%Fk3M3-f#K(O0x-07WMH|@ z9nJcVeLrqmu{v#FUf7+A=?Sy@|U4!=l2UI3md>}uT zU^WZ8E78fuK&1Jm>x6ixl4-wXJd>T-Z?=_dF!(ABKO_VfC**j>Dwct3)npO zPKqh`_4DL53++gjDlnmq!pmZ$7Hus;zy|Iy0CsEFPPV9huam>I61c;&Z!6&@7I68H z?rJ|GT%RS_aVLsvD{3hW|62MkNB*-bmLY=^oi-&ZANkeEman!4eA7lrRVnpfsIa9; zO>Bfvg|tY^_u|!ZDEvK!ad8^6F0Wy*(B1=Z0p;j+2h~o^J4>zyL)U|6i!Krh0!Gt8 z5Z~suD5Bom^*OmM@NB0`vvSOM$6W!sa@&j6RlCvnC%aW8i>R{eaLk*8N=n8ro7Kcy zN3PXJp2=RpWUzgIn^4T7NXM~`8L9PiLz19nIvDm2pJl0iJ+hHV}W+9 zov98dF22gtu411gv{lXpyZ+YDwhr}AY;QG?rpf;p8ShSX6%raep9 z6&2_?QciLraSCi`c)8#;t7Jd2IHe{FUn)80A6J_D)t)Edy!I(^EI{JfW>@xHtkuVq zvcOlxQQmVDegYlYKiLu#4{WvVLt5otx&SgdO=Sa<16=#MOU-c zXO7vFZv9&lf_FY+YB;xdjX4uaYp%cdy(kB)?w^Mu3=WLMb_xgHA>OdJi5RWy#clA- z<_=!km{|W{x#SX;T20;6XVocp)Jji{y@TvaJ(WHHF>|eky56sXw0$b9v z=fY>2G;q?P$TseJ2(&#CF?mgFT)v_W|A_$zF{&Vq*9{*0PP*M%Zrx<&ufrG%oQ5U3 zUuJ$|DAv$<4eN;mP{~}Bl$(-O$dkE$axVLowQ0gTVBSDH_?`ElXtEO&zdxZ%#^hnV zM@XE#Yn8xsI{L3c+!kyg!HdJM zb$AW6*lkvVXUl?%Egy!G_hiuvlK)&6psvh&)NS@b?cI=mVH8)-=)`~J@4Ozr4W#OQ zawTWR_GxW>KuN!YYUHw9KlFY2_$ze~Sorc;O7{ov=|@NX9g zMRrPKsoEa*GQ@LYBAc>MDTS2S~$=5y++nmsrA-Z_{ULVpQV z?ouVHyHhL~$KoA*O7IZl(3+!vJJlqW+UNf-`-Xma$vs)^*- zU3qCc#*9+*JyOQ#-#xyqPX9&ep?T12&cx=dKad9>IB!zHQ)~GOrCDV?rKcYHv%9xXLgSK}RI{v$=FS}^`iS{~Axl+Pqn$UgyLwW0d#UZg>VoP# z_1Yi&<|i5lqJ+$bt;+pWQ_$u#zD{X4H#d8A^3xz)@)et7mW6tFWC{D*=zS&nFWfzE z|K#brjyZ(b$mE3%y?H>MPuYSl=8(yJ(m!?%(AL+? zRS9POl6g9`uV8wV3W*)rA`b=HWeH8wr1Iw z_NF|V-jD2CiJNwUjUsbGOMs!&cD2jVxcc=75$s~r9eziBdZ!ShXXU(K?H}#7C*&XV z@`{2zk;_lxjn@lwyYQdImiWnSfm$A(ug9arwh}++s3{aVAWL!zgGcEM8O1|>_(qt( zlw#eSBmOfIe!)|`aF<0cvwSa=K95O)tXRTce^%~c;^@=fN2x&8XSw7n)mU)M0#|Uz z7wXc^0k$?Ni4qr`Mdp|0BduxSj4@g$gM~OsFM$`&rXW}wfb6)P%nz?MUNim1$_0_q zgz`W8?XxXCoHLC!g8JJoA5LtXT2iw1)F`NVcHckS%#bb+^UV2OGt}%|_v3be9JS8Z z2`1&anyL#g`8OuHkH%lLFT4+XR(&hx_u?ncy<6I3DRY#V)m=4#E8dBZicxY_&C0a& zWkGp1DY<_<;y*-dIndLD4F2%>>HI~nMTlB&<-+42Zd>9>O8q+l5NqRo09#>&RxeRN z=5L<6&X+Z%)APu0IevoB2E7Nlsqe}N@3wKzz1vz;-`fCx3(^Fog`YAbMM

%NuH_?xCN zMK z)16?ue%M4+L;AO}H`T4@$aRhVB}YOi8=~KGn2RR-chr)WZ~p?;xIuo`(q)+Pb6N;B+{`rF}Ws zco4-p6SEW@1}^GcW1_eb+C)Zrje*FWm3y3z6e(#GBS&Nd%+0+6^1d}X`^EFIVGDW- z0%P$mKQ{$I3Y~MOznd~g*|2lbo%&zAUB*;^nqjF2*zCAgec*3R3)kZ4&#gTjY2+gN z!7GUKUpf^jUjK5{ByNgH->_m_{-hYJ(l4R=Lr)~}ay9tVnSKSFwu0KvCKVGr+rQWJ zpnrB#jFF@V9S69L1{odj!q#Hlva=liaTx&4-xp!+aL8}IHS(H7$?-iJuO+}i z`prJiErz`mjA5PpIp3}3)c6{MWEQWl`dIdSKO%5tT$_Prz5;1Z)m#PP9O*c_*S_(( zO{-clmPSoJwrm+!H}p6DHb-KsJWJwjrTdg%2*`Ogts%qj`jMUCd4fPjg|?gMckxZI z;JjV8!Gu{J38rz>`^R0QRBW2Z4Iyg|@x z?|-zKVO6UHNTv(%oobLG%#=9&Mbx{m^`7+i=;;&Z7T~bUS?ZZ0lekC zneMeSc5-KQZozaDKl@&3lQo=IGG?eo**`S0{RO|#$ zkz19Wj=@Lt#n#Dzhnp|>EcSns{2;=DV_-Msn5mmY6F;S4gT2y&nOr1LA8 z{jTeTqYiYsgPr6cW=b;AJG=vKJR;kyeid;IMXwSI9`8KHP7)9e8P&Vc*#8;bPyE{W zx~YJbYDDEMbo$-X!5Y?L(G}Ml?YGt2JZv;cOT3BUrY~>r++Oz)Ll|i)gegKQTFqsn zP9>F;D;r%+zth^q6Qi%5kExt_4JktIJ7-1%+Ww$%N@q8p{m3Lm-^@^tTV%E9OQtA9 z&sh_{4Y@q`-23@;35xpsyAx}4q_Zs!#ei9%Uj#S+yW}Eb;K$(3frez+6JP59ZG`6)P*%}BUe3}Ey(KliB{cftRZRaQL^QATQ*N{A!- zQMZc~W9yK)=zR2kfg(f;1i6VT-e9as-cBD>`f|WDBopv&&DmdG!1D(ptQm7Hw?@8n zK747N@r6pXb1hIe#Jslbs#7Hu{A!CY)U@blW(hLq-bAsJi@SHx0o8d5L!eX6FjGep z`{TNYWAf>1FI9A#{acLJ8mJPdY_k!H2)=T_om-L-!39smhlObV1%IWwTPPS*ZrsN( zm^2Fr;SnS&2MZo6w*O7q$Q3C|0HbrpJ`6BkWqudEiUvxWtvF~bb)5FLZv!8Ei1YSu z*_Re}R=2dU@abwvTp{vp{3^Wxljk zlCCk9M#pp4m$t)>rY<+9RfU%%VkH;ye&QBnvW2d*sd8X+LQ`EuJ>tr+c;wmBTk!`= z_O9IMIvUB;v(6N*yuajvYDW=h@U4sx8c`N5iHy(jOS&CYEz7G~>D*%yhZBiqStAC9 zfa*zy6&`x*17K`Aj6GN)~{avSi<1g z;y7+{ax^haeR)C!b;SvV7dLrVkbavS(5!2yME_zcpKvT2^LqpVx_jAYg1ci;*1pNe zjQh~qabPk1hED6n=Ky-xh4Xhin94@fwV$D_ofny-C?F{@3=SSQJe&bU49e%KH$tqB&}g)1q+tLeRctL*`=0+~cycglX>%Q^P8~; z@kX8B7XIS$B@pLXK!sXW1fpRwP46pcfHe-zpQvD&y$f;seOe_B9b2y$r-(D5 z5I0$xcBV_MNar2rMUP^x%O@VhqW_sSE&oYHIw}@KO$&s|%G9J0;Nb)IxxNyE&!v{0 zntttL3sZLZl?w4CteS9II__yzL?xAAA)E%wGG(FJ#?JJcTMshLR1PVyk^HqR2m@h_ zpcBUm85t8pCNAX9*!&Yd&j-S}$m?fbP}!k217j~U(%;pYGn0KYoxx{IJnSvBO$64R zerlpWL3N8U`XTze##ITlo z^ZhzdQk{3E)eMPY-|NkdpLj242Bw1}V`!_j`fLYPD-*quZ?p>dc-;mkqPO(0m16&$5p9_j{ABdK zGr)hl{M=Bg%R+_4>u6#(qJ6bwJqqHx${p4rmj_M;hvF5Qf`Y>Y62X=2V627)Yaejy zq^qAk+3kfCsE1>IImo`cVtoU#L~hxvB-G+&l+>!_z2bT1FzBR|K!2gl1fD(~9=%>5 zs4GFPlCDoN`rA7jYzj>q0I07tP3paWY!0p!oiw%#q%a_FU?c-}e`0?yY9S!t@Q^3D-!H`wcD}1y4tf{1F zH=;+S(E(%ufE>L2eW^X@J2I%ZFf3IGhAc-d8vESsD2{$$oldE|J-pbVl?!KTFvnaX zwcFR-Q5`%DwfMf5i5({!e0A_*Fp)VWqmBk)sV$E0f7vA?jQO!FAPo5`Yzv@LQ73ga zg{dzz`UwazeyzBHaqF2CL=tfrYzYtk) zSwreZx{=Siw=rbvzPQ{>8efeW$fid7v-Luu*)T^A*fw!Vqs}j1lfTZa)qDWpb_v{# zO5LRBlUpW7ql*N+LrNvY4}BGk*QD5nlP;KcX~uM_A;oRGa~~)PsRI*H;L>V?3Cnub z_WZ5mEVNpm_%tYk9+th@MHB?Nza+cLH|C$A>UbN|4N%)WRiPHgPkx~6m>vv(ahY}u zT?e?qo5e6UyuhI?Moefv3=`~&Nr^gT$E%(GIHR$6N3uZyhswBafE(m$>oT@Q1y?Om zFk%N&Cv-J#CcdlS1>#`$9Il4WgzPWfkA}s>&8PV4lBA#@Du{~yY4(&yFQ)P0e!xNR zo|xUcYP9VrD&~EYZU+Oa==i(HYb$029HHncvy3aLF;xztaV|^Qaac*PY-Wm{mGzA8 z>!g@;G#ebtAZ>;4D-zzXdbh>{5?a)KMreWBok1`u$H2CBf=pJ5MKfj6ESidE=-solA;X|FH66ISwYU|exy5cyYd{#eg$z3#JPW_OhFz%ObB=#-D&1c{- zS$ifmw@dWdV}KjfILX!57~2R~??%9UC!-Fkk2vjjYIB`hwPquO5pMY#p1#`OQs4wJ z9}bQnyXO3h$<@f|V~mQ0nDW+d(P_s#$oVC80{8(;0M6y{x)9htk~k<@%Cw^&15^0d z-F%~I2+?~<(axjZw*hzBO2no#t~16a4euvkN8OxhL$TSXe9T0#nVB@=SG&<~m*S%` zoWB}v&uvi09{?vv#6~5-dW1Iaknmqp;2!SoPb&2;AeHOj#e-Q7l*>wU%8Nh{Y@BU+j}qhXlT+UMDDg^ks9C^I)=DzSB(UaFzE zdV!S6yEd#SSRELiv#qT8g-ahOFGG}-`=}gjsKjCm-GL`#vkHr=MM$R`_n5-dj-SHe zm5DR`73zRXI-+9lG-8^}MW_<2|K?^C-!(|$h{^T6!W;K+%;u3W1lL)>0#;$ZLl1km ziSV-L1~Hk;i|BZV+&PoY0RIkfR?46!+`h&_m1DsEZhN8?(GF?TJ;h9w8z;Op$TEiA z`ouAz_8jnz>MUXb#fn`WPQXGRqrzjB3vKYNzc$BWmN`tC;xinI;UU09s9x)CGe7pb zyD7&G`o{@!QczrZxECQhj3}MEq9q)Un_7|)ZbMWKgh1cwjjvfY6HB6VR|n{*YjIL3 z85&!;Mz1 z68HPBsG2lp1}0YbH;cfFbj~Mem3kQR1*AFISx1bDuk_{}l!oMFZv-6mIb|Q5>E*(B z=ezeUomwvwY{3!A!t=<_`FEjTpOuQ4A(#WGHP81>Z8}il922duDl*9ixq4JNSKi z!!V^98;bb~Fqq}1 zy zFU8mMLyUD~7NHDGsP~d!#TKR@i;b;1RY@uwy4o{4BMwfM-ez&+!;#S;4{2qF)>ZkW<@&tT9{`A>ZPHHaNq z?f$C4EP!6bj)PW=;AL08T8)jmi26Xs7?%H6Q$B`09D|az#nQhV3=qG4gk$t|b||oTs*O;g9Ji9*wZL{^FQH zUw?*!AF#H(|I)N46)G-hQ5BiW7w1?E@88D{#+tOfkA!%{jQo2f<7mFYjiY}zg@tk& zJU5UmvO9L1nIUTm#n4oOXSb(K&&-qiOE;|)V2qlqL0~+-+|x8|H0}$m*v4@{`e;9Q z1hBM+?|6!!6Ki57_`A_^1a2h`t|h^S_{yqNz@~;%tE<{ge&g+05e&6a?2vGdCWN>K z1=Gf$gv`FjGv|PfQoH5CBYW<_K!^oq*eCj;K24@LtonMT4>c1JRt)azb;CwoQ(#I% zf89vCh-easg#|FKBq*YJY_%E^a%VFX{b>;va%a}1Q6p|^9mK6b|HiMBCrPYm3ekTH z0;5li_;u(L?VOx+qe8QSm+}P_YFW{QKAPlke`xfY9Ycn;mU^OwM0QPq~r= za5kt?0=q@Q6igyO-liWfn|j?~O1;PkVO0NBv=^u}FbXeaiYpL?NRdM-E}Efy&Wj%+ z#q61bNc)?o4A?{F!RP&838bH{AdS@}w5V(~7}vyRKvS5eviyFeIiJcwPPO?dDIB}K3lQC(9((!dP^*KA9E{u>uJ-NU1~reOpC z+QWa1Eu~{>eVy`qnY-g$$AN3F|AZp5#JZz)IIOPnEIU(8i(XW8RA{5~SLcXTJg1uu>$x|}`-f_A ziU!1I-;z0np(#=md8k*+jHmBDjE21ojZY4OKKdrO!lkS{(&+i9xgCxaA6`5lj>*_+ zz&aK^kOWD1%j}|UCE+q%h^2nh235^@{1v;fI#<*??CuO!Zdh?F!v=WDI@Xi2{C;Z; zZg##tk=l!r;2LCEv3xHrQpCs+=P7Fnm9f6vyu9_8jBmMh>#+f!S4ipe$i^YDu+rEt zXAG|720U>$eKIy-Kc=q>B4~W9Yiu@IzBRKYuvNv!3H5%%NI&f@ImfnhS;hv}TTCCw z9UDBA`k>VE?0PjKh(TDuT->aivlb%b8!e*`!$c0De1QelBriTUc$ zOFe$F;mX#tH}SKymusVsW}W|JYi2#(?f77QTpWiIvuNvMlv#pr055hHaF3=_e810# z?z^}3AQAga2XRDM`rrH^kh0A);*)M)!)-Cscc-vq7EuW3L4n|M;dkk={bk ziT%E~5t;RUM&~k>`g2UM^VY^Q!jWg^%z7wh(R5L`B&>U6A zE-5V6^ev{%E6_{jpL=#`QC(P2vC=z}a-QtCe@obLU^-jXY!+UN?ib%C`m-E+aGRt# zl^t9LgOtVkcpAKq98ILpT_6#S5UB_h{=kp0tJ{atHs#A)@Tft4vNbYr2fAd|s2y$x zUMKF{)~Xop2ITt+A9L?{%O8S5OjmbW<@WOZ(6GFZ7{n`Zs*=j^#n_og|T z<996mFC~VmwB!4m8cafZKP*$93j@@1ItRWnrt%x30lM&8AXq*&nNs1GA(Xd+TEDdM zM_C@6qECqfO*q}4sGg54Wt;S7{D^Pnm_HtTm`1hgVA`ptE_1`Jh`K6VX%0uaqw|=s zG1yN%y6%>X1R{t<6lM(A_}CO#LFXQJBlKk~ZT&e;pJ@06NkRJHH*AchaHPMdV zv_d|IiVpWNe6{r5A?7k30_Sy{NS_nkI>y`iygU@gi2t>@Bmb^GZmR_l!rkfW5pWvA z$8r*89~d$D(l2ysZkE(%1?0jToXWjG;_F!-0Xx}qVHx(IcGN{aRU5Min%sFj=koF3 z;!;+U8*k#_a}7pdhHuX;gwbSs+JE9e08vM^>4Y}6@TA}}=f%l}8&4D1it2nRAz4zP zwbrReh1}9hl=3D^I;Hbi(xYyRN~t$XU7vg~E-Oq(@9uXD8=ZaJqcZGeR%kB0kd;)U zRP#;bns!RoK6i~zL6=# zP^9R9wewkd!w74Qe7?!ENS2~XL^7CF-9xB?IzH%Hf!NS?R+ zb=4GgwVujUPE0DR@QlLnT*Zl72|>OOfv9R(HRn zSC{0MKXX)!6)mhXRxzx3G5Dwtof3UJ4^gjPV-5bj+vqWKX>?B8W8p716g=qPWn!2a zr=8PJ9hslToztJ6qHUt>%0sJ>Y<`=^=~+$EZpsgt*v(7kauSrwfA*hu{hwvUp~XFQ zQb}xIRIO{$xjDPK4Lfouf~&V=&z`AT zRk*Q9{)X$3Se0O8SR1ytlH-3LWz3G!vkyub$IGVLa~a19zWL_><+{~#t`>Xc)05}q z+8>s(wPJ{;cp^;9@KohkDL^PEg!q-Nr&>Yf*;F}^(D1tULACt|$$ zw(y_Atul$}PTD9OIuy6Vqirm-n8#*iy7TkwSI-IO_g4hYkBkG*4E! z*)Cr*!#0k%rXWc^bH->r-hNUc>?{P3jvYt*hDhYYlyu1=<-$-wl|MSFnTIDeG zYr#usbRW08y*BRXl`wU_FzV4lKqtx%GZHmBLSuI_ovV{~xsaK*Gw-#KOc%BIa&wXGX%t&PKw* z#mvga%*MjXPNHh=;$dxSPNHONYD>aOqAE+GX>LLyX=d&Ar8#8}@nr@KZ0^&CO-%B;9xt?Jzd-JK0&C4+c|Z z`i+lK7%{&)(0qe$Y)qbm2m;%MZTry*pWJ~8PpQWny!P}vj$jHl2 zTxD_e`1%p|JXv?VzS&?oox8c>@*}>t*}Fc##pt4r1_;49DTOp*eBSp!VrCfm2CmzX za!A&%O;8W&%}9-f9N>9kf7*zXto;b_W#vu>2%@hCt(>t>+Bd`ka}<2eiH%bayek$r z>YFC%(6X4jt)PoD?AP)6%G%6dFR~dAstch6qUgA$?K_NAEfp5I9amjQQ)-D!@Q}94 zw1>TIo*B+5tTQM!m*5xl6^*Q@+s4@1;->_uq%&m)XDYj3NKyoQ1%t4$B(eWq0BGil zB9j>@kzV6+ifOZoX=8OT-_2HuB$osSX;$#|roqcktA%5v(uKDkXp=8VQ)a`a#l^6+ zZxhVCWv8tasiHxCJY2(=KFc{1G6Vj~caYZqj+bywX4^px!_-_cs!zQHRVfPB+JG~t&FaBS1^{^6>f#)uminB#5uZh*` z8i$Q-HioH||NT)02%Dd9`;5|SzU%CU&xg1TB?qo*Z!+E|FRRPHxv6Wu)#}YgsGA>oYlGZ$2&6y{Qq`~6=JBp1QKj=Z-%HOe zR*UoOM^*m!rT=f&^s>eD4iL=`oP(h>4eqa(%vpTaJ^H@Qx!1+M{Jp)q@x2gKGrRY4 zSM~olNNa2PU-bt`s8*(|3n}9VO8u6qF>6=V4tD?le1!spWhimY4Lyl`Zno^cL6{9I zDcZO8d02E0{O3<17&X!gv^UT)oP}wsp~^c9UF*LvZ~V{7{2z}0|A<;tG%F!5m}*D? z=yILdd2V}KgPHDsO#bvnyFS=^IFO#ytz$xlidN+78@MdFbVT0Tv*}qT4*fL#TGdu# z&0|>l)9>b8)#HD+g0Z%!~a^j z=s=>N06oxED{`-+>K=Tb-Fu-&{F6la(^=`;Aa#JE(`jlI&K{y;>D6s<)DUwZUFw_F zUlY(k#^te$JKeWNlsG!EF*a;_EB+ZtTk9i}p}XC?25qdiZ>sYJ`-W=MC=Zp z9ar`mmOqV|pY}o7Td-~2JQ_>guoUa(IHNXp14I$vw!pd_!aZAE zg&oHr)C5D;pT@8>9kNeg zAJY<7!oJZ%mXsOflDM`z9$(Dw)?!rmBm?D(35}bw)6y)d2HPOG?DO-~v&V;MwTzB! zEbMV$lXSK(TLZ0rMYh-gMEvQtHIAa|Otb#xx?`^wAKru9N!YXI&MX9sopUD2T|nTI zGiZwmPnck5S|CZn!kMODI&iTEn+^5R5z9Y3rGB`=%G>cWvfh5c@H^xiHam{js_z~i z64H<~9|%5{Z`%&m%xOOH z!xGBZ_UQM}bN+ojAelDuMVml!6T!(2ziOe5L072B-p>1uuDw)j-JXE0)l~gg|8Ah- zu;zRH#(vRSkY0=-kx#|*{zJV8<2VTDn5XZldvw*~$Ya|^_$_A|Ygxg;I^gJbY~*7@ z=%J*?uXT%Vn%R|CuV=I9c2jvjm94u01yP?kRoC~T!q4OL#>&6#d78Cq$uaez>GMvM zidBfI%XB)lIpC52!tlBpwU_n{rkAzqaU)t&#u0ram_cw21L5^4Q%CD2 zSFycm=oUDlX!HGKvWqwEHCZdI@O8c- z$)$P9vJlfQxau}~N(Tlt=>%pO$5BAvP{{a>a!N5rI>*C=_QVo%+P;cVQJ&-UY^P?U|~hQJz*seh@~^ht^>Ay!?tUfpkwtmCU5 zdJiDB?c21|g?sR(*1aKM4a>)$4*JG?s6#A-qVOFS)^zy6wWg%Rd{Jus>e}D?U(>em zFmNSgI<~ddeZ1i~YZJ%c@a{RxQt_^_cqD5pt^#!|C`B;*;h=ggXWVaaK8(7k*>c%| zz@#pDTz{apV{}<6jZ{t_0-QBZFjP}6XtAt&RyXdo zr!B`8Ydz+rNqEsgQ(Ve6tCJw&_W9>^_=qD^V}CIXj6Ux<4zeyFufcks&P-xRZQVX> z6JA-t@pn1=2_vFDGSiu%I@|7Hmyo|fk2x&Zb4M+I~GX#JDY z1n=6%1%?c4q<1*%>W?^0po_;dpufZZ&4e7no&;Nc?oPj}mRN2n8j+Q?6uU_=&`ojz^a zFXuSDMq*=|9`^ySlty-2-By_9MQRbMe=k6?&Q)F4>tj{SywP7E7~d}Mh&Y!t=5T$V zT&G8{qVQQ0|86~T_C_uzAeHo^wG$)a%1|8IY^G}z<6BHaY8sC|sDnU&a%c2`qY=*vBLGHT1w(~j7Y9;P3j^%b-<`T3XEDO|6X zERZGb(LmJyfJ27m>7<4k{u?Ge9~OM;U!UcN7BUBn#tj~I^TFEHTib-^_ey+C5i9?e z;8QfZyGZMayrHU4a;AStTM9ER%goL(7dj!~ol)loG$!V3(OdXo9?=jf#xMJh*c;1&EuRJ>O11VLz}mHb1h2Jxx{V{IFnb&~w%DicGNG!o~YBGk+_ zuKG}beyYUF%b8T5D{0g=j8S8Uk&al>`!p^6P_|#vTDsPs^IbSJrZzFlY@M`lY;Cc@ zkK<%Ps(!H>S6C4qlY!p^{FOAklFS!SBfyjcx&`}VmO6$}bBoO|Ya`FPpXM2&n_7Z6 zY1j6duiGZGn`o=?&2V1CJJrUtXh=21!D;h-a;G~(Zr5UbUP90-QapSHOBae;ZiMoOw zu=k{WP>F>%f}fs1M1vzJPb5OCJgzSl3H1R8qc3T;vAB+-?aM*b=lU?Ym9B(d_1*3} zqwPyyg!UI<`bGHvhgb}fexyT5TBIS*mPRu{G09a!7WlOh`f%WkbNzqgq?LR@Vb5Ez zk@b6pXJ~8*{szp~W$K`gV^a;WdCAmWq!nv<{8C|%R#joZiEv`bGgh8OTXw$y3lF}} zmvO3xm2;{`{Q~Y6NWMVx1(q-HxY{8{INKpN#Kz_-c&DwboMe0-lwyVuwYz&k{}S}S zgmTKovNt9HXn>!+zsC6yGpDESv zf5{#j@}6LwK8Upzg#XuJ%C$ug?xe@{JDIE;IQV6<8+fRCN5B-f-Wg`NZ};Ki3q72M z78B~dMg^k-vdjI%VfFO=iVBd(s@_fRGpaeEw76{md+r8v%`x_jWKVC3v9?CDZi4l4zAKNP3V``ez~HBsgJ%PPX!{nEt4 z>Q7f0y?sKpQ=QlPwv5U9wgJ6ukI=7_B&$Sfq3kRM0`=0XCpw}eD#mILB>_zwrGj$z?E zdHZK*%Tkv2N(hDU5H4~li@tE%+%#(G5@(@8eNz-3pH}>?wK`yiqiDG{p8&st9?9aN z5fY|BxXfZiI`Xms?4HDoI4NvT>C*2bxz~?`o^HPF37dljYe^jzo+$Co-Y)EW!g3o= zLx`Aa=+kAWrDVemymb8~lert*p6A>)y>+#};#>&4?#xxY<_W#-C|8xd?wZ8jZ(s^|Ak?HtoU!1u!jDhov zpb;f*p#7p0Z2>6vb)@k-A>R-r3yzvFMlfY(NqK@c2qkNkDV;L+TE6riqpeX60d7o=MuHw=V3vAap5 z&EJ4YsVHL8dt2G_Sc(NKP*#v+vx6h-!t1k-V}E|zJqbo%YA$wi6M_5r6JGjS&dNrG z2f`5E-iFzCc^h|XDw|+sR?`hd=-{^3=$~2H@3EBR2V0%Sp)>|VeMEw_Gf#Wa_c(6t zt#ZK)5_Na~jk$fljL=?b*+o6wAZOdhVh6?M3gJzZ&}6m6rXj(y|ID1P&V1M}gi_h- zwGVXVPGOankM@JNm1HE*6YG>A@H(fk*{bt*a+2<*ek{`GgE1f1#9;;{c)EG)yci}v zPaLM9D6Dw-F~J}9hbT0Gw3tHtb1=e3y#K(*eKhs9PFmYq9*lC`lRVwU&yjZ zl69IK01g#9g+;VHB<_{v!lbe?9z|x$dY~A^#`K-Po9x&9_}g!qA|E=!mI1$=hi)hr zbG+K+l|V5?`fiM_ApU$?$Ag9yaMVcZz;h09M)wVe^%2rDa+7W!}MP5c3g0tk?$>e%JN=xNM|N#QVBdA^^t^npBJteZx;UQTA(p1FE?OdtirGx zlN@$`Vr~T8Gt!1Z8G7V170l){5Cph(`!V|DTFc;%p%zK}yZ?Hv&Zb~Rn3HeCP^c+z z9w1WxqX4(2b&j%Wo+KyI5K3@^>88gW^W*s^^Wuwp`j%Z*&ri$zpLXH$OO!MWi(F>@ z=Hp0VrT0pBFxfRcd26S64HYbw@k;F2pdC^Bwe$_tIwApt_V{HtQ(EMcxSR3cl^$gS zd#gWndJ3<(C7)gqSIl0`>z@pOG=vC0#fyuG4n# z%XrQr%reTxHPvJ3O{GzlZ%3&f5?8+*m=)Y>{szsj$!taGB||Nbg8;rTv8o}s>A#gWAqF&fkpgX| zoeGp&Eo;+<1k$v( zC{N+R?Si~Kv}y<_TG|ptj&rd~yC+*LFHD;!G%->9#)YdpJO%Aw*+yQXn044}l}4_K z_m4{Xm)j$E)4U0&by5zzT{~s9EpW=wY2T`o7)nFLBtog{9tex(4FE~&lQ@PP!Gzc_$+tNZjBi&AKmdD z4I}k`U|MU<)dmj)>2?f1j0G3I5h@Qc2rb$2H zU0(cf@0?~s*EV!cJ_&p+vmAzo<)(|XnmdAklJebwUIdW7g!hW%#}R)oYmC@WmEOi{ ziLPg{kf(2J1tIW%IZZY=a|pvcT(hrk3>k6lKISC|e}5+aBMklg4nUFkfH{bl{O~z| zA?-nV?gIpbzo8!VND2kM;mvR&8qQ*}9w}|y+FvI-P8cF>ln8x4P`?L|?TvKx zOE;*ma1Z3R=x&_Ug4O-w9&g||*%yh$GMp-J&G05fCeoT3{>kOgKMZcYqE>GvdRSRr zKXxp+&pJ?|+idWIQvRha>F4N&C0cp_l)uAO)^Uv$8fRrf`{%z^9<^J16jFXTMQMJx zaAF1TiZD&f60@^qxo%q4Y}+v^*0o^XDL9luR*DPo914Q0aQp9p{aQ>agwVlG~oc7-kzYSEc5I$s#ilP$}0 zm3mH<5VkKH^s7juWUPE+4Hg!l_Wd6E$E*5X@kFG<;-e-WFaOs>MiPgdY;-AnrcuJk ztz;a^6g95`R6s{i4{z8sr#;M*9)h*_skz-tp8y=MJRh7o0{+*tF7s}-y;p`E|1uj@ zfba2qnEwW8HxggLK%#ecjGr4k*QF+pl!DXLHJaM2t={N;gOR~6i@Q|>o?Yy;ORXby zM77XkyFdN49bWt1D3KG-N(726v?AXl`OAWqF&3JjW#`dP8Riq|h^uBpJuVReZ!jd! za)i+~tM$nL#pwDT5Wr8rqGb5lzYAU@O8b3>Yq9)K_vtnQEPm*huOJE*0@aut*PSF~ zt|vqKjlA;z-H`cTy{iAWBSHl+4IPhy^G?Zizaz6DDE~oY#na&tL^DW@V7C<>zn1%r z=!#g{{@9#V%I(Yf|I_RmitL5{=htJLWpJTC+UK4Fk2q&p7{E-s6WyT>jAOkRJfpUj4%8%%%2+RsZ#Mj z=CuE9rTsyBvUR#k+me7tgWGr9IgUQAd+36=Va^PHV}qMdbiYlxzPL$v`eZy2uUzK%fd6#%pK0K1j4Gbwp(0J+r+OQaQar=#?AR#SkA_cCQUJb=*_k7yYrTm1rV zf-1q(axH;8E&N3Wz=M{gk`OEgouS&^2xYyvPUTjWgQg8@Oe zFn?^iuQsy52RgkJy}U2-ra!r&%IA}6*UM3xJ&xVk#4fdRWWNaBYsYvMwixO;p5bUc z!qheO&o}$|DY_8J!UI*cP{H1B+Qs@i1dv=iK7;)FPy3Xt9HCq)8BfF5RT$4=qz3US zRm$#%wC5wfFX%+F(c3AtSZ6>l2Xl9^=(tn!j>*{Ys& z<`kVp1^R768~O|Vff_#)0u05E+ZKbW;Zv1g4bH39Y5I-7DE+5e_)UJV$i^*Z??dz& z7j-dMn_nKhdJ72K{?%e`_AN1(#)-=LkZMqwc;q~9a%t0GUW)PqsuXDrXcl#zDm;zu ztU_C~OfFg;E39*@>(N@!)+nEr;iTv^&0DLjmnd|o+BVzfSafV$y4}1V%9f~GFFtP( zDeg`1*hVwyDpbmo$gSfRK<~t9{BO$qO3W^hIBnbJQ>a8;2;{Z zw|Uh#9;;BHT9XX_jNX_6AFETfs(F6i7!6d>{)7`qK>&{V`G(!>@ognwoeS-$*gSIE zmhiO~s7)-(9(J2l>7R1kgo>3g&kT6`E}%wn&Qx;n13d^K|EX4Tzud&Cw5gokE#k*o zw5`zKgsiU#f8VK51-Yw74Dsh(VZtwp71XHMH;)Z@bgUUNsq-JIv}sh|A3h^-I2JlE zNM-|U-lpxc)^+mM-LyLkR61sClB1s$y0^j&fHgRDL$cxIO-c^x->3AjuAPyO6$Wix@~St zX(JY>rT6&8!({eNX#l@sc}(wWKqCbC>chscVwMJ*-u+IaN$vD}VOOVZ;#g<2v~qPeS6RbPGt}E|u9}a$s&_%Fa4Xef zYLY#)DNtoh<*(`%>o8zgxCKg8i3i*lH}doU(b}e;v@yg&V1)LnASGL{et3Lrnnk#m ztwV$5Z>spiDBXD5->D@Y+O1KlMzip;eyC8eXorLUUWKgjtWdO7*1|sp(D=D~MRosy z6&wzuZER~A(2(wUs2i(Lr){fo+ay(yGmS(46{%|U8f#Ov8e_a(t=^}vTPxsd<=p&} zDowM?%fr`Y951#j%=gB_3$;GwAT zrqGYRO+&g-)K`n;cMHebM^7QrZubhX18FkaW*crpQws_}@v@ov$|{pu9L-j>Vp_;e z(js_>lIcprjloK`F^E!G6J#SpUK2-8i_V<1*#5+M?7PjJs%k!5UO1p9P z)rm=@WM+y}cA?6m>K>(Dztk0fB^{&IEagdUqG3pCHe4e(A=Zp5$)+@ySRIW1ZfPex zB3I9f;lN#PcvRujeRIcEu7A6``aTxD+$YqkUa85fu;^(=?JgCIgApKPf667K+M}k z>?s}tJ^a0c_QTbY;9dKOeRo^MG#{`>?WZ^R*Nj);EKeVlF>HbQ-vX~Zy!+3lsYCx` z*l9VzPRQ(*Gz;b9IiFZgkN}Iw_KDlqodt$hZbT*CPw&QFh#s?VAVO}m;qejY`hFTb zhbumnohUf4n2_AuxlVj9eq6g_V7OE;^~vtAKenXx{NHPsr>fPfonhsS58>1k}l$w$rE}h`+f;=NA&Dfbiw?kE@-E2C{%*VK5u|LEL2w*rwFNe3X9c$ z7}WpDH8tJ(Y(&f)dLFYsw0fS3W9di>YPUCRagi$nz6LXIJ`8N7Mn}7EWXJ6Tfn4dp z$h=K$Jw)@lrJHN_(Ms3P6!u3Tu;6$|j@II#Rfy||K(P9=yCWaSzKtJwOPP)H81u75 zfZsw9(Y|96LD-`9*PdlVYNipOiT5FS#xt!FfF*uU;@uD2!7@%dML-(=*4yui8cuz3&=8n5sA zi8Q=|uwAplH$)Ft$?FV=&c$&g7s)k?qxnLrDD1c4-!Z2<7)aIl8hFi7j>m2UA zI-o*qByGIs&wnEKAc;G+X6IhDc^Xp~WBY#EY?)8+otkfuE7Z(7H<1^e!*c9-o7_CeC>I>FeKvZ(R;1YN&euR6khiC`fT@& z8*Y8Ckoh1Be#=4vqI|@~5oHYLmA&5{PIC)Ued@pOg7kTwrK~<%U$*T}@|-^9KbQ%H z48;h!ySF#xmlMXf;Kgdq&jmrD&oA3k4$98KW-F69;j3^?2Zbq$Xuu1$`|*50YT<#j-OP- zF@xP;3O?v+m(y%gb)lo|pJ44Cxg!^rL9iU(bj~Cis+3QmY8D3&dm@d~PCiwlS6uj) zs^KBXd9Gv+*LsP%fDlO7zh6Qdp0Cr<3blwmGNv8*PBN2d^@o=)`Mg-?H{~agT%xx! zLnNH>v2pxXYI})j-ch{H zPzOJtXu|V{%Q9Gq6_a$G-?SpOuKF)jFe2ml3l~lmq4@Yo`qRAFcx4xD3ke~%RmKib)ocm-;kbGwv-hZ> zCOkxyKu!~Mg#EnM%4W2vL0x6MCS!KJQR1)!d>{-Nd1SJD@_Of4GU^pR(`0yh$JvRG z>uH~d>XTwBa8{<8wtnzMVHG&_svJFp7k**$FkZ)%FVb;?8>ahU+L(=rY z59-8KP-_9NR+2N_M@3j7ukG=vFhG2vwbOjRd1-#6#sNQ}Pk15Xy`p#MMsdGJ!(flv z_y^sYOVBD0JeU7f5h3gvvu`80=xa&52b5LM%`7qu(0~}oGUNZ{0{AsY-$rqf*Q$6A z7OS4yS!4*H5HYYW-)~%+AFI*v)hYmP&GXAiK@Y-0YleXj`ouuYneOAF9K^LMp*2;} z*RR?Ty4A?b%f7i`2} zAqE=E5FHo26Eu#zS_wk}VTplh`Nlb(59Dd1lG~&aT_JI>sBsCH(iBdO6Uxu7+Zhc&(5z?qc^Y_P5J@&ARR=2&YTI;BcHHIXkK7=RVP{6 z6P)IYr2nP#Oz{YVVIP`e>vX(VOP*7XA+1o-iTao$$g6TE^Y?PRzQ088{PByV&?yew zN$W_wSG}Qh@0bed2GH}}Kp1>vb_=z8E8eS#7=$5AhFTYJO)j**44U?b>h3xPt_K2C zB2R1HbGN=iR=}?}9`+7Fp|f)nbq0-OM=VwIz`qBJA;LgHL|Vjbj`@c2=P5C1-hQ_D z@T3Ab#MF0&+SXOLQVVR^jf*?-pJj!=1ivlHwPNujwktldpTH!FC)~%7KsNTrDV}+; z^wI%f1Ze!5cFUPZmHyA-nY2wZV;8Y1G=u-(*g(70(tR>V5cDcMQRl_3xo z6=Hc0GNSi`(y5e*B@yNJ)tNIzqyeMub4b!zaes?Jx+fU+sSXFOy)+L*OhYt~a2nAA zB8SK!Okd?W#T>N;I{S<5Tw3NA4KAa*2@5fg@TqI76f&PE?4R z0I3ZHtI5?K2*WrROCd?8?-va7sk>K*|IB|r(GoSzU{E)@Y+TyFW*7Ua1+~i2BkY!~ zWlfEsxZ0+n>`B?z>MHc~29!R+98Qt(nH>4g_N1Nb1WApI-9)b3fEG5G$4ePslS;LF zB0{OMUfbRS7&)#S8&@3_kA;t3tv@jNaSHC>ATs5cDmfy z%fH$AoD3T|qRdTf%|(Ox^Jne5^e&nhnSSV{wtljf!~4Zozxzzp<5zKLovJF>5F2iPMysSotyYd@_q3z- ztGNxTR*n`trb+v#{V3ah(l%^@MB84{wiiN`2ybpJ~nd1AhQ zQxTdw|LQnH5==ZBMyfi1Cd|n8ZusWQo7k)FExaC^_R;#D_$JGn8nr@PtQ?gD2M#X4 z%s+2`wJ`^GQj>qn?M{w13|W%X4z03`o)%<^fTK3Dl9D=9Q1scSQnUgSp<0X+cbetV zH~z}}Ugwf=dX+}s*g}H}BWB`f|K(y;G_BeNSsXDvUl1##7|!(~K)7~t>tv-^gR7?&13$I47!=?bo|W+G&UIg=d}j1236KN$jS<{%2M+?i1oAq3ZXm z2q_E!nf=~iAxa*Y5n})N9atQKRmCg9MzDTY;i_PH49yH)$3>AjN%FbA9!-*CR6~&q z{^wnzqeNKz4ErePv%;aIUhbBr^{ET9Xi<8O8y#3e6Vezh zMDo=Bh(i_=%9s@#smd_4*MSJmSb$Q!r0)80MVM<}27wZ1vxAEf;=W#zNvVmJ4~+b9h$ta{?z9X9cj5Z`PNxTDc=#GUT~5ThtnhZ z27WhjQ1#@(Ic)()f|tBkG(`@6C~w=Y`>8PFC>7Y?3anDhv-7lQp0dw%j$vi1Qn1WI zHV>lUj_YWVJ3~ip+tLmB(xu=vf3-UWE1nBN54pu?KHq^w*+}9v$AmNm*H$0 zgCPm*=*S}_PG$V)NF~3>PUaEsn$$?GgiL}%vz#0&cr5aq<8h=mZe4ypnkkoG3n1le zieraHN2Ypz3KjwBQ%?xV^4z$@h~N7SQ|5SAr4!C0e9lK1{;B2rO(hU)f{atbSiF|J zB^y*CC!=KwP~Q}9@8{83NfpxdWm-6pzZvIhF&&tQ;3fyT&+ko)?plR0MJnwZxwiE{ zLj|;18GZ~`no;^9xoS4tnz0|yS^y#~05XAt+$Z0h5b{O1Yg{%Dzx`o}hz5Yl<#8nt zgnMeSpl2KH*u{^)A{}SUEhw2;>3(Hsk50oW{5n36W{DK?m>XRo=8?b=R=Q&Qa0~@Un|*X4E=Z@OZ5_7LbV=s* zhws|JLiPG(GT}Q3DdeV$pucwrx_zSnWWoSxor6uQr%^eMCed;%viw7wmuG18*akbP zQw)8rhl>ekbx>SvOwHxc5CPH&tq_7rXSV>SAd3(O3-5uk4OXYK5E`;$Ft?-=80v?K zDX@280r0ZBRkl0&CkTLLhcyEODagWO552z$qE*|kx*1j9cfb9TB=2Zvyd?cxq_1;7 zG0Q>U0x_+8@kzdYH$?goH2er9PY#)f0}#aa6J!%&6J%!-5@hB4ha{@#yisZ2kC?$B z{&yn5S|n~CHRmixi@VEX)9q^J0N}0AxtN4;K1_bRz}Ikg9~i%qpx9d$i2|Y6|c3ZXj$x5>+#8rpJTQL%`Y3(LFpRz$jWO-y;Ger=a zkrz(VaknW?d5j(mGfcuermN8%naCdh?vMxtPwJw8ZzKo4E9q{@XtGZAQko>qVqBB~ zQUuR;C1*TbLcx*69maS4@7F0{`^+!BFUU?v?0X9A2Tbe-4(ta+><2g&0OrYrSFlK8 zexC{1e|@NJkl?$WqmNTJzeTOQ8CW_Qa01wz6BaOAZHi9?c)*WPjSv-xZNdmF!U&AR z1dvfd@&nhsCjhkxn`^IzYU*@SMGo;?v#`5N(l42QGJ!gaRFPF&fkRw@O+42oEZZ(D z8v^#jgh@NsTBCjqQ5yvyQyUDa`Dv_n753+pvkN~AX6j5o`dqHGTDM}7SkW0Fd53v; zm6zP41I?KdHSF|Brzmk553Pnf>sK(|jTHLN#+ec*LR`i|x$mpmhQmlt5#SM><$Tu$8uBm# zMi=mRE04zE=F(1PggC__*?zxavL7%{B;d$s(rxuxdl-&x-WD20{fM~HjTnItim>+egH0J_?{ckWH}ri;{vU=woJl&rx2e7NI+Zuq+*dr zz%;O3xCGY>Mc4TT$oCpp{qG;P7Jx^v-Qb0Ft`-ZXm93c&!69AneOcXYp%zNyN85&> z{aWdLSK81$>l6$@sm3voj7U5r4aHVw<_KipQmo=#E-xEjrL({gH5l{=lU+ zMMGj~CaEH12$5o5gNGT*ODjmNh`&@ug7pS2V?H)Q05z=j4lkv1tMUK|Ju$xf@R>^_ zH?Z{@4s3%NFPmVvM(!_+lx5+il&-_^SLL{mpNwQp_BI1H!s3KaWDn-xeiwFf;FeT_Q=Nz{7{~}y zhe^O0C()3OISl5A=g^c>$RS-{Y4L!YRW1h@a$Wt{;;aNKLvd!J2GpQSlU^X1Cp>VR zX{+Ad;jd(e!@hD9=mHc!kRT zc~aGZ`T17j6QID)&lH-5TMZ<6r2ixsVI_Wu^@ZMaFES&$O`2+`Tz1^9-=EYoq=W_5 z?}2C_QSv=;sX=uSToaEXJeO#^8$ppheBTP~QF{wO{A5B0SONWIyp9s6xI;NwdIJ(_ zUt>a=i1brb%;a)l!!hh_6!EzMuO1=c>EtR-qj2i-SCk_z{o9awD`8173@jb31WcSG zs8{Eh-x^Z%l&2TUE1hExrR(s_i-IJ3DaZ$HZSU>`mJ?O=G#@si1kCFV4E1s>m$%!z zxeACXI%g+{M{^mnv>%x@8@b4itF?6uU|=!lL({5`IA2DLox-$fN;HYkQ+>IBz2bNi z`Env_1(@+ax|OaDHAicua$2c8R%CE=A+BV)k2pc70#2(YH_91X*>5+0oETFPekt(u ze><3h=x14wj+K4d3S^()u-xbq7ZreNEIaUtiaL+@^k)z% z&WKTS)?OJGC-Jq;ZDd{Y~K9i83rG+&1`qH4Cl}r3Xp%(L_ zKs!5=_!m$0^*_SzB}fhN(nXC09&E!A#_1Pe#O)@z2CLQ*dd3oOjHsQ9oLs;2o=^sA@%=ppXVKlDXOE&GPh2$OKM^Ou_??<7c#BF@E02Z=KZvGqTqC{bQG!$Gkl<#OAfh>a;tF1BE!ko`! zk_tCsr;WZ>3p!W&QJa@Jo(436M7rHicP96zDK?H*z3q$VfB*X2`UR}&PT<(=gi?KM z@yrEM)e)K-WH(*GPrGs1J2=j-&6ahK`$~P2Pg7@R@hdm#Z4eSSdtzIWAeOGj56U#X) zoQeo+g$4PE4dA}>=o|&dhzFN^qk)2$&m~3sHtVbXkx=urrM93SJvgK>jwJ7?BLUMY z>!Pev?|_JS#T@Qdn;1&1FdKjb=fJM`k~GEuVF)ZcK1zK)6TP+3gRiaxj+l zMFsxsHi?q_S?wim{C>`!j9E|9u!7(A%}sJ};sXb(Ei~w&>1ld=lQ7|EH!;`O`4=Aa z!z5{N$M|lc_6;5xwY8$94nzks$SL6vI!yo7%Pz&FZozPHK-@279zLbprb&%yiSF5C z){pW6>tt(vWr)oW)o;9L%DeB;4qfDIl_7Lh0|0aR8e-HL&m`JH%&r8GHc2*ge%8Os zGi5VIe+tp+Po>}5e`IQjFUq{^lMdo|@dXKhtHmczmrfiXFs&MF^R@V`|NRL^uV;U` z-C%jF4A35ywF1d&q&yc#(%tgq(cMZ!n%iM-rwpO^7G zlY{Yz(S@pcQ|ycGc8NE>Z(ZN^Ar&on?KRr5`A6zImhG85vJ%e>du&kxYDw2VujsLb zkj;MzI~;1i|6|wW`uVIH_$j=jP82Gv+)%{A1C8qO!5=C}nZ+Tjwl;*;Q~I+tlJQJ< z!7eTE{r?Ff2;KJ@>V3$+qS2MD0_fiW-I6*#fVqGvktJUQuny&J+Aa1YImV84&SB=* zZ;AX^AD#U}{*~BAF~{Lnq8$4~A(s>%h5M7Vhkd5d2Oql1u`ljQPT9Lr`k~^a=-uYO zmHLv(pDg`X{gzZN3diFg@N#ELy(-R+ll32i4_mqKBgf;@B6c|D6EgS8Tmn*mOp5W z<7d2ukNIN6RGu$}_A%EgWs~Q*svMWg^UP3vadJHUjpOS)-7h{ksPn?gPm~z0KYM$7 z6>RTqo0+}6-_P@n`Tqw10RR7Z0i;)JY!p=#zQePnyvqAo3u2{Eq##oIpfEc-yE~;b zv&_sQHR`AoD3}xoHbR0DLXcog1T8VdM=-(C1pT9;BuX$rfrJ?F@k7H85;6EdB__rg z!07qT%$@DDKueh9&fU4^yXT(wx$BAt!~h}0reC&xNt39gkx3@}v~Kyjl{5WRqnfVM z%&hNvs+V(lv7)VY^SZUItD8&LwLVjiZT!rQaau@gJvm_|sjo0Jy`~1NZ^y4P#;^94 z{9HTdXu6*=8vDR>UX1C|-k55(nYAt5@_H9{evEU?Ejg>MYosl+Pdp!t@vOQjPgP6# zs*}!vqwh6k_1oEWK_3{xN3O1UhHdq(b*VT=QmsGlY^O<#rGf1a8;wa#b&|fRT54K% zKok9Fj1ujN5ZAw*|07Le3Jt(jKFrU0zF|?ES>#Q_%r+7=cpegq=!d^~8h?(WH+EU7 zNjNKI`(`>g0px`uOYioESKIM5js~y@4hp=iZY6a~Gjtb3eyW)FDRXfSpCf4iZ@5}U zH`N&D0pd}jzINgFVL(bLR5xwKrGTD`L@B+08*+iON=lK(x}M{EiM)mtVkqISTz{{F zCNZ7{+a=-+d{XsPP{ke^{aDl^b7~Q2VgwCru(R-*ze3lrDi)EEr?DinR(GDHkBGCI zu^lh4Ahhjj>}n2?vbOPsU?Djhk+rePPmP#B^PmGaI`JtjM^R{aO>7ZH;iZT}bolA@ zlXb{2nFfSKRq5$@;6)kLqzR&he!acB;w&~n7~YgIvPubiV&+fZoB^pQBn5RvsTGy9 zdSrI1N$ZiqJr7dq*z>9XaxVi2U2s4sf1{CbRHw<$szyGx7PFTge`MP`*fBiZp*7mt zGGDXv-W)9U5^ck-ji1pJS*A;!!m=o%Q6Qe6_v;<=e;!P;)FhCB76QHN#RB@Zc*E!$ zSdoTK>Zl`r&N4h@q?k#RssFrnB1^LjH@69q8736`rwkV}h;FZGs)f&Fm|3=Cb^{hO zLnn3h_+tc|NCUPpbu*zm-Jl~F`d7!mgK)-eQko@LB1#F>|M)&QHy;YNr8&BXbnYtd zFb>5LXIl;fEHf?^yC867naLvc z4|n{$Rt;H(AgM|A~24_@-^V3nsHO8tX^ULOUzz+E{5S=4G#Q_<>w;eSL~p06D6p9_cMBl^4vVgAT!=GsNn@jWmbgfPYB5m;m+|DqV9`Oon83w#V$n5 zh!9H%A!Co)jbWwbSu-OHq>-4Uol8(t4f&Ks7_@3>HG{4sA>iH!aEZ7`l@d1PS%^q7 z;>xiKP6L@PS=FJ+<>`*1vzo2fzJe}MtqeDh=ZNdHw!#nj^Qqf=M#>0pAP}oRpRFAG z{$l{89N~Q@s^X|26U9n;SBXn=Aq)C5{2L;b6tA4z`R70)h~hZajTnJAiPNj#@C#S* zC988jqI{3yMfX7DK9^?JNB3ywu_EmqC>mmw4C~um{L~g;r2qMX;p(cRW&CA&zIYWe zM`Km_Ie1X2!k5Kl0u1;WDmK!*rlfNx5YmUL@ICVi{w0mscTFM3TmGkD@^H-9{>(b=VLU$%-u7JRRI_b-Jji zo?eH=z!_;jp}Kl~Y5s3WBNx8%9b49bJMx;jCmQHV>uW0A>+bdhGbTT>zlZ!?oQr~V z=UP}XEi8FPcP;MvoGGG|cvsKbTTo83?A|8zH9M6r>+BBAAcPS3BQ}|L?RmDPkm_JB zyIjTQDtzYG{j0EFwwIfop=3EDx9qE&4rr{VYPRL6h83nV$jf{8L$}6s=YDYd=7+mJ zXDa9LE1&K<)J~egMy8wgJ$lt%hF0$3LNTuS54I?> Q7bqiM7?HyN0{{U3|3hLIssI20 literal 19596 zcmZs>19&A-vo;z|Y}?+k?POw2tch*gw(ZHp$;8IQ#>BR>W9{(fJLkX8dG3F1cdu2| zZ}(EI>h9{Qg$4!&R)YZkmAicHVqa9l%-O}t!Jd?ror#5sl~l~l%GQ*Wjh&5@g^QV$ zjhT&wm7P@0%-P+_#Eewg$i#+}l~hfZRMX6uRMOPS^{eD;1jJW0U|=1R|3$!*Q2(38 z%Kta@1i$cu^QDr^`m#DjsM626!uLlIVvC#f zGlg+=+4r$8KAONEJ--;BCks<7u| z*>g8|lI%-ra`V;6gj19i9qC1v+7OcLwrSa`FV7no&IvFI)W+pYTabz4XoaywJwZie zGCQ@RPAQZKn=Mt8QT=AiG6RZ6;T%>_RzF0eqIKOG=0#;R6v81b#4dQhzw|doT*5Vu zY#SB(5~bT#jwOK84w{NlX|raTW-F={^abYu;=$HG5D5em{H_sQ{?)f)#*-sGY^*^{ z={25Jt5ck7Bz^8|7)>T#!pw*rDh2IK*EEmCO-WhUt+%Yzrf}P3TDxO+Ditl2FXgu_ zsoL6PFybLk+u7uQ`p}*}6Mf7@ zo#3F_6V^XRJicWJ5_^!#$Z-W6BS;5OrJzXXW6;jflHHDpx_P2aQlU(+%jUuKPo?d zjN?=qzUtQATcGp1R##65M8$#zDd#5IlO&FP_g(X-K^JoA{~uZWXQ0?gFvxt(Azc(xLa_cRtVQ%uvdi!s|2xJTPacTp54KnOvl4{om z8>-8u%t#*e##K&@IGP0+e2BGVdvs)5yosk}Und}8)_D8$UfDH2-Z|r6x|Yw)JBhj1 zr`-bYy(iO8BFkCH1S{j%9^G>6Tbo25&?XR?!%k-`|F22=pBed@#YsqA;&zy2@aL>J zsrOibBSoqHV-JZmO?_&KP$pOt%V|Vf3D!`gy3AT5o5hDZJ6s1D$%<8OBme z{Oi^Kfx4CZkC*pg*H>m>*Mt2IJX8h%M>q-~km7ItodvZ-r6Qj$%7M63S>Ll%-c$Kx z`*8;+PQ7$Ic^HPU$Yu_SpbHlw#(2RDp}EGiA<)#wdl(5_kkx^cm-->RPgG1obBMf_eL$#r}jChr~Mt4?R@>FSwjNTg_m|d%(*x`~!APiDz05cvK-}(Gp3-WrN7h%S`L#WgbF=BX&c)^NN9%o6svP`RINm0{7DFv1dnnj7lZ*Jd3GJpD=^5P zS@lmGyGG;;^Ycc@uJhoJSwzE3FVxns+wLPKj%5-Bc0+pPYYBH4@X< zTk;UX02qTj7s*MuZJzS-7J#}TbM!GMXg}4jMe(}rxV|)gVrW&#Ui+uvgMbrDf&bLY zGR$h3V^598%ug{v0H?=Dn-Bov{mj&CA}Lww)|w% zVy@J?Wxt-%JmI8$_L1~1!nB7&2CNQp#%_OOR-E?r(iiZ4f$9+AABl?nEA!FDcOSjbl~JQ+6z z90MB(>|TSHiI}ll65w9lI_JWBZH9Ve958Hoi*?4mqK2A)e zlUVr#?Bbv;7lcd}8eaH^KK;c;vu%7ju|;~}tDRbs>?xF2wRcLu3GpY>#M~}vpIRSb zvM&I}X14y$hQ#~a@YO&zR{>zt@#<@24rY4y6xR* zW;j3@*Qd9`L71m_L5cX|a3OqE%RqPDdmdZL`QaVAz6o1E;J*I$IFs+tBEOj)lLiVu z9$siN-2>{h{p0J|pN-#W_Hk)H_x0lmYVP*A`4dfhX#Z=y``5#qjianxbCr+~IR9du zug&8np##zZGEjMakc|`*dc^1Gu$|&_z6UL-6Du=*gB$uE$bvOP&pkuH%98Krnpe&7 z*L+GdRt7MOQo!8C?BN!0a%%%_Jb~0roZ4udDm%h4pPqT9R4q^N{!1IC^%C&h93};g z_iLw#34b5wlg>vVfBpOyQ}APbMx^nGI)>_&Bm_uM{8{N^_vxf}KrIbNNgeZPN^m!{ zGJUvTcA_i3atxp@wq2j;G@BWGw3`dKV#5E9-Lj#*a^V7@ZNij1^jT)y_{wB6DcNwc zIic)}-@*{)`=6#4!lnqY{=*Dn&D&T^DPWhR?@#alnhLg+4hj+JwCl9!)f7vy6vhuL z2>RqXAZjlm5v5L%gg1c<+u$-1wy|%}O1>NU{p}cqVmyYv4yr+tSZHW$O3?t$Ev6~V zK7icSu`xwcZ1aVc=<~GOGyG;`&vOBsb)WsbeOX=0bZncsZa7&}eCXDC*X|J(dfi=! zXbK$RR)Dq<3UfRUCmHRF2-d7X0A2wISRmdN%2aKi~5aDd}j62fXZA=AO zkiTa>J;XPwRupshrP@!n&tDLn8w&lQPh(P?`uIWUYVbKKLQniy9xxGq`a}L=t}l$= zI_IB)Wd`GB>QTIgCBrYZ9v!x) zU8fDDF25(zr!$yz`7}Fh?YtYxy1~nT8=Lqd#mB}Ymg%*%{X$H*FVl_iH1m2G|NHi6 z?n0lIruY6=tGsM)k6706aQ-q}e_Cukcc_I|&d$ZXc}m+pr?Jy+T6R5G(9T6;-q{(Q zJ)G+of|epf!0bh}gmPHYO?K71-S!+2zksUiwRm9eP*<2YTt#FMDc0yBgy z;}xyNvP3?3sNE@Yp+KB|y_l=9T>u9eZBn;w?x=XKpE`vK;U9{g(cs)~`m9?$N-%qE zXQ#)w$4UTEmv8EXARb5Z6agwWZ?5TBu#%+k;R+n92?~loUb-%J`)<0EEejt%TY(%HqKtQ3tCeyWLs0EPD8+y)gZ2h#kYJXGUSDHfgTG<`w z0eMN+LSV9U=z3l2dacUm$x76fh@77g>e6&D>IA~mC2@!%A#eyMA+Q@z!zA#8AER>g zOLCtjH*C<_-;rA-7Rq8I7Fx?!28CJwLK(Obq`N_UJUKzSZ$%{miu(Gt!Q9;35D$*P z_@Wj7rZ;Zre-UnrHQXHPQ0y_TUUyuZmYnyeAk`u$akVfBtj>M`6#oILzku2=;7`Z( ziOS(_b1YlG*ChEP>DMn!f6A-=ccn`Y@c%m{Jo4)SscVWJAr+5|U~(sika@Bah#c8y z_;*YV-228hE&@|PS@o+7dn*^_1O$S43uN{6bL^avaRyMqD<=1Y{ zKleII%H{o3ZOl8`eQx6ZPPSu8ar|A8%N3btb%l4wG~_t2MEXjIFt#6#98JJFqJGU` z&itlV)~#=>8+`05IXXLl z)M8kM?mOf}(6siR@W&VhWj*??QU0$L6+J1bQIvNZfE(x+mt+GVc& z7*oXFxvh%H(e9TG)M4vPgOiBDjv>w-=6ogvqt*@=7z!qe&3`Lo476}%joX7s6oa28 zWjMI=@~L&|1m>cs8;re*$=u{+E{51WY}Yt=`dO5?3cGT02SfUi1;BGKCt$v*t<1_s zAI`_f*zWja%}7%RIa{G7s8WO9t-Y;A{RY#7z7*n;r%F06ywQ_H=a4qBcKr#UOIM<% z`peh)kt~AuG+nbp>G(L)la&L-7`p!Cn9^tGaZl|GBdxo^q(rV2i~%&ZWq3i?HZn;e zB41l^gOovZCt28|FsQ(NHr1s6CN!HC9W>)qYP_?r`vom%d_mR!fh@nE674TYLPPbN zffZvn^8w{#l1^|f$I!65aRk+5??_<(tM(~0eOK-NCliFS0E>qDMzhK@`-sUnlf8|Gxy`sWpwq+QxYluZ8Q9II}u-wM8C?}?AKmV(_MN~?#CzrHnTj^ZV>tBQS z?wtcQ>A5iJZ6>&{EE+B>V%zP?HMU4ae(yx(jic`=P?(9rYV6|4|K3#0flS7hX>BL0oVwld1!-FI&+4}UpPh}sz=2`O-CrME~fc)B40XMTgk5_h2TFaTGzPTV+yh^NBv8% z*U!B1{+fJPRkZhaZm#iu373b@+Zt@ELd6nfd3AYNj3Y_38&tw3TiY-Kz29VN<;Gf8 zUNy46r;ZeWKEg>qb}oD*WZ-qIl-^s}R)uiK;0vy%-A2g!G#Kuo*^EPhX6bg@o1ELD zDcgWU)GqU2CktUshgeM8UYX2b8Z{>A^l%`^=jR-ZJugPz-{gw550tm8tRr6PBz2Xt2IKVv-;#j15_hfy=S~-j{V86o{MvdU6yq zXj>4P-0lMl7k`yb7FqQ={+PAbQ;0QIal3VVn!Kn!e{ac7iAfpaIX;3zTYJJx z*7}8EtfJBLqccfOw2BoUUF*qP7S>9K)Swo;_A*q6YZMp`_J|317U?2FDwFy-)`FV# z3%7}LaM{K+y(6-yCL&1$i%o3jr2N=V$f^j2FyomOWkaQ9D`>snaFj8amHk*rc+vBl z-_xW~O=)8IjVsu!{87_s&t}bpVOI&UX|!>Q6MvY8Po%Si#-t7X?H>d`X2?+Xn-+@Y ztu&yr(5PYR zKlK)UYQ>nwC$mSCVHch!9r#uME_1H+GHW{?6{39rCJYy4Ta} zEkunPEUTpL*_ox8U^*nR?=5uvNd=abR7+e~t+cx)$EPVFMlhBO2vV zt+|YbL4GM=0sG;y=7HHdW~QZTG2P^cmCa)G41bTkVJW<_ma~Rh1;}p)vG zs`)U(`tX!Kc6&C-tyg+61@7D&i~44=CKRgx#aCb)8sU?kgrY+&Y3C_kDWP#1-VyO4 z;w1MVCP)T$Xo}soeT`%rjONFSx@!>Ki2h%@#9=iWYPq*#;g(qAl!W<6Y39R&>letu zNuHfi$)8$X57Z`qZ&!~rMhCuch!bl8BoGj^v_(qaI#R2ezKK7D{d=mCjVMedZs?4| zURK8m#C2tk>|YL!z_PV(*n$H`F`}R{_nj7PJmE6Bq} zepKk1H=MSC&1tdE@0$syZn-Ki5Zbi7aYb1iV_eU!mWA>kVCUT2kU|Gr8hCzsTB+@S zl+u{ySaPqvdEy@m7rqIlwifvcj|ZHm z1|;07D}p>|d$;MfBW@QJK?bS9JE>Qqpb**uWZwJr(%EK&Lxw~pvHO@%C-`#fTYxQO834akL7Y6t1g~N#F>xr16lx}f|U=)!0xuRse zA4bt4n(JV4fh0=fZH6^vq%s;1_eM8*CH3*+z=KQ}ACxzmAnFfu zTSnGP1VX*VTLDpx5{SezMe#@_71+%@g!Dm2`kVS=xVH8^ab4RT@&x(Qum71F*}tX% zntvvIyYdJW#(uCUd}By02zl#GR3q-qcY`=Lk5uE$6Yo!gj(bR@@vUg(-V+)AmEK6dcR!z546wM#8an=ng*+Rk zPC3Lhovs8-_-ryoOT7sAPdGwu6>@@bpG1|w!?ag5G^dZ^ zt8+HtrMVd_f0O_TM>DyxYLY}M?ZKpRk4LDurg@N!TL<8X_0X#=mJYW^%c!PzId2sF zM!cYf`p#z-mL?pg1zRG$n_v-=QaP&7wJlFqa6F*;5e;?Qo2V*uoZQ44bEe?wTR- z{B-kkoaOPM;aQ^dY1N^nFPC$-I$V# z?wuS3FxsJ_rJ{FQvO!WhDW#K;F)NUW8sXemPtMu?L@IHBR!9Pr-^>#4;-iK~vnq%R zB;Z)6Nz+3CSgzUsjzqpJGmcU70?%z1-rJl+6w*)f+q6;Pgg3weH>qLRoA-eQMK3n* zmAyYY2y3*Jv=^P%0je7kBz15l)&mamNi0Zs^Bc_>^}?`02Q7|zK?cc1>S3;p%_#l- zv_63pa4Nod8obVpctk0D4;iIN6h;9tj@C&l7W6<9MB7)E3P&f1h40vBkMg}$xl zs2m5s>817ty^$Zp^8XuEdbv_Qx*faO3);p%(7VYXqX{Qaa?W)5o8cOtXPYpw{cQtp zXFq81m8gBx{A&1{>i-T;{U=_P83N-8nBG69-tt~}^liGycU*8caG!$N8v8$iLF{43bJ9nWRJTXy@&JVjxf*7v-7E2vOOh1o!-N3jJo0#_0SR zy`B@H=KU&Y`7hLio$l*)al7`l_n%&8(Hr6K!?5-28j^@(PP1n7_l{x>2AFB35vB3d^>*fH74=hg#e&YOw)+mu`9plaPl$ z*9H$BD_-I?s@joy%^IF}bvktX41T^3iSU~+~f2NrERld%>~!Fo-*d1zIAEf`g#5e5GivR zeyEgKlW~#qS*64n-_uukM$e#Rl@?~~e_1SznMOm0_+hlMQ<+w2O3ElzduPTatDgLf zSM(0e9yVS)Wg3tv>(G!l%m4d~4B=gPahX3o!J762spVHsAZ3ma8*02EPT@9u*@fuB zU2Ei<%AUOBn!H0EEraXuxfwLV!lc?9V%z(f)W?}JkIr|Bw5U2fH4TIw{VN$t-d)CX zHdk%o^At4!7;NEtW335IP+sP_=LH+90-iUUTe0#4cg&{Xp=zmi-D8u^VZK;R71!$G zg_SxysCF!SaErx#DB@@4GaYikuhsH`UD$%^D*?abBZZSQj7O=93R^PqXr~PvM?cjq zLQmt%gIE5+L&J&`FPawLc8v*~=$iZ&)Ar1({A%^ij>=}^=9NvrV$7ob-hwO4#jiVC zXtnh+8@2f|hs+%j%Mc)4UHQB zJ0J32DWtfggLJ9+^qCeGP?&GYl77v@!g-uC=l02E?T^YS*_v5(TXoqq>wI79V&7A8 z(2L|8c(53jtJ@-dskaAN0ytplw$!;Dp+q|;7r^b~-4R{sm|hXsJDk1I<>rhafa&00 zwW{vGsnhISk;p8nQ=wd^LDN~aSz}(WeWtqoAi68o!9haewW%|3PHr+4VJJJ5KW1GK zZbXZ9x2US=QNIRgJp+jqZ4luaEef-R8e_?))96l_BY`i_FP&|Iyeq2otlgH+9>!{o zyQ@eiH9l0p12p0t#zGjko_(v7%Q4|M564YQs#a_@ygOvmG&C!!*3^O1cN1M7600lR zPUI8M1uH_`HC)|B7G1@vjkDz*Sa&tPH3TJVY7D6{hu9R&vx~ILIsTjmsY}z_O{E6w zKWGp44?S9`C&FZVmelE%S-NU`{Tmjne{{s8dDCsvwky6=H()!49mD^zdcBWo|NNNG zUtkDCbf`9&ju9-?=r*Xul6~1)&*Q)BCm`@z^lfI*u5?eU8bVJG z^ex@8RM&aX06(8JZA8Og%guVw*R@%1ZPmFEUsAU{@X;BnRV`Uir)#1gs?{jzoUO(} z&{{cPOuxkCz1qzCUJX1Pll6c`V1(#W!6CL*c)WMzW`%Edt|xZv#9vjE=yXVkQ~z;d z-y|C*)?Bu_NY6o?GvuvQ5~kjxUe|0rr9s*N5;u4AmAt;TrLncU$O0S@fTX(BbE_3K zZWp~vvSx554y{XnFsA3&Ysne1dbW92yl$<4(JxZ#rj*KdcXmcy(=Gc{t}wfq4F9P2 zp^so@`tpVnAKW!tw=a}0%BGE*Hfzyx$)j?>AIwDvPq{vTIf2@DV-CrRRKT6*3!uS-gV@q!b zkiVeX$87fM&V`kK5RLeSNd~42wypd2l8!l_6w5QZ%e#JiPUp3A_nFOaZ}I5}Y1m&o zs^o?f9wD_Re0vkd@jnoz(f)YchxCs-Cs_9?cTepkB_Ze?8 zi7qWU}ITa2=7yAPlley;b*ju=Q9Y` z#GNhctz9^E|9H;(%%vQ@ls4}6Hmj^R5vF6OH;@OqoXT~6L^Zetto*YlN#`rD@}D#V zT-=m~=MDuZHYA_K#>BO8`3QJ+kd(1oV!T>S)IfW#n%DV#67tcMEJ-FLQ1uG_nq+kM zOll^|+S?c>Z%j$uUv=a!Su$56ncT;$WgcfHld%_Yy;&Tx=SHM0^h&ie(3BZ~iA+UPNN&W~2jagfMG^)S-FBWMIgSNaWE15vz zRD^AuDXA7Y{zU*e^~L`3wOjEf*Q+O8xBP1+b1t)@xt^{b$=>stU1I{wz$@zVQms(h zmC=U9?i~Z6#pUNk%Q~NO%g-b%+s1^HMy^eZEW@uLzj42=cmwD^bqkr^1d|`YG4B|ECVL=S#$0w!il1Ml$&_sKm<16t1XR+ZjmXHXBY`L@QBQjc3+PX^D}SN@Bh=3yMk$^W1o(<)mu8` zAscJhpP+K_5mjIh<7+;%jv+pCvz9miNp>j{@ye%YPFS${h{3w_c1&5%w0d`yIxCcU ze1t+f?tBo%#@GrZgpbqLHE*k%dU*+rcl6smuVuU|RPJe8X?{gznz#2+lmDzmI5A1E zim{tH0%KmMTTUk;3=sZY7C-cPn}FOu%?M}=64vXb5%$y%xoyvvtg(_=69iGe|9+f_ zc$~TWEc`1>4N91Hp9v^HeyHR2w;)OUcz7WZ`q1vw+a}KYxY-l#`ZRbwEV>)f6=p`v z6E^T^dxPxWyfgItOlgG)kUrWL?qOT_96Ov@>~Z{PuVsE+|L`jd&^&p&z3;UW9bV@6 z6nNj=KW}EocftPT9lISViwa<01w?43zP~we-wQwI(A?(i%`AMjx6CXGQ@;v=c0clj zKRR$R{CovKngqi%8$v1XF=crUFYz%m34rkrpM8wW&(f`)*&CzV8L{(%s<(H$UYSq5 z_2V-Vj9v@F>nzmI4>LR6Woh}B#>eM#xh`UJLkxtT{m}jW=7_q0t&eWP4u2mY^~d$2 z^y~A~VgR*&N*^9~2JDzCIe0K;92>zHb{=hTaY+l?iAYlt3N}`a zdO03Utm|Av5(?0*{9W1f+HFL>b`}i5@NM*f9Kw&409&MMG~Eh8V>}<*3WlJD3(a?) zFU96%&V7^OI}Uv6JS|&>na~C5F`=qkdAbGN4>>xY^lY=y9w>PaxZAvWrd_;v`V3N^ z&OcDAIGPjMQ9#mJ=UH+Wv+wgV%@Qeh4#H#*)>BaUw!9iKfIhKGs_fhV@IgJ;3D+WD z3U)R4qBn?S`E&pa$|&lfmIML7yvRiq3VS7l=oK=(1IkGNHdQXs$(nj{=-+{k9{-Wa z{kn4JidJ#=bVh!RMy72&D$Bd6YLZ?-G!3Dh&Oa}Lm2F}sP^k_tLl||LifQdypwVaT z1T3O^U>LK!nRD2H-V(s`wvtd{)9ij5__ksuuiy7VYMxh=H_iu6O6lAT~ zO-hzcoP~a>C2=9{aZ&`3Ks9_j%qAPowbepD#oo-;sN`)bpE)}UnW+6?L3IL@8bk{ut& zSqNORtb+!+$GIa~dRR7?N?4W`0aV^kIy>pgoh58k9Tp^F{aV>C7U)SRbyVXkG zu`I9tSrUl6#!2x*G<9;Usfl#zmJmU&<>3P>3jK6SYQDP5xt3L^Up6Nt(rHjq0|8{m zx5I6kv2G%pwV$=L2y!ox@&d{-{XgJ0{$2P)5A z&RZ&d1A5>CQD;92=a9bvm+^t$XNhMmmB4_aagUy}{#A3xFi7WJog}NLfEOmw3#uOy zfsUc#PTCOCows(UOnbKa6YFmMH+*E}OOb8kk+85xG+jTO*4D43(ER(mSrpN`2ZP1=rsC!y~Rx@j`Eaw!%|QpLoURKhw7iL_tiiVYg{?lzdwgQCE;4 z*r$`o3D^EmCQQTK8w4a$2zMww+>@Rx)NAj+q1Zke&-dRj08R`?(o+xTK&qcwU}%3Z zmt)?p8@Lr@DFV=Ol)XKBSN3 z6DY!(S2My2jM@cl2q8fw3pmo2lSnzYqG#Wv?fAf0;Zs2CX=oXN8C2-E;zYv^((wn} z^nef}@N^T*^a#?}F=h%8&tC)L0-6?1XT*CdihX;N-AD)0qe=zGL(EP^D?j9?{ z#swzn&W}Yg3~v5i@4zs0ZvJ<9y!Hl@?>YmdV|`+crKcl11pEs?bQTW@XSjGgVseTL z6c{6?RTArClRp_ijCn|$Wo!u`k+&hXX%Eyle#d$zy;c*UZ}cffnZ^j{sxZmRkU=(= zdOO*5t7Do>n>#ppA!-|&=SVy%W*&OMt0r&g^eGeQZI!5rM*gv)8y#v${7V{pR%l}C zp`|4JU7L7(%YOoiUK0y-UKBS~8;PXD+zlVRMWlApzk{KzNJHCaels61>L6NHi(G5J z+TVf_tzCu;A<9&7SPI(%h*N4x?F`!$y6lzUR}wkws<0$8>{lG9ps`Q0z+!%m9W;EG zTm3nvp;APMy^v4`4-q9_i%7a@+D?VZCK0MMMa-TMqb(JV`j@9pB{Oc~?Uf^De&|%q z%U0$bU{riJlc%z3i%RVDesdCSx`FAGa+t2H75t$ENQy;x{x4rXoi9C#_t@7ujIVmV zY{$OIwM4^{YoR_WP)<|<#N5h>ZaoF@SxM5f-;G)pY)5*cSiQs=dj-uOBk+tKGrdU6 z@{!CFvPx!cPi3ik7)x`_%?rw|n;8ZO&ySq4P(oKt?uw{V$i#;AK`4{~h%*DWEU){7 zCD@=9)}ZuYMfS$2s?;tK%$gzFk9|d z;3P5oNQCxqkG9j4Q-=e9lLRjl$dic5&G7d!=e3prkj`yjq7P>gWR8HZ#G~D&A(b7^e-}7dw$!&3Bsz`Dp{o6fKXy@bKbtPwj8lVqOyF0cmMgu&cG$bSilLM(sq za{!=Wo%ULx(p1vMBh^=9r6oibC(XXNccs79!8QTp54vzvY1jo_iWS8tu>Hwd?fcuww$E-j{8 zolRg#A2)taJ9rm?mEtSc*vz`Wp8tQZYn!hSFIq4nNkh+A{>>$8@G%Wb(Y*`gcI6@F3nVrDg_8flQSBuWijTBT_KXH4n_0n zk5msEy)j8>;hf3HJE#;~W3ai{w7g=$MhKgrp!Y@e0O0mqOK&0tkS)i#2Wj-@^$-(j z?IOXJ4Oq^n4Oi?jaX}?;mMzDT<4mx2s;s-J*e$yo?yOUCpOm{ePnfgjVhVSAoztaO zmBys;Z97il>I$}57nAQL#>G~~7>*|A{rDj|$^I`&H3fw#*4PFxUdF1Lo{1!-eG3%3 z!9P^WG*L;Dz-Nf!&={=d(B7sPUW|->5G)Dbr^U{sRYAu*_kxo(?dZmqJ~bgkei&Mt z`U6b~N6l49$ZI?l$2RhJuw(S^p!ZiAj@o?CO0oN1J;X)1^pgPHrVdHwr@igh`b6Wh zM5HJr!V!-#a-k(|=fkrow*-ka2|u6aJH8bZBeMVo?B%voEhZohwk5W8u)$K;fXS zR-%DED!3aGkt4oT!tX-%pw5^)Zb-tVJh?n!NTU2w zV##AjhdCokD>0W)f9xCo945UK{*0!9vqOt_xl*qCUHLvc&-u)z?Y5EG`}d!o);qwG z@9Og^$i+Kr)h!LaZ1H>TCPch<^4&5^G03LAdU`lX2f2so&Yr?Ge-1d7Ly8sZA4rG> zBY8rec)I`5yHZV}PlVV)hbo@xvkUF1sHkG<ee2;`NKJ>CpiOW zJ{n~{Dq}v{2p)AcYxoxs2rnDvdaN1T63 z%)kuuR;MwM8!xPD6>y+rK58^Azi9jqqAUd&rhjKu4Q%&~$7L!ppi&lZ6v3P|4K|W( zvMwr+Hrl`@To2;=8;hX@_N^WJLBYU-R(|s*di*t5`xOD2FxVcfV>Lb=(IwH@1p%Ic zAkPB7P&rb?-q3c)0Lw2F{KZ?lbS*uXk5bM0+?sEUMCPOHM5D8#eCjPa{@A2N6O9mj z*tnN6b~JQIJ;r6L`TK<5cU?V5&M<<;Z_G#G0(Y2k==N9RV>91P67W=^DJf?eXZCty zQHv!eT)=q=32lbI@%fDKIgL3?DPLJ07k>R{MNJB#ASylJY9z#0_dSg6{#9q-b7GYS ze~F%f!C^j)k9(>;yCMQUanh&ZP!4vZ@`yh_K=c>1*IW`25a{vG@^Z28tq`;aGs3)} z7W%vnPwn*lD1M!fB>ql?U-wUGPP%JQ$cy9#`wg@BhWH)RvMWj$8vp86DQI{ZOg8%1gN`^EF_4e#{N~gKy8W z3{eZ|8wcA$QJN~1hcfr17>n$j(^GS*Ns+BjiT$2RB$5(jhUs@zc1;R7Jtrbk7T9ID zgbL?I@w()XEg2tmL*0f!--_a8@rEY72?nbu>0tMME9%8~;^_P9JA>S%oU;>eM3GtLeJf2-Vo?BdzMO=|XT#-#Y-!5EW1d(?Y zkrx{EZAh;{U>;x^hSz|dXd#Y*toJZbKJ6B=&%nQobf3T2j=!9tsN1NMCET-z4g1$H zwtik}5Se0+jTCYIrbdd)ft{R*yQ;k(5%ksbFP(m!N<_&fBjFVP_!%w$2K#X@+S2vi za~5zwCgNEGZs87DQdO)3`h+FKc@gVz@hpAIEG-+O6GX&(6rAI~5C#tv-ZVe7+(Y9a z2)0&gLmkTQ7I9p5qfQo^J_OWpAt3rFBBm?{CmW;IL=Do(6Tvx61csdH4b`b6-Did- zBSRnu1nI)3)11!`n$2m7kl0|~wmFi`-a2%Vgx?>Fgc2Jw`1|@|4mWZ=g+gW0Q1=PY zfgdG)aWs8|*3sl=-M8I9wLdIB5W*Sfnvpi^lLFmnq%qI0?W2KQo) z7(pd$@ANRmS2lHgJ~=QSSvj&7i**JpxLcR=pV!gOY~a5=1|bXA961B*a>c2*juj5cCY59J^yO{Y18z-(8uyxiz8 zTfdhG@$UXLx&~&Q$%m4gBbL>`l$qP7G}f}#ORcuHxfe!@XWEU9x|O?^_lhM>F>&KM zy3+T|a|!bTGn1Azp&!l$> z{*WRy$SE~g78_|3#PWP$&VGQ+elQX^=R>~;5Y>qH849@F)ZX*|bNISQ(Nhe5?ujdb5& z6Zx}bMPo<$9WQ|u9N9Vbq_$N6F99-ip3jk4OgopDgzTFwn;;#8u?!foFj#13!*R_T z+u{%RNK(2EMX+f8qGr!Mb4$}9D2Rzq!mKbUnmj0g=3q0!YXmj?qO}ZHq#oK!Fzh*| zuLIQJEz1OWQPucLx6hA&iWxMuSRs=O7)k-IU$h@A!cax7mSHBcKNo(%9)#?w0#I&? z9YbM8^Poe?79wKBp2%0oB+mvl$H)B}vy} z;m(+1kC0-I3N4&=+VDyweCZkG2~*b)9@c^?f92e8KEgu#n^Z)a`F0VRVOIa{(hwcK zAvU;*gkmPyaTXb;h^KNqWbT%_-<;Cc0>&OS#vVSL(^P1ihS&zE?}m^_bH8a?C*OfN z661I5zi&H(wMMRm%lnTRAN1hnCJ>0*?C5N#m{rWKs9Q6ep;Xb`>x2?x?_&wu8hQX$ z^4|l^w88E?uvgOhvL&=V8?MQ1S%E&sx~!U-!?lq=-I^tps6R z1Av1(G}KaY67DmQL@4Tz%d(V6DpLR#MDh1_GKgX=pAx)VUYKGMOokR;qQt~7G1fy} zG;d6@?JuiGwTA#)h3~!&4OMck7qZ`Et0<@$Qaq{gU0S4GsjQ&r`x6n?r$;C~^rvuO zrg`5Ck^Bzq(k;{`e%K9ZyCKif$^NhBs|;kyg9UN;4x+^8qAm)t$kf0$MG^VU4~0aDHUl3%phAGl0I>{KHj!FU>(BgR)~#?j;lGeNKz#UdIxWEMGO%Qe>7Bs--g^P!adI9w;Y#pXvvsnhTjG;J-Mm+e5t^X z%o}+P6-bY4`UVpQmg6G7gysNd6xkIJ9Y{OyKJ{herEh<+A@^T~9l!ag2RPhKjxlh~ z5?PdXm>45o+14)`t)2)r#KO=9(>Y3elwc~6;pH9Oi~y_~XLW z*i`+#ESDnif0B3q9S{_2V!5~dafERg83u!qQ0M3 zenK<(<9^IUK9{21Fp&Oq+R(pUpG;hj^S)#uzvLjlWFx=iBKNnGcpHvDE5@31}UTliAfQKe88DXhLolnSxR}v z5K8Co@=U7UJ?Q?Q03{3B^!9pp-g%+)Y1s0`zbsb%vRvux>|L{B&DeNnzWe`m7W0KF z+Cme5Zyc#W;n;yPFV5O`g(q4YHZ`{^&AyAXx1oVcm;cCD*?HWKM)tcW^6``JMVy{k z;BwN|2#9P){wg!%(`LwhfM6_xPZTIUy3Tm?c4mYkMac63p^tIHeDt(|RegASa=+3W zUvV@-oaLcj7yYq|{*Ma>2>P_TVDRjwq9_SweeTyR#cc?8)i7d^7 z2e5YKJ_Nrq$9|D(AP=`hj(wfTIS!=bCvYOi{!rwMBhop>%(01lC-!Tsb3d9Sm-3S0 zqi}z8^kM(&vq##MG{=5@PW(6r6#bU|OR6^t_d7>kRJ%?;*|A@ao8F$b{-C2D_gREr z@Iy<{zvnvjA3AkiACCKC{n)9s-{#19*vX}xI6V>ij??fzcj$7w<+$DF(4XVr|I4ZK zm=H2~o5_N$xqn8z?qGi7wEfD-?{;z-^U@ZXKg;3!CkH2E_*94fAD~mmXOX`MG?qW7 zl<}+Ane!d&yBsWKw-hXGBi{_#*E{8JqAcm}P|6=r%2!8}-yK2!utE=$L!bQ=80ND7 zbIpJ3$U6=FqTKI0b@W%%ImdbNWYDgYCg@S)A=_pCJx3l+87zp|FX=F7{iJP&w7;#K z=P_&ierQXM;~STk=RwYz@6sfOKdY7HEElmC@X=E63(vii*vWN9;&_@Z%ck5pXHM-K zK9*{dt=jY^2ha5(+cFH=JvMSKG@wu&Zw(WpkPuU*jN%2i9y7e2ntbRG?-{-1iYESHctpSN+nv4c71|OunVs92-}lY;n%~Uj*c{f6F}Cs7&0j$WUyNl^ zNnaDDFU*|br?XtER}I^DJ?`aP8mww<*|c^|%c`ct+Lp%~P>i0rF-;3#tg9v*Nr~mU zDr##{b$9ya#q`zPP@ik%998&fJ=+VV_r#Pg=}D<-8Mb8#)9cyX`7zD4H`L5sSJzCV zS32*F>8!r4POheX?r1sG=zDovbQjYFy->X4>)%Em#4k7qW-py{GZzJ@_>i8e*|Hpzv&Ari7{L!o^8?YDo8S zV^I!2M?gco?y4DK@R;U)Y(LDta_*0z&@pKRcQrF^1^QXbmcaY>!RKgKNh=Ci*K>R? zS@7^~HXiU7ue{q19UBLY;u7gbe2RM2N3S+gvZy)?z|E zbpMvOQDRsqp=K?0sjpgvYz|~+U~c{P4WB_5MWz!^;V`R!Hi%*HUTdHC%RuO*CxHzx z5cqvNdm4US`*P?`If91TkU{(=o0gC-i`I|2iX$=?DS=eu=SZ8X#mv zx_K<#aL-|imEnZ5R_E)^0#4S8X*os_u#9B@;G{1dc@X*u(9kMF7)jw2K}V3zf7<)+ z$9#3O>&XHRdl(Al$nIw73e{qnsv|ty@tw^b1|fthJ=J^w!E#f^${Qz$eC{CZ7q3s= zhRK%cBWgezAsoI2Q@lUCP}_v&mP13&!t_Na0%qJ#TB$sW&@-APpr254=s3#Bh;W-s z4mO)B96bC84pj>A#aw-}#oUBL(xmuaLxN4R=`jD!-V2uzC*6#PLZyvc((nSQmzj%U z=r~6W^o%8{yzgs2iqMtQ||k97{#^@2>c;%flIwaePeo<}y2XHBt)GK=b`WXI)d6q4%~ zj$JS~O!hHIfgn51x2_w2Xc>eew4brLhvgnT{mH{M=Rf)YZI={TkRcQ*pG^^dr1bqp z92x};BTUDDJhFq62zAUPKK-G!W+F0|v>V8U6R8K>4l}?UK#!G#UaUMh7j2L`-qYbs z43J7ygaGo5^kKPEeTAsIB2-5wJ#_aA5fu?)F(72^!HJ}snp|r}gh6X0CS~Q4aPEeB zrbigu-!f`C+*(4woe|(K&>~$*TCmSTM4Av64_DDPklV%P4ltJ|97UsT(sKE0^d+Lx zP)|B@&Fae%@Kz3g|1ort9pRNIB6{SIiR@K)SF;~yqb)xp1p)$lAQ|`<$F*s!ox|jTqo6scB*G^ zM8-RCG{h>60 z-ti2kN9K?_4$srwlRy}mq3k($*KeQy06H&$SxeJZ0xC<1WREtlzlJjMc*pgWEG<8* zbi+oR8Vn7i>TpvdM!`X5P+oRaRxiY_6tra?l!@xQS>V@t6o^=~%7!mjXu%Cxz-5Pf zq8Y-xUyyP_dc_$y;lIx4rdtw!y*@kx8K5i;wm9PWmmQvy)_hvwr|_+InxjtBVE-pJ+7PKUdL&-=R6dhTsNNwuXlO4<#o!* z@s~c`{?h)8B|3xqoWzzKA1GqzJ7fh)Kpa{YeqU&5S5L N&YZY~{|5j7|No-mz;^%u diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index ac4ef998350677ef4da9a0caae9b241b01ad9d07..0e98e1b726a8ac5f136276b9d5f412dac687647a 100755 GIT binary patch literal 14921 zcmV-PI=00T000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D1A0RR91005yl00000 z0071U00000002ok00000004La?0s2`q}i2Lm6-)<7_i-Adxlx~-L~7^8M@FP$>FP38j|CDS3=#r~<(2IjB;bihco@Mm5(o(- z_Dz~GgJ)sv=KJow=ic)#v1ZoRj$f4(_rL$W_bm6EbI;vB{P4pMe}KR5gl z59T`Xs>bJ>GppFv2T^$E-{gQuKpz6ejmC2AO3zX@%OuU{~h=D+xX=l zA^p~eAO0GCehtum2HE$UXN|W8jb1-OsM$V^3hjF9$u}Ea1GKtd&;S{}%Kv^0e|PuR z_X=Bo0HI#Lj{m=c68|uMD~;27E86b#n@0`2rk<uQ!hR(Y1cZU;{>;^$g-io%V6la>HTj*t~0TiYh>`4Gv$c4i0-q-R8LwzQSp@ z(ID{a!uKsyEvlvw-Er&W8gHgkJ-p9?J9_x*lD7-0VLBYmw}% zX=%L<8tVP%iBx_Q>_)+4bFYH}&j*kYD-_o>Yi-q8p7)}&dV6qEKk5&<;N{^Hp@Wr< zQcTn}#|!cna!?-tlWy~yAo=2d9mm`I7kW>bDUAqe8=C%vj?4{Pl-}0~BqxM^>~OeTq${z_4MNMVu`g z2__OS+nx5pX5*wjX!WDJt@RxY9YbvGM<}eiHuZ zHwN(A2EE!@{XAM&=r-!dwVpErHRD`;8Lsrg!sBkU-$;EqIf<+%8!a5U&^0OWq}d-< zAhOL;V!d~E$tA-1P7`35#2Wu8gp5bug9G(iRDIHhSAwwh?%&yta}(80hO;}tuEYOP ze|(p2#Q(J22TJ^%W*>g0DeX>qKUEr&ur!v$+)^sT|Jjz}+l(!^vGpNN#!Z5v&m@T= z`o8T>KZ0)y2dfRmY__9%^ww7OuJO)qP>Au62|tZr+bj*vjkk={QjkfM_-_ViWE&nr zqwiMe^%`gJdo5=Q)h+W?{g=^;f@qLEDB}N*krKKaM=Jw5k*4LFse9P}Sc& zI1vZ_x7BfKhd5?KEe}4NXU*bgi5GbrJ?He++(FS|<# z3Bp6G{w4foisN3RX9e>5+jzuUcx8eJ=hYT{Z`Pcr7g#W9xE^9pAI~W|N$3qe_xN#lP zzjEs)r;Btj=z2+6j>zGt1B$0?U}ZSam+V7odhGA%L-lAcN(qA;2(!ZV0Y` zs~qk}`%vqe2|QDN;y=^zf^>g{6L|k&Osh1`dEP+bA6ack6ZX<5@*MSqfu7e~yunKH z1A0uG01I*l!3_78lc41`rXV?^nQ(+}MXmF*+EHiFhR1wsuW?fA_FJ_aVN|zv@&A=y z#~)_q8@EtK)af4EnaoGc;|69{F+$ikleHL0<2>s@*-n%r*l)bw$IK@eBbd>(>(RNL z4aGRCN&9N`5k}`S@I_gE&@r;h8D5P#c7hq-UiD5m=VU`lF?i9!FGpLPah8ZzIraML z&dJHEH%JhlSMWDiv!w^xpn{`W>dhp>YOL~aL$4`3oyvdrAu>dnX_On1#?B1+k&|{ zoVN(`Mv;@>b6bG+01romxg5NFb0?}|2hVZ6d)&hUzmZGNx%yj{m;X1rTKaWFg;C{5 z4uYt3>c`O?bb9P+Lv(ibi#uvo^3Nfg?KT~-w}ZoWaC#7rx#>lZo9JzI-oxTbyCSlI z^Inea`4Tt*W*-Y7O$VuW-Z*NWG*R+<^%l-fGX1I+PK!YdI#FCZ8St`4@Py$gyT-ET zJ6PP{a2abFPz)aOmKQ?yxIx)f7PX7?YXpURFdE}1W4zjn8Sb2`BEdTZEJsTrK( zCWTRc^i?MK?x+FUc>;TQ&<_V6APsn^0Y8ghcOJ}Z(GK*n8{Mhnh_lf`H(u_ugw1G5 z)(3-)LFbPn$fIf;O80Q?8St^2dPbx4myk2P9D>k(lPwC3;{0XKgSi~cbzyD~<~H#o z+Af5alqZ(rBq$v4$?ZaW*xky*3CDCDe%7>_4YNO&!tQ^&ve+`XN_&=GU-p+sKc|hlk*}sb#ZqjI(3er8Wy|dlBFd0ZRop zoxx#X8UG?A0G@6cHG3$wVJtbj}wLeqVN%rD4+hnzJAv~W8ry^k%T=zxQ{ z2;dO4Hiln@u-6>5kO|dyLJ0N6cmbU}NQ!@?m&c`4K<`%lsM|Sew1$p2@Z{}mZoU|F zdE71q9mgTz5{a)q!jXDr`)}#}bF{9F?htWcw8zG1mdHj5wFHvxF&PI(}(AL z=3PoeUAgjY{GBqu9ey?i4%)IO;Qw=g{uW=DGx}eT%>8Yo_(dig1)JZm zn4X+H3mNxp3@mzA2)Q~qI>HIeCxceBfT_*_Mpe$E+)A{VzqPz_E1!>WKMRkU!b3mq zEqms6R4zwLiwlQ1DEoe++k+ctQe4U5C%<$fcOxI&9yD>&#^Taqlv}--U%YAVd8zJ3 z`KbD4^q_GVmF(ptHX|MOJsc3mqM~ga{%E5)gJsV(v+q30f4_6S&}zKbXvsM^!;>@n zo%&g`^~7f9`G{MEx7TvHT-C-fg*}+ezO$Z7yIX7d#r0~XxW2rQU$GyEpt~w(3kzji zj)m;?-f5yoWm9niGgC~=X*K!WQgkyy(b4PCdM^4x^oGsFeNqY0F$d7Et|0j;Q*W$> z)I`}HqGoe*eSteB$3_^pzK^rfqhoZWar}4RpIy%$c5dTlZiUscVJdm~)`}yMQnXd) zOm@BZt}TiK0P12Q8#!TJnPtp=mR(gUd3K*O=%F~7#8=S-?G8E$6--XKVZW$+Z1bCA zvnyM*z0%!sb-%P%+btBoTHd}}E8V%Xv$t;(ajbw7aQ2NX+`>S^;LN_WZ?mwF4s*Fe z;b4EKRw}iucOfrCHfa{`rFMZB{O)RO%15%2QOe zh;qC|*2P3v$bnZpG+71QMHFImz34Ev=sV+9gCy)g4y@STKDcuyTg0dpEF3?0bYVKo z1GQ0bt;izZJlHN$1#0^xd$yR(x9)6+&NnP<$}4XVEsx_0Y)J47D?-OpNxfX&+o^2E zgmWT+)Wv;C7gydXD!WoC6iZvB?fn|;N(=}En!7b{(Gbodn(Bc`GH&i4MjQnfs*?9$lmqQbTcySuc8d!Nw#@ZH&EZl{PmF|}+p@`|*m|yTkTANzi+$-NN zZPyaDHMJbG{e-77z@=%S{Uq(_8*NOxgynB$vk}KjaS>g&7lBERIV5|xQGYkO-^7h8 zaDulQXC1l1>~r{Ny_e$dLBxATwGtvD$pgTOXM&0NR_!btjx8Q ztTJ74y3yoP=Jksy7|yPy@m|@;g5i?W#WHo0EN^ki#%Qyn!-1!Z4&6*SqMwVg^+&jY zCA!z?_1oTKr#FgghJwh^WkW=)n?P;HC4^QsqW+Wf1|sl`77sd<->kPA3n%MG%Z;Pe z^}3^$ARaW^$DPN$=#kChQgT`4W(dn=6+MB>Tox$fPG_hn z*X&NwbIj#F;yuUVT|J|#Ij3lp&1Bz>3b*P+^Ro!^gm0rUSc%+!M7ztz3H}3SL3itY z*J)t|(p+xFxrFq!(w^362-7N?2 zA&7^xJZbby_zE32B1dIz;>OJgE;0O4fU)1%lw}@A9xs#APxc{6>g?JZ{bSdgih-rS z%qvLcUe?HFW-D-X>t@|t9eb0b4!!gIO?QXa&6c67+34LoQ(1dAGr@Z4z3mDNT9-o{v8ujUr`SMJ) zm4p=VpyLbsA!ldTaPcqp#J@isQg68~SYbBAGN1)~M7l*8 zpOM8*feRSXKgH)GoEAPEdwzK~_9Q_COlXB#ozA;8pfepRvss}utT--*PtLK+>Ez^D z;F5+F#|7fvd(=F6Ce}F(E8rp;?=+oN#iProW6|syKNM`hP|NdF+I2B`_MieL)b)Dp zsMkImz*cvsQ~(t+>$%!r=;4Kj&&j z8>Mq)hU@$Sw}xBb=6W+9Lsr)bqG zCDNLB16a^vm&M}linB>b-}+L{zObNz8+D7NBD|Fw!UirGRa0=$y(R|gx<`+mMB5%i z`cq-4BVO>Z6rLPpUd+A6?dE1bVC+vAMqgz4t#yoCc9bN z8MDU|kV*-@Vpc|THvO#m%?1arxv_*do?w2`sSeX+PC?wn2h8*|+B`|Cfo1eiuM@0@ za2Mtr54tQ21>v^pvvb~COMrG#E3?2a;iJa|Q%`;#DcWt-j~d*pZg8_`nzF8Z8b4D^ zJ+VJg<&E0aR^xHB2fS@DLy1({R5}4;+?W$h#^}k)@0)^&9)^sf-Wk^;SU#YO)oEL> zG%0=xJ@)cdd?~ff!en%#F`0n%9)2X=Yy%oPh8{W2r=V#fb=XYvT3F0eKk{{GE=C%M zDhJzqwQUVr{pLck(}h$xc^a3WR?cnQFSnchCgxW{eODVY38UI864^0O1*AeXnxn8n=LF1b_l@C8o{PdW!UzTl*JI^gI4rnY)VkD!bu zrMbIumxoEb$IGg^s5~2Q6r^zk8_<7(bNHOv#0q{5DR0-I9B^KY%W=Y?RF;pH`Ghy% zy2JWxiLtz6D`>NB%=1Iy^x_sYVQUQbxO!5Jd6P9(O|0vsA* zY&B5ogURdBmAxQYaCOcvAm?tkv9MJ?hY+8l!RkF}5rM2Z zCwCiXRN;C*B*H_ovu4?Uc-ouKg9Alu+JSm(HVy};r{p|413lv%ly@UEKjLh}_#Ato z#GvjVA~0PijmKy^*yl0&>grurm=4nbZ#H|Tb>2B|vJ>y+>nE_^+T@tj z@s=Ql_Nz$XG*z%~y4KswLv#m6{Ver~6+(}%j8@yWD=oO6*26O;)Zy+)(HQGHccoDQ zR?6ML$ASlR-G*c`y0IU>NsVlIuC4lB!DEbQ&QGs1GmM1Yg3 zPL7I&YO%0cnlvYyXqWSDW#@LGGHFIr6}FMt+j$U8mzj)pP^&w4_9unO#<*hSyFfMN z{?k(^JKa?*5x?CWuPbDfZd0QD#?hm8^Bb~`m;+4JbMG!EURga@orj*!+dF$!lGJFF zu1Fmw)~QA@Dn8;W=}M!0Ds%IR;frNt!((7_?IvyH!e*Db!jO!Hqr_<_gYY?TIb}%bm6?SU}D@+*6&T$blGFxV3+79v*ROI z#R4E6je`zT_FdMhitZJf>Yg`7G4BeoWb1JxmzUduW5N-8;c~g{!Pz0W z);U3LT0ESqA;YW)kxIMN#CxtT)|MS_cH(bKvC^xL8|QuJo?NWlTd{J>?f2l^PKkC* z-eWH`Yqw38bras}so8|$g`4yRS3Ca36(fO1dWwk{GtC z01Y`pqucJlw<_Z9Wr#VDlTM}!LCh`%EPHe=GofWJg6Kv<`Rf= z{8AanY-T9jFH{anwp5y@gYAQAY16(B%~YKx)5jeo?gFVD>~8KnaP>{GFp(w9%KH#aWb0Dc z9Hu06@-XU%EoIzBQLbV$%r>?yZ0{o;4>P|bGma^QP0az}-STd!g6&Nv%au`zOg3WV z&F-wiq ze12*>yQwimfX)Z+R6!XY*7c6GPbm_O7Vp1Pag|I(yHlt-F-fH!rf6i8Tk2BlwjbdQ zPbxQSJhZJt8^Mok6FfII8A35PHpfO=%!(D&+S|xy;v>wB4Ypt#)?223B>erkv6&m2 zxo0!?Y}gO5W{oZFQyN^jOk=ZVu}yi8^KKLwlVZ!f8wIW?zbQ6K@)CPC-&H2spMCHWF~mCNXkh_E@thvRl9yhDNIV=FBQ}dTtO*lp z0U1upxlG|`V{=jKMASbP)xH?6bj}WOJ{VVFVO=MuWp@UB`>K-)wuWt5HsIz! zY)li`vt?{NeFkEHr7Pw_EgQ=Y5+-o%suC_vJZ%HO;S>9nBo!X!zGmOZw>38g$gmvP zL~(N?ri_+z&={S=A09@xJ0=(llL!0zZqS^-xKPg~qai3f%xlOW7LN7~n}(^-}_^rEFap30>6=^rcx8%Wo}Y z>qBhW(}BF|#G3>y8)m1ttt_VsD*jAqzb(mY3h)p+rl6_dMFFoXz(eFqK~uqt0^ZP; zL=~pROMz2Cm3T^Cvl%U}ZH0hWt~(>a8C9VttzOY>F@~1bh>u$m2=HD0(e-OvRA@$ zMyG4|Qb6Z5XvKU1O+qA0fS1B>7W$TxEfzYYy}E3YIa1Qba*e8-pGQ0v9h4!2GCJ?@ zyEwz!<((MtwG0s_6eR(5a>$l#bLb|=~S?=pP6N4FkM#w z!_pN~pl2~G%+r3LbbC>8F+;LVg}WpQG^53g>F@~UCe^cc>b_uRoRgdKZiM z&+SL{$M)iVL8)l6Rab~==!Nq`1z^G|A@qDMI=^F)Vf`+of;7rNG1h04g;g&Kj*gAm z>t7k7&C0kwQ#Lvjb?-oYg%^yAx)-rL#LIhL!sxiDdmD0kdXkIJxuSKqWF^DCQ`w#~ z7p4C~&aDjTsXk{eN>9h2sBqDSQAIxwjg~%gJDr&xU8yNGx51r^WIJ=@Nik_t;SGA3#*3K?B(-N zcQ96mv@9z6nPWI>KjhP3eDUc{C|ycd=ehQ2sOX!q>8&ND(OO8I8qpPUDJJM*CZ%DXn_h=+GUHC1t-}Hac|gWJwLpyliynF3jQ(hsTlk zLhFa_=PV9!e_sYVbZ2N$wM|;CUIsdJk7;p;y;5{IN?#)gcQ1a!{h1lGPy^|8h{G8bm7=BldrQbMz4A8QTSS-h!M&zgQgvwOql%kFA%g7JQ*)yGjI zHW|BhT!zOpG_e|xM~T`G!`m)t=m;-N7qU$;%U5mom~{`wKeN<#YzhX|G_|WCU59Sk z-Oqi`1VZ@~YKTc_Ac*_LVp;ZA6T~@Bhq5>Z#7Qj9UcR4C#@eR_z@p?%J3`3tT`U9{DxVF4QZ9j}iD02>CUrI#O1rX_ z?m8IabIt}ssh2EyNYX)V-T^&$2xBT`HnpKNOcr%$7{;(|mJI*{VKSq)Z8I1MO2zUD zn%E@ADe-A%0Ym)2*(W?yOlNQ_5D=lsKE_Eh=rxy6`f!{AxB{DgD+EHLo@w>h}7ui6ibT zT@$iOsdv_33h}wj%wVqcc}c;MvMuX% z6Er>Rl|p9?x?2#sC7m9G(&nuB2@68IHPeGo+LbkxV?oH?DC+j~AXM9#HS2Xj8C80` zq##L6Uxqo-i!YWGJPkvw*O;vd(#t0_z$6f-lQRNQ8m$2e5LS14Pp124grW2;Yq7vZ z^mFm_B929@ z(z}}xh*G(%WmFfcY^7?;3XTv!jP)wq87WZBX4V>B$0lhjW&@&^wPvj!bwH%Gm=TCl zZVgcQ<$#D!Gb0eCby;gT9S~_TW(1WffC(Vt z1I`FUDSFn*UsomK_0I@IDd36%n4m;_@)?0Bjn7(r>nM>SuNi?TgZTl(<{$|5pPdR9K0>d!rf}OlorR5r)z#xzIM!s#)_{~ zd!BllNyAIP5Sg6~hSG2iF9Aa|d^Q+L-?FANS?ER0ps^AG7R&r$#!PhZ+oC zQ%b(3z-bV(cEI)O6b(npb}SM%VKx@29=L`lFvu0XosvK%vs3RNB_4JL^f4q^doigO zVYAw7Ae6dka6*R#p`~ehDpc#8b@qb=p_O8K5K6hT&UheeE^4Io0S;>lUYt|feoRe< zKBz&1i-XYSe0mT{*EF~|2;K5c4??MS)&UR~@p1H)|8)gN&}Z5HjDVRGLT~HW&;$Yt zRVM*V4I_Jf0(Bs4VEqi<_Hjy=J0}TyY;k`a4m3Jy-~%3>7q)a`Y2kiUx$h4aA|`4b zHFwu;clx;dvfga*VluqrA$ZAJ+}f#{NHeQ2KCdzRGMzCQI384m1^T$`)t&CFd-R*VWR&S`)1{Y3it4aQlW>>-@a3zP z=&6*inxUsszHD=!Uz<7^zaeB(yC$WX z&5UjCkUN`4*Q*#*BJOBN6wLhNmD;V5DN%FY<}RshB$``e*UHp{(GP9 zANmjiPBlZwXSgzH+`i9}g-a;q&t^dT9Utclx$<5J`q1|>($NXEPk`}1GSdCEj5snm z`TVv|7s|^@_g$YZl<@aL(1$`PKI+Q9@p;47@B6rr?k{J=O^Qosi9&gQF$8_+H41v- z2{jl#xRcZn5pe#GU{*+BL%)}SGoAe8!^PCe=R3&=ya#CES)7~V73cjX_vK(ZUC z49I^L0u$bBC@bBwh&#+M99eL)>Nm9RftriNWx>tTqrU@W0z@17$G*yk3o3Dn+^SwjQV{u1HXTikuFLWhW9L-pGDkq2G0L71Ls>A>HbAV zx-W5HBk^Rljn5)3%jRV9G)w-TMO^Tk0>kL=r%ySj0+-u7l<$7Gabi=`Uk~T(+pjpV zy=T9E5b(lLf8oSQAoCw3pSoX*V$sw^;;4_mmuB`F;0Fs zRh}Qiy}10S#_}g;1sloYpxgCZy@7_r1L7o8QD-j3O* zL1I(3qx8aMQ^jy7tKS_)hN_CW5ZG6>2aPtNVU{ZS#!_Cs$NDq&c(}2)eloTAqYHXWQw~qK{8wvNHVcb#X|umHYJTF)=`y6>&Qx! z>*yjn_Aw=-2xGetYOwdH-feKYD)LvY#I!4SBfm6>a^+njLU%0>wcS39bX12HoZ{wh zDq&MtsKZx~O2ormUi4Ruyq*46aACtkhl^jk9u&U88Tqe$u(p;Y_G0wp#df7D$jJaJ zEmlFSjtMG=$%G&jf#(>1raE$Tz?*KF@jjhBxuV^ zT#z*@ef;_`@5LkUB_{8MDDTnEOO5jsVn^kM1l9vrwtxqoFXGQ|W$kMpk=yIPXM&3q!kLEm`hbKC1OZ*Wwla{FxTup zN)jgWHIA^r+raNh%v?#xS^nAw8!J+0p|o)|Wm@0N*2)p)+TTB&Buok(N7!`aYzsL{ za4j~3oKVJ;gk`l_R=-@M#WCGXK^$WWDX$4rC^a1%pn$BXnV51~=(G@u65#w2M|sK= zZa4+XP%UR8_mdO}7UL>v5@~x|@YbGRXpU7AO{g>@>r#gzFApa+8MdOFfo~+q5_XTN zD5cHP3VTe1Gr>oa`uU2`skKTVKAfPQvb+kXP|oBJb!f-bleg4q6JHmswL|OEkE|wd zIXrWwtR^Ry^r>U|B;}2%CMHYh)9~6U3!U0X2q0`PcOo}QHR<;EHj2e#t4TKcv)POh zB*ouLc(qg?-H+mXvKa|=;TzsORowc)vMa;8M_Tw6SsoQiYHHCN#YI`gY>Yyj3TG7h zNh*Xlx4P=Bz5 zcG3j&*4-#ZkqmsL7)Xl7GLS=`hGSznCxdxck;6XJrf^nCT0&*q?QbAmjMt(UVmN$f zM}{nd!X*rc)Kak1qO+r$W?yIMb+{t8K5%|GO{>AcD{iQ}P@lezRj<|CrG!Bh2%`P6 z`aR7eIjn()Q00}a@23^6=dd~i!?}@i5EQ4z=?xVC= zRY+qst}0Zc?FS-6(jWRtDWP~%DKR%|>Z;J=0C98Ryx(60^#UO2?X_GtU$0ynOq>OaK{Gq;v98?k-~)>9gEB7EiSW4 zrJSRc0#3U(7WmIQ;1lSAQ&;OD$@wfClGam$UYYZ8WsYZdb+<|2JQ4^4)%F%b*h4ci zjU)09S+L{Q!Egu58l*+`CK0c2ypR!?7*IL?!vUr9FdP_n-R@(xVw+x`J*F?Pod#R8LXo|6x;qk#(**tGS$y*wftcm}m7lZ4O zG4JcX`YGOw>NZZzw_LB$Xj>0Wd}cQ4NQEa2L5@Ai5G)_HMx0L|XA$3`9~%y=jpm7<(mP{bRAjo2w+GstG^ za%eHU%O*a%l{$GdoQuPBewl+wiJlB*aN9yFv2G7h$aMTwA|>lr&;!iTA=cl1}a-DB2a3?##kU+esvPl21IZm7Y}DC z6PIH}@%nP@qgU9RS6Ckv{J{*2oE41#Xex{x+;oYuOXagDu~^-_UD^g`%~iBeshz!@ zEs5~g!;)*^s3=on2~l|XrBak#-#>#li=(N6YNKV9IozJfCR3l|lP*gR3-}SF^hZ`& z1oXvEQ5*qB>|aHum^l$q*XGL3yQK|F(yjBYkQyQvZ}*`Z@bgB=OB-cfdO5%d4?7#y z40(m0${;0hi;oz@S>e>?p4ci+ zj5@?nmW|QB1k6~RF{ILhSlNUqiYNuq5}`GW6^luQgoMd!1~tw@VA~Xc7`Uum6EIfQ zUXbi!d?j09FrpoUFNVy)m)8vVPN5%+f$up%Fp7R7mTCCnZo^?j!FU`Z@|uB&n-py# zae@(qVc`2xW2_m5nvv}^YBE-ZVF`nopeC;wsJTWiC2_0Tp?*$G zNagU4p9Trm#uX5b<7M;FdbsW)e=rcr zA51Wiyo*v9!)?O}Cl}=4vcfJwR8K4x#J(N7!7z&Dm7S-bLONx6j7z-X8@*59tE|AG zngzp@=G2B$)k`eV4Ga5Pw1YdL7UjID9|M{&Bl4QYZQbGat4Ns8p6Qgv3`nPy#;c(8 z@f=3-nt_p{&ASz$Yv7|+d_AP|i=WGSj-M%II#P(mlg_s8bd}ImwR;g}6;oAo>)@I* zj_ct$#h=7DD<8})a$0qh0J&>oeEV!TNPo|_t!$X20sa63UlKOpGHBRv-H|UM!7v(V z0Yyipb>T`$I}+^*$Y77tC>j%kw(ZBqDm-D~0Vp9+R1{})EeTE-VlI1J8684@2I&)p zkxQm9ZI}2o$d%cJ?%MDb|yYBkRUQ=u;<hZ4SwYAzCuC=tAL5Ol7%Lr`4qncwqs3DM#NjEg8F=QLJ+t}5YjFAGH7lR9IyRqJCznrNbv`Dn z6gOgM3fo;adDYqYiRUsnQMry+87MQxLJgOp+W;<=?wWp#9a}?cJaoB?i2BZ`ciB}> zmDQj$)pR7i(Mil@*mNQdS@=4y`WFD&cVESE^m?D@=!ke^lqZXdXQKZtq5l%iD~iOE zfoU$LFyarp8kSd`&k2UB;3p_mnPNMXXBEI|gH;WyCw5H2YLFX4O0_w*70Qqe)OKQ& z#*lR7vfRjoe!9sZTY;>IDNtfj-uX5G-!gay-P>?))x8b%R&SL3a1BR-|01%w6}KGq zLk2Q>ZQ5l!1!-GkGYU&zikvnYXBV=1@z3TzeKglm^)PH>t9z<(?#fP#nbe~MfL5W& zC>t{EhcN-(W{jN=wBn;hTa1_U1-^(Bar+cxFmB9jQOst&apfkNMvVheuytm9YQ&WI zJzI`LLypU_CR=R5^U;?YCP>Y!rTNJ6EzY3~W^fi&)+Ctmev`qs0X{IS zS1AR15|~i>F_0)c83sZYxA?yKn(v$Ys4#4jRe&4x{<|n&32o@3iinP>@DZQM+7X{8 zFbRGbz_7ubfH%2?b6tzSkG$H1j=+d@JL2=j>CxQvv_V&b5zihIh_|G&Q*%mtLw-vu zBJK3gX4@M#uLB)^>UO7KJdrV6H>x+cJ`dGg((|=GuDkC|&1%~EgmnxV)<>?HyEONM zEx{Ne8u3}Hh7F5?Jc9KYlX1l7F&2aNCbW~*#$_?f^@XR@cLEOv$Cm!%+4?@$bJqGt z&C8h0vygKZy>5q5e;*Z`jVy6>9c-UEtWNb&!Cqt(AXnhA50vcaszK0k>ke)ryB*Gv zp&e?34EfN0%1{t}w8XGzqQ!Mx@5#*-K^00960cmY(B zze~eF6vtm`t*x!K4c1?OfFL+nEQKNnm*yI4leA5*{%nch;N+y>;w0$iqNCs}=pup? z5p*diI5;>|aL~oU$;rjVcS)|0;oXCKpZC4@<7AK^3;?U?+y**`qFJh9TeMQP7{7r4 zS}(ldIoGI8_Mjg_<4~<`7{pq!8Bugh>NTjQSasFk(}k8q{=EBN&fB2TwVDnGK;I@-nEQP*tU>&`rQAMz))&cmDQ> zN9E^<#eBs|aY$hB`s1c^ie41p_ER*8LHz~90S29niOkvNIc5xd(jtQiUg~$aX6y6& z2WKiK=5jS=6-}*+CLxA0drv#N=(>|~QLld~1kV`D9v(D;=u>EX0VZAY_cP#Ni=mvs zUrc(KE9LG-3c>?k#|b&%ixr4YHKL30^*KoU_lrn~@Cot97+q$9z_DT|GhQum5wQln zXqIfN(xn3Mh|&FvsVdhIv*JY;%DPG|p%!+y8NIN^zoPgmeny5C#*W|Gd{*6W>h<&w H00960j1u>> literal 15065 zcmV;~Iwr*t000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C=90RR91001#L00000 z0071U00000007xK00000004La?0wmfq{(q#53R!}#vKXLdEFi`CuLJ;klAYSuBcLlY~)24usu0K*1+K3abAi~j&W`bB`B^dP`~ zupfLtkO4!YXrr||Y?$o>ED_os=!Kf(JSyT3oe zFaHSX`%j<#8h(Ba&_09g2aWUk+x>dC7a`PWokjUpt@-3z^^O5rIml~(3}54aKY_nH z<&AQF=g%S3?bY!AS5V?#!tZwdtk#Tn+r7qd9j~e9+V?)fN?ttaG|nFOqSp&=M7hn) z-2BpFZaK;~d-YB&DzrPOxS_%Icia6=)bG}#_G!lFFLtAodbe@bihAvKvwI_Iw$B>J z(P_VRObT|R>$TQN)b2g3ccO0lwD-8ysYi@*$r)_)x>0^-e?PjYb$Tr%v}IiPh1@VX zYON z)Xoi!+rXu9-gk{`3VS2KxL)ga+sBPsuYMApw2%Ae^;XZa1M)RmfO2kMS(Wy z+k6sr`$ylXANQi`y|%#yggoyW#E;vplZK^+!_<*^-{KT)1Hl$3e7({?>K=C*7l!%r zXPtVTz;6iMchIzGntF8GkvHU2iGii1ckSY$**LBpHR}<64TM@K(^Ke1bp3Io_YkB- zvh#+m>kZVQ){CA<<2OKV6ihOA+bHm&4-T;;ybneS-oq%B>ubh9YjE>P_Y;_miRt<{n4NRS)2WlW$k*8Y?H|_>{zB@ zXG=zci3H4UyEVU6Kdtqfz35J}eT06eG6eFc2>HkHpGcMdoqaHhW=0ZH06c<+y!J8t z8kR0LYZqPg3N)C^*TjD`iC#_~vWT)We+5BPnZ3%e-iIRcLaP!m{_}$IcKgi6#{a+e zaoD5Z?89#BcdO^Mi)ennQ?H#=yG{*MjdAs5n9}p}k2{TCJ@w`EG_sa#G=J(QRw)jsYWGwnF45%+el_xD&B?w#V{@vX;HPP&}JUE=- z(Bc0i{un1iiQltB2y*@HMh~{8De-pcAXVZ|x)P-{mLR1w{NHRzzVFz9Ia?cGY}_O` z+D?)vq7B??_afN1Ftl0_&_*k&MQ`s^?iee5fy|7D#P}Kf+U}`;VXS7PmV!*8#D7yj zBfsJyG*U9(?bgp>|61zgD?8?^`Y&TN1r{NBP{Pl#1P;d%jW>;^qGCA z+HV#divs`4$~dJ%477n7h$2B<;WP|2*nX{3Yc}gm_yxRggm8ade>)D}qQ^D31sYur zJx^{#7{YNN89l5$sz(@h_Zk-*L3Y~5P&F9bMYTs5qcQP9RBV~?Dm(!eqAnGKK8z## z7|h3FVGt}38+qsw8DE@`nA+kC_|2AejDP|0w(&TW(YFmE+@}e4X5DH7mf;ym#<%Oi zL3qfHU&3!DJC^HR%aDicq@^bs#FH=3k0CW#9$k}OquKbDb*aHeRBZJcy(fceWQ6NZvihmxz)N|)`7*l>2OQ)4ZC@QsJ>a_;=REd!oSo-&WSjGGE_?+3CV*U)OE=LU3 zJYIlxz3|QR?ioShA(y<4-^^kwX#;Bl2<#@%3lIW*P}aT_RzpPUd68^3Glx>mF^d>Y z0W!B4j+?=gmvMn=W=Lb7E($A2v?vm_>&-xMv(4@Ro8; z{jg*gMIhL#zu$wOg9}fL2lYk-Z%GX^-|(rMw69ej!ULOuFUs-`=EyExc`a(&#RT~F znjb1~{yn4=g%{2LO0>h(1rqTZr`ccIJ3W2P`&T}JO3eiA(t<|*u&kHIZ$?v&X1&@8 zW?A}2Z{|-1`0x{8RaWy9qe8ydfR(%iX< zmikbaakXr?I%C5b8&0Dy!G>@daah7x1Lw!_UZdEw4_Pe3T|zfg+&B50oIB4DD5`{q zRH3`4)Z!{|xbOzKw77KR8dGY2?Xd7Hv8K+98Z&BW3h3~RH(o+&K-1x&dgOd4<~2s> zN8`ugq?WGBxM8#JY23s*-7F*KA2dO9ct)HVab6X9q_PRt*saD%qchAJ<0AfRv`RI< zPZORMlGYzHn#^c2jx5diB+Vz(Vpfk)JLQ{AOsgHg$Ay)NvE{q?Y$x;Z0ZW@ZcJW3x z!bW45S~p~4GG+{qs14sR8zYV|ZHLXz$Y7UYv@fu^+U(~{+wIy(bQ^;nyV?+gorA)jnw9*!$YzI4 zTtpw?U?rRs#UqWn(c=b2TkS_!TxnNC*0I9z#GWOB(|!)H5Yh~gx)=51#%Tj3KdLox zPL>&0HE}W!Vlar}+R1>IJ#HzuqwE^Xu3x>#-5po4gaO6ip|_p8#!^$XS^L=(QA}p{ zAEU2uhomi)h;hk$0ekX0ZJfyhqSu;(rb>--j++#QjXJL}!S{waXlDuRK~X;%bO?<0 zvk1Dgf4&gyK^{BN?HZ1=8_sm2`96!;jHG0J2@c{Iq(p!QK0!-QSp6j5yb!;#6UOUW~Va5zIW}vBZxh@h`2-jpDw0p3e&%H^BsJXfC;O~q9?(nlIaL|^$?chHE zG|s_#Iym>g$5$Trnw6W;zcV!VcaY+jm~0qqexEZVIeXqU?o{bp^vprCmHsi0zCGJ*UY~2+J=Mn#eB2=2uA?Bb8rSHXZG8*^G5TD&CbIick=J7FD@=tYz$M_ zgUReW+qt;Evz}YpsB9NDR_1f7_5%@g*COp;p={HUkfYw)4UDL4Do$W#vdP>h@FfSj z-i%Om^m??h7=1o^!{*{XsRZko%Ldj~k$jD*H`hXHqHGUQv$?tM&>fRwBaB(!!#ViT z2?o+Q>b>XhDc}fuar5TlGMi&l8rfBmT(nc;Om?mNo-K+FfW$$|b7pWgMq8O>%zl<# zH7aR#k2B~N1}Q6(_$r#9Qw>Z?Ik#U_IoHTa;vM$5Q7z)a1a4>Jb9;B*sJdCACz`V zzgn#FXZKDhK&qdrMHVfHIZH#U6?co}{6P`HecxULJW+X^Y%RWXP|g<)s`rZJ()Qk+ zDy}R;u{-&~-O_GxS`w4Je8G3Owy&mCGCj7-lU+5N(&r*;Vm39Y~N52z06Fh^8(D4*h&zE=iwzp!;ITl0e;=ZJdEA17OZrRQkiaW*K zgDP}O3~pj;?cDqdX?NiGAMFSd@+o1a)fQY^_v^LyqI(V8umeMQr+(g+E9*XskJf@I>>ozF7b$ujh8*rq zPWIKIoJBs0 z6kEm0R}c2~=kKg7-Q9iL=|{HH{YLAg{kR*gvz$4@JZlTC3gnFUH`c0aE9M)|wuy1d zl@*-9FjrRg7<_YOum;~cG}$O}?L$6_96anZs%CN7@*71UInJZdtvTuDcP|cIo5$D< z{qv)G$G&q0ao4uH;~E=$xo(>Sod zh#-$1H^Pd=(7lI|>lH|z-3`%j;?-w z7$v|3jHrQ6PEYIg+GNOld8*b*LJD}$8i)RnL%plGeHxqf-=7Srx28gBDA<4@WxsZ2 zF51m4FHVM7emcZ5papz{yG0nElg3Vg3mDO0#^+-kV?G&qeq}oHBtZpCsD+yC_Ip*J zGZ`w=X`wW%I4(y|F0lRT^z?b)l71?=8^*f@PI+Bpp?;35+5B%MvgGu0;}(ex5O z5NyCu^YcW~bvb_apaLe8^;-3~+dAq)S9d0((RBTMCb+>Ed8EfN&MuFU2Ny7k$-MtQ z4my{Vc@Ha&i|2j+{n_D?h81w(_(D#wMGy~tBxV(+c{4MmcD0&WH)tb?D`xNP3)#;O z<2rF4FUNAL&euRVTsrF~gN+MRMtXFng_U=8xAM-7aXx~NZfHhFhz#FOv2_fNRa|j= z;>LOOSGM5O#Sb2$l}Kyi4PZfwLl%p>E6yfi{Wg{t?F$RaJ6B*V72&Ne2pKpMRda*U z-3Gjeo#Tg3qFs+6?WwQ^6EApJ3Xe20FJ{f}?LPd3D!X?gk%`^tP4O~b};?ji;qiRiF(C}iihnd9KhoR7QXZ8HDK z`iITE2&K)njiUpIVPIQ)b2N`s1h!yiF-ad1Y2oNmKC@gmIoIs*K??s6Q|T6FoSRqV72tN^p>h zYoB=uo&`&l;^+2bFJHx%!`^M!T?T;cd>RDNnXccMV4)#x=a=@Z(!QqOQDs;+C9ncMch>K+`4yuc~{ zsNH}+5w5!nY|eh7O1od{w7`^(vttC0y|6=h0WMNX?a(zh^GM*hqR)B7E^fVT@~8-0 z)Z6LJ_b;O3W*d{kuNStzdRQsHf#i5%Rr?$wToLw+zWK*Ij-rFpD0U_4JS4E<#HNec&2baBa^)5NNi(K%xj z_$(2~m?Dqd?WEB**FPc=9#%VR#leqGH}^SEpny$G5Ra|;QUC0Wl;;?vYmAc8euQ36 zY@8UKV=v?wv>jLks_V4=7+n^JT1H-7yXywiVJhIQM%Qek+PkQ?2oIfGzZ+q9)yd-; z-s(IvNVRo z!^L>vN}~X*Vkx6VF#bkMIIFxCj%68ECXrfNbw$QrUdNaG2`5Fn-T8xuopv9mx>y|p z1IJ&{Szx#0h78ahF zECch<$dLWT8O9YqElDcnnOgW2KIq-|8?|@C0c%I?QG@ zy)in|cAoqv@GgfY?^$5o8glNb3 zJ@!JicFPP|w_v@VnN2yKxmmwpYRBKWP$kevyJq6);%{GOF3!Vws*}9lxirsJ)zDRW zNXRid-Bug6RRMQVgUx}Q3^Fxi>+lVfF7}zUDwv~VEX6#I2mmLE{~0TDkQz@M!T6i; zR(Z>Qlq-a(gItCskyOcQ#|uG(^;47(vsuLSp4GzLJhq=84v(qYt_{;65|Y_C)Aicb z|2A`a_5bbLHYu$~w^6_(wXtk`SE%WM2SR6dozP}CUAVxv1q{Z3#PJxI#I_I`rrY~F z)%&HbgS$3L^(yr2AGWw+^u&JS$eGFQYIMIxhom~(w@FfYIHSotJ9}G)+lP+01mX<8 zR0a~88S?k?+lNJ4D%I2B?qQ|4W#0#8s!WpUqYe^x#8eOWxAyM4_9k1H$im+4F4o#(gc2^QlVJAo!=>KyL4%{LnK$&~**~n@t=__RE}KvD{jhZ8N{0tFY7`yii~+QhmmOg# z?3oK^hJfFS)e2l~X_%=jY$ddObr<^;u<5Ac+0KY^Sy&{Mk33(v^-c*})nNP=u?xro zV?tCO+m-Hwh!~qsrAh{fl533=b|fvi9Q&~_xrn_k`LO4WymPqAon{01eSjpgcgb%J z5)vAD@H%3P9k-N}D%cpbi!B_x2Z+bR%$v|rrDRxgufZkQmGjPShK zeQcHeqEv=?R&Dka6iS}#F)VIX^W-d7onfFzO}{mXn})^&M-<6hg-SK1JPFIq4yRCj zabi2Ysxg&7J@3C;LCx^6t@osVN>$NF@xi;>u8}EdxAPUpCaKhe1dW7pD{QKr)Vg}pyhHZx^2 zvutLT4aWgit+A(lM$Uz+R5t4t+m!V<8%B{ZDYDFlQJ{*ln_^y)SJ<-o=4=>+hRyP1 z(q|&mLI{o?C2T>72ET4|%=i!Ry^ca@BbR$IKp=XTPEaC7fOsEB9 zI4S2cg`=L$#kFH)r}rbmA08DXGe^vMr*Xs+J@<54$Cuxf-R{?;N$mvNwWT-SqHQ)ahO5$?HFYrSV<$Xsx$ z+80BW_W2RcOXDgmEGOl(>|VcTKaopf7>drE5HNvn1ZH)mj%3`01x0V1x*Dn3wTp&5>=RHF9l8oRpJS0&2F@? zz7raDV>yHcuof?dWeun5HCT>6Pljm(j}iSeU{_TKxskzQ8ag4Sm8piO!$V+`$FM@7 zh#x%6w2}du;2iI%x~iatro%%Z)9Bj2TUu^fZcvd0O|CY%eJ) zW^lG?aF;}ZX0)g=9Udawto5`5xsM_*hHW_GGco+)uqR}YutY+wU3Y)_GMew4GU&w!}14gPZn2Ive zF(@irUZRGUF_A%0St>6PihA_4GJuLNk{4Upc%{scYZv7E026lD&G=xQP=4$VZ7>E(kC zUk!!@h2e^7a*g2&ZRYY`G0<>D$*smvMGL70w(Ql@P!BNH2J|c{`nh8`?KtF9AUuws zdX^JPS2EOjp<@~f`c`auYgtLOW>P0cR7)-egG#juV`vW5;ejkYzAB*Z+S)6A-~@&U zxG|8ehaOWbE2(Y)c7%SwN}YW2{glV#{q`THu= zLl1_QRNtie>Q$(R9x*Kq&{wJ+uBI=O-Zf+Vcn7lXFsAO;4dVEX*gH$@`B%jF$Jm1? z3|tT5YNET4b=AA+ny7YA<*3BZp(`9+6Wxid4NzLIMon}#vNk|%y&5&q9m(1Nfqyk> zqPvo{0TTae)I@hCa`{hEcfA@l(cOt0CY-E^vfW=s-Ni+MvKS9RJe(ccubo78k*bNx zSW0Nt>|?DV2a7k=>RB@`Xml2AzU-kECm8Rwn>`#gVv})L$7OgpQG%-hd4j3^Fu3oM z?t$>abRqi`vwYQNj}3Ifsnaa=U7LbIHBIeWNVlPzcK37NQ-M%6g>o@T9SGuHp-__j z)dX?D)1fSm0dZCqM?T+6#d-KZV%sVi00zQ%N^jR@Fc6f8+@rp-kYMf|sC`SZLG1P(qhe@C3%R`iZpAt61dvcugag?U%hw zg}q)FrJ)J-snt}|K~d7JAqo^Ksg|GTq29HJE+c@)$c!xf52~CB&lv-TR!X|FW{FHG zI~7ynbdtBEq-{w-lUk&fUrk3VCEpsNKq0NR9_ar1sDUHyY`w-Ll@jkPXA1GYtRmFT zrX>Y0UJY&6OkNEoZ5mu0gck0}K`7zQas%4N&^l^j5OQ6J;b8?%YC$`n=LePV$>};l z1crxL;cwkHRn`p&FbuS@c%lH+9sNm}|U)RA6%v8>=}7;3%7 zbX|~MKA{07fjF6*5{Qy$4N!ovxm#tK?w=BdlDDkI0+*5N6(!dz3Xb4Uj4w5&rt;8B z`!zrTLL!CD08{nTdWnJtr$My*qJ}BkL5a48CoqUO7O~3cZb~3ZJZKlD=X(AbMGA*7{KgM0$%UfhghD0EJ!-h}bk!0#Qf zTvY%QH4&S9N+3$&vsT}_nuyD5N+3$$R~101$z@cQUcR@g;7HlFf0YG))8VhD95g(E zVTg3eLEf5@at%*lkVXe`BrE|=?1|EoSsI!^aJ3L+#n*`~Pd&|~;U!=Q&rSzJNw|iW zfFTk-9SkLJS<{&;^s?$uFG*Ze@T4A=b7c|Zl$@)iO~VrytVen4fpoRg(Mm~O)+Dxr zAwBI>F!X|jtSNs7L%NRXV5rVq!xLH=3EXnt<_VfZIfK`gkgqFn8pN~%aJ@Q3!;!Kb ziNs8pjznq%uHgv`Qblj4B#`m+)VoNDhl2rq3`y2rOv**rtTr78C2ks=)Wd?%+%$PD zRPUX2_JakXg<^6LO1QJmcpzymtEBV+4(keDoKjkUOk53pP=f{+2cgyZf56 zy62l5gc9wn10XJA;EezD#F~mxTvaVS&Eft?Dn?cp2`9cE%GYKVr8@vn^iO9nl0Hc0;6> z$`ISw!B}huwHSu|jy@;rlm4AtWH0!^x|b6~V}?4`O5gaeEF_{KruG(b|JUu zw4GXyF+KJF`_rdSQ7j&zzE*YOzaeDPx+bNW&5WI!BG)nxZ&y*MMBLROQSdnY@)?T* z{-=a&7i89hr8+^Lo*e(tlfxD6N@U@VYo;&!yS{MOl6sC;{BhG4kJ4RJy5jY6foD*> zo)Q%w-1ON03sBfT!iaj7T~=32Uw(#~QHHy$bD6&UKZ{YHYEs!(;yq;X=HjXaTmp?N zt89hYn~oGGa-e-YebgFsS<^H<{u6gM)AlES;t3@c$W z)q#G)W9A&OfDqW`hyH7bbEx3wpZVZ__38ed4u+Bh)?t#_wgM`^On^By#fkBcCpmmzC~&K3yo`H$%{eLMc9K?mzjw z;p-24TuAp1Gvda@CG2xrx|%^34 zrcOTJO-A57Knov#D+9*ArNEje>_e?py+c(UX?sNs;f z0o`xkLM^l8EvwzK@cV8CEFF+RjTEctvt z11^{DC!YW}z{rx--^eJhl`IVJ8RVP$S1%FohFS*XZ-8OKn+;{9dmeFL&rlp$aI@Ms z^zNZHmx;@Qnp;!j~-l{QDVs!+;^=?Pa99i;gv7rytyl2tndBn|U;QZSeIKPpR?sqcMy_S(KST~{K&m%6253*>Q#edHu4&+Pm z5DotHDd%MTQj3T3-Rsm(Efs06hjaGrR~*>hwO>DuAHGG{R>ksoZo3=6WPMV@cXOJ& zErgwb_d>F#oVW1|y1^EMYPW|9x&-lYh%xfRsq*|7?#1Ot<;x$R6zn7m{Z7Yk^&;Zl zSL6s$ri_v+W>8;O3If+f#uBMkR+l-&?mi+E8(pELhrSN7g z!qzsH?6~35SpOW#+Uq^UhPyIW$n#1B?~aT&t@35kYH;T`TKA&kTE_Eki8b80u)G|u zmY`dFzm$)T`lqM$j!XOrCeHD$g{6%RWLQ^sEwH-Cpi{1__>>!~q38vq#5D#{@qOH$ z!HWw@Ed-A3t>@h+dOeTJw|S5Gm!svyh1JD3kRA_f9d6!00ZeKyV)$~j;S!Oyi_v`K z8Zqb|epto_=Z(Ufd-!1uAKWaIL|bp(CO*hUr0|8zpIhQ5$A^hetNi4AU5QT{_#`_l z;-8js{Inb*ZHTazR`|)ebc5MxKCSc9W>&6c(m~ct2jh~t(9rngND*}Q>-ZEXyi>zn z-pA(N4c#QUrG*Wl_E=4#^i^mMB@O|1C4KS86jhiqMSDi3nwOD|a`7@#?ZcFg2?C87 znW8RLkhqINl}xM?@lb$?O>v`%b+t;Qb=69g>*_^x>|;tuRg9fMXuolp z5|ggQh1~Ku!j*H02;Frzly>_t($zZj;AA&{Qwh6Ldm|5Tak04)I*@wUYA5@dwTtpL zY|1J)VpR}_&frEw&3Yr+da(4h8&Uq`q_cg$T-_<$h$=XoiT^Xc@*wBFn%7e6*rc=> z!Z%sFP)6BXP^d`!!N#&nCFNr=i;G#2z^Vk+B(RQvg8~%G`49BObG$x|CEiNbM=%~y zA0|uGN2>8Rr5d@feXzcstnB6LlM~&QZXm}4Y_wPdu{p+QASROvp$I%D_%qG1h2Qi=PC3MAX zQ@Or$pq3a>ue3aTLy*&2g2@aQc|kI=1+iFL5;+NC7g*DC>wwAu79L$I*IcgC?%-=o zri711RO<>F>8}H{X{1#$pQuY!FgapCb7l2Xu41m+eVDA6@Ykq{1=t*&2~dV;IURYBtdU?bs-ec= zws!A(E9X4o5^bqPn-#x$?+v^>Xb(1VGZN%z0EP%IwXOtR6R-Da#pQvBV7RZH>F<0!r-yOGcqzT?eN z#H}4HyE43aq?vDtT#{AHMk&N=;gmuzSqov|QMDkDL@f*^7US{i%v`4Z4pLZ9%L#!a#C7pTZviZLZk9j(t8}<7RSuwaQkvP6m1Z0gy5|FM> zL$Q&R6KCEvSmus7_2LwDjFG~H6dj4n=PWMMa;2Q3l>$z^Hxl^IJK$r;f)iJ3 zA<6kHbV*a5<0=fmGeIwP#OX=37DGh|-0gm|S=uYoseva0GpC2CN)%>1_B;+tu(O!TS9Tad4;vVB zeuS>wd?uY?py_+|nCF4ajEB6jU66AN3U~vv5j(|f2H9*`4n2l9*~DhITqkdaa&ef> zE^{!+(c{4kW?Sf`9Oqe>vg~4X|TLw^P^*EqZL}LOq zQf_40*ID+7TA=cl1}a-DBGlBdjnP23{OU9&4Tzv47Y}DC6PIH}@%D24qZimkFR(r; z*n=4uIV~Ci&_ozHxaksQm&z}q#6o53R&f`UHCNF>r1r{tI}+iqhb7g*QBkJEBBJo{ z%XUF_eSZdT7Dv+rm3q?(bGSW|U8X+ACta2t7Vu+8>5r_m2>q;O*M zQ9x9r2;I!5@j>*vxwkP2aZtwV1j|wyKF6ExNSP-)Z*gDCbT61ki*Uk=HbC8xFUxBVj^%yeP)>$snOI4(YVecoCFQox@08Gca;>^JYaD z8u+MH-wx^gV&}4*<7Y~lffQo#q_b@}StWGU?4E_$#1s|XJGkzQemy*A_>&l?<%7CK zPAhH_@FP;+KN||t-t&DcJ0|IXKY-&)!UkMM9X8!?EM%!&6=}@LY8I%;poT!R3?Jtb9)E*nFa$Tt0c#`IvCKuo**B$nLVqtIozx zJeR?-$_>1Vqs%A^zF&w?zCk8sg9vS7yqT;FQ|F+cs3e_u$#FK&PVoYGf9(FA( zuR5P&4A;OqEXvyvCg6JpZ)e)Y={{gUJKmIu~DMdM? zV#IvN=MnWDLA6)9vE+;(s(eMujHw$xnjOTNKlPFH_|`BCJjg?cPx3jH;FaBw6WSmDrGU-pnC7fyqS~BGUIh2}S841h=N|h={C7?yW7;MHsD0K<1n8q(Vt? zjcXu#1eO1l8O%ptYM3B3vzF!~%eOd(GMK?>R9TZ?{QV|_?*n{b(5O-h_Elg)8OMO5 z@MI_mS={2s=IefJ?xTX+B&z`D^#0cHDLWf|)wjJ{M^7YZw^>u@+1SOvB6NvYuvQu+HeM5RnFCzW)&t~fzH?IR3 ze&%{7Z!D1!R5zkG(>@Q>T+)lxK5n@0Rn1yj`-F828QMp#nY%LcgFV3rCK~cti-rx0 zfINiu7?E+v=Mfr%`X=;~R>x%#%Z-I6ly?FT3dffIliBhI7{{M$Yi(_< zZLwN)aS{awi=|iuG3}*i?cKG#UVUvj!NJK%K?f&6Hy0fRXF(ScL|mLY_ze^sbaC(l zxai=MTvE8wiP z{l~-WC9bjnx1X{}4C*Z)1~9-C6WObsYg7zju#%<1mb}u(x%T7d_aDwwPR!*RwpBK@ zE}Gzpk6!i<(5*Wu7Zv?0L72g5=1xyq0c27ltw~qC{q%EeC73tH5J?EkwQ}D_2|ya1 zVuW0U>1^F@&4--Gu^*KA4n;SjH~qxA|ikZQ#Pa`LNqnIweJPz0y#-L3iUI}+XaGZzE-j%6M7oIuPyrD`O=wDhgccA% zq#04*fpkJO^riu%2}ltJ->g|{X4cI8v+tjC&RX~E^L=~YGiuah^wJK?cN+Njv1a|x zcuV>}V+d$5gQM=a;aZEw@XhA_2_Q1~VUw)ljfft0@FJ@hA(FyFl_&Tiu z3~5g@G<>CYuycR;*Fc@@*i}7Uy`oFmOPNdQepVaQBD6=a)J$mBVDoh?k`JV0IY3vt zO~AE84_nHXIFQues2&NIi1iB0DDs>xl9JeKzCOaHoZEPPchz6Fq27}>*Ttt%!Dvpw z`j`F{QL)$V5)?kv-uohn$fkx9{eIOy1v?L{YwabSo zym`B{P@yXI>-yIg)!Zq*USw6rgB0cpUiT4nL#dE#JheJL;}x2IOhjhWIk2aU%e6*8Bgqj7pl{skPSAP z2Sh`N76;jop&1jNTcm6d;zB!|5LeR&u`L7>l&SJ%A9wuDHQXKxp1_q83yb7jX@FtC z-`x@8;JUkr3b5&?ndf9P5VX~1B-eh0)civ zgdaLOXwZZP|@T|e?dzIC)U`-S8x>zKy9W{-8*1KNF`7E!NtHVJ-WRv{tBW&)Z z&=Z@RKj0o?=ScDbWsffNh`_x|bpQT72Fx+4KNY=Sev*+SmpY%K;mum?u z7WH2*F#9|p8)PW@gRT#7q|qtBIRbqj;P86R5KY1+bZ(a=dcb=gMi zotAOgbYkpX-8CtA@p(WsC^=LD>|YI&;PEHHB-p!=7G4eke)z#KqrrIn_67Fx#s%N3=b}D6Tuc)5 z55ykJ^nIv()NYq3kj3d=_(G-EWfQ>}9d}y$I&ZlP%5;9?lDAv_~83 z2%=mzH`50@tB0@bK7SWd2iT<&1b%Xs#bfhbo~)VOeSdVaX{hE)+)e%Y`SggFK6O3D zIxhE>8O24Bc^0Xz3nuD~B|sIFA`k4lAr3goKEUjGSN!lhIy83(Mu^4>sbr%?LokZ8 z4M-&y%?N^#pur)PsZ$9XrlVr1h=K<=SHw zCSO@uK4PVdrOp!(3n-8%$0M*f5Tu{QNfI9>HerRgJ?aF)hp|my-~xL&caGIQ!!>sJ z#3^h#D|f|~lm^vQR;4BsER%4G})a`JbZM5uUHLVZU`SfItHM6fRgJIiiD2 zg$S!>S;>NR@TnBR>RDGxg8KjT^XA}#ys&wU5Gm%>KBNda-PBPEh(ICKSxgILBY4~F z9ysoc+GThD5Kcj~h3t{6>ZJ(+S{7c8iNXol&I>&1jb4B@kvrC$Ri;7pE2Q6GfhbWtWOp7l4(;#MdH5RWt5-W)<* zEwDqk9mJjN=}JS|dH1(-HW@oksgR`&SY265u$)#!T-_<90VXLG=9?Ayy-6G1Ct947 zzd04@W%|Zj@u93D{yZTj9)7u5=KUeUP@F%M#t-Qia5{rO!Kczrg0QAtvrsL!D_tLV zMxW30Eh!1$V3;1Jt!x5q;HY|ID<~gI@dFyvD6&AlDuoKt@3SPl&4N!~C=T%OhGXFc zc4+}T8!I;$5v0STdplIyyTi%ow=As%qM=7?hiq`sI3OB=mqqY9M<*iTiBy)rZMkZ} z%UVAS*hTvIEcS&bWPbGSA_;@VH!Fw@V~@j(cTMQtKwvt(7ImI(bDEK0j0o@YWHIJiWZtqX^ zxePH6cNe|8aFL<=`Y)1{9+u>_b7q6TdmwIr6>=%nNs8lsy3yDY;~zmhpS0NJV%1`+ zDLy5Uc@rG5C3|Y>jShf(8vQfCPoS>>MQQY_z!s{or0$xn&OW&S*F7QCmAI zhkszqrjVBnwZE(~T5NI@2qw^(0Gdd*2i~XCZGnX}`V#P-KraM{D7m@l<1xYwREcnP)%o?zcdbR$_&Gy;i#*)k3>2zfF#o00Xxq^n8A^68GrOs zc0XgC7q}1CwQ&79VRXo{3pK~=Qi%ekJl)?&zMu=Eq$0#(yU z_kkfBi|bnFrN*X~WksA96b>io^A}+(=3^sec)OYghTWgkf*0Ko^FYRHilrRpPW<#u ztxeiM)*+lGKBq1Us@{=Jo}Zq(uq5AmW^in1WH4?8inB09N#R&bnU**~I0}nnfiutI z1fl(BPgiZ0zL%=v%j;7lgY;9D=M!2wR1Y)QF#c*8rdn*W955!(oqpsJ_K`yMuA`enmz=>P4j|OD$~XYs8`=PX4fEY@5|&9S_VRcYS>f$xorE`qT_`r3Rc;=TIh=C#^RnYwYPQWrV4Fj=jd2Zgp8B;MlP5NL`=%X}GuPaP zDi4$nSTYjU$?(TyYz4 zrV)+^N3q~Gp#2VS{0>JvE(s@H?Wecx7S$vzOt~vA$-i-#(L6HdW>l?7V?r?JXrquy zb{YzT;h?efTCMvZx+1TL9ji?LlTWqEx!v0^Bx?hCueSx#y}M&8<`mjD`1oeRVN$h6 zVW}RMNCB8cWS+g~^DH{KSX2Hsh9`E-VCRd2Y*@n7!o8X{%4}KXkweb80_N%Tx4;cg zIUhX<?>8U4t|vw7%ywcSJE7NS|5oWREI_cg4*2?%fOKV%Lp{SmbyIbn0XEKO0)8mpO!b~ zRq4BpM|D3M3+tAr=dLcvJ1A_{I{8D?8~Fi!4N5vFzYlx-HQy_&XW!E|LB}5*{40@x zk^TS29z;4Fut=jLf$=nY5`ZVtkKzRWBJCX@K`I*9etmNs?O2|6!`GBua}`A1-;Xd-G*5|IOsiI^s@C* zX4?qx50YWz8wV1VvDeTVxq|7o#HaDxXeC<4|J~gMb_Xo2(AQZu`v%%E9cdf^zjnw| zthn9h?{Wo)7HKCvU==NtokErPnm#Il{iWjiA0x#G3u`V{Qgv&L=Ugn`UK#D*Q0@Ty!1%MPTwCnqp?Cb!DR{3$&ezv8|g?c`8cl-c{T^YIVc-YDRRY?ah^=cz6WZt9Jpz)S)( zj#~xD`3O^FEqe;9=Ul0SDIVz_B{={g%_LzKYX&I`%N8<15@xe*CS|dNFi0tYbsH%Q z{Jnr;J=`GQKh?bR&)~~77nrx=jel*z#n3JMYm?4LB_$o494vR-5%s`r6-o?95=xl` z-PWYU06*0!$-r$@$}Z@q21N)o0HtsMKUFCGz(BXKmq=Ww7ju{4aoOwuxVU%>j%kE$Y$7fMfYC*8CbE&WFVDDuL0A;h;&8pOaYOu34BYZ=K&%_dKI8UpvwRv zX>>k7C!HPz%oFLGKrLF%J!$cHLu~9N!nGwADK)0JcjjRofflRe@!g~!qBS-^sj3qy z&0uj-#_yb?i9ssWX;&Z^N!lu;Qj69A!JMGULMr)bAP5#CM|%dTyhM8l!5pJ;K`Nza z&IA`hx3&H&_tj{(AQ%#s$|f(&Debd!hzMNwAzY<2~QfV&+E^@DECQy=?RRESNA4eb<&O*#PKY4mhp zK8=p=x|PTUSj5b$Ly%Y)g2{44Wa zR!a8vqy81blHRNwgOPJscD%>Kj-1ANAO-=3D}o3vMj_sV8NP@Gpx6!Z9>j1%pjnE2 z5y4=F4+0GoyCZ@D-5knq-g&LiDhTa41fxv*Log|-Z`I)hQl;g9_y*z(b_31Ih&Y8Gt9<@_)1ia6^rmmH{@9unGkUjCETgru~3* z0(}ASBhVuNdpJ`A_a2UVfV*glI&23Z8d|grEJTBI!X8iHanghvzMhGR3kJs2DZ?Q5 ze#>J_8DM+)dfZ_@a0*{q^KmR@r~6kw8w%1l$N^-h(c6JOBE1F3CeV)ned%;#z>z?Y z11!AcQ0K=P57<|tU~rah8w=RyE>MFeg#yY~p-_PaRf;SKqD46kNSmT;aeRmCAD;-v z6OK3?!|(7-7~=`Yoec0N*j4UW+;Z5S(Gj?8jNbuGoP*1Ro@4h^qa*^ka1HJQ5-4{^AS&SL0BV#r6BDKWJB&?u$R}M zJ&jiFORf7bmoyu|LzQY7eCfzUYe$s0?CfBV+igW-8_5hdNA=4kxv~wr+-+a~7#pw-%165RMpqN)5(RsKZV0cgWR^7ej6HCi4sW zC)dTlY;*T3K;a!7Ni{BI2CcgRd$u*d(lvh9q=eS5-k8^rY7owmkI;afzw&vod_xXv z-}9T8*RARC@W2cSC3o)|iX!`je43|ncElSN$$aW+1$yn@@48+}K2z+V2E&j=Vb9)* z8hBqHRvNKv`c%PrkHxLl^O>zUimT>Lo`v~Uy*v%m0&PG6jgST;)hT&EiYny+kU*sO z0(yBj#$f3$HO&FtGxlxdppJl>^>WZ;Gd=EP2i2wC*u~R6H}^SW_G0hqd;V%I`8K25 z7#lEO@J_Ncx@b~Irb0qg5?nzOo(5q>vr+GargPVHl_i&EHm-+`?%l$#3aBY1=m!jW z2D~|UZlNSaLyBCPC_j^^^iTEK&$qS_M*X?xTFQlTS>;>$)qj_D-OUhFypqtFtN5X` zUOYbW!|{a4fW2JZ=vLR)p5$sx{pl@^i`$WZ8uM4f1x^d{D=nxsU3i|wbD`DH9y?S0 z+VT%~YDb~e_m?_;F)wC?iw&o;#`45@@xFOznoob&OOW5>URXx5_} z#|nenmVFhKagqWhQ0{bzx+}8Rjb;$A z9-DJ1)NOJ^yv9~Jdgy6-Zb19h85X?cdn{xKi+a*%oJjozL?#uw-!;yH8-V{0b{?JcYt zPB>4-%zux~U1JW7eI1M|incDOgi_yP-AV_fL&q3MMdD^bz&Hi zahlXz*ka0Ug*1d#iT`q27bx`Xd6UU}u&f8aXE<3D2Ja8ou=CalQ!HE#JGiJ(7+!F~ z86$kxKy{bQKa6;H0a2f?Z6M>E|MF(&Sl!#EaNOJ3DXS|Q4z4^p z23$AB&EwZ4z4w(CQD5#nO-+?jj2bTptlnhvy?km#7`Oz>Dfz0jfN=ZV(-*?^iPj!bi{`gkQ17> z8eM$xFYu+35?BsrVM9J8C23U)vGi=EyP_NiFXclUE=yKIYg{9L9TSTmd~>kBQ7@|g zB8x-pSrpf7&ydBp)|;QtEew|h*1Q#r+z(zns*w=jV;y_bLZiB#H1k^rNe;bb4|g~? z;bTh>)U5Odp(9pCJhh2(%ucCq zr4ie2HX{ml6n@*qG%Gy6wf)^EZr`Rf(@&S$Ep-DXcluJ`O8Yo#bN@_o#I`7N=0;wR z9I|gi1DSqFg)9LlS zn6}EjiH8XRQM$SMHOSV+;f(pyd<*Qt5R{o9J6D|Mc2 z$KE!OdsAEQwI5US8V{?V-f1T9eFz~FC2r*IC0|H^D8;XJc7D$u1c&3dcsIM}Vd_S) zwGY>7HG?DW?ArbqnfmG9)l0VLdfug5n_8+@;QM}0cA2sBT`3Q}+O^YU+aa*}cK%}N zzKJ(*m-EPOd???Z6# zt=YC%ZX$!tX1s+KL8vYgn%L}_5A3Uk7mNbt+N5G zGBeZF;!;;EDPV0b6Y+5V+rj%UE6ko=d-o2?YAAqx%E`rj_F6LE32s&iwwPELT|oJZ>6#cz$?6FPmXhCd{xtIO9)#$ zbHar*KE9K2X%5O*%T)BTygfnt7yZ}Hx>9-f07q9%*i596njoP`lwQjLMpOMW}~ zK99Qb&GoNX?T;?K6(N5z|8sR&MECk$w)M@${v56b?8kM1R&rZ3+1f>7>O%jpK+|#- z_{%!*PUfj9G00a0~Dpv#XSTvVTWw1(Z>|Hzyn|Y}`axJ_@5UvB9^UDka^C!f2JaPF#>UfQE+?uy> zjXrI@Yt(`WsHj{OUpf`7q*mkU#y6%Z_33fYMG@V5am_>T|G1yrbr=A@xCM5qbmz3V z@6v_cX3sR#^fa88t{{9d2^;2(bbI+-^;?iEr_DYmw_s+~YX=vXZnb>w=e;h4*S=ho zJsS9dt2gd!@NNuQ_}qEd*ZQhiv4Fuj&~97&=XV(>v&*?^kM7?NSCg?cc~Z=)i(xZU zoS(>WF-gqpg9+K*Ur%+&81;M1& delta 7686 zcmai&cTf{;+x9m^ilQJWNJnoZ^iJrYqJTgG7^(z95CusvAky2$ic-Z;gMvr^L+3_1 zh9X6&0-;I?peR9#AVolVNqDz;w#=DH;?y1N`T5 zI`rS_D)rwQ0{Q-&y;00$z0-@7cKpm`zu?3EhmdQGmA)3qU~Xm3v$adJrNOlg=+m_q zxmH?EoNl|k;5M`JVw$X-vd!kAqf>Y;d-=t3hOhl*SrOhV*zMWZP>iV5)XVCOH?;2c zZ?a}_Ra>=!;3a2U2k!o#@Ib}<-rJ?G;>)6v*FPDB2n(Bbbl!g6zEduC3wJEHOv~L= zYP}pgTYK?abkWsLg-)$MOUa1}qI+sBj)5hc@5dUy3AZoF*!<{BGVndIe&1-~$^3xt z^U=q<5%cgX@gbARuN8|D+A5EAUmY=W>AxITa`91BYg7XmP@SF>nb)rMD#j~z9Ik$@ zPuf}8K+8d^bo1uLTjJn5XTBW6SCLf_Hw;8I3SFJVBO^cgys?YU{=!G02468Tz)U0-#ZQp@z~1e6qWJ+#dhX|kD7u}?v)NGCaFy@KIOP%K=&&6s_eAq0 z*yf4mZP+k7_8Msyf_-cr2t1W$q7{-8$FfNpl)KWk5Mp(LZ&H#Y)*)JrhF5y78fvVpT++xES*NX zL@PkL#R7^qQ2h`CcT_A?(HmtA`Kdvb22zuhdlgF7NkF1`+Cqv$sQf~^Az?Fqo_k^Q z^&;MLvKWt&WGo1hoO!V9q%cs3ae?G4fxWRX=`Fc?nN>b--}w6uVz0tCX)(T3TmNjF z(=YBJ*iZH-+QE0i{Wk8}EZUv4Al&e5?nRJe3fdT*wZFe&<(o0yHhm*# zKKDUCjfG8c!m$yhyrV6GxscADVs%RxAl36T{E;SZxf?#V#J3d7x|U1E;kF4lFGl%V z%0Dh#$7+UXgH%fpqFlPmPx>_HX-29)#AG8)K(I2T`s0lK%O+nuKJ)i%?M&qAO0PZ* z-FeSvfoV%D*oZzMSw@ky;A?0_Rg>`S6QF>}C8gxa3ygJAtROnQlr8o#eN+XZ zP3`~@=fG_lDpuWBFr;*7CMhZDda(3(*t{pNMyp0eV1n>$7SKSZj{{%HbOO+DQ(h^L zr|!1cLZD!^@~a`Go}wp7PuWvKm*XH3Y>e(1>uuTxi%%l$k2U^r7sE;Sg z5i*HIp#h(pD3Txl88DZc4&2`;KGCfavq@KKbP+}4S!+bSQL`~0K;OQTwk4nst-u0QsEE>`bpb-%=K-yNncbEP)wno+>Zo!Gw5AFcP9Nlz?(@w z0(5)Jo9f8-D?@kA*9U;|ng+qZsqDalHq{ZpjGk=a?UAm?ec#)tocPd4U) zktWUtebFW3y<9VFA!yqxn9zm{ZY&Q;gljD;|5=$X#1l>phD>Wy#{o|@Y8t>{={V&! z1%V|gFP9~YN*YJ{?2-@TIEF^efb2|q958hAh#Pbxbtdgx`-oRS{lmOub%}G{_N$Nc zJ9|G*I8xf@PmS$%#req-@}#FUcBe~!w%i{lTm5Lj z-YSse+fCFt{tj6Z`MBFM0(YpnpB&R=$;E}iqhfVV2_HKa~T zMm~A>GJ%UPj_=Jsb|tX$==v_Xt9?e}H<5XAOXHde@8oM*9K!XcM2f`dg*&^#+EOfG zb`LL?!*hgZWr>o=LaFm!BoPi(IzFkpxHp1QQZJtktzYIM;gMB5hc=H2zK0{%YE z4@LZdVCoZn5rqoPb}(>LsreMy!gi$6TP)f>iw$i%xG0!EX>7PJqMd!yEh*JLivvBB z!577|Mm0xrtFnxTW9|R)o&5KsHU7WkL->g+gAb1?=ZohX2r;A=2lpJwqlz|_8MNu> zFvmR$dNFW^Ob-X3NE{Eb4#B)dtU=&{h`;i{qLE+004Bw1VR&cjG-<}Gl3=qzrf1qL ze|U8*vor3<6RBJk@+!{;cFEUiSZO$Z$4lsM%N5)3J7F26{y4(Xtv2izI*?DFp$Hyw z`ah2J%^jrz7?jAZdz}`(QFD6e)tGafRn5rO=$+b7FV|F9MzIckR}4xCP(C@XBWy_69) zr%noivv!!`z&>UN+Nx<&bl$A}?m&*!C$T=z-@|ayr#MnNhtM$+==net;&Tl(3U$Gw zMA`PIC=@P<$|Df9T(bbfc1t)4Gd51Wd-jL z3Q3QXaTJ+{qR!*QqU$R-tG6gDqDs=PulD%m*<~eW&a)O8>k{sPchhJc z0<6@ikpPb>)fQrNF@N>RLiT-7}J zLnT!&{51(yBeZ9Ye|JMwBJ*hZM)Ult2bzW4s=Z@QRwBWxi^6_6N>B#bA|X-<JwDI0OW3NOZM9o{P_J9A<7J!~8vRRpn#6;!OSZ^s$`OWpYAJYF zsQtvloNwmwUARiDeNDNn3dQ<+3ixVUmL^e9^xRnxZ8>CbWyrCXY_0??ugxDE8M+Nm zxVZMaeDmxJ!kHaGTB7q2?byG&lW6U+bXBAEe^Eb!z5%F^>8$`a*$yN^V8bV{1Y{NI z$wN_ZCF^;en>*^&7*@w_3i1E@WVQ>{mzWv)S0dn}GLI#sMr$afK7)=2R@3PbKxHN! z4ftl#U4T33^z+1R1kQ?h70GlZ@@fjqOnhEh;@lcN`wB46bbeZ+UkytteY1J5aj~_q zQF3mNB& zC`mLmk2rchu!SJpQEqGzc$6($0G#Rz&@`wY02rKl0eF|kq7fCMciFLIB?uSsdyI&eC(r`vc;)Uw}4w90;frgn8oklxNS7#>N37%ZoCilnSHvuUOJa7wX z5yhW6)1=uhe|_D$;9o6ZU7#jO)B`ZJaO{l_vJuxDKD*G0T8G)s+k2ntsJ}ZZSy6~~ z>iRl3;kYo&=k{VzzTlBNUe@)Coec2_k|{$xL;k09glT%p2YIOg%>`zyYU5@zTP=j7 z+K@(<{{ry&Ni>NCcFwhU^Fa3WK?;q1XONN)q<2whY&)$K7-xD5g~qWnM#+by_fd>E zckFC`h%Tm{Ge^dj2rmY3cSXmX^sjrhFH`%=X3wI_`k!jtR4*4N_tU1oGf0moY8Bdu zM^&=*c%xP!;89JgF0i0Zt$|FdQ^z3-ELR6G+EfCt;VnN6-5;Bqfi~VPar_p*9XlxW zTCr=t_UidPi`aGfYK)fDbJ6pEF28#XK!M#%`aOU?v zdd4Ou;?|(VZUj!3D1czP6FHH%P+|&_d4{-$z)2JB5zHuJI1*<>{qCNr#C&D!a zj->A6zkVkW^^v$hVii2)^IL9)7Ri|#E6xh_>oV>&&`BJsh|Nddb@LCnCPBngil(8( zoK->xT*YGx73r}m&qqF&Aufis*XHq-5n4wAorX)Q>MQtYS~&_4CeC86i6wZ}zG`7D z(CPH}2e(u5AJwQl3nxy&1vD&zj?A>TOCnzdRqzT2 z@>KWzg=^ddyLLE^l>kh1PocfYd@GRhH1ppl7)MDH!i>`-Jzho#X+jk1M$$XRI7yn| zWB?>R0mdcLge2oUN$)V@5NU#!p^B_{qW+6{S|1c<$ddGg7?Na*E=f+mxcs?N#Xlk% znAf{cfoSZKA1m54s2{Q)PcfTc;9?C0{(&k!hSyAYxX!aYh>i6u6Y7_4E`Hdr*j${) z@3FZ!)bF|ZZzuyx(i34^C+VHUo+If&7-va($FU$ukB^~A(gU!*^{?9PC0ctQ4}-1p z+4o9pS+XrMh|w0=+Zer2J3bz_cGTzhP@JC%ktH7l@eTrKO>{*veTa9EIBlXUf~iQ1 zMc{&o%SdJfksFDVA&MiIY(z^W&Ww0VQ()Axx^Aj9%#}3@uyng5Ky!X`@Y~UMvbgAP zs>S@*A=t(SDWw2d>ujsG;}CVwI^xMv-&U5t0Dlh#H_8)CD7@$vJ zO-S{p7*WVPdki~PngrIf{DR~xj147)9cQ?coFQ0UWYXBI+iO>jwYvd}eFi4A@aCRD z`_-?K55p#ZRw>e1WKrMwUvmM;nIC(b6voETWgSa&XP;MOFMn)qcxdi&u7~K2B5^kW!7gYu{=1?geGr)ox zbrdqKN&WwN16<(YGfDMn_K+EhCp6u}*8FuE@VQA!ZObv3$U1=dvwNKBF*J&>(MYEm zvF-FwJP)OhP>k4jCMo$KG`)jj1lgIO=Fm-bDj$%Gz_Ag7U~qnHoOu=JO%GHq#2+O61wXX~u>5v#Rkjz;Pn7x6 z`HDHJ2q#vFbG&?;|7zX;jy?yLVqOJJKW{77dIgX|6duMJlXis}W+W!p z$NwBR!Kqe&Azb=k@BAO(1E+Zz;vd$igU(h4NKCM-({E=-Nmv?e6!U#5;u7*(_dwPc zEa#EB60fj%4i^gBE1PUx8vU`ksj>-YrwPI%KV;&qt}558?cRvCO#i_`VUa}8xL8z+4~PueA;Ln1Y*jdt_+N>vEBN=EsP!y%8B zQy)~C9JTQ+qwzaot7*Gr5!rX->-fVNVp)_?bTnN6?p5Zwhq73EM!ZdBxF)G>=fZ3SCN7c7Fk{W1Jy9l+;5`6O>(u0Rd$R`T0yaROW{9gaKsjae!RzAiUdH zsel^5yz=^IjCUI5IaF(-J~}zMcrri$xne@(-bmFbfRo|-OU*}8WUCty1 zTEo9~f59A+nR%X|hS;c;EL-6LJ>;A~>fx|2;G zTx^tY|BtK;_}XnuGfL&3>vJtPT=T=JJp0JU0n~dL{a~_ zg2fr57q_#veS^OUURz1*{H!VMDP@z~;p`i8%b;i)?(RFRKcx6oFe$pOUr^%H6O+!M zYZVnQc1S9s=HSeGDVqDYBm>a*xorjCX02+!&2O(K^U`V{jk2 zg$wIK$4Aybl!;h}Qbc%zVg!R*${O+uBjByAy!`{G%)Sg)yiF?;c05*L zh4;qX=yoXq&DI<1%AB<}`@ihpm9xD%;x;|-=R^=0EwLS9Y%|zi7aa07lyLHEXu_!2 z&%h1*YbBG|QM>K%RcegF5&dEOcQJ+9o8#5F@M7B1Z)bSn54-Jz7yVz-KRj6H8b`g+ zDPzKi`Q#64Jbci#v5=xP4NX=$Rd%HChX{J?NlJ-OA}qCtPYdjiui`0mF9_`k?b|aM zm_roljy&%&ZdWez=IhV?;0OmvqT*-Rg4Ibz;t3#UP3lZ_<>RxEKZrG>jd;iXm z?EUm0`}S+=PZnukUEUR4%v<;oaV4ZzHWB*el$7b2`M|~rWc_9TUSTGAt%kE@y4LN! ziNt{*sDDh-iO_gN1@*b4Nq#O(r3JO$>w*8EVjvmB^NjP(jQ*IMX@4?b!Zz3HiH9n} zT%+q!Xal$Bv$|Rd<>B=PM;d-On;z5#^%r(F;K`ytf=UuQwp*_ePQ(`s*e(TKjXj`v z&U+BP>(Mgjf87Xe3kj{FUFzR3a~Cw>*?O9k4pQTK%ucDt-3Q;N7`UBIbQYPaFbaDT z*MT1Z4|Xwe!e;&VuY&XF|QWSW_}@Br!XDtqUp(fhR4fBoBKH}4KiwZ_E`{JQ>NUfUw~)QDNIY6TZKZid~>X>dqn6-pKc6RBPVXJF*y@HJAowuO5r_xMoiV`to)A1{N z!$qe@&A8*b@>-1Rt|gQvqBccG*YQUK_T|9n+&O!(ufzEP?wlUK5nn2=xc&)_5EMC@ z5~_vM(eQ12X4}-Q+qhm^PP0&}z80{5b9!+92f|@Wv6R|5xvX6XUTK&~9uU$V6%2m% z)-v}XS;Oh(WRAbmxe!LK%bEVyi7WR1`UOS4*~h#qfGYa9)d%?t%gx=owD6;2cptwA zS_Vd;B@9%a{rjL;rSRtsf3eC3h>04^YAsDo9#ocKdUg)Vg3<|jhb?m(D>Zh%Ry9w5 zj=XR9^TuG@z#QS_erd0`cInp`)mtt)?^i}u zINZ6^_&qeC2p%)wA1`mToH{S9UaDjUc6dpe{-U2qT-Az0aAnHXu5NuQ=Hy+Q!<6H%EmTb+e-;gX^WGd_ZeOOqX)2sL-~@n!gAhnWa+B|n z&GF9uTRF&~H{Rx-o<5Q^Ir^CT^0Mk&hss*=PV}Ugg>+#j7k9~VwgGmIvu$=TCs63P z&(T9q;-4Je;XcmKZG7)7>Qs8|YKG2jcBgtB+TP^$%G>au7h=0Er|aQS+mwr!hI~x- zMuMT+jWH!#xjYSzAC1Y|eVOUd6>qk^24yZf!I47mWJVR^fBgR4=_Mh7_i3KM^^!lD z&dOiE@9-Y}58&Tx-dCj1E*bLthUk0nhh3*@_pH>Lw)X|hL2FIJ1Kvq3ou}2b#BW&_ zAF9koh9p${M$TyY91rW#QaO7;^rd1rCUt6RGpfbbYtLHwcwM30!rt~LNt?JBpZ75W zBeP=3*pp3>_f9uQ**N=kd^;5GTijG@q?4*~tKpThiI$q4c=ySRx&I0mU{cLOQ@}&K zZ9>C2#J;G3`uzZtV_zXn8Eo$YQlM!4luTKzamO{f$&}sx^OZ~S3F}SoGR-93z3ViU z&k^=Qkw4~@eY#Vu_U=so&PbcZkMj6Um-&C9`}_kg?2d=ph6B7^HxzZg62HIiSUM(F z(6yg}QY4 zIZMo#SeuEAuDdA)=^v0Er4r5t*Ef6<^tG|(`K>#j!DXC&wv|TcaG$VJgNBG|G}m^E zr?5Q~`zQ*{u7~6&t4S%U|0u91N|C33LApdlMPx$P4bSt+Uyf)HX}Ch!RoQ*Y&*d6E Sx;$5TcOI~FSQq`Pr2hfHXuzic diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index f4d4554..4a1fa13 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Mon Sep 02 21:03:24 2019 +Creation_Time = Fri Sep 06 16:48:57 2019 diff --git a/cpld/db/GR8RAM.eco.cdb b/cpld/db/GR8RAM.eco.cdb index 41a35fa333aca9a31fccc24b17f608fe9d18b31d..1d5bd0c172fbc7d8b3f5ed9f1e615da457e43462 100755 GIT binary patch delta 13 Ucmcb>ae-rl8Ve)GWOWuz03O)`djJ3c delta 13 Ucmcb>ae-rl8Vlo#$?7bc03$gBTL1t6 diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 5dfa555..fc3f911 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,3 +1,3 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567534157574 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567534157576 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567534157800 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:09:17 2019 " "Processing ended: Tue Sep 03 14:09:17 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567534157800 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567534157800 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567534157800 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567534157800 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567734307336 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567734307352 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567734307977 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 21:45:07 2019 " "Processing ended: Thu Sep 05 21:45:07 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567734307977 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567734307977 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567734307977 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567734307977 ""} diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 1acc435513cee01ce36a3663adef3e2d0a712009..2133c977f39bfae20b9c2d67fe87e2b4a38e0781 100755 GIT binary patch literal 2146 zcmV-o2%Yz=4*>uG0001Zob6g!Z`()`ekZX1LBPE1KG@TDvmY6YwzVTuT2XSw`$F_- z3sEFTM`nXe{`)pb9dt-}WG8mui4g~^E><6`>i)iBQU6)V`Es>rbviAKj?{P=T-y0! zJe#&4J_g6I^=37mm=?mQ1=z0;{fYp@t<=sxj#1wd{L-4tuE#o?-R6(p`W!@~VK4I**&sgYoh6-Nr+oA;-c-wl%@%gL@P7Je^Ogz4_y_&vrmh=X3b{P*k-QL-D z#*4hN%?VOos3xm~EE#4n}S>#x^C0RUTrq?a@rAqonY-HfddmA=V?^*Q*n(7l&> zKJ$M3u(DHagCc@v7stu%`%KSPxr3U632VWGn_w0Z8c+Ads512N(zpOnDFH+-RGK2d zv=oF0i3DO6DWiUzy8#k9$Z$_u5 z@u2i<^+sX2?wFoL?YKT(p}sp}Y1ugD&br&b$li9^!}EXy{m45h9>#-gl>Cd% zcE|6IVrPu{_n2Mu+N16$^+&pH(~eIIo9Hs?j{MxoD2?0q*rf;B^?QS1HtM%?T_O8j zmgI}Q>;SG zH_XnWVVw0x>3Q}hI{7URW`njevOhU-5~LT=V7SjA(HkF3fACMbgM7SZEAP=PiQndD zJI!P2&vU%T^yJO&oost6U*CBSD9y%S%0pnX+nI;v&%r`Z=D`sRaPR@IuV{UR>nkX) zdNR}RHsZU?PD@#C2l!Gzp`NTvc|!f@`u(k*O&7~!uMeCh${8mqh5&~IVW@=AOfYAW z#Xbz>iGJ^or!6`tWv~skz|tv>5fGR#BrJ6bKu8%YI!I%(#Yz<&AR&@t#UKcwL=s?1 ziE~tHO>O9B4CM7>rsM=-P}no4Dda#BPNiRo13+VEI73`vU@$BuFjmh@xgZFPWI~31 zVN?La80W%r2?=r>a{&T5S#B^XTP){tIsRYK5nE^!LBIjY{7OOzDWZ;8=O8gu-37C} zgQnV9D?OAsBozY+6N(*^N@;==fq`-P{lXSF2swm|a%~8*mWKp$M=%jYFo4N=a32Oa zEh8bg^B%R>Stqm=PTPDbAS9F;Y9u`r+1I{rMs`#~cJu&b--IEMLB=rE?2xfJhjoSO{dP(frxbJDdlWr+B zxQh4TR{@fpe%$RO@m^&180hKvzaBaIv0owGV>XD-I;mI2?JUZ{sJ(Z zGibiR9F9)XEm6UJQ1m1?{2(gGSNis<@dbe9cQZP03iR2#V3K-C7UHrBLZlh84Lo zzADCtrOYZat;nPzr8_M5u}0CbQYQ9H~lB1p;a;2o(r>k^o#8$DMM} zBdoTD<@-p_*3@Pp6#_emp{GN}Lxv0kD8x=FDj~)XW-8A-i<_}4@ttbUxKDjOF&I_M z;&d1?>+w@kq)ebb-O9znxx$(t|0?4U!3Gl!9Y@3&2CYO;0;_~8SZ&Q_cj=ZHhanW9 zB@P;GkqV9TS+`TtN$cz(G_rO|Glk$9h2R4zWaI0CJ~RRb80C-_nggMX1prYhI2MRn z_;Ozi{urC(&0c!@irV%PTaj&VNzJ#SQFk9S9>4=nmybV)2Yw{psS4hy3f`#--l+=S zsS4hy3f`#--l=E8(>3}41a)>}HX{$IArCnec`C~-$`U{dij9u}=UO0$4Aua1VtgA>wI_MK zKXFZbs>~cH4y84R(x1km>(iQxY$wv4NOmILiD)On zow$n*-%4yN(XB+b65dK^E5S#^c$4ik2bk3Y%np6s+hkFBbp1Q402UJNj24PntAMoH zDP)DR0*mJ8mPGi?Z1f<4c?k)^*Sk^gHc%Dkx4XdO{GA=~ZTap4f&LJfS!cP;Taeeb zAU_miHraEr1vS@_go*&B2@D|sR)kn##UT;`@y7s6JMR0tP1y3IbCpUeQOP7Ki9{uj zsH72pzo#;I(>ItDf!Su7~l~A)NKnYiCbaE_;d+*;AFpev0xJ zZ1`Eup}))dp7ol2hw}v%z+nA*I6U-&_{(?c_pEz8PqpiQa;<-vg0G*Z9{p+RrzY3c zbZ)P+I}}@0(YlJ%Rk*G~brr0uK$Vl9>Fd}l-9Izk-&<>g^>1D9;E!G3tJ=1Yl^#=V Yd$NuDEcMa+2apr*o8TY+2P49!vG?yTvH$=8 literal 2611 zcmV-33e5Ga4*>uG0001Zob6oQbK*D>e|OdW4^-{T-9xf!ec09`2~NT-F&u`>=3ZQq zEG5)1kl|zYre^nlKiLovW1N9}&18p~OwpFxQlplC-Tkx7f97H`n@?-aX3eKZCxe-H zr6<$DcvJ&&jEmQO4m9C_B{+U@#ymKhH*ArN2_ zO2shpmEe?efjRayk(m2lU^|#k^(4I$6Y)XY>uO)TdcW6BPwU<8b$WVHZ^lVJrRzqo zpLD(EdED+sORbas*;&+0ifMOG6q?Hmlhb-5D)(13Z}zy*+}h__yVbc&-!&V(3y*r8 zx}Bt`7j@Hq{9D|97q2uL&2DtsYue%Zod?t|+l_v!pV*~P)@ej%g--pd-s;=2<9-q~ z9?(m6)a$gny|mwHFnLxqTPS_`E{-uf_xaGovhP}{qEkJ?k7 zV#;VO>>X;bkUo-xGGcrorJ`6;@WjnW`q9~7ZMGbq!<|_d>w|N0EU^N+8Cf2llSQH!9sy9E|4-KI#iqpBLjjF zC)CGWGb0fI^Znuik!9(0E{h9*QV|g80}4T4fe2&N7$%frc0h`kBaEgqF`5-u@W9x< zH8I+7rMXc$w+Drkv5aMc6<1_hjkASGfHL885n9t2N15*j0oQ>5icLTQj=ap|lUe8@ z0}ufCEKnK6S_dH|fuV$Q%6&wrr#{>j#uVB{Q)x0I7y(4Atpp^u)60FMu_pG0$X)qC zsL42;>W_0hQraspJ=;X~<$P>Mc3eVsya%%1Pj!y$Vc=&{V$6hHBmrT*5q23+szb~X zQQ3B6U!!LD033FsPB%)TxL035XPj67-U?=AoUJ#i^xAQhHsememo}3e?2=wR?s?Zy z6@C>U+3ZBEW*k)_v&F#XW%IulIXaQGkX5L4qw{8B8>2>A&%vls*d7{QE{xj*)a6o4C!^bn3*JH! z@ip*I^mIO)1qfD9)NqW3>%r42@yE5@5s!NsUs6d#*Pe*?DQ{- z{$U~ej?5gHIx=x&?8vZ?)nMc_76ajJ;cee&H5`xM=g77Q2Z)0roHCAyg?SXn%mhXn zu(3hu%=hHyJ29OaQ)pA1q0nGTGC~6|0j4JNK?@85X~|6<38%LMvjBLe0za_1uZ;?s zBnAi=6^6dH04f-kS-z&#cp3UMFwP4l8xZ^;^l|1R91*mSJXW)@`IPLL0qH7+D&!J%o@_2q8O$ko)gfgb#&LhB9fe;wnH~W*Q;P7!L@? zOvBqP4E`8ov)jt>_El)B3~WWVy$WjfsNQc?LE{c_;N|l1z2d<4#5?KWopkU{I(R1? zyps;zNeAzwgLm?k@N|iN#FKaFcs^UoH*5JBa8RUbI+AmnzAnlFB9u`ikiM@i{xBJG zTeACBmD|dlM>aJ38StPR+XJhX&7bXVkYzFR>{Ai){QtPg@faSS*k3XyfxW+bBTY^hfZnxu&S}F&vo0kh=IvAm~$DcZ(tTdtQr(!{%3&_R!)he(!zp)}-HQ(I@W|m3r_9YmU zmSC_e#@r{*#S&D35;T+)`HDga2x%S?Nn`++a~wPdU>Z@!p52Bm-#b@vQVA!Ma1se8 zk8simCyQ{Bh&=+vgPsgao(x~xlT~2y7*C?d%+NOpHeQ+}{+$RmOb!g&fnj?(!xrBC z{TMdx;%?|3JRRRR03L53JVw=#V8bNXun9Jdf(@%+!z|da3pNac&G9x2gAKzf8AI;K z;d52KZt08N+84V+ZxqO`9pD-Qt|j1_0=&K6L4M+Z8vwg=Ys39RkQ z5w$Nt&K?Na{R!EJxh2@LOKj(DV$a1cRn<5LcFARzmWx@fNy|#k2|F@Wa8cNi!I9rA zM+N0=F|g~tm}lcQD(m&fkQ;{r=P?D2Q&%`u#be1hCxp0lja$~Zgq<_EThq8Dja$*U z1&v$JxaEvn&A7#kTgyC(DEuZ32IXA>un@mQtGe)^>e`{|`c|n`RbK5@ zQtf%C?YXF*UQ(6!7QxP&i=ORqaaB6=qm@)|wZ!?MOOW#BC)j!S(=(SK!Jz^|EI}>5&t%*O;GtsKpwO`!YC1xF-h*m(^ zn|dO;?eWjvPcpwZaD3~{2`F!dg`Ic9KI6L+RX67wd>IAGTW4X{y|ZtBN}ux`l-GIl z_^e{jaC|dR>G&@H{kHo^VKq_< zpzZUxS%9eh`|IAdRgS%xgw{pJpFCUYVn1XguoZ7;3=2U}p{Nc`0EjAKAwIuBtS?7m zxE}bT(Mp^7c=eWr#kk$?rLE>=vsZ+Rg;i0~?sqL}CKvT?{@YROGi7@h?d?+gGVokQ z-DazOz8bM01iSsy-Xc8RpT6e_(^k9PS=z%aQm+)^cDz*yGgM63?Lbe&MBV1UIQPZ- V^Fcr6KlC)TYux+C{{e&iS>zDwA)5dI diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index fa2304dd52e67aba538ff1d8d0aba1434af3953a..6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b 100755 GIT binary patch delta 11 ScmdnUxRG%}2cy8mP7MGULj%wN delta 11 ScmdnUxRG%}2V=m*P7MGVLj({2 diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index adf85893697a16a10def32b9c3988ffe5b83d41d..f46ce48737afd49e50bf75f2fe0eadf783b87681 100755 GIT binary patch delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b delta 80 zcmV-W0I&a@1Dyk~Edc>glP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 739ec31af2e435cb334d445c1685a1815daf4e80..6cff6fa90af6dcaf7639b992be45094211c1674f 100755 GIT binary patch literal 12811 zcmeHui91wp+{(`PV>h-; zvSv3c#x@3n!Tjd?zW>1Q4|wOk<~(z*bI$Xb^ZDG*eeUO6=kT03aiW})g}Dr1;tsR5 za`O-H@bwW@Qc<|6pd@-H(8J4BR9Qt?^ro7klCq-mO(hjkOE>=@4;MGl$4)MuqDrEc zCZg7E&Z0)H9&eaO2EJisc5~u{t>XVQC)C;hx8+p+-!_D0HR4Qdpiv+(j5{u>OCTxh z>0x`6podXg)CbqAe`-yzm&Id6Pl4h;Joxk3@uB_E^uRgK;a8V0CDqo~vzaBZ-pjwP zbYI9U2U`}!wg5gt3#cTf3%v7xJF-j2qZWIwEJ*@R<@AQ^)6dp7Ctp?qOx*3&aM1y`qP58&6-&TAVQ z)pVFni#&DwVE{E5(Uyw?{R*}NtSy-sVAXJcY?toGJ`WzidfcJ4^>OGek*Mts`es1*-y#7xEhZY9*Ao-AJ_}g?he05Jj}a9;gB)T) zp;8<7UFUia?|-09#DInmmDDR#m>3`r{a={>OWOZ2?f+}sU>fTdA(Q*ZL?ZFG+bqYf zLt{h3)n=vDrN$e;`O|i&xXFKwRF}=!tBKT0h|jX+0J=p+oZat%Y3b+kEtV68-gGW( zeP7G$xLOajDJjg;6Nb~Ow2zgyZot#d#|h`WabFaMt=*kDBfD|=4XQgS%@oR&$5(f4 z>O^&PyqZw>HM#Q$ltxbZ3TCAP(c0aPb;NLn`5fG+Vk*cR`1qCqsnd{fVtPzp{hvgJ zgNH|WJ5S%#RDx{8XEwhzoj|iJ!Jxh^(A_j((RMvo`qu)vo_4Rz)H>$T7v8oTJLOHe zvfFtd2r%X7{FAW37(0kwJR&@HWV(C*!8|Oixvgppvkonti+fl6Lf7PJ5&Fe1sN9I! zs|Qo3=6-7%nV`mkiPjO^4~8~OglhRefx)c@7YU`wow?(&b*5_bBk|G6h&?&G<$*rX zzu0N)7K*jqYPwA-v);VDLt)zar|yAQ+hvHjWStWi=oO)J+#9Lwg|t}y$E&u=BIGmK zt#*@tt|`HLFE#tNUX{WdtF@2edrp&n0#?t1Vz?4`QLQt=T^XVVxWnZAT-ILw5uA5%4I*`{mtc*lPQk-Sp|v`mQSn_F4M%wj8P8S7*ao5;(n!$yVD{Z@3(A$b_vDOY53R z(PP+-CG7>GEq5+xaflGn`c$)3j^U-co)|ATu#!nX3<9^B(X8O=i2Bc_Nx}QNR=igh zRjhkV{#EM$f1=P30rv{X;%@bmpog^N{oe-%6Q$M!8n=;DkM82Lf7cJSdZM@}U*)^n zFpt)4&K1)o?Bd(G^7-F1%5I!~!h3620VUCZK^s=w*l8@?QZYLi9(AJ(1F7lKK%fw`mWa8fBRTx$#r@c#Rnn<$1 z%Vb44KRSJv6t_A-#Y^GUyz1n2blo_oKWuS!oj^hfYAF zLw;F;P5lls{3iay#%NGkXKV@b!Uky=i6&Io7{Rr_B@+&ly~y?CVCYm3dZxxeTZ`@j<&=VlSD z<$!E#Y?vOtEp6)60qQLL0Y}sajZ{78KG&pfl$}Y8S5lm`;{W;3roQE`Qg^1aN+DP9 zdciwXnHlP?TVhjP_1tkrwF8DahmPGbb>B-&JK!VXZG6*g`M;JXsN8UA4IFR8zNK8R zQ#mz}n{WBu^0xn=_w1?Pwhx+W_g^l8JM4LKC57-`yav=Lt8=Q4db;n~j~~?l{oPd6 zl*U_MfY<+y#F~9mTUH+~tyw12Y1BN9 z0%7cYk6Ti$HZ1{ws3#yP|LPi%-nt~jA9WiVmE~gTSp7HGQxbW2E91FRg%jdTo{1QM0Cc zE6&=-(D$D{2X00lB-%%W`4BWyhBC_YMkXZ;Bv$=U1xG?-7EU#dMkH%ZSjcYv$H7dm z)<5XyW(rDk#tuq6+`O_Iz^&k1R2Sxa1*%2Bmy-VFlZ^p5x`~gt6nwt*lN}<@5=$6w zFv#ujo9AYPo+jW<4wjc;X#2WDqb#)do*~y>~}VW0Z*( zR<5#Z<T}h*04Fhn6zZ-wN|{iO(mLQ)Dvvg)u|pCHdD!Ff>((B zNITo`s{IS{cxl)@;XePtjO~?x5k2)KI@hpvP-W6c}y4nmi^?l z!wQGL(~YJP4<4Nj%$pK>1@;w31A^rh zk9_&oSm2dDGZOS#D0G)pm4?B07oh8n1_AY-I{9Hn+M!TV6^y!+U^;+m zd|~^g0v;F?S|#`TFM7GzNGo9phiU|q=C)nBL1jz)^U z^fOf4tDXg(v%ELG@AJUmXzlW;I^q80{VLI*w0QDf^kV*X_Wb+4ypPvA(UTFcC&$~9e-W0$JoO7E}NN9N;BeyzeneZ&KtQVj#&3`sE zF4?u>O2U_&Rg>`d2N#`?l-JKQAaVjg`cvhtgztRD>Nxc#K?xH z;_@BMxDbhPKZ$a|mIo^RMU|&{p*rds#>LN?pDbdRl`c5MYZIEpZpp=dUC`vccv&*^ z!n4NV<6hh0^x@5gjLz}nyKBewj`p=zhAAHm&Llqqb(lI7wIVCZ0>s*i_}{4egyZgK zva`iy=Qa<^5wsusq&fyfslCgf<66XKJG{HYN?AiU^!guDUia_k|5|@NV{z@b zKzG=gTN6Anp5l$oISm#itxD>_EQ_b)Ijb?h-NXy3gPr-xf`8d3X_5EimTxT(tK#YD z#_~ooxZet7Y3LcbiQ6}3sm9IRvwDZLAr$5W$T+a4Ewq4b)#Q2wiPfdgi>uh8iQZq82 zn-rv*HhWkQiV+?Es!~itl<90$g*SWq#T%Y>5PV9e{DqTz%${ahHl#b0{1O=YK4-t* z-|^!X$>?GPg?nUX#+eKyuG^d~6F1jne-RO{LFYTZ$rC&{lc8#q7{p;jJU_1D?gh;t zDga*>iuu^2d}&uW*Z*ke-2U^k^9F5N2+YEh_|rd^>yyFn*3r7t&`l&T7R7!K8AvqBrh!Si9Kd1I{RePIS67WLL!o3G@{d%e?{Bopw@?`!*>rQT9)Yv)^gBWM~~ zd~`#Gch~Wh`vvoIYOk!kP|ZlA+&mRe z2B=Z~vBmK})2zv1<<&mxHapxK^NNq6glrB7KX;#ekH_b$7HWw4>%OZ^x|6Ve^00s? zFVBQKsCxdBbxpFhF{tBXJF$IbW2qV7_X8dO_SfTIKJB6cdwP z!+!Rp$CsBl+uYP{H%kHM;_RSJP62d7HOCWtM3+fGvXJPNOR4^(g^Cz`v9*rtId5t* zYiV{VV8PrD{}%YbU9-R6W8D6rjk3<)&JqM$W`a*Re>8_^hFQBwOT$SRcD%zYBgCu^6lR`G;XkU!0jX2V&$T;NPtQzSZ4Ko^pFZKMt*|82}nsjT;f1m)xf%o+yf%pQNmTn5jve9o?9jXNxa zX%?#;$Och9xji-}$z)|OQGc4I@1N?`QG+$K=h^)_w{m|Votq_YARVmbDx5Z8zmeI; z?RO(E9em1FPYl*DE*~HP+rQo$94 z(~vvyW?-hpjyN`}Ok2tXKSK;^zZq!$Wk<}LRjyph-0z%Kj{GA}O>P*BWR+_DO=^bp zEJxOTxaCsV(7Fi4nnwuI@dYj^)Cs&Y$iwN%Hl=oOR*bsBJ1{ymb}Z=;nI~LQ8X63Y zScovkBpP#~%zL{|2d9#ZWtPtq30kQKA`*9c-tR)R>8S@mmI@PkQ)9#if@<|PTmMo>gX#EkEYBXK7fph`s}YQKMde8?@uP zIJ6Rj3Xs3+z!(snx${(V>pA% zWbwrm%#DFj#_=^#plxOe!=SJB;nBuj)=%}$uu=y-1K~8LkoAcB)_jR|5CufYXa(W32cHK~!^v*{I)c>T$J zZL%j@=+o$5sZ9q`d!YOCB0)IfE{u5p2)DN26fUm6HO;q_YgGJO*b#J4}L{*G^IJUYJ@L9L9 z$6^_&hf(SZ7TTz9Iyw?CaOL(Ragn$X>}ra`^AY{$~EQvhU5N&12+URv#5s^IK+xpHp zCWqr0IVQ-##wVXEiHeH+nmDRonUF0VpkD?f1+9oQbM*!|k}Pf)O?6-%CP~RqL$}9K zp9emWQLlS$i!kJd#JOI&A#uQeEv6TK5?|!++CId2p&$%D zMfo$vx#p@cD+zlZ|M#EU{0{eTcBt_Ah9;8oj(H0^-flp7hx->ho+eiL$Ni5!j4#Fb zHnFc^_l^z%mKqbH$L|%bCGho*;(>9aUmm54acL4|T=9Z&*Xp)^ra%*$Rm+k{bq82# zB>xl_6}Ejv-1j{($-eNIM>-O>`RbLvHsaDHi-et_=lQLe)EMd9#Ioo;1G|`rqZ%WP zAGuOuCPf5{#!<8ZOJBphrT^MNQ7G#c#DN-R*mBwb_-Ylkx*-=ciU4aXTITx)K4YYu zdo+@NVaMPb(oVqOQj)Cw&byINv7hhkKU>Z0+^2EL~qFvSgs(EVmi7|6E$fZ|Z5ecTupDy+e4UaoRI94J4k8xFs07PtG zgr4?zTfPqgC6|s6@I3axcT{C;vOp!zoxz3VU*{%qq+-0ygxz*}ajV8q9mp$$@fe2-iWg=?>+lJq)CPL8kQYQ7l@3%`D`OL6&_F7;Ers`HuRATRBTY2dGy zaD2v|Wtx-*&+|0*eQO`6o!#++yk0)Aq<({FQIH9PfQ-?)K~V_U|NS%eUNm1&eDrK* z$MR|l629IQgHdr+-agZh1LWvgPf0ymsekigNFHZgsndUXzfNAM2Ds-+iy$TDnkM%0(Gzo2M5b1%g*88g&*vb(W zvKR*HQGBHiN~Bh_wdhPTM(^dg*;kh@R?=eyShre*K#&)d#=FWrS1M1(Lg!eqhbtEl z)~Z;!{ljwSaAyanccTPq)+G&MfNxG8Zli_0Yd=ZB$sd)_^%=7|ksHVvPZctp(fb!)gI9rzT}(p$#)VIvOx~Xnav!p5e)&OTUUl!1 zH_o9Jy8n(5Sar4ajqZ=nfH-R%+0I&N6FGTA3#9Jw5YV>_x799@pQmV00+Q+lnkof815#Ek1_aNu-AZi6Ax3s#9!5j zbz&4fpliL;rfxRoXmLO7xWiIzo3?MjcN{R$766~d-vE9V0tRufZfrGnrN+lt&ot{C zF0-!Bd1iakdf4Ny^7rpqE)nb-2c-%^jf+Q0L6)H((m;%KhoMv)n-W{y!)1cG&gqIm zYpfhdW;y1I662)tEU5i?>$#jJlDjHJt)uL1fHd+si|sQG)?u8{fnf>h`XFB$r9_wc6S* zp?~^e6$yRK-YbZb(8j@ZB}=o@Q|S4`%D7`)e_t~JI@z&M#&t( zMm<-C@tKr5${dAge%$I01PydwjYoofjOOU^MGzs}mXBlJ$2y(74;nqtfYXtaueh-e zqiqI1v%?w$kcRJ?Z*3@=9~c72XDTvj_y-66cT%iN1N{GZR_jSFyap`>(4Lt%aG_BO zmBU4sFAW`}tsmVW1$9F&3*jC@hL3&Njk7f#X`E1Il)Rd+bII91UgNciEQ(Lq&E+_^ zU$B$+9+NLT=NK8k(cubsMumaLI)>-TVx~~bCt%9H0exFTvfC)q1IV4cyR)zdAZ?^y zjrIPSW^A!RLC%d}oSN$V5;>>M^c5{MYtz< zttPk*Fx)E7L=UIZZ7Ap>+`XrykOOCxRuP2e6-7#4DwhMfCWU+FOPFL z!L=+Q$sngzyu?{+oICiug&JXOFRPBORK~#$s0MvF{v@T}cyc}J47he#QIt%!QIr|t zM?)sn95-S#(TcpFVHED|^!QtsuU=0b!Q|$Xwbc%PKnjIqUi6Soxw{`QdrfF zWGzi}|9fDD{uZiZSqi)sB?KQpmRYrq{?^M}cp{YF3gAeID?Bhv4-~&y7LW=%Xg42d z>`sE-nx8my)TiX81mCJ}t|4;3qX*0LS%*BXFz)K_4eE2s21GXNtltHoN4o0pJZPPi zAYr41Txsb3Uo2=w`M$%0IT&7PNLgRzsIfNLIkzVHO=P~6@gPRR2?w5h;x0e*ms?+K zAwJ1;8@96xv*|{~FFJG$1HI?1K;d&h4v0)Rzs_(N+)>{pYXzT*fKHr`j)*KgyiWz+ z7$VS4MY3eALg%%Y%Dc0`-NEDsz@)1+Y%-h;oii{6+JI$_#@tzc! zHRr2+I`%68gO+;ypE-g#kH`JO6*g58E#U)gEo|gp9B2?b{a-nWK#dY(Jh1<}AH>jP zUx{F`I&9qp9a9}pTYs)NDs!qPbD!m$a*a1S%dccJ+OJK!>$E_J-px3C31WxZhP<7 zZVoYk?33~V=pKCF3HD?4W1O3bj-DI6=PNSAg;(7T9)K%;Kyo>1>W}_Zub)O}61dS_q^kj8usVyZy&>7W4u1^0<1aXp5c3g=ZTF zb4rDaVi3h?VHS$GL#M9&_0)XPCsgtB;Zceg{xqVxs2gik@A3f71X7* z-K~2~)ki=Uu)O|ck;HlZ4y7OUlwP{QbTS(;&_=TI@9urDJx$wlty_i$$^Tm8%!3~Q>3TR z$08sOb-ywMU-H^OsLLE@>yNdtv6ofdJK5VBwd1HV^(_TlXP76(Bbh`0w7snPKh#Eh z|FIgOz?3Pd<-rg`>b(cTIB}dS7*zmz03TTuIqkzNif&md%{+D;Emrx+XD^J`HG-Xd z1J|)vcc3}#IKg-y@poRjo0~LavjY{~NRJg6gfZiT1YBPvK3mc8hNj1b9?)oUgV1*F zbA_i49uJI2u?8z|*ROm6A4s~1xb;X9mrvn$5)q#8LT7 zl}2u#w-r9TUJ-C8hP1V}f%#g8dTP{H*884CgLx_WvC|y8x$kr|N>e$!5RB*@^>?kR z;2cl!bsjDNnI@n)8W7hmgOLzXMr+PBmKatQE`H*E2=QrBGWFcxxny5mi z9rvRy3xR}EMzr-NAfx!|*+4|M-4Hm5ILGZStqBa(7F9LWhnarYTBoJYGQnU+TIH$$_cTvjQ7^1c z2EELUfj2{gNuDeHtU0;FmwzbGh<^4(UihH(cR$MN5V5K=WeWEmoslSAXIA4Db2cJ#zzKOc6u{Y*uEIU-o^)d zQWc$nD*@Xuof2^PS+v$C(Css5Wba8WS_spNGcstkHdGI+_qzozypr?gnz?fK_T`9Y*NmQIc<~pzd zEJF6?c2unxM*+Bh%|STr>W#`Hpj^z6?_94)@0LGyp}(dVZhhrILx%GIpn%@BiaY)@ z0j`^fLKyhAeb$8YkutXiypwjTL{8X<$yFR8(}8F(px4KS{UMS`G|&=u)qm(`3H}PI z(_#7{xR3{dWq(7$#8)s|fWO|@S3gxprrGKf8hKyeB1AdKv_JBTc@g%`42L0o9NR$| zUvE2_h?4ym7vLlOf?U4%+4=zdv~!r0N4Yi6Dg5q0qtZeI!I6xiW{M2D?{eE7an&pC zf6Y)_joV?`CB9^(#gG(GCgqM`tBnX?9OLXwcNbn^6Bhv-x_O=xX_Y6;HE3iLsodpz!@RYGnX;eElo61LM_B!Hp`MV< zeafi8Mm&KgJANUI1 z0xxy|a^C}iC4kcA81q8qd>x_zx6jztXKUTiENfj2;xkSuZ~@4};mA>?tFHw-@rZWlJ|7CdQC({cugB3A@$$+FDb{TDLtG4lA&OM-Rhds!1 zDE6zW}FhJDiQmnFE<~Cx?gW?Y(B40=_sw-|d{*!YQN}&+rQ#f8vg(hqDD6 zN-=A>H-~Z)p{~fZRRe#SZ%X_w?X@mLB=A;bTCY~-vd!R_d3B&@78Ay{V!%fBRDdV&w>^&69QlxPWyA(-J8-|ES_89W%BgT zip&B6|A#w~@-2h<2LpS3%|?RR8#u}uAB`cqr^!C1es@xZB~lOpG%8!Vz|wV zD*mRwK~Xu+s%OvyncprM+?daHG&LE~e(=i?&g!I&)jRz>Tdj5*L7=1bm`TknV}uPs}%{_%R)i3u)v)AIMLfk_-c<2MFN39c6XWi9kGv z2w$Htqm=ZFZ9$JL$K9Ad+bHrM)KQ@~#NYx%2;>EwgM@LSktx}laQTD3$R9^RSax+@ z{-o0G81SY~fi?cXdmDyC1Y;-T9uayiZa}GXOp+IBjQcW4{{#RB{kMjM1}-SD5}#c~ zlJez`%Qc9F>=uetc4NcWFn~N%`|8&D?hw;!Kc=NOLY7vC>2r_hdyjq@3wwq?)943- z;%BWtR-?RB_Vn*Z;zK91dfpqS;Q48CQ~Z>sVrfNea`YUA&&}d-S2c96$%X-}Qdv5a z9Z%E)0=}YyZSm4Wt;TnWO(@|5@pQCue|NbZe4Ey&ZH;>h`#&_O?k=H9UhkKb%Ntt$<7lyV0?v z%+HpqVVPk0gLaA)Ie`0S+tH)f+{tTA%pRHdf?XVON^QjI1WLXLAkyz)JB>qAttVz} zI-e%ct667Q*{mKi_1>Xgk({_@Rj&wfSO{zLYZ-a3b1<+gT2xQm`OKlKF%IUgu=Z6< z2LH*2QNJ0pW+UV=UAt~vErOF)_RJkZBSn5WOn!qM+1PFY78=a>eMP8I@ETXTk1Q4& zPEl=J0+~M$9%=}-z|*%I0o}+d-D2Q>taU+cFZ?&ehr?*!*Nb5qL$V0JL4It%&J{v6 zgk#}9iem+EDsR1aB$&G$C0!CDgRh2as>_Vy?R-U!8#fFxNj+fe9R3A+tgp4(y~t$_ z0#OTm9jg}Qq)_VzWlg3U$^%FrA}b9QNfka5Z_}E;{G~IPkJB?4AuR8o{x*GTaakWT ztUPk1^@&RP+aF!mm)Hzk&(00bfE~hsDB8VTHP~$r7tU$?y^qC71}DAGdSqM>xLiAw zk-#SwoBciV+v!3h*^wd5p|Jd++x$b?v|Oq-ur+L+9Cs<@WK>u?5&YDT&#mL8u`xAS z>QMAI{oUY;mQO#uDldJqmKe>?1AMMqR*m`e+wE!4!^X39Rcw{TU2jBn?9`t*Abvc5 zn)c+Oj7P)unA=zTm4=)L6@Py!2;%c#D4Mc)d7fA<+F4645GrVdvAJbCoiwP zv=B}N_glWb6|-e@;r{gFzeCzf({{!UV>?D;_c!i74@|XX3%K<=^!+6bkw=W{mTM>L zUM5|9748uD;jc#4Md?w4EN_{^(*kTO6v|*F$@T2MKw8I0%c_miIhlJbfqxRi7}P;_ zWuAm*HL20iRgLY1^^KMBvmpMU#WQXRZRwEP8V+Ydq7DYvp$4q64|dwB(+kWc`bHFR zYLqK+#(Uu{P0jv7fgER5FF2K#-83s_cle4MX{uzKc#IG}^}v|?{!wkkaRVsLBsMxg zP-|eN@v6??tm_2dSV8;uMvFEFiURQsgfM>o-GcKKkJEN;Ki<+>97~!@gqEfCB-r3< zC5wyw?jt7y1Z+P-Va1*G{(|d%`jK2quSoQ*mx1DDxE`8eiFr&o5<$C(9BIW!WI zZOOz??l?aF3jsGjtl{5}_lV4n9)0UpT;euQqk}eUYNXHJtGX?F4!i#EZwK9Z^}^$O zZ2^1cOHSXvKKy<xJpwZ0z$#pt_w3)wmfkCp^)jR&U9$X(VMzW0iEK7P1RGKF&;*7Qcmk P;`Rpkiotv_gTeTJb%mO^ literal 12808 zcmeHui$Bv}{C_H`gvd4bTjVyk7{)?UDMGm}a}BwUT*g=>xnC;RTviFm{XV%1bBoH| z+=sc34Ku@j`+UFu!0!+EK3`8lvETH6_iBu0^B{FMU+*PMHJNlO3DCbMI{vxa~HorcPAH-#|};&B1$6W4@4|o z97PPA-Cxp22E05=pXSV&CxHK9XVe-0mtR!=Umnb`8Fr~Az#w2Hbf{DHo`9mU=`Pc` z#Dr*GCHb;zs#}}}`f;Msx|~jqQf?0`9zjm9MjuNI@=AGc{hOL%t&zWcJ>gTL?v$IM zDlGmiD;Q{a=Z1@r9TGY^Ss0k}L-Q$lhKfQtplS!ThJy~Cgdz)nY&Vd-yuH24%1cXU zCVYJ6)1=BUgHsm*of}xC%DO^W#)>PqqnIuCv9gt1*^QxITY-K}b?@SSiMd8yo5<9} zc!chlV89NOJdJFVDguU~;JCcfGENC^71r9wM2U=m`goITKedc?_7+-?qocr^BA$2J zulXL-TEQQtWY^1|ebcpQ532xWl(W8#lUpHmO#=skgX{98>bS3}H@dn0Bhq*z?C-IX zdYKBHj5Y?*(g`uJNIG>L2RJ}fkpl@E@pSt4Q$^u{lOx1|PD;pUyga{!{c)Of`dPCb zANMbV*YZCafbw)3kTBEeK{_dvYW<&>|68>GL)!nB-RNwL{bX{$OJ!wcsiy}BPlWES ztOV}dtsf0|+S0;Y2T7cm{7G{1o#e(H4@mmwr#S_g!O@;}r|}=24r>K8mwUD9POCKp zWz{Au9DBWMuB|nKp??@+$m>9 zTdyNtD*-uHv(SM^+i;!edc?b7?C$Y5LcjJi`-yc|GS3r&zV1kwkmQfyUka0kY3}?r z5?<#BD>-74GwWKz#Vt`1_@I22Ddyg$8fe&S;#15*qQ}e>W0r7vr!K}8kyg65yae?5 zHMB@M4PTna;a^y@_SEo zsVVa4RK|Ise?1Zrw&Kw&4m8j?26+O{7t1wvyn{s&F7t;oFdVKb4EAQ}2@G6-UgD0j z^%I!*dHgM#na{VW0{YZ8pTIvyy)zA`&2%&fhZ?U1uh>rDIm!w`Pc7EQXA~H(_`7OQ ziE4js50K7()pjAj3;1)ow50564(l9w>*A(vXd43@YrPsuy!zxfKY4K^*|c05^pW@G zNa|Xwi<&N|(-{1NCmvUN3Ut1?(}4NIP)A2K&y} zqvoxS9MVyX=QJO?HTS;lHVNBxqBau;X7-s;O26*YSNqzm=b~2GmDH+Q5cGRX)TuCh z`E_KA7`7^bP`{$Sv5}ohIq`AZc4N0o_3A9wzcO)^3Sd7s^xHO$Api-F;hk0t)*a6x zCf=@BysppTi1dKtUF3gcg*>Y7f>aeIxSnsW)frKy6cF|s6tczCcF_X>=w>ML4#38B zp|`Ek8uxVO{Ljch(gypc|ZuX&GSf&$C5jmPy4Nn%S)|m57U^cxVI-GpmqD+o8?e)ga+y7ONyVjV) zuP2abGRbWk=f_j>btvaC#xGnJTeWpmZRn*1wVCLQdgEyDypeCH!`IHt^IDAnzRo3! zRiQ>U-@?7wuD7HcFsHSc|6AC6&GhU3SN0z)pJ9@(lho5 z?%|=%lJ#VuBGkOb-64^e_{J+Bx9_b1R#wPdjw?&l3+)f(+B5JXO3FA*FL#Gv`tvRw zxM|tsl6BNdpql!+W^m=+CGHfRi7@VY7bF#uB7B{Vnj_{7-*yRO?ss+kOLH zlNWy5XbNo`u%{Y|J@vuiFZmn1y!;^1AKUZ@)EE@=5mw2O9zfenhgc^(ir*)2=Qi%u zIGRJV;u-!HSFf?;14mI@VMiru#-5E}xvrwwz&zkC>0B>=cS4*%dR>i3I4wSCcaync z7!bDthj{$E_Wt18pAXtch*`D16)tNrtS`s?VT{35hyKuJuYZZQ{QSt8wwMvseAGM2 zkb3k|)sz~wkE@+5t#HvQf91%;=37D<{sq_9)rP-qA6NfTRu5Z?i;yb=tv{x%6m_;^ zuC_*qG|1HT?T)CShQH-w<9I#AvAz%wEhyW+z~bLUy_DoWm2ua+k?Yzi6VcUv5bO%B z+jV?>u?{f^_^WP3CNXdnkJf#0zK|!h%-vzrD?k4Gh)UlOAwMDVfAp&A zkj=CZn6(>kPo2woWi@iIT<^^ z?b+Irq^~{F3{g;;jY>Vbg)VS1@XB+^X?cr3SjWcga+?(0jO9wPM0=y~_uG%!JiB4J^7j}bn{q?owAnn4jvRKDjNchL{K-sQy<1YmleVgJBU*K!8RZU#3 zx5AsejE3A+3hjDX*AVA1hML}{RN|E0aR8ocQYH}ZwKAD*pDJ0^ASwk%S_OI|GMQL&~1IVeL*AMGSH95?n_u2BLd`kj-;+|S?RtHh=^cX-3IBLCHB z5B$sM4_>)1(+VI~uKR{mb$dsctm+SvvV6Wq1^KZOvT^ls0&;b*@5qDHd~LaO zdiMbLuypIBr1j2i$rBO(zHPecgjyGwK}rj?bKX*J zojLYT6*wvfaU&_68{3_s;VBuFlwK)Vit(T5hwts0RhN6Frxp17=i~^x{uivcHnHP94E6H93gSpHBlX zN7%5rV4C=2W+WChPwfvs-*1=xXUmupO7VTXxWl@BW1oF^R;ci;>90KhMy9t}($9DN zfMFkZKeXFN>no5*_Z6_b$Vc! z8ZONXr9M&KiTlcJsQwFY5A-YO>>LPfxb1$`$j$0}M>S_AkBH^3uxb9e(BUS{Ekez2 zireSk`oJjdR+XMc_<2{w@vWLk$Y4Q$eB0kJ2`E%hp#lzJH{e$61a0_#h~bl})VhAT z?~x>_XB?B9!FLm609)TRLIzit_gJWaK9L=F1CPSCB%k%*uljUO&D|@$;IkM{T$9!7 z5+7n2WPia`{sbKya`7$CqA|t$A`7!k<`HQi=Y z*k8LrhH16bOMl*6N$FmR>$kHB0T&>rjy~yy&X)^oNCiORbwZnX@M0 zs>j1W?o8&*z-s0xh@Wz>BIg7Ab%%VGp`qIAiytl&CZGM1I@2_$TK!zDgx)+afwYN4?z@2e)a^bb69!eC|2 z>+JF#BE4W%|HMlp=|cUD9|J{@d*x58FS=Uz{6M%kn0*=+yVP=Ntj*uLsXumJx7YB0cVOkdP1OGl?Brs0RGEm>oh-@~oy*@5 zJb!F5>e-4ljLunhNNme-H5uqvQ*r+CGlF@#wUj0!0(2a{`{8g~J(C{VmJ;MHNiL8WF)Sat!Ucn~u9*g&ox<{xOwDpt~Kep|pL2A#Dm)gSWY~F1yT_5~M#_BQ7csvcGGoKNi#( zBvsvWwn}lZTUNX)zV+R=k#|STV%+{nY$(b^m5CbF-sofYV6V@5|C{FWvF}HI$?lKu zXO&$UK4N-d&u=H9J~;t0_x?0Uzumkn>PK{!O6$rNdSa%Nz+3Emxp*O(FF>F5=j1ew z(bk5`=Jup!N+h?MuPU2-F}Ers`)7W?pSI+-wg#YKv`?_Zn-lLz(zCRW!i^^P+EsKGLv#@+yjzHg+Hwx zz~(EPL=K3XXIiTDPm8Bgyn7ILWk|8lGr_1n1e>#tb}D7~d1k$dOAC)On~BTmH|rHa zvrM|W!)BT3>itblGNhAaxRng4>Un0+1D8{7XR+FhFikMPE3Ls*=9*7}7F;|tUA4c- zUuO9Cjcy>ApTJFScIL-k`yAb z6Ei^*{#w_1-V?6fi}&4kb37XZZ@ByI6cb(XQtx?V&epw?@-vqCCwaeH>apF?xkcIt-JKWJvAB&xy95$Kgac372$}z)N8*|<{Kxz710vr^* zr0e4Qt>Cry<-hw!XrG;J3QmK>v_Ej$rx)A#+5qm%K$7`?3&HAkwjZ_NkS*Q>YM-Xu z5mbF1B>on9bwG5Vjrri-;B-GEpCh)D4;)8h&`!I;(N-#sx|9ih6gCbf&S5E`8y+*WeX#tSvPxJMKr|Pb zEVgfgCM$z4E}`0r?TS73l8VVaM)p#U*&@r_t@$~^OvC`b%$t5%$ryD}lXD#8pvw4F zrY2a-)Go{NHl=8Q+8x9}QSH3u+IZOa>2$#ZB1W_+L8kVNfQ@Fvg=2zsL=Aor%FOv) zS}spPKfajL3KwCgJUcu+Qq|vMlP4>e5A=_Kk<;SHGnvB1F6+XQ+wW;CLN0znPfB^F z;C3wuYN~_O(>0J8tx3WA>%d8c-KVJ{&uc-T4vFLgo|C$?3B8B<`IS= zG&4#hSGis-IvN>LW2nQ`Q=YG^0wMQ2&s-Xk`bMAGovjDq@*@WtZ1H@Utj<8$=8Q?= z+}B%jdVTznzG58L>aS8*MNEv|^JN}3pKQ?-_TBcx*;5(-&bg?*fttVPUN;5B4uIHa znIs-=*Az~SgM*{>U(7MU+3vkR!e0zsI!&hhd=h$tD0POi%$Zq9g4|h~EeZs^+}G2} zGzv0ydH(g~-PxcMeg9^|q=@Mmv&^Hy*^nhBqVhgl)qW4^wq3!{XQV=@q0r?_se&n$ z-RWGz=Zh4M3YPWH5to}+h1WXZ-PdR)_SEg7z>{m%+8?SrC$=i+@Qdae)XEeZb>}@$ z5pUuD0vAs$LZ1JTPT_O|i`QPeW{L~Jm;9ak*7GpM_|BLvBI+sN$TiM4S19L|bj}AI z+#cJ7{dv^*` zIfGQh-M+#i{};+!$$9Qw&yrrw-F~3`4-97*cPk8SkTO{OV3)f_x`*-;Tr!oKydQr% zGD0UYvUs6pK~HWkx68m#eA5;}zZJp}3rtyXD)!`$5!a)_7SOjIZj&Y}F9-$5l3l(u z!AdBN@ADjF7X*cjcsbtf-n}n07$kkVduo1Ro90dnekaQsO5 zgWcv~Zg_CTtzKO97Xu-o7mtXCpTw490Q?gRKX_JRLosGKa$P3G2gf&iuVqKe^;RGE z*JDcMX%Z&RaZMjkkYsbMe45|h_e8&@en@!vk1y??|FXg=8u@dKS!1YOcOf(#eKCF1 zXV75#ntwys;x}*q@#Sf;@S487hwq_N9K-8`44R+v&2oYVUV#lC?NLi8NDSSTHhJ&r z0Ws`XRIf0pdp4gB{izZP$KfMd1$_CNvk{0up>04&GtblGt5mkqc?oEXVrWf<6KShP}Gw%`Iu7QhV%h&Q2()nia9fWgr+&nA7H^%Gc@*IUpK9=*RRrMRuF9Re5t>thXG)MUBV z3HMce&_0Z6COPE<=yioZv;hR^O8<02w`7G=**eiJspb6g&=b(p`Q z(ESaF=&V+rE^2P^mxUFC)i~RB>0}N~dYr`oTQ#w)BW;pPD*M}QuHu;{lvm8VTFk_j zsC}~3-r~DN;;COG@IVV1v5$_OWh0N9lyq7XDV9=8O5qrNDe--oC6W5wMVgif%+R6v zTt#Mm;GTRLlqfQv3;8R%MFm)A(;g>;NJ0y``>&^$v-y1OUM7ijxy=5#emqJv?mK$l zmvz0+eAe~o;4sxQ^gNu((C_NAa?;n+0!39Ay&9oD?Ku)1<1xW$)nOLemQNxjT7lD- z+U_Iu6b`-G!dQ3{&$0Hc?*pgEDVqWB*J*J|unzz~$$H5|kL^!7LH=zge2!%6lBw;1 zaB|gsuhdM%q<7Rh_mM22o;^BdN;Niq=hfDWfISkzW8pLz-;`aHNRq#(a$*uLC`Yy0Lp>oY7S;Wz z%LJ$QFobY?iKmrY0A~m(o$EZToJ+y){t@cj#ebGi(4YoBKfW{f#cnafcDmbWr=E7<5=xg9+EMv?uwCdfGS_`z#P1-l$qJEp>zyb_) z?OGJP8_?(2)dx#^@H&e{bMMfID;apl-$|}#6L)a}9l}qiBG8skeUsN$>;{>(*_)ey z1VF-(zQD$%vJ~>t{Ubk5K=~8=(&u`IFykcIuE?Poby>Ki0QG0nU6kWPV$PLBvXQ{sbzwT14DDO(fi~owEdiQTQc+o>f;W4q=SExZMRyH z&oK4a#ctGhj~vqT50_$P7aaaaN830C)()K-f0Gv`57fa>v|OU>`8SqK|AbyCtKajV z@V+I0>j)ek>bDB&IoF*Z&wQYyAP?-$XT+du=6TcU5Hb6;hF-##FQR>C3)2ce3?YZQg*i* z6eXQS4+8^JC!n#YeW2qnj$=uaaBqfbhHFH(VU+$Dxza8<2f%t#;ng1Uv|9_3@zsxJ zh7+g;a0c?t9$d!m0eyDiIrY3&vsUP@9_4{wk%3ORRpE>nKFy_M5UsN)5&i(;)zmfA z6@$IdG%Zlq&Q^zE%~!Wa^Y{+9_BDDKCi3zek1cGp9A9Q6fKO=#;eY&z0#^@2vSm<>*@n`Yr;AAs%E0Sx*q*DQW{iFlAu(@BA(N3 z&(b^6l!j*1!1zrh#kxzqa%?4t4?oq!w};l0D!Y+Hz(C$1!hQ3RZ4J+e68ND9;fjNZ zL3^DbYFNnh6CET!&^?I*Ow!O7N(V`IWm1UZCAD}-x_e46ap&2%x3b`f($6I}w1LpB z1fNS7{Mk8&>=3{?a$re6w*ZD~({(&I9l-eRSPB+{$DV{<9-;b`93mHf9p-Vmc1Plp zCQLM9aUF#eE{`4HUu%?p^qHfkJ>w|+RI+?-^fjlfw~4A8Nq$!e35L^ z;-Srz39~xc8$BMIgq1nKB{Yk@2KY&_dL7n)g*eeV`TF2+`9b6RbeizkC*kAlk7z>& zerjLdAE6`5Vkte7w* zMS8w4Wr@4LXeHb+qybOX1R<9-1Tm6A$W=U+{`N%ci>QhFaM9${l~ZN59;RCKAD#oF z7eE~zH&nd2AFRD0aVSB>0qgUG_~d9KK1eRkaF%WAzym#a%7xl!;=pKZn|vTJTE>#j ziMk4+BV=GY7tlfH$jNqqfGEk%ObSL5)yFeE{+`VWe=B?EHE8aQ@it>~Jjs}B8C_jSv%Fn_%@bF(da1S`3di(1m=p%Cf!@yU>;Rk>Qn;gRI;ad-z14)TVOsH z>>v#Cb2U*5MEACdW{$wg)i*~oCn9{Bz2s>m?RH#e% zPwzlgMIVd|oU7h&0QYmw`b83x{))j4&N@{y#DZWbU!GA2#n^1LRwS-gAF@*grXH<- z9}_~*NVuK6gJ!{&{+%H!k8odllP$`LAKRU0FHA*t3O;GCoP7bT18gL~0mmHmgr`}} z@?~@1FkkWyT$a+uvyv z{I2PvOt>PHE*~SB9H3)SL_%vj3cXmHBd}$nqJ()L2JC%FDI7Y#eP^|FRFbr3+o#ia z#i(bPrN@KMM+sFctjkS))8v&*7CMAMmK)mYPOi}5wpqv?q*yn?3D5EZU-OqO>MT@=Um)b$T1KaMS<%AJ6+oqX`cG#jhRQ1^Z~KHzNk&-d@}1lrz4h1hvAGF)}9=KxkR?Is`mq zMCs6!0{d*7$d6Jg(Wh)}qwCp}4)Pi2XmY3gmKz7DQW?{8@J1EV!_53umJ#`jDX!Psc5F#>X>xl?<3e-f2iYD zY{iG|NAy???j6z~n7_xIIzJM^lCSpsJ1GVd^qaR>OS)61(s8Al{}wVB6`{IsT$$1_}X{G7D6rTV&E$xES0l zSY9d#_CEaUj3Z**6^m63{ke- z!^e;v_U}p6GW)y!ZM$$gQUrbLbW2;?4<51|C1#t-4I|?9#poA#__C2IN2PT zRwt1n@MkdOr%u)&BIRLo>!ks((@Z0#i^4-Tnn1EcZqk6WV0Lu+9`OMM1LI#7{EKts zZw>?Ei3HVrx&qlZeNeHWBZQ7GRtAlX+h7u*={)NNAFxe&w_3b64A)`aSl|+vW2DSk zdEjhT+?f;MQ*WG(UjVxk5eK^VBnnSAb&g@>N=b))exTDVfe|8al62-d(^w;UH>+=0 zSZ4Z3?hD9G6AcBY*2AQbW=Zhjad6>3+m6Z^o5K{e4fxGgJD#cG(Te;cx^swahOP}W z>_2$v@B9+-b43D}2aK61(d>D@X0_cuv<0h6DK_yW=f-#mM)*5f2pGjAcE1RwpH z4j+BvL{EV~SYGGBkD91U4i3mB$S#lSw#{7I5~W2HrdXOVZR_tWvsETw-xz+;?qL%C zMGh2#X12OQi4rBDmP>zKb&~3y`g$g%?W)4(39n1{oiYJ*g~GI|a4ad3uNI&l-YMBnF53^FZ1r3lHEAW)H9UCao@~5>rm5gU%Y}tZ~rq zZY3^w;QYIjaHJ`+=&A~aVbP~VYt`lSgm)i?eGsO|HUWrP?lDac5>z}Euqf-qzRDBs z>>gj1o}U18n@U+OdbR!4SyZc@c;%F>USVg`{$JCpUi>{@9a0=`3w` zMl*Lon_e(gB;jKH+mUSFK}kzg4s&8~!Vq{Yq{Jk#gM7suYR6q!NiPbfEPw4DRsIQ8 zvVa?70{Av@qzEVG_D7@n-E{X)5-HT5<*!V2d+W86eiBOT4wC_{pvL%}VSpa-#G&@0 zQ)XPp=?RyWi=9rQ(RAxL31AKr-QJl^GJ^n5kJ*WYrr6f_xZEgNN+W|tDK3{=fN~(P zGa4a_2vFF>vU&VY($W`#&3!*K(h=F-;F@MhKtJur;SX+6Gc1P~5>Gyag@6~EE+e`^ zw4n5L?K+*Jz7Dkkl&4rCSYN>N5e|0jmek`9@cpp)?a>YSUZ-Z*_GSy00IC^fU?*tR zE30G92hL2AUR(B0NBtuD6L^2+wK0|S3R-y_C_!y}2U@wOe=IA}UW8;K_tJMi!sCEy z;C38Vew${wqs=(xiZu4pJ62$N*Q6AgH+$`s1I=a5&Muq0i@>XMddm=Q(XSZu?&6tw zCcGf>!p24jmGfdFLy(&D?xb)1<-{%QkEM!ue-4ejnkdT08AZY>(qY?i&nw=voZw|E+F@tZp*3nI6-Q{ zB&YK}6I#zwb7SqoQ6%Y-!Y95XR4ps0d3$BjiC77$d|sMxy98-L7fX^nFi+HjWDEh< zmmV~+022#s>46;K^|&hCP$TO0?1j1xeb_0Zkn8DM_zWkC?n7u3V3%jz;eDoblw4eS z6VG#gq5i+JZL5CVH=em6lQj7iK*xuVl%20R>@V63lEEZw9%4{7cL5ngeJq|BwrZ6q z&_x6i*P$J};}n>A6L!VF2+E>(70M&@N(k}IjkYGdi12d6>*gd2ncyOkE$B`96m zaR&y+fzHc}(|`X^M@!@`Uj`nq)5OPUSnTA+nmPlyzHOR6#DlO4y5y&0{g=5&aE=;s z(c+-mF$Z*cE^0X=$~Bm+ewSnOV?*ur?XYAF89^@T3B6qQalGJYvZ70yO$UqR{hvHi zBO>hUC%bM6N69S#lyzr;W`te0qi{*Keh-y>mgJJH0gxn!clkvK$NTq#F2YjM$N87& z7Tm1?*13$vw@0bGj@$Z>_eva3oQeM=9RDm%n8r|*q4M?S?1g5;Hfd@EUwk_#du|bA zIXkzLD?+`1C?K8q_9di$PDgy9vP%kJol=2ywsB279j9z(__30P?MdZlNV07YXb-N2 z^ZR<%b2ksYDyhGHvm`|rGegaU10>KA2u3fwnL9}8;Xo`|10J)m~Tyge2qJP%z}Y0 z&iVZ5Zz#s9%}bOQ?42U%C){bYV1~C(etTRqy^8WOFCD#c@~6(C_>F;4!sArEo2Jte z0IVfhd}c;9COzuUV!qINm)#qYi)Om#P0T=iS7oGwiS{Pi!O3Q82D{M5oxwZbN>zq8 z3zQgmp5wQ&=8ji3ng!p8D_%Ggbo{&e`@Cvjyo0gva{}>5sCBR!( zb+xrXKEtj8lBvc&Mp6c3Mmi2=zH%@^(RJQG;=S)ze}A6eBkS(+$nQ0G%DDkYIfbEN zV}EXUT2eksw+#bFl9+bqzodT|M$abnAK!kwanP;KvTr{sniZ4^c=QFdK>eqdQlr8; z6^J_dlNh)EL5y*vs$1muw~^Nw5dOTez8MK1|_~vui8m37Z@A@OHNL+#eV$Ejm#T6T9Cg^NJvY2Jo<6M*v4(< z;fGc&o39Rx!%GN(ra*3Z`}qs2j`O(X{BeUOkAT~JXNTs&4zHw!7vw;a1ymeC*DV@?B?NZ@4DJxz-Q67m1RvZ7cXthv-~@MfcbDKUg9QfnK_1`#y}Ryx zvS#f*r+0T(_v}+u-Rqpf`0(LFaaJG)pp*psrde`EQ^N-E}NWot&t z#?D5{!o|$W#>~dT%1)|o?hLXrH78XuHnkyTB~_Or)iyUFl{B+*eZLZD`SHD(4| zmX;t(%lH-FB-_!=M<6GA_v35a z>IK0yL3^NT;QO|uECD>g)JwuJtfd|RF_otU5wflnR(~edl|rb24TyjMZDTW5vRoz& zvXs1sNpe%cQstcOcGAB!$(!uEZ4v%tWVQd|vjVMEwR)zF8cBZkK$o=5+VUJto$4ra z*l2>NAsGvbvGa9F|6LFEVC6WWEX|-aSfZczmCpsD>HoB70_gITiTsiqP^oN3B>hRz zBN%vqBZ*t~eVTSIQDQ7RDa>~mFqfh z#f)DoIWSZd}^JPiS34kG^^3!99r!Fa+TXR{IL27rO&nEr!12vK`wtE z>r?hzXHVqf=(i9$t+-w0=dPG=;_g)LddJerjEcTYa?;B_z1pfb?@_$?t-i3GreL4^n?NnqYc{0@XKy&kVQ#WjZZw>ih4Mtpl zSlVyll8o;sQfRBv|5<-f=I@#P-<#5+m2gs(*-_2muI7YZRZHYB(frz~ zeZ#+0pH&Exh5n_Awc%VU)s}8NZ1`Ui{vU$>H%KFbHAI3n zuU!tid_Afxl?y&|saf@D4a_zXTSz<)2%!&yJ1#gr z{UvuL-)B?oe=@XX|2FTex=!@VZ3-^rws`|uW;>%*XrPs}cBw(8AyfZ(-fd4(Yk$v+ zic!wP|GOImoCS94-p03}_MFS^BK3aXs{_S9RFpob6+bi5_$xaOHW8=oB0I!i%Bn65 zRD;T>AM@lF2I38#aa^qx?Oi=nbF~!x!zQoir ztxMmAs{S?cn@i4_F>8ZY^8I+l>l&YC*1}r)?DlX#bFxOcgWzekZAD2=w;r4Hs*(0x zq2Xt!>BqOQBgyQe|t&FKMX4s{&?kWG_hjAjB!V`~5W?APH!4dUagZtL6 zctcq8(v))D1gQW|BBTll>W5I9E5-P_ zWr)y_f;wqjy`(DpOJ+*pHHI8`sYRZvgHFwrB;$vmJPcwpQ1o=Ew^K2 z;2Wwp0^`jAy zyiW%8MBkXFAeN+Hv6dsO9EXjLfR=fdVFkb)RTWG0peJk-J#k(9gJ$J;?)?eGZ~mdMV`B|bstS!^Quu5^$lb@2 zqkHbuQlb3zcVV!duw8=ez%ULPqpcg{`Vf6pa&6%wPv~2s9k6R?jr%k3D4oOOY_R-K z4#sdWBQe26sJD+I9V7fS&C5bo;dX6nB_!J94dcKx9w9_6HRz?kv$W5xh0%z%Li{)) zAS;DKhqfb66csHwhncD>YZxw<})PE;7EoMnRjm zFdPzN!w$9o*FL)zk9fQC@ZGmcSTQ5q>v#7ZlS7U{>108_UCgdYLjADfj;AY&iC?_V zG%&iF7^k-DduJ3!^CVGs`TZG|&9n0T`Idjy8riMUMH`O0Ul{_Jp$uZZla&72Pj*89 zo$gFc)zTm_XfGH(c0B1O*sF5LKa@(^YO`}W{LPPB#PsCTUr+C)w|H4}zxTDp*->-a zNifvr4hM+@`DVwd1hUnR7OunizFWBVVsb5q;TdeX>>hrK8_X$Gx?7p3u zwePZwk$c`&e3y`D!*Pk1B7wcMv9*@TOvQ*ckgBb=kdp z1r9#^5#^{$%l{l+KfhWhnM`*G?5u&U175G`UM2No(v7!f5&NM%fw*FE62BomA6}45 zOA`><`_vpzg;OmYG_}7`nZP&PW5b__8%Z$cRkbq-y7tznB?K2CPW z5k;x7`KkYF9(7Xb!fIkCOVhUWO}cOgOvKjAuU4$T_tzf-ACxl0W@mTQiizPjhSTgUJT_6{c9hfH#58W{N{uu=gqI8B%H9 zW5;>db=S!8pkDUGEK5dxe;%p&wQn{SY6B}0MH@d&ibSs-pW47D=Sj?KoAdSc)k(5B z>BEqziMYo~c1f?4%b(Wp+bj)ySy^Vz%<+XfT=>^6JeW9TDpF%TnqvZBmwpPuS}F5g z)8PZD;Ux=`-LEX%e2JQP;jy-VlgrslvA9e`PlK#V2#XT!)7b!7?Y^rikbs2fweL~@ zjF8`R-*$H65*b8^KO5~R7yFSq^Dv-4SM zgd~5w!bBpq7h`wn9C~QOobxU?Z7-!(;9M>V;u4;iW>#>UAE`fre_+XSyL+rvJ$~vv zhhf?L&cP>^1f6DJ=*{#^)>EjUzqttclr})hE?cn`2H*`Ed^!9HOP~M!ik&5Z*P=ft z6JHCQnU7;1v~wosjPkF_DP1Sd*8JcZ{cjJkN!l1&R$D8G>|1Rc$6I%Qem8|Pi6G{M zUytu#5(U6;65)Itq1r4st-e=&WqVMEM_L{p4lks1ax@jLcGLo{_L6Hm?Is(gW2#5e z$|VCdlP}_|v$xH#A|#Z>BqX$%E%R9DRe@G;2}^K^eD`pKa@(9j1Tpq;ZVA5}y#x%= zAo}3ve4FaHq&FwpQfs^1*Q3~F+PLt#a4k6L{fknCsLb625ZIV`Cn)|yRJ;>a??jE) z#e?d;aa|N!pC>li9qFCye(ztXfsFFGJIwzZ@%Q}SAyidM{lE8WpHy0Oe)amQkn&c9 z)}9*cF=nOVjyuUl?CP!SyXa+)}+eZSRgIYfS9cY-sHzbcTh? z@4mv6cO>{X7U%_I+m(Wbs+f@Pvl2H5Gt>TplE?4ng1UO!dMB)Q)1fw<=eb&)&J(k% zpYKodX9k|PHs}(PVNs%=O_VtofyV0Z9h_p74Rl8Grwh0@fZkPr-ub&c1sUR z0vtM8+b+ah9V>W1XP@eF;}Qns=B;u5ns{Q7qiAjNE5q*Rb+)-!3y@056Th0OQD4(4 zZeDA3pW;Ezgr$GteCPRfFR}}&FjM)#x9ZzOit=k}&wQOjM~~^evCt`sC@t#M7MqfV zcUSn8uHab2Gn`1+Q?Kxs=2?jtoF8K_lZPuq@~MV>;!8zQZSaeRvh1JQ&^k|P=2%-} zM1Zbb^dJhtNF!8yb>>}n3JxpV?dLvmKC9f!54)b(96t;T_(@cYtJ6s(C5VfWiXo}3 z$iVeHrQo8a18V7uJ;`?Bfh)3j4joTAVU(0>&COL=*kbPW-|SX1VlCdv)F|t2!$0WV z9UBHA)f_=MEhz}6daNm9NO-^PwRcRoWB=6ldV@pJ#?4H&+1WDiAAkzf2tpXik%4G^ z@6>9V^H*6UW;2~fp1At;ukBkj6`QHIl4US;R*#jRg0+W zEN+jh%YA>^^sDf1w(^j%3JcL;-R1a#`^q+i4++1yMTrZUdb(v(^&*YK=g(R<4o-?z zWry}Ph62*cH(+Jss*cZR@tWxD&uZYC1wy$RRf%*uq92G7?XoJ@s?syo7Om^A!84o* z!LnA{dvpA&{O)#H_c{{oZ_}!hgJS+Wk{P05l*Ao~CtZM>Bv1ioy^a02&)2^mh?>6^ zyPW+jY)rcZxxE*V0hTiTlipE~;YoIcflu^<5Ote)(&>vz^8jokDF zBe1~t^-~cS_VxR^H=ivF2yBz%gfR#R(0@URFCQ>T2oLACA>!sY>l4qMcDkKGTs|#m z_9}r_g-PHqt2mIq=@QM$=V4>Mp#xDzLZz3|O&2~ss7ZMgy-?hTTExr9--#wgtGmk5 zX~?m)GkH?g6UQ<_;*{LtD2(HX-Ccd!68Z;JAap*iMriR)UP$b_!t(16nlRWJnA4@` z#R5b1yhwe8li7XTcgNh;GrK>&5bb+hJd77T<$7N{$k!!aJlKSW{H3Ly620`sxexaU zDthHD%XOX-eJjSV^49o0k|lzIl(Rf1DFBJ@I?T-r;XIFqb^r&tHd9EoTD3HMpZNZ)l`Zycn>f#!N}D;w%A;q_Bum zd2zrdmx~th8zNX$`ur0D9TxmT@~7-Tcvv<1LC|I$}fis_z~@9IAJc-Kghlp%3G}Lbh8kds`Rd=;>N+05XRQrKSnWxu zlf3eUIqXN0am{R?$vhW}vMC+jdowd%wBXVH2~>)+#ECa1DL2< zFO=@6sB~s~Zp2aA{3vr74+cDdi1H11D~G-YviL|lSSZWKb@2DNbq@CG!@r2e82r9h z4?4ddwtWUeHv|tdJKjXv`0OqV>a-_xQ7*}!yPm=1;XV4c+z;i+{5(KKZti0azdZLS@?)V$w$p7p<$My zX&|Z74qFUYruoH8f2%wFRwI{g6EA*5dem1`8&uSvcNekC0%0Q5N~Jf~EcXV}CUp5$ z-HGU!BPUrwyv@frXQdj>Q)*P;sTD6t21MmgS?rC}(hP((9s51JVECsGHsMr(<8tta zu67EU;s1V$v|RAWP#p@p%@)(LIa?+hdWNI^{feX{UC!$;Ypj2p8vl>!nJdKF6;LWS zLVW9kXthq~O;_U%s#=2gz{k!^!Fd5FCrI^x4J>i**&IwEe@*=R<0{ikB|gCyN@6y zzR{V*_GlS0NmI{p&lcI5zB+GTWc7WBy_pV}%6!?MEFI3Tc);&}0I&Of)BRH`@R7jC z9S^0Jy$c^DhN_9;^XlEFuOuAYPRy*ps!#V8OYHZNgaoLhsQU+=XNZER8Ws4w<+~Qg z#kKvGyz##U?DKa6IBe;8>vEm7GuEPrVcX{ln zrE2xj)4iho`nAW=eo0%9b`evU=6JMI$Zh4}BuAxxV?(r+fIr1qn9Ks{B|N7Lb-k|% zqk01mGMf7DQbnLV{%Ug^Ii=dLxaA@>X=qo0&#D??t5aNkOXsVrfpT3fu$XDHUSDUFDTol)0L2gw=;5lwspa2%H zhSz6JM8af>SLVG;UysC7{v|f*zujMs`|F*w@52*56U3$LnXBk~d~8zhtoPtikHOV; zd^t_ijy!gA-u|Qa-NH0On@$A>x1I*6U&V2m7&GJA7(d%2IgkVMUgcvoLCMKya1r&K zZV|PnUyBsp+{TtVE|NbAWzMxbZK~=LOtjh|8G+avoYNB(ZGO*%P7)492n8^OC6Y{3@l{Y@K1W?cFi^ zOw-rL#u4cRf6PZcFp0Du;3}S!MEaEsd`Quaz{y=WL~t-1+-?7x|evM5srdX3Wp!k;{? zn6w+?5t`_a`p8h5cT`9%ce=O6;RSo^KJtV-R{X=8_uqG*XW~!iDv_xcirD8rZ>Z+q zqJx*`TO6s3Lit$NFVgFKT*$O<{C{$UMvfbytS9#j!xl8lw~pLxMWOup|0}+{=k-6J zUGQWMFMUC;1y*#JiR)|ZdJgA*o`(PbDb@Tx$^w`I7aR?jdHKS!X23MP>h3d@|P zx-_0fBh3+e6#^3`ULUKNy$ASzN=p@pugFYKU#v|68UE}{8y{Zd)!cQ$Jx}X@ctdjf zTgQ1_SaVwT>Fo{vNIUu~ftJL=%jws2D68_qca#xd~OVPfJe)8_1->ni_@-K7+L)SUk`B zhE2B|&oK^06L3zUnH2=X@BzjJoy$iJeY(x}aDGz?O@_9E)&;7JKlx!NSFBr?h1W{% zZx;yny;{2stWr-N4is26kok`Vw0qa59*5SSSM(1ol(FhlmP&5sqvbjmk2!20a>W~^ z(@iH?D<@OLMEMRm(Y`@`HhD1?#k0WeZ(O#jELA zl}(5UPh)M4sigtJuj!q(&{HlC+LdRe_UaWYx33Fwr8C$&YcDjj_5+QMq!S=Hh+yzRP^bU zQ=nA{!p9PIdk$#yq?}RX+_3}4?|R>T3nSU|%9nzVuk<)u7I6A?mxD^B8Vo<5${Sa- zj9NFUP;NA>v%2JrI;%I0z<4P^k#)7$PUPheIV-%~1+3lsV`h>+L8S|)40!y5Qo>Sh zxvFKU<-}6frPZ2tUM`x9R4O8pF>^zM`puk#`tmYiVxY#TKgxSp&R5W#*{F>ivW_!!9$u#7mST}q|&3M$pB*5)ge`wX*bM0mv9 z{1m+(C|A5v!6o-IDoMeejrDN-5_fZ8q#W<0a7C`OT8~+~1`gY1Qmc5;3M8jvX|cw{ z+|b&>Mt3|}-oy<$J9SM8uc(gEY*RlgZ=m;WR4djxJ85{5he)-~(>DFSMTIORC!Ikg zFzu?R7N2Z%fEe4AMHecTpdY#2v8q6g@yUcWIs?h37~uInC^Aa!rBbO-qYSM9%2&8( z+rCZ&bgLP2{}roLuyIMQ?-ttqdwy6O4h^j?e{m>q#kp3~mXm*f<;k{E$DwI;(4r^RMvS8w<8%E*&zVWj*=&%GFoAN>pOnJs(e?K73aCwX-E13DaAJ zk?Y@rT={ItymkGpbLo271TI5k7w*6PGGWvkVXO_T2o^y2Rq z2&jG;I1T!>59(a0(ta=r6Uq0d-H6UJJFahBk=hceN8>;1niDD2v0Xx>pOnMO(JNgV z-`q(m>N6JZLSqW;D}F4$5uCNlZ=RB~gJvIXS$!`zeIgZ-$Nk$R@buc9k2c%X@&YAm z!&~ZBZ>@Ixlpq_^K7LP;L?nx6ZtY~6&ppsA*VGCz_QqX3J3$>+veqxzZ1clRne z?h3v5DdXz|LKrsT;=t{_tJ&m$G%!qcgO#m|hjYxue~AjygIxwWt+XH#sn_+@1B=@ z0tj0eCkxl?vBXf>45v#I8zUK`wp-=QO2qzDduYwvqwTdRndwd(Gbc9DYx1aU0XrR6 z((3vpdfEYTA$n^byDb*)3(^4h^N_k zgfST;ARpb&wzp`JpM0}2Os8j*sSx*dt+qi@9(^nMW}huwXgF}oZLOP< zKTYi5Eka1Zbc^u99MB?0g%NE}D9!JX95?zxzDpJVnNyXAyX7O;g(^N$fI0;6>M@}@ z5gATeA{eSX5aRv(wNuG;?Et`Ro^}klmb|7KRj`)-Cyr^^#MB6KvYb}t<|{PiHL z8VR@O@JMIODPF#7)H2j$72SzoZT;m1?{1ggLZ)bK=x}Fu6{y+N^vA{su^7Uh=<8_b zL|&~-Ld0L-+nH(Wv`5vRCF>(Un+mSCzoiEdsd!SN@r<_~j?rv7={KHJNG7nkbzq=`v(%h~#*$$#UDskn;-smYZe74aAI=#s1p zUnxZ7zPC#GSz~O+gf_V;Rk~Uplo9?d$Jf@M!1J3650vrT`|feON>G|I6G}b$d|4MS z+U!pwxq93rNfO*@w_98gr&p6;W3zaicv!PBwCx}5Z6ezhXD`3&veqSjP5hcHd(&%R zl#RZE2wlBKiE{oUpjzZ+ztv4hAiwc6=tn}o$}Ibolx_L1X>x)4>x%nQ4hgm&oA1HU z%AJf*Gi30y&#bTeqn16PC8h7dlb!kqw{3Cv-Vl$2QHwvmxIIy*zq{z$6J&?Sl>ac1 zKdmf}lX}^HFWz2weR%8Mp}`+_)&9C#Soo1_^gqMM3OXV$=90G9cKu5g{lI0d&zF2K z>s&n1$6o)2H;h5OLv4VpUZHR5Pa~TWHPb!xEn9_TC41AKdxj|dKST4moZ>K^`OT}2 z_n9WSm*mb|#qU8+U@eW$&j)IE=bO3V{Gd!dE4=kqfcv%#Cdr0$y$Ojl^UXEq6UD?| zqshKKy~G3FIt+;YiL+m2yM;QXCJ0;n_du=-ZT@h$Cuvfs0fVKp097$c7tcr8~htDjVyNjgA3n0K2nKw zGriir7y;YQyU(6Y>$lQKAX|fb4tmc})bR(2HOqSc$A)XFdD+VswDG#Prc0w^h&(X~ z*BfKwYv`Ep^4;1EbAxZ*>wC`ru63GK$maZ2aBGt$Tb}9r7!FJ%o(>W20A^Y&X%(2V zF5-ljk6Z%XJ5?gYi_^ZNFfBM|R9s-a5*ng%_%Oyr9Zxln*KSNU@Yf)t>PO|NjVh>S z_88Nt34E;}yh2G!lYBNJmFUSBZ5+;HkvLb-C?NHhIJRb7#rJc@8OHpV0KvY36xE|b zm2$5Wveb~=r(G*v=0;*TBzdagU4)o_5EI}fUvbFlXGGab%Vqjwjrg8sICf7sZ=(k% zw4X$pKLAN&5s?w!bWX+af<7_TNXtXTQ@!A7g<-}hmJLeKztn1sj^u(^rMkZ~j>l4M zp7>xi14BnqzmWZ%CdV1QZu2NxD%4G7il=Jm78Jc?XtdTsQmj)o%Z^Dfels8YgaV2P zWxh1K3MpHX@P_LuOc|vp+4KrgHwcLL2$KC&3JJ=6-YL~&3vp5_7-1t7^Hy&kPFYRB zjYsEm-K9xN7m$#VvOV0@RdO?@{)D5qSyKA)D%jGyq3#$r#LE1Ym@dx|5s1bG^gwHO zi4lbg0*AjgYz5w-2jA(>#IXarVeEd2t|6{sAlNYj@7aO(fgt~25Hk>s1$ZBDClUzzAU@!m&CJvX zNIL>VVW!J;27tCYjj~FYyJ0p1fLWbJUZoI)mWp~~1BF?E@d%J%1PE*H%Sf&uZUf2J z2E!S@A2Ukum9`HzTRVTFM4?}S-JBjIA2+uM8cao@oud2*4QnW?!J_T`xx^@|SqKo? znKL$^bkH4Q@Ez$40`l+fPru6%APl*;gbmEWiSqMVQf?M- z#t(i~9A%YR)H_|Y9j76ju)!z*lqA{?-;j*5I+>egGUJE3N`btpn7d*3a2|NEC5V8s zmG5{__RzE5CJekM;OIcvT(Oyn`(T$Nst>>F7rY4jRcHibM)d>43IS4I^GFnYM=+CZ zJ0pa)dW-<^&V4Brg%SakS%KU^cN)PUSfEF#SWnl9XgNUxb08ORrV7@sQM4Vmp-mL} z5vX(6`7-j;dG`L333DEWSO^x?py_8~NEx?>cf_?KlLO1=;*5|QJ%(L7EeRPQ#||Hp zqhW0GckCuB0G6C#_>xJ$QsUPyq1wMAG^t^rtEA)m)H^uT z3Vp?jc@GLEDzx2$STjL3NTU3AzakO}r&)A`?CV0z)~(3_mSdl+biaidr59~kU^)^J zyYAR142&xd#4AdSnEKn13z?636i4B_NX)MyY09Aqf1g7?!II1<_8Ux*=HXjJEQaYTXJZeav$;B8A&|R6BzGtJXCDPs) z4^Wu1FuIQF-%X}rtZIkZM}%7JUse%x#h|H1Z1Q#quoVF-d+d_ z*)RnW{AYXTo8rs0ENBrg)?R4S=y?F#XDr{B{A$$9hB`6AG_<^G4*rCJ&fw_Zj!(he z^r^nrei&Yh>0hbOM`DyLbBi@?I=4p}!|08;G~nXt@ ztjdGrul&r}Cv-k=!a`4Y&2b0~ywVjh-SmHmeUHgYH&!DEB{ebep?StJ= zMwh+VpS_cXyP+d)VxyEPieiJ5HyI&i(vFQ!Y#VLNbCpoccQaG@`|1hhk+VSP$NY(5 z);Su1Z6l}$qio9uC7sl&>%yw*SZI=atN792!pe`^=hqg_G`3Nw^cANErBR^t)xP(i zPnTWw0x9Rr@!<9eibumeyVAVXbO2w;RD||+$@14Q`P%lC^Q9L*C+u);XqNMdU;KL8 z7IE|0{a_`O*y+TNS%>5|K0p=0nY+~w0(2TDLLzUWDg>*0xLo;MepYjK;!<$-D*2WG z1II*7IdJ}y@?j$huG=VS+g$B_9LZ5Qgrb3Z5v#q+bqFI`A9meyHyHh0M0ojQywHt0( zzg=7`+aZ%mclZrz^(Cz{6sh?U`?MxhQOkIe6;c?`f`~@iT@mcsDvV>fJD@bwWWnhh zPjYbC(55149N84-H&psQK9-RZ?_fp=4^#S7TGTS`Zl1AZ3Y+I0Q)G|&097GDSHNqu zrkF;!bnrr?h}*=TxxYoT!Q#3B9es#0rgEOkfUlZA{=Q^D_`h;mY?9uswwJn$6}$F> zgEjtaft9m~)ATLAJ-Px*y{svv!JcfBp*90c0jarB?3ldPjqa|irpqL6@;dW8XuJ3LL4)*9!DvQnianl$;=r5uZDRuz$~ zO}fIQQVUUXH-f`Cdq*!H$j1zjQjR>~<;=b4W?hGN+VUjY35Emy^ioRwOrfAw$)us8 zo|VK*J|c^hjlpU@iKK2%kcc*r$?yF}IctcQ)VDs64l1NnBEw6<=b3YlG%i^D6DYCe zQ6h0qt3g!@1<=pN1L(7cG#1maItw{cu{O@~Fo&nb3;&rKnUE}eO$4TXq*D3_FUKjc zAfZ|o>>H^?r@>hp44~J{y(|1WU`;=)jg=-gU8Nyzpm?~T@%m4msyvnc$fmSoHD|2+ zrL^K)c^~?!v3Oq`mHDc%rz5*C+@2`cK*1*C_wiIztAobaXb& zzD8X!&$;5#r{3?YSJZKJg?TxWhXZa7e3md&3e*>&bOe$(R%Bf_tVz zGbOlWt9Dx#qM#gott`2C%@i<`MB@dR*VldJj!Z|VnXq6u*+c(PYsOFNI<_5V<#d2e zb>Q5dE({CjAL^n1y8aE!v24wH?*tk-28|GaMlu2@-H&*WzKP@+8$#L>@Fem{m!LE@ z9rRf6m!a4Xp-f-Qiiz3KWSqmR8oqc2YK}yoiWyqqTsgNVctaBQ_7yly=3t5yXg(NU zo??C2dFFBNZ`;;b7^=ah7*h2@3e`iFNWOYAA?-@&i#|=jgG~~cAZhs0s}HpE>y6GV zxB(gro#Ygt>$!K?OZvb@VD+*JvGQ`YRJj99Y+sJrdXH62WjL942lu)DUcNd+8~e~v z;4X=s&W&ryZ{IAFd3=| z{qEScxbZM=0GY z*`^zI8@IW8IKQt@+Wa*diLzJgVjXO7BPEiQmZT_Rrbm;V_;V+y0(p93tcI7NzrN=} zWofTq&-LL3e1ugSq8LBG2VGgwwW#~$rm7Vt1uFQZ>oyTY2KC#)bkwytaXC$phml3y zs?-`V2XVS+k?}*s4j>5zT7uK?eV{3?&;eu=r8u}nj!I`LmX7FAmn~Kz&7rDbZI}@! zIUW`-0O@G(g%7D3(YWT-jlP)z-++P_;#Bc9uNc{<(~onj1+K* z=l|oyr9sbPU=lRK1R7yJP0)uV7_E|Z*NNLBQu2cC)^yPuJ&q(?l%A4BZx;RZiW!sDOb*h;sQ%E$Mr>q`b7jr2%L(GFZWK4P4DXml z^=v1;QsM`1fJTTxBUu64%*F}lbzdG~Nq2RdE{_vOFCWxj+XMH+jOg#;Dm8PMBm~pX zV345M55#w!AGVo$51=!)pUXP6$e;n}X~xsB-KBLT_;*C;i9v$1)-}{9RWwxgIF2d? zC4-x1yyS&{pfKUxGfeQ4cTjorAsiKy%Ma}dh(kz^%$~xhq9V(vwebppy!7w~y>u+Y ze|m_1f4ok>PTy1e5r;^vh@6#x>24yoF0Q@{Kp=WeB0t^%S}qSsV;aTVV>s6lJ4X>; zec}fv1~`&Mb(THTept?W@I5jOhM~qKriYe1wYtxF2%ZH&yW=3%%%21nYME#$|GYVL3x!dr%Al3QM{^NysBAT z2v+9m^r6CF1O+q_3mQ2*O_+vFvW4KCigm$#QV!@C_sJ7U2w`3`_uW8!i?6*pRP|^l+p@LHnH?nfHAkNA=X6yzM)5g@l}J1s?aEgC$DmHaoFhSZ z*A1D>CTUoN{^Z4D_KLQt_bIM}_-Z&{X7~8ZVm#^~3AfO!_0$TcYMigwfvlDU#;0hb zr%EwHQ@ks^&vZjuS3c~d@nJ>}a39XnkQL*$m#F>*pIGdkN%4Oezrk&XcZdxH-Zu#2 zz&fS;65IJ3K#2%$oc*LYne4dqo88X#f}qi)mSBNxBz)IJfGo-sGR6&1B&20{Xq9$|4$S)>8DB z%$DPEXm`sMI~&1i+t3|=Hh|=djr3B*!bsq*{U;?rl%N)zP@a9_Y{=9nrgC3(3)L9s zQm)-f{D?jIRyfHs>{V_1ttL~yBFRp_IWs#LPPr_!ZxeY6x@i+rwriZ-zIY_EQ3`3n z)`_=1A|5VL?q^FCzjb1Z#fzkhik*a6Ko^EEJctgr+w-65MsAdIvm@-R9TfyHSelH9 ztKbY-u)Bla*k>?c^JL%f72Fo55TnRIk>3y)bMYva1uBvogJ zxhnsbmP}AYMTTzE$tN0dvF$?kcal>GilcBTW#6sb5G>42gHjt_Z*`k4pN|6aKp-&V z;acEqESs&%uBsA(E;@(XHw-hFH6tb9RBvxGiTsh_fhZ$bDr4$HD#PwjWB-YT+^v@+ zXT*1`rw05Jj^`i91DCAjFnQ%=%bn2qA67C^bi+BUsIZvCgmT#a!+^jUz6PmZNgUP% zvuZ?zg(@Ci9DRt`Q?Pw(S0@!>51RqBWP1KXrlh~{^aB{ zF@zrxPitHE&od0iDG=v!0$-ft&>=O!jnD+`J{$g%B~|t^;U-InrLGk9a6=Uws?=i` z25K{%(%*+u{0M62A=K6pRI3kt!Ole6$Z=?c!k&K>B7ZAJ+7u2ns_*jAIpM($ySRRj zW#>D2=<1}DP3Mx+55Q^<8%-3=;n(p}LYgR~WAVt+od5H;qU$^Pb58qbc@A%P8HqvY zsPc@~cg9-sgMvn25J)!Ut>9awDt3;ICkJi30f zKMsXd{r(c&z&e6LU1#&K>@3FyF_Yv8rcs``t}!5>Q#yq1R{|mo29-o`MYH(S`{ZU8 z?t`6RW+QBYcjd$neh)eg%#Qh!VnP33n~kM$AmB18r%wKfgS4rqoeTb;4Lkh=a^OQ9 znm+w8Spbz=2ZLJ=BL}t*(=(@8yz57}&n)U=67iKeKbRqa5(+WnprKHzB?lNwp2GF* zuHfm0b}WAbzrRtJ*2vE5#5WOTCaB09=y8$Gl|$R8asI)Us*oszKB>^pcNdBI@&NW9 zsvcwn1h3zk543F0S#n7*B?k9&ehw<=mu37xJx=WBEG}m5b~xhq5tGwYi5{ z^657n$7 zPlUz><4Y`?gCi;c{p@y|44~HMkWN3PADU5_-&Mt8;8{XbN_yG6+cOnUsvcUw?Awf8)IKP?~Pdk@*_ZyH=*c5kF>Eweu;6O^|f`(bdX4dG)dtJu@f z-B7oA48&B#HSF# zmHbyVnPq=kl)x$Mk`(Il2652V17!e#n7GFFq{h^`+fKzQ_c2nG%O9lMi>v zgJ}p|()YPx0W5^n8fU>`T$0iezYQ?PImVXHm+>{kPJy4*N8c=Ui`D zDQT&1j8@%Wn;U$bTH61-x)grjKa?JOil0F>2t6ksrkL+@=Q+a?YEe9pX}m*GR5gcDX$lz7FOp@Z&?l;-R!TklU7bcoJvMPHRLVkzrKyd`P*6^7+0g+B6E zKKoTZccovon;wzO9jQ7mAHKna@p8=$haPatddB~}>S8`QYEPJc>|>y&u+QQU)!r-l z=k!=z*x@ivG~5|PA{>C-`s?25+3NT^;*SGS*ooV;s!GNnvC84uiixFB~M zT9JAhN6q&|TqSAkIy1d^gZ=Q~?ac$`kG731wkCELMRn>G{kbJm#80urAemz<3rovp z_5Ul725LI@I!iJ&Eh_y{IgnxKDFltc+8D3A~XK7MHU zK_UhpsKmq{1~7WQGjnGXU(qBgX*4DiepiU4Vw@JyT5nERN$M*MO|Pi| z>)Y|GjPa|zB|q2BIhyXLj7&e6&W|x&+80yJHnX;+TVCJdE{Jiixg}@Sb&a%T_KW93 zF`iX7<*8~ZUv<(saP+oN2Q+aHjnSe*5#suH3x1+WOr-(1%7^(`&o?ZJGmE@wnAr?bgXdwfn11-1 zr}O7%dSjQRnuN1bwr{3`6F^=hvh?m~daVOr<7ohk;Gn?E>Q+*>G(&emxpEB!n z_#8z8c*E5ibyJOT9w;6o>gyN&7!IVALUq$tTngy9Sd`NH_n{X!tE3cptm`?xm&j{a zA%+qD%Jugfj6@^qGD z_Uf*a^bv7(8*Rr6EC_A88@rlAq^x~HAy`PxMr3WQ@>3%w(meRUjV^pj%TW{>UNc*S zQFtlh5FLJc{S+NCOrZf`QB`_-9(Zw*Y&cQ0(yw=RSDeKr2*aBijjU3_o|yIXw`V{q z3Q0j-QEEjctsa@vX3~13aPNbZI`(|(zuYSTLKhqm%AYY3j_Ne~S=GqLRy}*^iAT4+ ziyb4v9a_fLmid~U_vR3>muMSyZTy_3$THpP6qZF9jRNr`z2E3u@XHXIr6z$4v=Hds zAQsZE`VC`lU_}}_siThgIm_^rQDPQRrv3BwiF%r4xVg=U%rK$gKV^iNNpyQnb1i%( z!_2ZBvj?!489J$}#~&x)BpR@dshbJi=>Z+d(7!te9fUJ(lhQ1~5>ZO10mt{jx%p7A zEzQwAq;q$1hjS>7JllF0V3~2T*ad+r%M8YT`PS4O@TBw&=RIl!02MC1u|~)7@A% zGcqvIB8K4I;}k3WR$vi{}wFbK@&@@?sVU0IAH55CaGy`61jnzCzUf z5vr>jAHLXyh?x;$2_a5f?(io@!WODucYdZ$I>C zOn2@Fr+vN`Y4!`=@u0tK98Ej;_Y2VXVdVbU-g60a%#W@--oAvDc15pXUmL6#3 aUM>{lTmEE=B71={;)M|@{67Ey0RR8Ut(!9d literal 19104 zcmaI619T=$^gbBdp4i3&6PpufVsm2K#v9wVZQI7gHs9FXeE;9>p4HjY=c#+^>Ei9~ zs;;_)3IYOB1rPciI(}cm-%{1s!O`5-hM1X^@h2lQv9Pnb)n8&3Ru`croOe{Z{ zS&5a59bC+fjENNtj4X+niIt>?)r<{^#r~Q*edoON1^X@r1Y}y|e+dwIl>d>rQvV~} zKrg&uy$B?{zY;{+V(^3TQ559R=E<-AEzn7*C1Yrb*5gYy!`h(8dt6c2;B(a($AdH} zQe&9GC0e(I4@HFu7curm>{GFJdmZ(U3 znR~KYn2J8Q@uS`41!NKvQR_qX(a!}}J=0xhdp}PL$+Y@My z&*H_xng+(cflFv!LSqR$gO9u8BN}}W-Z&7J=3j&0Blpc^W^Xcom$_hW`$bSr(Y9(a zWwX9zk{nuqabQm9=fZn>^h)ZoAnGB!x5J&o^Q7 z5~^r}{xtkonecat{M5NhcxxE~lc835adI9SlTh0Y#f%nZz+#gXr0fE89ESj(kU#|@ z8<&lhroMFjR}$%;Rts)(P8#~y;zZ&o6@`2g)dYIH($V(upF6@q{7*{F*AIE_`S-Bw zsilH}m>Jb9)3$O!<3Y6b#VljWS>^nxupFEhpvfOUkTeO8cOZug_pN9U#BgteqbSPT z3^uvWhdHXqzC_>v%)-x@Zpm~$7!Xgo^O;?xn`hQG+gqJ`|Ejc(*18V67t}4a&3zTk zDe4-}q>jK0J>LTK^5e7hc8Fwq_rcP!caL*F5C9nyUrfP}W()7?nTRXM=GMsqo!DwJRKs=K2>;{$Uy1$?qPNc$)S2jd$M$qj z>lyumis4AT6RIufe@Z&Q3T*&6Htm|6tf)`=z3gnLdDt*q9%WK_aB@$buA5!r4Uhl3 znLF0$fg!WxF$EHd-B-iP6KkSJ@zuU8>ye5958cMP5Mo>n!>_%HB>g&Yyi{A)4= z-6qp3u()?j3DBOwHta@EyPp|=qB;;@8c{?8s3&O^;^}}nasy!DmH|8ZRpIe7Jf%YC zO{==z6qiq?a=d~wDza#>uq?%hOg2k8iuJs4%v9_4|7L^#x>p-OZ`ZTn*@_$sFoU=A z#?z_4Dmz;9WX7%4p)0eFFrRR^OLv(`*R%O>c=;b2wK8e>Io5Cau-1&LlIkpnnJ3NQ zRPRddlu7R@^1?*waC5l28|}uYxPEt{f|Sk zH(H=RNFwisJ2plod~)-KCzWIZSf=1>zC~+4BWx&D4^=vA)?0hdrq<-n(f}J^(B+UP zSJ35`ZCn46R&PS0%NnMf@a0q7)^Cc0VGl$kfCFHW6*)Z$j zV;#t77e75XpXU8t7TH(x*aELO-o%MO?h3Ygq>p%aUW5k0?Z20OIDA>E z-SYtN>ND8_d9;xIpn5aYXw2f%uTWAj=_`nlz@2U|Tz^#l@wPF8T>``%$wG);=T^#c4ZTlAdhF($CexWh^5>l%B3-w8z3iP-?ltl z%(;FgEj-shuspT*5rM9Y7eEzvqV*@}HDfiELN};!hfn=m$uk(2;t0feq4sPV-0h+9 zPf44U4ZRbnRD;_aLDqx2@4@|uKkiM`XA7Z9>2Z~}&w1t}=A=ziTL)7$cv?jsE#l?E zaY@a74x3|H=eDk~dD*)bPajBwBI-d6rC^~U^h`^)VZq~*h-t!HGX z1nJ1(5`th7SYVq9d`r6aZSie$EJ=B5$j%v`=yKFQc(==49ohVFdP~Wug--vxH}^i$ zHGkFn^y^nu>$&dUPZEBT%5b^pOpD2j zX{^-LKr|^l$F``VYqSl&w3@I=njW+^;3mv{@aL{3Q2&6~4wyX*BMx?c(E@$9GSQip zz}{s~iKRI=Jow$wTO$CIX3)_J47CZQqtX^nvw>OXE}N{1$TUbR+&HPi9&oWgk2vaJ zN_jb6NwRL3FdD9!qCz_A)|ph-KapVUyRCY@qnT}Dv5+#)-wxH8gx zYpqCqWpdk$MZQ|K z&f?nH=5276AH%_$Q49bd-mdni$x`71JYrO@Dp70WnGJ+Z0#^e8Dx&4rpy`{);&>lm zX=mlyEjMADWj^oKT~AnWUh&7Xgk3T+;Q9I2oEj_YZU-D2c&Neaey22Es>Fu^fVw@0 zj00(rIU%L#uI#`z?~A6^M?Qk!i_w@~s9-QhUAxph51>b4QyMB)+>QX?t5v=pYs3;# z;J-Vh!O-C(U%hlCLR(OqGon`2tiStmu?5Opa_mi};gOUM1fWJr#NM3m+8>R2N<|*f zej^}#m9Y%d)nzV>FqmmcpHNYu$GJQlZ`SYIdFeK8_e4O&2Nu{NAw8uF9^ypoTz{Q% zZZucm8=>NBKGBlL4Bfzy%py&g`w_vJ0uZ@0;{tHKF3IGC~>~Zhbr6s&a)-{v%+|9`d{NL-dq1&Kj%rj=pL2`x{MB# zaJskl$&*~gHg6mvixKc{?3Bd1c8d-4m?CEz48l`3s@7gyT_aP1^5K9nKvZMWV{zS9 zq0xXNrUydnf(ygq=aL@KViZHU8YS94I@IBHdi;& ztpz=;Rzuk2EApEao6o$uZO$i-{`h#WQljP5q?ciPb!(=0dF$LRvfj9FW=~}jYOz~- zSgl5$))_YxjU-Pc5^AL+UtCp##K6Yjq1j(;liUF^FmS_sg`+a$0IRbFrGsQpfSpc}n9dy`jf)T3l5Q$?$bH z*$QdGK&%-EglB1^?>jchfFENaNq+iGT&P~Q&_x9`ObL)^NFO+!Tw{^LLEoew>P@t! zp+tzc{zH*C(O$k+$j01Bxj#7eurA889-de+I40vGx=FcymqE#5KWCYt#bl{lNA^ZK zc{t(nSh8x`qLXQ@YPxV#%Rf_`zc=13m5GPD6&e>m7HhS#G1}ggmdd#}85L<-6_F+0 zTo$&NR*ueXXy@F=wt~1|$04JmeKIL)HQr3S8=5IScE{J&MB1$Up5~&GH?pa=-wi*u zNg#kOFYLdG7%f$*xoW(jeoc2tbB|A;mU1RBIG z=vKWttyGX2<3P2BKDyPNL#t~=b~k3M=`BURkyBF#$9hU3t9o1^t4^etd8Zu;@{-;u z<1?Wsus(z6teU@X&ra>n&u-qNhbg#q?2*Gyrh^Ns`&}IWwiN4I05@Zogu_&Co;W!d z*9KnP0(Y+!iFLlxr>`lFQP(X_o9BHC57p4}%WZ_bI@=!CPCEY)mET0&H_`J=Y@YS) zdnQd-9vTNZPLWO%KMEc8Ev)POmbY~U{eL2+g+~SmIlU~Bv0vw*)~a6K=cqu;IT>7M zWuQqPm4Y?lBN<_wQZh83F>lBvBx>}4{nH+idl#1zw-Qe_U_nDYU?J@rtKayc@g4t0 z+Ba6yy19toS8PnM@dPsO8SU$C$bLmePTX+KJ;q5b0C&6($~2%teh?4 z?{D}mXo2tCFM)7w;i4kS98b>Uo_2mWp{)U;57RIHbGW%*7t`vIv47V|Qw#EJIUZ-=sYGda_I@V=6 z3K^@%Zpe679L*bl(vr`13GOhy1({>RhB}UHL0brsbl}sXg{cK+>MP2Uy4+cC`QGB8TmL=;Zl`&}mwQ880yo{EyEyaQOx(eO zMDyN_3;~Wsl%2}ng^}boHU|pa8I?kz296`j5UK^km%oa*m7NbMP*Oc9EddL?tNc$U zHn@!_ms(EX>zq z)iMZPxpe5@Is4;-y(2B(R9V$GrT!l^_f1JOd{beSjR+g&7%>?oX?!0NNs3D-URDB8 za^VBs2mJ7WH!oj|k)$<9yb#9i&Y9!j;+7fPtjFr%`y2rz)S_UN0TSweKQL6Q-nh1E z(NO!N&M}W`$kh$FgxIvIn%yA$BcD&T^F|KWmcRoFmhZ33;3qIRu)zbgY_igt{@|;3 zX1F$9HBT>Dr^wD&TQ-?9IGp^74HR1J)!VkRy;^B=-ZDZx{1lL&z=Q_|KP5x|h(Fs& zAiT`jWU}JWTHrZuo-FwjHDmsJaV=#=-qw1$<=CVq_0q|uaQBFBcJ=5Hs3s%vvw(h% z_OA~N8_Lk8dD#p6(H?V>kvaHYI`0swFyqCXyfMBArUOsiSy*k{e12yD(QT@b?o0g3|U3Yaw(P!26xJRV~_Zd3D10`D3Ob-PGu4Ie9K*@Nt=F-yjq8s z-fTi$l1Gf@&@Y*r9q7VX1tH!X@l3SA3NE;QhN$d*SIC^KF=RsD4stX?Uph)O zLht1+HCmA(oWZj{?`*`^ege&Lkvllp7uXcoz6hXVxg%)1Eo0BqbeE&)Rou4I7EhGNZXMhRQ z9YNoR{ZC0KBqt4UV6Edd0>xyIE*+*p2?G5|nE` z86pWUp{6qX?>nMI(OmWlo4gf3N4|0YBr?3>$We%kj8j}Ul4fb~%F$kp3}>)ioazNQvNA%~ zAJ7MD^2_Y?-0R~l&>b@b={g$)q4z+hn5+b(gNnt!aWP> z>QI_ul#xZf`?h=3BUQ8sm8UjNCWt`v$@a5cSKLJzZNvT_JDIBl8AtFmPRdP5zXI(P z5kq3(Wg&MabQt zIwiEMzcVnu62@?^`uxD}F7H_9uD=~x-6cOM)Uo5*@SmYw5BZXd6I zWqVBp7+hS~3bYb9A~7ZnvJ=kSsT+n$(|xQ}?NHN#Ktf;ryshpIpx9oNMj}&(vLC-= zDJjF)%k^V@Kw|*vwa1-g=3{bcuK68OyiF6&EOzK>T|lrm!^!2IKLDM%^RVYlT5(kR z>;=5eOb9lXZiN-nCB*yZuDUt>;{_=}I>c;G%W$V(igok13+z9&m|#yH#k&r0bk@ZA z&}-##S8J9b&FCRZstyC#VPM>%81*Zr??Af_pgoS}xFhwj6kc-09^f&Lp$LkvBeN3I z{1Cx-*M{VGZYs#gs5%83@RQTA-Fk>i*f|fao}2Z zw+Uj0CorHS-j4;6Wp)%eriQ>(E$p6wpoRu;c7vB~K^(eCOq`&boe1tHVd`I(41z`^ znr6L|EUM$SHf~Amc_-ba_lO=v1*M5t4jEBsGs@TjIP6TJ{YwFWANaa@i)=-_knAA{FiOKe&QkkNx_;~*|3 zh~4mH{$fyS#d8n{@nCEj-3CQimo(L9dWV5_|1Iz%+55xx@$v(){pc6S6xoMmp&sDg zrO-F{zGwWNDbA-$<_qkUWAuI|&Zj`;3*nSw>|Q?3hjrdm7zy|w{LdM3o=Jii@cb*3 zN$_2Ka7Of9b?}(P2YU~8aG8W3`bGk!2b)e}W5gt?S;7W2NliSMalF^`+>cJ;cez+UH&=_$q zjy)rk?citiL03{ju}%;^0XX-8Q1={&I2ddaSpx3fE`(O;6{^vk=s=l`SPen9)9?a6eSXK88wpqj!BFSGK7QOk@Nc$bAftR<2@wQD+kD%b$AGmt7lK5Cc>!eTv?i)WDxC*x z)dh^}%~>S`sP}ZjViWuS?XDwf!&ke}OiRhS3fPIiG;OgNi8^z8R25KVb%ElJLc3ve4`B_y z_&SS2sRB$YFKjLf>cjTzkp<_S6LCxH9r(A-X&yT5Ip?Wt=0g>)AL>;`RK$XFG<38d zHuriMd`P}v@PEySn{RyB3%qpSXkRQ9N5AaEU+|@+j@fRL7$e(?6+0&-ifS5820jpV z?^x}&Pjlw{ZKl6!-k?ggN3h9dd4D@G!xY-v7=Af+{uV$vgipRTrATzN@kSkAIQMjm8wBS6t>mNy7A%YE3)tFYrzNw4nIPi@rd_C$bzNag%ej#yu|1$UqeUwH@?%NeDowpW zo5n1Do>aMH1R!g~l~=+7Ble`@zBmSFVx7pz2DAhrMT72c^q{lyk|amt z#k#OotPh-YA5!hS1F8;72{ z7RKe=>k&_#I)VVsu&19ZVyQx)nN)Ec`_=BBB-8r`hnT=G>96hm@1bb0=e9iU{Sv>u z4gVkh3@iiC>VdXy-Jnnkqr+%K=Rf|-1k#ke*opsFAJzZie)>P1R{zUkLyl!f9Q?Gj zA5B#d`4qGtZF=O%Un}>&`?zX(u~s1JzVfCTk@)4?U%n*IIbATHL+0CjPriQ63B^x5vD>AG9Vz%zt6(pB zDS!AaKRof(ME~88&br5Z)rYdg!ZY>VRHoMZ3a(D4)>kO76Dd8$P_LsZh2$%^(NN3x z*0Bcw+V3=OPI~8|MX~+I6(0r$euftD=7USSMd1>tzrMj(>##}x!D$%Qr|~U8BTz5* zxkD4LO%xikk^7)h;SQ^#;(Tp}3g>mtm!mOSuB&7{Py~uaBx$t!4Il}!(VL?{cc85CTfvWgiZ#K-HpDnp%?u5QiJCXSQ(?>z74ny-zWem#zw0t>vhWFUzoqqi3)ZV!!U z52E-gV$HEF@(bBuaQl4P61SwWStNET0%d7%fdWG7o2KaJcx(u!t?+#@Q}?TM2E+4F zJ0OmHQ|mD4oW*yV=h$tE&SyoeMYU#(r)<{oOT{pC{z3UfEMLPaGb<&7ZGo3t-@x|0W6t+KCYDF^G+r)8x2#M(ZglCdJABNhv}&WJ z#rQLjnK_Luw^_=Z4{Cgd9cqhXt=JNEOIBFYhjL$&R#n;;8P@FOi{cF%)K5)xtcT)6 z_8zV99`kNCkd8b@8IE_RHfb4rl9`OBK#vo#;y+hTCTBpYl1=l;X1uIbm!{2AjJJv= z&59+9B`4m6`)I(vK4R75MYHO9(o8+|OOav4>ayA6%w(fQlwn0pjjnCu`IKVQMw!}K z6zR*o;LEt^*-juG_ z*af>fwZ?~B2ls-xb9$3hnYw?YlzH)7D$w%YdF{}psJy2j5DxBC%G-FOymdI=zcTsRzZf8r2+Yl?k9rSC3|yYk87I!P*Jk z?Rs*b;3_AFJu%%^&K7e|7gx{W?Ljy#P|>njlhGx7ivQliw?Ub1nfv~qVv|aSIdsKM zSEGC1k~Qm8Rgo04hL4_UvuZhZ#-c}6*d-7nq9IAHVoR-hMmfAH+_dn#vCS{xm#JSB z&yhT>*IP^n>kPZU3L4ionhI_)a+6X zN#adQ)M`H=G0s`5*g)B5m9((+bI}$XOp+Lx3!aClPX?xmX6ES z`GPKv21-@sGfQXMBE^Cp9blqn1MpVHw<1uZn|0xWZ9Oa2^bRoL@dbChyD0Z>V?{uV zu{pddP0qcUS&NC?L=$LOr(N;uEJ?g=>2X7IHGFztRa)SQl3SsOYdx*%pXuxCnqAJC zj4$|c5r1SVl$?Ci$=FaOaUJB4VZ)z_#`GzvF8+1ul<}%@t2)J+=2)iX{tv;s+7v!y z%=y)B>Nov#3yk$5i>`%q>&g43b=Dyh&t_I78xE7TD7fudG?+&DUO;@&%#vxw;%6GI z+{U7!Z<)Fk$15Fc(ho1nsyS-U35qH>fR7Wr1q}xOaElQt=((IRwUTsLgfG)t)W`%gnUJsGX`Ci%?jH<1*Z zb-UcV)!`At*M6Yhl7ii{JQS5|mSlIF^3fwS(^JA3!ug;}yF&(vZgQLBb_&_Z-;K_b zJ7&y(fW)B}Bo2*^IGXAze3psaD$|08$czkY>)IzJCvSTfgPOX^b%&*;D!GZd5lNQ) zwNZ92kxZg|>t*Y=_%kh}G(Buu3Z+_tjv@&_6cD8o9HF_Cw_bkz>D|0 zbRuN0Y@@G9$hq?+Dg`{Mb_6nZksm|?N1AiIaKTFO_-`1Lfz8e3*E&zpw1SmMZ7$;z zL68m|q31K?Ih>~QiA)`qZu}$dPCm(vrd__{{HUw^YS>h_-SeMwtnFW_@r>0yf0tRq zY`Mnct(L64C0Xw3ldjMs&RuU}TL!{Jp@5kK4R7;PIh$wZ#{(9msxyW4C*88;Oy<0; zo+fkub?@xFnZB$$NqNcot^H6-(pmt=>9m>X@t0nFRl=~7;%c`|U>E-lx&$C04`EH4 zkdJBAn6T69vAe6lZ;59-HB>E=Pp5}JT|f@;H0|KdrpMP&a^18CIcYN`KHK!Hd9rE3 zh;02bS*+&A2FvAPkTr1at}v+n!um_^yveq4lAOQg!ld14RBz+PPV+C`h0$U0@3iWv zVYK(V7g(=3prbM2ig9(1jM&;x(?e67i~UP8#kJU5b=MaZ`dI{jO)JY4_E^e42^+kA zmNG8mc840crntic=a+$xoD`-l{tJ$ltNxzdJnxTNvo}XMm*YcCGeVLcJ)8X)#-5s| zFGHZ|e#`1IQO-N9UEi+25B$d(y{%J=_t*EFe09LrUzA(#4^@fR6g?mCRs7F{zMYmA zHMg%sV8iN+>gBm<#kT4v3H!u%M$tE~w;>Ah&9>*{v4jcv3yF=>myc@x z^YoBOwa4spXqxFw>&sj13o~wiqULL8V!o&O=6IQCv&V<4i~023V!vml|4T~xZJqG`(K{vDm$Kg1>&3S5UcS+X{Xc@TxHT8qXwig%NktGMfG7r|H z4py6!My$jvqLP5kI3i>dk_5Re`$xl=dz8iIj_sUB|KQCd!RmgF>k|oDGgPAwax14> zz^p9#&`czxcWM{Sqlr;2TnvEbxHn zj!Ww!TUqaCA}re}h1icp5Rwsf>NCV{#}PFP@DBYaP*^5`a*UqK8?YG!SBE2;6p66N zD0b!g2PkC}*21ml!6=niKOp-1K}k~Vx{@(_(8)a6e8LDe%NAMfy~5z+=LhD+W5e>VJ3D^l`Z-DZy0+eGELBg7hQxD9OqLu@*0_7jZ2o@X62U4w#6rClsK6w{8@oE(6fNwNHSj);KFrK}H0(OWKP~}EZ|FuN#5IE4tiQ9}h-+g|K&)9pqgfvi z+#~lZUWc~Kd%gfp>61{DT^Pw5b>Rw~hh`^a`)Z8|I@x|$N)qiV%o`6nQgXKRYqTjz z-4KrOYfDF6Em4XUZa5jE_em)f|A|dvP&GuMet4N5wydb?-Vhqsy{ffqcQXAw8T0~d zsAdid)Ou;c`Hi|lUPRP_&t+k)PQ+a|ua)__AqS z{)CNb9*2QNbSLR?5=t~>mifXO5~d$9qwfj)8Il``Lc*q7TXTvMJb*|7R-KQJabpM0 zs6tTa*1F5biC5mtJNHlI$a~N`vsMasszF`K6Xd84KcHq?AyUFmEn){%5pL1=fL_{G z7~!o5yWN(%TuVUAlhw_@Y~50$yldhj#P|nO-ZYXmxLlgYMMiaJ%yK9ASL7cQoB)PSaw(3TxV_DGnz69^x=q&{@q zHCGsO3*T9xjg1A&H>%2f@TQ=k5Eu!#Cbn-gqL4ThkOaYG=?S-xh-7=EmW_DQK*aM! zYz7c~3GVCCbZv_S-LC*3bQWUL;fD&$SO{*w&{5AWW=DO(%!#!Dp*z0>oGdy1{n=~4 zYbVT!>y{IWj-~u^7fynebp4wbs){e{j?&~96n>jiQdSRr8cmN82k1Sl!^UR{4g%D! zi@b2J5_~F=1S0(M8$F};i8HujHdr>oG+^PR?c)q2eAG-_fbG*+s>et#Z#Xr)uWfnk z723$<>ezpPzkl-ZRmi5_V=%c&DW_`l6yUS7YFA`ydYX`$yYDWN*kUr|zBWmHQ@z@5 z(X9=9-K=?0w;~MA3y})Yl%+pzDxZM8AF|l^v{|0MW%W)3i$LD+8GZE@MEUtN-GD_zdy%=@LCo}@D%yJqbrv2YPm~oNB3G6bo+4{)dS%({WSXCP zL;Du9QyI6f2rtfL+qMUIy4g$yKg^n%2T;m4y;9PN&6}^yyU+Ni)V51rWUtMASiRD> zG2}6O;9?GzcjDgRc;lJ2$;3jRq7L)CJl)B+{}PV1;M)2kE{!-X3%O`^O8kCVLXiny zSko1_YKiFLY+SWX%y`l|IQlqhb?;%Y*|H7&j`qE;JkQoD#+K|qSW1Lz9VLp#E3O6H zHJo2|!g^FcKT^`*BckIIa)ZO9%l{7Drj@+Tpn&!1An&tHC4Xi}fao!g-)WIWd;g4s z=y8ScKa#-fjN@f)T%A?E0vR(j)@$E`R!lASM=^YU2O{M8nLp;RcQRHcj6Psd3`ID(FPuZ<%jLfYeScYl4# z%sKQv1wDkRwA8QfQaK)S`ongsiwD`g2p5UC!zZ6`xQ;1c`^WxOqr=?L!)mrLT3m4i zOj$~MGqdCO%`Fw%BtY;aJQ^$x)?FTP&HH~H0OJnM#SBpn!NP^ZwKH3vc2|+P+?94^ zZ}BksKH6ch5(B1mE67g+cBnBc;VynJxhHAKohe^VQ{|EHXds}=FZ_O6eK`cGgXwxP=*yuw$+Snx*&bA5qm+~L;VpZ z4_Ne7Ja36nR>L|Y)~4jm9kyP+&oUqryZT-+7_0|Gj7UqM-lm=LJvR8h{Mg{pFkMI@ zX^@jFiJA{WL6|0)G9T>M@HkpQAFXtk4t1OOgUG34#ixo2 z*k@#y*27EwTL~toy%0uwa3-PoCwp(MCbfs+KoS;BW}Py7Ku#);S{!6A=OX>X04aqJ0hd_rX%UvM3|D2&gJH)uoT?9xDGzR*K5=C}&Sr%6nBb*OUFHD~ldR{EM^vl$&P}g?5B?MostD zs@ca$LEFV~@rK`ebMl?cf49irH=0xhSwc#5Y(ay`7}r*p@p_Qk=W*2}ZhSv@3XJ6! z#iJO8MobHcuQ@?g1xqoUL2hGG!wpfaPxj0)2R;1 z3gUxCJMW_#ffsZI?i-y;h(i~PM=~x}K1ckS9EnW_u7_Xy z1DOf2Md-TPKB8bB@sORw4c2Sdj?HS|!0xK|FH`e7dnA&~ee_^9+zsVR{~!K=*RY#k zD>3+Z8ZE`x8C=Cp%7j;iOm@<)4i@^Gv%Q0{`5DYxra5o`*WGnZb`Tifvw9zW2Zz7= zc2@`2gQJ3WPzx4%i1!0=L^zrCfzYgBgyW(e;93#D?5#IR@zSpOs9f~e;y8!#pUNR2;7-KV~62q`&K&8gN;t| z6T0^CikECt&j`|SR!99?ObopS+Ee;;D1yb>)l7TqugY*+&}i~t*!fcH_PmpX!5I>C5v(;6KZ3U&G?6hTg# z@Gi%VpP>lIkRj_1W7z1(3GwkRQH0rGcQE?&QbZO2d=mh^0e}}5<%YWNy7y%CZ@<+w zPDkbbMeN8LNlb%?2QYRY7>j-piw=xUxQI;v#wvZ^S|=%4BPjt5=m}z&XaEW?t&re> zazQ@m?^-YxcyL6?Q~@{HcI}ROQX%Z|f_9jMR^90gOEB#*QIdC`6)R#> zG*H#nE8N=%ZslD@D=uO=R!D4{MYb#e?`udeh{Vs*CkNYW!S6^Ym%nz#ZQKlX-YLGW z{P#umXdk1iREQcx`Efo$FsAu#s4fJ+{CXg=zJd%Rlz`1}tKdN^A$&Za#pAmAD-MF9 zFwLS9LK$qW*@>+xy?JNCe2*?OBs3J8$ei!NHZ*4KUul(-E1-)gPc887f|apfaZdw; zFhSN~AGsK*&?UXRY>-C=G(VB${oO_3Ci7^10zean0Z8&;JfswtaWwW4V1OQSc@K>7 z)8?D(!ImbiAbe{8z7YW5@;}SUiPm+D$AJ1xg$V%okwTUHVXlY~E6+D;Z5%$XcScvq z21L3N$Slz)r*%8(N2GQ3WZ&F6VizuAsa8m4lFR=YB0gz{l@5}A4u`wd>BPmXkPtP9 zRJVxm+W)s+x08e3(z=F??8NsSX^L*sniBTUc-Rq7=0GUc z-B754e&jp{4|6b8=?!+v8D!R0JLV6Db)2Wt5@$3%(|ztM4wg3w zpWuwYejhpyr-%7$&^#0oA3nE9ldzV5Z#O|&$M`=B!y$*jKAU4Z=U|H1O3@*a*95gn z_?>>9Zw+W+l7O-}Z~qK!lN1893c6fl>-ol;Qdew}*)}+g8Yb9(acd zTFU*Um{h2X7nZzNstFfdB2MNdxQkCrU(IiU*^f;k3Ol#fzzCIJ#$p4gY!R7m5}^bQ ztL-ixSB^v(8L^7=e-7=ZwB(a-Af0X?%_b}eHF=*xV`(RGX?s%hvs2y+>&in6bW1jN zGnBEE(q|r5E3WO3wbzWA3H3K4Edj=wu93(Jwo;FPnd)!qzbA08(%3i9*jFXCx=3kL zQCx!UxJ5;8au(D@+pfbW1etd=LUP($q~Z`>w*{8<$oaQXLxx=a2*YtoUrLb*`f}vR z3oE{I2`hCIc!50&pF*QECS|SKa;}wqDReItYSvZ{?#@XdoRGenKuhsN=^Ya&>Ggx0=v6C zNpHmJ0fU)Xy+~3esi}v5ImQV!S#woCf0@A{Nk6O(^EZ?2{yDx(1!XvoLC^W^O zHil)p7hG&;n6T2JBlClqa5oD0T%i{;RQ|7%3TBk5{2I{yUsosB!@F}UbDy%gE5)oc z^M%l=L)+11QUkuwDC;n-Du%*z>Lb`rTKK~N44ag*)Q`f|D`+%BT@SNgo3Otf)V46m zM3oM01g)k%?IUqyQ*sJX?v(W7A3jtvF{+BUC8X!l2VDa+zE{Hg7pQsRln4HT-w&Di z92n~ejD1=oAp#-SSV#W;G5Vh1Y6)&p1zTl%%>SBM0G%$3Hza`Z{7|7&H`Vg~FK%#? zh%V0jal(^S{*spfc@0z!P1GEy{yq1cIuU+LfY&tAODDlCB+o&FANe}a419_$}Hz8;|qbyXx5U)Wj*O-GwEd=>17kC&tI|kmGI{<%o`=TJyX@enW|7db<`e+ z{`rocdbpP+%o{wqy%rZSA5+X*Xu7=^)xn&~&`m*gt49p00t)0Q$Df6qqtZ#N5nT!A zfvz-<&#qf-e>~`;4PThXA9_j`Jw2h>D_4JVEoxo7-EBG4{S(yqyK@y~L^sRnLOM02 z@l<{7WID0*h*ZT{%jF3T$LS2I*1a~iFNaaT`B4{><3)3HI|!PBkTNLP=#h;FZl4$_ zyvxUoLiFdEi}+;X8XZzoc3D%+)^wfDgN3+}*(Oy!leyCd#a5nh{vL*$%5ad2|BT6q zm|xh-W^u3JN@44_`pVV5$as#MZvw?jdVk4f2*T8&D1k!Dpu zNmFOWftSIdYZJd0Hh0W2@>Kjy;*Y=OR0kL)5@k5;f!O}A{6SEwlqR{#kwYLhh^~Kp zxpl^guW9On2uh#*wmLq}uUdQ)v}P;YqWV$MMC{DNir6<#lYD^kVeA5&nUP!n`&sS<4+`>fPscE_a*K${*Ri zmp{J|?Z;Ai$?foXP5w6_|8(RTt2%A*QNZKHLyn5$@JDO)C=AvCqMlna)TemU#)rsy zIp66#cqBpO@m?j5ehRSPM*cL!H*0jocM|B|1-&9=ei&l`Ln04LU;)fsh3oc+a}S@V zlX#5gC4n0`b$`sO?`3^B2M6>~ zdZmqVZeJ8XcBMtTmHpDz8;ARalowZS(odE8t9~=fv*x*;0#5<=T7+G$m-#2k{MTjP ztPg9yL_78x<#$Lqe~_`#^ER13-oW1~>8ig~zx`a&A20F$C-c9PG4+D3g)bvNBQZag zWj~bhy)srluXIuIV5~4xptFwa5I+?(ra!2q@ypkR3ncc{5=+?~4NKj~ zw}A4^GW}Vk75!CO`b}E;jWOxh#L)j;qesbsUWHqLVXWG}*!Wvg-Wg~Y<$gux(O!|~ z80RL~h?^%((9_1ZOL@3#a3E&Cgu_tlJ6%1L{$0gXp4T&Y`%+i@(q@so{0VJRd0w{k zHeU{#%In(5KIR;v>g4sfN+-qgdN@*E5+5(qlgjlnT`xYWs+UEjG3N>l=ZJlMycl)w z>pJuL_I*Du*5>~o00960cmbqWdu$X%7@y@`%B#Ge^+2r@iabP09~9>H=JvMSKG@wu z&Zw(WpkPuU*jN%2i9y7e2ntbRG?-{i0rF-;3#tg9v*Nr~mUDr##{b$9ya#q`x(SD$O; z998&fJ=+VVcg2)0=}D<-8Mb8#)9cyX`7zD4*VW8jSJzCVS32*G>8!q{POheX?r1sG z=zDovbQjYFy-Fn=Ap<~ht?rLV-3iPv_!Rdz&a%_c`mhAN56-H?LSw_Bp@3y$*8Jr{#ZgMlG_qvIs*YnAajDtOSdJG& z5WVdj>^druiq>%@s6uMiqiEwOJ@v#E1NL3%z)u-Ds6yS#Q$zw7ykX_OjGb6FNuUjr zprNtIDqS;ALKVPC6WC$+{rZloQz(SSkWfaqm0Kti(|`Kc!EMpk}IO&T=?uUK?G_=YPMp8IM&=I8b@Am%tF<;&6da{7S9)^NBvb!0& zLbX_?>Ie^ad}niqK?tErPcxp1z1*lAQ|`<$F*s!ox|jTqo6scB)9yQM?03L#)zB{x+38+=dug zPgH!a;EtN{mx=;;m6Aq%W#wtipmc@qlc^*spl1ks2m00J9Zz9;WDdFG@I2i;351au z%ASFD{r36yq4N@$wKQENpt6)m_Gt6^t0*IncU(`&((=PfH*CbI!O$?O4mUMo6dYs* zTxj0mgXn9D;g4ozcMDJ zqjFo@YSfM!pR^|%A+x^9;cj@dD_Al6ksi9(-zodZSU2v49rMDJYZQBN)9XwjI;@$o zYfvSuA&++h4n8YgI2|Y*styRo@Xy?2lG^!La|sq)_foF1jN*0j*S#xIUYn&PdY6ZrUZ{Ux|)G!d<1q31>1Q!m7!<?i)M z=4-cG0g44F-zr*4W#${JWBU@$exTKNZ~YOi?&H!>5MF7fVSf$cN|-5ia%_u&sA|=I zE?+~T#`=ah?*F?J1ixCl!tidPa7wjdB8LNFbE@Y-td8wZIQxo$xPNuyzx+=z@DwZN zCT4s@bHd?R8kW$D2xx!7PS}-YrC2^Su)ezi(e`%K?T66-hTKp^U{@;aBVw2o!5e86 zSWQ7@7i>S!kbh#!?;LYX6BqI3T~-UcOo+yhNJNq zN}`NFMUN3IKsvPr5fw;lN^2lvoNCCFEN}-`Ge8HBNkwz%x+Pd=BH=c5a!SvT2`H7! z6)6h0Brz6NSY9XS!8VpY0;07{7%?=3R3^5d!gx)zV}Am@6n^Sbq3=sYwdNIQJ9$SF z1A&osG)QEj>%bE!Qs&ucB5i0M`_mrPd#v=UhWUgw04|tU@j))jSV(=!jA;1q~ODTdV_OF%rc-IPRG?icPGM zO7{T9oDy`XXoeNia2%|pxJa!IG_$I%xs)2hxb6k*)@|&DQ)#d{3X&+9P|lYZYVKOa zy&_(tWMaAZd3mw^{>4DrY-6&9FtJS%tc%QY=zqfL)Iv;42sfc0?L6At2}8hk+jc%% zt$X;tuvq$5!{H$e`rQdL@RBNxRZwfRLL8z&FYHC|%rYddKj=fa^)Tu`tlKsoLj>`2 zctt0$=WERyx+mlW8^A%qF)5lG!98LJCr?g>Bd>NBhf`U0IX$Bs_Jm=k`h&VN-zNp* z&3_Ad9p|Ir>&Iaj#vbra4R{gPS8yi^2VU*QLTyR-Wn9~eegOag|NnRa;$~oE;9y{2 zh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrGU5K+-NIP?HfzO9C-SQE;fQuVYYTe2Aly zk88ZYlb5SAL<=iW0UN^}AlaLn@EfE>2!E=@-7(bNH5jUvk)6Q+C~mfK*M1*~n> delta 1074 zcmV-21kL-L38M*+Yk#8z00000004La>{Ux|6EP4@0D)K#f(r-4VNRu$l5{sgf%HUL zwN+75g>J;-gq)3cYvi?8ezYtX_?7$+Ziq)1uQ!iF;lvGAT6t{Gd^7WT#-7jT^Pl)P z>aW>s1SsaDc&lh3m04`8kIgGM`+-*9z4b@5dWcIyL3pW|hJOu+OJUBalVh9bM3w9I z3;6~L71lSzasS_)Ao%sl6^3^Qg$dP$i3|>j&8S`ku|76G;p{60;{NrG|MEY@z%#6v z+nDh&%?O7RX;?xpBcS;OJ7G^2rDEBcfz7=whz5hG-3_B247s6-z@AjtN5n8Gf;ZAC zu$qEQFWG*iVSk1#lL;_Va@_(hGnPPVMdGYA1Vqe0VqYoHGBp=OQE*GOx)YhvFdU7? zP!MGVDte4y3DT-8h$ul?Q& znId`amL$f)a?7g(J=oUDM?kce2_uHiU?vlrQ(?R&+J7;DUUENmsnGX@qFVD3w4J`A ziGje#DjFm**Hz$&6f@@8s3Wau9{ZT!7y}f@a1h(6P82JgG7K|CsdL_1@IJz$#iOBc zP}94zTvNsR4#I7`TsQ^2wEKvtMbhFTC@Fmr~~qFT;;t}V=g7$_HqkC!4QUL~d_ z0u~l4#D5n>uuiQ%I?uU=oCuqe#8`z~PRm6e?$8mXS_*0|9Jg2p#9}0heQ?|}Z4{eY zBbDv|j5#IfP|*}Cq~U0dk9WesPSgi%w}JE7 zXgt9Gg~igh8jg;j|G2%&47{XDV--{yjSz>Z*9kij>{^Dzb$eY1``b}>yK38b3=zc7 z;T4_2zOOZJ=$?>MYybxZCnT?L1owy?o<2Ptj=b7^944}8b9zoW>wg#WCeBC0*H6MQj6LAJ3h*+nui;J<_PpAyrP_+{tGL#WegOag|NnRa;$~oE5MW?n zh%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrB*lMg|7Em_SV+#UKg9AVtBUzP^q@k?|pp zPCl;j{!U)5&JZoEKm}|J0zkFBxe32PS}%m4THGB&-CcvBY8lxX9Dw3x8+YvoQVbG6 z3^Ep~#>FwjG2Ye3)z{SzVJa(w0+S8|8Fv61TywId9LRw?1fm6MJR>KA0nn9UY?i(t zHG)74atN}&7+Dx3fJ*or9VdhQ15@JT>h9NDBcmNRgYrkBe(iyuXu|t206^Gs6y`{G^*ld4Lq$K!_qn00000|NqkACD#A| delta 92 zcmV-i0Hgo)0rmlqYXSC=Y&uX7U|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDd4MqkA yV;{F@AjKdA#2`g({yr|QLGk`hUarmvwag40Kt+>o9_0ZlgBu7@#0UTY0RR6zwrz7_+j`?+FU>U?zKxJRp zox$0i@xs65a>yA=dkK#Xq1>1KD_K}vO-M{q4MRJz^Q%W;k=t#lX8PiC2Da4S@2dQ6 z@$2n$tzuf^>3*}z=jpO zkU~`9yDjziR%kRhd}bQx%XKDf`y#yfCtQ(D_5*T(3v%icy_2$nJHit)z+HfF&B&ZA zG?9D2j?ZEKxqWJ_v{~MsBtuBSpri;VKQ?zjih>2-wiT3o9cBo>BWbDfJdc4kEDbTP z5|`ppnh=)m30&9vO_E8OLD1#|Az3is(Z{#7%ONv2BT<-}p&ns+Gqo;%QQ-X3y#15c z&PTLo2;`KIEuuTy;qIozj5yyX3g z-^asQLIa%@39$$CV)K;MM4;@Q+vP>fP$PF78rmDlQJ`ApAaEQ!1)2s~jj)VQ$t&+x z=pfKTEh=9Re)U;S%K?SM%3m>1|(NJouZjn7ZHp$@YKk z7y%x7OFI?4Hv9C@!gwK6Z8+qoW$C>4z#8B=9JsOY+~$dOkStmpxv?ksTeEw0;ny`= zu%*Q6tOCl!;e?|e_~Xh9tzenm;rG3~UuqS1H-s413DP9H4!t~ZxI^;!U~>n-_{8J7 zef1xx*-Tal{;YTquW89y@1yJVicc2l3|VB$-p*W(U_mE>4*@v3!N!b`)1(^2$s$z5 z*MRMSm0x%K*3PAKQAOCR7Hc_g?xMNGq#QLOK}Mdfn9Pd`38|A6{LxiE(ngP5HUw$1 z`ZV);WK2#483}x7Ig!APtJgG6ICa}H67XokgF0d7r2W#y%gG4mxz*3--}M*{ZP+mt zz2rtnuXVbC8>_kx{EF-)YfqmOhFQQDcmC#=#I|PiBS4>*&MITyiu5$I0c^#pIT~OV=w#Hp6=z_#WqM_<<;HrE5g%T0UU-N7$CGUvpS7NUp@;P5%^pIE-hs(Y z7j%IO(ql!`_{mhN+oM;k+~xZsYbj#yK#q(xdIuopL;HXf7Nb8KZ%ZC-pp;@Z=Z9r zh=P10%!e!dz63tW_pqX0h`T%C91h3OxHI9(6_;UWm?8liJNj5lD05J*fPeUn`XN9u=61822dN39x5BPm}T~}e>)ek$&niF8tn$Jk{?OI`>}SM zBgW&ORW<2kYxpMTM&SE0Stq0z6=|JkD0N5KUvc!IV)&NG*jg=<7x?f(p%W#kAF$Y3 zpQx8Ccy&^d@w2|=Ras;*4H#L4;Bc^{(bB_GAkJ>A?dyM2+E;S@-qzec5IBKZ(#Esj z&C<%FyL)Q=`)>z%HDN|njWw$P0>0rK4ydZMA%q;cJ}Ohny;$%5HVkhssA|o57n`af ztsK10FC8k@4{n_G-@oZ+WpxL3-VuI+&|5v2+)CQ{`LFr!1eS!wPQ)HlVt3aE^BB`* zjH8;2xyEA4mbeaTu~d;sgfBFYcw)yScEi5Nv{UTUo@B(=6q$$!K=+uMTa76bJ!(2{ zhx?L`_>XEjKi_5L_c)9ae&h{C60Hp&#l>#pT+s~;HAI2_F(Gt&?8MqtWgDQ1f4SAJ z+Z&+v>NSItW=)yA&nr!|B4kRLya^~b4T6>OS9&j^!%I&}vt zgF2gNIjtBc`ay5FYPrR<2&XG4&GG1@p;?{-V_(7gtC*3+g%FT<;I;9LLEf}cg8iSG z&HjsTJ2h9@b&ubwhm_Eoj$By8OUuo|ra6|M-zF1_IHm6FXjz?DDC&}ePnbFJ%hxod zZNIYSjfVT^Z{<{Gq+hm=yZfpeo8*uMyP3#In^p!>ZF@>Gp4NuUIAWze`2mzhOQJLQ zUtQ_8z{RSf^r6{3_(s-MwEX70jKD80eHe z<-<}d-N~^=cs2|)i`jE^+IV(> zRf-;HpJa;LluXkX(>PQml>2(TU5W&U{nF7j9A}zRV>ryRe3gX8Tnv|eNNGn4O4qtE z@mbElgOWk|rLeHQG8>Fqs(5`~=r$8dWLw~{DWuiuw>La2C0)qn!y%DLCQAOY{L92T z8<(fJ(~>!rw?r%YpxTFfu&F|n7cpA#OzWei?1Aa2cS6MuS~1c2 znwSU;O-MbFeQ_(rz0^~whDMzeC;`t$cHk8@zA}Jawbp56S02liIoeqGw@WRawEGhC z#anlu)`Jc4;rx1?FMc8#mL+B=vQCVZYW?3eM!YZZd%b;MTSm>!KL*77wj z+`#bJ?I{>5xSY%#Otv&6K>}I-(oEh+qL*PY5I_P9C z5TU#!V7j?ev4~ViK%ipz6v=k8n_l#~2Q0mY0oRY5`Wfy_f(cz(pI|wWno$f4WeE|B za?+eHDTwlC9JoaSi}D{;EbZ7%bUz^Q!w8pAP6DakN}F_lW|*lB$J$I zQx$1bA8At^X;T|%QyFP92i~GlZl8-FW*0{^0c&sBn0aRiz<-fg*&?ro( zi;@Q64lBEv7jMCdxj&pFTq!k|uJh#m9aiW&cMm&xO#TQr1n}8>I6GL$gD&xhaqW!) zLxpTHjD#gtzBgR*dmAkDw~AxW?#a=NgugnTlU$0%Ia{v799xdRvHgwZZ_IpS^c%h3 zX#GZQ*!6pva}Kk%G#9XIAwiK)jbwe}N~XPI>&G|4U0!-XdOURmb-d~K-F+ZwhKEWD z2#f*oydW~MZ{z`km+8y3X3iVUe3-o6fzQ5E^Siv`QNSk?9bXHtn-^vIs4E&q0l|^E z-HwSUAn>{IIp=owZp2W>*Wo+4!BNLI_vfa6aAdpeN#?U}mt}+L;Th7pilK)R&669n z{a6hzRmw*@c+34ig5+_)M&KIA3_&t3=ywcB9xoL;lqsHVfQ80392X3~cMg zQrT^`WqN1ab4(t@>0B}vS9kcF)GVT|t-Z`RXi&_c#W`(F2gjjGRYIGw%gq2&4+oI* zOT>V?u7rEmUi-01MAr#(M0Z&WME3~`H()+f{+H>{p9V}KpM%gp+`p!E77;j^XD=h| zb`whBQ$1h^^4Y5WKOfogvXfzE2^g$Hj;B?Qt5q!NoLkVNFJtUYaV5hu?_2XS2nv(d zG}xnhE699roE@fy;g|Fp7srA-&3-KnVfc60Mr2Ld7Ic-D^lG9pP`=8pOvG9BsndtX^jKBD z4@gb0=zy@-KGIlJrR)#$GFVKx=-CIRI2LS#uN-o1ql=c6J1)ZW=&Dn%WwfaiM*6k) zxR|`HLO2#5XJ}Yl7x4Z>yFnWL!RoXO*S!Snlpaj0r&wGJL5Ry%_Q;LL9&@C0U_`-`z#MOrbyv7pk7x4pMj5cHt*A_M;d z^syHl3~TQNwTRF9`;_jyLS6}e(~7o2{0k-d^vPnu?{lykcZ3jJXU0GLA%tHmn+c!C zPE&EcMdBO?8u>-z%a5}3nr(SPO-HcEluf20Jx}K%|HstlpwQhuByc&`6mb%yi&fbY1N7c;@ z0SIXlE5WNiFrT4H>B?{XXnY5n{M(;3^PKZm3|3SL&JvdVQ=s2CknM-Fg5jyZknjh* zvPLBIDPETJL4cfU(?XwqxCQRU^ny*gNG2T&r}0>qS+>^8!{WKtEn05C+{fwPsUat_ z4Ixtj_HoKqbqE>aM0!T02Xv1vJsWXu{a8H*ha+=a2Ezk^*qHwaa%M7iN7#BJqiP3> zLrv9uH&>X3IDgph@iH&lH5XHA%Y7nWVDgP1M|}}=ke93puF*?|;?EcrccI@YOr8T8 zA+_jo1g$QH{7}%ZpPE7Vc#)&pQ(1gIDQ(le0D`(7g@|FiN2|P8t9;Cqt1Sla`W%zH ziee-^g%8dLSK;!#Lew>48e?2m?9r2}-0~ z-@7WK*IN@WA!`^`lo>iVPgFcUU7iOw+g3uuuMi;n^E%Qd=7O=usL)YSxz1zP!6L;=$M2oo@tvXTwV#e0^8vq zIr&x#*@}s=i*JLo;l2sX4%Uu_Jqyc{DdPOX-rA07o01)hI!#sYMn|BhbltD9&5;}d z4wI0)b>+7d*YXG9Aw=nT&*{5okt-D@$B=(oLXOM?2Yk(X7n}d$dgs~f@rTq z_xC7+?N~18igiyT2}5ud(;QF3t{XW#(P;RYliz1*ga`XMD@%dv%~x)M(>@k(#33lS z-vW5pJaMxr96qTjQbqY;fQOQOMv2*6bCz{}a-5xYe0+9#q1~F-(Dm1*z~MveEvWk1 zew+Wn!#}=4#mrz|p^{%7-mvAdUzKsa@y62ui2kUR3X)`~)(I$RJk(EmZlmgeS=Cwt ztoc`-^X820%%kYjtvPHVW;)<%<=QlFmDrBPiW+SJ%esM#`gC})CIZhTfo?Kz&zHn2 zM`;Y0hPsgs0z#9&J3G_ueg69A+V?QLM++O^@4mOprwH`8-t1kBl)lrfd>BIBARG4) z?)s^i=XB3oO+@jVL2(c1=%f{JtaRIE1p0BBJ*Jgf@L`^*)J3$EzPVYYITx2@^J5NG zjmCNTF0_>?mg~<^A3t5O?8TR={&ex6fwG0)ZDDSZPnCwv`7Yf;XKI=S19P!}7x&QS z?JkS{%KSl`Z^lIu>7U5eAZ$H_r`Pv6@s|uBKHt@@N?l=gat4-exnJ{nvL91}KmBQq zwd|V?F46p06fxFH@X?(t)>bjFldnWT+)g-pQ9aRtXOLsoLZ?*f61V>4eQqf8tvj2W z;_gsfk1^>L$@-T?%8@0f2keJ>t0fatM2BzthBhDILD|H%^d5-a9LeXr$Dj0 zjIDZU0T*V|!HJB%&cRy(k3i7}E5Tdjz3rlfI7=|3DC3$7I3{WTkCHNcq*d&x3VGg= z+oT5=jr)}7hi zk1;xH+WP#6n#JaAU#7LNf@Y1khL`x=_xyE*;f#@c2 zRr7&I(hQfeM{waZQbS;IGpQj~%t=9Wo2g-5lur7U3(G=ryM)p|n3cOy{Iw1~$JgPE z0)y)BXFXT-*Q600%moA(N3is z$<|Z&kXs@uD>IX zZv(VI-r!B#%Wj`3n!l27uj%!sWp_Bgzrw#x2vkF`yg;S7GW-~#swJUM%2YKcei~1X zv88nA&5Z>t3iy37*$bb~^~mM}3LkC1TojNF4{{dxL_W*(cY*eR$`L^OXVNqIm&D#3 zctpv6+H>wr%<8`uHh;3uGpeQU-Yer@v{f+q9=Ym~F!ddT^{4Kcds4K@-4`t9pHnPzC$Vx-5K^N2D|{!8p7 z*AB4oY0qw+xJQ{u1O(y>D*H6QgLm=Y8a97iUYu-k3?J3pU*YXt%wP1df1XS;UVd81 z_q36H6-?gzIPnlae2!ezZ7{?IT;68b{kK=YMDYr4iRcV|3H13_3XN^2-73}t+Ak75 zs$L}L1QT-!zB2Xj&A5c7qL7L^ zBD{tWh&@wrHThRsvB?m^)2tzw>GRO9-U*B?wN}K3cr$EW5zInwDJ2^pyL{hSLD>kY zx=FacWiw6|6Pwsltfo!4HfiyNybRme7|Zjzp=SFF{1y)5V+yUFT32`AY^NQB!5Y$X8{03zSkKR}l?Cu@p4sHp^ z&htZTWJPN{6ZSCBHgr7ZEn>$>$Ly<8x?~e-ytq2^RnafHlS9&D??Y9 zms|La#F9~F#vb${8REixMnQI_8(Bv(=uv-WGc~UZsj-F9a!t5{PuPdw^5~}}0C`mx ztuac-$0o>~#8UI8C02mDSx_@#}V~o{FRWk%CSd%PrMN5vHYfrB-l<3 z@Xqeb**kY~)Vm}Iy5`7+XX*Y$Y0USpK`!`?XtxPX^+A}9B#8HcHZHI)KL&+X0qN&B zQ$}hWPZD3fxbP$vl}rXXQva;v1QmiS_ZXYiBva`J7EPGvf9_6;H-O7sh^(6t{fMss zEXnPyogvicEl(%0@v|ce)K*Abix(x8V{++1#iS5S-%OcLOw%GQ6%o}v@}Wd$luvfU zb)pf9kA%bo(rpP1K89z2zf*oX%3efrG{!_^QFM}HkR-=6(-Yz6N{bKUa8XD*Y5cLi z1&PEJ*XO12U>DKKbD!}bFF?De72D}}Mr&D*U_@@w=^UmreH8rpj4~w1iQ;Z>y_C~EkN5f89AeI*Qh5`e915+)6ye@ z6sL{D_}vLFn`6iy(o;s&MN<9@{I=6jITdntrg1XcQ{m({qwMkclJ_^m&q6C%P+k=! z5q?Z3>%TmB>f{jr{bWog5b}4LjdaKu{ducKTO_}H;w`!4)*I{rVM^oN!O5ENjGUZJ ze7gsSaV)VtHy16Fr@JWUv6ux1tH+7Ix&URZbG-JC5}~RnDyasleAV?W?LlRq$<(Hna{OW(4s{{=#X@DM zx)9hugWTbd)cOtd!%hUF2RxNho(_|vB$m$0cJ3+|ZNFc`A zc&exE!JZvE?k=H^t=Wuf%75Qjco+9ELgYf8WU+fH)?Ht#fZrv_>U=1`kVL5)m(e{htRThQk1Er&4=XWloj~yZ=d? z$AQpCJvQAQOjmB->w3GXrGIi$cjs$phnvG&7ZlI(?;>qD=qe9h=dS@?y?9cS$*ZT2 zn)TDj4i*12JQ{n@bpOmG{B)&&)t46WNSr}O3o;MfzyNRYaQlca~S zu7|j;hiU!jjnWz&U3VMCgwv3$SSpo~RHw*WENUUnG65Eqo`tMHydYKm0>OFgU(K@gb=&}1=Ed`vN3ss=p}@ri zFi?UCZfs< zl1ZL_(t8?G0{$006RA?clBbrZ`a}(2*SHZ9*cCtX3&X@(9&tf4b_p8scm5x11V;9^ z`!_X{K@lnnrQysd1Sw{Ao5Guq&q06wIzg4VJkFWYt04gOF2V~kXuGx%-i~(NPrlmfsjrR zW^7c{4KB!r>>R>6w|jxd$ss|COt8p?C4v}@7BM&qrI$kraLrZe`ytfP!t{v&=qLCP>n%Fr0$N>0DZ z@`7u^MVVzjbw1l}ZU^IK@5Edtb*2?xM+Bw)8^)xfgbIos-!R&_q5CHskqa?CzZ=A)i8_Ik5t2olY&4SrD2p3rO7AB_!*?7p zHEW%I)@%Ez^MC%olb_IC0w`B$*t5tqqY}N`>#9?4H}B<9TbFkY7@Dz|z#G`}vnEE7 z>pG!OwN(qhrPGb5mqjR!4Ys8h8}gn^DaNN9XSpJwzMOquYCu^$S}@5~jq{@!PJDz{ zQxjLqzrq0wGwZ$Q9C(A%+;@Uu#9QEg6{>X$ddue-5Rh#Or{!V{;M9*MU;wfPqtC?B0D(mWZvASE(1=|qD-$eA+>F+Ne0Cc<#|X|?6< z@hGZ^snHsx=(Y8RoEaUpCs_?d8$$dp6F>zsh?7J1WBXu1`{OyGd238U3@|)XU1*Fva5iJP8i5HPhndbHmadO~CV%)k zkz;*QnPL3do4M5!;N@D$!KY+{WGaMlLLS@78i+nu0|ldb##j>*%b~HfCUG_;K`#)j ztwRU)TE1NK5I45{sZszv9#Nf!*42vPEsZ0dnu@kT73yzAeP6F~ESwiQi4C?t7U5>n z%FMBeO^Anc(ZWKaL6BM;Ga6rlfRjRE5`aI1L12fc&D`ueq_rm0P?reqAnjs0HduwyD{c=&V=iv(*u)HDvZx2gjL#c<7`V5?~U^$ap*lj&l|Qw?P=6VOXb7SQfFULNW?=pX|?B|+}ekG86k&1r)6#Ybi`GgC451`v; z3@B@|9WZ$~bo?a$3hs3(xUzJ>)3N@%Ab8w6Ajxw)9}_eZL;(4ObM=Rq5>dIp3_S-8 z3a=ibazZTZ>gt_wHr6Ug6+>KY-9F^rJp>Q0?&@AAM0QSgWpaF$t%Kl3l_`uCwAh z0U?k8;u-gJjjVQetiKtvFhlrs!|=&PbI3$vp+eA=FZBE0h>NWm7pYDn`8N??GZv2rLcR2ORv+&G)(@X| z&0$D`%C;k+z2spw8V9l?a$1E)ISgFs=BR1V1>KYDFn?>F5^sImCyeVyy+DaLJ?3jV z3hDgJ*#qOj%`*lFV!`Ne^3653GHU_PF0gy^JZJg*f|mdNu8+qWkH-QPi5ZzT*gOUb zI`E0B9jV0}PwJDnWJXv*i(JdrQ0;Hj$3Iz0`FxTAzaU4Mui-U8w!NeQ4Y7u4(Th+c zcR3PoAZNG8^-x5kfm(`vJz3y4@|-yy?8HN`Uh*9Mr-y8O4~J5C6M&^?tIinMY7to9`7P5Ua&q0wqyYg=N2Qz5f9&f%Xn6vNx{71SW$B$O+rfjPMC(+= z)+rg3wW0)Ay|ppQ>yZ}e(sWRBM+2V|l3kPzgn!-k-*GssaC|#s3XR8BL98`AP?!IR zWY z{wPn8ZfwVj+hqKRnzgze0McImdGyYdYSI!Y>Q5U|UjZdPn5x0ZKYa=0ds3CpdkjP| z?O7mn7K16W&`=}1m<;DEh}Jf+e$uh-nBA%1H)NBfLdO+^_?u+bol7-Cu7`%qNFa&X zcoGPQ_j-N+M&wY10xGa;CA0V=p1A8-hg0TxrSFuF7ni?MiRA(l>u z7dtu3dX=zBv^TGe2rgZ*PCX6NrZ9x+QT`1dNMigHtvb%y0wNpj(#Zy z0#w7p%)2n7YMbtKpeNgqusg@4uw9RA#O_@20J<;}09X&ks5cU*b)sT=88O!}IXO?U zwqg+1n;9{+ND)_HB0Mo(E#QazEBxB4-B=Nog&D<@Z}p*CP+fwO5s)vrEc*k-f?o{q zFP=z)EHCriC=>g@eIdGF0a{UkQW8kYp?{z%zOJ7V3WBM^oQK~D_TzzCP=1PG>j*GF zFX%Jcv*4Rq`|>-{Jj?m=Qtdetiy^_(j#pUXIha;`lgMc!z+pg}=wDToQbTL0+qnUj zH+vx#5i7_YO>Pl7&JFMg(?Wg!l4R$ zxDaB~HUw_jFg%EUxP4T;V@rVl+6;uMQm(c+)jgGXB(}Ldb$&9p`yW# zVbHrD(31?>*){}&zCY4;x%4PWtFcfG!9_+p@k~emnT>Lqj&hof#<1*D2t|H8+OlDh z#iF4CQBidRQTTkysrFg4N52I*GTHwZ*Y$ooXgnUm4BUHA@d=R{z6o4Aa_mh+m6E!X(VnYZUv_ zou2Zw^v$_l-TG`4Xnx#HZ>N`xUn>zK9$~M&xz+gY^yK#lDI^GuM$!rFtrx>ZE?jBp z&j1Zv*Yc7R>Q3=gRko3!bZBm-IAF#J$Y6dgiDnwMIH~mCjo2R?CLTtukA_oTGSQmH z^ikJZJ+l}#Cug*ApFm4Y2O%gjh5SBySuJXMb!7oHrR?TGOzG8bnl@MjphkOZkKWHI z#GFlR%h_nyZGYY+2AWvrMky*Bp z%={5@A*E&xc{T~v=F43|w_n!&*{(GEorNLJZ}v&u5H5Fk9I@7!5r8irEq0Z=$YtV$2x+m*!sJJe8~!EJK!6qpGIGJT!C$$eUq6QxdYoRrk@s0=7Xhd5-fWg;EqfT z@77)2T`wgUm?ydf(00V+B6KZY5H<2?etydc6!z7=UR*g21yj0}2e^VnRZmw!ysx*P z@Va_E)LT2}1~=~t4l%aVPRVA?l2-3knX%}mLvgYuO&^fQ$sb;}D}rZ+^{1S9-6Hc} zh!0`a=!)M?+7fj@c<8TOs5?N_>qzCRq|517qG-=}fyG$Y7)UUiPycubmmte1%zrne8zivH;Y|sdLQV%RnhKZ8RXK8iLoP61QN7l#A z()Jb_Z>-lAqMBbbml*p^n{G(?qH@F=9(Mbu=%VF)a)uqxFV28Jj(rTDv>#fo_W1O3 zzX0F=c6@z#f);7o*kY(-bc1719jI>tbx;yS5`v^og8R?U&o7g+p;;TGVw}`yFy!DM zBI5HxP<~<;=AoGQLZH+AJVq8z7K5Rnibnw#@V9&xCWRs@8yqAmvAp-SIGlFZwUkZY z-|Xl*>Nv`IYSX7UjPMtUKe`u(#ngl~0}iN0~hjbZp?({_)1j zeZB!xNF1O39pc)l_8Ijqv2@d8Vn;S6b0$=*psi_A<|zfZYSTjFWe~;d3^vIlt;qVj z`(|8Ygftt7=1YC=4fsF-SHtL^uoKhYxc=~iBCn{nS^a?6Je*Rx#h+Oz_28@on;_0j zj+UES&!_(H>|s!~Fi3EGk}Zkt#W?NIqyYGgCv6vHBmhz=Xy=X<&W0L1*Asdi+C$Ss z4>G8VOuo0(UnRI>ZL)EzPrDhjX&P#A!m}6qZOU8RY0O|)z{la6U1LNY+cE>Q!*)_d zO%ToF%<(`0VYYs_5c+`ScECliO`o&=K(&^XgvKCACa@~IY@U>24g>{fWAT)WtVER` zn^NcaA8!XO@T6Vw<0Oi*|7Mi=%H%IrR6-WNVv4zw>8d>1}Le!KbEmodcF-8{ImV z01~q2KqPjswStIYp&PC#hsLS5wnB=01Th$J_e3q>9)Z?+D{wD)0qg^MYrkV&0y^g0XK*Tx(~C=Jost=wPbTv}G@ z;$&cl7y@o6KB(+y{qh;BSg?4AlxevABRyIQ4eK@>0o@k~R0$2hczCqra1p(g&St@x zIhgDj;bG+u1d#V1H;8|0e0>q>Cw9{W2Z)&f0Yq$y$-c`GRS9e&%2d>569~MZo@$N+ zufJTTAk-MGIBA$@uoi2Z(a7l%o>;x>ZqM~biQmE;loa6-#w)QwU8MbCJYs8L+zg|6 z<^qv>x=05bu|b2!mkVQ!w5+`TMPq|o{iLuuLR)wu6___cXfJQf6s`$_adbdvmC-Ab zf&Ft!Co*X|_<){rVX4WYkH1(vesES@P?uSCVJMu|$}JW?;yg%D*W8c%@>WjrCNUmC z2#fNUajPop`4b(a7=JeDC&Qyq?9r(nef6}kVwl{uLx@^pr;GVfuDBRVai|)jIm9l6 zLQP{UH4S6qCKd@Ywi|EPIbEu zg;vE;lqReTn}&v72y`*cdrp)LRVxDKnU#UMyXg^#PBF6jKlMM)7tjb#XKcEMt`qHm z10*_dcbTv@WP0r$&>~?rA!l{kDM;`?{YI0Qk=7xRQgd2|su-4mX~nnNao|n~m9EQ& zC)c1A^8@k^58k$6(^Al|i}QHncVE&$+%lGSAR6eJjA2bRpupV>QT=@1Ln z_e8xDWJLwJ9_g6EH`eYGWH+^*`HYKm-&AL`c@UF|x;rGjW@eA4xw(g%qmV|={Pwj5 zveFM7yKLm%VkG^&elbL@9Q0Z-uy+eLc9O7P(PaNI6O>u8l3IhSkvvYk#{ZVDYSQi^ z$klZ>pufeM;qsi4)Pd9`mf0s!l7{5#7fLiJPik8|qo-F?7d_?u>+;MAwl_h+@_>*5 Y!zA;|D2lW^kOdB&tn*p$zcbPQ10I6B(EtDd literal 14856 zcmZvDb95$8&~A*4H`>_R_zgBTHnxp-W81cE+qP}nw(aER_kH)Td+wR3uID_{T{F`? zT|K921_cBJqyi4~yR`e>1irO0(ALhx%94Z3vv?u%KmEgdov?ar+-%4yBVNb)HI1b7B1`fwj~8p#6+{<7SH1sq>UiGa_cOs3jwE13_u7y;y`Zi%YCHh( zxQZGFJEKTST-8NNHiZ2>sFX)ebm4Qf05y2f?pe^s2MLg93*lrFV*P`en zmD+d%T_IGrF6w%w9Z&j8!zfgmq{?-8Z3a%vmL#Pb9Ujy9O@s?PfCYEr5^Vw__tUQ< zOYc79U--P`*j;8TP=As99+5lOdDtedB4hd4>1yF8H(%#-l<*ome-kt=RNni^q)oA& zU)g7^DXnE3L}_6WxP@N!nRW5kw;QcJ(&Dx(fJEjkgJ*xVzFsmAD1NRp-t6y*>X%s^ z_;GVXv018=-0~gxjDjaX|3*^8FXobU$-eEj=d!*@4?CKF5Q7x!&w z*ALDXb;2W3nS)i%R>(A22ki+8QP~>0Jy-_#h-0O|g=pnrB+9qgg*M8_NSA44D+*Qq zK$C8Q-&4tu9n4g+H?&3I_C{w0TGjK&%I#+H8G(mNl>aaUeF(Ufq!o+!;45pXOjV?Y z9Jd=F9QE6G6}BaW6y2eShkrC)V3lC@rA)BTNI}|kK3>PBeMzVd%ZK35U1$|h_vs;o~SRGJyTWnF`7~;XR_K}y$^bUKWq9^%wWVp5&8X@SDeBn@bo>sCv z9)f@_sJHIFlkeG~e|p`)Q8?1u+ z)A4B8X?m&?uHsu-`+HK6Fn`jdt_E#T3!Pr6UGe5;WZAvo0zv7g z>_bq-3_*NSAAv)8ugMg<@Q2k`-w}i`klvLe;Q49oibvf&)4`-=+${9I<3esy?Qktu z2qV5B=`8GYDD^bo-Ep$fVkUh{UE!ytl1p-g#+>gt%7I3n-GMc^Gx-^`(zQdpW9!#= z-NUMHMkQ~lOR|ZW*X#06k$<>ZC%3XnN|x?jZEr*K;2P+>dRgM4J^~GBIwmhWp18bi zty~+PTVav>J92%ERvWqM7j($Sd7Mf;86hoX(ob90Sdn6;yE;VWS>Er3r0bIv&wGqq z$rhh`A5zpx7fqP`S7{ZWV|#9{?l5~q_+6#9$G9|4&(&=&6z6w(Z?*?Lm;b)ZqoyX_ zmMW=Q@yn>1?kJor>yu@aT!ZeYIDeR@gw*c7mN;;|JglixKN`u(2mT;D7)zG|HfPeb zdm@RSHr2h19%8+gxA;#tovK769mUVf(9Wg)!zp<1=$TETgL}F&$hCaomD0(Q ziz}}hdwx8ueNN_S^JrYbrkK6x{L`SLs`60wnsxSETj%j_ZR8i+MSbxwxd`fbO?hLe z7cA6eEPXoC-yAuN>ws>Is8qgUI~J-IO0UT}MMg10tA{fG zegNeA4#_n6&3j8{d!Nr3Mqvwwp%%Ml2CdD6Sx8wKS*2x4SQQKl4?N!0NqF?g941j* z5w!rhjEuh|Vq`TAo8f6pM-uSVBA}!Sz3>Gvmo*#F=KA3JxWOR2@d9&RM_%FC&~A-t zZSWQVoyvjs_IL~qpJi1PiN!6*{_h_CD0zk{-#}!lIuZ*{VC`~G&dm9heD}^?s{iOD zC3tF%5hcq{$2M=iZb|f&i_^3JXyu87XX42P=T64OfKcJij87mQNNM*gYR6qbG2K*WP74=j z(BbVl-Z$Z9Oc(SSGdG@@*SUDWeppsKffEXj=jLd?>Vh^zrOT=Sc~zsTq^IjbP4uU_ zJs}!LbyIv8fyRn#A1blwr!DxH25gJZ0V0tR(#ZY0qL)YchS@NaCZ4t?*Srh@b7CY* zVEeQ4axylq+WtU)kCmzQyh>6niuv9Ir1oii#KfyAoa9FZ1B<#I_5 zmCup%nK5`XN0Mg8NvuR=@xow>RZ;fDreJ1K^x_O_dQ~l>Pe&iunH)iy=Gg{oGvMJ2 zQ+)1p!E-}^=_TW@8F?2I`b2#5xC|%tUsPwhK8btbu~h27w+?q>)gW~vyhkG-EvBTT z);aKZ5!}drmF1BZSB?I!Qu_PS; zoDNBY2<#+_RMoSk91_+px{18t*~OhAxKP)|UMhuSS_oX;dvY}-gPYk#=j`ia!D}bZ+MD{lI!*k1&x+37@W+MBW`eFLj z*ogG_uiJDqgC=5}PmXD7L)gqmLu^A*`RL_7#6BD^=P+t+9jE^EPL@4ypEB+*1xALK zjL?~&iGD5wm=HA5$LhTBJMJ=h1%`-#OmK>+t<*SA%WbvXtp#ceoV4}k@)LBA@YRrp zBpJ<`o-b0G{X5Rrxr?$zt=&JRX(?#Ds0c}4YWgf+zRG|QTGoZ~L!D=BLYA-E-Pw?b zI0c-UqKL3@*3`h4fXp_zIfk3@E6uw1peB^RmKSfL4e~RT6_Dgc^|b?RSSZwk8;M7h z^UCjyg^Ig=^L!;RUb*cSXh(=YMQrX%7A*UkmMO&;Jh-As9uTM1ll!4- zY}l~-5Q+ixWJ>Pn!4zvlYpA$?yUR;~& zlv`QfP(X7x%uiRikTh$YiC8xcMr>PJ;Ph;yvii0)m_s<3EyG+cR-&v|sF66^l-Z^; z%89W}bfhZ(NDDwr!z*>mQewPLNJdZN6=9T0LPOh$^BXqC9kWl&3BbsjRzY z^dTLt52+7vW!4TcThgp7XgcOD%I-m3&-%Ro2Ap2Q=q(q@pItt8!whJsJI8d~ZTgJ{ zoZiCdFTK=mxW8%9o$_aGvGxyFJncPZE%22C?CRj)G!=|{u!JInIShuW%uU2F)_W7dix7dG+lpHNA>hM48j}Q2PgS#{X=Aqw-p|HBMokp%-V>vo_X`HbQ$j zRul1Inh}E>08D0X#s%~67X6l=bC42MJ94O)lxu4A9yUI8o;!VlbjD;{?*E1%@mupQ z_YoT#UAe}Dt3f#JrQ>qI1dnP&xcIx5EFA;i5AA>~ioUR=?A6k+=TRg#XRh^t*PAU5t1Jz; z-U7u^dPC1(cCtdKBNdN=Q=GbdD?&7IIy~mQc36evrUCUdOzZn6yd@iRSuZsU!&QyR z`i*K@&D!BSBi5BKwF$2=)?mSC6B_)>i{o<~oYSi_jymw9IGb5?*uOhpKwh8Fb3gZ1 z-=bUve5h7-Z@iFpD@Z5w&n|}TYReOj3~!Dad=AJYqPjBk@_S_pP?#>$Y@{H%1)Uq^ z*>OI%pReaTg+skM74Y>=XyMR9{Ev)@=8+C3o+CD-Qx}tonnhRx%wmA$R>swVGN+KU%&ay-O zGH{@u^v8Pw;$3?~hg@(+7l87*6Rh)Kf(I~YT=_(%6mqv)jw3lVOJaDpxfx87h->-B zb>}~+b>Ip+>`KY>UT10aIJ+A=6xE3Z{!K$Ct?9A*x#HJ6s8YC(lynfHd_LL|IrdYw zTweQ6<`e3HDmw~MQPC2Upj!Xy%kMU9mbxU>ZCYdD-q8PHStn7gO(@>uwMquN#$%X- zi)YjATkt5|%Nb(&)j`{>UjiAos67h}3+iP6*UkM(Sz<<2hv~i^_%XW4zNlM`K-cKR zx>oIvdQp<3TZT~gtWY7V8G9`a_D>7DG^EuSo91u#Pfm+(6IQU?uf`SKWYq*Ywt-a; z{|M2V{Pd<8&f?h6NO!w?z_j}VpQU;g;E#S_bOPHQSKfREO5;|MxSY*BAYb-L6z`4Yu8N;v4#3y@^-qm?bFdCx=Hyrm2I zJ_a>}ISIPhI<0yNL#L+yBoH{p5d89cPDMKoUn#Zo9b2l1nq<1_em3h~U_J5is&%9< zem8W<8&$?%X5wOSnkp7i3i~e#;1~hzT&c0gW{YOoc>4B>e-%ytd}XaVUGWUVEi|Oy zQPa9Mx!@6ebw!@|7F8ltlqYeO{`T*vE|qj(dEKCq-|YWC%prtME@L0|iDXE(dm?dd zy~t-mzn7A!S)^s}=!-mH`s)#8+0{OGN%JfyMq_67X2@7Ref3 z;i5fCdM5xuZ`T)(N#&z`mFI0jX~v8;sekM@Wh0{A$E6T@El_VQbJ!UM zW?HPlV%P~&%%`x7%MACn0r-4)T3gL^mUfaj)LK_NHdhJC7*#$r(@ZlBW<& z!%MZdRNGo}PC<4-&2+weP@7@m9OwS$^6HICt(v_sCg)mC&2_fG2o(! zwMjBj47S0Wm!srU7R{)f_n~D#vhzIGF{SeS!(wdo}0rXUn47>~%c6p{Hpx zLc9f~k*~55OGHsh0D36D6oe+(^Oox8hKBqmUxw@56aOz_RDJgfE~99_+ah@p#I~~2 zq|8|z_!nN1sIlbsJl!((OKzBQPbKV2e4m&2`YvWR|GgPWt0rSC8)kZh!wT*#iK@Ht zx59V<5dBaR zLyKpgx`CmhuhJs=>&bcYm23LZM?+h=CWu3J?g^gY2K&=IZ)~Kyx2&Z z5N&l{JS)eijrz!LUIu@5x@QFdoUUrCKL+hSe!jjAYIga%pNT);8mL%yeg5=#8A^~P z&pI0$lvl$Ea2OuVKZwT~?ch-mO^o}qKh@}^#N+W*z0un^#se<&HF)syd6bMBIku5v zj%KE34 z43loUWQuu}v-hthZYUPKS`X$Pq71!gc(=MZBfSc?w~$~j;;ga^zs{+?t$Y}~ecC85 z>X?3QbZ|X>jrS+*XQeFiYQ40rdWwD7j}@H}gzz@fYq|}3fxQ2F76oOw`)9T(^n;C~ z7`cDZFZ-XAgxb7&dBiaCVQxH?d>VhT6WMZbctH{sY$ALoMKsmVRJ|Q95eDI4NiD-Z zKyXqZK(I%dXHoxLS8tlQ_uWs$@KsJ-R)~R)lzTeB6qU%)AMZ3>SYm3a*DINsiUG@# zgwqhKkPan`k(;=XleL@HTKj&Zl3@rnuVM%qf~79uC5HqpFiyN53+voV7@3;^fQ~gma(D`D}0RKJTmkl8F#mz zB#cA9GnS|xBQcW-7-Dl81ii#<1rNMSX$vu2r9{FMHlpnG*Q?*r9m2%Ot%3DClaR!( zf?N2mIuim!6;=#tPf`?$lFZHkfx`a1qr$=AtEj>`p};Kmo9z2Libkt0gtt5E$*^8N ziDD0&`bxA5jckV^<1L5^3gI^>;|{9TCH^ysnNFxxoqS_nsEZe>)hNEVF|>=I?2MG$ z50Lsg`T8qAN5{}d?&(#9`YYeXm|PF&`bo44Uj9Db2{L!3zPG4P|1+pHFKFM#A+!s^ zX?(GnOwd&V`FcP8H;>Rq$!UD)8AMQoFXyCbPUw0Is#VSDO!1jV(2FUm)y{10lw59v zdJ1{w_8xX;F5xwT`XTxHBELuDP)87B9EHy|e4dT?+zxQ&_Ca>JCQ*J)O5ryWW9TAd zFOZ99vL5qi>7S6Z(AU%cJlRa{BGZ8#+as)nW+S~unT=;oz_mu?ZB)5 zzC9KGGnpAnunS14)r#y62I03K#`NMdmY^2{RI5q+ZepR2WYd#kGo3Kl+T+p2Q5D$> z3ib5fjfBE&SoL`d^=|x}tio+L^?UO5I=+qqp^liw6otl4-j24Rk9O12Vl$S|7xict zMcG<$xmw_>It9kX?$%17kL1(Z3ArA>>i^^$TR~i$qFty@UlWJ>%Y6N)H&duD<8LDt zcB3}7lAPHDSxu9#FXL+i2)i*E|2oRs8~(?AEDGTeUyXv*yOa8}#&FWnZBF22$x#wz z?5+1B*;+m|T8WzYQ(MT;{}8p)2to6zH|>vUG;T^|{5E=3NZ}qqAvsF(y3|i=(*#n@ z)WjN=h~zYK-5zCmi)ixo_%2l;sJ4KN|AZl_ZsaS-oirm@xRQr%w_^B)R4{+Ic&3t_#&j2X`0YBfn{9qoKSz9N&kQe*yk%N)qOCtocoM;}kZWBaz$nJIjY zP&_zmMe{ndQO`r1%~ueL+<38$@xc#`LviKzb)AzOJiU}Ft0A%=I~o!r z@XGW3r_0Wss^8E>Lf~sNtAZ%}kI5vxi?cfo{D#bqnhAB}U4y%Dm_I8U`EMjl z(_SS%*bNWf2MV#lkTmIXq9>0>?lW%dxJWyD#4xjCp;1?cgJ`I!n|(6@DxfKd38CQg zwXbnc8ShNII(Y)kFlo2dxS?)(JE&%_Np+@@Ao0r^Wm;o=i+-FCH z)13R?z9S<_{_?XyY!F^82C6+8{x1Ymqa$_G$Uf)$0^7E642dT?&QeFYK7QujbFGRlrv+u6P2~1(1HJmuA?kF9eVv?kvHJUgI z^X(1cv54Fxc|=A!zIz!rptc}vnUDjLysu)&_{B7gzWSC2;3z$I5!{#nRD?l?z(=X4 za4}y#1+935S|Pv;Rv$NjgJ?7j;u0UJv#!On8mS1o1q}?iI&;HcU~Pw!d(oSE0$*!> zRpu$y&kptZ4ORNaN@K?R{f?wKM%pv*Y$W1feP= zRiUnkYDEUEp23mrr2n||J5@w>_ijtv+1Z}=k+8h#AIY4< zB$b>*ZeIYqfkHHoJqG_|5VPY+!?+#=8Te-6!cFzkQS#9_|M_m5MZaHMrQLxa$?$OK z)_^v0D=Zl%6=hQM!bn>wAQ@JMGPheQRM`KUSiYQyc;E-IJPj}LL2y(CdXB!AaQ-q7 zh3XO8%HaM9aV^Jh1N}4uK;8(oEe|iVw)fGjZ9d?0U{lch(JZp#shRPeNtS3PP$6B9 zGVA&HFOkC--FLbx>~~>S7%rSTTLZOc{_C9l#xYK} zXiVYQ5{~Dl>GpM)6sj3XlTiFHqq%6nNiZKH6*RgFgNU$nxR~hx z4LBXS15TN~yi8*LrUn1t@a$hbp^$sw_`h00A@$!%O=#p)KI)RJeyT|d7uO;ZaY(AC z4|=E$Audh%aYR|*%ubHzQTiuE{4K@0!JgC@9cdZ$Yw4eb(pg!koq%C})PdN=Ee=ZTNW zkyysCV=J5zM^HUP55^CQsXoZZ3H~h^Aj+TLMwU0Vg0W#}VlEV|Vv441XU&M3d4c}SdDRy%!!QCjsx87+y_DsW&n5GqlFQe1_F zA1-lel@Lz(;h3Nfl-(#m50{npSv{B!-jI&GqQm<^(w3gux;9ZJ{dsB|yKshf-yyAi zVRLI575Bg`-FanG+c`vK>zcl5lc|8$mj1qQl3z1dg7m<#P%{U+uxIF@Qu6RGnG!N( za^_%hSz4>OZrZu?Gg`E6K=9+VHq8j{E3nMdQi=oYmo|3)5T$~Cqo}Ens9_xyh1Po7uzQ!gE96M~|kHbtF!AuH4=@_CSFnR5qrQB8!U@BIH{!b(0Tf#zI z4QVD=RGjnBuz(?ih#Qi*9luk3B3c;SWQMQL~fG9o} z1MC~}U6-JbvMG&GvhzHSM89~)M;Xya2eczc_vxO+)`)0$lp`p3N(7!xH1_lg?u2z; zrzh*#*x3^uk_17EVl?UjL|Z72js~`O<1d$;Jq)uqaff{4?CzA+E1#5X`Y7`&)IJ^^k{-%y&L zkv1q9JPl-Ihfy<%?`0OY{x?bU|*kaw9ly`xLHV0b3AZv)LHnhLJynV~y{Zd*)fAYTzbEv>SNG=zg;dP_EHrGEuR;0-&M5>_k+fgbZ zdapDee^)f_3!NZr3REQobmAd9uwD0P2jJUe!MErH zzN-gv5ej;e2zpWr;)CXMIW~?7Q1V}|^Ltls)i+7m^b-Ez2LJV}RtTr(GamfTD6N!d~qYXfJ_!Qa7-Zeo~GjZDj7g)Ag$%w*yM(uo8=1p?c((`L1fo6DH> zwTX5Sh<7QTnfxbG!e%%nnZSu!N$-6*Ah{b`x~{{<-j2 zqL*Yh+TMHB)@C;nH@m@>e-9i6d;bw!#t+Iz!|isA5i(VESVcls(0lg_m_qkLzK0>& zWua?Xt^bxbtnz6#@~ds$^wP#5XS110dh%ktn=>Xav#j|*MeB}g5cY$^RNEJNG=-tF z3Z*69C9(6uqSw06HK@BgfRY9mX!_G2{fJAW*J|?I3tgDUp^#X_mc5%F z*j`1ujdz$SMR$%rpS?B#lyQw}2c{FAiSs$zg*shgB1X`4pGMaVKC@jyW3X;Mc-P%v z%nxBN?-xdSyRvl}Jm@tL>pG7h{{y2xrpNF3!a7b5tj_mR6nYN}epW%bVI+jevPd9m z8jj8ak=NeI8Wp&VCvqBzegn;+x)G#Z7R%Q$sKUJgLB7{8a@%>i%3{vn=NZof?Au`7 z`op{Yh_=15qQ=m#ylmN53P$I#NvIlvNdbolut(#xq$B)wR*PvNGRX5&SEqt>=s{%g z)4N(DX(Nm+TNR#7iK7|h=drE%^OFfze21~&#(cg5AH)bYj0{vLbWVY)4HI*#H6$2y zOC$|nj&u>o7>dnFu1^j+-rdm@6QrpbtcRG714gGd2(11%7~gTJCGMtyDPXB;z#c<~ z5fLPo07nFkV0?;S6|23M@pI!jjKYTb^4y#r*Fr8j- z5M<=0^PtH_ZCDR-UF7Mp>^n-7ix<=nhSB!VDmN~UAQShf) zCm>)#i}+FrU>E@J(g2?V;!knF)>9I7k6G2Ob`q>{5Ug<#oMzvzbsX%5VBIbo7IIhv!Y>EHLmyKr8c&jRXDuVWxP8R`(jlRb{|9R|t4MWqRo;FZA#`&%zu=QOB{k0PQ z1(cBM2+x$p0-nsR4LE7RS0indVId}yj{cQYetP8nmwOvAf{N3xcLcoqQ2Liz2D zbMF^l@PB3IlbCq@?y$+Xj?cBh&B8+NkT68ImI*{U-+LU9P7={pG|?7B{<@WDPBX4e zeK4DdkU5CJ^?5^X^q*0IO>)6aYC#?v!B5C&Un(NA>NgdN`Jr@K0w;luWB*rw)YGkW z*(@3n$Qo)MrSRIw)FKiQe})$9gJ`8%S72ChvxrbT0?g(qHh#Z>r~A46!13Q3`DZ6H zmw`V#U&`~({3tegB4{$MUIHYcD)#OeFz~PgNmfnU-Q6ZZF;MtH=4iZH4{+O~X*)^8 zyDG%HmV~-CD_Xa1hQl4XnQubKS_!46A)Y^(Qf^No#lnz)r7bp{kQ9qbg?Vi%`$`N2BbF`ugV`AYX(Nz z(AIW2uhJ>Gp|&0;G3_5av)h^~Dmo2!Vry<1A2Ykm&&;oqqiWatk0RjERdLgzkM_-- zRh$O`%oe3Bt3%Bi2shiH)eyBtm~_szZRmffU3K;zP;AB0%g`kdsf&Z(8wM(?s**Wo*rt}+vKWaoQ-OtKvEqDF;~EFlK%FTkdTnkzvSM~ zOcQ_Xp`bx4AJ`E_r~O4IwI3RPA!Cnpr+t_uedP_Yt|oPbOST*>MKNkcQNWwXiTDk( zYWRdSJ{9`G(vdt-Gih5?h3x7)=d*tn6%``26pmi3DLr=pD~14tC|}ys-GZPnnIV<= zrYOxfp>JmtclK{Eu?$7Y;@PqB$MVI_X^y?C=&3yNGIR6NMGJ3Zalv zQ~9&WQm``*)T@$lc}vyC(!<&M*q2FPr-i&tV0*2^AEErF5tGOE6H}5a43sMo<&KmyEWFBC&iKDzp3% ze?A4V*~43hXXR9Svfr|Z`juMixmSo_wkYWa&0X+#{CJ@e*`MfQzwLd;Og_Z}yiFfq zb&&>Vh_dSLoZu>SYph;N<>G7};T;>bduF)rv&RRmIUvjsgba9@|za{P@<~UdZ&UQvPjK~aaY9JG+ z24gI&45yyZLOo?v+7+Jd|L(e(cjR%+KaEO&;#^0f(73eU%clz0-WzL7r9^1Ya%ZQU^e|G>=7 zsyMSneFYmjYx%`ngTRKLc7ZyaS1g_Y4Z!ba(`s8|KUuTsHn8Z(Z zUs2Y{g9awI1EhcN&)gX|YH7-+2i2JT8bb^8url(h^1IBasGDuNTXSjU`TF|)&E@OM z88lzT#T-rPmzM$})xqy4HB7~P*?dBISJ_v`$BXm$48IgL!w4J2-)NFQAcD#GW03o? z^RkgyMEpV$$%}6U#Nu^*!D4=02hUtXhhTHb&h+z2#vDI+Fj*C@fzj&KT17s2ZasCr zxt-Ovl=B*xf6%A#20@qk$B2=6NF{eN*ac=y+Ez%jm~TBaC)t_Q>MM)|E|jU`8;h4) zuBb*C+D}k#*Ml6o+V-Zzs}`2!7DB1%L~LC^zMEDJPc_&^{(i5|ya1njft18?H7HdN zv`Fc_cg1{)1e;`0*&o+ZS(40?;lf0_E^nogsVwxkG-lsFc5~6toG4WC&<*CX4LChX zTxnaJTNVhYVJXU}AjHK%6?ZPmlqy*ldx84XLXN9xAj}|AqwK8t?z$PpH$dLky}@R2 zxRzO!hHOOctnlZYrW|TXpb=O59YNv5j&wa{bRTMay#4@3y&9ZOrOs`qv*>1p`>MqeIMMsf zXQknnu{j$&X|?%%YL{d&+gK+kNnaI#!O)WA&+9?*9zMc<_A+H82x*89x?r3TR#oTa zY#~Ae48fFnYoif{0a&~q4q!>*y#<6t2^@(a7f9o#jJiC^c|mRo!sAeUuU+eNFhUzP z;K8~ZV{dBQC)N~KYno9X>N3S{m#eqf^6+p}^W_C}H%iov`v~XEmj=#4pXc%< z)~N{IjKL1w9|MmryiN%pq|2I)85P=o0+4N$4kq#aRij7S5;fnrY=-vvu~``7FFI=gQn%s|p1xe}Hl z&DM@U-qh|?SqN(bWRR_!9;-PaVtCI_7$t$#%2NZ&L@hqXb*TM`&r-sbH!28t>T%L zuJhKudIP(~;Z!z)QRt*|{d%)MqO`i{)iU;iZ@GgpcXo&yFe6k6%oSwz!t0%~jf0f{ z`Bn-i9~HqdpGDhtkWTaAT|)f>W&UiZ)T1&v&;`Hk_u(WcZLtI{jDc!Hj^>lILF+co znUO)kL?VJKd)LZM2A>Yc*_kpTs5)b!7EO! z74kxTP#2u)WOdG>2}^U)S>0O%;1FxT5WUt>9oVp(CGO(Z z(H}ydw?q=xiFOkE@!mD z&NhvHC-j}Bv_a_|4?kM+?bXfNY*08Aa}fF>XG$tsAhBRRNgw>iSUKyja#JDfIOk1T z6Re@~&~Q?b7BuFcQKFsOYsEU`prgOoK-%C~YIy58Nh7Fq%L2&1r$jlnzoHojvH~d&t>woV4YCj;WP9kGarA7Na%uNqbI^Z@8yAeU7-X!*}ZO4t=wbSxntBVas6+0-k_SZu~m?q z?e)5?a#Z;QI^C$4R)4zR?(%-R>?;j&!V{9qdY?L-P5!lkY?X*gO%y#t{w#p7&20pV zNFhqm+1r~(H4}39lj^xU8XP`1JtM|*MsD*W+Efpg2S|IxEOSKo9ZaJdhvtlS!vJ>S zBhc(*P8piSJ7C-CurSy@wNcvg)0R9_L_xou3co-hp-<);8&O3YIJGX~5K%|+a@A=* z6Mc9(YJ3&Rx5r3q3{4WS=Ih5N!$MuZ<$3(?J&+eI&ko+7NWu-&!A?G=mf3BL#^RN} z8ShhW@7&{VI(9f)!%g*9NmFj11zF((#|N`le+awdjE_Yx-+M3y3_C? zx*n;Lw;e%mcPA+vOm-CH9*B$XTXt)q@9(_cFA@f6dGoNaUMLPiOmZiI^N_``vZyH} z-vxhp<==~(1p4j;aw0A>lo5GBwg1-j{ufq#Hl4Q)1tFV%h4zM_VRTq}-xrtw2{M*Q z_5D9;|JRP8|3fbgU0#_g%3%`ACV7T_gQZnq({U`~B-3{1A%xA~&tL#=_FDg;A;S~U z4Z@z$!C=#v41MSiI0X*vD9*k&xKhtA4C8Z{GG(QSa7c_RaId+`R)N!|e5aN)alCQN zn>w6bc&~fxGAoe++KJ26$?jqsTh{VKMF2Q1YqC{JfGg9OxHp;skqk=8uGVu>QXdIZ zqIt9!8g*2C-ox%VC9Znen4TDOlJRkXt@q^)vahLxynY~*=$!+jabXSxa;BL#o(?5b z;>=NpzXZ==`l7)f)(3-xn65-ZX$aOGTl$Br%1!w(nShkbevT2YyawtiBd(DiT$C3=&D z%ic8y5hRxx^LBoie4!|-A(<-|m1F8ZIbeeI%PxM+{`MDPMD@b(0Jf$bYW&)0$*8~6 zO!mmX!W=d=c%F~L&Ozf?WYIG5{`~{w-9eo92^Ww1*!GKhwX;f@R}P<{ z#bW3-PkO*TbVV)9!c^@VbAX}+QSJkPg8DD(^LcaTyV+a9C#=^;_Z(i2K=;O7@MJjP zgMQ=bYCn%ynD?KVk0-WOOZrVI?Ax8etDH~tU3B!&M9?VdSZ^F4`A-ZNIgrd2b413n zBPX8w;Q25+n`@4WNTJOr(Gjg9KDHL~5x+THyTXU{!n^9__LBXc@CgHh>tL0eaG!jJ z*)404QSh>))|T-0d6KK6htSF9>4I6YWGk&SdhOd8P$vdf1y{$s206?ZUWi=(b={8L zwQj=>p;mDmR79r`$vg3=jV_BR&hL(8pIg@)OPP!fh0+~qT-9z zt~1MNoaIj~e<`KDhBg|qRY_srj-AmVBFpr0&q@9A1Xn*Cmt7-NUlIDrkxaEUyS{v0 zgyArw8rI@exqrbN4^WELObal0v;4~>;9nEx?%|NxkEIdbQHxbGoz~i}4Lr4rtQ?sd z(rB_Il^wx#2{WZTMjTU}#ZD^Er=dy?rmv#4I;hE#N;w>KLd1$Ae-cq=s4X2s zQf?b}!$JVI*{LdqVB*^%3uY$W8+9 zt7v2@S#vls32`6$oK{4%1ukc@3Ak&Gs(ygtHWH=CE4|@R3~K4Y?-{HlQ@V_k`qCgv zrc8u?tzW`!Qn{gR+TOBgx~qk1&1Jw2%NBx; zv?$l3qn$=UE}UHyyHNo%n=`n7l3KaTescPvd6wa<0XV&RjniLF#JtCO=iOUg381l1 zOwwu9?&vRF=Mq;*|sVSAQElEyIH|okYT-&naOT#)p+SWbjX*CF+WO$urOO8rm zD~c{cO9ZBtlp>7F>qJO`QS| z7xvHXZ>W()F+FasjXk=?2KTfQzM^+Oj;V+S%dj zULZQaQeDk#nqf?b3av$pL9XU%_W6EFtpadI!}a8H#&ksxNtT&OM4(0T(`|&IaSC#7Ore(3ubufl<2DgFvvWHSI!gA=|yc&`Q`23#HF);;kd$%2r3{)lScQd zo@+`da+u({k`2nDZ4AWysyQ~2+|=52EH0Xb`p$HBDu+ly<5*H zRVtj{~{L5_B&`GN7e z0I;f7!6p3@7L}j;*N$#TZaYI+{!sQ%=h>A05JR4HMfuM*4Btk*P+}Aq^mBx9h0aE& zuTIpiKRAny9tVtA(i_5=HVfttUE+)+T4o6dv}I0Qnn`nk_3=md@kjM-u5!rzT#TUY)QwIgqj&d^x|{x15iGV=%Xcm3za(1lra}CS z4EB{l&*!@)g!n2l5pmt+hEs(Nl?puVwZ|(%L5PJ^nALSY=Fc=KHUhVCb6SUuw3>ER z#K_8F`(fjDpS*f5@~v)-^@nl8jNq5Bpp7{(h*l}&3sKtZm#AXcGfV66NR(LEU~rvs zaDM!bv*IHF_ZN*JZTi(KnBKhWR`bW>6ATr|8`99<9xVF;d1D3)MBTtP%bbP1%AE6s zydlBx&5(~^bo*&-pYv2~yMG}<*4XTu2c{j&&5_c%LYmv;_aXDGuaX-pHuuUD67J7s z=!N`<1swig?)jCP#^>+tjrcn!pUACAaB-n^3Xl)8$) z30B>6QZzhh(s8BZDfAQ**m39MqDS(Bz$O)Uk`q^jpe$b^s=rsC}O_P+ND{Kfw=-05;d;1`)0_fmM+-Fv-RksNU znSNR3)fPO5)6;u{Ue%}X+_7lfD~A~Qz~7as*;5u09n_Y+I?|sISQ&xH>Tu&g4pW2A zG}v3*ABxv1)`|v+3xjLC>$NL}qzj`P5m@Q(i#KR*@b}(;DdAzyu@99Eom@BQ zYyj<3Pyrp~MdvpK(QP>YZ}A?I2uL@}22$-J3WQH$3S7K=sM_8Y%3C1k_ZDEu&y#RS z0a8C0&~(2rSC^*|b%I>^pr(uuy0eo6vels`?MRr34Vfea)5$_Ztpe%aixhekXvw$W z^ujyFe>OLt&Q0JGeA9H#bP1|pBGH+8=i2d%~tYvMItNV zAr|@is!byZpPWcW>*gDa$XS4Jx<1J=0d>B#_q1@eKL)(673InM*tJgb@`=894bo@m zi2gT&O~-htyoUzNIoEKy&(I%81t=*I)nox~GD#jHml30(MJz zT6P^t2bKmTjf7;!}dhE>z1bZRvk#JC*Kr;X@3rAm-SBjFA#ac9=r`$L@OcB$3MNMP#41H+vl`tg*WhzpLuwG!|OEY4bQIj^FSYrW-38Y ze0>>Ad$=Qa$8BE3UFynu=9E6vAMHYUXK}w9S2qOEu;b%0dHaW=ddhsVHCMj|_^brx zb@zU2uo3gtCiUSGhJraARQ#t*kOUqR!X@zAy4?EXj|!*{1Ky$(a-GTI{#ep=xSOvZgV zf%IFwT8om}@+|ZSTBnH}<7In-!7 zbLz8J&%?TZF|*k0|Jch4Yx)`5?NTDdr{mghW8?-qPOJSqEcq`qa1hw>80^^MK>AX6 z{@)YGc}M}=$}&%?{Ls>Uqbmxe_U4g zyuYia(%l8QIbCGRKDRfeXFHCS#L}og3K+#3!LzJM4(bRU?Gh&)cP6{Kk#A^j_;2`8 z6*^%gQ|6Ob;61*3a03GE41K%TKE#d0y@>w!3KzVB_o%Yk@Fc#V9m6cs{EgBp#eQux*y8w^LtN5>*SI) zJeN7^=he8SE7b4wD8!1VDI`vAMdJPaIc#rYm8J8IS{1^toZ??omB3vj?ZenO?PD5t zdggd8t^dJ(Pll0^JU585~O~bG5H&p zmc7>2{N;PvD2(Vq2nJS$J={&vV+Dwg7e_(v8toFz~+zR)#S+|pE zQar_=8m3|Nv$>aldhnU2wkJ9~$sFOvwRJ9#%bl#R_PCsu+&mI1iLuoMz_%Wcy)wNfhLo`UKUxv*=V_ZNAe8*G}%qwdjp+_H39c#1y|uzP|34`z88hIX}-k zuMqbHW`E4b(<^`5Sx%ZP?!@~+n8a4jYbM*aLS?2XTc!3-7yFdD zIQclrW;i;`9TX7zDBBv!+xGiA;$QONeADqp7(4Ss{yR0mpaLq!ezCi|_=fOiN2cy; zE~DR4zT=es(^%||w)qn4p7mZ*JN;!rx*yI>(ywi=?oIw_=Fo4-lB>8cwR_j`avyzb zBrjJcF4p-z^rtcYSUt|DGM`e9r6WByD@Qc2rT&F)iY>xc{-t>AkI#|+MxvER6c=-u zK1J-~-_q@R{Jn2mVsBpPUqHhiAbF5|tbfT}bdSIGg8j#64~MIdZ?sv+S2U8GMNr7T)3cJ@QEs{(f?1qWf}G?_Y9}_+I@oQtVG9Ecnqd(!<3ml=$ZF zFi4{(oc?iF`fgVKDE;bdsJ~7+M6>k?^7cOXbOD*KU*68hAI@-onpF2xer7gb@qdmr zS-e@u3MX9Y)*Ry&-0sQuu8IC3Ylemc)>tw{w~|^@U_d#Vj!7Q3WSh~up+9Sh4YD8l zNyy-htk^e%Fu?0w;1zP6}t zBPP-RHhA;+eF_!O+fI^N1^YHnt#e$B@YSR~h2w%x1LM)c&;4IAv$eX&@ayXd4uxCQ ze(u&0GA)MibF`{|1x)2- zg}pqkw(S|qpqK}FnF%1N3!Eqc%=G{RmGq zGEnI}6j49w6l0pf8U=A|$pIaV>*V=@R&yxO7wFNCJhRuYTbiV(V(9~n>vBM}|*IUz*tfkI!HMqHgn z#o*@6LSKYN(dfq?v$+;?eo*UUI2S0h`i66MuooQBkG``XlZ9I_>nAuD>a)|0fKPDk za7mE+?6&coKIFO%C|asy#~gK-^ab{jCq1c`j-<3ZFW@$;3O+|lp{TAX@H0<~lFh6SSF%D2vuZ`r1p zNn3pA{znp2AtjiXEZ;WV&-*BpWl*ZIbL4^8=)1_O@u}lXgmtsu4C~agssF;StTA{X z`(%ge4Y!9nIS$%o1^6@JO799!4Z(A-g>Tfr{{wdF~@d-VxZ*20LGnEniwekJ$uoF?m-vvAu&+k-1R`mzR$~O#Gw#8X)04H zP4#s)g&}y7HJ*V@_r=m3ZBO!V)Qix8gX6I<#`n|7mt)eKPX)18Gt!;!M-LOX=;3)! zc?RRsKG$)zzJig@Q3WTA6LuZZ>*2$FGD@;RF^uz_)czT~ZlguObkxLTkPa3?GaC~d zlXFsOWERkQej*#rmQyjhSQU6VpX~xd^!At>^Y{V9@7Q8Hxoo09p@D#HheFfL`=cCk zY%Frnl`y^an&}i_Y!H3+?-8#ba6i$WnTHVTsV&9Et z4su+gY--X_x$JqXK8A;ckbn{ltzIQT5;u75lMJ#Fib*#JREp0&e+-{?t#6?dN6pV1 zoyF(C#J96{ouB%XzBa2$`qQE?d}I9`wr)b^F+c$w`Zb)=TZEWl6)i&j0h?me@r3Jn z-t1hMWW%4sb;Rtm?oedDF(x_#rT=ALB+AxOPN4a6-?eM9^s6+#i_!0!T zOk)DnrGqy}k9fW3=t1x{YN$^Phuw%r%lj3oIw7bmjGHC7o+&ynScUfkfmg7@t#2tp z-<|U(1KD85iT=yHWI(RanD-kukUy3=58x5(V42j9eY^b&`FPu)<7VCNIF*A;pD79@ zE2JA`-Xm}_(dH=FDYQ*x%VZZNWum;`ekj3`(Ih$$b`Ct!KT##6z( zhD|Q^U50psMom`}=th;B+c%P~4Sio8rYt(}Gfmu#pG*WLGCB#fNnA>+JNN_ssIMS6 zMd{X6&2<|QU?*-y&7&(l8=mUk*u#zu&CMQM&wfh)hoYpxqN|HB+efzkm(2f#`~Q{)moFCJr3y0Wv-<}AJDkC2 z%>(O8J>!g!UqxNY-+_^`jy@Y85T&>B3NI*&U3A|K|HmfHL&><-?Py{`1H2IXGHWQhOvQ=AC6! zOaf1ZRg$%A@7Xvd>!DcbIRkR9GQ&sZTNzka?4%&hGB<#8yRT+Df51Z?)cbUt$$skiE_CU42SK zj@7vMq|tPv1o6t$L}BkUs$fQ)BaG&CP=qYQYvE^mMj6VURx_Ge8lEf>?Aq_>sD}(% zIi6`+xt?&U9_e?T8C}&pjd)*u!N*9vj>aooCD%eH-p~9b7|7dRWh27d z+jtp^4^YVA$9@tWc5F7zIV=0u*o&#wcym3k6gdN9wcENc{)%CEzs95 zxV*WmNbhOyGJWu*_RUMz@b2ndKP&dFO~3N)YP@~fMiM?2_>RZH`mn%0(Wfl^w!1DBX*vY zPqyXwf*q@na#5@0m{A{9Z7E7fo2^U~T4BdR3}rmT#eHd#7?0RGNZ1PhZ^KKX;! zQMd4K`A7o#gojcfi~vI7fr|UUGhnwiiFO~0n)2v*^(u@3f=YO9#tHESQ6w&?hF<#2 zc4>}l(^HpM)3%wD4K>svcrPQuNYdGq_A(HKGc3J%&pggSX?z8-ZwNF|WU{oU-J~TK z2sa-s;CKXfB-2Ajrd!=p$j7&?sb)`D%}u!UjARa|!#gfD?BQei2ZM@*p+`^At}k<+ zBz9aZiKV43<5Gn7IutrPfmi?==w>>MMy4oMMK};!7dd>rI(i(C2w!*lS7$wfaNb2+ zYAbsV8Fia zR3|#1797t%brSQ;d-fC$y~jcP(pB8=By962>}?$RrHSwsjO?YYVTCaSgDBUVf{cj! z!git4C_y_HDh6Z>9FtYv)+;LYFw8}W5kDzyBbRO`m+l}R0jF5zSgZ_=oy%U^<+alq z=^&qR6<2T&U*Al?+)7B^OgL&IpK%vga1&Q>6xZJk%RUXuhJpKVveRO6MASMBtAaSd zx(~+G0C7~L4ZXXVn112+7lS}I4HP<`GmB4ou2+8SG8?p z9ft+b#+lx`aGrXjiP-^>cMAE%z;4t!l}<1-&O1kJ)V1zfv9NPHvUR?Cai2 zU~VJ->NrBMF;Zd!H^jC%@L-_l-Tl~fw}K<0XCUFNMZmB9x;u3anEDw)MfVElFfkYNngrg3 zNC0gAUb=p1f_r;tr<~||1F7c+sYqP7K`R8+5c$ zh#Rc8X#4~bca?HoSQ$St{k%Aqc3w=yF5daD3g#1QdwbH@KShs)0OnrD)f>Rg#2he! zMCQ1e{ z6bAbNWCely!#jh`mvZj?5<;8LAe7ya@%rI;GZ)#nc(mgv`0nb!TpQWxOUt1w#G^CQbP$%;ERoy6b@ztuH$_ETZ8{DHkdZ2 zsehCoQv4#WXMzktEqy-lJJ}sIu0p}Dj*s2*G&H}i0nhH!(Iu`nN!AvEJDq!uQ4b%C z3MfboZpHN27I{Sauoo$X>QDF}rvVNq3`%E5#6#>|uQ72SeB(ZV4LBh5>ChQf+)Uu# zO7I3Rpqq=={)A-AV}GObFjf*a|rC_E&61^L3q} zu8q^(W3HJ8&57ZFiINkq#p%Go{cAsv%t~{NKy)ZOr5bwB9^MDv`#bH8a}#32x{Gx< z_BAw3CIg>D!{Tde16ie|F_088!xGN|`5tK6q1fEPlyWo)nl~eQ-~?*C_?puZm1#>b zG<-OWr}N0h)^NT`VQw(pzrXr#(pcoUf`n+B(yEgyf6&F;fOQ${#|$!KRF8?GC>{)> zTE&56bt04G*Pm&0PQ;-IkFxJ9ZO9d}1024axYT9>w%ur(-RO5R+HLMPu75c7Q?cT`qkb_WXzv}%Vb zY|cB^A)NzZVQSf&klLfMC|=vL3c||llp<=bX@t3qD>3V))+XFSBm5T4o^A>jolQzY(JY1nE;-iYX~X4lhpN z_5+?#$aG6tukL$0>APseH{2l;-8k4&JQdr~2J)ZG;aJH&H;`ZQ8}j^}5nli>gDfw} z_-F$Mie@56!9o{dK2oAi3Q_h@RG-IhO)-8*!FFQ-crd<{kj8lT#M}q!A|BKHng(j5 zG4XEVIaiR9S<^fV2_*dV9|awiq~JgTaAEa~PWIJ255r5<(}Tg2BLrguFFf-dNEzAh zv1D%);t_i#-r$az@766DpEqcCgoeuZoGG5Y;^X29v_7Hg>qsUpE_iVyFBL>6A>yM5 z+y}bc2in{R3jqh#ApDkunRfC#XYp<@IQxZKyT#$Ov6TrtJLF0O7rAy6G!3BMRp3h! zta-Xl4xzxH4}QiQ&*rhj=7EF{G@SImt@ALSCFGaigtxBT2RPgZgaHSj3oXF3G|`!a zz((XMLdZaa22ZH&3C^uK_raFkC}=AI6*9?D?mJOb>bP|0FVY7w-$}#=N9M&Q{W3+5 z0-?-U1PTowIzlrdPDRN$o_SN)b#i)(hj9fOpc4&nO5|E;WgW;}i_#U4hiq_1B>yl#N1nR&{ zc0?XOx;r>{>|Jis&PCYf{`nEE!eE!eAahOxC_BP{7rn=g;$tN8ITq8g2lGaU2I#IZ zcopa%@dRF)Ak2gA??OT1LVrtBnh>57@#PPsb0W4xczhlyHl-#=fBPg-z|`!UbBZL-&`I5g-)8{N4pvfF{EEHS|&f`C6;@0k;d-6!1wZL`RpIfS>Y+y^ZI zWNB^m2tt#FB7hQYuoeQv6B;u;@tdThN5tfjm1nq0K~@Xb_@Je$t=iwWwcP`)5H?+Lm)MvG8YfMsAP7n6{*#PGeOR0snNH6^nJDT!1x|S}kQUnY>R8l|q?7DrlcyjFvtLr7kmi&YiE_Nc_?$utH zZ5-df`Ghxk_uUaTE!FdmD5Y(aR9?5x^C=`i3p2$IJzC_eJX+M5eOCGRmF>j5%1VA} zED}~I2)L4eoJqag!i4!+O$rk{#N$1nS}cC@2K!ZuDkh6>^q?ZOtkbY>ZC4-UfO*qC zNn4RNNBhQQQHu5`&i}A}3HJP}3@dyj4)PeX#=!1LJ1jjJCQT`slhZzP^!b{an`5Wx z`>HKBRyr$p&8NS)MhE^h-B1X`=1Q?Y0Q;vnVt#nn^gEnioXsp9dmB7yJ+@r!3#jCM z`hOkH`26$$|D$1TgQbSmO^NmWP;Glg8!b^RF-Yblxc~h8{4ymcoV`IN)=`xXOCA9# zG9f<%jS8jc^zPLidnEyVslfy%9Y<&EdAZF?xkpttQKEn97deLiiCCKna!x~hv-QB4lIB5OL(WVeOKOOZ=& z7B=iUvDCWTNT)5>weTNI!7%7=3YbpGPu zxU%-MCH)|fgVcnAh0ZO~`LQ*Rv;~>iqy5i!ST}nhj}ic8@1ZTly#z7+K2#*(`#ygq z9+;wBroT>?0^!Akk;?JO^^9SWnnDr(;KO~5>4{u9gw}7+aU%-LXiS9MEH_E`{O7bX zKWdBP(pV{e(o!Tpa*xBI*KN1Su&u^OgMosQ9!NH2v7#_?zuVakW&dP^g-rqW%qg(q4X1vLdS-mg;X>qUPS0koMhl9l4{2-hAsNnyCDc}aOa9mn z-GxW|^Yl9}7`B%TO`2g{8I@{JviIJm&-c}|f2Tsq<&~}CZOTZ(J8p1>_!s)aZtpC2 zN$_Yqm8EQt(JeIg(&utJ4%6hq_~Dj+HD&LlHO_R%?VynXI4|Frnj9<={w6a@p!O)) zJj1(=Oz&nlMx6c~E#Sz#EUaW95sNu=c=4)iLf29L(`w3c2{HB4m}Bbds%JftvE00X zHtnxh@lR$}?~^tNq^BPayY@)ZPqB(EzHx>*GLT*+di=Oilh{mEEaL#BpZ9GudDXn4 zm5a#3qx@7sOown7-dul)5(sTfcqvqA<#bs0J4!nU&djC0!R&F1bV>@3^N$;xOII=g zhon>1h=hwf_~s&3?OKI*W@J)E*Gk8r>8LZ%(z&aN!5TTl(D_DrD)PVsdN8_;9uDK< z#oV-(ZY}Vj{y9Gv-%6~7PpuM2QeuTBDL?lA9Sk0kXJQeysmO|`r@D|z6+g7X$N5

U8YDj11v-#7U8&j?lXA-D{=Tk%$BAIY*4)>8*up|`Rqw5LHhJk?>6RIdTq ziH^%?)&wczBsV5eWJaK~eT-;UiaPr-FB*8sPyqd)WJStf+8GK7Y|0wq+Di%2q!VBn zlNytOdn#1r+V%^!OJt>=iwBYPrja$`TS8ABU$y<8m@<%fm7h9MI|PHIhdyfp!?G%4`k=lu)P@4cBjt>oIkmmR8+W={y#S z*u+Yjd|kD>pm6)sB`!%>RoKv7eW+*$BlVh>)lphmlLnu5@&Z&FCW=i}!`&4vM-W~t z#6VyYAN2aIp+>aLnxK9nzT~=u`L(Dbs^S^cH F{{bUoq+tL6 literal 14777 zcmZX4V{|6Z({^kp8+&78W81cE+uYc;Hn#0-Y;0`%j&sM!o8R;Q_?|h{ReeqOHGSqx zSD&gHR1gr58hFs}*5&&W{?_Vd&MsCC_QcGr3``8n#KLY?wx+}^tSrP#?2ODTj4Vvd zti&p2&hAzwX2gm{CN{*(#46In8fM1CVy0HE-!mgF{-Xl{!DszH1u}{JKi$FgKkW&6 z=?9ymCzg3FCy0TXD5nL1n_!1u(DhGelaphMLrR8m&z%yrXheQNlFXGj8bWA)H;Uzo z|0I@m_UV1P+e=^+XV?ixVxS=6tvxydj;irnCbAAczT7HjDw_P&+L~OGRQi0bEAE%R zbk3{l&T~}CW;!<79CmsUcW@U#pBXHHY!`#Gg7&oK{07pTxH}&=erVkegTP2dXGOcz za9RP?+x|TD;UE;~_@bPhHOFO>)w^h0JIvl&sz<7FDY&TL;5G^xCMdVEAa?#Vt$SnQ zaO5fziUB5;5fH+hwwWnrK_o(9p^m~&9KIl^mP1CmTT{4nX9b_bKTqOf7zjv!1-Q_f zrQt!3Tl{zkcImR!-ImU38$+FL=;`^r=8ub}Iu^4yDe9{08tU9-&CRqavdA^rzSH@Z zcSN^?mA74Cc7YElmdN{)0PuhBS5!DD2c(m`mo*9m+Dk?kRd+@@0C|Du<`>z)Pobxd zt(Gmd&(+-9hPG4wZXl27@7V^47)iu(+(9tXbx&psHS?jAh|LTTG z;DLK84YDd>Ij^Eu!JXhy&;)oYG!3%sC^*)1r=_~xSDDCAHlMy5=GwB?dnk9$e&ze5@BL~>dKL33$SWF%EZJ7nlC4Vuy=t*V zy%KcmB$0}Dsoq191_*1`%_GH8N|I_>?+3Dxa`lSHJ*c}Rr3=8*?0g()FJW~Cj@2Yl z5RlVB%qOT9lC)AK>}*scq*z{0vNbTRsc{Uc6WMK0j?-^C|o0xk*K{?C6uKMAFqaZ7CU?GCWrK zy3e%Q&FAdkR-tIA5hm>~Hxv7ay`-J$a^=8oXFmR>dFGbx+6*{e^R^pcSRq>CooX%? z@Uw;e$r?B7>Q!Dz!_m9*@5AIgs3t1EUZJE&@Q-#>UGux6KwSQoUY>p5wZv%j4UG9l zo4ZksJ0;A+JVE7d+|VXk+1t(=SDcjfz5z*fe&Bm4+2%~`^8qt&hSlf6ha9aMpbdHG zTBrJR^1#R27v_M3u&?s&6rb+txxVa$^77v3&G~2`&-_a^epXJmK&dFeR^^CQ5&0!i zQgGoh%dOH8FR&R6v3rHvuZ=*Bk!DT_I+cDRelR<<+5C%1Zv1vzqpT{C<y!w^EH-i`FF3F1UCdR&IBT;AMR@x$-u9ZltSK%bf#oV_0bF%{|3nqt$r&a+ zzO4b@5}ZyrC7xRj$EXbYfSeme^`)5U47cP_=Zy~LqPx~uWdOK6K-0{^#ycOl;Iiw? zCAx3SZ%tk^)nsAGFkAblgZC}$`0f%vYqH7X8@T$@f&VHY&*~HRs-1q4QCGDhG{~$bWV63fUD)1Gi1* z_6rkwrpLCwiUbx;xxwr{$S;=L z)Wt3wnZbwlp6g0P*}4Z??CmU%7g!J28SkB;=65`a%d-9!G+ES6nfdPOBR;(8d*rWw zOQw$oKlJ&3Rg2U#A-y#tm27~Dkg3SC*XD{2sN@Y1dJf+mo|vU%5cb6-(Jk2W*ej*D~VcN+^AsPw^YtL zU_?H?Ad)c~q-)R)`EJqQJvNW6TnuBeb>;K?WEXG{xAmWiS$+4#X?W>iF}9gc_N!s* z*JWfMS=qI8ab0!U-6uZdV;-&mxrE>eO9SifUZ++ccx^0MYHI$^4gmhjlh(r z1-jnuZ1dZrk6+Oq(aoy@d4P?*wO-Ag-F*clZ(cXe&5FR!wFjvdfsNL;qTr(NvWH~4L=VO!}l`vOV9^_kF*Kpq&(&MQsxa&=C zVC$oHci2C?s%YpZQMwn#BQKa7-tAKQkbaBxW9N8kd*_$~Wj1aUV=FIh@1TKi15wjH z&&M!Uo3;8oe!%T8bJ~xcBgS6N{igk{Z}_RN`kC+>E^<`&ts~p^??I|_%Bl^Ty|9J^ zM)=nB(?7I;)vd0;Z3Y|A-bDF-1MlC!^Ebd9sJ^=d-VD~pQ1HX^5wxbi2Hg@;zekYP z@d8RaNJBsootgX~z?*55W$~|x7^V(>j+U)cj#R zYgH3#W>`)>z#w6S=x)RHE!^M2^DVrH4E8d}a6H}ht?*N4f!p&-i&=j3;n;ZxgQZ=#O3K`p_gZ$e<=;nZ z0u4{9t#N8=>^(#~fi?LbCGdlfiT;0cy^;B5j;?A8A17j1ILRt8o}ekQMgV7viEibb zYAl$5#fvpo=rVQZPy6!fLU)DbXCo|M+c*`CWj41vX}a}_9UV5kQ{xWy;qmAOYI$%- zxy(MN>8t^zHhEE%_TJ$&+v;(Tfe~|_cuh?TJ?pS@#OFV!Hz0c$EuCRl?V56Q8a7>q zUh;wAR(b{a%Zn~;42Ij3+_M$t`178pI($c@8bu9pQ;>2BvTS%JwVJgS0g)liK?&$B(}!BK6&%D~1hr6GaiY3pF9D|13iLB1(ZrNC?*0 zJBhs6XDe?#C+)o()F(vl6aJ7N?tA;Rb*G0(SFY$ycp*7X$-vFgcucKym`dmkQbcHX zor}4nkTu#ix3SajpWpG&9c>W$Fl$Nlf?t3R^*Toe&qK7$s4u|`)H?YA6?^x=uMadhZ-2zOiYQF&Q@Ph z|MrP6-5$s>;+t6mtc4K=QCaT4N5Ep4eg@H@8CXFH>RIdl=rRU8pnd~~*|UR6iEL);XH z2Wn$~cQGFuHV8RV&d_`2jEdL1!Bl$%Ya5p12L(g3i2}%^{jJjRC!BK^Nf_6;1`q4y zqWC8n8tz&Q*Lljc(MlPo(OSW6HzZ2PNlhtVcUgGoJPPx|?f8~q4V;x3Bt!ao=E4b> zrE7V3|FBX^Y6i05C`n64kBvd(tT9nD;n*khz^>Z4%PF*F1nXR)ZJxy|-|Vr9!uh+C5C~irA5EAa+Sp#$Q;FCVrp16d}Z#ME+;~B+8XdMs( z&QRc`ITrFgqS-bWVFpzGh>}6umg=vT5n&s~ths)>jSYG_O{BJ^d)#4{?2|4K0*09NbvO)Tu3X`_`<6=y8+ikxHdJjoHCRbaP0|s6A zp3mTI;rLZr@H7N&E5%k~{ujw#5+dJpw~ja+T5f9g@bN0ZER>>{%r1r<6>GQsQ<0@> zIq^##rfVT8nn^=T%-2-ry=z$X-x61bl2MYnsJ>k*Xx3wCxGNl2vqQgFmecir&011P zw#(&H3LQt^iu*#(jP`s*iZ>9mJ|?dl3{(~?+aI_27``ulHqlhZ1YCIq$5_>f>F5)H6;3GYN258 zl(Rkl!{v>ky`Zbkq|nCLY{hg3vWEbmM@uQJ)v|6evi+u1S)i2zsoSz|OYeIWC1+vR z={Y^#Vh3&C6oxUF(WNH$=Ax~8(CkcKuc)4RH$bT4o?W@KhOisV{cdREv^G`#Hmgc- zX9s)X0bQx6+J}yV3<}C!(TezTMMgd6np&2|M6u4|+vKLDnb%^`dDL)Kec9M}cJ8)k zfrrr|lJw<%B=LEQK{lx{@!V2vPj?^}=ZM>fiT!6)+b;#T(M$}eY+hw1W~Y#8B+H!M zQc^8jYtTDYkF+zfq+v?QH{4k7YgS61bfne8aMWBi)_2HATQ)+fpO*U45TUR@ohri~ z>Ma0qVGSDKs(htQ;MjG**crG$)ZI;ZfZvz#kwpI7fDyADj!$qp6Kb}?sYk7 z+f%B7**c)(^6{?1rmwfR)%m1A^Y z6csm&8CvGf|8s0hAneyIKb`g?wl76hU0ofzBX{C*Dy?CuyiT%ARX(z2U3EFm%uK9x zAx-_|?^1-;mu#88nS1Mb6HBIm(rA0S-NE3NW2h8O*dR-*xmqcK%8S@{S_ZwesNSKz zPs6VyDw2jG1-kN6F*Xq)L{LoRxyYE5H(;8GQkx!r*6!Ub?8NuQ*NkOyV&YtJWW`#` zlRv{Cys=UJvE+FXUTt-T_cPSYV^)|yP>jn=RL#sY!^ma9BGsVMv%;8^P~@BUF}(6S z0YuWP(OvZhuAu6=-ys`|oN+hV*CNpcQHrvm>g6uaG5#fKzRj&wi}{s07NEI%a!@4o zw$It=%i~IJI%+nhX66c(s~mvn86|5Y$yHEE&#T>NcUMp~a-BAO!|>D6^20sM8vQ+-zg%J;lHX^bgev?AoY z@$xkKe?J|??FkNh@4%zrnt4;pj{eMs$?V_D!DY{;8$2l<=jmaNOnTND2pbrrU!*5E z*ufh3Urqp43)+R-KsUHrrVc{}1p1dS|KTxMa;b<>N9M~8?nO^sD|XK&$tw3h~J z7J;Wb?Yui*_{oD#9XzaY2?7l1&-as0kHGxeFEan}KBupDChaE78;P<&0A9Mmobp%f zOP_GVfBWao5Eq+tr<=4;J z2-v^#eev<}y6k&@?Mk=E|0x&uy=JN8qkl^~xL>ZLSRP-^=HJ=k05AKmC_ooQTfp#9 z&62@+z||d&UCVzDzsK_M??vm1`2rXIxL+BSyropy;;b=&^libZ9L7gHhwz29lix9Fvfr68}yx}$$VzkzUNN&bpg^J6xw`O zUKn+KMgrd6)St^h*zV13FN74EN=xXh`Ip5_Va~^tqZF^D>rruJ7{#bn zOu2W^$1qS?=-vZhN?{T!qHQ&yZWk2Isa7XOwPOhA5VS=z0xqIt1*GAlU`ED^p*t1r zNQdI;MRJ=g&t9~j9g-*@DF*FK!|4VW$&HyyB_LW_S1_$V-6(`jHQnI`7Ri-;YHOWE zsZ^_c3+JtqjWVetVvJafBr+ImsKre?sdv`OV}yMmrZ8G%$Xs!Zxc_4dVPe5(z?cV% zrgP*^UFCY!B=#|ZgE4k7p<#89iXe)3pZNTvP;B07^7MK>}SEFS{ z%-*h)3mH=taOviox&g;!a_}a<2Mv&=!F&}#j6}&CnOQaZoZ~QN4v54;o$@LD8C+o} z%E?@_+#Ss&Y`WMg$n-e|QvwvsE?_5&n3`7wX4rZkFPk5KC{!u`axleAfH&jcDQcD2{Ks5#cx*#{V(2uAYhym~^a4WXlfvjN_dvln(sdI)k zSi1Qv>f7xc0Pg$~dUJ_#|1M>eSrsQXv7%dRWR=ZKwiAspT~U zVx5!xA^>#`NqYVhVSRvlJztQAOw0$SSwy87T9AiV?2XS-qSW%~H!ueSz(1#-U)b}b zc~ZIAMsOpgu!o>|rNr_Y#Lhkn$Ts(?(tIK0BNXM%YRM=&-wU@Mt=ya~=;IUx{4uAW zROpA(?4sO^Co~w1g9d1TZ1#=wtpXoWc*VW)Y_j05~ZBQsr-F5%XcStdpMShg-)}ZsrJbSBr9I zv&>d${w6xd0MN`aD9mp|t!IDV3j`ix0Ho(?CFi%na7SKFIUA*rUr~h!$ZbW#9NSAp z=`x$Pwwo0{V+mA-?t&ZzC~{ILOqZe}0x(c<{=9@->wvFx-5$r{l1Y@(l6!_46!P56 zDka0{UQxhuwn(EfNKLLLiBHL+*BjDSuuG!XDm3jP2PM;$J1-#hYKMpd_#Q_KrNBOg z*rfV*Dkxx|9hQpcJR&1v2yn2>D;d!p!P1+54klTpBY=I8XI1NtC}$=#d;?0^LZ)Nv4y8sud>Nxu=8sy5!aq6B8?BD3TOV%uGYf3sC|}vLCp^ z0tV_4;NuM!he%F72l?M-)^V_%5;Y*uY}$5p=ZQzIc+c{jhdzi5?&qC{x~{BsmEt_t zUj$y1x%N%k{66rshHBDEc66T)cIIBkTvdfiqEK1^mzW<$;Ya=om80Colus>G7`AF% zA%I4eeGHLsX`g~*omiE=gbCSP07lDGX|_?>L%OVqMJd|oKljU&f~pXTw@rE2ik|WD z%>Go`^vwCx-1kpDj%T%_-4sh~0c{^D(lJ68(N%52{ZI~N<>=UF3tc1&R2`=#;|U`=h!d(IMEd>$6=;X;#AGG!pu-`gpbX|pc1)yP3_IhNizegMlrZf zRWQKDQTNz4*(8bi3yK7UO)pnNAvP8mOBFEkMbg(I+KN%X-O0nHNBxzgl3hH~lZo&# z(6RK-qCvo_`)0L?9_;enBE^ESF6TWeMQ{{7+b5OyE*g)tP>RUNAQ8p#IN{AG88S{O zcp@9qyLEWYXv`)9MKA_lfb3np$g**8++Xk_A;6`!%=El!h5bBIN2?E#arBQ%p+n@> zNUL;zzj`WQ2ELG9;^DGR!0hdrY=f$OdZzJNubIRWgEeP_c!poq<5SK0#OOvP$K_-X zD2G}RhA5XQuz%jDRP-*cojb9Pt{Q!G{mPb$HGXn;yv;;H*J`||^%8kaS!=R3l9?5t ze5tagj6>ohn?~}}G=6-Ps)J*UFu6#v)s+NKw5;E>{Slkq!0r}OeOqFr)~bVGzO^w1 z?oV+4&tM=$JfaR?!O}MI+kvlAw3lsAzd9g6g4zpHzV)D{!%d05zy3zVZ?_M@Z7N+&N;-^7s$5M>I(+w2IqrE?3zY__ zAsVHJnP^;h%Pt;pT~ENhs#m*BZDMQ#!Z3W(%(oqXF|3ZSiK#)e8E=DeHM#`*bkG^{ z<|Ov_qaAaT=(|pX4bD}~pv}43>ph`aOifV5JQKDzldW}9@nu97+Vf}p%7``4a5yAv zsAVCfT{{b9MFxF8%1T(5RW72c6xM0$7l}QQT!fAU>Z$)C$@%znkQucM0BVkoS_V3; zgpNQnts0R#YAWm)x)8T zV)ZGOU1R081{#V-Q>pVUOw$;;SPbzLNdwWyMX?k~9nr`Xv6O0t$5VabH{Fe)f%)T8 z$4v}gUq@aY0WK~x!%N))w`wK`_Ab(99VZM_p1X)#uOTk4p;}&J55fk@_|0hfTyzCuDR_Hox_)WOzHVoPAzJ8=PBFY%9iyh8@;Yu!Q|H0w(%}6<| z{XS6U5lqB5x@$Ej$zMfLP?@Gh+^Pa5uuAUI1u05&^kU?{Fej9Igi_45f2q{Y^WJDj zox3fpUKBTIJW7wh@-NkrRxUop;IoUEwm+Uh<(tYe_m>X8@P zxj0-Rq^tvSYV8~xz7bMh_~ek5jaCqxa4t1WjvM647;$uE1PYCD8Q zDkq%b>)FQw7DKt=V^1!Sy?*KZTC8K}@>;$nYCk_&F8&#~S0dya!$NNEQ!MT(%ASqZ z#797Rz*`?TlkXsTW>*##6JO<(=*s-00WK^v6T_P!{*f*oQ%U>mO8q23ELeYtCU~0i zx_>**i(0Hl6Ya%H{Ja=t=M_zNNVZCo;nRrVm50D37M24i=@qIUeGZmlW!@YmmGfu( zcZRbq*EE^nGm$QsX%E60$oByDap78wP+fZX4snb-B&{)YmP5rYm1vVYCgM9@Ji&H( z3y{B`%mKHGjaBxM&~b5K%;He3JuDE6iPLN&Nx>eo(kR`H>XyeBrX-%%ZN<=axU>Jz zhnDwCHoPLR6$h(2?@`h$Zb@&}_ja*y?2uN%*Iy;Vu@I4#Mxmp#G3pZ2WJmET?iaPg z_~0PAoc87>7rOf!OsA4aMyEkB$ zH8oQ5v$b`UDzdAd$FHW8V495b5)?pxd;E05*}NwA?w4>KlzH%Fd;lX7!Ss$Kd=Tzi zg!ik(@`kY_VF?5Ef?D@>GMiCk39NVwV8w9bDS2QDGPz994KAhr@uXufxZq*RKznu1j9btPOTUZWB1t$hQVk6o$ z)mh2mv>4&nh(*|=y!D|&T$NojM;=zPV}~-#0k&Xa9LQb(o|vYIzfL#KAZzmEl;U;J z#oeg2Ab+oQfzmathoWbQ+ro8ep}pWJZtRBd%0#%_J9j#yZ}LPpEg%OfzQ%sY(xHS- zbqE~_Vejf;n~Wm}f1gY=#wC-CP9~t6N@gQ)DTU>biO8ps z%qEkRjU}jVaO@kG^g0oz zFH0K+-CKTP>W|q#!tW2+`cK03#hZ}=xG?uH{~YMOEA$jAJ`*&LVcuCF*AVDE9rVat>yCqoB{RBbL{Nx1*W^U$+3J&8_JjK|4d0N#uECHNa|Kf zU9q^Yq+>HwB0PUbw2QMJ!m}KD<2e6Dcu7K7BNefSDDL*~)xx~{f#aNv@KTA;fs^2D z(?n5>@(=Fe|r{V&Ro{Zn61XRCMF-hIyxoPta=-o-ra9LMCP`Ne zbZrj|m^Zp=D!ho*8IHWfBe_~DpsRe!-w1=1-+VawFqnG(l{H?eWBUaLd1GR?w;LRa z$(7gx7d)A5+!W!ds9RVM#_iHP6)mR~X`3fggK3}!0&7f-MLPNW?_sITa_vUF?~lik z@uU{qMP4`NcJ@$SGre|aE<*AyGT*Ezn)t?aQp&MTFqG|_{oFT5k)r#0ZqsUJ{MhgXo;HuNbWKcx-%jy)GrFsPd!mz zFqi=g9nmcz2Z6pd$ftuX?YLwOu$BH~}<{^gK7kqVujY*Er^JMfxHTTdpUc6%xv;-9;B^nOQ!GjwZeCEwfFDXHR zE7H!o4yL?6P~0yXeNdq>qe080p|J$P^x_b|_+!Hxv0|%pvzn12h_%4?#szPQn;~fJ z!^E-ZBn-({5+SJ;&oB(RNEx&^nVP#zt~R+!1?}knFjCjg{9S=37K+J)hS6J!9rTfv zJAQ4+nAxWt0TsM68I0Kf{lW!PB@Ew8ZC1mc!8lAc04yQh*Ch^7Bti?l5rYX%K*eF9 z5y2c!xHlccCg$31duL~W*CG{3`}U_4q%&BkjOmD4r6eNJ@Cr}*pfEn<>X(RgSEJf# z;x4PslBAOwrS%;$Lp{=Abm=5@X(Z@@H^umroR5j0`>7nX_)fG0PF46$$#L!Q_)c}8 zaVw`8v9z_9p>coJ!OM^kr^Wf^|1Qti5HMkJ89wJF-pPAZh!4egX_=Q_a>r(%^W7zj zS7V{#iPGb-k@}a@)*QK!?z0eknlk=!O~#x@q4ZX+UPFmf5Tjva5F5+6_Yr?d@fhz`k-?pF!IBzks2rs1w zFPOwf{ycEQM-fG0L(HVcd?>*db(o>uQkZw{ICuUycS<;S4uKf5uv)*j`gs2E?}fY; zqn~qAE|%peetmabnQ0>QZZnNj+!lEWm`Ov%)u_U&la^?+#fI?2hBktBYijp|c#lU% z<(OSFA*Di=WDV6?%$|o&@zLDEhv*qaz{YxMN%GI*p0n z{!{A%tL?_|rzgEaL+t|v!%W{I@6!p}{CVD?A-YLP>Q760g@xLOj@pNX8i0a|91#I6 zHY7s2A5XfkAP7_QR!?;}OS;cUx{tb+AR$H)A00s{I+RRmOp6jcs~>FDd{^tm(22q0 zHJa13zA=JHeywa9eckO+_<-%Z!DBGD_7;4#-P-!P4;ei=va#;-*;&)nW5O`ujGoWI zplax3E1H+ww5PXsgjKE2+ObVjyAV88oq3g}zowca;Ms zi2@G?N6cIZ#95^KG4hiNse0l>keDNpM)EW+_ayz0^X_p`Ci(P>?C(I*DZODQb(Q$$ zT5QI-C6b-0&>V%2zoEbWW!>4ZZJb*gk6-BAU^Wt&L4dEYZKrv);E{6{gMy?rlVgH1 zBGimshVzx|-KK>zm^D;3PqJ>Ci){y-(o&d%g^z=T#am7smmgoQi;ws0`RUaeAn)JO z{1zW{RZC&^p|8;qqZ{5G0phbu?7-*9-OJe1XLRSACS}x_C3pd+ay7pO0Q5D15G0&fSPeSge)D6-;3Wsbi*h3qXBi>QQFu z?w@J(d_VH*eX1^JA+`quSP2|FD$fo(meIem8tn&19;-AIe4zPCfMY;FcKlG9m+Nle z{V$4HezUurVRkQhaHe>N{@!WcQXlrFjVxZC_Hlv9372Q4hr#N1A?|pj<`;~^ov>>G z-VQ(3`hmFj#<*jf!>o^o<&-zue=O||_u0uWj)S6#X%GquT8?<30q-v`MhB3eZEb|U%K!yTxXGNXK*B9(PQ zq<8TCY&+CX7@7Ei-4vm-jxCY=J-03UQBQF?hW!+V!SEw&>o4TAt7O9if>i;?4FgTr z!GBP%b%FvqD@WcBkZ~(b%>KrBj=by}qlpqq-~Zj4@&-C}0`W$li7_b&gv2AjgSuBl zklSKp?77mMqg^0d%xqnV;?*kYXDAob{E}UPc=oqy8*Sqh$rD8XUL8M^ z>PJ_PI)}aHo1dN1MV2<6XVn}~ui~}!mAtb2J6m^}bvida@=pqPEB3Z??i`lt0l9;K(kG%NopneZo1KAA zxY(B-29x}vtGCDHq3(uZ+xQh<4x60Fy%U?)c)_wb1(P@Bxs0!z)Y0U{Y=fc(q6N)~ z-u}M}?00tJFD$uVxOZWnLbP9fHSZE|cL5rLUzE{#l;NN4h}iaWA7x!16=@z6=VBc@ zOK;_M>lOwA7!N+e+*b4f%(Y8Qs1pZ{$Ip{CbaA==67xqVC35dif7p$_d0V`uG^mi} z`~6FC0`?zHw!Y%>Q4JoZIw_OH=SegH_LKH`W8?-kOPMZxx?X({U9YWkQ+xGxX8t|C zd_jYNe0_O>7Hinp{t&~+qerGbQrn)@R!kI543|9n{dcp+<;lL|&Cr9)@?`8QDV{c4_ha;?3rsw zk%vTDRfV>xyq3HvOsbd~E`TlQ-fFqxU!F%@?IZbhj`nf3ym45o{I;{oJahm(=dIDN{_Q<;2YN7C zin_fjQ;J$~mb(@Ecn2!)Pj!;=kmibuFXImzPdq&M=XZYcUNqotZC3qa!UM_t zx|=0NaT^6E4tA^CJ$XnO%nf#M;R9X>3vaos9IqrAOG^GZrgMv|({3PlFf222007;H z25Ijs(s6?(tBGPjtHF=c*H1n-)sh9Fh7|kdjp7IDWbzTWBWV}VT8})R`(H$x2* zy_vDGn7mJ0Y{SWo$@~y&w51ngG&71}g|j&MOEuX%qBdR>a#x^|W016S&Q^P=Kw$}L z)SUnFF=3d`&BISbjaX?LV47t#yOE#Q^^`9OM<$VK&g%2OfSAA>mc946>E>78klx>tB{5oT#V z6nU#lc!(Yq43WkJxiiS#`mVYX=J$f6?D|Am!AYpEeJoSP&Vn`fCbn?@H0rLxE~%Qd zR)PhIF%-!RQRNjr1f6N;>SoZy5v+uiN>;PGe^94rED0H*Ox_g}#~-5o`#(57-r~rN zFjVS9>ab1Dr(MXqKgLn@B?^V($8IknGi+9oo9c;*WL2qyqoYa7e-Ui~vDCfQ^ETan zinntG*@J)s1^hw#Ox@ysPCOCohlFP@az)^B@43 zPupH({y|R4pl>#$WT4~*$4901r%P8;IB}8~$Ua3&%iU_UlFEM=Hwf=~ErP&|JCzq` zfVgq86+0ngIJ4lI8YrC>b(xsflAa*S&c>@SfA&mbcCmc0EQoEX7M_i(hM{XJcbHC~ z#)d6JhiFm(ZB+Pbk92MWjwY(sydK7=VL1R$?XQXRDFnp=cd+u0>MCqt`Q$K$?I^Og z*^oYri&WTDk=xZWIC5m{+D3PVeQDrzP40_+YB1L8!W0*VSIJYV9(K!Dkvgh(f2{?@ z=5Fl4n|rR7)qNT#sQiu&LnzUfX2Nx(eM+5wBwxDiuk_F+=mYa7bN^_j^xAbr!vIc*`h5VQgEJ`@)_YPXPOd``kI_$|<=KQARV4192ZiQwVX9{U(~oJi=Ao%WV< q>Fp6He;wvv72yVviCG?rSCSQFe-TF;v;34+P@h55{3QDCaQc5IEe8Go diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index 464375079e9602d746e7b19882effe46d210d895..bc35d62a3b12ae8009f92cbbabc053b50cede84b 100755 GIT binary patch literal 13660 zcmZvDcTiJN^EO39ML?;d6p`MWKxheq2uPC-(gYNw_g+F#kluSBq7>;My@uXN=paZh zp+o3_ko@p{XTEv=_$D*EPwqZ@_U7*1bI#tmd5njLS4M(=E8T8Ca<^LVldGGJljCzC z5q?2_q33cQHufK%3yTOp7Zei^5*82^6cTx^{mIqS#`4qicjlIM&xM|AzkRO%$>O=f zM;rHB&*t%e!{On1iu_06eIop?HWvM__Qu}}xZlE{kb)huTAx z{6&;G@mK#BKIT`l_b9%ZkN9yuAP9LB&tOG=H=NEo{?$kNRLwV3qb>|RpzBqFNOLp7 z7IfQ=-4akKpTNAf0RI{L?^#6?D8nh>^_F?2+5Fz^Yp zjfY)w+Ext@nQ5qLMR5#rGUfVBRw`ZW+MA}vnJ{@!c43LePd6J`C#|?4HOUq=8ydq=|7B_a+C2n4?*_qUke~q=g z%pgZMa^wJ~k#ZbMQM0DsX5AToWNCP96B#JF%CJM#Pkz%k@w%3uP-iZlNFu(*VO5@y z&0tlWdM;S(MeK1+j(M@hAajOYyO>y;v?tg{sUJQ!54k99?#o%XLW03RkLuOIlB``e zeXSod*>~~R zZZF|v{xQ?ckIM)B?$~H95u?GZ&WQqz911>vLhjhkB&!%1&iCnOi4WrJ6uteWIw|KK zFE%l(MC{*lnutBByHzu>N9SettCck}MavavtH=4S(o-DA21?zdOs(>b+(mfGF!pDq z5e3A#f=$o(MeZP$K)@FeYnINB5%;3cby);`=pM5pY;)^eS7^9-XdQFQZ$U9Pt)3{g zQzgv{xg0l=$HASam2`#*YplgT%G{$w9rBGzZFv?fOpLZ^c;?^M8_er9_3YG*7q=P4 zNq)8H@= zAvP3axJ@;f>7KxtcE4MxF!FFZbG7K`l*?hgG)yY#vIXxVPh;+~Q&1V?77){O4csa{ z?247Kg0Bhzly+0=6BE68SNXfIogMB!HwdCj!duQP%@7o!lU@<`;aG$&#))%#Fze^! z*&;Oz--H$n{oN7j0&?7Y>M#sev2Qsm;9?)i&XTIkl_t64MK}OF2|l=aByYj>_vwp$ zxg)DLRxx=!J;AlQN{{G$_Nngp5ET)z6oz1!pmt#Hzk1~+tg2Be)T9pbZ}%bC3O9cB zQ=v_vPkh{VYFrD$cXon0c7;_8NXY#-%7s8~dYEDdi{i#F%QG7jdI=rpwQjhlhkZi&XvH+S_54f^1Jq#Kuwp8r6_% zpK0&Y!q@Y|8I4vFS^in%?{yD;{7QM*{Dy`jlp<~<`|HMnD^JRZf_YBqeL9ga_vf5^ z5${HNMQa-x<2+5u#Y`k`mKU?%E*Uke?Tb-Z?X@`yGuR2IeJ@!ao?N%2%@HJ_oU9s) z#AHYp^vx&st@|4td%qk_m1b0}VT#?U?}S&L*wvLE`!jNW3K6sI7N63 z4bp~v5m7yT*R$y3#P;j_hV_qZBjvh1Yi`yVC$9Qg&U%BiJrjG%Zq14VauLG34-9)f z98#N8AlliMJbTZ_T0kk}Ty6aPmNISkwY-&J`>%48f4=$Mf-(;Z*%Nc%_VaPgFJOuRP4X2)0dJ<1`HW*oPGeJrkH!e1*~Zv6zMANijQ|RZBEU7?0ut~ zrReaxb6m%=Iq(Fu^@$!T%s2w{9otKaWs3U4vMt|`W+TEF9>(r3*z@28g;}(8;9?8f zlj1F+Cb4HGG&?4~H(^pWMO3|dveYAjmq-{?HIDj?{w9bY#(yk@N@yYq)HV^RAQnzgGv;XbOC#pU&XR~QDqmCT9AXQkZ?ib4E ztb*7Yjf8Y$6f0=!60O*XS z2kWEkHcHKA0{MXm5zPMDkv?j<8I6MYZhd|c*ncbpex`X`A@tDpHTuO%K>^zlslTzj z@t;zQyOZB%*5*yTGg6BqdKNPTBxj2v)UwUCjRQ;|s&jHKEKbndA4b#D&Yj?-t|C8k zTvFJt@HEYPxMA0;0^B@`TGf#jlti- zRoJyEw(Bi>oP!kA+Rl}=)$139q|0AFxi@)FVPf)Ec2XCKEc14O93H(o>S?%xKR*4d ztccdbDJBz_DJ-B|v;g&?C7@%LyiH63u=orv!|l5ct#>odFzA@}NKj<2Frquy|q* zmFw8emYYdUn%``(D>=nTi1OI~sj=hHyU+HUd*%MPvwwYXKvFhWKd5ciy`cS<9nK{| zp_y!=;RUyJdR)fC+j%L>{_mXRA|Y=k@pNo5Yl_o zN|MPO-?M(}*@l{xNT8niCFk!BKV3o}yhN0oFxckkTQ}=WE2Pc<&QdsZZ43hppQ1~; zpD-wXoXke%w<%7cS|-z~C3DiQdiDEGw9Hs`y-jzTZ5VEyLy!KFhYLDJKkIDB1yRoD zUac+rP1Z zj!iaLPy*rFH+*@Gb`+WX@wgYGw3V!2Qf`u!Yhv&~jCf40qzXF>yWo`i84^ss(`f zy!mux@~K&DwLfp}l>a-{>z>&Rk~>C+8F7w%(q63*FM$-p)(#w0?Bgy)ovsu=W;xqG zX{oYqPxkNj7j|=HMqHd&tQlGlFsK_1wuT&ksaNN*Yx&myK4H?9R5K?>cI1xA?O5fx z+;4v8=Y1})0za55&NO~wGxbn1aUgfZMu8>{y*#n<%B@8*KJ_ck5IAG545PX#kCg$_ zS)l&1&<;!OePP7RndWo@tN#@&1FF(YLS=@DG~A-NHVLe{dtiK<0_S zu*T5C$QSf?3qM=_Qqmc!&3veJuPU>KO1_jmlvdD;C;Mk_|PU<)r=($i)uGreLy4||GP3`YKTRnYO~5w?;bu8Fb{hZv594qrGY_Y1)WU`((G+ zO+;RPk>Ny~jm&S!PPX>}8Q0go$JYHxDgK9(-gi0`jJN$m|uZ=)1;m^1~iz8$H2JTvL5f{m}b)h3=$5zQC%W z)Css7Q9uJpGks4^yR#A!s|RqTad?wFFX+qY$qN1`qhGoBE81XFe%Hl`{lR%44}{iZnRV0rvXTEmC95HKFeTS2<}Vmanh98bzr@D!bsx*u3e3m8-xrpv z;l5_5QCT+O?tizWmfZHNXltXf0bo}rAwDa864@u49I5#cz@3KHy0J(ono`%}aX8JD zrYRE^N&2w!kjIpACrkeYqC0Y(J2lK&OVqVCI`aK#6DgYlH=;_jUQ}^bF~N?GclbMh zT~buW-G0D+nJ8@|Pt&7A+WL2NN^f#oIpa%|ztkGZ_D9MpX^R?=rHuY<%5j99{-oh4-_>fr!X&u%`AY410WL$&Ng`#D~?x;l4uK-(79laoqh%Y|7u)|g)2chvb!Yg8b1 z->M;YiY`K;1Ew4#3nYAI8H?%_zk5|)x4=saB(>)^MlU8a6b_@4O+%B8ic2xeRqB~$ z6B&iTw6#YtW3P)$T*S=Xt6pYoQaIZM+X&d-HvEf!)zz0vr^*dE;6VKcm2<`J!sg4w zY2?Gn;G=7AF(pG$%NI#T@qZd zj4%OhQhtI2!ax;tV`j_xmDh~5*tn|D`c}Ld*3}AP3KEzPsO`23fEUdPOBxH64z ziW7E$4l&0rh5OZQBZ*jTc&q9kKq747D()rbu;l!!{v#W0N8xfx4`ty97+wdVvC@I8 zU!QsBiB$yV(LN`rof0Vfoj(_~AN&>v;t8=;K%b@+LRtV|~ zA~2SfJzFOyN&%O@nD0U*U%5ES!6Q4E#MV*VJ(}MZYHr+qGRyUSqrT0 zhNK_+IsPJIzp zl7o0Z^R%E&14FOCjY?C1)0RQtGWxx7Q^+Z$0KK?LWQG!^HW(ySYm<-a(n!JS)8V2^ z-kE9qI&Yw!TO05W8_7)e)G3|HVWgw23WR+_trVp$Z6vvkfF&T4(oc8%o-aTnKl4_n<1;|#$KErYm1a1W25 z6dgFCBPdPB5;?Yw9aH#TF&}hTG1q)HA9nNj({ngqdLH9|(Mj4!-oBgH*UKVwg<`-T zp;zQr1Wx0aij>`Y)}LsEQMo&ADjsKgId?Kth<$$XsXV9`^zh30KCMsj7LIBaWM=zo z5P`KQ-YAR~2r%lw*^SpF#>bgax2sRG6~#L8lHHS$`c4kI?thIj z(`#7rF|6%FF)%`xsBEBdigVU^Xu=u!AKVnviq+j$t5My{Ytt_izsnS9JF3AN%0{UUUx{C$`ITQPDj@hTSlr&AOg^YiIT!NWLl!I-3V*D3O%Stcqs+ zm?QbfjRH@EXftW}-T4D0zyw;oTOz|ix#GZ2Q_}?Of`g4FUSI31%wW;P(Mx2NYsk?P z_JQBP>~I@w*2(7=fb8M^B+V5>VW)q5Q7cBQxvjT&(e7u(o5O>^aA=A7%;h zaOd5TlOO>`?P2?|9twdl!aoLSu3%R$cCaDBkC5y|R8HoUN&UM;0)D#PCs1n^+`%Ud zWQ6%<1aW3w+%545M zj@*zm0!)3n)PHOg@b+q{aPLI_5@}<@aABW0SD|w^2K;+x$))1;B1PbXq`C^l+ z2Y!6PSJ2``MQ8N7u`nx+bmLrioGG9wHvx*eb2xSSSjG8{{<-fB^5YS%w--5jQ?&dD ztgS{5$7rE;)w5u9l4*UzFzCSDM|KXU5s7S#r;hQzEo#o~z zYWbyNkSsL8mnXqDz>>I;`rDPIxb?$6ah1wthDG~Dx4cKAJ7Dz^#q#!k&AJ|&hYol; zjp3RjCb_ZKloj`M6sJ@IE1T82c|d~}2*=%njmlpq^n;Yq*1uX+e13sbuq4n@!3a#! zqYCxP^BR}7b=*}zjag@o_R+&oyws%++u(N5h)a#NsNh2*t_|{Srq!ABs|E(_h#(a6 zZOY7Y$1(;)g|T~oty6`Jszm1N;keH+yn{TLrsyk!wE79mPqg%lPHgrj6L~;gR?`6E6tI{l_e*A7+Y1Kke^fJqcW2 z!n+~b5nvAg#Jh6w-sKoq&s{P3vlX(>-$RrW53TaA>q+w+ezG6D9FH@;B=5g3U99HaP9 zgVwzn3s&^lVj9r$a1RNrz=19|`Ayk8l3Xzai}~}3eeQ&|xb@3ibF|7p#UZhF@-cY+ z;9;P^$MrzLQ)wlT*=5Oi-=cL&FClkd?3Kvz_FIgDJ&8qtNkq&>JNtlX%0vz$_7@GJ zzv8C4J3T6$C2gtESTdmSC~CoA5y}ZBs}&HULR+r-j0mLi9FTip==3RjG$T3Y=O6r( zaWKn6?@!UcLg0*xcEpiKOpl>iWtMgIVG%9imV}h`%igMeNS+sG5^^gdU(-c^8w2QT~`g%2WlVH-EoK7H$)~{_L&_0P`!(7h+1Do&F z*2Nig!knz2DMECJ?n7*$Vh=7^Kx@1@({w(V#$dnWlqL#$B<%m0y4g{*R(%e)q9`k_)D8C#EjTki77_(F+a>i+_L|dSJF3;zSZSt1n=1!)wW@nPzhW{YM{_1vw-sA zkTA?H#`;Sl#dS-iwe;m-wTRU443K!Ik+M(M`O?@;<%9E0buT(}s+pS%3Zn=|lm?dV z9VvD*N#EV=YL<)Oj0-q+e3s(in zWpPtr&u&5=JhdEGT5=_5o)Dnc1%;nze&a zGlR+MYD~oY3~Aa8nJJJlc<|!{fYV*))Tr(RC*Owi?U?RYDq*EV zg5-@Y1ktAw<73vO%f{ez(1~~gz<=?uIN%$9~+y4=fqPVn=Qa(GULWVGxj& z3!4TAd^fxPwSVH#dXi=t(UEuNL-mBK_E+3#Upda6BBD#^Cj$87J$s@Pb-=fkt_~^{ zDza&MKbKSQpOHXs+L@>>l$&ZJzzUTTwT6B|FFkR#+AjXX8PJvw95#x>ZL}dGCI)z; za3O=lKL!@gAvbrc(m%|sGhcG-F24*1Yo8XH5tuA8P+{{uAy!ZlYrsS)21*Zcns?(0 zU3UI^toC91T78EFa2J3}J9?^N2$Exuxrp2ADz85*owd8~h)>B_VSORZj(fN6AzX8=}PLP)2g7y-P0uV0ITC_!z)Td-i9vBe$YT zRrgF{YSn&u8G;Ydc(XiuScLE;gVVm62N76T^%(Ybpb4DGzO@He(*-Q*)+9+()!4?ea_oVdZh; z+wu@?21rH7c?~f#j2*xs1t^tI9hg!?P&S_D0y!iBE%koWp>CFh0iNx(W&pq?yZ+J% zBLwUeaw0+;egY8ib#}B3IngE#cUk+ijG0715AQhVku}a>tI5}u=kL4Df!m(7H~u+3 z3~KGo-9PN!oSxw$bq0pml}N1d#G($5XOW=H<pt-uxPT?9W|1s^hc z(;0;i(I)`pUVlXr04j)_PY=KEdN;fb>N?!M-m8vXodJL~-A&A#-qX*i#aT+UH4$WC ztjH=@*ji`dZ(%VBT1U{Es5V$Xe^4NGU0U$Z&tXtEzRX_BRY71y@XgOzrE0E~+6xKc zJU;H@PnhmT))3suayALC1IOxN$y`*BrNC|s71tE_M-m6!H#hM*0yp18`VZ)f*UhQB zTKs{buj2HTL4(Ib0fi|-=Z}5u~T3c< zaJBeY80ittG6)xhhx5S4Y7k%gknc<^1*R>vCS$aNa5gn*^ANk5E-&f*5O+uZOQk#k z{%*R@-*lz}p8qssB_@CRz&y>5U6*c1BsieJo321t;{ zMVVXl>_SXojKQ#D892tVGn-4utVSkt#$zme2x$2tyyfFKZpZw#XeU>3E*^t;mRVdse3bV%ai{cNUbBbr7@hSzDYa((1xNiT#;9lz!l1dv4p$s|^m$hu9)s{-H zSCBEQME8{^d_ZRu+M(yq_|2Qhzn6`{DZ)h?522q`tt~RFH2EDugEPl)-1yFy-*;~!>DE%c9L)x(TPXjAj=v6Zc z@&r=io4-?_NuCV2#_t*>q599b=c7RjXNul?_Q zk2c7CFi77XsmguL=vH?4Nro@AuLPD{Pg-CH{opiXZR{kI-8}$$23w}C{`8(khy>9g zfm04FCmcsi_@$^))6f06fat?O+C4NAy;&1&1^UnlDy^L`#oWH5 zqhp91_Te^b)Qg-{oOaXVC_~&Xk*AL>8g{*-cE_{k!x2pZ8?KwV>D1a5*m>|3FxzdX zdxb3kT8^G_`4Q`~HKUCJVE&|J=4Ae;lXnQ8B>4B!Ka;#hcLgbBqBY>SU5~Sd&%G*H zx_KsY^>_ZX zk`s4pK%C9ns0eB67CO5nQ8;`2N@i9ufF8DxUe={ycMr{xWntN>-;;azcfI4!L%{87 z;!NK!>~a&u=(SIJWeIOm{G8=aJAaLe9qP+QzO_+)i%X(lV{38P{%J0*kx}iz3$HcL z7jVwGcqRUm{AR4sT2~USr-eA7(xd59nm|RmIJ4L_bE6_16r5|99`G&5C%t5IUEZ_v zwT=JZERSk}`}?{M6 zW2=H@c&9YS40@E6B-=+J*00B2*BMY=j%pzv(tgADc6~K|NBR&d^9LD{R)-8V%mk6fK|`FvS$bSxK2X_ zoHF#$q0LRHQN(3mMh5U!`vvh|frXcZ+@sWS@)v7g(A7LdkSRvhll;KHUsTfU_>y`)RlkSH6zg zuiv5^aUSLQaW#Q-urHs(p3vK2NKZEh;I@piajza)erQQH6~R$@l#<^jHs*g5E69nB z?{$-9d$tP1IRE7cY;QcYQiU;Htm$s8Z?xd+&Uc)XOTT%`Zr}@BNV+`V6>#+fh8{ab zo~eM2X5I8>Phirg1K*bpau3O_${4S5zJn3+OV3V?q)&^!FYV~Sui}%XA2dO>&Y+N)7dNf(E;C?P2CfR-julD#Hn*;e@RwvW z;Nw3Uy7cf0R7O%%*BR8f%ZNIB*AneOOB=q_npR7uJ6QV=fFX@Aoz+g;75N@83x8z` zvH7m8$nId>Tg&3?z&sb-yK>PbUhnYh0lY8BjY&|f2fGsdlBxf8w=iCv!x#X9FI8R9 zyMt-Y=J_s2d;^cmWE(wSqkWjVg?C+V?zzud<{>nG>_i_-n$6JObbBogx_WfA@PvG= zH$I(riWB7RlA(z{IpXjo?f)e`x5;^}BJ>ZS0CWgSAF! zX;Coo5h1E1N3&*6pGH%XWIL@}-`n%4bcU5&Z+r@N-5=lB@MU>do~>El*wDKq?hM^! z__)pD6Tku$So&sNX0zUp<-o-r(+4||w3e5nBsNs-XX@{`jKsqrVC(m`*`08iL*q9tSqub8=8^%l%;|pm?>e;-ATL^@VCo|aGG?;q1 zL-=N@W_Mjt7eKL^UT<_b&h4^dmc3o9NuS)RZ>9`)b1HWCTitbJBVSe-cCqQ zdxo;D-dl8$R_|q()AVC%pMk`^!XuCCaR>dGnO(gLyP*7=Bh^vx*BIE6XyR_vuCTRV zZ)+5R=d#zUp42%qjLhfq9{T;+;6Ojdqd4M#yg#q{GPHGkJQ^Ev^cK%NcGCji8bzRhvfuZ?zj zenpR=4&@6up42wVP)c2h>#qqPSO`M=q-v>Cdlg5S@_#7%|+15#qoLM^U>N z#P#_(v%iO|aj#+?14x49^+(SXmYDaAi4_+qC8&hwz>b7uXmnd`Z!RuA@C}}IY^a-* z^Jk6vwyoQ@#pLj;%R}81@xrn45G)>ig$xcRa=tYf(zi==cAx|QW6&l9C`tf8_m)S& z-`rgBke`W>a6Gb`Z~(_$=S?X9&cTKAWDpNmXsPm0Gbzmh7t+AOb;)?=TDy1N^pw^& z0N4jqVR631_GGqy$9<-6A&Hk}2=2nh24qHwFao@+0fqw%L6UOgedxj!m?I*P-)y32S4l{G`igCCrFP_-Hh7~Xd`6n;VW zhCX|(e8u0%E9r-tYU@c(>R}moS3VZ+YV&*Z;r=lrd8oj#(pzBe`k8n1iOxq!az7dP zdQ16X>%t@TtyUAb9eQz|bmhz9{Ee8i|KWa^v)tkS;ypH)eQ%OBh1sj@kA7 zwUonaJQdlCo{UFiH-9ezf};M51HhzPJ6E`#@7JrU_rg)OYUJ>lSN~znKSDAY2k_%B z&|1p@qV?MVZd_Y!0Lo7D77K{E$&N3K@if1J>@*vuB?PoL_xXd{@w zj0~;=apRxrF(kk$2D?RsRwwi{&UO5uMV8XiB3~SV9zurbA*8(U4KjG2i#piNh5s%t zw7s#j!*FJGRKp`+q+Mb@A?n2A2u$T-FF=fJr3pvLuK5!nDVW@0?UJaxZh4j>wW|+j zEItq%jr&fISoyE4+#9M-j5eoyxrMPf@>hLK9Zcn(JDL|HO^h={u*sNmMW7;<79klv z!3)D4P+rF$T3j=iJ4CiFC$u$7(n_8efY%$lc^Q+yLV}mkNl0!sYlElrRigul!|=-N z2O|fR)48KH0jyStK97Etw+HyW`jsv~gZXgO7uDnmltWQZp@}FwuKj||K058GHTUP1 zaQFEI5qx^MA2im1hd3P79e!eu7mgq@u!fLNUkT=1I_Hoq|0TmFRib=Cdd;O#E7DBu zXpJL*?p{>Ef4;XoYF}weTdUk(UG^Vbt%SP=EI7Huc9_o4UYBWCq8h(UTMLW^#>ODB z`~i-wAiTvudrJmLfb2=iF8-0G;_V{^RVH{19vL+B(m9Z_J%h2`hcoD;1P>mF4+$p( z)Z|wfix2|h?l?aQ4FEtNE=xacH}|_(*>iIFtZj05`fS`7_ve}W>QUx7Q!gp-*`}KU zQ>@XU-z{H!mblp^6cy#u1V|zTL_Y)4(=pvbg8#sCT3~=D*|MPHrSrk9>b>3@ZdmDR z>|4dq1f)A2`X7Mi0^?L>XxGBXk&Ad_|I`Fcc-`n(Ael3~Lv*|rSR-Yl`W`CJduU-i z1BlMrGwU}aLVh^Pxkonx_Kfb9;0&tPW`zgi(_bm8Hd+xmdq#Al=ke4jBHU#0!uL4~ zj8B=&0`?!!FE%%w1>V`p6SBeOf=)7x4mo%N_d#nNF!Em9Qs>{8-WBP^$Q~9y06p%~8Ou)*ep5t_9L6K-GY8^U8sOn>daPtf9)Ezb!2U^BJkVd` zPq>>WEBpYT{#;7vO7;Ho(fo|T9cw&kV?tr$u~{ubx)_%g>^?{dUn)XK@#c+#jjtpb1Q{t-ZMvFi1oxi z3@gYf@s^Hub`HL+S|@+L;wSIAOW=4sGVgPEy*ZL~aqJ!;Ml|-Oqv!2Qg5eC?y_a$` zX(<35Y9B-yz~!Zp*tnx&UvE^84ZF5@d_KYSJY}PLy2zF7qYT`xAv0&_+4R^AN6RyU zMECbi+|kjF4eY78V{z$nw7dpW*EeX6b7Nw-K2dIAy14?a%|mdr0ES{Flb9lbNy%io z9GbWF-RuKzfa6VAE`5BZyiJ2J*=&+q-M6xVTpGWy;4izy?_2E&3b`%$taB43EmCjF z-%)#_b=^XDl{thYWtr3){5YGk^x7Neu8z;1?mdrZ)$}l4;^Y(7dEJh7o5hz`RZUuL z8pi=QRRo*n(q?!`sw?-5RNO} zd^Edhwk#k!ch%>|M6XjR!(adCOWB=!El?KU&-id_Fie7I)}4&?D32zzE|MICss8|x z4DB;`7$4W(=XFi|S0wOoJ?DW*QB~|^{`I~pET^%WrCD7hRLqTW4O&krg*}m}FIY%A P7wl1&NL+pLFU$W2UiL4! literal 13703 zcmZX5cRX9)|39Urtxb!XrKl=uRaDVd)uyPbT@#sAFL$@Lw-w2U_7N9+1c~Iw6SyHm*O|l;y1Ck z%sB^z+ZqsL=WKpkGShO3H@>Xe5RNF zgxXG^DLh(W#d`DJB_%uC4X3lGbCKnzSoCZm62CcGG*VbxQgX&XM@M&bGI4YzVb+Gx z30CrW*t!FM*Jgdg)Mm2E?dg&*MluW;kC{;pZEAe3caoF&wS#%lIH|#&waavbEsKwf zV?BcT_v^YB_R)Gliwif_kAF{n)U&MjsCG(U1i0sCLgl#$8`41Ft*rjBy*pbV3r)U> z2W|Si;?a{myW?sBsCy7!fmL|aqw1<=3cTM#fGPEWBwkZf?9RjGQm#TLmY?erSdM7)Q^1JhI7hXx?k z2URtP+M~Rk?d$K}$xurI07?QD3j*zI(X??V_JR)rIGGFny64t7w~NcZ*8LM%TYR-{ z@Rgj+nBm41m)ioPTJE<6UVi6&DC6-Sa!0N@#l7-pmQSqicD}i*i^#04o#Mt#^J&ex zi5We!8u@91!Z$iN+V8IswQu`I6OkE@2BMn6$o5L9!Q8jSCp6@@?rK3eAQ$-rKl2W2{-XP_ET*+244$&r)+N z8k5^dD>S>{|v8p>Z~zo~K2Hyx+ZMc0qneFo|=$xgi?`AvMp>Kf@}$ivoJKOe|45$W%o{-tR+ zRi%rr*)xx-j%~~2N*A{+iKTKZ`OXh;E;AD8;m*Gq=Xx2h(mWa>HuJj3@{UfdHY@#h zNVXjBx#-YCZHJiOY9tHZk!81DyALhm0grFJ*XCsup8A5YORinne_0?NPUp9XSNzMh zaCB^K$bNk1?Xs?bjM&q|(weZWRM$sYzu3~gK=LPRia!Q!lzNxVtqMa@4~~~=KMoUj z3}Rm9(ZxRd=4F|A?EdpziMMk^*4>O#bNu%uExa6RRu=D=-1a0SR~YHPk;P0@Dq$A~ z@7#I;d&)0mGUhoE)c(RAf8rR>+0)M@-?MqMx2Sy*i9* zFc_>!Hd#u)`sXOMZDhviEWiP!DkK9_6=J<5diz$Ak_kjaL(l}G#FI8SkO3NQlPM}5 z8sk37Bo|2B{&5&T#%%9{EqvJ!y;S-d%*NO9#Z)x^xq6At>-4xvt~lKhoai|MVE&lcI+sH)y~TAN?*KqPKUvJ-}f~hmZ|XR>_aqyr4BmrhwOhe z?<$RGeS4{)$X>y%Ibf)^EUQ1TDgK+SM{GNP#NI`)osPqBwr=((ebX#+1Lqntwk@(o=m+6-RCEr4V23^*JI*z9sd2Ll%dee%Cka zRmDQfRafhIKV@Zu<4^6vpwz>}qTz@YRa`%u$B5$;%`@t{fRqw&preAVo4f)@p zj{@$o8r^dWjMh_ilT*6sDl2hD_qCKS$7K>+Wr`Nddv7WSq%^b-l&2f*ML$_(o1%P%XfYZrmMgp~w`z$L?dih$9F&R%t!_K1 zmGr-=i<=jC46D&70$&<0O>zO)hhy#dhXvSbiiUjk68I@1^D|>8Jpo;h8K!ZU0O1c= zjYTwB_G^4?5mU~eZj^X=wYB4+K5S3r&N5Q(*N^1^nDR^i5=U<=>0K$g9{>y-cRdcf zY`pL`Rh66Jz2nC2h)*^5@xTv;RMol+1#-{i<}wS9MA4z!9bZE}?7C;xqiH>nCqdpn z+V!l*?x=9zr%6)-x%YfuE}^=4Y#3thp?a-@apS`w!tM%Y%F~hi5~rd;$z3qEHmLte z>}6QpHA{0n_e>W{^CAptytKl8q`a&}^KP>IRsE#T%Z>C~nKL?1x0hpe9k0bT?C`Oh zR%0K;bLI6$1Y9fB`OKT>^4aSewD`@s`+$Y<-||U7wvNZmeSE_bfS1r9^QUjHbc_;J zANn?SBKI-n`B&?o61W;OmW$6zY%zi5&eeN$jy(Yn_SjBlG?!d(q;Ri-2&gHQY2%$O93NTWEC)IwJCpy;#U?2E!8xq$&BFBHI)~g_ZmGBHR{?W28m0tf1cHv%LE2~d zzMk|0{C+_)AYkB5M=2gL9&Lgu=vA;lUuS^Z~*U_tYo_iUD_UC`s7%oaiC+kNW$+GV{IxL$yit^~0-);VM z@X23K%%v%+=XLU^^L2yl>?Z@%+PkI+?zz%0KGfvDFL!`nb~DzM_~GOHf~WWE;99jn z3F5=v#@;UlE8Ys&|GP6hqSO^qfGroZTPqqLvux+@lZTs{HT?k?H^V6d_mRu|53H* z3+jLWA2o=(poM^c)H3mcB5eQB)$~_N9Fl+a`{`l?xUZO3552mx(dU~%XGrUnQO%|b z5sqMc4iOetV=nCFk7O>?)b{FhlGX_8bQ(6i<8>js`%k9*Pp0!v#`;gjTBymZf6_e| z3arR?NQ@_U(F-*kM4xs25<-*u?o)T~H|$hDtsPacB8?5-eoDx zECWnQ3_2UM&8c!jCx_u(dR4q>nI>qu{zt^eRFwN*y)YCvFA|5^c9s z?fDY*CHJH3^CAwl&p*6OCB|Sd>6)oor=Ri4UlDo6?hY(EnKoT6FMuxbJu;O7^_wmo zGETR8#q;uRM7XPJCKsnp{F=@X+xpQ{bLpnTOZI6^hjPkmwaqna0{)upOXo|q#T24< zYuPV5JG0ny++;eq$22TU|4r3i)_>sT53kzhju5ugK$B+*mACSTbDuHR6pwwBMV@e6 z(XC#4+4kPJTEMdWeb;GtgF>zPTeTgz@t54OEyu69>uti*jD})Tp8Vt+woSd!<&p0e z+d_N&O6f<5UmatX2985@bL{FnH{uHsZAg2%b;C5XzuXDt+D#235Awcl#r=Au{Bnj# z>z;*6)7NY4mS0bYJ&j-^3ImO8H%u-Dy7JqPr&CqYZjZ{cE__%zi+D|>ys*3IvmBXl z!+tDMLhnBE;dk~&;89W*kNOM4*Wxj@2@#U3YO2W^qt!pCd6$mxRe~YFgIGs4sk=2# zBR;SQh|q{|6E~)H^%U^eMqfFsydy>k55;Sp*!GVJx6z?19|_8c;QT50Um{z-eYb1E z*MzmWSjzWD>QhbAnz(svCK!DSHiNbGeisu{`B<8=hW7bZgjxl3OX5jZhbkw1Pv!xg zpo5CF+z9TCsi{S=dDKYYLF3_8sVXaD(<;Cm`|}7cD3%tvYu_-59Xa_eKJJ zUkGt$ojrF zDX~&@FOafavvZyrm#7$`F0tiqg8qTL9|6PLAwEv`jSBVNAzh+oOiG@O#PWbSQJF#qs?|S;6et`;SVL!b zolGhT^qTO8G&?G8$nLHGspw_V^N%4uiHLK_%2U^1>F!s1^4hf|KkjZ1 z07_#-vTJWfzZ283=s@ECumZ1NXZ&J0kpgGulKvy7yTTVQt_Fn)ZFZ>Bi{eJF#&~0+ z<2}+5&#jPG7n00JUhT_2G_Z&EDNkMUPy+$X61*XwRv zM@OII7%~QXLAc@?QshXlM&v#VXd;Sp1%xW8Ax#}i@`G9N^#aGHTfG+#081;@)_BGk z@%5!Q0|~?#J^y9;qO`Rk#EO!6ynMb@hC1JMW##p>uI3+Ttw}}Q_}yBIoG@H?i*Zz*Z`&vywCR?Ix_9>8g)LF+jLq%YwH?Ys($WJ( zd8jDzS#Si8Zk`feheag)=O?lWF@9SHogRPEqDkX0#aKF9@_NV zsh15YR+bdmtn$lK))!jxpe06+7yRsIR52h~I}l~cp9d)wlq0sil(J(SCtNk_6E@9j z3e~&ST<1|X!5uwSzg4{_>^lpRS4I^{!N$M?-FX8kx7gVkj3x)PTH;ImS_D&<JBHYl;L6>hEM7XpA$!k@_EfO-*aeyPaimuOk%dplZJ@wrLX>w zoqIrh!P}FmWhyLu|o683wK>sJlyyhRAr%Em;-+nLtrcS7yVAO z$N_u*tCEjAhx!l!9^ZT5jJVwo#7d0mrDvqmF!F7L8>}!7~}c2Z_}LlASG(5qm(eGS6!X-bjkxJ_a6*DK?%M!xP*& zXFz-D&qVWun zcvPxI59pQOVuc`a-lyv&sQk25R9^F608x?n9Ip7@#mRF~l7z3k1@_}Gjt~kwpO_cO z3*G?Fq3f*Iyw^GH*4p`e?nzL+MSll*aPrQw~4tDgk&ZxEE^-IKH5s355<(v^rLdy?Od!Q|Nn$u=cY2Ymu&1)oEh1*od~4A< zdOv#aEciqDF+OMy)V`=lX08q9TSjp>_4K7omtjwlh_qPdQVR?$Ri8Z8Le;%Vw&(C-*r+y z3oen8eB&xnJ&asTO%iMa#V@lf;!e^rv^^+?aPpckZbu=uo{uQd4OMK|H%>oU`WS8J zV@(4rM}TQ2E8&a&Xp`Jq&vHl>FfpulWO-#kaN{j~Wm;0%=CSCJ{~3UP=`dC@v?q#n z1RS8Vg88g^ktG5U-xkt*D^|#y59b7#xiLDI&+TX2ecFy|YL?Z_sZ%1gyarDY^?Vlc_r|-P zrE%G0a@f)#Ed2a(6fu(x3JbGs?u4k3j$?3_&*eyIEc?36d4|k+Z78#&9@hjrIn#ztoEAfwS-cL z1x^8UU>=8WDqRrWuj?XO$%K%a$f^F4eq$5A7=l(%z{Sw62|a8*%u6zE0YybAazddH*5E3-%1S?lG$j0|NtLe@h(~g`2V9It+CA-V=1^ z;RCPO1cXm;%RK|=4>sj~S|J|3dt^G(p5a&14qoMEdR^94YU{s#>-XG~n|e9nnA?p0 z>-@7jv2T+~Ii&}Nj_68uA-a5xG6`2D##omcOdrzNr}s^%t9abA)1Pgz$N7@wN}iu> zl6uN4`*b2Rh+^i}VMVwumPMdFw8HX}*`)e?<~jK))aLg%$0dLAw!t~54{fw%ss`J7 za=r98w7Dx!rHPi$tfS1lX1wy8&;7>XG4@6?DKKw#suFeGZp$pn52ikwa5{Bn?sTt; zT?rcn;EeV;eO#(H_WCIJ0n=I3VOJDz#vAdhUYb2uChAaynR^(G$e@MJmt{)5t8Ucn zOvife(Nl`2%;p|hOmJ-RZ z>}@ji0A{ObHPj0iJF0(aWAvG*|Kg~gujvo8|C^0Dp3?JCi1z|1zF0iD|AitMe(3|D z!D=8ifcXU8rp=;rAI<#-bPHpgR>u?+Uv+N<)!_ict^vdZ03~;pnr^n4DllV?X^~pd zfCF8|dFAG402MRvh0s!tl&(2}(3-3pR2l8dJ?`dVJ_LArVp53moxu88GXhosSAybI z=Via(*xTD?GF8e!O{>r|ECh>4p3)=^go(U&MrZj*w>Z7)*vA>~TJ53eC zs{#d(X3X63yLPYc8A*ot3%`;K<`OEhashDPES;V$6Z0jk+*+OZ`!O*_8+8dRJFdE1 zYF?=C4RH*OIL^OJHkD+?Y|tVJ(Exol&xabFro3(V%;((7yNr|S;}5FnKZuFB4j&(r z-chTM^Wp%KRM)W;gxVLNGOuh^_z5K%gZ0BmB3L3cu~l>&-^^f%M~D`(Yid-7lwFT9 zhna(+J0~!TxxI}|n}uPVo5t(t;zpwUkzGEjW8Yh=8Q^erEOr^s#{GDNeyLUdizlGv zr}BOCMDl72Dt2Vj6-#Hz4a6)GQB{T2%;m5pduu88Lp8($m@Bd zBE2CSdL(^bh8CgZWX;W1yKnto{pir2yNa6Z+y_Npr4li&e7PyzXX+<5@(up4Ev!D9 zx5gnd|0pH)CeE+*u4;OVBKRW}anChhqr2UaBlrX-J0sNG*y)~IC5U9$$vX;6fiR#j5a6mh-iua8vEom1l|_O8O?5$! zLcP7IfPQLoC?)RTl#<;^3M5>v4e!ASJ_Mj>Jl&nb4_O((Udw6=#Lh#ghni|AuX{p$#a^F;5L~qi^J7<@OaX=Dx0t-Z zVbpN2n7uH6yZB^X9kE$%K2YB>4N0uYh!XtnuswNUG z_@t{@xGLOq9kCeWHhco$A zx&VCjDRc6@biqzjuAw2D|m#Nt2%xwYL){i`0uEIx7 z$dV9Lt6#`23+85j`xEXC>$v8}wWoHt-dfkUDFN|DeY_mpEyUvy?WIkP3E11L54iax zWbTKCjWcwchQMm2jEAameVFYj&`LPsEAoy%y#JB0z2JSpOk&uHanJf6Ey%P0;O_{> zV`1^<>fi7VY}l=3BaliqYsBH}&LhKGZjR+k1U|Z{*`)n_I}-PBRWd2)Qe!fS-InzF z;@_4kVSO}?UH{ary^JMWz&^6q0ypho(TBkWR-din$PqVC*yG@UFdM0gxnF>dEz*;U6QI`27fH@Ur zpPD;?%2cErG_+iP{uI<{eoAXxt8LBacYRdB8nLuW zF9ys#ZDMTT1bQmrwo{%L5}h{#vWu&tJwB8#-&kI_iS)Byx&gbh%%4wT@e(lfx<)e&nC-mybO?uSQnpAq;H&gSUL2}h^!JuVp)IBs5vP;xcVGMUTM0yu^So(C#7ID(oL>_ zvD7#u{XE|=z=GWCsABI0fOk9UDKnr!ALunwx0Lr$&6AAK!CUt8FdzY}Pq zZwb>6KT(#aDe@|a(Bnz3&f-KTJew1+khuk(XPoc0RJHe1riIK?*wLLZ(ocNgPdm1) za#T_xgh1eS$4=`c*{M479rh#Q3RiOA_GiMOa+L4*G2!-lH9`XleLliDTLgEPBxtU; zq#kd64ltpPecQZVH){bixR4L89&g5vx?nD@tyXfN*MOz`*<#ZZE4w9MTsLi=6V^1ZNC+m983>u=ASw7@PTA^FX7)2qi*{D!bopMYkD zsJ|YbA&r^Ki`5#mqc%LBIZC(A@Y6#VlScO7Bl^}VHL4Cx_^@wfCjB!Hn0A#R_^*Y#L z$edR>IrGvo^2GW4-g~EW`LOw{Kv&VJmDgKxJc!A}HdM{)3_TL%AZ|cdS40K< zFi>{6Lp}_2rP~8-S6Xl&(^B_Qe2)FKY=_4<^Vs1RAw`WvELPjPv7y<@x51j`{x;Y# zf~4(AJTFh4v9d^05P7g;$z4eWzkaWF)Nf(;skitVA)9Btj-7)1d{8}u#|NUIJ7$88 z#X7B8TEl@Gh1FdetMS5f172uC z>{}IXaU4m;A3gVI7a~gsm;ln`xbk8T-prfUQ#}sG5*h z2qT2`5+oC#!=S^r5Ik?*Mo?K4_Sizi) z-vX0V38u`DdR}B!Yp&AyNgkOAQ^gDk;6++8a}yZ72!a6hKmeJuEtkyXs7Z~v%ZO?G zuRdKB46##!GztQa_?95tZKey0#I%mwzuHtp55zZ)JO{}J(q%7o z!y_fylft;V=5@&WTwW*H4@-t%;!23XS z;@!vz_V2nwA35?pmGN4l4eM4rrR48?ALK|etY zi7dVC98%c1g*^4EE3S)n@}CvGXD&G`(JLp5@TnYM%ADByu$8PA76Qw=>Xcnh@_RVF$gjuo~1z!lk2Z z@Qn}cy;fRU5%rfj4$OJ%k8(i==em3t^PEF}x4zAevv7JUfUCOggH(K0veny)fneZA z_!GJ{Y+x@?_OP1ope3|c*m9md_*%6`&>{3~Z$hhIC?TGwFBcMbX4a>Tbgpjr!TgMm z>F4kHycQ#7GN@k5((--BmPF@t(Y?86?=?0aAwA1qeU(@i8GhLG*%wmJfyo7QV1&Y9 z=b!fP9RWjs=75)U=)fVo1m#wt|M3<$gU|6f*>F(ROZaog#~ODEjM)Eb&`cPO%G|;I46Xe5)Es!aeCPH|Tirfs#Vcqx#NFn9*kT5qE4b#vUhM7lg zo9fP9B+kK%#C0i1eV z0kViY_J>Y@gSnw#)g2sxlbCJCp@w~$D@R*mCwRXWL$&i z*xL7}&;1v+5f3lMnWFk-a|n&{=K8WHgtI;UV$Z-ki3tf40LTac7+-jXaJDJj4L^KI z4X&jdb9qgTS)^sK^Q6THGDGZ{xvh3@Ln3$>+={2OLR2$$Rn8*xxYwM>HZu!VHp(V+ z7pwRdeQK~*1h9fno&)}-AW=pryyFjZd-8s6qKxQ&)CR;n?3hT)u>67+lYL=3xCa@> zbsG}IgMxcjSuuG(u@0he_%U<6u9LculPLpJmJN z;P{R|y`)H@y(a_JZg0~5xntdPh^ioFYG4S{QS+JJ7gD=3C{c)jTX0m`p0LQ_2u z5yK)RGpfp;8hox7qiiGyAf5zYLw==2rm=Vlt9wdlbWVzeS20GMUGu~#jgc>2nIV%uQu-Le_1T`X zg$VNJ5CI7?Qd;ddK0Om}eM`L`I^AsQm(rN!?I)2JJ^99|Q|r2-stsjjl9ps{ZryK6 zI|)+M)yp?tzQobcekD@g3HFGq+foz3uMISv0uR>)GP!*R-*Fiz?Oto=xV)48VQcgm zI_)Rml~4d$T)|7$T6WkSHp{`sm1!gGOGPCcjX!x_o1#=k_`sA-#+u%~n}}cQ-IAH3 zr__8TZh7Oy3$z7m|KK`}POkUOG^x|AW>R~0Nh{p=>AI-H8v`!!x5vxz)(d9dPXrFA ziejgZQ`okv>86%p@$>wK54#wCF7^T5>LaHF9=g-ZO~}yQ5os(o6whcC?SIoB@GC#z zyFKEv*=OK^UuAZfu87p5CwwGNP~N&bfdTomd;H|a#8ZCFyy8DC24?SEI^O{9s_15wqQ1ojUUb!ap zF%NBc(`1>Qm9|jk>tlVNDO}I+;<0+vX<)wIG&_I{Aa>8o|ENZ(G$S#|F>&6$}5;YPFcrzv=*c@;+x83#*5 WnV!{&44~K)yEI~qE?$Zvlm8zu^;UfV diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index bf4c983dbce6d8f9b2f2cbeb84db2bc3a5c01dfc..e6e4232c97dfdfe1870d9f766bf413d74198a966 100755 GIT binary patch delta 12 TcmX@ic$jfQ57UIiiG8L3Aesd1 delta 12 TcmX@ic$jfQ57UN(iG8L3AvOgI diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index 4863ca21f128f7c1294d6e2862d463e185742247..b964cdd99a84787424ecdd370fb6cf8e72239de4 100755 GIT binary patch literal 16089 zcmeHu_g7O*&@hUKfPkogh%}KVB?8hz0@9SKf`F9JL_j)`UP4hpk)kwd0Sh3~dkvv? z2nZ5-hXA35mXP-Gyzk%e{r28_&d#2l-Pt{}Gdr_0_p;H@(3CJ;I4_~+@1t{Q>gWS? z@$}%AmX&%SCC&fH*TwA>zl^L5{{wk|vLlFyO*=D1Eb#Rt06LlK$X2(elR} zUmO$ZCE9J+*oDM_Yiw7;UoG|DxLVvP()9JxO@{l7cORRmv9b4E5igJJ)%d!q6vgo0ihjKc%I+o9Rlj56>T?qJJ4HC!V$o8PUn;FWjw= zzpgAx@lH1;-#EH1@+l~V(Y^Hmu6L8hR(oEZ;OR4-q<*5V?t3O`4+uvZH!Xc7pfoh1 z$_*y4!ZM*((nXr~EnK9wMsY(WgJtbuFY21I443VwK8}Hd&D-y7xD5K)dPrV;*fSvj zVu^A5)Mv=xXs0?Y=#`O;ni=mbNAQ*cQ)ZSR-?~`z>M>+XKzNXkt-4LGb#SN_fd6Rq zd|^Jf0#cFG%imLhNES*xyZ&>=G~gZP-LPt4$mFI7NQ(3h?X}&-IjJpe{UIv<_)mt> zF$=TZh^+KC_Zzh}c=HVnf!!g+*E3zy1d>uMpljGg>6kF~Oq&Frb-m-zKkJsk={``R z&uWk9`u2W~e?~ja5#G3g$l`3g3wl^f@#tr?K`ujWn!X;b?5UykZHL&3%}1;VXqWQ>YYnV`%*rBBHWr87lw$v(@kR^H)GF#d;i;M{Hr^dCly!r zQy&`MLi9KOi?0=#rb4sWq(>LN7cah0r}K=A z|6bFt$?W~}i3!9G%ON)At;cL1Rv7-&bYI*0g8Dh~e6-1k#b5om3tzhnl`lzf*1vYu zR}+Occwdleuo6il3L8r0O4Rb>?({dgSGQPId;bRZn?5qEw}tj~GeGOYMeZG2=vczo zK1@bqp7Zo8s>@IP*=YK%THOHeBTpv=9~UJilsedjhKH2-z6*IceM6GG^SJBQ+r%3doO99*eF zUwUmx}^i?31GCwl!wQAwxNiU)BipZB8y>mFH`fHyi!S+}UxX#|Ao< zL#Ct3|x4%?rHFNVH zdgRUV&o$l+?g7an3HH~-Q6JWmxV2Pc{7G(^SSPvU3Ahs6#{hXR!y?U<;3R zE6d%vj)&P?oaTCbIKL9muCE(2!5QxVFKZYmB;yX$zkI7Gj{*06k!%}Y5IsX9epwgW zA$-;AN~s6rMc4;F_a=YCbkj5?XS?(`=j?vnV5{#B3+j|pQcfH!zE@wlcc)*MC@h{l zuk)!P^yQ}euQsMU1jzcl+kIxeK-Xpl(;3P(XKZ7S>%vw$)E-(Cfg zbZ&T(WFvMZd!7(!$=(|-DU)#fcb4+0|GKi~YkmlyD$APJ!7{%p6FuRAlkp4GS#&`D* zKeGR_RUcbCMYDgqD%i@9)YppdERAKEafcJvb|KHc z8mjM&7A7gUSXuJ_F-ereDg9h}(4)e*e3O->$S%HpOjn$pkgUx)mUC$!3TeyDQlc!W zQT$S)NaWWSu~&*_yVW->Mn1pSc(nEb#4q~NH1Uv_sb#fIuJ4~#VP95Cdj9=Y%x8}y z*t8&|dqeS#z@UqOgLX%Fau}-MLD(M<4^V_swXggA??{Qc0+R zjzp}wNu^gP-p}0Bbr@LEjWCY}!o($?)=$i&6`0%?5B+A#2raM{_{fqy_fQI0RR`ql zVlSQb|BFXz1zb#vGBON|m@ck`y{c08TGJ^c2#xLd^F!^+1}>$e?r z1f8W=-yj=1Mn7SQ*EmU;@S~BViqron=t*`E4W}W?%{|L&99ciNBxE<@+8ag=x+9n! zlgCS@hki{nJa6A=Vn5oiN#u?%cy4%nXGYdHvwWXs>?1;6fbUKL*F9g^kDl%Rrf%(- z@1Wlq(7&hNC9O9yD1`Tzgz~Nid`Tx_Ts61atEy!;{@s_p{Py-}hj(n(Gyi!5!`4wR zeI59ieoFYDVO08C{!OioNcQ-M5#Kx8hj(X#Y5N)j^P`RSl`;#h_U{%>OaHcdfUW6< zYaz2^nl z9F1AS#`t@F@A>Y8mZ|jMUx7U3ep6jb@RFz8kkk>31R5>jm z_n}pKTG|&bcUbRsPrUw>sONu5yOgUJN9Tt6F(GFDTbendODR!-Mbcvb!=D{RGrF;B zS7Ze%xc;zew2bOcz1E9XEz`$6ywun&-SkIt-P?uc{ak9X^d-u(g>lyGE*^$1QlD16rzHf?UFWI|{2~SKM?vEsSum3sU5cd|_e*z;5<3`^v zv;-)^0ch3m9br}AoyURbHHGWE+N17u9}|NW;k4f_gB&-_tt7Wp%Bu?}zs#AIrLoV$ zmP+jAUN*|LM)FpJa}2tp*r~LA4@kutE^?3BulqMTayy3bI9TqTKQ(lWwxD`QvtDV)~O6@1vZ}N3P{~A8rzZsP4g|HQIzkC1mdp)bGMu|no)0NPgUZ8o^ zM0(@IzetOwnv$~g#;o66YAw1q=E_`iu0MQMH+}HHZT?q|MqJ~ZGW%;U_m^H zxE**qeS_x*C+70QLgP3R;x+B7P$y%M7B;Mg*(Ol!7>hrGdcz^_?KUTJo@mMH{>v18qN7Mud_PqnG z2hKD6d+WJ(j~NrtLXrJaq`ZQwkv^=-kUMKnxLe>_EW;IMg}rU~q3D5V$osWtWeE09 z(aZF9nF%%5h)AdG98E%_@=Db2K;p>{sGWNVxP%^;ednFL`O6hF)76RCCXS#TfU7dA zkc^9N*>2Fwq1$=w6IWNaLEHSm_1-olZ&(bR<&0~Lg$c5PycjmB_TCBj^vx7gdWGnys zo!MHg6G0<2$LRXcBI&7xtSxBbH;j#kDK5x=NZZ;VdAs2mmIG+H%F8r#v|6M8404uf z^7JS^<5THftF3rV-@l!OU$EaKLk+adu1oo@rTEmas~X?5&inDh^6?8g zEvmWk=s|m)v=-``mRZ^F4b!{!>Z1#2lefiQF8ZTmTBCB}_Z#D4%%2|B$+26j*FVhP zIMp1jId9DVukl01r!&VhhAZS3JtBou`lC5*f_H-^Z6UA!EIGHe1!ssV-$?%>$C3Ym zv*Qu|@FSsI7(Q!p?S0X|f3^a0P-*3u=GA*?3xiZ$!9Du-nokCM{$xvpKdR}{l`JrK zNzlu))A3|>8k5Nko_%29&NtZnN4cNnnpy^8@!mbt46EzE5#sE>=uF;pD)#(l40dJt z^ipTMeNX$J*l(ed7e4{!X5;PC&#QhsUpH~^_QLJ-4nFltpA=h{D3W}VYnaW*f|6Yb zs%*_r`Dflb!S^0(!;AX}s6FkQaW$v@HGRHG0@}#%zP}O{IB?0yKD;Py4e9PcS^O{*~HR z&b~m{afGS-T$fgPUm4p?$S(03RlOI+BoBvJ+r2eCx=Wc4w3xCmv~l5#bEG^MqQVm~ z52KLDdhp$%;iBEF8Jz-OM_u*^Kh;QvtLBsActBE%LT>zcfs~au0@wHK5RVW|B z_CGr+rNS)>M?5Zp@y`$3zs9X`83-RM9}==hDp2)Hm+JnGqXY6h(=S2=sn>5=?&n); z&pWO(k@5lV4btl@B25P-Tg+=;6I8DwCA-H8S-{sW&Q*j+WZ{#-yVA;s0?atTYf+1x z3lH*B5In(^KDe}xTCl#?2>FNgDcnZZ$9XEt-em%`;g&`FG&BrSeP)P{(eYf}E`rD# zzud1Tmiga65pFGO2{)JfcirrA{MbV)x|;pvoyTZ*=G!No)Jrq*hVXrYLt7sl4-ls~ zo=NR7UV|}j11O88ucluyl9w)_Tb_H?=ailiz5S%p*`kP2t8s5uKH|JOUV2#Hs8A6L z9boL06kIO5eIbea-~cbwDURk0*Vn+j2T+)0{tGRNOH9sRoBmbU<38$J1AB+cQcPKlQ{gjMH<*?GKTtq#Kr;kk6${k=BLZCzGY$C&UDk{-#iosFROh&wO_e7O&^vsD(NVm3r^6*y z8|NzyRR~#tg8@;hcR*sSOR>s53gbV%fn{VW#yTI?rdj@uFLAf0e=SJ zGosXHjj1F@`zHeln9HivTdCDly#Q6@pC5Y;?AwBTU-Q79>snI9=Iud7U!C54?3v}S zrY6!iety8uD-FGdr2Bf1Ji;@$_ZHZBD|zXX!a+`@y)cAX6gco!H@Scj0%FhuD(tVC zW@xI}&rR!_GIoD#4A?}kQbo4|e_I>7s&nSYpI}0ci&y~1)Aj_|_atO@+ObWXQ~HWK z-$~F~mb+og7u{{MfB5GdDCFj?YbfUES=}VkDxgB4jtI>RodrhYOqXGGpUg5v3E674 z#`-vc=Qw0lBoJ4e*$d5d1t^5Er>{H)N$ZmG%Mne&$p_U2yo8|N`i+} zqRP&Kr${;wksB157De^xZ2;fdJ@^VhQyQlTgLaA9NwZO3HL1q(`)ZQ@enKk0=j11xU6abV{tgk$T^^CJab>8Rz*MD$5p1s#1ZTUDTtV!Zk!*s2> zYwM5c)upN>b}I5oSIy zX5(oUZG7HZ^DX7RVntet*eV_5|Z1d*itj9ZR^$};v%*(4A?Ixv0hD* zh^m^^9kXNxOw3@kc?Z`T`vH7kf2ty9 z;?uSe?`IoxxXK#f5@>%G#nlKY!K=Mgt@o_nKE($c%3K?>x+|Hf(WIzj4LcnUVFvY8 zu08w!!Kx{tEh2sZWKI0%1+XwX>-qM4MwDA#WpW-BPSg zN+>Di+H_=4Op4*##DBDD+uQs7Ir6M9xMhs*L^Eh;o zzC}JPx#o%8%3OvX2h4jO5SAGaG>ILLd+HiV6{a@ga6VHg#l{SNKY(zFbER)>2kmd~ z!IyJP9?}s&enzuQN<-p0NmI;#*UcL)6gRXvBFyf!fKG1cUy@R_VauW}(S$4Em31~A zcDzW9K-Ms2P9fe~S$AKRo113L(YE9OB)FCBk2ZVY8 zgp4w2;vWp{h@rF+qfZ|^_KB=J_9r*b?v_*OM0J4hD2F#=r)sYBV-Wl(2nOpf!OT40 zqB2Vv1sb-VuyaH8au)K9E3X{Huya+;TExRLfxsS3k_Y>?!zc&p81kIpA~8 z3ERgAuT2%?Q?q2-DPx|vkiz`)%eNizC=*COQH>gBt2ILQLA?x!B-E9ef=@tn6HVvA z+RryYpViufBVoBP9tHw+v0FvKt0vCoj9hOcP7F8T_MG@AJ$kRdV?jLNSMNghkbe-O zahH6sAR6cs-!>BmX#`@M(dm8jB_mnp^KP?}zt|2}wO>*+KkV1D-AGR%63 zkgAsq)HAo1?YY~??PY?~ft!2eiJiNn)zG6%@W!d&#H|QCzm2{fEbz}Gn9?v;EM9Ir zV5!&L;U4^8{~*gTe8n_FxIwmadCHI)5>u^b?xoTNV@qzBIiOEJjx>6&WZOlT>=FI<&a-G~9tvWrlUQ$n+VTI-5`PyA8xeyf11y)eNpn+?a{WzU*Q|5ap} zgBs}~dv~liBhr_S+8KZ)N1SRrYyJr=uX~c*Gu0wE-!>Fr!U@x(3*|GzQ7@k@TP}}( zKMFJpH2j8JD8(~bucnaA(*(kz7*CRYI?V#Z*!SKA5s`c(SftOY0QOV6(Mgc%8+$r} znID}Ps+)Z^ElfRx9Y!;JZy8(bX-)DRKkO)-uI1TP4-O7vbq&T5EgVlsb?q?q1Hd7D zDA)sgattx9<6wmPoExJSaBS4z^LNGZCaD3P@^_ zb5m{a&DW)CV9Tk)m40|>ynJxKnUN?eMK?^ySRfXwl%%aosY)izm?naaaiqpblDHV@ z@g!AW2-zgh&h`?TNv?Z}Beg`5R@lStt%5cBkWc7{o_VVch)9yde@#6q!rk3RsN?&+ z$hfbV7DgQQv{2^}WlxMyG?-Ioj6)UkBO%E4s#j5>a3zje-_KxLcD#`>u55M~IYd2$ zrc@zrA?w`zXl{X%{oZ5QhWL;>iA&!s(VBrAx_Eh& zKFodRocrj4Gs17$5r_;V7ier5;&)Vw4gFYDYfj;lp1s#E%e11EeM%QYEk#@&=DMte z4}Y=>M&js2jC2SQT$)RRJ~34ES-ddmqK7>%arly49>V_iDO2cD^!DsWoPLKdk<;BW z@XHg-`t&c)jc*F01tHg!63Q#e<96*K+;d&E3UL(NrI}MPBvOk%F6`tI*(PKd zTb?kBA}ynzm$+_TFFIyeUXW;P;vHGOMHtwqmmgrXz4Me}pSjdKI)JaoI5QhkzVxUu zP-TL7FA%G2Y}}{YSPWJVORj_VE@q!r*L8)Z5|pb8Rf$H)Hmq;0ECnbZHBA9HVe2pv zCMVehwe=~67PXU5|J~by2|Dci-S#IYYJiTOO(t+MRxdk28%Ux#u_!~S#V4o%K=j;O zH8;zDnf;4(k6M6?$Y6o*s(0e`)#$tO^<~;x)X+f{!)0n^|0>WyI~N)V5;M;KV#)S4 z8(mnr67=o}cJf&<=gOp0E;?#N1KZ`hWvNpE`3zQhRK^^6GR+>uqx9)`nG~k7@xIW# zjnzK_VtkIjV*|Q`z=xHdbYy#z?jD`pII#oHUU7U}c7s)=tKv&cw2x$8Pz|IxArd?8 zHWUMaML>R;jf#)xu*mjJlJ|QE0|GzCzaO_(u|?T*bRPiS$~t%uImeCdn>BoJjm)(I zh5$pBN*g+Gr-k%U|4yHG7Lg985@qJd^$Gp|UxYI{1hdT%x zR_*Q(>Stx=yPLnfSICZ=oIrStyYWU7qkPRndwdq%Hs+HrK2UfdKCpM99f;I;o$#(n zsUH-FtMcBpjG-%H2zRU?kCTU2^wCnQP@sH-3wZW2ZizTE73y3y!b;G;vH60uf4|FS zt8E_b;*!|;Km5I`>RG12PwjR;UJPUzL0&+_zss&xMSD#K=Vqo|eptu*s&n+@ju*bf zHab|?+{FbYFjiWU8k#=|l{v$vaILU%D)FUn-u@6W zFns`S#mKnv(F(iu=~CrW)Kkn`e7HQIr9>@7NI-EDmv^FkEzeYcqg@bmX6NYaI8ia93R2&ZdoQ26tj$-ql?Sf&?&bdXsex!W0rAlZR8_0y@uI7P< zURhzq@JUkzAREe*#X7;Cl&WuEb$a+fFkr?CibE*KTA`ne0p+Egub_#H*r`$@*U!GN3nm@y0?tf@y~aH^Z}4|K{%>>>4qV36wK z201?sPW6)1oHiq(+D5R~@Tgy{Fv{iZn4Bwoe-HVFZ5%@IDxgQ`1D$R;2xgN|MdW#5 ztVu+zB|6#JHni}Zp{|ar2O+0k+U&thre0!w#f}=eah|tEv8FnE3W#c|8*9U9d&b5L zf6)owY8-f9Nm(_F%&s_}5I}!&*2ul!BO4&!T#FJaXDzdCFyyKwk{AD@r&C81(K|Ym zK3cA6bN;JWN9?gXrAGVNa44I&_?JopWBjO6CyjF=sguzQGq==um@e?u62;@^Q9CVq zA0bw*Ikbd?4vwwk7aO`k$jvTLUndA5BM;4`e32s0eT^QBuZE>92J%giU&Aq)z`fJJ z$bm#DEb7ea@a=d^XAu2}X-E(H+AR1lt53Du$nchxHWhqpMJ$IQktoV>9&;unKGP(lGqGIYWK0u7K()_xpN?l_7 z%J#!Wa3q;IKPx5Y?J`%D(#db1^nSribg*(cNiv6Q&=hPEjv@qWO5a!{_l0|4^FC)8 z2bjR6kwwFDBSH~uO-t3Iv964%yG%4cjh_KZ-}`p6A|a>A8^_?>gx3f~^jNANVOzf- zO?7yuPkx;vnIS%QM{a~Y=a3cOZ`W$mtEF@VTng$%URq~xwcBeDpXwlduLi(!-sCss z@NOyPo}nAxWM!|63qi1d_Ru-e^(5vjs`AMa`Rr#~>`@pu>^KBhrE8;VwBEc7-OYlV zJ(&2*i|jg&_I*`+LU=WM#Oo+a$JcY%D9lE^C?9On3{m9t-&y zM&#iAN%h5&Z4-}sCa2dVlLU;f2~e=zVxCBfR4m9`AB7tIq>nGlS+rJw%OS`IDm(4s;)aU zEA~O{rlOz3K4+86ZP>3Wvf-pF6uTV{HGG)%^f>WE(zo~^y6G%lZpRUIjavLu zT%w9ACy&ut+Yn^K{`bbb#k&*z6Y1IwSL&#Du+u-Ax3dtguohnVumZWy;8T0Idx(YQ z+V~>YKa`?l3kGFxp08xkc!|OZ{UEN=Ss7gPl?;bqiK3v&W%x5qyN-aGpL&x zq|oJbY$$&g*fkj7P4l+ut(YA~Ngz99pZiJFf;y4+O*i~@8BK^_^Vl}vaj9~R5I z=bNDkIuuo5;{3}=Lrpobq_aZaR6fxjMtcwcji?sOwM6t5xP^5=F={p(XdfTSXRtbjh!uU5tG&ktO!|dNt97l{kjUX?b^|76Cs)L1c0e`mh%Zv!X!S}a< zZMq;fY0v0ERgZ#@L=kj8^=j}y2&=)X=`_>@3+3txv0TiK6sp>T>%fSEd>xO))Fre@ zFR0eD`JK#q7nkiFk!x;*V`>(=%L~KcCtS%DKWZ#8s6SdgV*}R{o@pNzlrD5ArH-Du zPeDkM%($N0zwMsfCMVJ_JW_cBqE&QK!xyZrhAy!xfzHB4+}@)phc=7B*J{_w-%}1* zd;eP$s%W3Os6hWYM}l$2vav=)pM9i+&IRMIObp5Ggaugtm`OwP(B}>|q!u{7Ba!1- z85*s_Sb*pqr^}SX`7Rwb^N474hnBx|9?scw;#u0{VEufcQCrYO zq_S@+!OpnL2&(j%(jg~m;L7Mg1cpY1X2y*cj3ztZ$8TRaGgi-nSshG}k2Dv1E}|%Z zayq^P(YfM~vyrrAYu_OQOLx9l0G12Uo>z0M056;D zul@}YEh;Jb&ACE*Tp1z}IVr1d!yYsgt(Z#yK5xg|TTHu55jZD;d2#0u((^q6A|A=Q zmwv7A*najWB-N-i?K2awVC{QxZS4<;Y-@DTdt4Tsa+$Q$75oOVbDEXFGLgU!>y18L z4k%N}H!DWKX+im%F3HG0kdSq$e6&(7@*=|Y$~05m&8x79@5jnqcp<@^u6mN#hVPpZ zNKv>^F#>PB^9?faZyX!8uf*yI`heKo!z|+yMq*v#8|OT|NE(P+0~KEKw{U?BN3rEQ zjF1f0V~gu=2YPb)_GgBooB{)Vz#(--b#H>_m_X8quq$VRiEFg#!6`$QhTbx`^k8?s ztz-3Sw2*r6L10&r71tb+*RNW&0)2>4@ycrBbEbb%emTebPO_8Y!))x1??ze5q77gK zs*=O3ng^OP=7pH`t6n$A;d`r;s(PTYcy0>$l#~?(zfgzw+ej}{tjdgaS6^`%o0A21 zhJLKf2x!Aymn?&LMNyYFeI5Hn{dga&6}LR?Dx-B){RZo!EhE!6NrM zV}Sy2*PUj=>V<`PVOB)9-`@|_F~iZA%Dz>qY*y`lCIHJ99K zx%YEoI{CVzNr57kACBW5W}ggVhmOybwuXgJYfFujj`?M)PGTZxE+5_aG|CSY+kym- z+}#R@NiqC%;5d6}bzyc}l2=P+)1S;sk8dF`+|sLW`9a?IHw0|)o)}kr>`v>7FlYmF z(D#D7B1}ycSc;i~K{q~=-F_|uBP3suYd=He7jl7tRzrmSATe#gFccSdAiJVa+e|9R z`b?V?SH|$KU&&&b1_stVtKH>1RSs2PJ^Nxykg9OjS9yGg`<$0^UXT`t)ovThNc;kK zR?Ul)Ff)J918yHcES{9hWO_jTTdHDf;I}_0+efK!88BM$di7;%>$*mG?^3BX7AKd{t*3Zy3m;Ar!7|6B1;cO zjt{dqf3Wz#?Pnrh=08H5DW6F#O0^LrE6`|1%nDw&nrP(ya)6HDTG1D=4prK3dW1%+TrP1xYL8^x$ z!zcci(R;Y242hpR1cnWmd_aPh>RDs3&B#Z`za$JT6)XU8zg|r&Y+YF2n%FTU`WQrp zl&7|5S2T3mK|BMCE+{OPce*Gw7!IFj2`kW)!J4Ez0VWJG1C=5a62<`B`}iRwfTvSh z_y{B0hOr+h0k(YDzBckZZ7m7w+gy~^vO6lD^MyWX0$a6sV@z-QKw{G3a4DGi!;@A_ zQm&DP3g}AMG{~m&AY=0UNQgyIyQW>`%g3<-9U^GG3F!dCfv6A@_}nv4Eic}9gy8WP za1oT2vfpqKU-ko03^avK5P%z5E4W~D3pn56Y+>$=Lh}AVZr73W)IZA?W!9}C`Dm1N zc1X|jfy24$2)|3@tJP>#(SjK)lOlxHauR~Mz~@@_vK5!EIUwQ*NT-_o!;URh{lFy6 z5T1|l(UI@FSpeesI9?oK#3Mwq(Q+1Lu*l()<%UF*4G&-r^7*h+2DDKx{m-sw>-b4B zCS%m$1FO*Ij@q%Ex0`b-({|@9x2LK{lZ5$7v1nm@F zu+3^a7L<)G*IQ~%r6=fr+p4di^*ZW!3h1s|`EFLf8)VOrV-!JATxBJD!S=uNReDIG zjKXu)0$dRA%c(){!$ePYHhyLpr9=4W__o;lHIR+hf~Eu)?>ys0{g~iF7TD3uio!`oe>~rUX<4IQrIGt zL4LU=o6Ct1a(lB8v7sQ1*qY~C`o=YeeKW%M9>RS&sP8yAC7No`-$D!Avkc`uusQ`m z06o)KpN*TYWkxhq$;oq5wLuX&`12;%oem@t05W}iwk?-?sMKwAIViMa>f7Tvh)M*- zFS&s$b0YR2PW~>W4*jonZ#`i1BtbA!y{?_I}E+#}2j3l>vcmDiBrLX0?J^yPRC&2>Dk$i6cnwjbs zC>iRI{Bh|?a97sAS|CgFu;g$d!vG%G;irx$-rJdkM3XKRt|GJlIFH|*xfWvhAz|A- z;3Xnl8fQZjDmm9bW>`1di-9geC2Rd7)ABN?>CI4K)LEkLc?PZpkjPh{JRP@Y4!NFi z488cM2SlX7A5!>X1)5`?ZAvY&6*bFRASadC)K7+>=LK`;r~U=&UICD&PWOdrC-Dw2 zrb^B^#3pLtXWH=%uK@~^%>44SwGG;9*81Y4Z)6xEZOL_QgdF6g1G{#%8HQlRcb*;d zMNmJ9|0Et~wZrg#$F{QC4yWoG@>s_c3-pJ- z{3ND6L<3ZUf>}O_RaOa5Z%*2=Iq=06%mvtlfVX#)?cx`1m~-%A0;l{)H{%O9-1WZ& zhJ{0O%Nl&{-F4hX4wg%iXQ$WWhoR*q_Xxutt_E4?Jt_`VAN~;Ti)&#=xsoml1%PsH zbO4@5=DdisX}&}aVW98`1wfFUt1lZbQ8gJTszL!2&@IB4-!w?pnp-LgQHyz9N)9l3 zv_fbKS*>^#o^xpyT=D*dTG9dFSR^#TP+I#)Xuw%PDkiG6M1BxkzN!5{7v{#PF=<3Wb#g%v}K)poZC74+cL$BzP(8i+>;5K#q3^-6#t% z(i)rcpZwrf)YEFzI+=+tj5kOP@DSkXnObPmIs34iu)+gB5?JIFj1U0n$0U z*MEmObZO?ROrKX768UrUeoi5kqW;CToLt#^^&W5JE5Yef6bh!U}NAt zk&8;vI)HS~a(4y)9Y^tM(i0?a(8p_$J>G@j@~dSPO7gMfCo4dLl3U1v0>+7;AI(}i z|HWfR4V1-N)jSw?2LM26>q3?}I}Vl?$j-Z z1_r45vf%X-_HE|Iph{rIY2(&X$9<)ogmA-js{08?LdR$HGV3=b0BTxu3gvT*V5?4> zeEnXH_d6CN+B(#u(k-%7w%K-PbVUQyTf* zZT%MU>zSdRh(eM+5^KmOV~bn#eHwrI^|e)xEuSSjMiQF^Gpq~o63%3#-ojR-vJwFF zymhyxUV?g{&A}6dOb6JT}sA-QPMUvel)|a7zyKGHD;c#IxdgmWE z!dU^Aj_P~@D6E*lCMF%GmP3X$TI^T*cDz1}xd_%daZT^sO@}SPKQ08thw6Th%T&sCa<2KPV9z`gpdVGL#o<}znK`ORXs0M0H ziM0}T>uo%D(0s3)Ln_i+K8L;{%e;&dYGNb45rWzCG-o2n;IV!L)_vsF8RlH9FDnJU z<>ZA5WNjw?B5bo1m&EO0QMaf{9Kc(ryWR`1U%+5RrG|OowQ>clJP>}V`9%Ee%BDVC z#hLa~Db|t4zX{q1DWxX%Y`)%8advGacX&vcMSC<>_j5_RIX;Os$FZKpZ(=9-&zQ^0<{p#0(1x(ni_>YKf*F5kZ^yBD z{9a=yi~$IvW-YkyTYNWs3Cj(c9=_86eAREZb!>BgW!d5KD@}P zK!TR|RXvnVW8gGqH)dnNmv{O|&dp*N5zbEVCCW${eclj`E<5>>HNCMdi99>a23XX{;g0el%*~B&|+9p?fvLc=QRxq z8XWardDi0)oIG|c^Ewg56qC{~C=+koJaNZiLnHtGc+%X~YFcB1NT^-{w-<$X2^RiixD)*JDuzK2c7Nky-9>X$y`N3Hlw(i`vu8`Bp zE>ICPC}oF$cpVX1)SeO}9}aVvB@J95G_)ROirz+HPj10mkPL6(->1__fd+RvWZ@x< zcy3x?g*0%dR$)IY>P?^`iXY8Q5KjlPT*cbYs|8T)-DcWWYMX z2|M(YK7aZMPT~@(7+8AJ+Fb_)EVcDj6_d<5p5QID7pxSPi!c-fm+ehR?pNXx6HetdzR*y`78O1%637?(Wo27ocLFFMbb6^W(U>ZpX;3q0`e7upeW`#R z?;e2)Hv{SiAKZ?tcDd|1!xOzeCH^gLq3@qlQFhD{2LnLev@IENeZ4>Wl zd~#{^)x=*(zICNNszhz!2cvlK=mGP=-u)%&D+X#*YbMm6k{@0;*QY@t23tb|dlx1) zfB6RBP@Ac}4%5-6S0uY|>hm)_f?ovSSYD-^yPyK%^KQ5jk5cAmRcMeDRc06jkLrNN zZ}!)Ep}eoS9&5y8YkcFoYByB$o=`Q#q`h;nk##RTp?=vp>MI_MfAEHLSJ^0}e z{hKmwu6B0=+y`h+1&^HTPn5&+$HF(w5=(sLC%t~gO<2O_;=E^u^WDo;=(rO;UJshK zB;HfGr!sP}k2T|f_M=wcdLQO_j%z+jNTp{d%l_FX^+L~1qIRWTmEV&J(XJokn2a50 z-@JElfqAn1vn}p}mM`0Xi>;qa=vIgxH@qfVRr=4)i*e+cC!c9Id){k$=$dvj_8c2p zIt5DG=Be}lq;-yMVcX;GlNy>9>iThx&2c@C=?C}hWS>u`^?%d-A2a_?RQ^9JLf6DZ zKLvdL`!1ClaN*r^1Lqs+H^VaXVbc#?EZj@fnKX`3!1iCWhvMD*#^#=kg+E}F zynFoPt||lX(U087-1qkb_J4_}#zf~nPPj|C0}R03W7qucB;KOXx0@lq+&+^YxJR6a zF$USu&~S7$g$A_pCU0iRfjktY4m*D}ayEqRw|WJrYCk^q)wqdDZwt(XcZj}J6|52l zJO-vq&Q`vvifr(k{;I8vDyhs`D!v!kV#`K%S5&oMZS( z?{C|#e2b=JTFg{w&aXGyxz9=>XbpzMuiL1o|50Rge2SHKg3!2K>_3>EO;GuTBii|_ z=g$?tJP}(9zZSvL9PGLN;jxzWtO+;QcyFyb-~0q0EJPD=Sml*oonBrqa;2b!qdp-f zFG6C;7SLZ^{wm+u$sk{EW_0SF)`5>p#H)~9K3*QKLJxD5A4HRe=$@}7arm2C3nBKJ z|3HYAH-^tHs*2jx=W<>$ag^)eY|ajQNzlEGq^nt_{P`^0Kzkhz3ln`IuAcS`lo$yZyS-Z`Qk3@k537W)J#PX@VIlvPJC@L%dC0-y8pK zvTRh8e(zK~Jj_!<%lP}YQL&Nl(v$0d8=}5kEiq8%@EBlna4t>A<^C{Hx*cU^8qJ$K zm-Dtp$o#eT45P?sIetfDT>^eA{UE%}s>J5S!BJ8mD;syn$Y71)H|Kx~QkdKaEn~}e zAdeda9-zj&X+reE;z!L`GZpRzhG#inm}F4)u}`USTt54V)xMy!%wFQ9Wt{k^b>lqw Vc4ac|QCrknVtRE?_5aH0{{tzPS7HDF literal 16089 zcmeHu=U)>~)GvsDQba_Gw4hR?Nt510L{yrHQUpR5kR}}hNhl({2+|=m5s(skZ-O)t zLJvLk&_W3{BqW#Lb6?y);l6oxKRajUbLPykg<38}~8k0p4Xc{@7W@<>Wa@`%fbNl1!Gic3iG7}$CEINI3pys@%z;*sDnc+F#E zXU(Hw>*#eQS@QeZRWW2_kCpz1kO@-$Upk}mf2kk&t~qrhpGK968O!s#ucEFeFYwWd zBx+oz`o^2~M!&j?iI=Va+BS2~^*eyw*LU7rr+XBUsP>ecIpI;d#&cdlcV@HC`*_k` z1uO%$<@s@VQ85d@N!**?D#5ih|7vb-F0vo~*MD_!X6xFg%#m2}!<;`_W9Dz4CIUTf z_$noJ>I#@Lk{SmNX>&bSC1f{pcvbmp`cy}>tKSg>!bw3-fHI6(v#}}vWaDD!x@Al{ zGW(CYZMpY+TQZ7A=`lC<1a;M%};)M@I z>`7Gib1M0YSuGRm5;2KpmoQB~-Oc%=K71BrWwlGsFSZvL80_+{aL{3-7U+FcviOaW z4#ZDY3~Q`XnDTb}>T^5bGs=ZY-l9bL4+o6K zKD}G_aQZp_8K-X0ygy68u4Jth`jU6;6>HTy7+lC$g!*>V)?Hz?6$Lo5Fd?3~bs{Re zK%LQ=QawvD+D@jE5ohUr}W6*Q;Mf zg{R8v>y<}|IqPM`j#VL6XFCM-Gv~hIU-JK~gDb4=gGUN2OJ101ZdCoyQX6KZ@&5N- zE=m)78+p@Q@MG3d7rXk54W+sn=0FZaDbF!-8X4K#L?xl>_B4XIRjzo6c|@YtPNh@5^{lmC7;>i}+YSbc-DGrcLl95TemA@FbE8Z2SLjjNFOfUb%G zQK<0UJ;b^C{iv^cfP?3y)R~j|9uodcr8y3{MX`o2f4EjK^YtOP!#hw{iXPk1snf+e z@%#%bze3!|@Exf%l=)nWESq!(Fig6>TTR1)%3GN5(cHtOU!vi`u~Q1u-FR_)X{QKX zs&&c;Z$K2Y-%9!P#n`smXB@oxV*@+wSjC82ENyHeSBZy6*xrJhX;`2axFN6nqtM`q z$B&l6HWgZ1o1_|ZNQ5a3SQ_h)P7wV-;sIoQx~&a~PYVowIt_GLUoNm~8oN7*9ND7F zU*F?m8x*Y&Vg5)x>TyONv(7?0R2cVPoZ%||K82ZS>TSJEO&rgbz=3+DYY1`$6Zj=_5MDkAg(jhpI`94GN*9;FAz~# z=y11eFQ;L>e7`{vWap8xYi?Tm4c3XlVhM^jjdOoY{l!^{j^ub|S7tu#G-d8D3P+I* zuYQO8Y>|x^V~zN<=A~Fa`UWF1^zOMA{{82QiiL(rJ2WR>3;ahq70!e{Yvq=HqORKh zXi285qnY6CNuhrBhHe>lmy_NQxt>rkd+qyW$3nZP!2Hp$sPjLe0adYI4Hr`Gr#R(K z+Klyom&_9OHKh@C=z;PENM%nq|abC~t27qS;n3?o!M z1`vDO(|@EVYd_6=#7^gBQs%dXQxSnP*O?plbLw~GxQ}R*jnAU|0vySWzBT=P*lT?| zS;P#`9>g-1A*EO9E;e-^fqG4Qx8mg1x?r+(VaKDiu6f6Rm-*`UVzIP{-8Sa<6&jwamGyx z=P^8uL1p&=h2~IWrr0khi2!#^cl9Dpo$T#QcD>WLBcsTzsdYw_QWI z#X>*neRPs~2MoclZHTeXa$hTzwSHGB)pp+ce6veB>~^8SQJO?%K;)1T+P&mSS)PEc zb+%AxY){*Ip-JBBDi(b3jr0$6Q&{4T2y0D7Uu^!QT$mC4N81u?ij5ssI?6i$3?y&s zb6Y^I^^|{-^5IJ#cq<$k^AGhmJ&AYA^Fq$>O{y@?{t8WeL^*S3XDRV4byt?@wJe(K znt!3?7DAKqtMnl)%P+ULmHX^{`oFy?C`OInTW#rX(SXDFp!%t=sZV>YydD+Tf0#b9 z7Om$skz#h{ZvI$ytmrb6d;Ip9H{N(F_T!*w|KyL5HQ2Up@AhfqJN6Xp)aKwP>L+a1 z1RVM%{HOk{KDpj3^HSZ?9lHkp4!lEE>imat3a!4 z?<#MvL`$>ihPxwK*aFKZ@#{o2)C5Czttrn@JbzVQ>!nVqH$ahgx{I8~x3ntA<-sR% zQ#psXHc9tyYh}t*)whR-hjdv!|5$Y|vTxWh792eb`Q5CZ+fkD9jAGv2Udf^&fNW03 zOo;QPKXP664tMXUOM_rSz!Mln8kI_w7h46(3D>l%dCdWyb&-84yU>VY_hD)wZEiTS z$=oA3!mKe_Rd)J`qi_42Y4H!Qr<=fH{>p)z^8f7eoej8H4`*k!T~>KZS@tKGT@Uz6 zeI$m(@F$a}If6F;#pMf%v%W#XiSKEBhiNaX_AQgkW(yylxl9I#PfeuXFuNaWVD+Gq z>*w!_TUHIa15Qy+UWhbW3*kEz^S+rUcYz7D5wL(<9`p)m_MWb?iDzCvUD;;PhCxF{ zNunOHxnIATS>!IL{&Sn9fXfG4$*Bedz{J-Nxxsn-_)p*bE3C@Ly~}B->P|nIT1a{0 zzOhi?6m+~&E5L4L3J%{O6JNL<0gjsZY8qcoGn(1r8H8*;5aE`nkOGIXDm0vL)H#r zL>d)*IC)#-<9ygQ&OWdI+jF`g!vS5CUablkm?U4|zTE`>t7*Jmo*NJ#>nPWEbV9n* z)GYPbfaY%fVDp7KUnN}7eMEenf?`D4o8DBV@PF&CI0HcbNWXm_~$ zl2VDtXxN8>NMy9bov;MK{tU-N_`Rrv@{j9nylT5QxwEk|br3@b z5gkX(KV5mc;>8~-8@;G*;%U@ zO!l~q#%WSvU&C=I2>0v7WzD9s!vqJ1%r-XP78N^NyHxVvta?OS4`>sG9Yg&H$%i@= zZ&r5|mbAXD7_VIt%-^JuW*++SDW zERV|tL5Yu=Uk|Y?Jby0o2=rcELx3iA>aMc>UGwsv`I*rXlp1h{;$kSHsZ$h0@2+{x z&wNuuA&{fG#-$|q>xhMKU}DD5-Afj;s!gj<|MZft1(ekLj`^Ejw%b$2Y3x91+GT{m zMt6pvhk@GN3PTHO+LClFq*HVBlSDX8u>s~|@+6CJUDmHJr;Q;aux-D-cmEHrT5LAn zBlYW_y&v196u4hCwU+o4V_w1|n)_C`SN`4mX$#Lc|M>G+7C9z=$F^G<=+ioB zVWM8A8^q%3yd0v3Uw%%X7Tpl3&|)e!Xb34d`quyxsoZdtW~tP9J}KlgRxqc5IPA^S zpyl5i2}V+eMnfIu1`aBv}KaT!$+<2XZMK< z2_%rSQd>yZX4$3&fy>jYIyt+2>@oWw!T&We`#$34Eoi`VZc!3&N$flU&KWNaH1sOo z_o$>-A+y~LEm{!5_*aej8g0_bHzik4y|Nj@*4#>H)EFaB9-^%D4e6ApM6SquoXnk@`N+6xEB3 z;ZFi(;|RK&)AsY9LrkC0KLKuW7+CtOS=_c6gl2)QS6f=gQ|ryBJ;QD0{e(`wdJOb& zN20E+ZoLZ!&;;)ptFY)2Mmw!vp<;Zi&IJM21&F_HX7AEZCnYDT^0Qp>Wgd?8AMm>> zc~5Hk{#pI`nu@GJcbS~*j#(lc#KgcQ6*K+YjZH3ZTcWOO33Ng!wvJ9v5TWZr6M%%sLaqxj8)|bxE&T z#3b|R6T2Bz&#LVdyw`HRo(o>`@sloJ69qW_lBzM$>5Sr7y>^Y~IK5y3zysUjXIfpd zw_hZP({Gnl&Ry#Z=Viws9@1D0a6VuBVwX=qKO>%8e24Z|3tpnZ=F22QzW5ekbcxFv zE~zV(O|gr&p@_ixo1|B3w=5dzJF*j8Tq7QgrwMp5bh?_+Wm zE|M+gJpDlWx1xlWA{T&2Pq-Eby}5Z~Rwd#0S!$ZHtP#<_rrJKHBL#q<2NB^^tuTwp z(X(&tr{5R`#sK`L7K3-)BpL(;q`LmtxZa+H{-H2`!FD@d!k2CT+JN8d&08D33f$Io ze?Nz0{u8k2(Qajb>zA^X@<@-XHSkV~pX<_qXvXuW)(f-RER?Iy4O)+#uu!&BrICsf z?_62~BfMw(1z&h7$fb(VF*0DWYIA9{YO?WpF1!sWZ=adu_TvtoPrCij3a){ZVx?(0 z!Su<1Ff_8Qeiy-qZ}h*lUfx5JVTMn=$0`PQ{!Cj_|FH(uqW51jk^P3|M*>|5LXv&o z0O5L?e8r6e6(6A4AEftgXknAztQ;<~qfj;yJa4;%=}Qo#Mb5VAPraIbfBtLife8rqfFx+F_f%%JDw@N5 zJw0~{ZSvFf0m;M=S;nCYd&>hc{|Ak$ll0Ca6y`q@fVd5(a<*Uhx*m(7J}=-{I-?{O zQ=MN1saBIipi3QdWKgmL%_p6J8-!*01HEe<5#4<&P4#GzCA4!VN!rs&SAP85tgI=p zr3TDOMx3EK?+JpIkwYX87-Tx(HwfAE2To*A0|vtSFI8A9(K{m61S<|MA$2|GA5}RI z>a1J}<7PM9{Ieg5abN{J%i_U8J%KhUar_b zLrsGI2;<)H9txD6@2)Y{L*V=NU^W86^KhyhAFLxWzyw}{-mB!W)cay)#4>vB{p;cF zj(g{T?b*R0z1J8%A0DD`0+b`10r$76H;0n&k^X>{yu*irupdl%NkKpaLG>tj@m>2`#DYtmHA0*bX^5^9j{fIb8Rz^R^=li{2sc37S zHGrp9Yrj{RXN*;BWCc{qUtG_}&;Y_FsoBnfKVmYM+E-8=jo&&nGD!zGO^8VL68Y6yX z>v4kZ!yx=_e`u4L9&TOTqZWN=*ZOW>bZzSLpWo1lZBx5vXT{-~eApRXXw18p{v+O! zSsN^|ZXdX@3{wnYTqbF}*RcYS>QymxcUwEKGfS%5-}Ql>5m>@|+Yu^?C?yx$znypK z4}G)yD$|fp8SoKGM<{>C`&EpbOKo+=;tP~ZsZa4-lchVc0*AcU@uYqDyzWP-+3t)M z1UJln==wP48)YDTZScon>SP2da#H%Ca!Qa??d=c#ICs8mS{t_(zd)DXUzC}Y?Ll8029B&8#XJ3B!Z6ryp)#<2AHW5E z#GbE5q@UP{--7~;YQJ?JysXt1-7Zxd==5 z=L$Z3b%Y*Kz=a574D6K>y}egR3*jVcqT!u>T;3t|MaPm>XREnR9CjjI)rshR(`DKWflR(qgmmPoC1rgt-PirJ(F9;Wg! zq2fekyoRX~QdNWa$i^s$khy5V_QZetJiZ>mbMBdm-CZ1k>T=kS?mh8WL5-*g#8rW* zbYNiiS^I6aIs1{dnT|X#(KiNTpa~OxMX$4QiErj*8KgtHeT@@ggF9wo4MK-wKUASKJKM1IaiAc<^n6|z0 zs(!tWY~lA_Nq~!liEh*^@EekT{(I4O-eZI_Lu?B=SbAd3J-!zqyU|s1#~AuUTOVf! zQ^&y#2{u?j;rY0VjXbK+Y{1~{1moI6_3r>THN?}6DJez-{Zm(ErYfV!1hkr64hB;r zWTLl0mOzSIg8rPmKMV9*oabGEmmLNUHob;XmRF?P=r?hAyu)h3j9o{+9}K9>%2N#i zj`trLa)zGsSZG^8{qtWyabKla4uyXmc74;b;e{O@9A?=Ct+MD~+c>Nbor|C<&u95W z8VgrQpzlpXht%mOAvzLVo3{p_%m;m-*M`sU#w1{ZN-4i_I$KLs{dQ7A&e;f(HU)U+v)yL)CtTTDP7MJMnps7T)xa#Wj@CroYlzni)i$3zq}ef zx^YM)G@fYxfs%1R^!gQEqeAaep^Pr}%GTU{9u0KV2)`uS8=FWjhbi|@M*4x3-^U6q z0#M}G{-f9`^uYSjqcvzoqUNkbnZ3Uz&!V}hEsfM1Hs{waj?qrfNOJ&&on-p!nU`oW z@UDLaH2k9~k#v`j#3H?h_^xC$l>l`N1JL4g%GP|5KOa1BdOQPt$cONjUdt&vu(?lq z^_uAIG!13qL*#twSJhwcdj=u&{+UiAHi77IvPRf>6LrXCz;;yvDd06x;Rr37Oc;0S zha=$!A~WJq_HmTH=BtP|^u+P+gu(B)R034bNPaH*P(GsJ^^?qncgt??v42)D8n0nP zf3e?>7qk!I5#fBe--~$D>_d&!5~*KTCIgot82*K2&Y)=Q|H>7fCVJNL^%`0?U1_YP z4pAn+Mz(S|=l@@Nl^I$*9)lH&K}BB;su0f!{-4?&8!kEh0!y6r2dz#?eS>D7<=iM} zzEUDSn;rQuLv<08u$*?4)qg|hJTZICletzed&l51aAMIx?4H;gSjpWSt~ReWG51N9 zLDIvona}+{TDCf11ISn68<3sy-8|F)@kj#NkhrwAC8R3e{V2=i)+DK}ef6eld}f*v z*ghm<4EUa%f#%ZtY_5rEJ^ky>DPpD``ygp}sBWNhM8Yj!56A1l?QCNexn~W$dgg>* zkdSZd_YELoU;gqoOZVo^shvG6v%4FZ#Qp}-aI5eGa*WS#hv`qpTQ6Vb+#U&R-)5uC zAdMyG8=`gQa{s7J;Jjxol>n*V=26#`0}r)=p6+OAD^BM{2DWo8*WB1_Eo!4YmHLOw z)4|M;atYhHtFttRdc4)3Z?aohm`K);(zW!W6f9-+ev4-+yT8(}AX%20qH7>J3mxdf zWmEeEPBHQ=cj5boIK^92|lYtzQor*gAxFO>U(ScL&fJnqDr zFvAWizgLSq9ob26FJncYnLZQKcA`m`L=<$8*PPu%ysk)^3I>L%KGP01r;|Jh{SThFio?NLxc; zA(}vu#YyFNAMIi-hznfBB?1?JNuX2P1Jz7>4Rzv({e+o^%dT z@J#jgmQJ*8t;uG1;Lpfo1&CkOir9(e{!Z6R8rum&V~0yO)rvLB4%*zFly z{c8CS!OV5)v$;`7K2%P9&%IVToD;${y?k0&R$GgXa760%sTl0ar-S^7mJ`ZqLpHvi zf&IS=)LI4(?}KrKn8R?-taxo-diC}ypFpwmtawa!=NF70tN`h#`B(p2^@Aa&+at=q zSj-XO3zs(CPx0ul30!C2dXs_83vOY6i1)3NBQF$Ni1=^0BoCcUk7JZ@6_VKNU&sBB z3lW0SZA48yRb5U&?pz~x@cTGAUYCQ4xscPd>=<=lWeY=Ms#QMka+F%pUdFb*1lU6H z=Fj2Y_Z49~64-dww%U#bmcs_~WjCqMuF;*SMpvTdImg`PV`<<4i02G2fZM;$77}OV z$KsM^cfLr+1^+3eG7DY2$$5$9ntrB;it_xyP)#C8dsbY`J2YOweBo0rt;*8F}LRRV0t?=(mH0ce4& zt+$5sXQMPg4c?b~)ErDAP+*8>G^9MSmn}{tf4LP^LK`TV)GA|*cIs;)m9dWQWVr9C zLJdJ%J|v~%iwA-BHd)9c7Kp5amJL6k_&WRb&-lw^#KI zfOBZG>2PF)SrOe;FCOrKM|KP5E^QdwAlKUbT*P6=V;Cai^yQ_)Sx=sNwhx-U8|-kl zT7|@@@L2;OZZ@HF6k7~2}BRA{kK3e#d_YOe5B=ucKWFBAS)a{6EJ zg=X`yuNJq2;uw+X_mN6jfISTTTxtKzKV&fB@ebm`4DU4&@dp$>Vn~RE-*1He*xzvw z&d;7~iXnEW_8O=#@tkQ!kYqRixN}aN`_D^AJhKPJ+3gSCQ$YUMpg%Z&QI?`^Y}ZAa zB}1G{PyV%|gLxALJCk}*?fnh+>Xi04R(Z(nNSSiPI|n{4zJEpz;h!y@uKLF+(w^V^ zxyXq)Q4}mYY`yhh5jJ?3Rj}@tS+G0*Od+m@DTqXNYLxC_2pNmC|FcVp(WkZ?p0t0j_w}5zZ$~0<)Pd{bOJZyJ zoFLd|%BzL8qc6!-XYV@RB(cYaF~E6@X*m$7a`2%bdar=M2aM?n9MGN;VMAl_0wc_@$rr`fvcCi*OZAJ1k=S4-M# zY%AXuQ*afdP_^LV=on}3%~2GWNmOxgH|?9mzave8MCr2|Zw){I+db}4$7)lw@vV0C z4epD=O}h1Wk7v2CIfi-2;r}2Z@;HVg&@~FN&1G|Z6qSBx?Y8-G>uuMt=Q9P|64$G4 zlm?#Lp?Bv2@y3Y1o)(^z_~_`SGl4}Jf^@ut`h*j^ee8_5^eb^D+3TYIR7v?55`Xkq)wp zQRZVrC`6m`(lZypF@iSm8Alcca&I`tw24{6;e*8#IVM+!N-mR}#$~$}B~j{3>8Ij?=Lgt~V0FDExuccUGdYzD$cT0rl6Z9QV1ae+&h#@9PSN(iMxiy%p$Eg{s{%*APg7hmHw`GgoYZD< zI>RdaQRfVBEiXK_*~aa=`~tQ%CZS{S@V;8ZpjZ&P`SQ3E3VY)>L^|oTphL~yZr<`i zORtF3My~H8*xG(B&5JUUwsK=MDOisH{*bNQr@D(Bmf2bbW$na#%u9>0-SX^Lc-jL$ zy%-aQW&hNecqxQ@aDjIO+Bd|%_0dJlh8PhMAg-WtcMB+mvJe4&>c{K*sz?OtqK&=o ztQ_5P;82e9yDkYLcA|7tX-u)or^~IIsdAd2Y+{(3l6{$t;Zn|zb-@O8UE#IrE`7-D zkt3gZI?27bp=X{%0(j~-%=NI7P1QEwLo4ZOU~*K{ahQSfti0E4FL3-YAPpJ7Ua4L= zv~l3M5dSj-p_{A9_y?!S?6#m6e+}~BU>ANN&-vF=aQpJj2mps)^Zp!%-SpFiZb%>d ze~nL0^7-Z#DLED3;-XTcz`RP8gI;#SiUOi}avXET$mOAI2TkOC?*omA*ZZM`-vrRz z_%x%S;j>OB|6=4FIn5ta(SF+}9Nhv)t*HvO&?kVupPYoZd(NM>iCmR&YCDn|3iP~x z%Rz-fwC2KlF*spu_YQp*CHL zOUliY`rNk9TE7qMxjw*cf-H*j+t^Z7Z?(nI&N`VKlzb9{7`42H^RaJxvz})KO9Fa< zXJ_N?1nSKmY0ZT_BY9#TFlOCYj^h?iiQz(ix}I8WC>$huy?K2VVe996bRY2O zx69{%zCn7)K-KG)_xrIGxoy@*DK^xvbZk=-La>!C37CpwZ75YxI4C z;ceRY1cjifP#m<`r^<`aFhJrT8}n=#Mcll3_&_fL{Xr4Vgz*jZAnvu1;`D||GdG}3 zFnS!ETnYUkDYjjMIM!zi`&Yf@<}WAuyn<4zIT;Kx1FS6-08-AXo+V=lE9J8KaEH9TBaIW^jw# zJu|EscSUrz+r?{0iibz`{h~F$EwKyEL(G`|!#g_~J=^{rW+>_`0!Kz$Pr*z6OV^QA zGN>DbxA#s3+(DI)Js#a0e)-Nh|FBA~lKb4Kha9Wto|_~cOHuk8MxyJ5)a$#$I$5eA z90h$F9Nz`vOXUGTvG=3VM_H{Fg!%JWQ8ALBz-s5mUgVcg>zrclLHi8f+RO1hT)HUw zPzbLvF~#`FPcGg#`gD&eS2;11gvTmeRE^+GW$*I72qm4(@bAlf^g|VteJ|UDLy|v= zyym;w4!$o!$*D`_z29(-4(E;CZnQVxxPIU=oZylj$Kjj%4XWGloEsG@dWNW0R=#s@ zWdfn$DOt`yTzoq;Z=Yiu&zcjm8`$o3YquNE`u*FQ-G>c67 z@$Gwy6kgWpPe@Ijr1%J<9V!fj^FX$#*}G-D-7JX*P*(Wa-ubb68q##}QzyN=i2DcK ziX>0)7Rzp(swc))zrPCy-|)2hf`A91l}8g8;GuH8UxSe(m>Fx`67$K!})^*9;gXEVinEen_K&o|#EF{RC8zSuG9Y_x)3_`xRaP?_jEhQ`o;@hTcfkleDU2^bsH5I0x z3a-wSoR{Jg(TAANo6Hq0+|0{I?jwLOLLOj`Qsr2Es{Cpz;6RE~tQ?tirK}N#b>a>1 zyQ9dh6Se;VBN_p&>L_0f_vXXJU~? z-g8b&2l8V_RnNxk#VE585)hG*oy_6h=i8^VRL8Qp|LU&P5aU+Mc-Y+bm>fcVrC(%d zXNcQJTudd~pj{GYeFcys81Xj_fzg`D80Zr}CV3EBeQZTKuAjb3tQN~Wxvq6aNdX!T z#~#gzacU~D<2r2cdCNMV9wV-G9?d)mvzs~)8+6**$<=0t)w2F;GJ_TU-Z@^$%BYM% zNIBn|7`#{v!r1leCA=oil|;P|t*+}tvz_<*d`+FCWqU|689|PfE7>)#zfj4c${DO| zyV)u-NkFX0+GEQYO8&sfcNMSU+<%oW7{{&i9U7|&@ObUk_y())GhmhZG9b#shq6qW zLclf8U)?Tcn`;lfXO)R_cSpiFSB`vaIdj1>5(H0`%#dD`(?P&-HRPJ>j6KilNyWm6 zH+|m%Ww5#QCbEUC-SE}|yutezpPRFXYzftS-$A4}z&A-YTcEBfHK8Kg;*79B)>D6Y z@{qk@hIN)zi)U<50GP`+w$uRD@!3u)u7)sFc(4L9 z8F8&{nmPhd;_w>JE^fY7!Tuod)Wv5@oqjEHK;Fj-mAG+X=j4W9l+f@IT2sB|XR+rw@)WRtA}lMR)lc&8AQwQ|5H-!lpirNm%sB(^3>v^aVo^sMO_mu zKW&&8Xx~tCXLtui!m2a|J%M>++nEtg1SLkL97IW1he}8e<8QIszg3xjcSwYwPqdPi zqF)@uYaF;mF5w{J*dk0V_-BiZ8C`%2g5C^&2>a4|)E2l_^(M#y& z(&DoHgDEE*>Qz~^KcW=%aGr`V<{)(;cJg`PkMN?wsMjf1{r$OZ#sentQ+~)VnB~O%Q=@}#?RofB+i-N?3sp_f?QH_9L$oz*&OHI~%el`t)EM_$rJi%xbV{C-<%(O{f1%(0F_S>sk6u?Xb=_gp zE|5IK45B}2Zke}eeAX2qSvx2HsDq=j^g5xTyQwDFd}PE^{Wo}Qr=?gEhe*a#t5TzNU7a287Kgx9UL7v+%%U9SmM^9H7O1X`7S| z5PuGJRhBUvqWTNY>_yzz7c-{CT|3|L@fSPKiN^?yoHSFTiY{KwdoJGW0FMRwT%Vfi zJw36HA#qZ1#{@LA?5nsng)eSwX~Ps9)T1hP?6?}5Jez?P zq=df6kNfg=nJeerou2KY?(s^)`k<;Tt(i7mVx6}3KOAQW)~S<(nRey~3WTsMcY9xL zidcyd2Eq}NX15e~PL+qZYULn(?~1@pkTa3lRF$GRU-ka(6XUr3W-yde2?ZVAm+>oq zQ>p`SbIDfLjL;xkdwS@+$R2Z<#+pg2OU~H=?&7(7PGM`zkQ}I&rFK;7o<A2wo44;M7q#$-k0C)6NSW%6te!ZT#uKEMX(p+Gzn7EkRZ{bj4Wt<*O(^} zt!OeV;Zs9o(3Yv;v5Cv!Kx5leSHu5$v*Y~14IhG2lfwhcL~zi*P#WjX!*BZue-U1i z?Xp4p4e~n4OiCEs^B@wyQvR`nDld`lK!7+(*1>cT7;hFq`qoVn?R2A7LJ!<0_T3`A z2_nfII2kSBF0cKSQtpIa_iOKdxCU+SKAO4Z0ssQaje<#a-6*c51~L^2;GsCC$LYq{ z&wH&dzML8M@RzE_$$836dpgZ7B*u^Ft@D4_XowOW;@j(0g{vJpGd2lHCRz=FY3Npx z!Sqjd6?x*LKG{EFca}+hUr6msLrsb(LCa6R*aQ*~h&1EIHh3#Ia={3m&3xFj(`Dsn zT2+AwXQziRZ6naln!`mAh^_YTRrb1?!~8agEZAU2T1`B9=^)FdxteRv6 z;*u5~MT-maGDQjZ5C3wis5{Llg$HN9tCTP9vtE_Zf2J3}c3p7h{>*)xTEOK~YyE2f z02w`r$32Y`sK1w@J^9r-Z_8d*J?M!##cM=qVCIy1n(ywsEfYR#xf}gg=GA|kyTY^k ztb#0cZb$!dw5lrR_uq;L&GG)nd0XbtVe!LwqJ7BViu1Uq*`q_Ns@OT{KhDdl{7c9; zYkjPkNnHqL9KUIcU6&xCb99O zW7@A@(v0;Ozu0(~)#q!k(&V}Cx6kEm2nc>_l^OM!x%N>)$eoZoyq`yZ8P-Lxy!|p5 z!5z(VNp5vJ7!wOYbJ)ACYI`jQqUSv!XfUWaDgSxxCRFa$3r#fxK_}ZkUS`WUn-9YS zYb8k(!G3YyE}V)!9Bj4wXF{o;M%tS3jY*bvhGAO#`96Y|XC<`Kt72-gpFTw0T5*r-sB6p*8;`WDb=;q4lKDN`)8_j2YX!MyD_DK zjyKnDqEdZcznb&16b@wk^rg~WUE(3PiCy9+dZ`a*vp*^1#BPzSbxz18L3XvbEJv4V$Bv{EazO08hK3-fm;#$zLDbvBtn8Q3KdQ5Tu&s&! zg*LkHbqG*V6^wR;Ns}*Vbo(LbG}t?Z2=bF1moo*1VmM6su^j6NIn7w|$KOvE47o(f zeXh<|%TC?ZtTdRn$UdCp{sC+i(>p5*9c6rTTYlNLTEe2&{YAygyqC)?EZrmGqRVZc zeXYV+-@*R)@_jYvbmbX@-8ogL9LnW+#V_mhWQ5&|!M-9kE;M{_cTD1RRPx=!^0`%) zCGFrAuY`do?9#SYCgxlrO-kxxPh$C9_FI}}NNlGoKWQJTWxCslm3LO2Um6hZ`1#-B z6%TXr+Ib9vzEZtrZA7=&H2RFy%CGLiT`K0Mzld7)t*@z8sw=@y#;^atGuflcCNVGm z-tnk~Z6@fl15qFBP}a+@q@t7k3x5eGv-Q8_*Zom8AySJ~Vs(X5> zySi(te$%sq1_1$4i3kZkI)Z;9V6I~9;An1ZL&Czw^n;0oM8w(L%7}!Ojg{mFCo>Bx zGwTl)HWEc+2N!cgW0F7mhL$8OB#P1`s>TK+Vn*gp;42A9#+cG5EfOV>O6dC)~&F| z1!4*s3IPFCa+}ho|N=_nMyBkrDt&4dh@ybMM+h4X;D=b zPipSrpRKCu`_Vji$!|t&?F}BUr|X^9lhVI#B!ow?pcs&?FV4Va!8|M6&n(#?7N>QY zhAxRCPl}^L9z;Y{m(n*##>(43KjL+{=buCpgD;rbs&~0$7AX09c}}wO6HJWb=Kw(U z)hi`t&k$)vldjX=@q785_@BVuef}M_Ig(hLwKFecR#qmft-V)F_$UkyN8^C zfw3OwrIZAzn=cw8n!~zL2@i!0MieK;)mrG`L1dP5M_&_-9m*@@#tN9Gg7i7z!qu9D15&LBz* zF%iMsh+5LX>>%f>H{MN!bB_+)yv+9t7Bm26{qN*e+}I=Gp8Bs1vV52dL<@|M4>))~ z_LN)`tLZoTIUERgqrL9T3JIoLMg!(D`NrlCJo-fBhn)&1Esas?Cpmi?Czcii*Guc; z2qt>d4*GAc`>V(6GTb-9_%J6%hh9vUYtnrnbSC2dsq+~)S*oZ{Y}ex+Je!_g10ewE$#G1@^O&Zpp z0XOgGthXcyUecVh22J^OS-ot zsI#@W&$XO4B~hJcwnZpOh*s_fW7Wv0|6`0BLV41ZdB{Ik`lI&Z!>Y|X`)QqhWw zs{k$z+T|&CmT^DZOYgZ74uz&J6q1wc;Zx6)t;QrVdL*UCo1tSlPDj~y_Q4v z?8T^Rj*x>0MlLMVQ)3;j#HpFmKy&%Kj0?*gIW4xT)^FI%9X_%ZSF7V}&yE7%PCLZ~ z44=l`57TSCIPV@Tv$2PsEB40S7+`whS6f8f!-$L1oiw>+G1 z6FB3O!vl{c?c~LojfKJRoBVrg=kL&#oRT5=()T!r>Xx%>NIt0nn}pTc##I!Wi`Ru2 zc6_Brt8u_~Ofhk9%EI53+!X-`T}#d>lN(X|u$={~EOnDG!Di*es(8!8g$hSq3RTW82_Jy^T?grV6-r@F3f zf7aMmd|ml>@}&(T{t9QK;gw*>5@c@ZL?~ySPun@?MqIHWr{enjQW5@TXxSh2+&Up+ z|52!97&=5HtWt*mUm+0+gS`IA3tEifk4ehT5%^ew6NbgXi%{0e)>jdx+7DNmF$z1Sbyz5dCpeqK?mP} zlIeieIgQ{xJJspH`Xog6p+r-%M-K4gQZ)#*nw}%o{44Q6S4PXi*iB)87E<^@g!^#f zq(kyhLlOAJf67;Oi+13_Aj6J)$Nt^w?T_BN#N55<$UffP(VGbX|KKx2X_8PbA@RY) zvzxvY{>EI@3!U?Q-7-Kve~x+@r4Z0B7Z-{b2!aUYfh62b%9%D*8#pqGkMZb-^zh=u zV)u%^BM(RM_Tco7_HT_u?#CTFxz}EScKO2J`Qw{k$H=_M+Wng2%@_dD=kp-4Yj zh{7<_aU{CYruYr>j}G*Ams_nU{o($At`pPsHGHl0o$R_ z_mh%({{j^f59!Gw$ABkMVkQQv!Kic5wQ<~nuq0gKQCOlL93&%yORk;;LL-Qb@t#gB(G zUr$hBJCdUcs1S;vDh#{|H4jE41Lnv_wp|q<-)=7N*Di()%7=eGoiz@=)nAqK(kIgd z8@EKg!SymON_k_$P{#%IMh-B)AG=rc`J9m+zY)?2?< z&LDT97;+g5ykJr!bz-^4VS;MmZ{NC|>FF8B`c{{V=};DR(kth__DRL$k>w3z__!&2 zO*u;Bj3O>J*!w<@R!$CXzMT~#Ipy_R=j46aVn5InuU`>kg*lJ^U z)+EY<(8O)`$3RPj)RME*d=z^k_*s(U$&OE-#zBrg?puaiI4R=%>?V@ zq&~ScdNdlVvwYKUM=V8I)0gACOy1vtc&07M^au%Jc(0RE%m>d|>uCXh52H^vrDp7Z zO@9d_nR;gVm#?e)I0ukcNLGH3_~=@B2Ptd34m{aBqU7J$w%I3D=jzk)bK0W}K4KkbFNEei8m4xOf2*4W{!aH4 z0IXnLi1}zP`2mTma`u=m+%!yEzBmGV^^xh+t;=I`)|JvSYk;H!E?V3MI9|m6nc9YnR4F?6e0T_65Ma@>_%tnWihvBEX9ItnUbgK!A^Ots#kSLG;mCGd?6m zcYbHv+d=zjt?>APHvTvLo`M9U=Jf`RJ6y07!AoL&AJa5o6J@$DWOhasTO#x0@k3^@ zOQok=r3+5XGtqi5NzB%E*|s=XIZ+~IW}UO*Oidc@f;$Pvfz?3tlYuynw$e~&3#*33061QprAD4V3N}lWf zz1~w)4&`npli<;Fuye^owcypWB*%u+gXO%Qu%Jh?GD@%)e_oeJUw%*@tA!%g+^`^Q4=J34n&q-)>bYJ#s1XEmRs#ap=-g8I+uH}~T&^zWta zT(g}8&vVTXcsugDc;wYeeg~@0tMA09Speu`6nlZq{6(laMFp*AJjQ%WF#z;FZ~t=$ zmL(-|dM{170!@DkpnrBQp>?7Qd0Oc)98XGp?nc z2@k1r{&(=P_X+ONpvvgvrDHGE%;p?nO3R*U-Ld!?q*Oq8Pc$26TqDv5;Um`{{3@Ss zDD=ZuY9(P!@?oE7qw+02Cyy&lG4(SKyz_rPY3aTYW#3u!QFA)!>^4N&5)KG5%U>+~ z0-EiKW|m?+7|sVv9}S0$O{rJn5tqFf%2(m$kj^bF3vlB`)h#hF+dr!JQpFX7*HwrM zmOhxuCq4{!g~%bveSH_kc(8K1$}5h1gT*PY(_iG5_0@;@>fD2S(i#USj~3C!od>&F zNr!$W*p!$2A1tGFNhQxG1$WB&!xn!uPicob;s9eDZmHvUYozfB8tz25`$dnnv#odR zug_a3q*%{-%On6PReUDj`s!Fb4y96nT$_)y#nCEs=700~NmKbLTJiZ;d9`2WqlZ&H)Dvaf{snta(y>IDd_ zsNwzSp!U=A`dO?YU~o3`L)Z9BPj z-0W~aBv#T4F^PF8-~2NE{8W5*mF0rd7ocbOgoNvH@`$RO?fO$-4JaT&oCSL4*hqY z_i>4`cF$3=Gx<>U3bHrgxX6J&c}6}N?9BV_!%|0U&7}Q~r$TL3t<4QSA0P{p?S9mB!cz&iGWI zn*Hz_QIFrQbVh$w_BG95P){iD`j`Yfs7U8+Wnm&s;d_g z&MM{aK#8dm_`cSm_4Rr<&bmb=F1yZa|24iQZslW7rOOVirf23<>#FL7!l9p5i8$6P zyIieJowc_EZF7W7Yk%6_Sj+l23(sH|wda*2KN95#8$X~LxL@pYt_MEvmofl?kEx}){))yO_=a0_M7ojZxPUo`Lii#!(_0wW=>fs-NqpSMGhc(J1R@tf~ z|4ML;?aFl%h%rH%|G|0Cu6wWBymmph2o0cc+DiKTlso?5NWENg+`5?NUzzr9KF+*y zI{AI#;M|?Z``l00q`YHaKJi&wj4UpgL@$^{?R7#=-T{byBx$dzK z<%m^n`lD9Qh0NLUir=(xBHs~e+jf`6>)F8jIF&?mt(Sr%egZN5BzM%zrt~3#=jZes z+%V>4fx`yJabyk$t1$g1h=Z3j+gLmNLu|I~eR-w}z7yneDs!9MRc16HLEr`Rz0>f9 zm<1)f_DHNsSPd{0!ODNujL)w-ul#WSSIO{^-23nHp!=r-|CAx&)Dn81d^+&(h+A+| z?RfzYdMVXs@w7=JkfhJ-QB6#@I*0#MAgsm2b!wS%)ouZVKbY8b2YP33z8Nov?o+-8 zkxziv#pBWJ0Y6^t;oS(f5=CR6=?}jr`}&_|VDlAqTiF#?6!siQ_3lGs0i^jZPNCv0 zn67XA;=_W%9Ud_j&0J?y>$AXQ(Qof-GmF6RUJ-qs-;lLF!Kk}@D9C4N2NZKxS%Y5{ zyqg|no={(cfw?f{Z_v)yqOTl2!RU%{1<+h2_5)QM=VUV5?hZ6bZ9V|%q@OWE`54#RL}Eg1K|$3_oD5rM5)5*SQX;(H0IT# zO#U`zME447UJBs$dmD+ZC4kmU_aZO((|-7(pxmrJZnHd_*=p}h5kNvw^GI0ExYoA) zX^=ps`YEodQNZ_CzSBqJ<=W))qu4I|T%tS@+vD`)s`O&Xg1FJQysz-fcj3M4a>I0B z)h!G5#E99j%>?;4+l3(jr%&MOg!zC#Zn9~a0DnY>+p`8LWa6iKwsEIhiaj6Yq1!ND zd=Rlrj^5s~E#)nNQ$Ewjgd(;)+Wak}1KL1rl7!Zwk$(5#Ft#_@B98-)^hSTX>LnI0 z-4K(0<~0$hV3soXj&%zQ*_x>Q<<0W7y^Z@iVX4F30%JJ#i)J(&s_Mh37!{Ftk zJ~$S7KkSTQI4gULmQtPnxv0dhN_Zc(m(c1X&%AJ5*nAnEC!@L`yccUF)4L#twr*_h zR7rFkwy^EE{c)mc5XG%bq46%UzrV`OHvJNSl*PO%(b$|r&%y85 z?!)Lc<65oiy)?#i6y{ZJq1d^_+&%~FN?5gP?3y*}U+?-`SASLVsyx*${bRj*Pe;;c z23z@+zS4&ry}T1JUc>3w4eoO%q-~?aTX06%yGy@4?f-?ZJ5(kE>(xLSYs?{{8$NI_ zY*m=6S84uVFsv=>loV6;Jge-aIWz;CWAIw>xY$Q^*`c$r=~3eSE3@ozvBdf-^~#j0 z=h+H{{a(&>frTwUzT9)Xq*{-c@N(m-=9ZuKh;4bt!@rFN&i1;Fckq?^eXfQ?@v&Of;dr_0Zj=bkMQ8XJS0ArO9HDCnKY*a1^C3peid?<`jW=&y@?6U_o zL1^<>xKLdZbs<=I;r`0PTR$abz)wu_y5OqFheR86Wf7S>n_i@3y;H}abb<8Onf=$o z3aYYd+No&i6Y|qOc$?j{IRx&bOZ8Fs)yt~V!yp@?Owpn;s#=LTw^kY zDDKn+jWT-oo&l9C+E;d`Q4~a%2*fVK`Z+}D4=L0cZ_H@x_WK6x6!Nk((p#p=l-XYC zrl_PcqdCcc(oNA|Veda71}xl3{v%rrEy|F2d@)z2eD&ICY^wSxhsEV&}3fKYItEoCk zeMiUA`Sr`Y-BN>6bt=$SWRI%1DSfeyKP}(NGOeyde>5h9mKVSH+#;OM1l&B%DBd%7$C738$>D&A~V?nkh2*&D;xf^ryHE(LaejN;w zsTuIODoP-<#_d-fi@>Y8sG-p#;;61lJNxZ&+8)0p1bz`8b*R^lN=p2Ko#H?y%=U8~ zVnWS5LeEP=Ic685AGzmT3QgN~b*S2~$`)!y63V(T`C z)_#fpiiE6KtTd$c`I%BFa2*d-xW9RH0f|#R8mbHlbg+Qbbnb~g_=Rh%*J2fgr)(Oj z2^RbBP7wBx%hIRZ1%ixs{Nx>R64jbFdHbuP ziovf)Gia)m@R<3#ZGT!0CJXJ4%Xb{2A^Tm61rEBW5f#~5Rx75A~Rj; zHvRbUglZco8vkXNAV@bEHS-W{DNvgXaOU%Kds#MdFN`;kSy$py4Vs|XB4tP8MLvz| zun9I{(*cAMu*UI{SE_ho6Q;Wr>IclTQxNFiko5eDi6ltg5le5a$6maSQ()DEtq zA&D8yE7CBaOlSZ=-&hIFZErwNef=%9eqH5oJ?U^A<8b}xaNXo^twK%EIKUAaV;qo! z^;D5I^fEAPxWU>jh(k{``QYyHf=UikuxDiAlrvf^RkuV!}|wZz&yBVo+aDdl8top#6p^hfWNb6gZ)i=sd&7lVvD_~nWoloW5k;tqyqyE#ywMQ|S};x3rtr9sdbAmd zJYO5FcU`L;?(E?6bgjf%aZNJ4daNaToxDBYAksD3QqJiBzGJSqrkY+|21lNIik$n3 z)kDXThCK|b4Gjs};xnH-n?u@H0kHmzg65!hvjiD)lRzaf{jI(lLbRXqh+&ox=8#1i zLK;F^7?OxI3$U|LyLiGHLjP3auuzx2%|b~xesP*!=Eqq}pUde%S8I062>jJ`)L9bd z43tGXt9mC})Q%Uc0RE0p1FhGGIm=r*GZ2WLZ$P~Cw zdhtGgK4G|llT{1i7>!l5Yv%}aZ0CR{utkCbR_d>&ciT^9(cv6T(EgmvR^#pmFk~ zb&{ZEw^m&u{B}5j#rpgxBsGf}7~(2BpUO-G@)r}n4_xaw;a8Q@t^aN;%%Faaj7|I+ zuz!DXfbKEN+obPw>T_^f#}!gv=c@aSCz*UyM$cxa+WPMyEZ@c|Cb`rg|0qp9e$S+z zLwmvZV1xtM4u|mq4HSl$j*;4mxpzi^pZ4D&sgf>8M}yJ_3h*3G>HbQaR1|3NYnZf& z+4PstG|M7&@xubbF&2^( zS(atbkBK55PsAhry_Ig!a?Qx!677P#zora+Y~jSS49}HEvHZDL+^rnK`9bu?$5rN^ z7ul=U&#LynU18*!d>OvKK6ivv8f+Pg3o;q4_j4c#GOw30`Lf zsaeGUw)j%h)6)2XXZ}c4IYx%bg*-%>dvqcHZpv--na-El5B{-;U;Czl2omYgj~PW1 z%-m4AxUpmb{E?BV@^ZQ=fSk0C=_OIMKRF%OXw64N?q9oli0UDjHpx0PN}biR`W zF-uxI31eJQos(beHu5!Sd#7knA}Y98Tyk&roO4=kd|nnUFF{IS)#@W>JS#eFpz=~^ zsAz_pMPm|y?h&|Z0zB96s9|C~p^CQcr*gmZUD75600E*K zl^pbL%@u&*k{LYVEEbM{pNZYNCkpnNieIkQ<%BV6* zgTt#@1vojD4uSB;9Gu!%3*-*Udp{!S4Pr;$i!SQ9JC74A8-Xyoqw@VlZ-4Ev zP@Ki?JsH3KrV`k-QA9&i8Ayk`RAHV-WwzD3Qt+68IOOP@?m-nEy$h=Bm%%irC(7|M z_tw{)X}fJI5u@qh_bzqC9%b0KBK6+ROcKu`81jaMfmtge(B#%5NKK3>>Q9e&I7Pa6 z#Cu@6@PSJX%3P`^oMZ8tzj;Wqus$v?ln=^g2*&GFQq6#uC~PA;ZM%qMNMzh)-(w_17r?wkP03ufjc=3cKV|nf%cFd`U#Hx3yrwUz;WwQa}Sc^6_(T!_s z3>6!C_?FEeO*LQ-=i=p}nf?Xrn%a;v?Z3O$o|h-2>&+EOdAT0@&eVXtc3POBXNj*d zb>jvkdDW@roIj2eoj~?EM!Y+$|c9}6`vsT1R11iF3 z!i>vSTkKo)i=0{E5Z3VSJX2h2@cf#&Kf@#d(a1hWnPv%vOcrB_G%GvD)}pq(T9^5+e|;>H@qZ>`t_xFX-*<I*(4OdG=%YOA3EqXZ)&xyp)e4&_3?Wz6iOnYFCJR$8NtkKG(uA6P;0F zzlJ5VTfuKOKNo@iV#I#)|H#JM313e=YcciCvM8yzlBoXl8e^6IC-|RIRIo8AU5_XP zq}))5(ItkesVLO#eNuVDQxsq}|rM0BfDc0FA}tJa6?)u-lV!(pjJop8t#f z(QsN>G|5`FtW+^{KoRLq7}N3Wr;+wW0?K94T6@1V02Ax;Ha1F;E{M9U%|P?rAudxqR%W1}!S;b78}3^Z z#79`3%>bbxP1IvIL?}XA;oLn&c&98F7L6Vtxm@YCJ*U$3PjWi9EKE$k$*8YHrV=o* zToi+S=po;)-9*2-wUdI;U>q0?N?03~?JX~h z?eUl7_NoNAqSwUM*(r+~J@Y|rGb+ucv_GgwAA(Qbo`QKMi=nmF*xgpC)fxR1_4M=& z9AV|Hm|}_iD2Jj-Obs06`eaE7;C{${&p6 z05K}4IC~x55=jg+YBH7!y-RIyI27$syj0w>=mUT_og1PNBVfo{kb-$hgi~n;TDA-Z zhPu*&tyt(6-^B5>NnB6--W=ItzOGX`7q-$nn6|t^rx2%_Tz0$h0bw`e4dvrf8dHDa7CsG{d18{FMZrVdW#U2=`oagRx9{66lVrkbP8dqLZ)OR z*ne1)MwLS&>T7YR!SB)air}bXV)eF+)}XePi~8EKYUhlAl#hW%C?W9ja(1GB`ALGs zlB9kdSEj-hs(bc#$lbF^X9x{2?%#|nc{VpDr{3B`<%INjz@ICPFK}+XJN0RG+->;U zrd8gs1X|rIPbqg1OsokK5A^CYQM8PHo!3Q;JcDuf;k;D$wyTm7WBqueJD;y1bd&0&lacJ>wt}HrM1aBkX5?$j?P3(SF+dklfvXeWc|0> z_9c<7O7X|P-6$J{Rz}?kFIGW`C<%S16yrk{yE}#YZ|D4DzG|`P_i@)Sk-xr}ueU>s zQZDY)^_-c%K^9)w7M)b?%KpSWQXs&9899TC6OJdbL0(NmFR-e0hgchNARW=330~xF zS!?Yih*+6fwPm%EIxr!}WPB6)xF4Px{`Di}y@mdI1tIi7U-^YEER_QiJ$H6ma>59? zz67lwtaSSz|cd=*UL+)$`t!9!Q3to=D{wHZ#2o|BY629z@XyQ z@_)%?!CxFz6%o%LNaQ1>4cEN#FykonbrrS37TcTMbrMA&L_9kP|3|j6){?%7ZA^wu zL*X4CbVp_W^qy?A4x*vbp;g3F3smh&t)bBOfXE!eNQ(bG-fxqZnc}Da4iu*$5+02H zLaAatUBYRJ;vz%Gn#qnyUVj|uM&Jge{(a<;oE=%M{3})2g3rcS+W){vKBCp-NxYZ5 z7Md=+)^ZI4uAs44)Xd}pRonRFertlK1np(9Y9Ciw^w_M-it;j*{riuCjqDl$9H{7Q z=1cJ$<=S-`WT*8X!|i*6LgGV6eo-;_?>K~9_bfb{n>A8d9W&x(ax+FjLC7=Mdr`Rz z7^W^TvCW=(>~n;K>o9zibKr2Z0H!4{t$=9_6a3KG%W4BG;BxW#KpB>VICPvg;aRF zA=kH{8Hv!;_FLh?d`wi_bbNhVoorSny<7W6`M>Nj@bGS2z&cKf?<_1rRVo;DZk!P6 zGfcYthcvAksEsTfK)@m@LJ^Y}ee-ljpF3oaG>wZ!`dxxIn)gq2G|#k^kH0VS2%Sj) zq458976w?PoV=1gfB`*=5MQ8H1f@Uii&XcBi@M%%4DyYN6O^(JhP0Htr77`~%+t|Q z{w?@a6n3l4T}zy7iCYb$$B^GkIg(XrXM8H~m^d}AsyfV$%%DnF>=)c~(dDqLYJe5$ z4~SqR*rPM~Z~uos7a-+8oS=gHttkXi?6N;}G6RlK`*Mt$Hxt~P#X5O-L2NTaa*oJU z&mR~!&YmGm`9!AnJFqEB>J(>O?qZ88C-x@M2Wan}e%vcXuik^|5YC7QGym<`jMx4Z z>zLksVH&~CI?ufR`|^2U#B|2V+~uAaUZS;|OkJC=?VUvQkjWj^NzG>SJ8gx{hIR7E zLY|y~*?YmncPmGnS77pERnZLul?#rE+d9Ths4c0igwU5#je*@AG-o7s)o(oTyBYo_ zMJ*n~O>L^oH(@D%$}wfpRaL?0439pp^^7rDKC3Ktwq)_gw;h{Pw~THq_ONxrh|u8; zYkS(ChB=DfNjmlL`28CL7JAPs=d6J5ft4HCwZV<}6|VgZjX?UE8ka!pij9q6aFvxI z;Zl(vLcj-k0DcAY>K85Vq#R4C#t@*&{gQbQvodkfl!qZs;R{X2A)bUOdxD`z<+T>U~n-)2~Y@U(1X$wEzAM;Yr?$o=gGV=}bS z#j5eV27@6sSj1&P6!wapoKIm|LRo;m>)Oiq!0wx3a=Z2u@V)~N)KF&3f5nVDBvR9%u-ppLesuaD!#cLaMNGfBaqVx?&utWGi97C_a#hwn}l=U2x z;oaQWSiQN7-;8mUCqH)s5e$F@Kd^8vt?RAlsUmM16iE5hxKi)oL4F=9XDcSQUs;g*y8Yv7Y$4OzT%1|k5DW@x4 zz65ODgjw*p+F_hHY6T860z!wazoNvioq|C+3>dX{?vpwIE5WWK;*@{dM0U4Q$Axg* zU&HHq0FV^A+LmM+IUE@%GA!Mi`$&e#}9i}Ieycl=16hlq?I(PDL& z1TgNCZ<==r*FF4&jX+3%9`l3R%5B`&h;oCzrO1WJ*qCfaOav}8h@4ye?(Vt6M1kxD zpiPOhvsmt9yttIer*^)fz`LbaFoqQq`lx12dHJkRbp54OVIo@ZP>Ep9{b2)VUE%-Y z!FuOwXY|$M5+cz)%&I7_B6Wie@0_Z_{x;qPvc(O{v)g>Mc|(}rJ@)7&+dtzKYcx%? zyS|?jjWOtf%*-KyLxzaU{JWan9n9meOkV0P2v~Ny-*J$FENUkBznxa#5~_wq#gzXN zA6g(Q*ei>lx%7DZxA>K%J6yPT4t++J)>Zt* z=1=`atX$&tZ;8Xf7a z0z!PMba?xBde&mLcob?qBiRh^6p}D_GhX(dIbMtS9D?s9=WvgN1o&-DW3ZEYtRW*0 z*S%Js>+78tOOFG8a_feAx+X$Cw&rE;S@ohu{1NM8N+qT+Z~A4?*aQ1;<%CVS2~M37 zOe**$9ub%)A|FI(VstEBZX(hxc{MCKlyv{^*8K4H=4Zl~5pMgMiB`xBcc8>VR)DL= zC*lvsP+~@{iUqa~dCF5P-2Z+4VS2nr1NB)L*B<6Gn@u9+jD=NxPQq_Gw+enwv=!^) z^3;uhQcZart_7JkS3hnPx2uf*Zxz1PzuuZ;$}LgZ4fR<){}mlp>XCB#k8c)y?xScL z0aA!SG0Rj^@_KRfq1p@4YHdeJWrwSQQEv0yIA?~Q5h>UY3;m*+I*A}WiP+;}t_>}q z(wwgC{CoNtc%0tNEKF$n_TRO4D?1cZwN@am{;VkbENG2XW=%BSq5yq!J9Y=`>L+}N z`>1f)kq}m|#A|m93E!tH3+MLK$!{_5or`}nd3wc~$78ZM zE?H;;?>nN6dJRL_Db!3XQ@+KzF7Ie6QH9jACzS!NP#JCf)3K&HGANnv-19{*Gyhr5 ztK%h5D+Zazq|}eaeq>q&N*tO?+SLOUGWpU^{SSYn`5a(z*yPvMEHNOBSklzZ*3o&q zw)|Zwszc1#;UVzUv_iQ_p~oLDo=t9)>H8CaQ^~UC_|mn#BJvTc&SaZ31ooO zoQQBlyQ@ZOsL^H6MPf{FzP40Q9KlH{{|Nb$K{|;|UN6Dnn8CQukl!V$-Aek;bhrKhCoQ@{4Ho*g0Vd)*M#r={x)bBqcbSf9 zG`jCtA`g_zxdg^#V>Gp9I?~^pu-IF2MT7T!QNO$`Q5L$L9-)sOGMa~%!AzGT&Oa6? z{0_+cAfeJXh!;&Lj{^l_CI7B){BbYob(Os?bwE=cVDL@udH~KCHA;{U4s(EC{gyxA zW{C6`w-cjvT!H^Q{4b5M@@q5cogKz!QsJbZG=DH(R7U?Ej4L2Bdr}Mw=Qe2EB1XJL zF%QxX=%{x)Nd63PJP$6bKxf~cBHe+X%t2%zvsZV=VJMh~l~qOp^(`!2d@MTuAwdf3T&krKMU@g=_+p>2)Z_nmsOqU>Jr-Zg)wIR1ONPG82#D-T|$Vx*=^Ibcjt2e;)Qoqs!pEv-aZuz-W|9($;z~(lVU}Im^nj25<+eKUq z`fh@b-IAzhrXm>h^O|Lw27Z~xk~J<|6n%yG4GAsmh18G&D9O?U@;KX4W63g1jyxwQ zQ9Y{ex}?iXyvJ06MN0tQ>_mUhDA? zyP@F9MdAI}jVyKjUJn;VSxv2IUjl%TH&?jOr0uN_g^v8ewbf(u8#uQcGV zR`9O@nuM+vsiVWi`Rp%?d|HT$t%!U-exYK$Lnc!)T_*+}Fnve*mT$KC+lHo4C@>k{ zc*+AI$Np-?b3o~ZF{3`^=x|5Zi5u8b9U~*FT?}Gi)^76j!ClHt%gNBzNLvvU)ZH42 z`)?yY5K*){=`oI(K?WX;5>Nu@D%>M?>b4-x{{qF~A;dbq_CrI&>aGO_@lkleMakmMdX1dAhMbH47lb5-1#w2ke+bY+S zPYN=|Rhti+K6aQ3^9T!2B-4QM1>z=fXif@dy%uQ((}s*24G{?ZC#zk>rTAQfMCGH3 z>)yQ)Hws5ss|@-sZRoL_@d3Qm?{pRS3Um7-xhX5?is3gr@D7(C4EOC6MgaDOvMdPh zPq6;qkmuFOW7-+v)!UyJRK53TA-^ZDZIc4=eW%~P41h}9&a(ry+Jk0uWK0jAUD|Yt z&z5GMi=2uRzgFkrc)>YU8R8#k%eA-%s<7n2W5I7qenVF9O0&~?^gL;>dq{r2icEA-^XH5DKUSF*8zTQAED+Puuiowi@bLQJY8IGf5hL` zUhB`EEhS~Qhx=^){x)>{P4I<^u)ATpr!%#7E#FqWzRS7nPfrtA`hg<%r z2hV`MsGp!1-0tz~!|l}X>KQ?DLL7n3)Z)D8mY7L)lqSj+jyIN-ZQBu8U$It?6}7tg z>WL@wehhP(Ok)pM=|00WIi~ygTv7hz+xQSu1QYi|j#C?oKduG+M?7>W-ceH3x&>1` z4{;@0qv$>l>~w7Vs$GT8%dS$w9Z}+Y_zTs)3m|uXIRtgFT6Jx{e{}}!2yJkzRsX>H z;Ni%hRtw{XImF5naAk`PUP?gvNU^Fx8xpw}I|eN|Fcj{h_MiPp1Q84P{d^FUa;`ep zdx3n028X5kdP)33-P^5E-?GQObHQOT^q(?#=zCFP#l`wL?hCsDjl>9`QkufA|9!4j zkqy&X54pOr*vKZ4S6HS?msV(wKwoS9&H7uh`KVIVVl+(4CUJ0ZCc7SpsDyk3YsjO9 zaU)m|3D>-P)hX-|gYwx>JLefd-1GSbEKVdE$LvhiZAU%h&+V>a4Q1prY7ZGsw9ItN z*WnjcAFFIZsrBi;D;ObIs$>0YH1VixdY`tfaKW9}-l~P7qW@Q&Jbg-LtMX};;_alV zd~>J);h1^opyh2tpN?PA=~S-SJEzj^LwQkqTA-r$l;p&CRMa3=u;bE!F37FW;Bis$ z3pQI`ww;cCP#UvX9c!7!(Lr1HyvLlqqR^X=RzrI!c{ukjJY3ayK;W#=)7ieoGc04j(9+>JDz~dRiuhgOKz?Rjv%Ci9 zy=F?y%pHH*K$#&D8iw8Ql#*H`^nAW4Mt$6Vc8Zwj6X=-E*~yf`I`&~5fdm5Z{yxHm zAG-C1?vtqGZvrGmYA!1qs2>x4kf2?Vumf`Miq8P_ar0I~Kixu_AX z-lNYDI^rujSyYy@=-a=Ks_=pA*t+MWu$A0`JK|}mzX{- z+TFROqRgBR?Opvx(h^1P3Ph{mI$B!u0>GFQZG(3^dEp()-umHZV9gV2OnSAhsOh#I zGyg_Zfsd#+@;!Ip)AR@~jpnh#B-N}j+ygNw@7d!Uo;vmXRS(LwPx^1u$WSjxcHL+i zl!sf?mlcr*C|x0Zzbku!&M+3jrSJXCNi$~M#O^pl%eK}IWJs?-G2HL2`rY^!w=U6K zqcFBS;cSND!(mziMnYL@Oh3%n+)}OC=8>GZjWJGc$?*y}MLW6yU5PQxP6}6l@^wSE z>Hu>^W^B50wb!aXS0OA-Y_Ii98LogUUmCjV)Y6mCw*Q84XiH@qL^>xzD;Mna>0Wv zW*;Jh9yZok0n8XNM+Hp|ETOQlF0}{bNG=Z>%wB+7fXGiC^)JShaj)@4b^r#CXD&4A z`&5;XBsaPP{mFv4G<$%z-@8ffw*dH7Ko{gibSEft-zn3Wz9YC*1w6nMf&34xejjs^waBStOygbrw}rO3CeKr#CmJe$w=_TIb@c*i`K82 zcCShDxQO^U9Zm;Q$CStwSSWGDi%hOUmjK@xM3omAB|owsxZeOiQlVZuLf;UiXFG>P zn`erKXPGajN0U;&6_Mz{Qg%Uqrzc({da@L1NWnLToxVQuAGXjLAsMon#j}QsKKAp7 zgBaB%|4kNmkf?eC6Xk?%jEI-HaKj13tNcd1A6vfBO}T> zoh+oIomrmb>zkJDnU!Zpc_mO_X>o35V_|7+b9IJgiA`{6b?vX|IVeQulS?VW>^$wy z#6(s$DJaa?`zQY=t}#*0h2^t$FU+1Q zx+zSh^w2^VeLeH@Gd=Z=`TBg{Y`t%Ow%%EvpKtB$?CP59nw!Q~T5=k>(zhd@_KVR>h znV*}V?&@f3?I3O)Z8Lp+v)z5&^Y!+6M`v%2HC^c9)4%N9J58V2@`_J(>8SV4_H|5C zHFUJM_H}f2xA)b%=4V>l+Pb<6HJmR%eu2wdz--s-e7!zR4m96BJ=5Jf)6v=0G2cBs zGc()SKGTWmST3Ld%)RI6`(O2GzwoW|ZEZbmZQWfxvvZy8^?FZlXGhO`XGdpWXJ2<~ z2R^fs3*e1sMAXOd=fkF!miJ9B@4nh!P)EIOZl<%nb-s1Fn`*Upx~;3dYaX83);ZTT zquMGDSttlzI(_M$#hK|nZ5^$C{@wGlU2Q$B)15tC(^S3lt*x^i^K(7j?L9Lct#cj9 zNVjTz0s4RR0DG2}Ppy6Zp8LE#z{!>#fZdxuyLM`=<=CxO_wMcKo|~CzZ||C>+Un`( z>Ykq_(Kxg*b+nO#clY#mx6gIAw)b|- z&&+g9w{@s3;V>rx&S*Q_-}{Z)*V@-M+tJtA)z{uZT|jHk%-np>{LFkC^)s{eIpx~u zToIW2%r$$bmzL(|{oFg-sh6H_@9dcC=5Oj(UODD%?Jz4&-V6q*Qpk!yV_?8W(Rln?9`*xSNFWlv#on>zN4+JueaVg z-!?Z}Z=dO%o2OoWer9@px<0Qwn^nR1rq{1<9&P=dakUnT_0G1p&b7|X%(u_>&GxkR z&CJzXTid(3`g%J0+Pmt?t(geUgU(l*4M{U$!e;AyJEmvnXFGaky1VP-K3y}teKan0 zQ;X@N;i=2sxtIlbHE3;}?(6E9o1X6I>6z_oZ)>0Hm~HLo>71kM_O7fRV1ERdC+DGp zJ92E|Q#aMuT5s#55r4LCrn_FBX`Qam&d;>h`)2BW9az4}1=t7Nl@Iy3&-TntclOS7 z(!kx>*4x(FTJP)anCY0Ie`nj**RJM1S`i8K_$HM?-;_Xdf}b>Kc|zNSGw8gb^01mj z&(+aaJ9N0|K6l>w(EaN|2fHj%zne(~mwu;WtVjSzgQ!1AcMFn|G+o3|iu8$=0^Qa$ zPv#fpS!sS&c9FL)Z>8nN(4!7q^^m8(N!SrTX-M+TvI&UOp!xNlLj7cPh}DvmM#MvTE9vwPS+B1acE?W|MBRN=^j9w}Rd>)6JYD`0%3p~tjoQa0 z>yeP9Q5`lqU8sGmq%LIrqJ&z4pEM*fW%_)>mnZ8(tg|OvAf1gei!wn^$#)uL34YQb z+NA9J{?*zhUHu|>`tnxhJJ>T;lrzjz+*>6ePJ__T)&D{VU!JeLa6N&0ybh=}l;0udG{Yr|IL`Hg@f=#nTOh}@>0W8 zb`kzk`2;rtjRa>ciqVfr0fvP=JeIy(q5#Atwgipx%tG%CSG*8Z#%JY)^|4v^<^e9@=4YKOLxNjDIrk)-4oINTQ^e2UL|;*+uu4$|tI} zV)h}GPgFip`2<>l98+a`z~GsNmNGqw5^se137AGoODK@$k`O>x(b{jsU&bdYqFlv+Z~8v)M%29sBw6~7=S(1WHq4ANC({AhaB#Wz^hHU1eTa7%Q%^EGw$Fs^S@4JoG!&=kHx)qyU z6LchL(C!5>N|oy)*IEZz-d2yCzC3%~`gHKM2f21&=jqGymDgyVue|k?*QkDt(yb@m zH-jvV*27xU`E2cL)4sg5)*)P;c-1RGXP5@9FSqwgVV;|pE?l=iu-=Ou%{HraFW(+y z-*b%T-rdLZTkGBjrG6(_<&=5$Ihf4D`h#nZ`D>m$pUv!f|0UKs?0G)F_R-0_ub{h4 z@RJ77@5=t==~f-Wea{!bDoOc?I3$;He%0zrJ{^2{;pb@OZRXp@)o7m7Vc4p>wC6j8 zolKiaKig}ilX8|1^@F2AYrS8gBpko2SCdn2%KmS6NU z119}C!|Q816DejXTQggJn_10u{>X2>Ea2=GyVU*pd<1@E9r~6&?{XBP5+BehRUjJ1- z&%cgN`(*xa*FVdDgSGu+{pXd|_LJq?`EU08%zk$L<#`F)hzj*%ewpxOpG$iHx?+TD5DXoa->E9I4-@ZR4 z)6ZDt&*b~7K>gU|3(3EJ@?T)pKa=n8)}{Vg{n+il z(epF=+x1&%e#ky6<-gkWM_T?+`76y|JNwCfj5pC&biaJR*jHP-96bUBECeNp2p24u zAXaj`Bw{^BM-&po$l^utcY58DUP<%_3V~0hBdCOea`@yNfuy8^%L=TtZMiiPUzH%&t zBI72Au#w$7b^(@#gd;c?NJOF+(Ev%9=}HiV4)&p``~^u>f*4N-r4qs>WW-7&WgaDp zZAR@kQ0#gmaa$c<1sAy_a)L-f^fQo3L0Mhw>Xm7dB#mc?0*}IYi~oFwpqO&26p11$ z{ZWVl)Bi9Lg~~3F;3K36iYb5QS|x~AO>_ins}QFO4jPZAn+b(t8%SV#sA8E13QN)w z#i<0MFq(!f#>Ey&Q3-{q)ALj56r#YxshpeS)F4w)3Vi@o@%RRP0{_tut0lkq`QZbU znWP+Q$5Gl{M%qIt?UzQ{gDLG-M%sfY?QSFOfs}TSk@f&e`=OC`BBlMvNIQYjer%*2 zPia3f(jG-=KQ+=GNohYb(jGx+KR41IPHA@-X%C~cJB_r5QreA1+OsI_14i2Ul=glj z?E*@BpOH39Y40`CoWX&*7t z&ZD&JjI;qt`>>HVNNFE3(uOGQgGSmmO6$HO?`xlaXf5e6(mq9LpEYPZiS~0wT05nE z-bibuv@aNGXHnXJ8fm{lY5!%UJ%iG|Y^0q*X-9u?#H)Q!WD)*a4+G&(_vys+9Y2Px^o=j=qHqxF*X}20_ zkEgWn8flNCwA+oe$5PsPhRh!!nFoxt>nQEnM%uqn+Nnm`O_X-7k#+;6B}UpIO6xb$ zuBWs?BkjYK_M1l9$0%*cNc#|_Z8Oq7NNLY9(r%gUxMaCO@0tAxT>R7f~6O;IF; z*)D&9Tp^xomhvVu;WRU$SZomS7ePdUsXgJ%nv?v|{j`Dhe`yvIh1BV@9z>g@CDv*m zF%etM1lmlKCpphd_>f8RZ4-eabH$Qyd8UN~`j@_W#5HEZHy>m${lG5`k^+z8O*!r{ znHD4+GfVlHS;~DT5Bj!jUUd4uA9?i)rL32P+fC8w3;%gtXbmo}%Z!QmfQh)pMBHm8 zTy7?O!?gO}nN~k&l6>7HSuZyIr+W+&KIT3np&)6&E$N?W<*R|#;PTZ_;894Rg{(XY z{kP3LQ|b`&hysrS$&hLFFKHF^OQOfW2Say*Lxw^E-8CeXg+m6qHA^UlLx!77Nhvum zX(8e5G+;n{F!=eS-us8OJ7|?0C?tsRF#0SeBPMP+?KVH+`~S4(Z~TbYe|zYqe#C<> z`LFB!h=2InCqGq0JY19XTZ3Qx4#ZcY^S@kD2{1ZBl^`qo2-%~sZhVB~XrS1w(t%dl zg9-~Ut5WQDO*1RHm>sv z<;WJPzogB zO&dZNU9k7l{ZFoxlVBko@kY9Z%pu;CdYuxiAjcXe=#t)T!0TE zEV@X<4~I48!7hd36P7_-u%ztCBGHO?w3eD^@yw;d+OqS5yip$9qE^CVD~QvOa0KV3 z)(bzj7mOP$r5Td)RAD7@gzI+WAP#BHo~U|3OTdAIVY44A^o3k6isTPcMk?WCGlAVf zAy^ERApUEOF2_@74L4;Y1ydI+Da(P3gmc9OBWMj%g+#?DqKYM?3Wre4ePV!)}}>0LMShP5-q+F$Nlx<$<4S+3g6-I z%n_A#Bx{Zr%iVG`bIqvRFpml$oS=jUZ6=Ft*IGcMts!iWOM<2F z8wLP2Do41N;^iNADPcKY4dOH;9H|K#P`B{KbhW5D%T=?=4x8T&sN?FWY*6_?o2vHA;cJJq>pfe##W;W4#i zvDP^^bN2Mor3+hX`mzMCZTu;{l3%NBO;=9-lRBj8sB94914WmsQZ|DHUQ0@#J3w#I zzpM3yh~vP6mHu6AtuLgrp3r6{w3`VXWl!@f00CZ*x#aCV*Pg<2fk zl_@2!GmYeRrjESMbdlGYBJw)Z0@vHe28UQc43j%97;fFYKoQ~GX-Zp`f`^mO75`2Z zRA>$3XdbKr2q;1Gi4>A)l_q3rr3sl{X+ox0nviLhCS0p=%> zRZAWp++|3rS3pW)NIJO7kaTdDA?e^QL(;)rc}W)r`X^es8c+%n+E_5S*23V>MH53K zoP?@d7#_WVuVMFv;V}&%h$v-p{5%E;qVfKT{PlowJ!D*u4dw9{t84i8LUxJg#r^CE zD64;>zDK7;cfr?q^n>dQE*_uSK47MfSW~y>Qc=Qr(+ig~E#BV1bpb@CoM#Y-=vs*Z zyA4bXo;Nhg2xu|L7pRKCv7u|bH~~G^PZMMXATVjVTvD22=WlQX~CHB}7 zdu@q*S|UsdjrP?qWyR6qjQ8^uv>Wf=PJXUYp;F1MbCpcjs*(i@Ri~nhLZzzqg<2jT zIzOvE_<(8!@bbxl3+Y$%65?`jIDa{oyWFm5q0j8%6*Jd%v@#+~61&h*<5^O75v4pp zp*lMlg)R7d_FgeHySRU0xxVk5@%sGKzU4hrt7zFhCx@=Q=$#yR_vv%GZK?)hcrQNMb5YR~j? zePQ;RDH0tjpu|&j=Jc1~38GgJ6T}g8R9l-&lPHcCP-4n~R8xQ)uUv^v zUcyI%y!i7&{u259buX$Xs>GhC5_?2*3Q6B9T5AyHwLMx2>QTLGVlzF1yq0HBl;~|< z(cy<9175k&-Ut$d@p@ItN3o}?Hyl%sNQm@xG3gtBqqdL6mE0ouc3Kdskl=SUhYjKI~Ub8EdxBe6= zO2Co$nTzXD zVi1sIFF|0G{+*NJCe_B~$}pSXB?$f1+_JnX%;YqZH6ZR&!jNAJLrxDvo=OnELcc}o z5~xtkR4W{?_GE)_KAo`u7J%R%vjreaVaPH;{0dziX7aKilUIb9ye7!x55i3D2}6!g zTdLb9PauTNvI#Ly!_x_Z_OWf}NWQ5a%5J#Z!fbjR5RJ?4I3O$uonH}#ypkaPYJO*! z$*01Qf3=sBWYT`lj>rJDe+weMK!_8GVsvbLd;dtwz}WU3!z2BZ!$y^AR{S|p*s4@3 z_O4*D_?9P{v9s$%ZlIJcVaStG$jI2mns~YBlL->)o=+hRMp9{{9JzMU5|-!G6w-E< z8bdV(B6?aF@)Uy5U(LzmsVNW7_}CkU^by4G=;wr){3bz8AX#@#47K!6w9q2&&XNA{ zmSN{m_)H==DI?f1K6XAWH*X&vJ->w(bA*X3KhGj6Eagv3_Kyzsj}KZPBI((YxN`{? zaKC=X)?sit`AKg~|Sb$uM}J6zjncS?6oW_1pd6KEJV!gO5!E||{X3CZ^O9bV|h0BO4&{}^h z47r>je)ruIL|hRrW+BLADa>R!4A~zn=Ou&)O^U8cF$4YML%BXpySSP#cwmfs-|aN3 zboPVS5D@j`nHc>xLHw2QQbI(`Wi|O;mKN)oD80Ni(^rI<{sBS6Y=G_3KQeNOCRZ-{ zhh@O8B{2QDC)T%7ZlFbcHw^h+7;<|U^8GO62Vuw$!;m`&;`g1yVJ6@Zu-rolf_Br| zvVa8xYj_x8!Z#BSPdWKkjUEw!c_d*1LO&`DIX((M40&1@az+^Pbb`>IXUTF~n92EJ$VCKs zDwRVMS92QGa`Euw1uYj3^w`@G zD2RANxR^JFA%7f(yg3Z{%P{1x!;t?QhTIv3{DL6#=c(ko!c2Zi5V37=baH5X)P2kU zE5f0pZ)ST0z=m}3V8{$tHXqW z=D;9iIYx}6iNS4&#BC)U6(* z^5M2_Pr{ez156$lhMXLRJU$G00zuFbIaigRNC2qg9z#zd#2JJrt|0Y~w(K08*fBIP zylr@Buw`QSd{4*O5*GH!3gP8J#B0KW zd?*aLE)4ld7;=3WawrVBfgt|Y@h@Q}H-;e}4MRQ_hJ2hLerZ1uX7VY5;08!7^A#K+ zP55+}*T01!UnU6ltS{}Eo4RcOjH|G(5DY!-^#0{VAMPjN5>KWP?SaIqt^KU7(_Q5~ zJ_hsz0{QLzL_$Ej(Xp0o!y`kk(w;;x65Q8MpB^mhsbMBh3qyXLAdqF$(Vl64*a1nnm|E>Rg7_WsBX)#V%-QtR8!c^n|MPlUTU#eG?i71= zc9qaL9C)<&FWKgZF5-&&jPu8P=}BqEg?nxC^$ z)0@hrW3JODjJ^<9XFJvcIPS$d0uOhR?r1OU^~FCC2`$ zI1ohqB)COkhRU>*a$Y9NEJbZ5)oOgs0ZB40mr)dhLjCH!i~E+R=1^`HG+w(Y)mB^v zt}Rd;ye^xaTHL?9cR#iyS;Li-8sPO(g5cp4p4^{5GIk#A4w)F5oTTT0&dPf&!BKhV z^-m1Z$r}EFQct8~qJO*C5wLyd2(1KOGT`pP|B=v^iqN?KQxNgCAmZ&o#5)KPu-Tz7 z(VYN3lj!d=n^>uKDIBQIw?T}8x_d6g-hB=QQFjW@~?^$X-HjuJu zci%A%62$Hs%nF|jupl&r&QC@0)!ML~OTwkpYR|DFGC=J@LfC3v6J^BCL@NfLXb3PF zbwD&x#=?*tVaRjCkO_iVtgneO8D?^k1EQJbVtYB7X`g3DXp&qKL_FUih{j}B3K`ky zobJ7VAZV4eV{dTh0PSTN8sDyQ$W2D^F&9*~$xc)3MGlC@aw-gYaTqd95KPKxg3~`b z;NE#N6N#IR#LY$G>XEqlNZh4_!#z5!#u>^cnQbrgG1F>kH{rz4Fh10uQRid76@j@t z0z+R8vo$(R>MK)3_PU#pM3Z-4is(?U{N!b&#gZUm*>#8rgA z$nF&M>Xb#BdJD}g8>2B^I@h~MpGuR|!bh8VSL29?8;+XZM+3(va#Ju&; zO1h08ma5d4eAmH56XkmZ390?VFq74tN)hfI9UmYBbqVgz5b=W`;)g-RkAjFF z2N6FBB7Pb~{49w0IUxeIdqpe^a)1F5&;XPkEf%x{haombGmw3TKadMzjI=;rM3GvTH0IN z`XsFQi}#J41!(a76vyJMd@4LOzk5%8Nz2YH&fZEH=(_SVUv2QXjWVHkuXFp!XC1dE zM8sil81k|(Y~%w&r=-}uIVvllIZA)VQjPgu z+Ez{;VRme^L*qe7Im80~3OBOm>m3rd{svQM zor-%c`6Q-GdN4YDd^`F^i*v72jiAYT_wH3OU4C;AJ-H-9JduvB_V$k6cG^7D-E~%5 zS9ecOM`wFqS8IEF_DR@-RK4F!s~B(g(?5$C9vGV1*1vstP*feZSFM}fsk+4la?oyFbdC7Hy&6-Vt92_1WqE9G|jRK7>&i*zMtPMDAwI2c!ro#0AeaMD3 zrqGo&6d#DA>FUt!#LS@(!@|$Z16!H=R^eiBMunEJ3R{8x<;>2JofB;E{Ec49|Dh@C z{)_rYb_yH9w|0)w-OXSM$(Vl10+M*yt!~`l8`U z>z6SKjSSlO8X2TDS`0|sEd~S#j$I`(Q~VASpZsvEWr};kw3&`hP7Mh)MYG!8KVE1` zAjD1NNdI}%D2Apc21dpqrkgzsNtH4+I&|?A?TDO6+XmX|OXUCUp;#^yjg77po=E?s0{`-3YTp9h0Z^fZV$RM{ z8Q}n#k~_!7l`3n#MvWj`n#{gqc*oGl@TlldFs@m<9`QV&|9NsK6vH4)Y#jz+G;|sK zUdhnlR6p9-AX8Wiu#dG&QW$Gd2xLMl8u)%e`5(Yac2fRCK8!X0uIt-3h;M>?LY zuJV}R7DBIu0!sOj7o`+3QE@FQXB=mVYs<^;xtMfwI4V8&SC!|+x0Q9^xjpQySTNzR z89evO5{m@N2rtpRxAB3btoa%pc&bH$6|Y93s&bDWFv|huVsp7m&r_-uc z{h=moVK##$7RTD7{SG>`?pX3Z&u+l|+UyvJBXU2Y3LY>D&M!9Y#Xy-RtXRtm=~_COnLT`ttC93>4XcgLk%!*t*rN;$B8?}Nq| zH@mer*(1MdlGAYo_nv4TG2wd{anQ^ngTO~(0o$nfoZR%U?n5f)@FDO9whOCP>C2X| zpI2%h{bpb#aSR|=?w{Vbynku;?hSK+b-Q02K78OYbVi)|_yjLra2)+S$1yYIIBrcj zj^_%9QwMhd_gje5yBr7bD2(ISl)-V_cyk=jsyU9S8{+p8?dfTpt`jqk)#$&z_wWJa zHS>V(d@x_WHqV@2=4|r7wNB6ZdYUb+<2cS|8y;z)d>5T79sKmX(6x?@iLXT7kCK1T z_j;7h%xksJ)DG6X%+=N@mj83^JB)9P3jN=`wfS~9L*xHn#Q!(w8fPvubbcJ+=^rp9 ze0Jea*2-ZFZT=ADe6>V*OdESXHZ7M{X>&T!Qk1x+|1YJ|pGMfP(e*Rv{ADej%Q~IX zzd`ApDNlMQEI~hh9QCVoPS7{=nI1$PpsXrX7Fdx5s86rGlY9wh_#w`8vm?$l4-n_a z8R_tK;9i^3Vg7*Y(3$2Kf-Zy$<U;u1^7>C@z-e>l*yRC z)#7j0;%{@r|F0wcU0S-04|M(#VQ{8H=(y7Jttr1#Qhwh^uSs{oAMJ+PW^G7bBTb}p zJ@U2d{G*iLm(w%G8p7h+=i_YQJ^X<^OCz{OCc=mt_MZ8VIg%&7 z>eSP739mY1>#ye${_>W$izh6K>C*c?aGdaGX8OgSz6Z;jnH+Dw$;#Ve8Tm(TU^X^x?ig|c*UWA0t8BZ<_{6)}s0wvS5x?$g1iyRRO6I;@P&u7j^UpAJ6V ztNJ6Kw)p4fsXu#P=j%Uxe&(}_%(It=brlg3KEo?zJNEUh(s%7V@!VdntcnQLW5(0h z9(+19+9$`^>?{%#tL1b`_-N7MNc%}3|8qt@Sx@l-ecE@ZG^{=$osUzrIMT|}V}+Y} zHA27A^69I7x$>nCW%`-dsjRh=D1vypBA-xAC$wD)-#iv)(2H!ix&S^N~ z$(Wv}#~Z;x2CNL?2~;Xig0opS=5>k{AD_9IXpzsDM^h%pQ(U1hfvlW|vw4kGZ9U&? zi=k_}eai7S@hNZdC5O8R3!6g*9N1}qGpF$xG_ch#DNmOTb)a8^R=-Z;!dA$GEG!Sv zrejy02PGpNA^HCR00960cmbqWYitx%6utu#TFR@upLId36p9o?N*}b`oxM9dW#{3{ zEV9NpDisPQ1%kwqpo9=47!yGuhWH33SV+)6DoUaR6BI~@0Ut3MevpX42P!e~hbbC8 z=gi!h?iSh-Cb@TZ&iU@S=Y8+m>^?SxF}CTKtzW>4)xyZ6lD;NPUzj<=PiMK-q#CyG zdfdyoM6B7;v3cFvjwjm^>pGrp#%1&@D3G)SXuTyNB_&qss%U6H(S6CQEs)oEOL?x9 zb5!A{_3QwIE-Vnbyg#9;W!RP}Os{`+7ZpfuxTR$7y1Hf>1Cn`vfy}y_%H(R==Z=;` ziN2S&MPEK$JOF_hBUh-NZkhdConVJRtMwP1?eJm~VBqqH^lVDyPRcj9$u;31j}3w` zmhD#0t}?j+I5Tbe zh8FCA>?O>Gd4KCG`|)cc48&q`K;qfLObJufg^Nsj>PYq(V_6PA$G||m?y4DK@B+z0 z*dxGw_0sPn5h=Ccu4Wc$fp#us37CHyeu=6|Y7t{y&+)xvY+(%>0r+b--tB@Hn*@XW z63Iq;jBIr0TKxjhJX`Gz?r|*%&o{rBIQ|z6B>M>@dt{*H07hV$)zC5G%U3 z*KrLi8^zdU)(*ek+Ff%V7nQ-FMH$^zD#;6Te){?xvdTkNP}531s|D(@c^wAOV>5b% zS7{)xr~k{nguux#a0AF--OKw~J?U^K@7r9D{?%1-2!qi_Igtj-SOQRkPwvCu#;IVLsv|rsrJm}Jq)svV zeESgu%WQWumg5DLmr5dos(L12KYwHT4veIX4b>dhC*g1!&X&Xc!PSN~RJRfaS{BA8 zYPA?nKWU}%zz?2rR+5(9llJv2uaR z)Zu~-ql{-Yz+dp(+1k#*v2Ey#I3OW(Ib{&ryNUEby-{qWM~EsTZ7Zw3d#b0iZsugt z6{n%>b(kx4C@YVjHZq44>1Q+d4ny;dZRgAu3#MJdn1pv7ZC&Y=eL z!4o9uX`g5Y9lczE0=@=EtGRlgu!D{dQFGxKnR!>0j_`) zX;RXHItvligt&I1rrQA#vRrKLKTiziXW9W}RN9OC`qr1kW) z9-jUfh{3eCa^m}s5GeHs?;24TN8}W?3g$X?WdWLlrHt_nk%~%`(>wo!{s?(n$aNz@ z$ec>$ReI$4>-Z(RbDUAW2l*mAWRm775`BD+dJ!qo-+`eaRuN=xTg4Ndh>`h3hr27d zqh|aSB5q#gBvD^oeE}mVO`(fg*g{dt2(}UCHI+wqB0|QHI}Xp&r4BJfPLpR&wQu+r zDe`DWaf(LLN0o2fgskB(&}j}gwV*E|HA9%))pbkpD+S|053)e@-7K`vCR`9T$r_t{ zt_3$s0XH)4i6$fl!O;99cSUm|{tKW}yS6 zm;OD1iw)`atyocDg(>$aw&ITWna&a*yMFH8(rS3i)7yY^%}U2@ox-8&fM5*&1Wlt= zd!A`8!*j0hRj!hyE`09S1FLbpJUVZ-hhk7gcJ8a4h0ubYs#>PUbu%nwWSjlRq3B?` z{Ww?yi^Co7GlOdQsJ*t(9hlR=Mwm#D#i|u=C(F?^o%rgH1v1^&gND|cnpjTcyuhZ^bE8Nbo7jP zipCBu=7z?2a{7jrc=ULR5_qb{26)0o=1xCP)=_}|nECZ<*XsYsuL=17(GbV~Q8%E= zE=Vt8QD+(-(@VWxD;+f$G$T&%3{=c3Xv>NCON3=HL-XNbDLyT6)q^l&bFO(kPNGqG zoX*(VG`?lse%$SYu9Vo?AZ8#g&=6uPNXg%RdYu2Jy4P2CjlWJR&K0$_wKa9A3C-Jm z&Nr4yx*g9K%M>M5Ra>I5*c}diI4qEG_(p^ex()!GxB_(_p&G~k0vAr%qN6>-UVP`@ zaLC!qru+Mouqk}`Br87gb|HH5ov>o?4FU1fmhN&WO@B|>;-cRVANM~O^VZge`#Q)q zV=ovP7oZ_9TR{!3dzBM}(zUpliV})Z;ihQCiz`vVcK!a)w=}v9eXS99XKSjpA_~}9 zkEKC%R~~gneGZMPlNPZD6rMC?RWnMH6&M#fOpAHTUG+6VAVh)CjjBZd%FPGIGc?jO zGYW)6!(d{hS3jhd9wPdEk{=)v(9d9232HV9jL6)Oe%Sa=YFU{Cghum`J=;3&4CG<; zaSRo$RLd_-S~YFBWiX#1S87=a9KBp{34)M7&>w?uy=D$*Vgao)lJS&D14__OWTi=R zBlkFaE<$vK1{3T%tSP}R-wxuga_LQ;sP%}?`+a;^N)oW!_wIZ$d6i?^*yx%Qp`~5c z)x8L3Yf+LI2|3s|+?`Wk##?}!`fH?O&k)H+qA_RI?;%Fjtuh&AG%Rk24WLyFGj*JI zqB>-(jV;ZYSoRPV43J+xj$U@}y0m8k6s&c&`C29WR=vuZ;;&T%(LaPGZA9v(xmO)K zGrlWvQRe)u42D%*fXB0BcG93mr#IHD>QoV&R#{w8EnccsM@Q$;wlb&MNEuG8H_ecm zbz-N1S#Z7-iEPO3eh^vITp26*uqZrpSHA1~wsrEX6aic?G<&$SYP>mZu>KWwm*OeD zQM3ADLQb* z_=wD&ZbbV?_u|1(?atI?tT(vVgq2d?YOSn@Ymo(?H+bMcnfPPL*^Hkf=rvUsVs!Cj zXyCnKl3s>c@~|d#Vp+%-Jdv0<)ehfksE@{y{%S(jowa^%RFa_Sc0FnpafKTfOjVp({y z)J7S3t2~%=qXK7ppfgTe!C#viwG19voalr*gX~PB)y=4%v`n6Ip;95k+$}yK{u)p5DBdGIVKh3|b3g9nWr%dUw6vOsIes=< zr6%Gfxl~L~-AiPE5Zw3$hyJ9+F{5}_OB4X&H&atGQ@HPePfWMv+#PlZ^iB0CXSbL7 zMGujE#HAQsR@Xh*vVLN6)Wfjkt6EOlxsR$OUJC05?yc#bW>)L!KR%E)Z>QbCC|zJ% z7fw5`kcOydzkAX6sz7}^3GM!vwgsAFH-u`7Fme)!n?3F?EtX}x`v>Biu#wG3fOiyx zwyU*4DyLmKm-cq{DbERZ>mOJ!k0ANHf(||^Y~a0;{UM0Yse^+=2u|6T0BK?x4=bfz zEy!EZt|&WB@-RPd%3&?7KZJulpK0`hF>ucyv$dRhur0xt7_8t5RLw{qG!+~aMx#%R$ASxlmjQ3-I3OA$ppcP?CH+km0l1KPeQnk{m20-+68j)F`{(lYQsmjO0Q)I z=jpfM(}RKBEIyRW$Yy`G&m5VdTG+Cd5-ebi!9PKdg1QNKcP!!Gx2Epyw@=nhwhdUl zWT$|}!b6{gM<{QPy@KW0h`~NX#j)67zfTfCH>=&@R6gmU5(jB$&a0ffrNQKAH~4fs zzU+BqO+82qA2r8^Olz(YFJwL$4_s+34_P$m4CDW*CueUk~5TK4`{YC`Wkq;vSz`j2Nn*Sx!;v=|8BN?IVAt=-3N1G6J2_iNJk4)Xx|%!= zha&9oR^0D#^SC zmHFhJ?LNv_6*6qb8&B2EZ!mSUn%!Gp(A2U7sAOOmNfzR&@DQr*ujG1#HFmkR^EIpJcU`)sKCI+$ z#G+gz@ftUId>KYlD>#tsNTn=S&lh2{e6Iti1Wfn)Ht_W98fVP+Ox=%JwtRn8U<8C8 z>8{FGY)Js?6=(+LYC2d-s$KW&0ni2p`+NAzcp+ceg|k~!KMgwns7SD+10G8n3-y(K zNB(_xYgzW7?Nr>|ta{LpZggVd*76sYe=k*iTgsMd(~2(da}yKGrREN#yLeYU!`a&& zRVNVOLK5;UmM}}tvWlf^%C7J3Qw-?Kz9GtDAZPXuw?J>na!h2qjkj!jbvp;^SX1+- zYJU;cel>6({nh-ya(3!UW#tYbLIS*d;D3YUSN;4#gtx8IBh%tB%MRH`%Vw6ha5rH$tmttEx+rod@};Y!8f?hoeYd z-kB7SR_8YHtpKDe@+JR33h8x$?G}@R=i%mwCJo)(56Mx|W{s{A=a2+5!^NP&t2Q;? zx+@p%OL6ZlqMH|{2|Iv`S$MK8)xgusnDd^k0=w-Z8ac%{t$4k*Vv_v1bxnz3)#vjw$%QZXxoR`3I%+YEhv&c-C$$xY@4|#yIn25v8U3Nr zq{v%CAXD?%yl_hbvf;v6P8p3s@K@V>6_s?P>~pu|`L2|t+jiwyJA<}G(}4827PNM$ z+I0QLyqB>WH1`StZ;MnFe4Z=vlip%iHqpK&4fhHZv}1FXu1_axMG&=WkH*>`>O}Y( z1k1eG>LUF1qxm~qq$UQNwtI8qhUFYzIPz_XmvsnRcZ)o|o>`svaNUONB5(G~lu`RG zlJ0~&@zADk{o|d&E8QerO3r+(uK_I{i*226=UdXr8!^@Uvk&m8QPR5MQA$PwfO5Ob zHw0)2DbUwIW^~J9Q}3rx_=N6(uv6D-!atu7_ARm@k2BF?ItLP{pw-U=H6IOzmbaBX zH6OB~AT>a!vG5V}9Vr#AF7qt#g=^|U6O#~oR=#EVj>d$nn(sTxaQHc^CypS6>9hR3 z;6cUixR1(K_air&<{Q}vb5+lPf)5RRfmH?vwRydlO-HDQzciH#0+A@jo0ej`)z0zq z5beT9%DF8$ND@p|f2 z8mo)_9ZVN+v;=n{AO0^ zSlI-CV_otMAO9zM(z$*cCY_bccD1i5dN(tn=vkGo-FTNLy%_dRe=ab`UVk?4s8TT; zQvS7?U>R&G_Rg_ES`rZV(q2S7%_F%A>QR|bV>t(J0a!~g=Dx4dS1ce3`qD$5Si9U7 ztfF$mRfGJmmn?p}iWQTtVu1IYSNKqS+cZxHeBOk_hI-Li#mW{|NT+l!t%ycpP%O@%X!8~s zoUDUp_)X;4z0+%ahqQf;m;p+@;vNv5qmJj(b#tV>>l$gyu{GW{8JbLWWsBl1espVX ze>&yBA?)bRVAW8FYXXRwYpyxJL9_l4SN^UC;1oRNG=FR1nmlR~FQngmEHtYz@b1U+ zblP}@k@gH^H%iQWs-ZtKUTt4;)n}u?=uiubv}k!uceG4@23Smxr4@XYzx;CK=|1}8 zRON{9RlNZ^rcx^P9q}|9B-&6tEYcq+pw*ekzMid9hUcimuu?Lx@dZ|&3NSQ zJ-tUS@XGs!S~D#u;oJs^Y@QLJ%{k!irB>{Y1z_I*iKOh*_0WW2=Lo)6F?myVL9|vi zU+FYkTA&v6j6I21rX?@io_)ee0;s*Zy)l8KaiMXe*k#fN_oNMILI-?3WZE-ZL57sI@8hcQHjWY0TE2=lE5PdW(6<1O*k@ zK8eeJ5ud}Fx_4NqTF;*=4o|55SndpHeZPc17a7s{_McG*i?9Lg@UmvxT&4|DdH0+^ zhR*Q6kc$*^^0%9KFdk4Tyvlv6A4S$GnDmSLGus=r5zj19c#a^F8xNY7qOsbNHwWTL zhT+fHx60y$wc~8|kojgMi^J-kvYn`;91SaF^7p zo@=rlvWa0%a2y?Ha8^e(IyMK-`XOvyR*zxiw+$U`nrf$d+N>N6t4O$q^)m*|CQy3D znx3YTXqL0C?bd=%?LxLzge%E!!AE?CsE=|r)V--ZBdQyPJ8ORziamftoWx~*l~BX&c3>Hyrwo@ z6#r+|{Smdf?A^!Z^s(~Id+8-Xn#t46i~P_s&uQ)j9)ThyWU}M@qb!F4)I1~U2~ga{ zp72!W7^hj?t;*`_yW?w^dm{^@tEIiQ+vphxNqg^YCx(d~w%Iuar`>~X{x7ZQiq3)-N z+|&-R{qJ5XhiB?NTbF0~)#au!`4|njXA%7@(;q3A?l>22-N|S^%m8Yx&PJI#N5jQy-SfJGM`l{FU!M3zOk4Lo^#X7AJr=D;-=YuqLAJOgUs@eY?-@R+#lbk@(3%Cj zdBD~c+*@B$e`Y*;=ap(xhD?lR2t{?ISm%?O=6vCy8LP({wB=K79v6>@32eEJ76jtW z(}yHVB06`D$37JB>&rTWE?+#2ctuBDcs@Mrp^tY-HEySu2(*XC}aItZ}xr z{a3iFv4$L=r&JPo-=5f?o26ZT4!>Nvt&u+dS}?zG?I+=6bgSLATZg++STeu3QW-(7 zm40qmkZxF0NB}51w1qIv8B}xXck-Sze6>5}>}te)%N`LC0H)6GgRx$7JUeDBX=s$m zfuNQf(eZ%uTr0~Q@<)%L5Y?{{{Fa#G^R%mW9v0X&!D4Z zp~EF&GvtjuFNrmwMjr()$RCEi5ER|VqA-tl|B;ZR7pUkld+kYg9_a;#2x;MuWJZ@3 zc*3pziwbz%^wNrROaW&75DVKbyQE9eXwH2MoVIlz)vKx*DQbiHZGPQEO5kN4fm;>N z3rlA9kjm#}O8A6s;^9$LP_Q^5(-2KtE^K2W1(o>IY?%$Rq$WF3X#DtH$G4Szwn0=SAQ> zqPuim*ZlvR9Fss5T~EQ7=PobLfI-zKK=7Tx`xhpNx39sZAX~(fIXpoNGnVJzLu&cW ziG=iw#+HgV@0w7T)^5h0A3q)G&rj!8U2p#3 zgmJa~q=Rai4^aViH{L}*AFxivs4+=vOQ`7Q4GSp!jtk1ScNVtZ=DborhR5L%=+|v% zs3mKjmra}hkc_`!V^U8iQy!rjrr7ofH??eYxP);V-cyc@9A?w+xmJ9_@Vz-ybE94L z#*=upu4~R>8G40%Q38LIeXVR>TKEY@$ zwMUjd@2!0+af8%6cVpNT%-E~Ce?m_WMQ3%@thdk~<){Og0hest5!THuQiD^{(_WO^_= z2vlAhU25{m_s(CVa5uBypK3$5y@sD&+U@60l^gnay- zb}Tx7OB{utCb2HSki-;ZL}3m&hNSLTvtww@gJ8dhXJ<;_yy>P*08Fn&V$%RWOYv+3ql`HlM{f98Z%)pX_uIN#Eb} z#8PchP-u;$E51P19iJ@kMGRZ;N^oiLG#_83bEI0oN7)^3t$UYb_2stVo@-jN{T>z% zEq)zNL&t$QW8_IrBZUF(9Bn<@rZT|F=*=DZ>rU1`REPI89>TCamOxQlHibRO$#G0+ zeuP+293n-ExJ#2E$;o;QX>Y|9b6|41t`C0;NG`=g>=AlUHrH?^dT$xWF&}_ z;*>ZJxoc1VxA-?02FDS^EyqyMPTkrW80j}r=xKNOFpG}II`jmB>f|5y6ctHx6Jkw~ zapijRLM`Hr{}}rpMpN{MaWB+DxEfHHBK8C@)x>*u-)d;8W)^mjWSeJ`vqMAX2N5J* zM+hT;zpxxQ1bAlHxAk>RwQlZin`qhC*)r0wwzitUD$o0p;& z*EtZ@P%RiArP8Hj!ryM@N3npSI*z3Ys%krHS2bg-H55T5GbB|wI%R|W4M2s7N*=GUa}_mk zz#r3|1B+x`6>4E>wKFSAv>= zRb9y3l6HLl5jL1ib=75<3|{5Ngz1?mYq6~^DUa%Z)wt0|86675cjQC2zPNqMv@cLv zMn^VSjm_%MZt1+0q0uL0g!cs>v^irC{7)@UYfU{#%^$tJ)MzB^ZNse~6P-?y%Jl_m ziv&Y5B`W2f$IaUNI{n=_;)ql~zGm|jzCo5&OSxM0Bq`B>fUqFENJx9T=a@MMxt zIOQC;Gz4f6zU}BdS1=HO2ni&#f_RiL2rictxkHZsMKP^q@b6+peSX9go)lwrFW`?v zB_nhOzOU5j)pS7frh67W_GfMm%wa_Ck_B!)p<;$a>c8~hA1gp+ba4p;BW>`A+7fxX zL&Afg(53Tzr{Tc+r3xB=nx)9^?xcD|i1gy$P}|bCR0mAVeQQuR6c9I55I1BHwzLqo zln}Pg{qmYxj;GNir$C7_;qH~XQC!PaAfEwssS688kvL{UW__n@S?Jg$%mrH>yb=qV zR|*y}3&aB><_UXS@4XXi(NH_fsK#S3JDP|wBIh>F!rq@%jiE2B3k2IcG7+zAvJ;nz z^*$RC%d}Uvp>?Dj+;zru)gH)P)h<79_<_w2EPi0}1A`yv{6GVFQ@i5Sbd?flWK%NI zYfq$T_s#`%{@{w{KYHud0qxeg1;({~4Se0qnWh02>9u;iXW{sww&iZJm<4O%_M@Of#LnUILb3DTJLrvbx)cwvThBo|$f_8iBD}29W zBEt2hU-*t2|E_1Tc)E22J;vEUh)DgfGpwOLT^5^8!9K5KLcM5MBumB_R z=9|v11tP{swgfy6v!BkF&R@n7Yw~C1_dJY5lRr~Ht4XYhTsd}V5Sr|_t;=yE>=L^Q zQk~5SmBE&e%3!;8aj5f(55h(DD8aHesc@y|wfbOtNfQT3G=4MaNMt`bTlKMmoz_y( zZfm<_pM6q_|5nqBVgu_)_qm#-9;Y+9T_>}cLnrfZEL&LMjw=0kaKD30bQco4OxBWJ zHdmQG`!A|xtWG%Z5|y3PrrXeA<*md@ldAlX0@#0btM(rIC;`$fTtj^FY;ERcNbOZf z?OjOi1xV@J|7Njaj;Bx<-k$lA>M6ltZ~n{xd+Ws^0Crtyy+Ka{8c5XYbHS|Y3JAe# zm`Y}3QpikYVK|(79GurM3DwQFPy=M1Lhlh%Ik%os2r+J*T4boSBw`rCPepF|Ix;Uy z{rdwQe2v)mP`oJ1p!%P8bkCY8d@$#TboLXMAvNA@ugl={As z&6><*ePCD=Ion6_t>@<~^l)RmV93^ge-mNp=Lp=@0yspBVeTJYtYDA;iEeQ$;E@o# zDMHM2PV#6I&od*V(sPaURN%0ZlQHnpg9dd?4B*fea~*{Aa<4+|Da7E>_hT)72D1XK zUXWzqG*ff9#&CgB9G3a~jv}k$Zf~2S5RC10>1( zR~M1`yDL$L84|{9hngZkH%e)i=!>QgX^Q&I?DfYo8ul$GEIsu<9SY9i zg%>a@C2HP!`Dt-~Y&L_5bbCZ?KJ=*C6W=GL1TS+17OIzc6gEiOP?{0&=N#X6lu!!k zSxrFwHL22OvuSTl@%aOE>6sO@%*Q1) z8JStyk>qI2JW?w^HXh4+91T!keZ~f@kuI%MLQEc`G5j5J;mk& z*0`(xHdSFZJHRs_bnKD1#=x=NNo*b%CwZP)3$kQWAK>hNAztUD+!`B{ixqZReO z9p_s>BY&QfsRNZ#V3IKyu_58BmJ(E@kK?E=_KgRzyH(q>m(8&Qp)4HX_EorTC6$B1 zd4ZAWJIEFwZ~b6jtZ6g>yGeff`-UcK7|K{Y{Kr%#3V%8mLoidEIhd`$0>-AnAP4$| z@f4!u1f{rU@&jL)B|EB!uIZO*_8tNHzPjuRne7h z>^SGd8XrcLh~x;;8gQoQvtlWHx7A@4uB0-hnVRjXsi~x8ZgObTRhAz>#O#sE+~itf z<$(W-+s7e)_hz}eNVvrVsw6)Jv-SnI=$%ye&F>(f#&a*fk`#vfFcs2bHDD=K4TumL z0!8bcct}H6@9Yx-rF=HA@CQbu5g5ESVg6(OFm!tQ=(WUnLd164CLhTPPtP-Wv46@glcfP%M;W0!j#8bd2vO)_lhsKqOmwSb!oou8Qdgm;Md^Spj7VZe_`(A5`}L z5uknqi&?b&b)egsAJD|==YJ;xQyVNf{u z0x_0~7bXr2SF=5S@PM(Z+>kMA82E&ZTO1NsV$ak~xFdZB&YF<1E$g~A`(dt=-hCh0 zhWWWx=uOrepbJ6p2p3CRge&k3p^hr^|53{of_2#DFc;4Z;YLiWzgN#b5oWBbBJapJ+W#nwrFA6__s%V$(TddEXIoto>oDQtRQdk!s>8hD~ z*(EZX5vO2lc_DdxXkp2_E<869GY2=U0urC?_*u&1^F?wL@=iep6Rh_xcd(+5KZqrI5v~@-daB(1w}J)RFejjda86 zi~VS(iqHic~UaR{IjrTn~!wQX0tqY-z1)kNqlqSa^5vsY*0kKc`2<_7U&|BSnRm9_pRDU-|YUWD?aYx^sf@gfwIt4&Y&*A9m1- zv)vPp3Rp!zPXJk;UhEzd^9FtAqr%W>m$iD&55+<3*2~DPNsW|O4UP051$WGtbTUXr zOaSV%-SJbwYz&J3qjt6^-$3t`_F@IYiK`Hp?N{q)B(NkBJCP|MUIH3k^k2$*y8rb_ zD7Hvsoou5)f}~AUQ%BZbVV68U(7U&VL96KYJ}R z9qi&-z|!dX*_^kf2!grJeNmgY^%vs-C8gbX=AZ32ILEd>--za)Q#WW}Y+L@^1M1&S znPBu9eI10-rMvblO1Er;6mFTw$XwHrP&lTdU@@#j!vl!HNVjf+P;Q<0KZ#*1FpjMl zPz-C~zYf^2?q~>bDQsomi#r2vkK_~kilbxul+CFFmgq`h>Hz)y_)uJh?@l zx-?sjVpD?$PHAXZX)7OI4F~4Bxf1mL3B=EHrR>W#&OQqRLaGs7NP=RpiZJQ*kn>=9 zM&y@X)1Z+yg2ITs4er9!d9Y1x3-!Hgzd0z^m@bu8Pq&u-16XxwtHY?e6P7V(8jJFAIwY(>Xyp4oT2#n!3eL9^ba!`{Uvw|D895*>6h?t3{HH z7iMZ4SBUeu+_o{5^h4~q{;4)NTfIbErl1sihR%(TCm!;Fk*8R6p6ZCnTYGP#;Ft>{ zMz2k~fme$Gs&q%{zL+W8Vy>3qcPWL9va@TBCm5z+Huxp9eJv%!&bW$n})tumxnW=O&MVT+cTdWmxfps`>O6@zY6P~%bkt~*x`c#x;U zIu`J+pb`Xt)wSxxo|rn%VCQK2Xyv4g#ax^-cxl0>>}_FZ@c0^dG2LU*aAUctHF_9+0W4MBsvbLryn>yoWuN6ghHUDTFk$^>ah&kR zZomaq&_Kl)N9IRjzg|j^6A@4Fyj-Myv7XQz8@^uRxz? zah_vBbjg5L%G))_kSBfRcdp-S3p6ZQzlniKeACO&)yLv>m5sDy%XjUyOZ`WlvY>EQ z%qiToY|D19ZRRb|Up_$IJ$2=2swY;^_N{8@2i0{ALmPUA|EPjs2x?)Q!PqzD(zjj! z;U4X?bkKXinek)#KV9XQIGlLh+cTXL)xU-5BCkx)Ipd8;vuez{%kbM)usyOl7OeE6 zC9!81@HF*Wxm=?C3EIy$9U%~>A!?&+|KnDAz9v%qkc$v58f$;R7p9EySXR*l(Lt=7 zCY@d$r~U|v12O&VvYcn%tD#IMU$uOMEcFkxl!*vy4w>cUQLL?`MvMlD#!4;Oe?~J- zuhFq7jHZFny*lx0Qej@YCfHU9GiBjS@SM^rq17jK?pj6-7shXR8r79>rd-Vi34+50 z&1lWu5U=0>oKIvV$|o`!^BoP_)^?R>dfSX}so0D`KtR-{Nlu+)`VtDq_NCXXn3JOr z+AXt$(k-*%2Q@!v_(2Qh&lWVuc+*96>i#x)hv({e&X)Xv%cg0Q>pH%}eRJ6Pq4i>B z>c9noHD&{Pi|ZOk`vl>kXsRxwjIN0@rUWY`Io+X`8(~$LTd`ve88W#4xoqn+{LrJ= zg;p(YcXrXHJMq!Nq9lf_|LDJUM2h*RX3W)rrLhCEs@SEr77Eg!7z}{fWqr!#X^8jJ zWE2<}ow<}`yuYc{cW|X6eWm4snjF^R$=oE#nx(49*=S&W7hWQnx>Wg$>DDRz4O?^|Sr$1dvMGQbRXImt4BS}5B+%HRNtc3}Zh6qF z*jx82;?F$9wyeP@Vl?5SxT8V!)r2oOHeB67A!-MLmoqFxsV3hh!J0O#1G+Q?l(=er)Vxc*L{Rk-0Q55Gv zC@Zc%r(sAvP`E|kyfS)|JjoaOcC(c@(KaLM;lN+UYXb1A(aluC zD?+R)Jf9F_%pjXI`iShEmGvSj>VPvkwiN(_W3Szv8ITdDlV)^n$d7bwQG5Chtnly& z9S9z&o6=hYhu3mkQr9*SQ&Eh^>_1&%j;Q6|Y^v}(GHsafQh;gxU&2-CI#}7X@$rAD zmYJ(%W2j4jb^caYz)vn#NxN>?mH$r0ok80a+@bQgVjf7Vj9WAlOpI3ePS*8&vq8X{ z7g`qJ6L59*`lyrFi?Sk%yt=uq#(DBT>`h!XVZzRDg+mQLzT`u+?1TEMctqUoI496L%8!5X~i< znQ-X7c{FGJ&)9yQG)|Y3D81REvSUfZ*U>Lqc9YU!#s@LF9_Lh{_yp@4Yymb%p0VcDroufy)>aOrEp}I8 zm6iBmA-LVNv+-bSs|x!D=j_Vug{eQWu?FDr7hpB#Cf@n5|cCzV@@fljzXK68%)us#&;TJhSF$apF zZQo+-FuZ~yVM1H?mJ>%l3WCmt#5>xOuI=f8u1)%9+-Uv`mukJAG(Lq(%BJOsu1zy^ zy0OoO@*W!%iy{ND-m+@V8fNwys!$ z4Ed7AajJ=(1^e0g6c>I{VfxfpE&u7E3Zp^fVEZ{c5pOM;@HHadqHL{VkrGdnaEhDl z!`~B=nZ2~~sYzQjpLM?5z}S#G;P)x3)N4rhZFPkpUti}{+PTt-VFDH(+*wS$=ch38I~#=>xuw z050&8gKcgL;n!v=I5>};vrig5ZPhSx(qd*<*N)*`(!d92qZf12AZQnZL*K6AB>5}c zxxwJlxpB?Xd1JC#{H>%1SXuO?xHZZRb-jq`&KaSfBVJ%bkt_N2qS^4vl@i1dW%n8- ze_*ad$X6N0Mx3%IA*%Gr!Y^Bw!jH#E&txy1Z0+>%;<2Vu3jj@AagMM$B(nG|95nrO z7W6hm#uTZVqggsLSYD?la5RKSL7S}LPOoAtWlDf6(>v-`G-V3uANsG^FpIWj)afbW zDDdtp>#rao1m;IQ&OepGs93ZWgJh+gj$)rJ1JB`hPt>Rv1@K7#S~wp& z)EM+B=@xjblBs^(INZErb0xM-(LG?-R5V%E+l-oj!xc<#dK&TXrirq3p#|X~xM-I( zAH;#P_+A;4xTI6gQ0?yhS|ip3m%?wL$AA@}y5NLhP{L5j;0T#3iZ*I&Kea#8ybS9| z;FQVybAiJ}fzt$fS1x8N)7j0>+hK8j+tUy0?ej?X5=%06ewC+v3k>0wC=lufxG3=M zZebA(o2MtD3aCN#X~ykQ}s686kqVt=K!3fis$q484Se(2^QntrW@S~u1 z3Bh~N@IWK$rbosxmRFd6Yu&cQ8+w9@81bqKMioh26tc`L@tUMbxRo`yjdD4HEfV{_ zJbIZWX|BY&wDb_4nHwb5ol|^-fE_z|Ow6>gomZ?i%o21UA34or6{}wL z&4Zp*vk({Txc~k7SGzZJx?oFkG2ZpO*7giFX2HFBk+ynCpPlf0q4Tg7Zs9eG%mk z(IzivLyYPA^bg`)1a4vELSM#m!VVFEs;>&00M$(~632u->I~2teSD_PIWU)zW}qmm4tk8yML(g1ETz@#_g^@9CAD_0njTi zZ?4<3vJkyaf z8Jgf6D3)RPp8M!w+d!8~F|u`S$+PK6Fkbl!X?ucC@%0N+DDAoajHD?4~w(t<=?d zL@xAW7*!qPR|XsS>;79DB~%mAtk$fta;2#H_tak#6x2(dAtWinS9wK$BREJ=JDoQ$ z6CkrV0gQ^Ao?JxQo&d4_P@_yi+SW)UBIKY}O`=g$;B+(#)HhM!R6m&zoi1)14&?eEi` zsyffn;$`P7;43(A#i5=k=%c0E^#wMrB&aQ=CV~rTeQ7JGH$wE2LWK2uwN?G54?r+Z z3`xSCnl*EjPM9DF?>&!z7BB&Uz9vFTUxLR^{)S|O@;41&Mn4_I54(il7J$k38ATh@ zp-8=Np_XeMoz6>NT10%t%*<%V`3dp!lc+=h_iWDES99%E77i$qvw~6%wl^3Qg}h5Cl>MKYH8ve&+&oMKD#eWEQ-+qIaK!#(Mqe`2!B)xy7Q7~h)+@E9h5Q0sy z#$w2Xw^9zl2`?3t>B1{wOVNbyQCTnMH;J7~_?X~V1e~scWmOOYg?;(l!=OJ8_1d6^ zKmy3JTM{s#sAu(#t~Vca14C{dA*$1*dVCzd<|nB>BWOxc;aKd#$|SvQ%->% zQDOl8kLU-dl&K5EN?5?zxu0*vQmAndw`1i9T5FTVLrrL2{fY*c>;6Ihs7ilLwb} zo%{>cg|{K6;smIgLN(O6-V+X*LZ-$j^#E1Kyx%sTws=4*ie9O9nJ*uw?YbQ%968_F z^9Vibu~A@?0PrZWwp)^Rju<+cF8*&eeSxQY_j$k^s1MwpI_*Q`2lnE(r1&-s{Izvr zG#G4xZcp*yA?)J=5F(q1>FXTp>967-xM%9eJk{A=H)>cC4&R2modPa8q`5KlcJi#F z97+4tKfAJXer)efG9zzgXUy-~S|9@>ABq_3p%}@xpRg%%vqc;3rp{GO=k@HM*!weJHf)`G1h%}%f5cD`%6Q8wWKnWr zQS;*M2#O8bx}Y#kR+;;XAC)L`a-Rc`+6;h8qy!Bz?c0u-CW~s+*-D=kyc3517-3x8ci!8y{XbV>kHq&>~r#4Y)6Tg|M|GNOxsYObHxM(n7 z6KP^uezLSf`;s8e|2u)j-fW-RB-2T!;`~P3z*D|%L#WA5M+fM=nVKaTxjiS`rwub`VwH69(l$ zk|jSp&}jR&J@2whNsQ*BDlxdFxz=`GwRn~3vI^$vp*zTle@&K!)Vv z{hiGiD7|Cb+D?xI+N_qt(~E*tDtyA_i9GP<#f8%@01Xqa@#Rlx2tmxf9vOMxL$0M5 zxz{zwGZ5`IdtV4o9;>i$uP2i!8dKxM-%~zJ;a|MlQ*TT|Qx5$+viVHAY+eBbwaE8Y z&#!P}bRV$|;y5W_%>vs(66>cYe~_W9ac`{N?hjdFT+?oo2yju1!Qr0o_vCu=cp#40 zLk?%h(8<&jjqRY5j@%c011~E#o-K{COvP`*1iqP`NrLFG?* zQ4aO%zG;&WuTEdiG&2?Rv#d|{nAclh0j17B(A_rB#^3*+nHHX{A8M>fZa%!Xcw3_G+ti8xW8n6gW*nk zlOT>7lT%V0x;r8abfUwXS48mFD*gQMhu<}ULz}6_sVCoskF%K{tfAk|O3So=mtAcq z9KN#bxNw;t2AGd$dwXe`oo4k^cL4n_#+7VLf$-( z7f2J%LrV<|gcofu>+CS)$pRgTXMPN$eZEXF|KU_rPde5G-j&w0*MCKXsCXBfSYW8R zJe_>TzzTDyYaj|wzTBCj_{bsLcwi_E5QY6F*GdwPKjit>7#AlEftoJQ2|}*HVdF`Z z(rIRq0g(l}TuygA{el9oTdmI)yW__RCiT@;IYw_m<+tNL5~VCl_BK|`I_KrV+315F zAi2I`$%`w?eG63QPOq@=yQ@5uvTN812B-n{>O*R=6_F~lZ}DBy@gD&lCKe;${BKjz z5gN*2p*LrEGP*=vk#0zS5+^OD1Ai}!qEFNKB7F88EXBSC)@T|?29zbwr!bX0HN%`lCa0v+#_8Bn^!5l8g0&C&6fj5F^}EEW&`R%-s{kuIX&h$2FSlaeid8nZ(RN&LcG?4Ust6(f@iau_J! zbQ%jp(gu9)&K5oPM6wS&Qb};|a+!$xbr2fAyn>M=FbVLg%sj>Rem#Hy{#I27vM};X zV33K$Ki1)Me$@kG!}a8(qh`hEF=?vEUg}lpno^7R9Ugi4Ex(#cpm5bbo+T2%z-E+P zU+nj!`SPgU!t3l7d}_BgSZv_bYB#dKB7~rXFKS|5ZFOm5b4QhRZ?COYZ#R3Gdbc;s zac(U9KLKtsk-0v86q>vF! zIdtsCH|5T%opZ-FvC7GL;@m<V!i;^)k6zi^!3cnPW3c8W*f78(~Z8_=|*Q`cDA** zv#V>SYo?A*T;&3)`eAe+hndFo!c0TT2goMBt#zi}-B<6OnwshAYn|!t?&;}k^wirr z+h=<^diq+yrw7Pq6Y;nFL;(P@X3*JL@9CK8Zfm6qY_GR=bai!7ZPur!yBfW{on|Lf zLk74Jn!f6Q_>bs*^=BKMQ?oO(^{$S#)((=^(KglBH{IRWJ=1gy$_jS~%8amor`#L(i+xr?_vs0~YZC%}k8qQ}RKTGJ%V7hC1w$Z4Q z1I@PAr@C9GIy$>LX1nWCQ`4R8Q=Pak$^~SAo8TGxC}N}TSH5+&t*xi6t-GsddZx3z z(dg;z?C6>8?C9+4?CWmrz&EOL0kZM1mIfXErsCw{(!u)D{%idibu`*$raIeOXItyt zRI9!9wyyTBS$Jw&=SYZ(E zo$i>O>FI9ond)er=}<;`kJcBU=S2@Nx43jx?d$j4SGosa_oi=Ao>gl(e!JDZdwaTP zrl#84yXsV1Jsn-$vvpFfle($)zSfTE8B!t_&;y8>Q~KdcZ{u&Yb@lai%(iv3k%M>l z^mez;bhozmcFayqb=BKC%%NDd9i9OAjoR1R*EZeJ*V)zA-a%bJYtPioY|re}Y#a46 z(~TLcYoldOU3Z|qxHvoOm)_Yv)7m@R-q|tJ(b>~B+tc1TJJa3PsJFLK$3E3Jo3jdC zz$GA^Cp>=XUDG|?J=D>XgU?I}3(ZdV_I5X@7V2H?Qw6i@o}GHM`V^_RdA4=W%yzW3 z_4PJ7XWM3`8|_oQGqcpo&ra26>y6ohXJdTR>sL4rZe47BWAAi(>rCs+)NK27-*iuF z-_%T_wY9ygtFNb{uf40G+?r&H0OvvHt4+J5nK01XGU%vJ&rWyrOm%lR$bGt|di!Wx z>ZTUcN5fN>y>l@$@Rp#pwcgj&F;lO1^z=;kwYRm;bWFE)^mNY9b$eH_9$+5Svhq+N zod{O-shjF+ZM1dv%+5^rO?5XKQ?2#J^z2l7qi?Fw*MW7^T!4LYKIE4^-7{V9?49bQ zfxEMifQUI< zf`X($E8iFHBU-`o88)7Jc4dgkk5x=HS-;4kmf$B1NlczT-|(f$a^iO1muFEYlvB#B7kH5-`@YXw+oY>s z%=i$?>jR3bPU6zAd9xXr;?^($a~edSz9`dBn^jtBn944~Un-yAhJv<% zzMyI=l}}VYAuLd}hpLZ+BP7Bbr8S$M&i5#lsAr6SGVQi)6i-N_q?iU&l~&nB_m|2istM;(o?Ew>&G-&hIr_`t>5>BAAmPQ(uNCO(L-r+zkn{xB%#dYF*`dx*!@O(P9 z4%HI;q#?wdiv7rb?ei`*B<1W zpIxRe&6i)ZX}#m3=Gd(ri7fH=obezBcVkTkkT2^AoRVCg=>)p!MbU zekm+->+*%`_BPKw?8dN*^y|kI)(xPY&*x>me`Qt67k-Xb-e$i2Sk0zMyF9^Kc9~|M zGsraDix=-@q&4eihwAf}b>qenPf~bFF0vx0NqIRFcXQ@yrKz zdi?Wc0&C~9m)+;rn?e<5XEc@lILO%ljEIq_;Pe0b{ zf2H;d@rUf2wa-7N+N>q`NkfAA&C+L^YQGu(#~?PrPa1^$Ouq}P{*|R423vxkG#LCL z|0=GZqI@Cyh0-(qLivZ%KY(H-_(_8(UuM7IfPF&pkInSVe(!`?lhn2%j{48)?~*NP z->m%h`mfS?esXNuC-Z-M`Lp!bi8f=lpR9kp+S-1ybi4emo}SsyUjF#>;(M(5{;T*d zYd(FY`8UVE(*7mhKF#U3apYfTZC{ywue7$WEWNq%huUAfe0WZ%DN!e8{bcgr6sRA&ej)ugPWo?J z%b)4@)(u(ytbXkF-|Xp`{q5yjX?n;$E0w?2{6|~=Q2r}TUqAcFy=UK`Pltc{ezAwS zc4gWbVzE6zT(}^eOAy4Wsh35p$LfdzL5wV3g!op2jwon)HKigb)$#3R1Vt#Y;KP*& z3Q;IaSg``)IZ~z+ti`ijSPvv7O(O7*^$?WmkCE3IfsHIsX`(nOmetTh%vm^VYcBYA zARH2o;H>lr%iyvo7FJym_ygFAfd2}Cpa=z)H6d_WRJIpOH6rv7+NNl6QZiW6je;mB z7ACBQ>fv16h(a^P7o~+#WZVQ1HnLmBUcl0ja0KT9iy(RtO|XQ8t^`r&U{6f-FKDU= zVmu*~B7{xIh?Qu{GD>8-8nxd%AXWh1o2vmj^NTN#2G?@CgWU_ zP{_6k0^37n%QBEzlIAE*B@l(tG;A@>wvdY=6sAtkOSMyof(&Qj+$?7Vg^FArv@NUK z|NIsGOVEeV^&Ll+DKSYU)aaYq$##QwKhcgeX!jBAc!PE?(SFUK-9xko7_?sz?e3rF z^L-%c@=Jqu0?~eD&>ldvdkosI5$#@sc0AGUGib*V?S6x{ooGi4+BTxq44vs?%gN^q zTszTjGHA~r+Q$sqGl}+(2JKly`=~*CHqj0nw1j9k8nk|*eb%6L5bZMtt&?bu{;(xCMc?GpyAk7yq^Xip>BKN+;A6RqE{>5b$E7Z|jU5bY}l@43YL zRfG0qqJ7PvolUg=G-ziL?Ph~^2GMRcXip^CHx1h9MEkZudmPa&GIahR={#uAK18%3 zgZ2+Z8!%`eCfW%G?UzJ5$)Nq1Xb&`KcN6VF2JJ4QJ=mcAf@miiv^$CR5QBCH(H?5h zeonN98ML1f?coOPr$l>%LHh~OZa3_A8rko=2JO*A`@TVYB+-6o&`u%Rj}6*MMEj{h zdpOa4ZqOb|v^x#jiA39J==^u2^Qb|4H_?U-+WU$28wTx5M0<`wdmqs*HfZl9+K54W z57EX9+PjE$p+Wn5qU|zh?TPDv?>r7+v& zue~e8C1x&fFbQXxgkrWq#9ssv1)+Arn=~hREq(c;Mv_%oL?-kJ!Q7CEf54GP(Cu!X zKvSzc;eaXQMia5!B+!Pfe75~2;X@|Nw@d^TIj;vkZ31FyJ@K#i@&x){=y}4mCSlPO z`kEl3phv-kh2n<^G=a+(uE0{LmjZ(Ri(0<41x>?*f*u8yLbe4&LDMtL!WF#m6{hNM zH(3g~Y!t%xOx5ZCPvonCRxR^&J7pq1Xd=!!!C?8w-G9)LxD6r@R{NGvxCA6@HQqVNKX+u1y)D?R@ z-4Wz+DF`hP9x2q)6e}PKgu+9U0-`X!6dtJ-JozM3k9R`|Nmds78a=(p748kG_bWs} zk98#IntsTfC_Wk{(-AK>5rxVwwCvwE4Ro4GC_JVvRFwD^D7qdWPkmk3HI*k$1)FYs z1bdKMQ?`d2LAUxUBq~Oc682zU2YZ4a4kcu80|INp{Ne(&hX|cjNK}j>Di`)3BqsRb zpb%jhVFWuJgeRPXxL99Jc{CMSH>pRsw3`QUSabG7*#mXL^)iqS7H*4$J*ZPjZoc+6 zk4-mv4PtC1H-G*gU2;l@JJZLLfY^T-&nr#hX zdt4sOgFH7*+#vk7YLp(lRIr##0F4a-lU@d)Mt2ex=d~p`|GlHSpL9f)m zxApmmGUat9QeI~g<#i@dUYqi|4f#FB zb+2*Vr>^lqnf{rXgJcLUH@*ueNSZA&TBdqN%hb#QL1Ix7XPca9AXv4R+mckLK%-9OJ7rIfb)G(Jmr$3xId#QzZm z1+8Hm%|mA(g60z`Br8=)$cmK`vT~(_tY9f2D_Kg&ik1?xvZaKqeo{hKJAwf7QyElC z9v|FmXsTC0%3^3bxYy8haIc~1;9f)1!M%A+=Lh;HTG_#?Cc{xWq9|DMk-@m!idD3SSc z|3qU>=cVqV)wot=gl?3cYa4W}JS|N>$ozOjh3;p^W#f}O2TbaSmAW%Wg~SW$^H(x2 zUZKHt0Yp_X%OEh(wNe9iAD9@tU}%&Pu)-i;z(Rw00}d`6TH<7I4_$qzKBvs0D9SLX zM}cWmpp0ZeDGO#OWyFjmc3Q*XGEx_7m65uOB=Kz)Fe*(|hKi!{Qxuh*qNv;yMP;Ta z#k|BTpSj%obQOok#>aMMRe|9H{n=upL0@6W8adJ0rkH?g7lc;Lj})=ZMr^kcJ8Z;G z8?nnq?6whmY{Xt0u}>qS{!pTWjeT55^xEV7e1+1D_wS_6LgUJa8Xw%9I*gqA)3tJc zAy;|3Kx^(Uy33)9vI;?S7;h+PXez3+0eGUZblEtaMR$y1)aS0LU$>ZZd`VJHhdiej zu2R*BH=pJXTs1kpaAZgxye>!2G2Pu1=MF|CKnG)J&ih%TpH1*7Y;U_ zM&}0RJJ1V!kD;SJIlVYPb!c{$1RrcH9-3R4oL`t}(3@mp{KhL(a|;VsP7?3aWaNrQ zeP;5|{Qf0+GfH4uAZBi9@rwPkODv;t?b762eW@`&ecj~j>>`SU9G|E|r|Sm~UN>nV z2~b2X%+4)b<3d_W-+5oEnk7xOWJ{WA!PuLbvl_`ef~sD+>HxoE2~9a%&k;J~KZ~Kg z?;0MR>=6=?h|<55gdmQfquSaeO`W%hBkQj{D zt5P|l&A8M_F)v~=Sm@U4Nie~KWdXXB) zWm_0>dI}jCyG&Cr7kvUjLf!Kd34@VT8Y#!FU9^PtIU|L%ov+4FO@N4=8HSui5c;b* zWjraB;Ta!$!;n6L_#OReVIfZ^$jPMZo{6EB{)v|Hp^Ns6^pCd;JBPw&5W}N0hF#-h z7tz}A&f(FET4*sxn8@<;Oya`w`o!-3(ZT-lK?_8Xo)w9EHsJ#9*G~|p;DqREQP+Pj3PTqi5@P6eoiU$#ih_A zgbsMdPJ%py>Uwx|c=zP+=CHnk@#`ci`UyOPTYQL3MKZdr!Q%Q8H#EW_Ti48KVXr%Ht@XFUkSht|ci*`n;;L{q z^FbktVIfOl$f009FCs)}Qgls<8R#D$%Jpg5#kGXNgIwJE?xb0zvmd;UfT$tV>*VaSuhkSB*B=Y%2W5`_LdOO_XggJz(EM0Q&Rjd@m&joa(-ykoyUNq3c2lc@49xBZNW8fkDVpj2LMXgWDE~ z+fF#jy4K^&@wiAy#}khJ3Xc>t{eLaZ%vb@{5Dy3oIl(F9U(@Gd4p95w8p@@(*Fihr^JMgdsPEA&0|| zj}pY+I{q;%ugtkkBtF6jzE5UKb{aM-RM}$ zg~KC5uG~&17%A@Sr_T=N^`x+nCx;>bjUdo?_vO2W2i)_<^MdM}pCa0wJ7k_3M6?nj zV3+Qokk^HU+>k*U9bayo|g=a57A3AyZc8bT~DJE0;l26!W#U!1EM|C z{*VKba5lBthY8|$$dA|&S~gFmr`~93JNuv8)7siPkx8f6v$Cs%#^J!D#ed8;M|6=? z+-F=g-b+tPGbt2Hva72}rmem|k_kHKfMh~y4<-}f-|X;nR%&{?wd7p%dJ^|2qU`D) zxVVKDIO(A;p8wLy&(L_j=o;Jqr3}c9wH?D3?a;`%=u1ejzbcl4h@S+vC`?hFmRv5# zRGFrz&7@k5&p04SCglo>Vo+#Ydtl+<(&P;C&4MOtKT&PjW#HO8#Ubm8=}CI6?|^)> zPfJ(Pt0@+c^Ffc@A?|81l<7XUjb$7c0vw-aVlh3-IDsH&*1l&E4|0nk zP7xmJfFx-;o$z`dhO%djF3dNy0g*^rM|c*YFbG{rJ8nkCE}A6A%I_mfC@~FZ6Ba$< zr8@>kCfoY@Iyga`HKEUPXs6-(9grl$TtE<*s*8sAjGB)Pq+;6LcZ`DsvHJ##!bcJ; z2(3UDrKFH+O-Awg8dSF_PAk|891u<9WEk?oFr-cpOv-73(?2@k-gz?>iJOkZ%|zlF zk+|7N+&;qL9-UU>jKU_FZLjbN(`soy;l$7|KGdIa=VQMWfw?jQgKxfA8l9%~)hQx- z-A!ns>3c9mbf{N;^19MuNf5DQ&qWh-C`Dv1b!mwr`l2A>8bV-XcPe^qDxyujg=S`Q zT`Ho}OyKL^PDQv^QC}QH{H`65a2~bVOYDdYPHXd3gn2+R%k zoU{`EAt3^z*&BjF-WV40M-GT)+P@Cw^EQVN&2sN>K(r#i#{to#yw?HIw0&O~^8OU! znG}DFAeN3o%jc7he6#}nvjd_P=+h2}meIdBAX-LWBnalbBSV)CjW}ETR|thNo&Qu# zdTv(ybw^ej{yzy4Y5_N=n8FM;$pqcv!eo%zt*JU@J!$s)Rthn1J+z!|Cy1pgH6h<| z2+>seEEa}4p2|34Gwc@FtVz)fC4=_Jdudu2I@xIT#ZJwo77*Un*j%@41gPL7Sbx5B?J zmFIr&`Dei#|2&9zSBh{idcQkGxOa4XkPy@*xNqNXqJaU+4x>Z#I^>Z1?W+GGoWB~r z8AN<5i1;=kNMqkq^4r3Y+k^RhCy4lN5b?br;`>3w4}ypv1`$6BB7Pi1{3M9@X%O+V zAmZnQ2-NN!K_Pbr5x)o`?g}e%cTmW$f{1&9h($U)fwDz8-b@jBg^zXT7&&2MQ*6!bEX>V=old$41-Zyq; zpurDO9E-E^sqp0N{<+4YmYiFhy^Rvkb>(Ni+Td|JC8FHD&h00kaonB|6Ndv~$nS(9 z?{q*C&ZbuTDM6?%i#rOm61^)pd%Mt)QI=2bnFN82>4Ew9c|)TE^d;*-Ejbq*cZf?e z)UzFs3?csYZNNw0OC>WPqa-2G3U7f>*11qYq&e2kF8O}slg3ArVE;TKLKF2Bu(T?x zN?hwoG#Xk&9aRvjlg;m#9}Kovb2D3HnZu2~LZsbW>PThn@s42Cjb|B%n5F7$LX6HJ zLQ2&cMAxBV+>Ktp0@VZj<$~8?)JX!p7Yzfx_i1h zI@`LsI%v0+dPt5BR(>O`Lwuuw{?W;>FCQ6#blebK*gsL&%)|N*jrLzKLZU}5o}{nA(anq~x#7knLj*8kkKM?w zos;6B$zP>qRW^MMrQSj)z@a*jgTv!P^f9clQJ~Rl+utjLwE?G>CWe57sc=0&pCqC! zMs#Hj#jOno)BG8}lY}`6#E|grhrp&Zzg0LJoJ(4Ttw8@SY0t=>2{w5CmOYh!BAsEe z{!9Bu_6QroxAu(Eed=He$(o-nN^jsrDX?%?g+8QkeEbbNq1_a0cAektBhe=L;2B9U2yZf}6j_#fu62%nFYG?m= zp(%k8%K;<(7f_=Znw%II8Hbu~@h~J+%H-(KWs|hGbs}vWXsf%&_YBdg5U_MUaKUqj zCwKMJyB|Z;Adm%~UXG6K-a&iy3mhroZ^`u`xZalEJKNGCJ2Ai*v)ImMAY7WvzH4~b(8%zp=ua@NS-T$bWT*eRawrtTAWUo>24OUG z8T^LO(BNc0+Snj-STnGXwaii&Ymo_bLMt1Xn7m-mg%?sgV|adf7FY^v(9ty2;h{}K z?aM9~`l4pdeKSy(f*R;iF4sU0V9}q!;qhlMaVQS3oWkez7+_J>Yxd79UBPvzx|Y|m z8g#}moSSaIn1e$X_S3sZ8can?x(N7+<~VQ^<{NHvsIpN6#Vhuj7(U&7<{F!DqqH)Z ziHEfQw$|K`*3u$-a~L@{%~d`JZXV7siyEaJ){|nw!0u`7#7erGyOM8!0%>q-xu7}b zI%=tU*VnU(bS{)dq3}OH8Ccpon(ASZTd^6l4tzekz1knL8gz#328VX--r;pFw;x=k zSvX!+uD4aS^@f$}rDV;mqF!$-t(WzdO|@_uA5|zj<7$3x*X&%aIKW3vSPRWk836xV zkSD8aJSMo6&}*T9Qhww`sREg(xE5t^1xHtw-*YkP=5S1U?k_9Pjc+UK+X}3n+r!?9 z1rrWi!E>)Ju}GkdaE0c*%?~7H&DZR}Q!Ns#cr_ALReDwQs4Nl~{>)7k=M6fYR;}t! zHDwF48PDRG{SG>`?pX3Z&u+kd+UyvJqjEo@45-=9v8)g>N?EgS!lgS5ySW7etNGUP zR$S$OgI0fTEsxTIftsRwm-0NV6oo7HK$~q{u4+KVRU+jYrUU2h%aohz_dam*xY@0} z$sYYxlUx~{ce~*-YHi;e{SHPPG_%Mc@R3-+HY+}-_kG!FrK{*UybGk!E4*e5OY~T` z4+aL_hqRJ7W?)wzsvlfBw77r&rn$gc+g(SFEI*RYh*KY*;1wEY{3 z=d%m{5G^0Z(B==35B<-t==_*B_IwxhXTYMr(|KqqN?iX!%lomUBmHx+Xyu%4d2IwMs4TGV7NBvU_E+S8IKv-tMjwhZ z%^k!UK8B%#pkmFG$~>F1>SbG@1AWv?+eC7#-1D)%Gl_0!YqFQ?aLel(N! z+Ofq*zb%!6{t)@Gp7fAjT%Td!Z%*l4-&}7$P3ccg@&A!t-<_VJ7wKC2EL~5fm>;HT z-%iipPtWZ0tP7u?kn(*z#jy|nx0L=Rq9c#@(D^w;L;U?(oIZNLyf4N6af*fPSq%%_ zz_(24d(-$QD9-dZYVrS1i@(Vc{}TuOe`|D`9MY@yHNxP`7GZN`Py}6pQM{WjOR7FKEem}Te)kdn>gr&G(Mv)80(5}HQ87! z<1+KvW)B<9Wuucw=Cf&DL_A!tO`U1Hs_h{eJdfft`VZVo)4g(2a(#MTO>%wdRod#? zd@XDW-*L%>-*OsOqd4w6r)x2Z4`WNiB9)D9qv?9#@wg46(`bwm7~)IT`* zpK*4M@bYuE)0|8b`j@x99fMky%i{Y#_+a6yEcDAieJ_?@GsN3({WjWLMmXh$k3BWV z^6Xb%`iUF?@SLW1zVdcaNL7{-U-X#OM_l)b55F8at6VC3RQAx^Vb%Nt<4k;e89E>T zxlCjE+|)Tw5$gsl!`^^&mX99%tKJ&A8z;-!H8Hv?+T&A_O(qpyhJ?>?`Q7WuPs%jQC(D2>lOiO1&hqo=B5h@tiDyl< z8kuvsC{uLHAScB%%)>-T_?+pI)lD%?xE;tzF>Pgh_A;ML_mDHjRjE)gDG(%<1Vus+Atr)C)EEsWTAHAVQBe{l zn4mx;27JV5Fd&}Ed zDY0BvMO__I_ry1^fUo|Ve6E#qRN<%fY#&JPEFfLd8>wm;wq**_>s{RW1(v z!n^fsO65+QKgU98s=KTn>*kow98mGm4+w*l3;>-kZ>V}<#(cr3K zE8xZ7IF&v}!5wFr+yI=JwtPbiR>0wf?0&fKYkF=UevN~MSPTvbJX@G4VXC@tageTB z;yz(4%HiioXo%NcH6sjOz&wB*fYImA{aywSCZ*u6W)@0;de*TeaQ|)SIm#+2MT~Vl z$M=%4hIg>>fWLV8&31UOo1l?hB5uT|xW{pnoq^VmCE$K&Ry_t98vzX`ST=giUoKSa zWnY7l;n$zBlWQjlcw>{GArLdVyVY?HD;dt%1a<`8Ufo%J8Yh)Nqe&UvR&FLMO#kW2 zQ#e!_4h1=_)UyO=e`sc_0sXNW-Q24*FdG2r|8mbD5Ov1|AcA!-?`QR-!=1cub3OL! zB0}AF&z9G52FMtQl(p2QzG}sm9L&zb*t#9-KY~Z(&n`6ryICc)A*=)LFSXD6c`!Vs zCV>pl5$HXQJppf5J}uiNdr(l@ayy3jHGaipd*R% z@AiQQ&|f`d97(Vk@&^Lu=-w81#L;4zsv|tiwyxq12NOc5@6%KAZ1PAkiebF0VY%w=tmlP?gD%i=618@nO4sUPlztD+2Qq4kB zaH^{%jZYK3^jrakwo+uEYaErS%O7n0xC{iI2z{uh=2*EvWGaF|5mLsIw`V_oa?W~4 zWvLq)BX&p#O@g#=?b$$bj0tHYIYLwkIisTTn}@p^>uN?uGP(w_k$^34FW-&TK-T%< zDatI0pOT%OxK}Z`e!S&@z@f7%;n<;)9OpN!9fW9Ugd((`vAKug9$W)S!`0{Cdk1Bg zg)g2%C?uav7Ji`g?I!FR4Gk?!C0K5k!7hLSIfG6<-*2j&h?Psq4aJ31K@I9Ej6mf8 z>gj}DtUNXsWsn)~>98LKNToVLfX5;}40npJ5LFqWI=b-Cxhq8679kb`LdG8K2D+)q zwW=ZvN+U5TE0=^lFXXvB!eD+&tLboJ2m!Z7fIopns+6=K&qBmZLR>sj-RS^@Oc$Fw zP`NzeC@D&l*3QpSm#CbElgE>%JIu}Khj@M1j6FTAhX(|7M1PimyK>~)_u&!A5gtdP zLXXIa>;<^jupeflI>Vq*r3el#ilEYbeEVNeA0ciFN8N}B2Pe@9V93EIFX5N0&T&Nf z9>j}?>wTK25ARaXB3{}%P&C9U8tL0y{$LwoWIR#uxq>@t#$PJp;#EqF`pT*^=s~Fp zo#nz3iY&v}TX0`h(Xk!fBYnsnhv(_shZrI%V^6_b!;bm)qVf`$wKQENppu~?d#q*M z6`UfscU(`=Y5C!$>o?%gP-tjXhnpHz6zpV8aQH4E`81#OuJVWRqO7V2vQPKc^# zwGCgc(1O#cfKwg!L<4pP-qQRecSU0&{u{BB+)=fyZ56U(;uCwa2|Vko9L{Aox2&eu}%alYJtuC|6^QTn#+oih!g1w~i2OpohknCm!f_wI+H zo$LDju&i%>xZ!mwNbzSs-0|9XU{bV^;cC7oR(O82iv+tbYEI`0htxkk_r<72;FKb? blAua5uK5qLC?+$a^uo%CDf~YG00960n3DhA diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..754b594c63e2f6dc8daa9103ef640b5d4182a42c 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..754b594c63e2f6dc8daa9103ef640b5d4182a42c 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 069778d..b99355d 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567534159804 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:09:19 2019 " "Processing started: Tue Sep 03 14:09:19 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567534159805 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567534159859 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567534159956 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567534159966 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567534159968 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567534159988 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567534160005 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567534160005 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160006 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160006 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160006 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567534160008 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567534160020 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -1888.500 C7M " " -47.500 -1888.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567534160023 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567534160027 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567534160031 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567534160034 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -450.000 C7M " " -4.500 -450.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567534160037 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567534160107 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567534160131 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567534160132 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567534160247 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:09:20 2019 " "Processing ended: Tue Sep 03 14:09:20 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567534160247 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567734315117 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567734315117 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Sep 05 21:45:12 2019 " "Processing started: Thu Sep 05 21:45:12 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567734315117 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567734315117 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567734315117 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567734315211 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567734317164 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567734317180 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567734317195 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567734317242 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567734317320 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567734317320 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317320 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317320 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317320 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567734317336 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567734317430 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317445 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317445 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -1992.500 C7M " " -47.500 -1992.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317445 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317445 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567734317445 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317461 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317461 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317461 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317461 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567734317461 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567734317477 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567734317492 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317508 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317508 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317508 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -450.000 C7M " " -4.500 -450.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567734317508 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567734317508 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567734317633 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567734317664 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567734317664 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "259 " "Peak virtual memory: 259 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567734317789 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 21:45:17 2019 " "Processing ended: Thu Sep 05 21:45:17 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567734317789 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567734317789 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567734317789 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567734317789 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 643eeb7d097e824fd57efab16108dbb1e72a47d0..7011922a53d6840a235d1c9dd7819acb651d4fc0 100755 GIT binary patch literal 11459 zcmb_?Wl-GBwpH>ZV4dUra1r-Yw$~|G0a1MEj2bQ-t^{ zyXpQ)5Ab8(2Y>Q@SCaMLzgis$xurJmV^@q?NE~;15ju#sc^>w=DJq!`=ze2WlAE$3 zP4iBHX{AG^8@p%Eh&l0JQfTsTr4ODEUfzst-}QV2Jvr@jeWf2ryDL7NDe;;D09bVa z(m^wss7yD-`o8)^XRSO7V2j!sDziRpY(?jqdhZ$uM6^FHFlc`)`VBJTZKWS5fY{ZJm1SotZb{`? zBrvF*k`Q`*xU^PTRW&2PO|&iEpSyifhB(l7b3|aBc0`aAPXJQnkccmPUC$Gc5ch0% zKNWx65@I1XlYFaOzYPzU^I=Yr{r|AUz3xY>@0-+hQE~#-B_rU*E*FaKn(gOK47>y5H_%pwHC^Y z=A9f6uw5zeJLXYiZ7*ZgyKJ-}dc(~P)#@WR-Zc=$Qk`9#lh^W2d&_Q?z*0^k=}u5eJzgW>xO0G%0EM?;z`^HES<^Zpo!~~*F6(2nNaBTB z``oCjZE||5Ra@Cr!g3JOZC2RW3GfU>JNOwYC7wV$m`-E^r)bqZ+cMj-%A{X~vA`vn zEUBq&Dn4FrRqD*G3d%1rB!Sr$SyyIsWlX$Zp|)do#8s0~7;jS1Nh&&1#1)cB?Wz(r zfXa9rtqgN-xp+pl;K(Q0Woq@F$u_zVvT&%mKn2CdMNm<3Pe#{ZC5SlB`1b(m8{4ce zKOypE^OmANQ%eGQJ+CGL1ndHtMMTk-v)l*U2^BHae#oEBCpHPYppBo-gP0kR1P^E- zQpjuzB<{@z>ne_E{{F;%+QCTI8wOBeFWC6Eov{yX@TV0)vSrT#Orp<-(qIjPaJw%+ z5sQ*3`&Moh#a(@f_FyC=HzVM9pZy)Hza2VQJu#PK!@Z6+bA+38>uPFks-8Dq<7xwXhGZrQtC7#~9kWU&TrkJJ#J6 zAINY~JTQC_`D$Ik1{7iR)E{^gkxt2IxLnWKZHMbeHdbt01RxcQJ`*&awZP7>K~=a; zU{C&FB%*9|8xQu0AjZQW$_Jr@Ro{N_aKhxY`AJk5T{9JK;Ua->@bP|TmN@}2{R4J6 zfLLe-RM!C=2$Zjh58eC;uflrYpy-vLE0Gn`Y>6Ve+6{q=!}^<4eqCWnBpP-Uxo1UQ zKD^j}stkG*e;I{xc67-_7gTeTuZm*Be0(+g7*2}!bZf}p;sVV!%-$U=qQL2dnIXyV zf_3qMTwCbwSL7nCY!%a_2b2Nqh~7*5d2?oTAC*!qncoigz40D2`zRiM%;NslTo_H+ z5eu=G15NOt`?>&Ua7p5aSgSj>+Rnx5 zJ07Z2eoLtzC*0DAH&gN`%c3SGbljjBH z-WRzKFSnMS&?MQg*(t-pd{e78Mk8kC>no!bU3rZeFVWBLEjj`U?_zz&Kz@V}M;zOs z-P#D5vNR}h7V_f5yZY-IFm^LUahL&+&?14bzMj&i>SPZFw#< zD3I0#Mv|7Krtbl+$%Zh=*d4YtBn2X1E(`^KmjE~TbtyF=eyjDR{hQPA&b9}WPc^S} z%m?AU`*84l>VAWJJeLJTGj-1hb+5XDppUW^hpMqQHP*{KfjUPQ#roaQxHM~4qS^;b zC9Q7|gtQjl4qZVdoHEoH}_4zNRf-=0h*cwNr6>>Fp0kkkT1g_Rqz;a<}lS z^$!P*r9m3dl@)YeiGSDHQOS)jTpCmeJ%Q$cK;+wLqslZD;(g;CP-d`ZxG@;Z3K|j z!{}oko?kl+>KP_X;eHVE=o<9IPuZigjc0O)RM)c({194h=Y8NTajoA~`CNv35KKSc zzOMN%Vk1CI~F z$j^Fnq4oE?@zG|MLhQ7gH#{(sARG{Z+O&3DtKZo3d_|^1v{J!1%ovs{zMkQ2^?mZe zd;cUD2$WQ*(;CDsVN_d-zgpbhzn;AoK+Jn>0Qa%mGJW~_OF{1p7L51MYx;(&;7IW) z=a#+Lw~h6MH1_(Kl}9zTQ0&t;vH8LeV$i(W2)n$h&sJ1E^ta@INs^%DQJ$i^?~~9; zBm&3{iq4}yc6)BWo!~X&Kh0EFpiB_vaEm%;rQp7bWunoNJ%;RKj26mwND@7BuhsV{ zTUsbGjD9l40Xr2?6E1}+gI2`Ip%j&^%+3C9Q$d0C*WNFXg|Qm&XW3H8>EeE1Im0lG zX#m$MlR{so2*Si8K%Pz%Cx=C3qeEtsHID=_nr z&!`D`4;oS$qF+3Q*Ws3jSRaL|EC-XT8gKlT{j2P#ag@BgA;r;#K*A;nFdL~8CMzl3$frHh3AV0f~kgl=> zRlmU_&)J+R6yY8qMA@RY=H`l|yUocuwo|kEtDE%GA?`U&7Vb-~&|>eF(KekRgS6Vn_7`hF!50||ts6DAKllaOatNdQOKKX{R z^L%!0U@n}#3o>xrjRfSVWO_!PIkose?6sbKelv@sT;fij^h@Ad^FOCy%F0jdw9jiPR2_NtJ6j(ogluOk3 zt$bCzRxFw0LCL~yzFI#!+6t4*eY!JMP0oJ!~fM7d| zUPAc&=K?$CO|)%(kK<2#MHj-rRl&91Os1RbWe%)LrezU!uEl%|=onyEl= ztsfq0f-5Ev_D{tO@Z2hOvs&|?3bR-L`P3N;zl&KfJ=KHQlL)>GIb|PMKg-MPRv3Q( zJO0f}$D_$hcwMv>(uN}M&#{X_l-_2|!?~iWJIklHjP=d=`AIn+#b5+$?5CgBbZ=-u zWQ&jDGF7&ninjc$toGCGqiTHcH;CHVjG*Fvm#OjaBZNt|>Da=L+TxKw8p(cPdO%k> zQq#VPc=V+rb6i0Yw6`zU$%`<1ziaS!9_LVUqD`R-T|SA7^U6`TN=HSk(`$@S{}1RQ z6DZScTY{G3D#-V3pYhbT8aG1mH0o%pN?p*dmL&UIv4{05-9>BX96v=aeja+s!wb%6 z%U-m)#AfRuAYtKN>^00HcKjL!*3XJD1%#Nqf*ijxgzzOZ!zGySWKZ>~e_Q{E{n@tO za5g_IQ|om4wh4T{5HxR=-p58L8uZ(Ws8>$fWDt9n?PG!)N4b0$UAd*5%D9}Bw&(rh zacG8(u8oKB&v?#X2PBD4o0q&3(!pWDaiWYwtuEJ-RqD}ti*C80x>I?d{BXPv7zNL^ zfm3tSnBk9xt#nsOkA#|2{Y7(3>37QSCQPr)Q+fG3sg+lXv0H1NYe zz;oDB<4G7t{}?51VG&2AH@h5+%53b7+0QO0bsMRSWrmd2IpUJS);{vEGqcA6u<;X@ z5sPGoNsc?3U`cCXYE{?( z{j~VX_aKu~wZEv4bqqAK+epF7rD>5?1(%0q(gV*FB(;=5$%Kt)juDdMqgRlR=oqa1 zQPdfCg}I;oshbaMl@B;;7p8_o46i89@acYmMTc~+pM{C&VG2z$*xXO&@+C~Q_a3-@ ztsLdnp4OECyKKOok~$0L620+?edmeJ=dTCkBsnOm@Z+~|~k zcuZk^ui%K06sXE@rI*uo0rSu;m4}{tWVKbl_8Mdu5+V9!zF%3-R+m9a8$^&6eJ$k3 zF$w#Tpo7brgWA@W!+`{Lw(p0R&5cw=BC=Q(WUg`{)^iT{OeD=EINwIjlYjyGVUvR$ z=G>%ydYCF`B&YW2pzT0{D=d9b%9t+ZWt*r>^;g}06E+GD$*B`12!)83V# z(;c{s7AS4L5Bm0JFWIf;cXr2C_zQJ`$Q^EzcB|Yo6KfCx&7Axf!;g=TZ84qE(P7^w zD%Bf3z3)<@N6hc+Z2{h0o+CZ63m zem~iMDGoMqgIUntDxG6d4S-k3Hxon%wMKxp>GoSOIjZ?0>{zf^w`iNb%#N1as9QZZ zFJ?rv+^5@mj)=3nZCYEP{+))3)9{m%6XNZ`A$3B2&aESARZKUG*DrTe7>tz95D+KD z$OEa62fnqanp0~?0`Aj%-Vtf{>T0X7{@P>5e!3qt+V*VonScaISr;<^S3S<_siUOX z_!XRU=N1PtL{YRT%8K%ecGNUI-$i);qwuy{H#`LFeM1Ne3E~3?L*f9wd7n9KcvlIm z0|QpikR3fu#mG5X5(_ERt+4Z$uZxl`#`XzC&(bg771?@LHQHTFZXDv0&+KZ*8pW@L6m{Az+b1u3TKZ!-%Od1^R@Tmz^Etz-h>B;O*G3=BadHoG zWW}3IN#-yy1ncYQ`#-C0Yf@_qBP*+zGM_oaF_bff84+pF4Dms8wNBuxyx5l_((t7rW>KL4pOJ|AG==VRqMllJ8n zCgV)f%QH^KQd8;Mo!!vdvdZ>}7A96LnD6bAElfV-*%khaEtQ-SvxAK6jHTA0FRi4YV!h?dh`0VU9XN;T_~lM`WAW`YY0!gBZ$0JU9WCeT`^^q znf7|gc%Z=F{?jd$^6z%tyP-eTa8X!qcA}y9a&{}%?`%C^1Cc%Ni4~RSb!kQi?Kv2c zGHdsbI8$-zqJ~pAg zF(wbzP8bv=$sHxC%*a2f&SNUu%|c&p`a%{sAT`ZuJIWPR5=w=IOa?eWSPf&EhMCAp zEbh$ms=2hs)oSxVcE)egw~f1@FUjujds-xK z`5CW4qLdMJ?UNOP_tKAJDhV}bw_7~g1kta#~%5sfC& zg<^h2A9gQ(Psh>M;x7ab^L#9y@Vq>Kf*e^|c&ikPCh)o0X%qn#9uRnz`p-(;3fQu5 z_N9lFe8)0@C+;B>hxyXAxBGbM()G!T=C=|6F6o%J$YA7VnomNvOW{;N!&av&;$A?@ zupv}gKSRz#R2JG(m_j=!$YJ2exaVfQqO0_Vi?+TV>-)*$Fy_@RhSe1IuT!abYpxqv zm6x4OZ{9TQM1QRQ`Qux?#d^Ke&5mWW__T_zS}aB3D~SelC)R41be#%n7e#k{8$JWx zow@Y+h4HVY9<5s&#UHDzRYuz+B+bt=q75&NwAR(g3$!@uZ7Gck7 z?$D;o-I>yNaP@NC89BN+988-#nMvfVSSz8m*XvhTU#+@v)|=z>eyBMU@jHjTjTX%~ z9Oei}`;x&M)D6DIYtL5VjWwKhW%NnFxn>IQ~hqnYSdMWwgQ%Uh=tkgprf( z07%E*)>+6{flI8Y0B7zH<32P56|}pYL74@u$?$b>b@2%eMcajTv^f>}5}@WUkhA2ltD)roshf2Vp@V*pvO zrypF&qd`>)Lll$Lr&4?X52_9~-RP!lUw=m^-Ff;jZbGi$0+ z8Ry0{Gf8)V2*2~!k&G&F$ql{RbRLy z;#GWh)lU06tM{wQugp=iLQAuKv4L(D&W`)9BL-#EMjg5t+DP$f+POB{iZzRt*3sfo z?eJzLf4s^;`*|^~2d}Ny*jga_q%r_lSP-rgPI$Qv$jK{!N;OLQ^&^C z3X#{;5gY=>Ie>P!z*Ix2>5md8aalo7#WFIMg4&K;VL%o7k)!{Rmm%uvr^AP6R87sd zd`Hda*|(&!TkkIy5nel1+9jzkQTe9Ye8qh^Lxd!+8D-pX>DjJeC1KLIW4WmZh$?Lx zJU#YnM@J>mL&8xLIPE*zDGB1!)r>Xpz8BJR#4X~}@x3KsEphvu-l70a)IyEXihqjv zuvh@?bxy1(KP#_!vW5QMWX`19c5?%5;uPA|h#7OOZPc~^cxIuy>x1vs@6&w1%(=KO zEJ%Hm~HI_Au`sZxA0Q9G$$E z@yzVR5-j+Zpt;t&d@daMo{<9|dN!1QYCBAh`%Egdwe7~&8Ego$f5So2lZjYfT$#)*-DzgXfWg2P?;0Y;R#`kzjpMfKg|9;INV^ za~-aiNk6o>>bGKQv6dJ&y6w~4=r072RFIh)yG$u{6&-#g9{{ahGu0cUM(9HD;ASuy$ipb9l74{w%NGuu31o(d8wu9^w(r zOM6rGhdqVq-OLdC&iKVtRa(1`OH>rG9;(NTW<0YW=BsRF>?its&z34(c^X$pl1HXm zs4};n0lBZ(P`i78=Rz?r{Wm?gWaKJ6+Noev8LzDyU@}qbYA#Yu2koewpX8J0d7RM; z6{nuQJ&ao}-u#G}0V5}*bn{57S3l)qOBbWQ#QRfZ`H!7C;^SH~gG@`99~^10Y=c;ZJJvON+*NS zRc4Hqb^xY;`AO^&SnWll8v|+WQsUz-z|J+Lc0)lrO0$DJH<8;$Mio?D8xGilGkC_dmmK&J%D#glKL7r$%|E6rkp!~Wloyo=%;1@t^3)mcEs{wZ zy|EH9_LNdvFzepA2;Z}-q@vL_M+DdDrj;LaM6Tc?Y6w$j0Pm}#O}U$?*o;fjhg%O@ zw0pYw2hME^j*XZ6Qo5@_Xs$ZB;`9?7@mu(SLzi`YfE7H`tZ`^X1)<9iL1S;MF=%V{ zjI-!peV~Obu^*s#?@I{EJ*24Z;+Ok@@CE{ybh_pb4ppaO9Rpq6LGl&`8Xoe9&}?yM zaVcbGt`#O|IkTVB*?lE*2a{xwX3jAkhDd~`yD3cXeCmfY^UQxU9(Y>lC|!&iAQy_v&4iI+C# z?c4@VIs8lOp-c%=I|G2C9jZB7A-FTAXLU9D1$}xbM!9de={jN9YPt+YLD~$hYJ(yg zZ}V5!9HquD$$@8~E{P_5H^X{fKs}=O%0VR}?;m(teuw5i;Qa@9mp@m!_K{Ik7H;n2 z;1GwatcP*H4cc2okuHx@3N>Wg204fjKyQTAA#@?zVuv)JbL9#9zw0He&ys{EfK3Ht z+YoNL?Pyps=uT8@oaevyy6C{HouX3Qu`$s0i*X|xYBKe|C)0FoYb=*RM>~05W1@R5 zjPWv`xq8KOmCWd`mKTPHddDLy|DOPT`LR_Jo{4+n%e&_MJD_Wbvfh5DYl%!lg!0l*(1I>nsl*@{KisJZ+T+quIF z&K6yz{eMccivj!JH0OxIRXP}WP>KSgtF_MC9X4na8E@tKKJC>G+lMwJ^?wpTmsed{ z3ifv>I!6h2ProO^0OoS&Rf|TdUr)Th!d*qFT}^(OX9G*ck-o$+oT8Sv9F@i~j9<(A zryqZo)beM3`)#i`?)l67G_1s`=fOvtL)hK-K7XA<(C_2&unTb;E^^OE@aU)bVWe)d zfn4wbhFApKKzhhtOd`SA^mtiigh5rn+D?buWqd@Aki#@?{Ouz#C#{60>o^c z;H2&vgl{v*jB&V#ez8CIm_l8UxaG~&-SDTI&6EWl8Sj#TU}jzI2ZVbn!su}hl_EZ1bGo#hO zOKxFMq~L{##Px&4?OF=vkh69>NL=CyPVym3B$$}tqDT@$N~9Kme~tSmiZPhv6&tDI zU&lzKmKiYQQhyf)PChafAS(IK!k7c{Jn>_x2Zosl`HjK4G&i8JG$$z*qNq zm_lHjRM(5I0Tg@P^lEo)oFy(vPy8T;>OH>OMV39K$48iK^JiXTGn_cfeT>t6@?i*4 zfy{J9|1PtzR}w5SOMvnniG%Rtf(4f-%Me;bF>uSAXg<#_sq5o=h4LZ^Bqk*WMg`xO zOA(tF7Y7P_AC)4Nq@v)3bdym%B(4A| z?pmpe743a@LZ&m4+{7Oa7m_RbrWxzH2*D=5;-d z@5~E}kJ4`Owd&o_xny<8r1}bDHx+xuUj>)8KML^Ak05b)6Hp4+DM4N4$hkKPUDna^ z0{r{FVskYt}RZ>B5)_`D6f^F z9st2RWs{hBDTcEamk%5%yDb`V?Qm(sDu3^Qfzc?6hp5T{ABw-zm+#YS{e6BNn&V&0 z-0_l-LGKyiR^Fme9;TvY@bYV>dRl@sNzw{Ef06rtcxQTE`XLYe|CRLOOl9hZa<+K< z#HLA&c>u{jDZ_#OI}=Tue=-^U$X7+Z8+Sl4lK#cRCrzkua@5IkVf_DZT<1I`gIZF;nH{rP)p0e7=Or3&d!{Ue zmaM}-i?g93{STH4k=hb}G<^^J0B9EgGcP!bWCjG6dk@w>jCLrm zg${6zrhR9%^gFAk;KBB^r0BVJ8Z|ElXQYb>oV_B=&n2$@J*$WR(s<=x8V~+Uu%L0T9y*BZybtJ?`m2*+aI${~+#4#%7f>YXzYb-cuK|F^e zE}19_R_z-Em`rwp4de%d$0@=>l9!;sNSF~J#UAq@k@79S{3ejPqC}-g(?(VF#MpCm ze4#@(;+moCacGi_*hol2>$c=13sbfiMk-hf!IkSY7AKQqVgtx?S+fLed>a4@tEPa& z9Zf(o;F5kHK>9QA3F;dWw2E-6AwGA5!{ED@ns+Zn?_Q?dsCGD-tG*)@p7{yhT(!?XjFsj+ zT>g8w`1f#h2;jy^irV!9Goi|M@4i>wSJ(>AobHEV#03r16`OffOE(R8=nie-yi<}o zX6d3Qp3qxyh}cj`BUEm{Vd9`^i3R+gYwMY7Y%_Iyh&P$?4G!RFt__q6 zd}f`<3i<>keD7?do>pnD-eAGN-rhXGH_Z)98@f9>9B$4-Wzhw-Fe8F>(loq|rM_fI z>H30nbaixevlvXbVTN>`$=hO)3xyGi5jIoS(faSfXOL(`c{M4-(=)CT)-mH4Fw=YLaevsz)TPa& z4(0jS*qwOEat;<<#I31>l7}XQP)B&EAjTiYD%i-xA*~;aFGMQFg@!KjZ5RnQpvxyF zCSE8TQh9Uh@N^#%5uc+nE_W@1y9z8ZfDeqLm;EDDe$dwqD23_yetP^o2<%q7SAK?a cAtv@`B;Ek0cspWfZUW*m1@%AwCw_bTU&+KXG5`Po literal 11525 zcmaKSWl$Yk6D<(jA-EobyM_S4o#5{7ZU+tS?(XjH?(XjHZowrya_@cjem`ElI#s)8 zRdrz;KGgX5E0s>MF2l_GEfBg7AtdgOfy{WYofRUMwfsPTt?_g?S0AONf0x+=B zGcwULF)%U%rzYK^h*xwd{ z_HXM9dglJ(L^9|=pfeiWZj0A%w^Mk=8q2ja0tf92F`OTp+e=!-U`juPUPWTa48Qb! z79=GNijAwbb<2#HTqNN&h^AAYd}39Mrt>%Uds=MlV>BB*d06^V!rpkhqQj|@Vy96- zsj*nqGoDUMi?w^>$%4CrqOud0+vC-CX7A?6$O!XQV@*rTP5G5QH9kpZ64^3|Pc6_H zPZM|Gt+p|iai(eTMBATQ8#Ic(cQbM8ax$%x$Dif*wu6DN=fNG1_o?T{OoiDX+DJCv zVs@Jd+Zj^w*8BDYkLR5_%nS{G0$o|9BBjZucUKd0CT69b7FfS=DCd~Ji7`dwo=-ml zSt7`fO`Nx(L}qPS#$!x?3N|mqs&nEsx6W9S!nq!f>?e^CvsOSBd`Ctn49%dxb`utM zu>o0Dj+C|VK{3BXH1>>wSeljwr(Z@uoJev3KpYk(%aCC-F+qk0Stebcyu9 zmT00z_FFi)82w3j%Pb#Ln&^+AL`3gVUb1Sc;r3bE7{j+(iqs7c;$x{CxY%e41Zcac zU>_%uUM;Hp>>nk4Zt@9~Euav1K7RCg64u7+wyq(4)AhTyF@RsABoACBY9=WpD~db& zX^@O@awFflxy}pMA02sux0G#yu;s;%?10-=9PFUeV9S7bhjw^}Ao6MKuO6^FkUD7g ztYBTUlqNw6A#epIhO=VH#?t@-smPrR0}e>l4enf5o!n0$O+db3Fhe+3Wa(^@-CN5z z_Y}#2t zFcVe-yG@(Y&pLU&vkE5+sBQ~wi~B#P*Rd>E#$}LP6N#h98_a;Hn_^$8yv1BY#@PuR z_`>;SWZj^^1Nu&<@3LhG7{rIC1^qF+gwuTtRh9X8PgQU@I&h?n;sVEf=3n7#TGk02 zHpieLJ&7N2$+r^80yS-!)xL)ZioM%lA;kn8Lx=;*<_IJ``~$7PcwO2-`TDs*Jl`?V z#$SEKDLBGFT74fgN{3prvKpnjLi~raj%6ZL>sp=8V|%n>z7IdrpxQwYlAmcj-;MJA z#Ebm7Wy5S&hm0^_zHB-p87ar0kbKI5U6@OtRssT{luOhkG#5eHA_pWayxt=Tz2IjM zjiXME;Pag#1ZfoGh>JjH^EoHa3QH0}Lvy#ZPTLR*I1=&0?NP!kn`VdBxvFTtg?|0S zCg}nP7ISYuZ41^d)Z`G2a6v@XM`u&aMbk*PeQsd)4OFSR1XrjS2(35L#jd>&KX>J_-VuSrw!P^4Ao%<8)4lFY?{#242&{9j6 zMCMl`I)GZFh3B2r9WTAJyC(oQ{tX6Vy2JxW5L_H(ThH`DM-*yw^! z68Hu>^C(vS7a-3?M{)cob$Ef7l_O|2{cmLAwRf~r472b^k-Y0eglc3in+knUQI-(x zdsJrhst|7&S_%``C~Agb1jIV1}^-0S)yMdtWsN+~K6q zWF8S;MId@N=U3pOKud-1p$iQ{Cv}skp{WR=Qc}P&b(Bim$dVp*xL*Niri4HdXCI$0 zmkXHKiqZy+A?H3JIh7vJTUuC@iGUm!wdWRSM~e2&FColW>)sm{e5Q^@l;LCNXahcJ z#Wbaxh-3FNM7P*b)8nRuEku=hTny_zcI!p-i(9u9>lNrz2&vfl!D=u(6tmU=o63M8 z>4k=B`g~!E9+#fCHxqfXQaL;0h4hl&fwZul4QY`FBM6=guZ@um|T)Z^+BoX ztT2vCL5k~_o>94kb4iwG*n*7%*9^XFI(LM25pb`Wc{S?2-ckL0zY6qTe-2;Jcay4a z9xdG8gXZ1pR;2)2vDpas{Wekx0HmeQkbVaBPz*X)?Rik?PGM~sw@Y~jw^3U8dY-{wY}mM#U;`RJ(RkFf_dJ{{ERgW2aN%&5o!<3`Q?&fW60PGiNHDq|AN1rW3 z0YPX!##=Z)Lg)*Irrs??-|z4jRn6c1j!n{#a>cYBFs-)HR=;&sG6J~Q>V(&M5S-p3 zTfD{Qn_g^cJ@&%oAzWoNS&|COQz(iQ?Bj39B}_W7<349*ZwY|26Yd=yVBWNdMFI}e zkZV-H#|39y-ql7WQl5|t3sfy>GM_%v9vS9$mOUisX2{V2vx`?ADji>bZGj%^BJ&}G z(lkPAkp=-w_!z*CSfK=<|H>LPaH<<~jLat)a;jf1lvJu1+t zA9iS230jfS=ZWYi5i$*GOQ<;-u?ERr1yN741OaDt%m;Qo?rd$R~8%wnK`&%W33pISdR5ODBD0LtkQ?BMK4 zL=;B?Q-N7XPw36vbUvEkt1A38#Rt79eU=247M1W@u=fn=^a%X)~7CoaAabRo770NP)2I*>d{H2YE#{$pebVV6YSXDa>OXUb{KO#~MdG_4d0TqMIQ5y0wEko@mG zJ)2A5XMjv@=QH{kQIY^dpbjDnYVYROXCGuAX=v{4v}bU{OA2M)B=#;FV0^N8%?BMp$2VNdsuW+%DfGB|9Lp1Wu?hsNpX+5vhx%ZKI zI^GwkeOE%t#hq}3lpI8jLMtmi3If>?q$5cSEf}O|(^Xa)^$QE68 zdXK0j2GJ6SsmBJz(E-g399?y^co|yejO3GR`BI&9yO#KOnV6g3uxT2EJq?Fd>I@b= z>03(Ok=>vyt_QsNc$4^?6YGAqS%SrOG!0BwiiSH|hCga*yix_?-bWCw`_tm-n(q)H?-G<( zEml=)=576C8`Mf^+SSXiD@%QcQtN*$ZG%~*+EGqlE5{yVSu3!_*)#XdhKX~cNC#)= zk35{0tdd&=-}L#hAx>^L>9{nQ(U7C1tvz_oOm@94u=J{Mj{me6tVZ?NJ;8cG$?dgX z@6?rHq4o&GyMXn0|J{F%X^m&4;dZrU-Rlu&Tqs6D2c+}Bo3YEab1{_HXvWq>x( z9&2=v-EiC4`IL8=?=+)j2fZ2r7gZ>j0E#8)y23M?SQ#?fH2I)Q$V#s)lOEBByjUB1 zE4sN9_YIQK3RuB97U#c)D!MFc5nfR;<6RTfGc+2QyJ}6M$snt`H4$5qS7rW~z4ldu zmy0q=O1kUTa5)nLQ|J37ykmC!8tX?s`uq26-t9)j#|emitXq?OUxf#EoxN6LOWI4A z+w7rVhDN_f-*N;}+;PM?lc6aRn{b$n9&cSaa*X9rSE&{)HEJ=0TzuaTCBRf%ZgM{M zUhBn4M|0%URhE}2z62kb$e?x&m1{nv>=?b?p*(x&pnpvr!8y;X5`VG1Jv-VM<@HKY zg4Cx-+YnZ~1fImrcU8L9!Z|GC4U zxf}ycA5k(vc8?R~!CagfcSgNgGiJ?KY}`O3aM0gx7_6^P-8wJM;~eT(Mef$CT?}>B z_FGmoxj`#xkm2>)8NaVcb%%%R<}+vU4L_2Wz-E6YL;Y>57rrutKzd0?^)i!{tELCk z`ibM(r2rIdFb#DU19tan#}^VJe~JY)Ok$s-lXWRFr_Wbz&X-vCCj`P(ku1*A(3P@a zo`tz+Ej|NKI^+vR)6*-{&Vsg|_3$r#myO|rrL~p%q{1sIlY~21!c}7beq$v4bljag zh_9;QS~fI_sreJ%fOv(2J~iX^d|W6NEE23fA;YIHw}++Ah7ynr3B&$nh6xo&LgIp+ zcMBqb?RR9Ne0w9ym@`-m5lFmr{wBxOKMPCDIshx1wk>@9X-zcaO2(*lsi_FIQ8>D* z6FxcHEKo1WmV%{7?o&r;PFD_3qGpP>L1DoVKU8(wn={8*&m%-GaY=g{Yqof+Vg6() zOFAC(}80Z3Mx_<{|B_0}-O zke^&w^}!c~d+N(tiy-**pI5_v6$SW)cGYN!Fq*LY&%`T+L)WK=pEzXBD~^Z5u0%Re z8&^(f9lM?C^-@!hZ}t^<%3OqwUV_upzU*|1L(${6)!{1oCNAjKF|h38U;kVZe`gaU zZ_Vr=3t&SF+^-vZsit<%*>Q{q@=Ld5of5jvBxZeDv66mHE|JPN?AoW|P{(v(Em_Rw z+!#P0#W#&JIX>zxvA>#{5Y=+Nw*R(_!U^oRScLzK%^c;|9Iql$;mODl;dzlRuVchSp|^*M-t+fY9wsQU)^PQ zwoFeXTcsDdaN@0k_>wQ~Uq_JbC#K(gV2jyZoGVI7kC7lL(AD zQH_j4d_X?y1rq5F#QE13wbm0gP1mg|S0F_9WWqK$J5Pf5_RzN;p%czuyD^=`qW$PE zC~0nH)3^wFD!;to%5IuXBY1znFE%Dk#%?{OCZ#zZoK#0=ac)`wI3mI5iuteiLt?n( zBjmN)Ob`gEtaezcC=5Bm`o3*sxlss~Ft$_>c)XljQ}8z-2g5yI$B~v(3%Q(%=Z2ze zQqu{$O;SQ4PpH9Sr=yZ882Jh!+bYJe3iB(;R#*N$y#!Qa zY_({J@{Iuw2gK)QhR-BlgIdXtWwzbWqqO4pRA$>BGq76tv2_&Pr8js}A~=N_YH+(y zpK+QT;T9OgVQKot4bmbMj5O98zDsSSko8u?a2j=$jKC-LaEzicEqNREOy8>}o`fKO z*Ne6Xs`F(@+|QvJyQ2tse#ZRVOOK}#gV`^q7mfD)SR?RmavDK}fQFvvo9!`3BKN3y z5#}V)B`;yXNhI%2J^Z@7u3K-F-!!w@a6FBDSKPf$P)bT4Z_2CE=k7uzRUVTk{JPlR zPqXZIGM32jTA*-Gai=%zAJKK#qQL2pgC)4DtFJ-l*sg^rUoAcE_nk&$s`wv>i}-GN zm7qsKhI_{!EaGJX1>+IFTb>p> z$e_4@CRaLCXtj?d0zcuXvWC-u?ZP(ghKC1g^QZWS?A!2tS3R{M5?tBR6$Agpy=~TR z2;Ot4vutpDlCPkUM&87hGm)A)HcJ&rafTtEtFE%@%8ylmhN9IS+2ma)qXmQA!)xja z+i9aC&jl5lEX3cYc<0uciPx(xkwZ0Np6jHDV4Sv-oV{;9%cM#1pN^z&?VyYJL~reSRyQ8#xJ2VZRO%H-+C1%WoGBdub#IowkNL~Z{ z+-y0m(heT8n{uA9t;$+A-O`_Xge zQ&S_JMQ-N#Lhyvn8E$4bEkLB_I(O}2(BjBs!=y!4@>}eEGNm;F&AaW(SOjp~qrlxr zVEjzGe)8$w-6$|+S-Nr3A}{$(?t}5QR@)+TIoRl4{+wCf9ZTnle#Q8{rDB=K zI#abQ`K|Uod3|BbqtxB#(So0#bD6vL(@y;j?m2U7e)5~^%b3l*JVEEeM;_e7k@O9d zXXqc^(sSmQJfr(dHT{A>cAlbSchOAMToP(;eG>fy%K@TTqkA5pyA7-s0Y*o>$zWoUHau!Q>~LDQBp_@1uV#E&82nOGGFOQqp6 z&(oA`i9M)f71ZagdH~>ha4{E^y5lQF~^`Es6;Y zV6H8Swcb6CSziEORexTDv_gmn8H<-=OG`c`LlvKmRQu5I-MuSNL(>UF1@8YW7Zea_ zQ$XtZ+WR1v8!kM}|E@J_834Ijb5fg5JMT+FltDXx z+L`;omiNNrOhlecP-D($RqH9kqaH$4co$dW>jTr%omaAHKt&+F`%@SE;@C)UNm#sz z3Uh?9_|@}k)r9fY-rf2DtQj6iN9)39O6jb&@_0*)+>Bwj68a^%D1Tw}bQ^2GhVURPcemvE%aSvb!$!*+7dUPcyt@VamFk*#rKKKYG#frAM+6 zin>KBlDT7NExhAq@$y@tVbu%W_yrH0?|RHv=Gl}dm(GBzi-)Qn2yE+vvD0fo5B3zyg>f+_s{cn}K<|Ee2&+L__sqG#! z4@6v#pW2f`59y6gyT*Ga&$@L3FV`1S<7FX6joOxmq~&-@cO&Qds!?mmOEJkXNHPzU zJMpAmQK!$WX=n$ICmkfO5QJS+=BrR4;u$y&og3SvxMDJ)M`nv|0^S*Htd~SxP>a4ru1B9!!>&F{97Dvn%1@yxXVL*P{CebI@}; zF#XG!P>9la8}#}O7^?b_n&ioC%)#v`t-Y(N{d0W+d$n*~`TiNU%D1wDYE;RU;M2K= z1ZM7EbtSrgGUYYzvu-FuIh@W=X;g(P|BE8_ZDK^3mz_i#ZtVxlb|225n#o`5sJOZr z{dF*r8|W^iet}tUrvg@sDw$Wf-JGn3^SQ=~S-KSGqq_7ZtSr8$#-rw4=96XY3_{AU|&o@K{_ z&5i$B(L=(%WwqwkL&LshmZ>mvD$Uu#5@zfNOY{cMT-qEaS+)^IQ@lyf@vjv5g%6sX zJx=4;F5I<5V4+Cd(6{oKcudJ1Y_zqXrhV5hB=YC~*0=eVZ&b0Y29=3INu0kLkr zGwOTsHCk(`oowcNWXs@2M5d1#K0WocpzGPFOT`FSBdR3ZwY|H?g7sfXJ$@>+HsMp- zQp$lL|7}I%xiSAY1M^Kvt>Uw1(N&Y7)a&?IZUXytA@5UYy3(vlFxhz?wO*RaUojq# z6J;MgQVl}h4hT^Obyusc=_>bY#Vhf$w;B#Jx--2Ji5c9qPDS^`I1OAi>aTycSS{nu zhj>hGP+eav^T@MQvNl(G&$3jC6r@#CzV9rAc+|IqyUH-S%eAcKm@VU8WTp1l_Dr}W z8@v1z4w3!Hx>l7IRNVwXwSz`clO#$q{gl9(MW|fM;#uJF&0?3)1OH98-lk zT@CB5!rBZP_PW(cQF_fEeY?0qtbZe>)exNd-Iy4QgqwiXmjg$8;tDm7L!Xs$?U{a76BC1Q87Emtal?Snn#No7x9P4T-l0?VG3c$aKV1zK=h=X@L@Pm;zerL-PFD zMTU#1(m5BQzENAEygF!#3vITZ#T12$OPwO)fl%7Pd<45iSiZBB`q|n&`jh#}AwRn6 zr3>xv<|3@sB~RZ8z19g|7utE3ts734x_Q0GBE2@DUiMOz7bH>w+0g6Jox`&J6+#p4 z9E|Uc=)0A5;EHI|+nPKU?2RQ&XCcF+D@}^Sh&1UDRuKbc*DRI8hvrQx8Satoz>H%T z1fG&;jm3|DZKS&fONzawW3K1(ktOKu3u>};U9|e7ov96@I)?q&VMi;axaVDtE8goC zQ1?H+SJkbo#e8R-KEUX7+b%dwJqxaxVfA&d!Cxv|@y_o*$S{1VJDkxw^@(@*F>cY# zDzKJ1$+amKXag2V3h6eOQ#-ATKdMdKe}_CP4dm^>sgOSBaWkPr#eDw)h4ZXKgKF$WSoPmj1QHX zMIomgt1qo(wNX_*?E-v5U4Ke99Uf(As>QabDnhGGURi)9n_NN{>%$&t*U@A^rG|*M z`j+Ksj{r>3x#jdh7{UdEqXoyC26K2a_TuoFv&LZsl1a@rM%HkIx)+)HJxuy6d*)Wc z276Z$i|f2pjU3O0&@MaLrrMy)?HWWGOeB@@h3cM-9p;I**eGoQd)k`6tImw=Wmn$z zhBw@|*XET&It4%|e`3U)ooF*0`jm62 zUV`O0>?qi&aRDRs12>JauWgZ8!nj{DVZ#)0D}z-_N1gxgC4bx5yDI;UKNh{}Bc^Uq zuns-9-KeU(ZfTx5%*9s-l;&d-nH6)3N2;<5W|Hrx5)oZv=yug=5#2+%43Xs7q>tJU6D%llfin*;J z)%?s${_9o_rkM9F^Hp%)*Ugsv-TyQq-JeE`{L_fNs@Y#nLrfa5oo zB4z~H*Bec?l({WBJV`T~Kc`J_BC$AY{<&fZ7d_K?;EgUUl%QnfEha3*-kK~aaa}7O z?8dv){EvGpYU-Ay#%^yIeK)KOZY9~haL zt`}l_JMG9ZFyZ*CAhc?Db24;)22(e7(#iBt5>r=R*+h#bu>(5wS%B`m?f8qu&HFFC z=3Aj9v`-oY(55*wSJU=1Vm@?f_x&HgjSDmP|8GVv_FTSbnsZ0cO&Tr8g^U zeihz$dFqrw^P5^$`1vB3r9~%(iT+DHFYan3#LAKfZB$jeY8Kqm5}25iC0yNk!-!Ur zoLXJDNWWnT$BX{R7fycT5+i2=&5o;~9JlRg^rs%;(QAK^g&DlbYGmB0FeNL1?hkcc zXc<-L*k%x`6iQOSF?0c&Fynk1j>+!VMr7Q|X8$GUxEsaI0}OuBnDgm1ev7sP481?T z9%6qbUx8M>gKA{Vs%-x%NgbF6_G28##H2|LoaG_|G}x#ZI>z3sWN~89VJb8Lsit1Q zN2S7GDNKG;@-w7HSlsreB!FdqDx!Z~F}f7IKU(_WdyVPB!AVACyyAet*a08&!H5=Q zgHRr$GQ(#!v%vZkn3HnnYy3SQXv~2a?~mrcgTP3}W4+?+{tki=O(6%R-2W#iibWbs zZshM}(Ez3y;CXT4V+?KwGZeftoG_x4fA7AmSDp)Ml-%Em`~FH=fJ;EFk@(Em`XuI? zQ@8v?=S@F5`h_iV9sbHt?F6Pn!kDW&(X@*Ek;(lYT)SXBV`~)H&d8~jP+hX#khIJ} z&2C6O2Kg3`N49ckli6YJGtY|P6FP28hfpvst+9+@eP|y(DX|*oBFJQyIw5F-J6{@9_?9oQI_8B$}w$434D zh>>dALGFCC5_k;oKalcYuez&y=0`aGF+Z)4I_Aw1CO*JA$Y zm6+k_&Eh(JFZo;fsJ2rld}drTo#*-+liSQ$%d5k~2VwjlLVD!~fhTa2(>acpHJG3& zLBW%yHv&(EZ??Ji^VUZ>AQ3qM;v;~M3x(E|d~lczEU0(!Vh$hulx8YUuq82j4PvbJ zgP3i**#)sfgHYp5=ZCmF8i6T+eDl*fi#*15^a*2p>ZT;KAqv6qf8fo(pef z<9|Rk=NaZsXM8*YJPE($(iVV(D#&6Vb>LUOh`nlmXKvmBN~XaUrIJ={Kgj~s#KsE` z6xL)@&5f29p(TSF2ba@}kNd*Y!hZQ*1dR_uM|ikxSJG7f*Z~V&D*Z<@_j`paGsv3o zJ0rR|)R(r>!@6EWGnt8z5F9 zbQ+fEX?q89cbIhjLDBwO%m3(Hk8x2*w16f?+a4|( zb@<-IiilOq9KT>ru`5$%e z<&XmYpUQV;()E~A=T9)rNo}Xo1k)}^bg;R2Lw#hjJ~pZpPwa|?Tr{j~)&6jF|Ko6X ztX<3(-x{rJMVx5=<2bdtZvi3_(2geA)zA){br9Di8;!_~L2^BRsMlCU0Gb~eiZ$Q~ zd{okYcPst1M3BiawF2ggY9)^#lZt1MLGEwlVH$Tp2-e^veAV{Bc|~)0IbgI0F)?LQ zqk%NaFgw8e(Lz{sMl3PS`{PDWNl8kXFFwKh(b;jlF-k3a@O{Q~k}xF2|AAV6gW+(L zT-GWA6nONn0#9QHltRd(mB0^Sre>l1j}i%ph8;QiW6qtu%WO}C8jLyIA3UUT%{bdq zJLxn7q^Z;sJ1;!2xsRDhU4I6m@919?mS8_=ARm5l)hKX@HPccA5ttI|B#}_hZNOsK#Wr&px9>R zaJpe8$3v7WkSaVF^7EZxBUvJg*Iqv!FrinXcS$4Tmj%f+(2>CZz^8w~y8pnpf5F>- zKw8e@#A z7pc>C8@4`z)YOE2JT8_z>^}yEt4gi>sv&+13?2<;DpI~5PZptN@ubRm{g(Iv`dmu^ z4o{R@Z53Pkt8ndO?$(cNT|csY`^XlSfM0n1RSC<`>#5hfrLlP{E`ui(mPnseLWH&; zbdOVD$Yepb-@E(5nI))C6hyOkWMr{>vpo8zp(jrZwzL!z-xm`{d*yp4dzJ-s@zwG^ zYLFLiYu+<@?vF1}M=!UQBRuS`v6}*vVGAO%o&!^v4izQ+<4`{l?XNqrT)dP{yc2?e zyuUkx?ilOnL+cpmG`T+ZkikGgLdpUV?QBDkZ101SY=Jkn;SWwXb`FT3u*?iapp2Nk zV1Qx`Ml+qrwAOWGo7*SrW9!>X)zOHw7;%FbMD^n_Tl-rF z|6`p!h*AaSU z24pF<0)>bHT>>75cYT1{Y8rrEb>#5t!PXYRr+C|V3#23&_=^Hb28L5DyW-Cm$?xy~ E2S+7dW&i*H diff --git a/cpld/db/GR8RAM.sta_cmp.15_slow.tdb b/cpld/db/GR8RAM.sta_cmp.15_slow.tdb index 7481e90db1c94e79a9b61b515a079fd0d0397e0f..dd63b1887110888f8da77be1f471854277e2aead 100755 GIT binary patch delta 11826 zcmYLvc{G&o8+Ry@vb9hcgd`;UZfs?%WGTDJzLP}Oc@nbkvNL3fvSwdL_Ir%tk9QH;9qzh~jn|BY0&?hY1! zFjZYi+4xzg$j1*)Sk|bEK16*fP-lK2V+JZyqQ3j!BhNi?-Ye0pk2C{fKEw>)Vq>Oy z%*;DM=UGtZe)m2PyDa~mn{2EsW}x;6hhvJBo(cBd%yy95LEC%B=OGY%YZOt(_fgO2|z{K0qhAyX_SYzzM@Y#D6w*EbkJp}opNu>t3PMU zQJ0di2cL+fP@=ccqqRwH?XcgkQ4-Y`Q zm`QQdAV-H1IVNa-G_fB8SJuH{GEvV}7{RmG)*)dso0za9iX9ICT2y_7aR540L1Qof zN=7g{;q7r@4Sx@bGPJQcfLRqE@)~fuFG&H1JqL@{z;FH|X5!07UOJZj5|5*>_fq0i z^C{@a0K)xs^yPIN06%Y!Kwk7>9;~Yt8LfN-Wvh1css9cvZ?VC_f3m&#@YvWcw3p2X z1q+$YkxNk#``=9qa;*TBCOWrc-g$pNW|XgAXT_&R7~Lt3BAqog^6NBLl`K+Ut1kj( zSZBI4hErca(H@V33A^~GRxX{*rn%(pnz7wJI~zh|D6*;WnpLLd$Hp-lIKxCg?uX2x z=e2cYn9O(v;n!)mD)~*lcc&7_1AKX1UxNcRqU;>x&4WPJ0qPG<$kZOPmyThY|KMfk zr3Xm>*P`laemFOhXEdx)4=siwaYo1$gI&^Aym$(W|5p%p!tW&yptvXp zfrbH%dDH=9FX>I(#l=ppL4a|nACKkl=Bg z=*jMJ7rN(>YE~WxW>=zj==2#2Lu_3g9kp6!DmWruIZO^!6kqEe+gMF|DyGGO>hg6C za^Qi}EY5$;__99|N}O?CBevf12)c9}Tn!8a)Y7lQrIJuV%Hy$1%#@W-BHnqeeg3vb zEHzH?SAW2fX#M{6K{PF2E?Zl{K0_$cgqa_8DWIBh{Wb$bwn98Zh+#fEi(aJQ{trw0 zkk(V93CMUGtl&C~Vf8P%_U#H+Bp$1#l;%)afl6v?x@01k|h% zku=uM0}X95T2nGUX?AZtU&HJU?7L1$0-hfG$Vhby!mP8q8`>lvPWk1C3e{A~5m*OO zl91B82zbu)=6gywv5!8W>br-Z3M>gM#S1)F&)*HsA=R-S2j?%`qa=hADg5iQPX}S? zN#MJ@fTKEDlpcp+J@$G!NCBMGq402`13gap`th91I`8I{*VgCv=XBH7Fd{G!4mv+|pmH)Mvt;%cgk~lh_*N z&77u=EcX|4@Xr(kk!ikCyDzGBQrU(Z^JK9(5?1a~zKhP|Vk%ec0)X`LZ;@1IG7fsPhXB>lAz(p&u)@G8UeYhXwRupTd^d^4k`Npks|_ zs(iOybIh?`PLPu?OUay7R5rZbmuo3?%^yo$q|XEW->A_eG|uw1ksz3J;~LN32)Yh#jZ8TFJBWBx|NZ;b+{KAPuVk0--0>9)z!z9oH*Jr7CAX z)4clY>OA1gpN1d_+!a}o6*&Oeib!mVT?a4Yksws@T)lUTzhC`+4*&YH;EY!z`Nd0z zp!rbU&p`++O4C zG0}bT^yPu!t{scR#>xYdfe8|1+LA~7YSZF<1f}b@gGO%K=2N!}jsm3XLRA-hDM<;R ztx2o>Tk@lEAfX*YuM$_{8&*?q<-lGzSsax68Cw1(4wQW_=i#l>>PEA(M4~Zr$c`H{ zwbf=nWwYEXGW}iryWL+Sgv@9ZL$&t0@{YI8<1we=G|Lw8f!z0Np)yTPGH#ZO?E`_! zLK$KGn}_8OlUeG5lz@-Y$H9&xawe5evh}@ml#MG9?E}0o5jUrb9+F#ijy+>jm|-(2ZL{U6(i3U$kwvElLG zm2+zSL+OpaP*?7A<4hTo@^|ONoatlQKjw-*mSGZOpoqO-Qc(SD?ey;jV2;q2;3&@M%pI+o^dgo;p=2b3Y<=i ze6;hKuVRexBjSB2UJ|EcmFlGA(^BsmF&XVFzQ!ELF7>0-Ix6<|w%w-~22#h+sq^-g zJMWuv#Mj2p1dV`!!swCLruwL63~BVu!17<){ycQRr$~l@JTHy;qMOnO!AthDYzM68 z<1YRr226>2CkEv7IDycj(HF7SnYcd@!~R~DvHf&z?lXRoaw+Hf<|247dr#?2+$iJF zhVOp$=20bg`CqGj+)5H?xau@`N?RBk@?l%!_fnF%ax2ikoMAPRb;%98ZxcAXzTMb8 z+KN1D92e=_<#9Zc=J8DwEL;-ZF%}8F_k`*DOU27gCAQJ?#D^HGElgeyZQ|}18^c_1MQ?qH|W?ruRRDXK8vUqi!aCB#v zFe_YOImzZ8ZDyLFeyz;JUBX~qVr-%d2jxa{z9MqJvwHC|VMs0x_gDld|c}epLd&US_C^@Fy|Y{tVst%#kFuS80}B+tnT!<6@O%vRnDQ(0&)c zv)^KGjYkhMkj@)-bIg=hTBv?psS6^->0v{)nQ{1sKUM@Wijv2R2Q=sVw>`20+Jwfn zMS<@ta?MxEv4$&!w#iP@!EQQ3`1s5UHZ*ZNDAsm(9x%n{=zH*-$x$AV|JSx^w691{U+c)ZczQ|v zOTJmY9Zz3jKt-E>$LWJKEAdv^WyX{t@naz-w`wN)z9{(Q4}QpAP;YnAldob&DD^SNEvoN|@xfY7_g zz-ilX;MnT<51{9#K%VbW9pU#>CSIpTit&gmEWmEPzhfofmu@?sg+QRKOf%f_G+etE zWQ!Jm36A}Y2l35oesQy}1!F{S`h=~l4eor6AU&2*_U>O zpQYisW}nPljDN0J!%gyY^=EzU+oe_E)omBLGG6$M^>ZV;oqhu}Qc+h<^W>TlQtgDA zidOTodifoC6obBNwcmkt$Vso?uw3%$7iyQdy!$9*pFWy0dh7Lv`(hT@uI5dgBo z3iZ%}7K zV?lDUyA$hRm$HuQ0noWw$5eTQ*WS+H^Ft6Q>@-B#OP+uCsu_oj*GY6@fBLH9b{LS zzs;hoG^HmI1l{oX(R5udu6S)GkVCT_>8~8TwGIv_;nYYi1CdtJ+&0f9a~TcNqF zd>8ny30S37_!DA~m`)DXoP2S;7hF_QsWqCfpdqJtZ~_`!YqIZGB)qBkDu=`ct$fl_ z+WpS!wC2;n?{VDHoV<=ukZ@eT6g`+}Hs`N=x@C+`45{`=S*`P13zhtgn7Y;{cXkHc z5Wp=5aLpvNpo zQ286syYYVIp3g@FI-V|J;;p_x^*HF%nd>l~Kj=j3@L4V=_(1a7etKdo;3+q{Dy&Brmo$ItAC`Y;Km zgC45Svv0-xmCUoEpCr(}=M$MhUVlx)uxrPztlRhK{C5A}s2NH1Yo4J>J+3CDRZZT{ zj{c55r&;q-N7)!pZ591W3)r$#U4Q)3uJ4WflIfHdMvl(I?N2iYbsA37C`+ezAGqHX zdgF}{0u%4SffE6_B``~7s4Mv=e7p5pyPA4{KD?pr(p!%-yXXo#*QcFS@#{xQtOZyk z-9es!Nu9pdU!G_3#zvJe&r9Z&6d{`smNe((fG?bb<+ENt)GKF8=FvZfhvw%#0H1!j zD&Hhv3MPb3m3{u;^+Rp@$D^^i5zOll(3&}UG~fIr7SwBMZ7Ytdzz(fhP1Fi zRuJZ_nG&}DOPE}^_Ta|>gy6oL;|naNl}?K1RcXxzmmIzN_QgMLXq_9-d?R3cn0RjV z+HIsV(^HB}U#@n~EnVA34^=(JVREawPkAMImjCnePnla{+WmCDyiN3NtaEq9 zJ7>=r%0IGZ+1pOpyM3&7zDLN-tJ}L97I?)~mdEI>pdB?`+XmyLNGIB|@%-W>eX;9s zjb=={nTydMfu#l`$CyDI-L4&47{TTy$UeJXcTRq@ZF?(1UCD_fmaTa6uIl`~y|kgkG`Yv8 zdMBa#$&V_DL-l=EOZ%#8)a7Jb@WZ7qABZ)%{KS+V14Ta@3=*nBzLs}+CC)zH2siY_ z#-|uL-Y{O_zJ>HaU7lDctIxaX+)#3H)|?Kgv2B;PB95@3&B9 zD7V(WlD70l2g{Q#D17>kvtC1d7XwR)h~CVl=b9_|AsVKF<6%z$Nr{~TdD=rpZRtxI z*}>K8-N@TroN==+9{D~u^ZM`?BQY|k>ZZY0lIHp~U-k(vb%St1TDOg;(r}%>D(+E~ zn6lxMdGb4=_EGunHC8^y%_;8`!?LS}%q1}&uk8(^6dg+?p9Xk^XHaj_ULT}?S6SX; z__${<^I%C$QA#zt4~Tq<-+h^iGY~J+xo~=eIfUwxLA!K6`SPuB3xi0{5}zG^jrR0M zJ?W0^6p6JDfh(CDBZ61#bUICJo8$g8stio}rv3-UjQ#YbuFwhM9ccblKXdi&==#e0 zt{2Y!IgUu@liELW)fGB*=e7JHYBTmw%`ER1j_zg67LtBBz^QUY z#c@112NNxmyPw92V^Fs(ACL{Sqvm9;e{_C>e)E7RZMUOq1S>R7{zdiPJXiuI zdAQBU=+eVc3W(pKSZgFp#SVln94U=Xp`|Vg&0#M`Mm650+gmxqKT3bA%ORrjHsMda z`t*98zX0?!wM|DmAY-vek@X&DQL4=5KZ9;Hi|yXAT2KD#9X6nGW#&j|WVORbu&amu zUAYiqHU7|bxG47ReGRT#UQu%rpu!h(K0CGkc9uf{?*QpvX+;lXWwlRQ?nqtAk#aC? zzk6x6I(sxRYNFN9z>dfsm!G_Rt14NsFe2XFu0z{&_WoJ|P7ZR#^nEvVHzl6z_w-Zh zQRDIbX~9AjT5NP#;^Pp`owXO-v3SktfdUnJtU(z#D$r_P?uLw|1sn@ivm|QTUH`RY z^8`YZvj;4_l7q9LOozWy0=DqKD!eYi#9n}7dTv6c>Ocutn=M);*~ew6Vn1<8>uZ{ShPVeXySJkrVivO{vQc zX};oJIVNTt|QTIwvwJ3)6ImkKv zrEECLUkZ)fS8|faKg1xYRcyaH&W}fSqP<+IZ%BeSy|sGO=BeqB6r*&mf}ix9>zKoc zY9tUXQpXTx%9SrKBgk;{z7%JmSIYdHJmS-x|4nkI;|#OlQCdd2D)&164lMXx$4Uan zxqWo zg%Vsc_D~H+XD0!bBWYpY*K{g5+!1B3fC@vxB))%S(5OZoBQf!+ZRGibai3+zN4z{^ z5FwQr1Pb9kpg)YzT=ex!KhD8?^vMiZd8L=YPhbV6cO$34(Xj5Z20eVqE~K2?JYcd2 z&#J0kONFak4GI<@mSFW_^nW0B!}wFz+I;W7M7ia>A|ql8NkUb+~c#@&nr zpuF>h`1J$e84_@$>QzbeU|p1cIdmJJv?$x+H`Mu#lv)Mp8Anc@8-rm~ljrHH zCJJE3HYoI6n(#gV@P~iu03POXe}i$WxrAiz?}nvO3@Mncap(aSa(f>$XBfV$^6;hJ zsU8xpyZiMdM5~-)0B{?&s^}5ywh6*6!Ji?YKAey~*;{3E7eQ4_vf%Pey2z}usF?Dvp2FI^amMFblhznHv zoG}QhbLty128U#C?-I%e;3s5DbYjU(`+DL66tHY1Bm77cj>z+%j8is4rebW{JBsMS z9O|KfkKKF}l%+*vQ0x9VTWY#$F zc@s7b76R+d!EGRr$Pg0y*?GxP-fplEm_PWFGMJyx)2WhXjofS{mtmZK&k4GaNZjGvCI#Os`d+c}-qe=ud#0N6vaVI^yVI1}h?>XNl0 zJPs$nhZEm{SHD~Y3k403aOy4;r?yV1PMStO1n>F{&EpjXJIkCTFmRz(JP*bI;hS6TjHZVjQR=!0OByIL#w z!h51*B=3wrQQC~v6v8cuURBfE^ zehN0L6P$d?hNp-g-{v6!vF)el6mt3vU>gsZf72(zjt|$@^Mua=J+KaLn?b4_Vd$*f zcbE<{RD(m+JHQkV)rl!M!9QH!_M_;oWt^0db#Ox4h^Mq7s<3G@5Zw)QsJi;?xSzL!yzK)%O6=Z9QUE zf|m=CRfQEU4|--kIrp#S>XZMj(u@K9RYnfT5U@?;YUuoT)gvv%vg?b*jv;=ew zRv=gaDhc}^k8dyrxU7Rqo=a~TJ93}=5z!u=;01ztb3?WX7q&@+8Z2h(G|(_OrE}8@ zROpRB2A`}^NFT$|Wf-GwlU3Pj*?;A7pW^RUa;E@7WJ5yHtk^!R7`#Kw-~MOgf1;?! zxaa`WXBj(SusS|iB{9(&z?^IU&gz6FC_w*{0I;mi`Tw8vtGODY09Am>Uo0k$ZTtO| zp6j_Mx{!20c@hG=+JWp)YH%e-iRO@_A-+dDM_Jos%oZceA_jTL$5|C=a0Z{Ilwl(k z6^;ooZ#Xn#RdVM@6#MTe=)k2qv#P}Y8V$p?&(r$Bb4SAa@M4I9ilh|)>HeAqo;tei zOZ4HQOp^8RMIfXBA>&YJBe50mS*BQW!~a9GA*|{S#Fhl~Q#7!!wToe+hiBz;`zS+Q zf+NSo`XDi0^QQt744eM*P5bjE#M|I)w22OYG{^eUnsD|!2X zP3>O{=iKt>PZ9roXwCcAAcju5xMDEPg}pduqv3hhd=RJE)4mk>2eYx|uR0tLZ73qu z4LKl?_XXP~Z|yKcfIdg`XVWR~!Moq^Og1r4`rMgw|KH6r_9Rn@%|2t1tOD~tM?I!T zZ_^&%l~Gn@7R4D_m>EcZEop+fYox7CYg;+f#VFAJP<$B{@rS*>{DCQ5LH9~Ob8kqK z5`$X8T*&Zx_x?p~y+fIQ|88G>3(C#2bs5?OF)#@@;*nzQ!Z zd-pKar~P0-va74FWtz3!QC_v&QPtMWg8RGK}% zILaK`GZDh>mP50lR|yj2F4tt9ng6KIl7sPcUmK2@eU2y$EuAd zys}Vnv1BosXH%CKjpO14%C-@>@S^R@vdGt= zmCk@J6Np~DpnS9RNyWiq^M2m+6Jv*p2D?tC!of7}4-b}}&MbSx+PnAB&2zC$l%6ps z!1j|XMOeLytZw?W`^%VPUv&gV=ZOmK*?8Rk=Fe`YqaQ)P&tdUIQYO8?ynmL_Qi-$D zIFg=&F7xsi0pQ$JOl!m4e8uv44SOr6X>IY>?su^>9oi%3eJT0h;`-=s{WC=jTVf!K zs-nQtnN^tqjGA$v_~)sSBU|BrVYk;UE2r{szTZD)u|M%NayqPc zMa|f9PK`&J2aN7%`EEfTc4eY8TZ7HOwXMKA`Rp8zT4+2i%VC=T7Ew(jbz`I3sO&8O&rO7W!te`uvb=ZO(4xT%I!>V z>sF`7-2!H4#j6#4zIuF*le5&TY9tWf?6-{ zHQhemfCncld#3EM8+}WGa2QkNyk|eCJ@70o#>4!<)$(X3cZFAp0rJAN)?2e@+ESYW zQ~f|IlU;Wvd-z!rV|UK2_<*5$akN;ZCxe)c`PCtUUgY|-*#36=#muw0$;gBDa6WNW zG%r_ytmqv~ii_O}#K6l=n7+xjc|}*j(yO(t_8_Iw)5FfW55m&j-y35VeV#BDxKrbh zdU2H-g=KQ;6MF#-(&8bs&b3d`FTerg zT11|X6y5>i*Xsm@cNpz3enn?=MekE42wpvHd&#Te>t+Mh38vaV97mU-B+9fH*WfsPBl2#FZZ90Z)!E z^0^ce4j+78cPJHBteV)h!gZ~$gWjC4O9yr6Bf;`G9#hH(jE{F`Z$2yxQ*ugl&lRgf z!MbtJ`6=pDlVm)v%%Bj<(b};RT z6@2=>@>~wd?uQ6pUVcM_zs3^V=o8@PA8=P|f3l{B)> z9r-&bV@zWb`Li~U3la7g^IIjP^Rj}h2CAv1j+2~!X~`=BK2-_Ezu8d8bc_uhj4WebZLR<;t!M1 zCXIg7%pWf%6_Y+Ko3(|ST5fks&iM-?8R)-z)e@)o&qFvZ0JTk2nV^l&^?Az+IT`%! zhA7G#kFDw_ht}6ynBX?3k z+NyXUy{iuaP9|HCxdBs9bgfdkcshg*Xu8SHWOrp`gU64%5MUcomNUDWo6nfLLZAEG z{ZDV@I8i)ms&99v`mcSWc-%-|6T9FU)!bL=x%X6aYj>IzTGV#WY)L_~?x+*+)vP9s zO;TB+=iot9!`5(mgR@h;SAz1$(0mSmI{5Y9nlXf0yJ9&XBW2e#kM-BB*3bkB=)st*?u3E z9rzQ{<7tK$kdOG!W$?H_kLj~QYdS2@QO2E!2TfP2lLV%N3OjFLzm;VaSzp6SOn)!3 zj=|TKWfWM`V8hDhG)WhB1`0Qeq8nZy6Y-S)rfDe@f2z2&XU^BvZVW&s(b=<53lG-@ z=!=epSaV&QHpOSNo_?#2lXt{u0$JLlUMTs$VE$R~r|)}56dg;r?$59n?NNZUPz=vq z;}>fB9sWngzs_Ly7AkZKX}n}Hn`eL|W@Rbv1)VR8fsuD%DnzQgG}mRnW$NyWOCEaQ zuhb*h&*P?@pINEy$J904`CA(+nAj!ROKUTWso&QLdeugbt6*s``1o^a4iMnIBN9E{ ztQ%d>Y0E|xa&kH@aGzE;Ms*9QA9YU4oy#rZi z`PO%~gS-!oxkDa*Ky?#4hJR^ZbKZ#j{xMlo zBrEw*?|FQ@TW0#wha8WDw&y|VKc?<}RnC%-YOS1mQ4RmC#Aw37vC1GQ@JO}@!Beyg zer{v_Bne*W3vK+x4@SdrWPq{CQ%3VB;#iJ*@m4+^xWj2zLO@Rjc?TG*1IZMWU9PEV ze@(cSGYBJ(rRpYrk;vagxE|aaS{Q#l5l7XtGy)vXOm8e-h7TNK?7*;>m}Vtd4+|>1 zh)d7xo(w3gG?fg6;@Y#td=0reCl19_Zkcp7)xC_Nqsv3t9|(8?v~Ld*s(XwMRE+*a zM_&PLI>df-bnzB_i?}_K5#ZqZ)hKk-DKuN${`5YEw5RcU6IUeB6u+vYFgm!P(RZsX zQm;90oKTmWEN>%x`{`ZkdZX8)>?`G0=@}ddd=mA%>Rxpg#Sd-blJok@%3recJ;@RG aMEvfy`%MdcmD4JZyz*CqGEVuQm;VoXOsk&& delta 11811 zcmXwfc|6qL_kRl^N@QPCgoJF_jim^MRFrM9XNe55&nroGW0!U8Dr;nCMhRKRQg$Z$ zZVbkl{pvf*zoaghN`^UZE8Ry<*oJ+E1Q;a_Izh|2M|BYw9c{*DI z+h>}pJ`b-y=A`#ze0%FsEsefYamqx(O= zp_8V6RH*v=9Ch{_6;;Gq`2^MtQ#wbrEQAq4Wlv}v`|w09#R@{5ODAk^3;3rHdBt^R z#i#!7;yxKn7e_#HYZJo?0Ch$M8YoT&q%?vQBYvW>{($G4qgIUelEG)GH0Mqf4d2xs zMn_h@Kqe!>p$k8~-%nmTQMC{ntQrB%kSE%9id*VV}F2%5$sVXN@^$c1#cLL_2`i1Azv=6jaE2EO&#r(!=Ak8L<-O3 z%C5|d{tGx(wNrNKH#+PlEUmyIz3gO#F3p1&cMzAtcz*r~+n80XZQ}{cm8~emw7#Q7 zY%GVi@Q39vkQoYLhpRptBxonVLR10o-9!7HgHrQ3&F%N;fFs+h`|uB1!Gip&;0qK z|JDJTg=4<#Jv8kC8LVB0C9J3wbV&SLe;#y)TaT89tVT815*}5|Hdy{_KFK|_=9#1q zpN}edr!lLvHc8k|rSNxg3z?uy-q3lOP&m}yUMA16g&nODHb_N?Tz8!ClKvXsTVb3KklXm+B}__D1c)KP`f; zbpgR2X$ibkS(g($@OvK)Uqq{R0WTtiJ@?KG#tAQ4#VlVLRu-@^X}_i|z43>mG`UE$*yW(?{#C0mt^6^odO=8Z$ z(AyYf-}cOHy%v|8?@7wfy!(}dW;R~+m(1jXLpgdJHJ>~MkT-u@R(nE7zm2bNhvp4f zc2piVCe*~dSU+}Gws^B&ul7U%G+H?$`#q1GZfpUMO`YYQu-yzq)l<~N*N8J2?PT+E zsrlmw1kgS9_Wu0zKgSDRZDuE3GO7!W;&hzrrXxyKTQXOx{aC!1S7$Pk8#`bd* zFM&De{&OcFcE?o9LlGdHFV5JM@NJa4LN+wDKuRA}G#h{|fn$K<to)PiBrIiR16Xwpm%+$MBN;%`eW4V#cDi17`re_`U2J%X=;F86n<$ZDsw^KNgn zHtUGz;m!2Ye&$F;o~?>$C|Ag_q|%nOQR zMf1ccEmH>_7lZp~cDc+xd(6lwtxM|_K8Uls>qRTR39ql=ou+J~iOwpfU47uf#b%Hj z2^obTjc9SL>;55ZVwxU<`o@Isp_ktrm~sn6LPSi6^)aP1mff6DXeN-pUeA6Y9DSGI z{jNjWteO>hO}^ohNrq(+Y*nk##);%z7fPCjQv0qQVA0IAHLM7?dK zJ(Rc^CJC)|5~}kAW&)^(Xqgm5V*`@Wzfstc0-<_(kd*uP+f2WropuX9C*B`9pL`2X zy}0^*OYEkht<`{K&B#e^g4JG)-Sq>voFJl3h{nWcD7#xy*>5+y4!QD&%)`&3u-)As zs?>BFY^t1FbBlRZC*_azUyF!_Px{2+gL)JaGZcPl&_nWpERuL*^SM9EdTJIBTpa(6 z^*ag>&&L^%foE7fv5_VHqgsICcw0rftMMm~riz|go~!`4s$NKVv*lZAk6h&lPg}{j z{rs=nX%%u6VT(^t94@~iEk(zKu+1y&YYOHN8T2Q|;nr{3d2yA)e3@yu=ha~Ys|BOe zKt+P@Gxc>nmiIA#$gWCI)BWM7cGumNYvz5@ zpC@#*6w2nt`aLGU;&r>Wa<#OIl)u8$s(LMNprH8;aHRy(IyY3MuFc<}{^7Z*NgZNe z{vS0^7)$7_hj~&K@}bnBiLna@e|jm*Zj|mk^_Nz_XCHeH9hU~f(G79b&bU8kD-gI_QzM0Ipc&s4A8Y{9l%`+2j32z*x9b18rVLvp zY)!GD(De-i{h2Q3>Qhsn27<-LMKT1 z9Y3Db-BC~^n%`g}x!o~d)@=! z_t9a~t#)NLd{+@~FXs9yd-LmDj(Pm&k^P^Sn=%FU)NLG?!@fi8+Fq@>xc6~yk$tac zmml4Uw;k>W~;($O(H_sn70DhcJSd=Y4m+gtpcto$t({DAj& zqx>NmKFr*i7m?Gp(4N&EZPDn^Fzy$aR6-uF+ZWOqXo!BE%Ys)tpEc`KZ$QB2;~$9X z_LXnH$2|}YqOKJEhZ%7J)bE24j)9*KBK_Ruyf5U^k3;Z)GiWm_MD|IsVx{Xh_$Jpk zlX+MR-eec^(1Y$vz1QDwww#m!2n%`G+hxb+9xlX4;d)Or_{)f{YIe{{j>KcvJWaP2 zuNxPo|M+D3nV!{!%RUX+DbXNh|GqLO{^bL;lt(Ace$4`Ef#fAm$_)~s4ueIlA z$^GIr&+f+AJ?7I>0IY+atsQbh^YY?66jWqr02C|=1<03jk#&3FdBd-Noe+&Ndinfd1yCip7OAO1z_ zHuS<%*pR0;4EO+sAHQ30og-d)J|*QW*%O2%^hh{bHy;2QPTIU;qyr2B}EE^5y zZ)qEu6V&X<>^)<8{dtImBjpaUCCYGmndd~TD7j5|1P~qjiT$hAbfidxq~gUAdECgZ ztNMvhYNR)yDa5$2;V%z%m&WT3P2{KEXr1#NLzM^e8Qg1`sTVFt=MxT_9vv7JLL!tP zgF?{rR-~3M<)bJM%>=8F+FYMFHSpT%=Trb!G|^I3?sG5RrQb9WwjG|ld;;BTNNNl( zA5}xI@DGQKtOO{Pb(2HYU-kS9>r7=+kREQXXb1k=o2;f5JQjIQdYuvkmV3nqKc*@| zC7rDP1m$^;*5_%ipRc7Fp_ighhTcfypP-9|!9*@>`-LhFqwi-@{^~LdA8@I}ZNEBz zEB={?(Lj0_Z#oSh^0&>@>vcqOj?gZeT3;Mi)3b;euF!Oi7Y|#+N9v0k_&N6kYg~6% z1%AvHXHeuJD;f*FT3m?S&0V(bVCjD+Zx3_H>#=Rleq-Es7%K3Y9Rgv6xx8%B8biMF zA<$ia$aiKRJ`;nA)ro{xNF3z*VAq=-#4kmwlMqzjj;dsFWUjw{%3(W;_^#$v*IRlS z?@sK!VYCa_@ev2|N;ZzUCbd{|%6Ci+sIL|x+2`vI2ZYSr`uvwm=!40^d0y4)z}NK> zI8I{;sUI4*UNRgk4QN1?@-_?;qNks@GP?FkFPw8DXAC}H=h6CSor@uBdh6ZD$Nf#J z_qmEP%nOzAQX$kI$MXj1_b9kbl-ecUP@9=up7O}^#J*_z)|u76zFBr3gg=r7AO~$Y z4)&68r-nDZkl4)Pj9}PLp4V$`q3n^JbP#geqaHQO#SWd+-FfB^*AUfC_LIJEvPX(b zkkrY(+<%85j^T1vTh?h6^-(vh1pT`D*eFbX%i_zuVP$T~hcDRH@fzaN8neE24cY4} zubOa*?q4WQTz@3w>Q72bvGnmkvxje$O6IHZ?drvn$ZH}0IIYQ7D}TKMh-pD@lue>V zVQt#WS)wj#yqEU$1D^t>?*uiTBVY^5pBXQJ^i&uT!MYMZq?5#-ZyNm#s05FDsx&BQ zPk|JLf|Vlfs(qDb4P%LJmk6xfka6WVo_UpDUgXW0+Y}M5(W@Mpb#E>afbc)KkaNA3j|>4?!8uGsT-+ItEIH1_pg!D_ zLI=pWWrhO(*`fDL)B*3GC&rouA)zm57oi`ZsSNYua!8f(EUR3!AyUQT_b=a>ht73v z))(b#6N?&A!%}Zr<*%D(+e2=aMaG*ePubTC*b$wg&6tga#&4RB9$QS@9at=#{9r%h zqS}6>{O$t%i_5ZMu?<5I6*tI}jj*a}1B);*tVQh7K2PdzP&Du_F}f49Wcsn{cEk17 zSMBG&=v+4zN?zd_ewDhe`PHGD@8-XJx+jC*y+PV&%=E$p9*ZtOV|up<4*?kJyxz?14q#AC?M zqxU~UbeBo5J0yYM;uor6p|AJ`IM#0YG2cqmxz#t&)n-CpN*I6oz)r~SEa%6IWpZX+ ztdb6_vWDYPR=;yx%y-XE#dJx%tj6Cx*2;-jX}?RP-r@SNZT8WnRL!~lx}EVSdpvg~ zt?uPJh+S6P>ea~SDSMF{GMdfM-_Kj^&4;CY3#T}q_I9ScMy)WqW+Sq-KV;3-@QiZa zg4+3dJ|(^~%YBw{3ii#36n}~pE$>*P>nU-vB>(l6<%Q0GM8~? zq!qxOoUF?BnTRnezVAcd<`yfFzC=YaqzN6$&o~K`?aFl7NoFpqvl~tK-f&nMbhmO8 zW<@=?U?(`w#^!nRnWE`Gb1Y0(x4kv%%Dsq?sABt?%_p;WS2vATnJ&F4?-oB4qldfM zC#khN0Jn+{Fn8%r7m3fyw;ERuj}9)}Ak5_3M}L-*?_3NEV02b3{_~?NWSG%TI`EMi ztL!fn)JU00b=w^9Gx+(TIAE+N*H36ceQ#hUnEORZ$lO0{nvK8T!NLAS%hi9IJTqx4 z>x=38?)~)%Z+`3X3fZyMIBV#YHTw5s_xqbu4gt@Kf+T~?o{fE7UCb%= zdHV{n1AhP)Z zkMZ@7X(C(C`zvTc8$BbpYtHA%n!&m@FGCgUZw~txY#3=McL%HDZ2oX-zmNbliIWUy zo!SPFr%x*cXT{m>9X?lXhPb*qJKB4sA{-j>&RcH9OmKgku1tEjzC7R z7h$&AA$&wa3#6b^HjD`Ccin`~hyQ4+Yd#zT ztfUv~*gfkZ5VOjd$xtnvH4K!!QA5WJ;(9Z}^3z3yK!vvpoZ*!DWvFHf>;pLUWaqac z(SJ8%u0DAYUwc@b@l!{IAd6xOslFY}s@3n>6sd>f?mX)@caqk@&(dhyH0VRS=}g3; zZ?9*T(5?^GrbJ@M{xPw;Q?P;KwqaPEKRFDaHz2^S<)u34ruwo?p!>*}I52h+LTsPl zOziNv)K|s7BO7f|QcWy90kHCQTo$kFZkm_2?W~YBhIY>=u#Az@$xjFn>YzWlggjVc zyX_^3RFzeU%3A+oE-$*7xv^{qD#02a33ye5Q!uSm|8%$?tu@iRalj+Ae=-WOEVU?G z1x^7{pmxHd`RwymdM$OL#xpi}B<94BC`J|wmZ|>l&tPB-cxHECTGZyVW@HGRBN_K0 z35hj+G$~CWWj}qx7K0L{u-n$j_8FrrTeXmz*>GQ5yI51S-zLG-l3Yrd#u3uCWHA6I z9J@5`PxVX3v_e;oA60|L(EhYP0aTf}295W=vJ&gCei%2h`p~g@AhWpmsT=Kvi0Cf7 zG{9yIpdhPdR~e5ww2V)7Qm6(qXyavB1IsV$2zFgteDCrC$gcy6dK)B( zFb_SVnDgqmK@GPpEeUl2M!T^!qOyR<9G;wVgbxGm&QA7D@6no<(KxCN23E@H)PB?g z1?KR$7p>5TtrV;adc`_(Nh(3x3fZ=Y6r;3)BmmHzJ<5;fL)WoMaX0FtR+@R}x_SrZ z#OTCmySy6ZOjk--Zl0X#oGLN}apl*~2iq2`$VOzmrf=!`8M-*Dv-xdVI z@URJkHOA&)fFs26I461z)7=6!1SWC!TcJl`v~m(4`LjM}0Y_BZEY9o^C&&&Vt4U4O zlkjRJt@L1(WrKvrxAp-4pH5McKR7(*DI)$28W1k=w8aRQ667|w%Q?^l6ZkIn8EpWC zZd+?=GexDRP!ToWDPg4ndIgwmZ!~a9BSjdGp4@5$CDjFt!DamfX*QXeOX}#&F>0BV zR?BwlHs&ihCEzWjR1}Rr#8m^}uxb=o5>)!X1dggtj1FwELqpb+vYVL)dI!jg_7(WO zfaSsTl=dU&lN4d)uxh06RyNqz7CJ{z-aIDRAzRlhEy-!*V#zmS$c_Ixy`=r|cOP7%r!Vrmdly zk>33H(@Gteo(u=H`cZO^PK>Al3Eq3H7@`;@m{yDjnI{gqv?|j4C_F4D3Gc0Cvr9Qh z(}`e98Xy)b8hjPwVx2Nc@#Ynor)6My@x^>c<8;PplrQk8|GW@O zP_+N3IIwk`weik=Z3tM>T-(||!0vf3&3hUjj8UzF5mK7Szj$$Tx->go?S7CXVB9Jm zelx-J;Bht(Ona{XW{wnbx&Qg;Gz&#T_e1VplxOI z58=L^g2O_{hw}&y;h2T^fO_e^ZHfV}NC!<~Sz&KmZ(6-HQC-U&*$&#vE*!!k{)?4j ztg4lY044uNVk`CGTWG)#YAtxu)0ik821Vh>=}R|8bFf~GVnLe#)gTxt(t#YoN3AkG zI5EOXdcY}vrW0XD_<14R@&RshCVfC|B~(`Mkb*(_3j$2D&N|y$$o|arOhr&{0(eqj zdke56jiJG5$E<1S9qJd!>WD9pxl>KJ?M-IyRujbtWgVyWh3}G5t4Yl1vM5tY;3kFo zTLbu4suEOpGY(K0%-Rjk-V<%#*X+TQ*JyiD)&iH^2DX(iz z?nwYohh%LXh*}!)^=MM3y}&;$i`JLch+;rcjMgTeAq+!16+&yA-l-EDO+ABci$qg@ z+YJuZQrKJPcJ^b4Ku}V5P{%j}KKMOU75{1X&fKUM1mnQ5Z;*bQ#M!^C1BW)#&yZ`T zygHJI)I@NQpM1miN$Gl5OZ!_&O4RZK_yP4%Fw`%>8+~xv9OqXbpF)YX0zFOfNom5) zZduuJ72BCgX{w3{=g^y)h-HM-X!39rZhXR6_ zz%lv%fvt!UJpme2mm+HrIEDm|oeW`4(hX#v%&UEp61(IZ21q6#y@S!Dal~@@NgCUy zU94ae&DoDs{#Fp62_1Xb&n;uzfw=>UzUv+coW9Q=5ZX3#N=^F0U*xn5niFIQZCoDz z3oxAu_b;`1wG``L3JVEcZv5ZW+;YzDv6xG!7%^0sX46~l5h@niI@U%kKbT)IMd45U z1(Bj4^x>1Aa-ealk$}yK>hpFl@GY^{GseP|4aXCkk|Axqk`GK#B z)vlM$aDuFZPo8vCmcZlJc~)B%P7-tj?ry!Rxh7M}E?19lU-GN_Rd|qh`AV_aQEr;eyruc&BIF zt)yi$Eatp;qtO?+bJB9Jh9K8p>fZiX9LD33cVpF9T1Z^jnpgbm)O!=IoWr|~KK3s> z%hx@ff_|92uV3-{IAMJ2f?e76*HYtbKyWMuP;nPL* zLl9!_r>qyblS{U@61^QDMJ!GZETt#i-yb5T6o+oSdLn0$;WiXH?8-9qq0Q&k%)J8^>yZzE&B*f-%q3>f3nhtV7HGM|MLF^$lx#_g_o-Vr)^L#+zIg2e*};=Y zJltbeU5cEGQ`(P7Q#V-}`255yef8tqBe)(-g#uin%X^MFc8!kpIu#jll~MVBZ-?ii zR+1EWzXtETU>?wwNe(Rz=3?k}Dg7DV{oqg4=Hq$PioEwvb4 zu&KQUh*b%YcaT%a?NVlwRN(Nm?5t-l!~IIX-d-#+RdTYBArMnzfe+;L>KYY#K(R(mbw z_a(-)QjH7db{d(@s|nbHr)#Q*{WDQ=#Si^}pVq(C7oWxTYq;8FHCgH^>kNG6vOPFH zkO}%*B#+9nT-z1v8OhI(6II)gUJ*R{dfz!;p=NM)TXE*OaZlw&^<+xGWQA8vrKamx zNv1T5UgE@*I{GR?9=?mSIrws)Sx{RL(a1{pzBlQ>;^eONi4HjNrW_LEiL(}Ds%AU}x z`xN2Fw17W^D>*pF$Kc@!m`+%bHHZ%f*ZtdbhwPDt{|S4vSE0e*&e)1%n$L;AllC{# zB_=;s7hgN{-$(Gs0}%+!`$kZIs@dL0h~|DMyN0(e#p!4_>R?;~e?RDAe;8nv9}DqL zD=!Sa(T^&aV_tQAj5xcxDFKEZ_)6Hj*D`PM>tK>c+SIqUi=lK|{DshtRS~$l%spp@ z^JUuytIB4xT6%{M^(^wwgR0$5u#h9RIMYtkud^Jx+k6^@S)AGc!wD+`Ew+*B^T)Cd z2P;I>RRJ70gK47uXVA<9ze^YN`Q$V8*3P8$ zvPy3I)wW+<-#tCFN%Hm9LkLEMI?~k5XeCwkl(5{K*-w=7o>2D(IkN8tos(JwgZJKb z;OsF~wLYFNBi;tu#Q7?SfU3`<%Ko)*uI7z2ojvN{C7L|gIB)C^XncS-y$g7~Pn8M; zvG3b4%~;Uqpy?^z+O!+}Z3T{c8&eEwCYSu)t-yo}j(6T`$y2YagTvRk(gO6>#|sbr zM+k&?lm0o_A?%+mLp$aI#^C%yh4yUS%R~2(rZW`01SUK&p}>)KLq&Y#YzheaVW$2f z@%M>iE{YC?F_Qtphh4STy+#PDr(SOV2$BlqevgO$AjQ1H^Nr*`sU>KRoZf*$7m*eG zJXr+Qb$WxsFDH?)SO46V+&GMHgedpV7U11RNG2Pab%pqgBXDnA2fZpqSZ_GuwTSOy zoi+6l+MEcv!3$;+%AbO=)<2ess;bINmOclO9R`VH0Nd9yXz!mx+t~&Nw1FS;M^Rdl zy``_Fq#sRn3~n3SV_7>lWy8lq!p93Q<;t858|CroKMRp#oPAgT9!49OweU7;aW*4_ zo1ve>E0*~iLbn_lB62R0E^cXZyhSs&MHOo_+XJqZ_rb=M_hs+X)~4!1d0JUJ?MQ(; z0Nx-7VDDEh*7&g#CDlX|+PxZa{MnKBzE{WzA>jk<56)%8b|YwQpAgQ67D5XLwAdrwoKP)(xptrM)m2>fvY zk`a62G7vZtb+?ym|8VOgym0PN2U(;Y&~6=pIp7LiL0@i1yZ0GW^E!crE9A6kLHYH| zl6ozgA^{VOkhFQ$IyjdTnl67kNy<_rvF5%8N}HgT1~(w^rGd2xx6)jTZJ1Z3B>>vF z)gcM^`vbfL{$3DQzh$w;)zxLQg_r}Z1V)=cm)2AqdcA+vOp9r?N@7x*Af9HbPcTUH zC?cQlr*WTNoTbqM&&H=cdUaKYqZd4mnVC2mcYpFkDmGYwyCH4qZ9sPA^v~T;>}(z!zWg>MriR8k{B+_mE3K4a@~ynwK1st+yE|p_&Fk-3h{#1_Gb6j58fCH9^eE9jT|#lYJO{J{aJEn)m% z(pTxSOY5C6WX)>vYk31_*mCT;EQa9oS}VQW4?!L&)^(oWbv*C6nqG+rn$Nj6xM?t( zA#U;fV;H1=On#dh%CZ_oH8@WA_WaCZB`H zAUV~ku5Fddg!58aqmojYGaLy3mz90>NW*a?1D~Um{*BGGE7*7s26OeF^%vEoO3M}c z?)(_?OL6=jOx=6@Y9M*;+@2DDl?>Oy`90Sz{bzUHM~jWC4LxI@1nhpewy-o$xioKm zca>BZgUqBF>J`rrS#Vp22{%5uyG8(uqV$MI+sePEh!-xFy}t(S_TRJK1a9H+c0fqn z7ood>*vJDFp&73HsG#6pE~NzrY2~Y|Cl#5OB;?QCekeP2c54Np04#B{rt{r*(U>&# zsJ_`ZI{b3MXgIql#<3m_{8OL01@v{%<*f!{ZdN-&_CzP-o>Xh4{=;G~3iXse(5Y{(weUr` z4P*v6L&{Ub2bY<+W^1R52U+(;1r$)Q{<%gj*yGsbanicAh_K}1+gq4&)$0mBE#yDF zV|XS0A==M?yVha1!wC(t$T37gzUm{JfsD-1YxB1dV)^&-$ OcghBlhoJu{`u_kpU(@mc diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb index 91bbe104745429a84af8ec3fe7aa1f8ec8927ccf..42a925deb75e79279ed0abcc68dbb04916b2713e 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ diff --git a/cpld/db/add_sub_qnh.tdf b/cpld/db/add_sub_qnh.tdf index e863563..cac7af8 100644 --- a/cpld/db/add_sub_qnh.tdf +++ b/cpld/db/add_sub_qnh.tdf @@ -1,5 +1,5 @@ --lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=7 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:40:SJ cbx_lpm_add_sub 2013:06:12:18:03:40:SJ cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratix 2013:06:12:18:03:40:SJ cbx_stratixii 2013:06:12:18:03:40:SJ VERSION_END -- Copyright (C) 1991-2013 Altera Corporation diff --git a/cpld/db/add_sub_rnh.tdf b/cpld/db/add_sub_rnh.tdf index 9106a37..9dbea30 100644 --- a/cpld/db/add_sub_rnh.tdf +++ b/cpld/db/add_sub_rnh.tdf @@ -1,5 +1,5 @@ --lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=8 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END +--VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:40:SJ cbx_lpm_add_sub 2013:06:12:18:03:40:SJ cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratix 2013:06:12:18:03:40:SJ cbx_stratixii 2013:06:12:18:03:40:SJ VERSION_END -- Copyright (C) 1991-2013 Altera Corporation diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index c3b50e1..07fdbb6 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,78 +1,78 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567533898320 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:04:58 2019 " "Processing started: Tue Sep 03 14:04:58 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567533898321 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567533898533 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(38) " "Verilog HDL warning at GR8RAM.v(38): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567533898558 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(46) " "Verilog HDL warning at GR8RAM.v(46): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 46 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567533898558 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(194) " "Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 194 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567533898558 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567533898559 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567533898559 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567533898605 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(131) " "Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(163) " "Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(168) " "Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 168 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567533898607 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567533898686 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 168 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 163 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898686 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567533898686 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898719 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567533898719 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898736 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567533898736 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898750 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898760 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898761 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898774 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898783 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898784 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add5 " "Instantiated megafunction \"lpm_add_sub:Add5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 7 " "Parameter \"LPM_WIDTH\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898798 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567533898798 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 250 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898799 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898801 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 191 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898802 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\] lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 192 10 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898804 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|altshift:result_ext_latency_ffs lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567533898806 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567533898861 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567533898861 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567533898953 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567533898953 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567533898953 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567533899135 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567533899135 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_MCELLS" "103 " "Implemented 103 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567533899135 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567533899135 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567533899135 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567533899176 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533899220 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:04:59 2019 " "Processing ended: Tue Sep 03 14:04:59 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567533899220 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567533900167 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533900167 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:04:59 2019 " "Processing started: Tue Sep 03 14:04:59 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533900167 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1567533900167 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1567533900167 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1567533900219 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1567533900219 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1567533900220 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567533900261 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567533900263 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533900441 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:05:00 2019 " "Processing ended: Tue Sep 03 14:05:00 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533900441 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533900441 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533900441 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567533900441 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1567533901287 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533901287 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:05:01 2019 " "Processing started: Tue Sep 03 14:05:01 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533901287 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567533901287 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567533901287 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567533901404 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4521 " "Peak virtual memory: 4521 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533901536 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:05:01 2019 " "Processing ended: Tue Sep 03 14:05:01 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533901536 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533901536 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533901536 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567533901536 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1567533902188 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1567533902511 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Sep 03 14:05:02 2019 " "Processing started: Tue Sep 03 14:05:02 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567533902512 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567533902568 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567533902719 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567533902726 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567533902728 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567533902748 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567533902762 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567533902762 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902763 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902763 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902763 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567533902765 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567533902776 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -1888.500 C7M " " -47.500 -1888.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567533902778 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567533902782 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567533902786 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567533902789 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -450.000 C7M " " -4.500 -450.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567533902792 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567533902856 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567533902887 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567533902888 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4541 " "Peak virtual memory: 4541 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567533902965 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Sep 03 14:05:02 2019 " "Processing ended: Tue Sep 03 14:05:02 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567533902965 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567533903599 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567725517560 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567725517560 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Sep 05 19:18:37 2019 " "Processing started: Thu Sep 05 19:18:37 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567725517560 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567725517560 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567725517560 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567725522623 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(38) " "Verilog HDL warning at GR8RAM.v(38): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 38 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567725522951 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(46) " "Verilog HDL warning at GR8RAM.v(46): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 46 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567725522951 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(194) " "Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 194 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567725522951 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567725522967 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567725522967 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567725523233 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567725523248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567725523264 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(131) " "Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567725523264 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(163) " "Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567725523264 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(168) " "Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567725523264 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567725523264 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725523811 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567725523811 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725523811 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725523811 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725523811 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725523811 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567725523811 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725524280 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524280 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524280 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524280 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567725524280 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725524561 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524561 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524561 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524561 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524561 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567725524561 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725524920 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725525170 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725525233 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725525561 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725525764 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725525811 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725526061 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add5 " "Instantiated megafunction \"lpm_add_sub:Add5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 7 " "Parameter \"LPM_WIDTH\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526061 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526061 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526061 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526061 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567725526061 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 250 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526108 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526139 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 191 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526170 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\] lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 192 10 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526217 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|altshift:result_ext_latency_ffs lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567725526264 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567725526655 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567725526655 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567725526874 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567725526874 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567725526874 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "MODE " "No output dependent on input pin \"MODE\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|MODE"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567725527295 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567725527295 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567725527311 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567725527311 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567725527311 ""} { "Info" "ICUT_CUT_TM_MCELLS" "103 " "Implemented 103 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567725527311 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567725527311 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567725527311 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567725527827 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "312 " "Peak virtual memory: 312 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567725527983 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 19:18:47 2019 " "Processing ended: Thu Sep 05 19:18:47 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567725527983 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567725527983 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:11 " "Total CPU time (on all processors): 00:00:11" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567725527983 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567725527983 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567725531546 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567725531561 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Sep 05 19:18:49 2019 " "Processing started: Thu Sep 05 19:18:49 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567725531561 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1567725531561 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1567725531561 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1567725531718 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1567725531718 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1567725531718 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1567725533624 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1567725533640 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567725534390 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 19:18:54 2019 " "Processing ended: Thu Sep 05 19:18:54 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567725534390 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567725534390 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567725534390 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1567725534390 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1567725536421 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567725536437 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Sep 05 19:18:56 2019 " "Processing started: Thu Sep 05 19:18:56 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567725536437 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567725536437 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567725536437 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567725538953 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567725539359 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 19:18:59 2019 " "Processing ended: Thu Sep 05 19:18:59 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567725539359 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567725539359 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567725539359 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567725539359 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1567725540062 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1567725542547 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567725542547 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Sep 05 19:19:00 2019 " "Processing started: Thu Sep 05 19:19:00 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567725542547 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567725542547 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567725542547 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567725542640 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567725544453 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567725544469 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567725544484 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567725544547 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567725544594 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567725544594 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544594 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544594 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544594 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567725544609 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567725544719 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -21.500 " "Worst-case setup slack is -21.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544766 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544766 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -21.500 -926.500 C7M " " -21.500 -926.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544766 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -14.500 -20.000 C7M_2 " " -14.500 -20.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544766 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567725544766 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544781 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544781 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544781 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544781 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567725544781 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567725544797 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567725544797 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544812 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544812 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544812 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -450.000 C7M " " -4.500 -450.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567725544812 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567725544812 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567725544953 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567725545000 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567725545000 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "267 " "Peak virtual memory: 267 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567725545125 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Sep 05 19:19:05 2019 " "Processing ended: Thu Sep 05 19:19:05 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567725545125 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567725545125 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567725545125 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567725545125 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567725546000 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 87e67add24b5f3d473bb7d24935e46643ddf2c75..3982b9f4a51f92d14b7120e0d98da253547aacb2 100755 GIT binary patch literal 225 zcmV<703QFW4*>uG0001ZoSl(fOT;h`Mc?}?E_t_YQV<`u1s4`sLC{^$$C9+OZ0I(P zNm?lQg}6{U;4wzvMVhA^>rtOth(aL0%(%!z&I|0xO}Zi6%ccXz{uWQ9uxWKz zNLD4ls-wBKgEqm}o&v&bm-`$Y$dPG?;i$uG0001ZoSl)&YQr!PMfd)Si}tlGJA^J`Lj$EG5Xb}CrIGD16|QAf zk^_N!e4PrCmZT86ojd2;ftj`16?F+EI?C9~_Hp~P3Ok{TvSc`Adoot`Dq}q444;EA zZm^f>#t*PqU^;o2ONUnS% z(v2j`17OzDq0qfl&K8y&+(D1`8EVLos)*rTk{J`7vB(3_Z{lx>GeTM4^uu!ekEQ8N dNA;bWGa*jh-gOP$*vf;^_x+|k_yW-Xk<%y~Z@~Zn diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index d5fa75f..916ce05 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,6 +1,6 @@ Assembler report for GR8RAM -Tue Sep 03 14:09:18 2019 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Thu Sep 05 21:45:11 2019 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -10,7 +10,7 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof + 5. Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof 6. Assembler Messages @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Tue Sep 03 14:09:18 2019 ; +; Assembler Status ; Successful - Thu Sep 05 21:45:11 2019 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; @@ -73,39 +73,39 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+----------+---------------+ -+--------------------------------------------------------------------+ -; Assembler Generated Files ; -+--------------------------------------------------------------------+ -; File Name ; -+--------------------------------------------------------------------+ -; C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+--------------------------------------------------------------------+ ++----------------------------------------------+ +; Assembler Generated Files ; ++----------------------------------------------+ +; File Name ; ++----------------------------------------------+ +; Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; ++----------------------------------------------+ -+----------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------+-----------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+-----------------------------------------------------------------------------+ -; Device ; EPM7128SLC84-15 ; -; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x0017D254 ; -+----------------+-----------------------------------------------------------------------------+ ++------------------------------------------------------------------------+ +; Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; ++----------------+-------------------------------------------------------+ +; Option ; Setting ; ++----------------+-------------------------------------------------------+ +; Device ; EPM7128SLC84-15 ; +; JTAG usercode ; 0x00000000 ; +; Checksum ; 0x0017D4EA ; ++----------------+-------------------------------------------------------+ +--------------------+ ; Assembler Messages ; +--------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler +Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Sep 03 14:09:18 2019 + Info: Processing started: Thu Sep 05 21:45:09 2019 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4521 megabytes - Info: Processing ended: Tue Sep 03 14:09:18 2019 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 +Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 277 megabytes + Info: Processing ended: Thu Sep 05 21:45:11 2019 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index ad40c09..7725ecf 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Tue Sep 03 14:09:20 2019 +Thu Sep 05 21:45:18 2019 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index ca59d29..58f94c3 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,6 +1,6 @@ Fitter report for GR8RAM -Tue Sep 03 14:09:17 2019 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Thu Sep 05 21:45:07 2019 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -9,27 +9,26 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 1. Legal Notice 2. Fitter Summary 3. Fitter Settings - 4. Parallel Compilation - 5. Pin-Out File - 6. Fitter Resource Usage Summary - 7. Input Pins - 8. Output Pins - 9. Bidir Pins - 10. All Package Pins - 11. I/O Standard - 12. Dedicated Inputs I/O - 13. Output Pin Default Load For Reported TCO - 14. Fitter Resource Utilization by Entity - 15. Control Signals - 16. Global & Other Fast Signals - 17. Non-Global High Fan-Out Signals - 18. Other Routing Usage Summary - 19. LAB External Interconnect - 20. LAB Macrocells - 21. Shareable Expander - 22. Logic Cell Interconnection - 23. Fitter Device Options - 24. Fitter Messages + 4. Pin-Out File + 5. Fitter Resource Usage Summary + 6. Input Pins + 7. Output Pins + 8. Bidir Pins + 9. All Package Pins + 10. I/O Standard + 11. Dedicated Inputs I/O + 12. Output Pin Default Load For Reported TCO + 13. Fitter Resource Utilization by Entity + 14. Control Signals + 15. Global & Other Fast Signals + 16. Non-Global High Fan-Out Signals + 17. Other Routing Usage Summary + 18. LAB External Interconnect + 19. LAB Macrocells + 20. Shareable Expander + 21. Logic Cell Interconnection + 22. Fitter Device Options + 23. Fitter Messages @@ -55,8 +54,8 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Tue Sep 03 14:09:17 2019 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Fitter Status ; Successful - Thu Sep 05 21:45:07 2019 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; @@ -87,21 +86,10 @@ applicable agreement for further details. +----------------------------------------------------------------------------+-----------------------+---------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pin. +The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------------+ @@ -119,7 +107,7 @@ The pin-out file can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/outp ; Global signals ; 2 ; ; Shareable expanders ; 1 / 128 ( < 1 % ) ; ; Parallel expanders ; 0 / 120 ( 0 % ) ; -; Cells using turbo bit ; 59 / 128 ( 46 % ) ; +; Cells using turbo bit ; 43 / 128 ( 34 % ) ; ; Maximum fan-out ; 52 ; ; Highest non-global fan-out ; 51 ; ; Total fan-out ; 830 ; @@ -724,10 +712,10 @@ Note: User assignments will override these defaults. The user specified values a +-----------------+ Warning (20028): Parallel compilation is not licensed and has been disabled Info (119006): Selected device EPM7128SLC84-15 for design "GR8RAM" -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning - Info: Peak virtual memory: 4708 megabytes - Info: Processing ended: Tue Sep 03 14:09:17 2019 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:01 +Info: Quartus II 32-bit Fitter was successful. 0 errors, 1 warning + Info: Peak virtual memory: 287 megabytes + Info: Processing ended: Thu Sep 05 21:45:07 2019 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:06 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 5095232..ceea669 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,5 +1,5 @@ -Fitter Status : Successful - Tue Sep 03 14:09:17 2019 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Fitter Status : Successful - Thu Sep 05 21:45:07 2019 +Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX7000S diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index f305534..8c4829d 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,6 +1,6 @@ Flow report for GR8RAM -Tue Sep 03 14:09:20 2019 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Thu Sep 05 21:45:17 2019 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -40,8 +40,8 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Tue Sep 03 14:09:18 2019 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Flow Status ; Successful - Thu Sep 05 21:45:11 2019 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; @@ -57,39 +57,39 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 09/03/2019 14:09:15 ; +; Start date & time ; 09/05/2019 21:44:55 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ -+-------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+--------------------------------------------+---------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+--------------------------------------------+---------------------------------+---------------+-------------+------------+ -; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; -; AUTO_LCELL_INSERTION ; Off ; On ; -- ; -- ; -; AUTO_PARALLEL_EXPANDERS ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 207120313862967.156753415530004 ; -- ; -- ; -- ; -; ECO_OPTIMIZE_TIMING ; On ; Off ; -- ; -- ; -; ECO_REGENERATE_REPORT ; On ; Off ; -- ; -- ; -; EXTRACT_VERILOG_STATE_MACHINES ; Off ; On ; -- ; -- ; -; EXTRACT_VHDL_STATE_MACHINES ; Off ; On ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; MAX7000_IGNORE_LCELL_BUFFERS ; Off ; Auto ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OPTIMIZE_HOLD_TIMING ; Off ; -- ; -- ; -- ; -; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; -; PARALLEL_SYNTHESIS ; Off ; On ; -- ; -- ; -; PRE_MAPPING_RESYNTHESIS ; On ; Off ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; SLOW_SLEW_RATE ; On ; Off ; -- ; -- ; -; STATE_MACHINE_PROCESSING ; User-Encoded ; Auto ; -- ; -- ; -; SYNTH_MESSAGE_LEVEL ; High ; Medium ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+--------------------------------------------+---------------------------------+---------------+-------------+------------+ ++---------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++--------------------------------------------+-----------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++--------------------------------------------+-----------------------------+---------------+-------------+------------+ +; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; +; AUTO_LCELL_INSERTION ; Off ; On ; -- ; -- ; +; AUTO_PARALLEL_EXPANDERS ; Off ; On ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 52238299365.156773429502244 ; -- ; -- ; -- ; +; ECO_OPTIMIZE_TIMING ; On ; Off ; -- ; -- ; +; ECO_REGENERATE_REPORT ; On ; Off ; -- ; -- ; +; EXTRACT_VERILOG_STATE_MACHINES ; Off ; On ; -- ; -- ; +; EXTRACT_VHDL_STATE_MACHINES ; Off ; On ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; MAX7000_IGNORE_LCELL_BUFFERS ; Off ; Auto ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; OPTIMIZE_HOLD_TIMING ; Off ; -- ; -- ; -- ; +; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; +; PARALLEL_SYNTHESIS ; Off ; On ; -- ; -- ; +; PRE_MAPPING_RESYNTHESIS ; On ; Off ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; SLOW_SLEW_RATE ; On ; Off ; -- ; -- ; +; STATE_MACHINE_PROCESSING ; User-Encoded ; Auto ; -- ; -- ; +; SYNTH_MESSAGE_LEVEL ; High ; Medium ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++--------------------------------------------+-----------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -97,24 +97,24 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 4587 MB ; 00:00:01 ; -; Fitter ; 00:00:00 ; 1.0 ; 4708 MB ; 00:00:01 ; -; Assembler ; 00:00:00 ; 1.0 ; 4521 MB ; 00:00:00 ; -; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 4530 MB ; 00:00:00 ; -; Total ; 00:00:02 ; -- ; -- ; 00:00:02 ; +; Analysis & Synthesis ; 00:00:10 ; 1.0 ; 304 MB ; 00:00:09 ; +; Fitter ; 00:00:06 ; 1.0 ; 287 MB ; 00:00:06 ; +; Assembler ; 00:00:02 ; 1.0 ; 275 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:05 ; 1.0 ; 259 MB ; 00:00:05 ; +; Total ; 00:00:23 ; -- ; -- ; 00:00:22 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; Fitter ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; Assembler ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; TimeQuest Timing Analyzer ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; zane-f8c4ec68a5 ; Windows XP ; 5.1 ; i686 ; +; Fitter ; zane-f8c4ec68a5 ; Windows XP ; 5.1 ; i686 ; +; Assembler ; zane-f8c4ec68a5 ; Windows XP ; 5.1 ; i686 ; +; TimeQuest Timing Analyzer ; zane-f8c4ec68a5 ; Windows XP ; 5.1 ; i686 ; ++---------------------------+------------------+------------+------------+----------------+ ------------ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index e262f5e..ba0f63c 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index db7a9dc..38a6b1f 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Tue Sep 03 14:09:16 2019 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Thu Sep 05 21:45:00 2019 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -9,17 +9,16 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 1. Legal Notice 2. Analysis & Synthesis Summary 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 - 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 - 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 - 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 - 12. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 - 13. Analysis & Synthesis Messages - 14. Analysis & Synthesis Suppressed Messages + 4. Analysis & Synthesis Source Files Read + 5. Analysis & Synthesis Resource Usage Summary + 6. Analysis & Synthesis Resource Utilization by Entity + 7. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 + 8. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 + 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 + 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 + 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 + 12. Analysis & Synthesis Messages + 13. Analysis & Synthesis Suppressed Messages @@ -45,8 +44,8 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Sep 03 14:09:16 2019 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Analysis & Synthesis Status ; Successful - Thu Sep 05 21:45:00 2019 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; @@ -119,23 +118,12 @@ applicable agreement for further details. +----------------------------------------------------------------------------+-----------------+---------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - +-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Source Files Read ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; +; GR8RAM.v ; yes ; User Verilog HDL File ; Z:/Repos/GR8RAM/cpld/GR8RAM.v ; ; ; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.tdf ; ; ; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_constant.inc ; ; ; lpm_decode.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_decode.inc ; ; @@ -329,9 +317,9 @@ Note: In order to hide this table in the UI and the text report file, please set ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis +Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Tue Sep 03 14:09:15 2019 + Info: Processing started: Thu Sep 05 21:44:50 2019 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v @@ -398,17 +386,17 @@ Info (21057): Implemented 165 device resources after synthesis - the final resou Info (21060): Implemented 16 bidirectional pins Info (21063): Implemented 103 macrocells Info (21073): Implemented 1 shareable expanders -Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 16 warnings - Info: Peak virtual memory: 4587 megabytes - Info: Processing ended: Tue Sep 03 14:09:16 2019 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 +Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings + Info: Peak virtual memory: 304 megabytes + Info: Processing ended: Thu Sep 05 21:45:00 2019 + Info: Elapsed time: 00:00:10 + Info: Total CPU time (on all processors): 00:00:09 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 588e70b..31a7788 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,5 +1,5 @@ -Analysis & Synthesis Status : Successful - Tue Sep 03 14:09:16 2019 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Thu Sep 05 21:45:00 2019 +Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX7000S diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index ae33f13..34c01b7 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -56,7 +56,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM7128SLC84-15 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 5fbb7b2104de3a4b4eeb9233c98ed2f9d82b195d..6d9446a33dbfe96a5f6de2ff20dc142444c10148 100755 GIT binary patch delta 204 zcmca+cg=2sEQ_&`Zqi0Y7M95Y!h(~{Sk#!--`^a^V!+J!cXL1c6_I);-7T$V4;OrC za(uzfwordZYtsdB9;f&Z+;s;v_q8tjAwK63n4|E8wHYb{RQ7{)`v>tkPK_71{T3>1 z;{?j+B+U*G=31x=Ql_Sn+%cYcMe5o2fQ@D8_ZjudQVhaBQ03(i65&!@I delta 204 zcmca+cg=2sEQ^_muG2bDO1x(a?KGI+vxa^b@>JHJ5JFV!a0X@w{UI&b1Jy~7wVd5ZoeRQ u&na52f;)d9&

%NuH_?xCN zMK z)16?ue%M4+L;AO}H`T4@$aRhVB}YOi8=~KGn2RR-chr)WZ~p?;xIuo`(q)+Pb6N;B+{`rF}Ws zco4-p6SEW@1}^GcW1_eb+C)Zrje*FWm3y3z6e(#GBS&Nd%+0+6^1d}X`^EFIVGDW- z0%P$mKQ{$I3Y~MOznd~g*|2lbo%&zAUB*;^nqjF2*zCAgec*3R3)kZ4&#gTjY2+gN z!7GUKUpf^jUjK5{ByNgH->_m_{-hYJ(l4R=Lr)~}ay9tVnSKSFwu0KvCKVGr+rQWJ zpnrB#jFF@V9S69L1{odj!q#Hlva=liaTx&4-xp!+aL8}IHS(H7$?-iJuO+}i z`prJiErz`mjA5PpIp3}3)c6{MWEQWl`dIdSKO%5tT$_Prz5;1Z)m#PP9O*c_*S_(( zO{-clmPSoJwrm+!H}p6DHb-KsJWJwjrTdg%2*`Ogts%qj`jMUCd4fPjg|?gMckxZI z;JjV8!Gu{J38rz>`^R0QRBW2Z4Iyg|@x z?|-zKVO6UHNTv(%oobLG%#=9&Mbx{m^`7+i=;;&Z7T~bUS?ZZ0lekC zneMeSc5-KQZozaDKl@&3lQo=IGG?eo**`S0{RO|#$ zkz19Wj=@Lt#n#Dzhnp|>EcSns{2;=DV_-Msn5mmY6F;S4gT2y&nOr1LA8 z{jTeTqYiYsgPr6cW=b;AJG=vKJR;kyeid;IMXwSI9`8KHP7)9e8P&Vc*#8;bPyE{W zx~YJbYDDEMbo$-X!5Y?L(G}Ml?YGt2JZv;cOT3BUrY~>r++Oz)Ll|i)gegKQTFqsn zP9>F;D;r%+zth^q6Qi%5kExt_4JktIJ7-1%+Ww$%N@q8p{m3Lm-^@^tTV%E9OQtA9 z&sh_{4Y@q`-23@;35xpsyAx}4q_Zs!#ei9%Uj#S+yW}Eb;K$(3frez+6JP59ZG`6)P*%}BUe3}Ey(KliB{cftRZRaQL^QATQ*N{A!- zQMZc~W9yK)=zR2kfg(f;1i6VT-e9as-cBD>`f|WDBopv&&DmdG!1D(ptQm7Hw?@8n zK747N@r6pXb1hIe#Jslbs#7Hu{A!CY)U@blW(hLq-bAsJi@SHx0o8d5L!eX6FjGep z`{TNYWAf>1FI9A#{acLJ8mJPdY_k!H2)=T_om-L-!39smhlObV1%IWwTPPS*ZrsN( zm^2Fr;SnS&2MZo6w*O7q$Q3C|0HbrpJ`6BkWqudEiUvxWtvF~bb)5FLZv!8Ei1YSu z*_Re}R=2dU@abwvTp{vp{3^Wxljk zlCCk9M#pp4m$t)>rY<+9RfU%%VkH;ye&QBnvW2d*sd8X+LQ`EuJ>tr+c;wmBTk!`= z_O9IMIvUB;v(6N*yuajvYDW=h@U4sx8c`N5iHy(jOS&CYEz7G~>D*%yhZBiqStAC9 zfa*zy6&`x*17K`Aj6GN)~{avSi<1g z;y7+{ax^haeR)C!b;SvV7dLrVkbavS(5!2yME_zcpKvT2^LqpVx_jAYg1ci;*1pNe zjQh~qabPk1hED6n=Ky-xh4Xhin94@fwV$D_ofny-C?F{@3=SSQJe&bU49e%KH$tqB&}g)1q+tLeRctL*`=0+~cycglX>%Q^P8~; z@kX8B7XIS$B@pLXK!sXW1fpRwP46pcfHe-zpQvD&y$f;seOe_B9b2y$r-(D5 z5I0$xcBV_MNar2rMUP^x%O@VhqW_sSE&oYHIw}@KO$&s|%G9J0;Nb)IxxNyE&!v{0 zntttL3sZLZl?w4CteS9II__yzL?xAAA)E%wGG(FJ#?JJcTMshLR1PVyk^HqR2m@h_ zpcBUm85t8pCNAX9*!&Yd&j-S}$m?fbP}!k217j~U(%;pYGn0KYoxx{IJnSvBO$64R zerlpWL3N8U`XTze##ITlo z^ZhzdQk{3E)eMPY-|NkdpLj242Bw1}V`!_j`fLYPD-*quZ?p>dc-;mkqPO(0m16&$5p9_j{ABdK zGr)hl{M=Bg%R+_4>u6#(qJ6bwJqqHx${p4rmj_M;hvF5Qf`Y>Y62X=2V627)Yaejy zq^qAk+3kfCsE1>IImo`cVtoU#L~hxvB-G+&l+>!_z2bT1FzBR|K!2gl1fD(~9=%>5 zs4GFPlCDoN`rA7jYzj>q0I07tP3paWY!0p!oiw%#q%a_FU?c-}e`0?yY9S!t@Q^3D-!H`wcD}1y4tf{1F zH=;+S(E(%ufE>L2eW^X@J2I%ZFf3IGhAc-d8vESsD2{$$oldE|J-pbVl?!KTFvnaX zwcFR-Q5`%DwfMf5i5({!e0A_*Fp)VWqmBk)sV$E0f7vA?jQO!FAPo5`Yzv@LQ73ga zg{dzz`UwazeyzBHaqF2CL=tfrYzYtk) zSwreZx{=Siw=rbvzPQ{>8efeW$fid7v-Luu*)T^A*fw!Vqs}j1lfTZa)qDWpb_v{# zO5LRBlUpW7ql*N+LrNvY4}BGk*QD5nlP;KcX~uM_A;oRGa~~)PsRI*H;L>V?3Cnub z_WZ5mEVNpm_%tYk9+th@MHB?Nza+cLH|C$A>UbN|4N%)WRiPHgPkx~6m>vv(ahY}u zT?e?qo5e6UyuhI?Moefv3=`~&Nr^gT$E%(GIHR$6N3uZyhswBafE(m$>oT@Q1y?Om zFk%N&Cv-J#CcdlS1>#`$9Il4WgzPWfkA}s>&8PV4lBA#@Du{~yY4(&yFQ)P0e!xNR zo|xUcYP9VrD&~EYZU+Oa==i(HYb$029HHncvy3aLF;xztaV|^Qaac*PY-Wm{mGzA8 z>!g@;G#ebtAZ>;4D-zzXdbh>{5?a)KMreWBok1`u$H2CBf=pJ5MKfj6ESidE=-solA;X|FH66ISwYU|exy5cyYd{#eg$z3#JPW_OhFz%ObB=#-D&1c{- zS$ifmw@dWdV}KjfILX!57~2R~??%9UC!-Fkk2vjjYIB`hwPquO5pMY#p1#`OQs4wJ z9}bQnyXO3h$<@f|V~mQ0nDW+d(P_s#$oVC80{8(;0M6y{x)9htk~k<@%Cw^&15^0d z-F%~I2+?~<(axjZw*hzBO2no#t~16a4euvkN8OxhL$TSXe9T0#nVB@=SG&<~m*S%` zoWB}v&uvi09{?vv#6~5-dW1Iaknmqp;2!SoPb&2;AeHOj#e-Q7l*>wU%8Nh{Y@BU+j}qhXlT+UMDDg^ks9C^I)=DzSB(UaFzE zdV!S6yEd#SSRELiv#qT8g-ahOFGG}-`=}gjsKjCm-GL`#vkHr=MM$R`_n5-dj-SHe zm5DR`73zRXI-+9lG-8^}MW_<2|K?^C-!(|$h{^T6!W;K+%;u3W1lL)>0#;$ZLl1km ziSV-L1~Hk;i|BZV+&PoY0RIkfR?46!+`h&_m1DsEZhN8?(GF?TJ;h9w8z;Op$TEiA z`ouAz_8jnz>MUXb#fn`WPQXGRqrzjB3vKYNzc$BWmN`tC;xinI;UU09s9x)CGe7pb zyD7&G`o{@!QczrZxECQhj3}MEq9q)Un_7|)ZbMWKgh1cwjjvfY6HB6VR|n{*YjIL3 z85&!;Mz1 z68HPBsG2lp1}0YbH;cfFbj~Mem3kQR1*AFISx1bDuk_{}l!oMFZv-6mIb|Q5>E*(B z=ezeUomwvwY{3!A!t=<_`FEjTpOuQ4A(#WGHP81>Z8}il922duDl*9ixq4JNSKi z!!V^98;bb~Fqq}1 zy zFU8mMLyUD~7NHDGsP~d!#TKR@i;b;1RY@uwy4o{4BMwfM-ez&+!;#S;4{2qF)>ZkW<@&tT9{`A>ZPHHaNq z?f$C4EP!6bj)PW=;AL08T8)jmi26Xs7?%H6Q$B`09D|az#nQhV3=qG4gk$t|b||oTs*O;g9Ji9*wZL{^FQH zUw?*!AF#H(|I)N46)G-hQ5BiW7w1?E@88D{#+tOfkA!%{jQo2f<7mFYjiY}zg@tk& zJU5UmvO9L1nIUTm#n4oOXSb(K&&-qiOE;|)V2qlqL0~+-+|x8|H0}$m*v4@{`e;9Q z1hBM+?|6!!6Ki57_`A_^1a2h`t|h^S_{yqNz@~;%tE<{ge&g+05e&6a?2vGdCWN>K z1=Gf$gv`FjGv|PfQoH5CBYW<_K!^oq*eCj;K24@LtonMT4>c1JRt)azb;CwoQ(#I% zf89vCh-easg#|FKBq*YJY_%E^a%VFX{b>;va%a}1Q6p|^9mK6b|HiMBCrPYm3ekTH z0;5li_;u(L?VOx+qe8QSm+}P_YFW{QKAPlke`xfY9Ycn;mU^OwM0QPq~r= za5kt?0=q@Q6igyO-liWfn|j?~O1;PkVO0NBv=^u}FbXeaiYpL?NRdM-E}Efy&Wj%+ z#q61bNc)?o4A?{F!RP&838bH{AdS@}w5V(~7}vyRKvS5eviyFeIiJcwPPO?dDIB}K3lQC(9((!dP^*KA9E{u>uJ-NU1~reOpC z+QWa1Eu~{>eVy`qnY-g$$AN3F|AZp5#JZz)IIOPnEIU(8i(XW8RA{5~SLcXTJg1uu>$x|}`-f_A ziU!1I-;z0np(#=md8k*+jHmBDjE21ojZY4OKKdrO!lkS{(&+i9xgCxaA6`5lj>*_+ zz&aK^kOWD1%j}|UCE+q%h^2nh235^@{1v;fI#<*??CuO!Zdh?F!v=WDI@Xi2{C;Z; zZg##tk=l!r;2LCEv3xHrQpCs+=P7Fnm9f6vyu9_8jBmMh>#+f!S4ipe$i^YDu+rEt zXAG|720U>$eKIy-Kc=q>B4~W9Yiu@IzBRKYuvNv!3H5%%NI&f@ImfnhS;hv}TTCCw z9UDBA`k>VE?0PjKh(TDuT->aivlb%b8!e*`!$c0De1QelBriTUc$ zOFe$F;mX#tH}SKymusVsW}W|JYi2#(?f77QTpWiIvuNvMlv#pr055hHaF3=_e810# z?z^}3AQAga2XRDM`rrH^kh0A);*)M)!)-Cscc-vq7EuW3L4n|M;dkk={bk ziT%E~5t;RUM&~k>`g2UM^VY^Q!jWg^%z7wh(R5L`B&>U6A zE-5V6^ev{%E6_{jpL=#`QC(P2vC=z}a-QtCe@obLU^-jXY!+UN?ib%C`m-E+aGRt# zl^t9LgOtVkcpAKq98ILpT_6#S5UB_h{=kp0tJ{atHs#A)@Tft4vNbYr2fAd|s2y$x zUMKF{)~Xop2ITt+A9L?{%O8S5OjmbW<@WOZ(6GFZ7{n`Zs*=j^#n_og|T z<996mFC~VmwB!4m8cafZKP*$93j@@1ItRWnrt%x30lM&8AXq*&nNs1GA(Xd+TEDdM zM_C@6qECqfO*q}4sGg54Wt;S7{D^Pnm_HtTm`1hgVA`ptE_1`Jh`K6VX%0uaqw|=s zG1yN%y6%>X1R{t<6lM(A_}CO#LFXQJBlKk~ZT&e;pJ@06NkRJHH*AchaHPMdV zv_d|IiVpWNe6{r5A?7k30_Sy{NS_nkI>y`iygU@gi2t>@Bmb^GZmR_l!rkfW5pWvA z$8r*89~d$D(l2ysZkE(%1?0jToXWjG;_F!-0Xx}qVHx(IcGN{aRU5Min%sFj=koF3 z;!;+U8*k#_a}7pdhHuX;gwbSs+JE9e08vM^>4Y}6@TA}}=f%l}8&4D1it2nRAz4zP zwbrReh1}9hl=3D^I;Hbi(xYyRN~t$XU7vg~E-Oq(@9uXD8=ZaJqcZGeR%kB0kd;)U zRP#;bns!RoK6i~zL6=# zP^9R9wewkd!w74Qe7?!ENS2~XL^7CF-9xB?IzH%Hf!NS?R+ zb=4GgwVujUPE0DR@QlLnT*Zl72|>OOfv9R(HRn zSC{0MKXX)!6)mhXRxzx3G5Dwtof3UJ4^gjPV-5bj+vqWKX>?B8W8p716g=qPWn!2a zr=8PJ9hslToztJ6qHUt>%0sJ>Y<`=^=~+$EZpsgt*v(7kauSrwfA*hu{hwvUp~XFQ zQb}xIRIO{$xjDPK4Lfouf~&V=&z`AT zRk*Q9{)X$3Se0O8SR1ytlH-3LWz3G!vkyub$IGVLa~a19zWL_><+{~#t`>Xc)05}q z+8>s(wPJ{;cp^;9@KohkDL^PEg!q-Nr&>Yf*;F}^(D1tULACt|$$ zw(y_Atul$}PTD9OIuy6Vqirm-n8#*iy7TkwSI-IO_g4hYkBkG*4E! z*)Cr*!#0k%rXWc^bH->r-hNUc>?{P3jvYt*hDhYYlyu1=<-$-wl|MSFnTIDeG zYr#usbRW08y*BRXl`wU_FzV4lKqtx%GZHmBLSuI_ovV{~xsaK*Gw-#KOc%BIa&wXGX%t&PKw* z#mvga%*MjXPNHh=;$dxSPNHONYD>aOqAE+GX>LLyX=d&Ar8#8}@nr@KZ0^&CO-%B;9xt?Jzd-JK0&C4+c|Z z`i+lK7%{&)(0qe$Y)qbm2m;%MZTry*pWJ~8PpQWny!P}vj$jHl2 zTxD_e`1%p|JXv?VzS&?oox8c>@*}>t*}Fc##pt4r1_;49DTOp*eBSp!VrCfm2CmzX za!A&%O;8W&%}9-f9N>9kf7*zXto;b_W#vu>2%@hCt(>t>+Bd`ka}<2eiH%bayek$r z>YFC%(6X4jt)PoD?AP)6%G%6dFR~dAstch6qUgA$?K_NAEfp5I9amjQQ)-D!@Q}94 zw1>TIo*B+5tTQM!m*5xl6^*Q@+s4@1;->_uq%&m)XDYj3NKyoQ1%t4$B(eWq0BGil zB9j>@kzV6+ifOZoX=8OT-_2HuB$osSX;$#|roqcktA%5v(uKDkXp=8VQ)a`a#l^6+ zZxhVCWv8tasiHxCJY2(=KFc{1G6Vj~caYZqj+bywX4^px!_-_cs!zQHRVfPB+JG~t&FaBS1^{^6>f#)uminB#5uZh*` z8i$Q-HioH||NT)02%Dd9`;5|SzU%CU&xg1TB?qo*Z!+E|FRRPHxv6Wu)#}YgsGA>oYlGZ$2&6y{Qq`~6=JBp1QKj=Z-%HOe zR*UoOM^*m!rT=f&^s>eD4iL=`oP(h>4eqa(%vpTaJ^H@Qx!1+M{Jp)q@x2gKGrRY4 zSM~olNNa2PU-bt`s8*(|3n}9VO8u6qF>6=V4tD?le1!spWhimY4Lyl`Zno^cL6{9I zDcZO8d02E0{O3<17&X!gv^UT)oP}wsp~^c9UF*LvZ~V{7{2z}0|A<;tG%F!5m}*D? z=yILdd2V}KgPHDsO#bvnyFS=^IFO#ytz$xlidN+78@MdFbVT0Tv*}qT4*fL#TGdu# z&0|>l)9>b8)#HD+g0Z%!~a^j z=s=>N06oxED{`-+>K=Tb-Fu-&{F6la(^=`;Aa#JE(`jlI&K{y;>D6s<)DUwZUFw_F zUlY(k#^te$JKeWNlsG!EF*a;_EB+ZtTk9i}p}XC?25qdiZ>sYJ`-W=MC=Zp z9ar`mmOqV|pY}o7Td-~2JQ_>guoUa(IHNXp14I$vw!pd_!aZAE zg&oHr)C5D;pT@8>9kNeg zAJY<7!oJZ%mXsOflDM`z9$(Dw)?!rmBm?D(35}bw)6y)d2HPOG?DO-~v&V;MwTzB! zEbMV$lXSK(TLZ0rMYh-gMEvQtHIAa|Otb#xx?`^wAKru9N!YXI&MX9sopUD2T|nTI zGiZwmPnck5S|CZn!kMODI&iTEn+^5R5z9Y3rGB`=%G>cWvfh5c@H^xiHam{js_z~i z64H<~9|%5{Z`%&m%xOOH z!xGBZ_UQM}bN+ojAelDuMVml!6T!(2ziOe5L072B-p>1uuDw)j-JXE0)l~gg|8Ah- zu;zRH#(vRSkY0=-kx#|*{zJV8<2VTDn5XZldvw*~$Ya|^_$_A|Ygxg;I^gJbY~*7@ z=%J*?uXT%Vn%R|CuV=I9c2jvjm94u01yP?kRoC~T!q4OL#>&6#d78Cq$uaez>GMvM zidBfI%XB)lIpC52!tlBpwU_n{rkAzqaU)t&#u0ram_cw21L5^4Q%CD2 zSFycm=oUDlX!HGKvWqwEHCZdI@O8c- z$)$P9vJlfQxau}~N(Tlt=>%pO$5BAvP{{a>a!N5rI>*C=_QVo%+P;cVQJ&-UY^P?U|~hQJz*seh@~^ht^>Ay!?tUfpkwtmCU5 zdJiDB?c21|g?sR(*1aKM4a>)$4*JG?s6#A-qVOFS)^zy6wWg%Rd{Jus>e}D?U(>em zFmNSgI<~ddeZ1i~YZJ%c@a{RxQt_^_cqD5pt^#!|C`B;*;h=ggXWVaaK8(7k*>c%| zz@#pDTz{apV{}<6jZ{t_0-QBZFjP}6XtAt&RyXdo zr!B`8Ydz+rNqEsgQ(Ve6tCJw&_W9>^_=qD^V}CIXj6Ux<4zeyFufcks&P-xRZQVX> z6JA-t@pn1=2_vFDGSiu%I@|7Hmyo|fk2x&Zb4M+I~GX#JDY z1n=6%1%?c4q<1*%>W?^0po_;dpufZZ&4e7no&;Nc?oPj}mRN2n8j+Q?6uU_=&`ojz^a zFXuSDMq*=|9`^ySlty-2-By_9MQRbMe=k6?&Q)F4>tj{SywP7E7~d}Mh&Y!t=5T$V zT&G8{qVQQ0|86~T_C_uzAeHo^wG$)a%1|8IY^G}z<6BHaY8sC|sDnU&a%c2`qY=*vBLGHT1w(~j7Y9;P3j^%b-<`T3XEDO|6X zERZGb(LmJyfJ27m>7<4k{u?Ge9~OM;U!UcN7BUBn#tj~I^TFEHTib-^_ey+C5i9?e z;8QfZyGZMayrHU4a;AStTM9ER%goL(7dj!~ol)loG$!V3(OdXo9?=jf#xMJh*c;1&EuRJ>O11VLz}mHb1h2Jxx{V{IFnb&~w%DicGNG!o~YBGk+_ zuKG}beyYUF%b8T5D{0g=j8S8Uk&al>`!p^6P_|#vTDsPs^IbSJrZzFlY@M`lY;Cc@ zkK<%Ps(!H>S6C4qlY!p^{FOAklFS!SBfyjcx&`}VmO6$}bBoO|Ya`FPpXM2&n_7Z6 zY1j6duiGZGn`o=?&2V1CJJrUtXh=21!D;h-a;G~(Zr5UbUP90-QapSHOBae;ZiMoOw zu=k{WP>F>%f}fs1M1vzJPb5OCJgzSl3H1R8qc3T;vAB+-?aM*b=lU?Ym9B(d_1*3} zqwPyyg!UI<`bGHvhgb}fexyT5TBIS*mPRu{G09a!7WlOh`f%WkbNzqgq?LR@Vb5Ez zk@b6pXJ~8*{szp~W$K`gV^a;WdCAmWq!nv<{8C|%R#joZiEv`bGgh8OTXw$y3lF}} zmvO3xm2;{`{Q~Y6NWMVx1(q-HxY{8{INKpN#Kz_-c&DwboMe0-lwyVuwYz&k{}S}S zgmTKovNt9HXn>!+zsC6yGpDESv zf5{#j@}6LwK8Upzg#XuJ%C$ug?xe@{JDIE;IQV6<8+fRCN5B-f-Wg`NZ};Ki3q72M z78B~dMg^k-vdjI%VfFO=iVBd(s@_fRGpaeEw76{md+r8v%`x_jWKVC3v9?CDZi4l4zAKNP3V``ez~HBsgJ%PPX!{nEt4 z>Q7f0y?sKpQ=QlPwv5U9wgJ6ukI=7_B&$Sfq3kRM0`=0XCpw}eD#mILB>_zwrGj$z?E zdHZK*%Tkv2N(hDU5H4~li@tE%+%#(G5@(@8eNz-3pH}>?wK`yiqiDG{p8&st9?9aN z5fY|BxXfZiI`Xms?4HDoI4NvT>C*2bxz~?`o^HPF37dljYe^jzo+$Co-Y)EW!g3o= zLx`Aa=+kAWrDVemymb8~lert*p6A>)y>+#};#>&4?#xxY<_W#-C|8xd?wZ8jZ(s^|Ak?HtoU!1u!jDhov zpb;f*p#7p0Z2>6vb)@k-A>R-r3yzvFMlfY(NqK@c2qkNkDV;L+TE6riqpeX60d7o=MuHw=V3vAap5 z&EJ4YsVHL8dt2G_Sc(NKP*#v+vx6h-!t1k-V}E|zJqbo%YA$wi6M_5r6JGjS&dNrG z2f`5E-iFzCc^h|XDw|+sR?`hd=-{^3=$~2H@3EBR2V0%Sp)>|VeMEw_Gf#Wa_c(6t zt#ZK)5_Na~jk$fljL=?b*+o6wAZOdhVh6?M3gJzZ&}6m6rXj(y|ID1P&V1M}gi_h- zwGVXVPGOankM@JNm1HE*6YG>A@H(fk*{bt*a+2<*ek{`GgE1f1#9;;{c)EG)yci}v zPaLM9D6Dw-F~J}9hbT0Gw3tHtb1=e3y#K(*eKhs9PFmYq9*lC`lRVwU&yjZ zl69IK01g#9g+;VHB<_{v!lbe?9z|x$dY~A^#`K-Po9x&9_}g!qA|E=!mI1$=hi)hr zbG+K+l|V5?`fiM_ApU$?$Ag9yaMVcZz;h09M)wVe^%2rDa+7W!}MP5c3g0tk?$>e%JN=xNM|N#QVBdA^^t^npBJteZx;UQTA(p1FE?OdtirGx zlN@$`Vr~T8Gt!1Z8G7V170l){5Cph(`!V|DTFc;%p%zK}yZ?Hv&Zb~Rn3HeCP^c+z z9w1WxqX4(2b&j%Wo+KyI5K3@^>88gW^W*s^^Wuwp`j%Z*&ri$zpLXH$OO!MWi(F>@ z=Hp0VrT0pBFxfRcd26S64HYbw@k;F2pdC^Bwe$_tIwApt_V{HtQ(EMcxSR3cl^$gS zd#gWndJ3<(C7)gqSIl0`>z@pOG=vC0#fyuG4n# z%XrQr%reTxHPvJ3O{GzlZ%3&f5?8+*m=)Y>{szsj$!taGB||Nbg8;rTv8o}s>A#gWAqF&fkpgX| zoeGp&Eo;+<1k$v( zC{N+R?Si~Kv}y<_TG|ptj&rd~yC+*LFHD;!G%->9#)YdpJO%Aw*+yQXn044}l}4_K z_m4{Xm)j$E)4U0&by5zzT{~s9EpW=wY2T`o7)nFLBtog{9tex(4FE~&lQ@PP!Gzc_$+tNZjBi&AKmdD z4I}k`U|MU<)dmj)>2?f1j0G3I5h@Qc2rb$2H zU0(cf@0?~s*EV!cJ_&p+vmAzo<)(|XnmdAklJebwUIdW7g!hW%#}R)oYmC@WmEOi{ ziLPg{kf(2J1tIW%IZZY=a|pvcT(hrk3>k6lKISC|e}5+aBMklg4nUFkfH{bl{O~z| zA?-nV?gIpbzo8!VND2kM;mvR&8qQ*}9w}|y+FvI-P8cF>ln8x4P`?L|?TvKx zOE;*ma1Z3R=x&_Ug4O-w9&g||*%yh$GMp-J&G05fCeoT3{>kOgKMZcYqE>GvdRSRr zKXxp+&pJ?|+idWIQvRha>F4N&C0cp_l)uAO)^Uv$8fRrf`{%z^9<^J16jFXTMQMJx zaAF1TiZD&f60@^qxo%q4Y}+v^*0o^XDL9luR*DPo914Q0aQp9p{aQ>agwVlG~oc7-kzYSEc5I$s#ilP$}0 zm3mH<5VkKH^s7juWUPE+4Hg!l_Wd6E$E*5X@kFG<;-e-WFaOs>MiPgdY;-AnrcuJk ztz;a^6g95`R6s{i4{z8sr#;M*9)h*_skz-tp8y=MJRh7o0{+*tF7s}-y;p`E|1uj@ zfba2qnEwW8HxggLK%#ecjGr4k*QF+pl!DXLHJaM2t={N;gOR~6i@Q|>o?Yy;ORXby zM77XkyFdN49bWt1D3KG-N(726v?AXl`OAWqF&3JjW#`dP8Riq|h^uBpJuVReZ!jd! za)i+~tM$nL#pwDT5Wr8rqGb5lzYAU@O8b3>Yq9)K_vtnQEPm*huOJE*0@aut*PSF~ zt|vqKjlA;z-H`cTy{iAWBSHl+4IPhy^G?Zizaz6DDE~oY#na&tL^DW@V7C<>zn1%r z=!#g{{@9#V%I(Yf|I_RmitL5{=htJLWpJTC+UK4Fk2q&p7{E-s6WyT>jAOkRJfpUj4%8%%%2+RsZ#Mj z=CuE9rTsyBvUR#k+me7tgWGr9IgUQAd+36=Va^PHV}qMdbiYlxzPL$v`eZy2uUzK%fd6#%pK0K1j4Gbwp(0J+r+OQaQar=#?AR#SkA_cCQUJb=*_k7yYrTm1rV zf-1q(axH;8E&N3Wz=M{gk`OEgouS&^2xYyvPUTjWgQg8@Oe zFn?^iuQsy52RgkJy}U2-ra!r&%IA}6*UM3xJ&xVk#4fdRWWNaBYsYvMwixO;p5bUc z!qheO&o}$|DY_8J!UI*cP{H1B+Qs@i1dv=iK7;)FPy3Xt9HCq)8BfF5RT$4=qz3US zRm$#%wC5wfFX%+F(c3AtSZ6>l2Xl9^=(tn!j>*{Ys& z<`kVp1^R768~O|Vff_#)0u05E+ZKbW;Zv1g4bH39Y5I-7DE+5e_)UJV$i^*Z??dz& z7j-dMn_nKhdJ72K{?%e`_AN1(#)-=LkZMqwc;q~9a%t0GUW)PqsuXDrXcl#zDm;zu ztU_C~OfFg;E39*@>(N@!)+nEr;iTv^&0DLjmnd|o+BVzfSafV$y4}1V%9f~GFFtP( zDeg`1*hVwyDpbmo$gSfRK<~t9{BO$qO3W^hIBnbJQ>a8;2;{Z zw|Uh#9;;BHT9XX_jNX_6AFETfs(F6i7!6d>{)7`qK>&{V`G(!>@ognwoeS-$*gSIE zmhiO~s7)-(9(J2l>7R1kgo>3g&kT6`E}%wn&Qx;n13d^K|EX4Tzud&Cw5gokE#k*o zw5`zKgsiU#f8VK51-Yw74Dsh(VZtwp71XHMH;)Z@bgUUNsq-JIv}sh|A3h^-I2JlE zNM-|U-lpxc)^+mM-LyLkR61sClB1s$y0^j&fHgRDL$cxIO-c^x->3AjuAPyO6$Wix@~St zX(JY>rT6&8!({eNX#l@sc}(wWKqCbC>chscVwMJ*-u+IaN$vD}VOOVZ;#g<2v~qPeS6RbPGt}E|u9}a$s&_%Fa4Xef zYLY#)DNtoh<*(`%>o8zgxCKg8i3i*lH}doU(b}e;v@yg&V1)LnASGL{et3Lrnnk#m ztwV$5Z>spiDBXD5->D@Y+O1KlMzip;eyC8eXorLUUWKgjtWdO7*1|sp(D=D~MRosy z6&wzuZER~A(2(wUs2i(Lr){fo+ay(yGmS(46{%|U8f#Ov8e_a(t=^}vTPxsd<=p&} zDowM?%fr`Y951#j%=gB_3$;GwAT zrqGYRO+&g-)K`n;cMHebM^7QrZubhX18FkaW*crpQws_}@v@ov$|{pu9L-j>Vp_;e z(js_>lIcprjloK`F^E!G6J#SpUK2-8i_V<1*#5+M?7PjJs%k!5UO1p9P z)rm=@WM+y}cA?6m>K>(Dztk0fB^{&IEagdUqG3pCHe4e(A=Zp5$)+@ySRIW1ZfPex zB3I9f;lN#PcvRujeRIcEu7A6``aTxD+$YqkUa85fu;^(=?JgCIgApKPf667K+M}k z>?s}tJ^a0c_QTbY;9dKOeRo^MG#{`>?WZ^R*Nj);EKeVlF>HbQ-vX~Zy!+3lsYCx` z*l9VzPRQ(*Gz;b9IiFZgkN}Iw_KDlqodt$hZbT*CPw&QFh#s?VAVO}m;qejY`hFTb zhbumnohUf4n2_AuxlVj9eq6g_V7OE;^~vtAKenXx{NHPsr>fPfonhsS58>1k}l$w$rE}h`+f;=NA&Dfbiw?kE@-E2C{%*VK5u|LEL2w*rwFNe3X9c$ z7}WpDH8tJ(Y(&f)dLFYsw0fS3W9di>YPUCRagi$nz6LXIJ`8N7Mn}7EWXJ6Tfn4dp z$h=K$Jw)@lrJHN_(Ms3P6!u3Tu;6$|j@II#Rfy||K(P9=yCWaSzKtJwOPP)H81u75 zfZsw9(Y|96LD-`9*PdlVYNipOiT5FS#xt!FfF*uU;@uD2!7@%dML-(=*4yui8cuz3&=8n5sA zi8Q=|uwAplH$)Ft$?FV=&c$&g7s)k?qxnLrDD1c4-!Z2<7)aIl8hFi7j>m2UA zI-o*qByGIs&wnEKAc;G+X6IhDc^Xp~WBY#EY?)8+otkfuE7Z(7H<1^e!*c9-o7_CeC>I>FeKvZ(R;1YN&euR6khiC`fT@& z8*Y8Ckoh1Be#=4vqI|@~5oHYLmA&5{PIC)Ued@pOg7kTwrK~<%U$*T}@|-^9KbQ%H z48;h!ySF#xmlMXf;Kgdq&jmrD&oA3k4$98KW-F69;j3^?2Zbq$Xuu1$`|*50YT<#j-OP- zF@xP;3O?v+m(y%gb)lo|pJ44Cxg!^rL9iU(bj~Cis+3QmY8D3&dm@d~PCiwlS6uj) zs^KBXd9Gv+*LsP%fDlO7zh6Qdp0Cr<3blwmGNv8*PBN2d^@o=)`Mg-?H{~agT%xx! zLnNH>v2pxXYI})j-ch{H zPzOJtXu|V{%Q9Gq6_a$G-?SpOuKF)jFe2ml3l~lmq4@Yo`qRAFcx4xD3ke~%RmKib)ocm-;kbGwv-hZ> zCOkxyKu!~Mg#EnM%4W2vL0x6MCS!KJQR1)!d>{-Nd1SJD@_Of4GU^pR(`0yh$JvRG z>uH~d>XTwBa8{<8wtnzMVHG&_svJFp7k**$FkZ)%FVb;?8>ahU+L(=rY z59-8KP-_9NR+2N_M@3j7ukG=vFhG2vwbOjRd1-#6#sNQ}Pk15Xy`p#MMsdGJ!(flv z_y^sYOVBD0JeU7f5h3gvvu`80=xa&52b5LM%`7qu(0~}oGUNZ{0{AsY-$rqf*Q$6A z7OS4yS!4*H5HYYW-)~%+AFI*v)hYmP&GXAiK@Y-0YleXj`ouuYneOAF9K^LMp*2;} z*RR?Ty4A?b%f7i`2} zAqE=E5FHo26Eu#zS_wk}VTplh`Nlb(59Dd1lG~&aT_JI>sBsCH(iBdO6Uxu7+Zhc&(5z?qc^Y_P5J@&ARR=2&YTI;BcHHIXkK7=RVP{6 z6P)IYr2nP#Oz{YVVIP`e>vX(VOP*7XA+1o-iTao$$g6TE^Y?PRzQ088{PByV&?yew zN$W_wSG}Qh@0bed2GH}}Kp1>vb_=z8E8eS#7=$5AhFTYJO)j**44U?b>h3xPt_K2C zB2R1HbGN=iR=}?}9`+7Fp|f)nbq0-OM=VwIz`qBJA;LgHL|Vjbj`@c2=P5C1-hQ_D z@T3Ab#MF0&+SXOLQVVR^jf*?-pJj!=1ivlHwPNujwktldpTH!FC)~%7KsNTrDV}+; z^wI%f1Ze!5cFUPZmHyA-nY2wZV;8Y1G=u-(*g(70(tR>V5cDcMQRl_3xo z6=Hc0GNSi`(y5e*B@yNJ)tNIzqyeMub4b!zaes?Jx+fU+sSXFOy)+L*OhYt~a2nAA zB8SK!Okd?W#T>N;I{S<5Tw3NA4KAa*2@5fg@TqI76f&PE?4R z0I3ZHtI5?K2*WrROCd?8?-va7sk>K*|IB|r(GoSzU{E)@Y+TyFW*7Ua1+~i2BkY!~ zWlfEsxZ0+n>`B?z>MHc~29!R+98Qt(nH>4g_N1Nb1WApI-9)b3fEG5G$4ePslS;LF zB0{OMUfbRS7&)#S8&@3_kA;t3tv@jNaSHC>ATs5cDmfy z%fH$AoD3T|qRdTf%|(Ox^Jne5^e&nhnSSV{wtljf!~4Zozxzzp<5zKLovJF>5F2iPMysSotyYd@_q3z- ztGNxTR*n`trb+v#{V3ah(l%^@MB84{wiiN`2ybpJ~nd1AhQ zQxTdw|LQnH5==ZBMyfi1Cd|n8ZusWQo7k)FExaC^_R;#D_$JGn8nr@PtQ?gD2M#X4 z%s+2`wJ`^GQj>qn?M{w13|W%X4z03`o)%<^fTK3Dl9D=9Q1scSQnUgSp<0X+cbetV zH~z}}Ugwf=dX+}s*g}H}BWB`f|K(y;G_BeNSsXDvUl1##7|!(~K)7~t>tv-^gR7?&13$I47!=?bo|W+G&UIg=d}j1236KN$jS<{%2M+?i1oAq3ZXm z2q_E!nf=~iAxa*Y5n})N9atQKRmCg9MzDTY;i_PH49yH)$3>AjN%FbA9!-*CR6~&q z{^wnzqeNKz4ErePv%;aIUhbBr^{ET9Xi<8O8y#3e6Vezh zMDo=Bh(i_=%9s@#smd_4*MSJmSb$Q!r0)80MVM<}27wZ1vxAEf;=W#zNvVmJ4~+b9h$ta{?z9X9cj5Z`PNxTDc=#GUT~5ThtnhZ z27WhjQ1#@(Ic)()f|tBkG(`@6C~w=Y`>8PFC>7Y?3anDhv-7lQp0dw%j$vi1Qn1WI zHV>lUj_YWVJ3~ip+tLmB(xu=vf3-UWE1nBN54pu?KHq^w*+}9v$AmNm*H$0 zgCPm*=*S}_PG$V)NF~3>PUaEsn$$?GgiL}%vz#0&cr5aq<8h=mZe4ypnkkoG3n1le zieraHN2Ypz3KjwBQ%?xV^4z$@h~N7SQ|5SAr4!C0e9lK1{;B2rO(hU)f{atbSiF|J zB^y*CC!=KwP~Q}9@8{83NfpxdWm-6pzZvIhF&&tQ;3fyT&+ko)?plR0MJnwZxwiE{ zLj|;18GZ~`no;^9xoS4tnz0|yS^y#~05XAt+$Z0h5b{O1Yg{%Dzx`o}hz5Yl<#8nt zgnMeSpl2KH*u{^)A{}SUEhw2;>3(Hsk50oW{5n36W{DK?m>XRo=8?b=R=Q&Qa0~@Un|*X4E=Z@OZ5_7LbV=s* zhws|JLiPG(GT}Q3DdeV$pucwrx_zSnWWoSxor6uQr%^eMCed;%viw7wmuG18*akbP zQw)8rhl>ekbx>SvOwHxc5CPH&tq_7rXSV>SAd3(O3-5uk4OXYK5E`;$Ft?-=80v?K zDX@280r0ZBRkl0&CkTLLhcyEODagWO552z$qE*|kx*1j9cfb9TB=2Zvyd?cxq_1;7 zG0Q>U0x_+8@kzdYH$?goH2er9PY#)f0}#aa6J!%&6J%!-5@hB4ha{@#yisZ2kC?$B z{&yn5S|n~CHRmixi@VEX)9q^J0N}0AxtN4;K1_bRz}Ikg9~i%qpx9d$i2|Y6|c3ZXj$x5>+#8rpJTQL%`Y3(LFpRz$jWO-y;Ger=a zkrz(VaknW?d5j(mGfcuermN8%naCdh?vMxtPwJw8ZzKo4E9q{@XtGZAQko>qVqBB~ zQUuR;C1*TbLcx*69maS4@7F0{`^+!BFUU?v?0X9A2Tbe-4(ta+><2g&0OrYrSFlK8 zexC{1e|@NJkl?$WqmNTJzeTOQ8CW_Qa01wz6BaOAZHi9?c)*WPjSv-xZNdmF!U&AR z1dvfd@&nhsCjhkxn`^IzYU*@SMGo;?v#`5N(l42QGJ!gaRFPF&fkRw@O+42oEZZ(D z8v^#jgh@NsTBCjqQ5yvyQyUDa`Dv_n753+pvkN~AX6j5o`dqHGTDM}7SkW0Fd53v; zm6zP41I?KdHSF|Brzmk553Pnf>sK(|jTHLN#+ec*LR`i|x$mpmhQmlt5#SM><$Tu$8uBm# zMi=mRE04zE=F(1PggC__*?zxavL7%{B;d$s(rxuxdl-&x-WD20{fM~HjTnItim>+egH0J_?{ckWH}ri;{vU=woJl&rx2e7NI+Zuq+*dr zz%;O3xCGY>Mc4TT$oCpp{qG;P7Jx^v-Qb0Ft`-ZXm93c&!69AneOcXYp%zNyN85&> z{aWdLSK81$>l6$@sm3voj7U5r4aHVw<_KipQmo=#E-xEjrL({gH5l{=lU+ zMMGj~CaEH12$5o5gNGT*ODjmNh`&@ug7pS2V?H)Q05z=j4lkv1tMUK|Ju$xf@R>^_ zH?Z{@4s3%NFPmVvM(!_+lx5+il&-_^SLL{mpNwQp_BI1H!s3KaWDn-xeiwFf;FeT_Q=Nz{7{~}y zhe^O0C()3OISl5A=g^c>$RS-{Y4L!YRW1h@a$Wt{;;aNKLvd!J2GpQSlU^X1Cp>VR zX{+Ad;jd(e!@hD9=mHc!kRT zc~aGZ`T17j6QID)&lH-5TMZ<6r2ixsVI_Wu^@ZMaFES&$O`2+`Tz1^9-=EYoq=W_5 z?}2C_QSv=;sX=uSToaEXJeO#^8$ppheBTP~QF{wO{A5B0SONWIyp9s6xI;NwdIJ(_ zUt>a=i1brb%;a)l!!hh_6!EzMuO1=c>EtR-qj2i-SCk_z{o9awD`8173@jb31WcSG zs8{Eh-x^Z%l&2TUE1hExrR(s_i-IJ3DaZ$HZSU>`mJ?O=G#@si1kCFV4E1s>m$%!z zxeACXI%g+{M{^mnv>%x@8@b4itF?6uU|=!lL({5`IA2DLox-$fN;HYkQ+>IBz2bNi z`Env_1(@+ax|OaDHAicua$2c8R%CE=A+BV)k2pc70#2(YH_91X*>5+0oETFPekt(u ze><3h=x14wj+K4d3S^()u-xbq7ZreNEIaUtiaL+@^k)z% z&WKTS)?OJGC-Jq;ZDd{Y~K9i83rG+&1`qH4Cl}r3Xp%(L_ zKs!5=_!m$0^*_SzB}fhN(nXC09&E!A#_1Pe#O)@z2CLQ*dd3oOjHsQ9oLs;2o=^sA@%=ppXVKlDXOE&GPh2$OKM^Ou_??<7c#BF@E02Z=KZvGqTqC{bQG!$Gkl<#OAfh>a;tF1BE!ko`! zk_tCsr;WZ>3p!W&QJa@Jo(436M7rHicP96zDK?H*z3q$VfB*X2`UR}&PT<(=gi?KM z@yrEM)e)K-WH(*GPrGs1J2=j-&6ahK`$~P2Pg7@R@hdm#Z4eSSdtzIWAeOGj56U#X) zoQeo+g$4PE4dA}>=o|&dhzFN^qk)2$&m~3sHtVbXkx=urrM93SJvgK>jwJ7?BLUMY z>!Pev?|_JS#T@Qdn;1&1FdKjb=fJM`k~GEuVF)ZcK1zK)6TP+3gRiaxj+l zMFsxsHi?q_S?wim{C>`!j9E|9u!7(A%}sJ};sXb(Ei~w&>1ld=lQ7|EH!;`O`4=Aa z!z5{N$M|lc_6;5xwY8$94nzks$SL6vI!yo7%Pz&FZozPHK-@279zLbprb&%yiSF5C z){pW6>tt(vWr)oW)o;9L%DeB;4qfDIl_7Lh0|0aR8e-HL&m`JH%&r8GHc2*ge%8Os zGi5VIe+tp+Po>}5e`IQjFUq{^lMdo|@dXKhtHmczmrfiXFs&MF^R@V`|NRL^uV;U` z-C%jF4A35ywF1d&q&yc#(%tgq(cMZ!n%iM-rwpO^7G zlY{Yz(S@pcQ|ycGc8NE>Z(ZN^Ar&on?KRr5`A6zImhG85vJ%e>du&kxYDw2VujsLb zkj;MzI~;1i|6|wW`uVIH_$j=jP82Gv+)%{A1C8qO!5=C}nZ+Tjwl;*;Q~I+tlJQJ< z!7eTE{r?Ff2;KJ@>V3$+qS2MD0_fiW-I6*#fVqGvktJUQuny&J+Aa1YImV84&SB=* zZ;AX^AD#U}{*~BAF~{Lnq8$4~A(s>%h5M7Vhkd5d2Oql1u`ljQPT9Lr`k~^a=-uYO zmHLv(pDg`X{gzZN3diFg@N#ELy(-R+ll32i4_mqKBgf;@B6c|D6EgS8Tmn*mOp5W z<7d2ukNIN6RGu$}_A%EgWs~Q*svMWg^UP3vadJHUjpOS)-7h{ksPn?gPm~z0KYM$7 z6>RTqo0+}6-_P@n`Tqw10RR7Z0i;)JY!p=#zQePnyvqAo3u2{Eq##oIpfEc-yE~;b zv&_sQHR`AoD3}xoHbR0DLXcog1T8VdM=-(C1pT9;BuX$rfrJ?F@k7H85;6EdB__rg z!07qT%$@DDKueh9&fU4^yXT(wx$BAt!~h}0reC&xNt39gkx3@}v~Kyjl{5WRqnfVM z%&hNvs+V(lv7)VY^SZUItD8&LwLVjiZT!rQaau@gJvm_|sjo0Jy`~1NZ^y4P#;^94 z{9HTdXu6*=8vDR>UX1C|-k55(nYAt5@_H9{evEU?Ejg>MYosl+Pdp!t@vOQjPgP6# zs*}!vqwh6k_1oEWK_3{xN3O1UhHdq(b*VT=QmsGlY^O<#rGf1a8;wa#b&|fRT54K% zKok9Fj1ujN5ZAw*|07Le3Jt(jKFrU0zF|?ES>#Q_%r+7=cpegq=!d^~8h?(WH+EU7 zNjNKI`(`>g0px`uOYioESKIM5js~y@4hp=iZY6a~Gjtb3eyW)FDRXfSpCf4iZ@5}U zH`N&D0pd}jzINgFVL(bLR5xwKrGTD`L@B+08*+iON=lK(x}M{EiM)mtVkqISTz{{F zCNZ7{+a=-+d{XsPP{ke^{aDl^b7~Q2VgwCru(R-*ze3lrDi)EEr?DinR(GDHkBGCI zu^lh4Ahhjj>}n2?vbOPsU?Djhk+rePPmP#B^PmGaI`JtjM^R{aO>7ZH;iZT}bolA@ zlXb{2nFfSKRq5$@;6)kLqzR&he!acB;w&~n7~YgIvPubiV&+fZoB^pQBn5RvsTGy9 zdSrI1N$ZiqJr7dq*z>9XaxVi2U2s4sf1{CbRHw<$szyGx7PFTge`MP`*fBiZp*7mt zGGDXv-W)9U5^ck-ji1pJS*A;!!m=o%Q6Qe6_v;<=e;!P;)FhCB76QHN#RB@Zc*E!$ zSdoTK>Zl`r&N4h@q?k#RssFrnB1^LjH@69q8736`rwkV}h;FZGs)f&Fm|3=Cb^{hO zLnn3h_+tc|NCUPpbu*zm-Jl~F`d7!mgK)-eQko@LB1#F>|M)&QHy;YNr8&BXbnYtd zFb>5LXIl;fEHf?^yC867naLvc z4|n{$Rt;H(AgM|A~24_@-^V3nsHO8tX^ULOUzz+E{5S=4G#Q_<>w;eSL~p06D6p9_cMBl^4vVgAT!=GsNn@jWmbgfPYB5m;m+|DqV9`Oon83w#V$n5 zh!9H%A!Co)jbWwbSu-OHq>-4Uol8(t4f&Ks7_@3>HG{4sA>iH!aEZ7`l@d1PS%^q7 z;>xiKP6L@PS=FJ+<>`*1vzo2fzJe}MtqeDh=ZNdHw!#nj^Qqf=M#>0pAP}oRpRFAG z{$l{89N~Q@s^X|26U9n;SBXn=Aq)C5{2L;b6tA4z`R70)h~hZajTnJAiPNj#@C#S* zC988jqI{3yMfX7DK9^?JNB3ywu_EmqC>mmw4C~um{L~g;r2qMX;p(cRW&CA&zIYWe zM`Km_Ie1X2!k5Kl0u1;WDmK!*rlfNx5YmUL@ICVi{w0mscTFM3TmGkD@^H-9{>(b=VLU$%-u7JRRI_b-Jji zo?eH=z!_;jp}Kl~Y5s3WBNx8%9b49bJMx;jCmQHV>uW0A>+bdhGbTT>zlZ!?oQr~V z=UP}XEi8FPcP;MvoGGG|cvsKbTTo83?A|8zH9M6r>+BBAAcPS3BQ}|L?RmDPkm_JB zyIjTQDtzYG{j0EFwwIfop=3EDx9qE&4rr{VYPRL6h83nV$jf{8L$}6s=YDYd=7+mJ zXDa9LE1&K<)J~egMy8wgJ$lt%hF0$3LNTuS54I?> Q7bqiM7?HyN0{{U3|3hLIssI20 literal 19596 zcmZs>19&A-vo;z|Y}?+k?POw2tch*gw(ZHp$;8IQ#>BR>W9{(fJLkX8dG3F1cdu2| zZ}(EI>h9{Qg$4!&R)YZkmAicHVqa9l%-O}t!Jd?ror#5sl~l~l%GQ*Wjh&5@g^QV$ zjhT&wm7P@0%-P+_#Eewg$i#+}l~hfZRMX6uRMOPS^{eD;1jJW0U|=1R|3$!*Q2(38 z%Kta@1i$cu^QDr^`m#DjsM626!uLlIVvC#f zGlg+=+4r$8KAONEJ--;BCks<7u| z*>g8|lI%-ra`V;6gj19i9qC1v+7OcLwrSa`FV7no&IvFI)W+pYTabz4XoaywJwZie zGCQ@RPAQZKn=Mt8QT=AiG6RZ6;T%>_RzF0eqIKOG=0#;R6v81b#4dQhzw|doT*5Vu zY#SB(5~bT#jwOK84w{NlX|raTW-F={^abYu;=$HG5D5em{H_sQ{?)f)#*-sGY^*^{ z={25Jt5ck7Bz^8|7)>T#!pw*rDh2IK*EEmCO-WhUt+%Yzrf}P3TDxO+Ditl2FXgu_ zsoL6PFybLk+u7uQ`p}*}6Mf7@ zo#3F_6V^XRJicWJ5_^!#$Z-W6BS;5OrJzXXW6;jflHHDpx_P2aQlU(+%jUuKPo?d zjN?=qzUtQATcGp1R##65M8$#zDd#5IlO&FP_g(X-K^JoA{~uZWXQ0?gFvxt(Azc(xLa_cRtVQ%uvdi!s|2xJTPacTp54KnOvl4{om z8>-8u%t#*e##K&@IGP0+e2BGVdvs)5yosk}Und}8)_D8$UfDH2-Z|r6x|Yw)JBhj1 zr`-bYy(iO8BFkCH1S{j%9^G>6Tbo25&?XR?!%k-`|F22=pBed@#YsqA;&zy2@aL>J zsrOibBSoqHV-JZmO?_&KP$pOt%V|Vf3D!`gy3AT5o5hDZJ6s1D$%<8OBme z{Oi^Kfx4CZkC*pg*H>m>*Mt2IJX8h%M>q-~km7ItodvZ-r6Qj$%7M63S>Ll%-c$Kx z`*8;+PQ7$Ic^HPU$Yu_SpbHlw#(2RDp}EGiA<)#wdl(5_kkx^cm-->RPgG1obBMf_eL$#r}jChr~Mt4?R@>FSwjNTg_m|d%(*x`~!APiDz05cvK-}(Gp3-WrN7h%S`L#WgbF=BX&c)^NN9%o6svP`RINm0{7DFv1dnnj7lZ*Jd3GJpD=^5P zS@lmGyGG;;^Ycc@uJhoJSwzE3FVxns+wLPKj%5-Bc0+pPYYBH4@X< zTk;UX02qTj7s*MuZJzS-7J#}TbM!GMXg}4jMe(}rxV|)gVrW&#Ui+uvgMbrDf&bLY zGR$h3V^598%ug{v0H?=Dn-Bov{mj&CA}Lww)|w% zVy@J?Wxt-%JmI8$_L1~1!nB7&2CNQp#%_OOR-E?r(iiZ4f$9+AABl?nEA!FDcOSjbl~JQ+6z z90MB(>|TSHiI}ll65w9lI_JWBZH9Ve958Hoi*?4mqK2A)e zlUVr#?Bbv;7lcd}8eaH^KK;c;vu%7ju|;~}tDRbs>?xF2wRcLu3GpY>#M~}vpIRSb zvM&I}X14y$hQ#~a@YO&zR{>zt@#<@24rY4y6xR* zW;j3@*Qd9`L71m_L5cX|a3OqE%RqPDdmdZL`QaVAz6o1E;J*I$IFs+tBEOj)lLiVu z9$siN-2>{h{p0J|pN-#W_Hk)H_x0lmYVP*A`4dfhX#Z=y``5#qjianxbCr+~IR9du zug&8np##zZGEjMakc|`*dc^1Gu$|&_z6UL-6Du=*gB$uE$bvOP&pkuH%98Krnpe&7 z*L+GdRt7MOQo!8C?BN!0a%%%_Jb~0roZ4udDm%h4pPqT9R4q^N{!1IC^%C&h93};g z_iLw#34b5wlg>vVfBpOyQ}APbMx^nGI)>_&Bm_uM{8{N^_vxf}KrIbNNgeZPN^m!{ zGJUvTcA_i3atxp@wq2j;G@BWGw3`dKV#5E9-Lj#*a^V7@ZNij1^jT)y_{wB6DcNwc zIic)}-@*{)`=6#4!lnqY{=*Dn&D&T^DPWhR?@#alnhLg+4hj+JwCl9!)f7vy6vhuL z2>RqXAZjlm5v5L%gg1c<+u$-1wy|%}O1>NU{p}cqVmyYv4yr+tSZHW$O3?t$Ev6~V zK7icSu`xwcZ1aVc=<~GOGyG;`&vOBsb)WsbeOX=0bZncsZa7&}eCXDC*X|J(dfi=! zXbK$RR)Dq<3UfRUCmHRF2-d7X0A2wISRmdN%2aKi~5aDd}j62fXZA=AO zkiTa>J;XPwRupshrP@!n&tDLn8w&lQPh(P?`uIWUYVbKKLQniy9xxGq`a}L=t}l$= zI_IB)Wd`GB>QTIgCBrYZ9v!x) zU8fDDF25(zr!$yz`7}Fh?YtYxy1~nT8=Lqd#mB}Ymg%*%{X$H*FVl_iH1m2G|NHi6 z?n0lIruY6=tGsM)k6706aQ-q}e_Cukcc_I|&d$ZXc}m+pr?Jy+T6R5G(9T6;-q{(Q zJ)G+of|epf!0bh}gmPHYO?K71-S!+2zksUiwRm9eP*<2YTt#FMDc0yBgy z;}xyNvP3?3sNE@Yp+KB|y_l=9T>u9eZBn;w?x=XKpE`vK;U9{g(cs)~`m9?$N-%qE zXQ#)w$4UTEmv8EXARb5Z6agwWZ?5TBu#%+k;R+n92?~loUb-%J`)<0EEejt%TY(%HqKtQ3tCeyWLs0EPD8+y)gZ2h#kYJXGUSDHfgTG<`w z0eMN+LSV9U=z3l2dacUm$x76fh@77g>e6&D>IA~mC2@!%A#eyMA+Q@z!zA#8AER>g zOLCtjH*C<_-;rA-7Rq8I7Fx?!28CJwLK(Obq`N_UJUKzSZ$%{miu(Gt!Q9;35D$*P z_@Wj7rZ;Zre-UnrHQXHPQ0y_TUUyuZmYnyeAk`u$akVfBtj>M`6#oILzku2=;7`Z( ziOS(_b1YlG*ChEP>DMn!f6A-=ccn`Y@c%m{Jo4)SscVWJAr+5|U~(sika@Bah#c8y z_;*YV-228hE&@|PS@o+7dn*^_1O$S43uN{6bL^avaRyMqD<=1Y{ zKleII%H{o3ZOl8`eQx6ZPPSu8ar|A8%N3btb%l4wG~_t2MEXjIFt#6#98JJFqJGU` z&itlV)~#=>8+`05IXXLl z)M8kM?mOf}(6siR@W&VhWj*??QU0$L6+J1bQIvNZfE(x+mt+GVc& z7*oXFxvh%H(e9TG)M4vPgOiBDjv>w-=6ogvqt*@=7z!qe&3`Lo476}%joX7s6oa28 zWjMI=@~L&|1m>cs8;re*$=u{+E{51WY}Yt=`dO5?3cGT02SfUi1;BGKCt$v*t<1_s zAI`_f*zWja%}7%RIa{G7s8WO9t-Y;A{RY#7z7*n;r%F06ywQ_H=a4qBcKr#UOIM<% z`peh)kt~AuG+nbp>G(L)la&L-7`p!Cn9^tGaZl|GBdxo^q(rV2i~%&ZWq3i?HZn;e zB41l^gOovZCt28|FsQ(NHr1s6CN!HC9W>)qYP_?r`vom%d_mR!fh@nE674TYLPPbN zffZvn^8w{#l1^|f$I!65aRk+5??_<(tM(~0eOK-NCliFS0E>qDMzhK@`-sUnlf8|Gxy`sWpwq+QxYluZ8Q9II}u-wM8C?}?AKmV(_MN~?#CzrHnTj^ZV>tBQS z?wtcQ>A5iJZ6>&{EE+B>V%zP?HMU4ae(yx(jic`=P?(9rYV6|4|K3#0flS7hX>BL0oVwld1!-FI&+4}UpPh}sz=2`O-CrME~fc)B40XMTgk5_h2TFaTGzPTV+yh^NBv8% z*U!B1{+fJPRkZhaZm#iu373b@+Zt@ELd6nfd3AYNj3Y_38&tw3TiY-Kz29VN<;Gf8 zUNy46r;ZeWKEg>qb}oD*WZ-qIl-^s}R)uiK;0vy%-A2g!G#Kuo*^EPhX6bg@o1ELD zDcgWU)GqU2CktUshgeM8UYX2b8Z{>A^l%`^=jR-ZJugPz-{gw550tm8tRr6PBz2Xt2IKVv-;#j15_hfy=S~-j{V86o{MvdU6yq zXj>4P-0lMl7k`yb7FqQ={+PAbQ;0QIal3VVn!Kn!e{ac7iAfpaIX;3zTYJJx z*7}8EtfJBLqccfOw2BoUUF*qP7S>9K)Swo;_A*q6YZMp`_J|317U?2FDwFy-)`FV# z3%7}LaM{K+y(6-yCL&1$i%o3jr2N=V$f^j2FyomOWkaQ9D`>snaFj8amHk*rc+vBl z-_xW~O=)8IjVsu!{87_s&t}bpVOI&UX|!>Q6MvY8Po%Si#-t7X?H>d`X2?+Xn-+@Y ztu&yr(5PYR zKlK)UYQ>nwC$mSCVHch!9r#uME_1H+GHW{?6{39rCJYy4Ta} zEkunPEUTpL*_ox8U^*nR?=5uvNd=abR7+e~t+cx)$EPVFMlhBO2vV zt+|YbL4GM=0sG;y=7HHdW~QZTG2P^cmCa)G41bTkVJW<_ma~Rh1;}p)vG zs`)U(`tX!Kc6&C-tyg+61@7D&i~44=CKRgx#aCb)8sU?kgrY+&Y3C_kDWP#1-VyO4 z;w1MVCP)T$Xo}soeT`%rjONFSx@!>Ki2h%@#9=iWYPq*#;g(qAl!W<6Y39R&>letu zNuHfi$)8$X57Z`qZ&!~rMhCuch!bl8BoGj^v_(qaI#R2ezKK7D{d=mCjVMedZs?4| zURK8m#C2tk>|YL!z_PV(*n$H`F`}R{_nj7PJmE6Bq} zepKk1H=MSC&1tdE@0$syZn-Ki5Zbi7aYb1iV_eU!mWA>kVCUT2kU|Gr8hCzsTB+@S zl+u{ySaPqvdEy@m7rqIlwifvcj|ZHm z1|;07D}p>|d$;MfBW@QJK?bS9JE>Qqpb**uWZwJr(%EK&Lxw~pvHO@%C-`#fTYxQO834akL7Y6t1g~N#F>xr16lx}f|U=)!0xuRse zA4bt4n(JV4fh0=fZH6^vq%s;1_eM8*CH3*+z=KQ}ACxzmAnFfu zTSnGP1VX*VTLDpx5{SezMe#@_71+%@g!Dm2`kVS=xVH8^ab4RT@&x(Qum71F*}tX% zntvvIyYdJW#(uCUd}By02zl#GR3q-qcY`=Lk5uE$6Yo!gj(bR@@vUg(-V+)AmEK6dcR!z546wM#8an=ng*+Rk zPC3Lhovs8-_-ryoOT7sAPdGwu6>@@bpG1|w!?ag5G^dZ^ zt8+HtrMVd_f0O_TM>DyxYLY}M?ZKpRk4LDurg@N!TL<8X_0X#=mJYW^%c!PzId2sF zM!cYf`p#z-mL?pg1zRG$n_v-=QaP&7wJlFqa6F*;5e;?Qo2V*uoZQ44bEe?wTR- z{B-kkoaOPM;aQ^dY1N^nFPC$-I$V# z?wuS3FxsJ_rJ{FQvO!WhDW#K;F)NUW8sXemPtMu?L@IHBR!9Pr-^>#4;-iK~vnq%R zB;Z)6Nz+3CSgzUsjzqpJGmcU70?%z1-rJl+6w*)f+q6;Pgg3weH>qLRoA-eQMK3n* zmAyYY2y3*Jv=^P%0je7kBz15l)&mamNi0Zs^Bc_>^}?`02Q7|zK?cc1>S3;p%_#l- zv_63pa4Nod8obVpctk0D4;iIN6h;9tj@C&l7W6<9MB7)E3P&f1h40vBkMg}$xl zs2m5s>817ty^$Zp^8XuEdbv_Qx*faO3);p%(7VYXqX{Qaa?W)5o8cOtXPYpw{cQtp zXFq81m8gBx{A&1{>i-T;{U=_P83N-8nBG69-tt~}^liGycU*8caG!$N8v8$iLF{43bJ9nWRJTXy@&JVjxf*7v-7E2vOOh1o!-N3jJo0#_0SR zy`B@H=KU&Y`7hLio$l*)al7`l_n%&8(Hr6K!?5-28j^@(PP1n7_l{x>2AFB35vB3d^>*fH74=hg#e&YOw)+mu`9plaPl$ z*9H$BD_-I?s@joy%^IF}bvktX41T^3iSU~+~f2NrERld%>~!Fo-*d1zIAEf`g#5e5GivR zeyEgKlW~#qS*64n-_uukM$e#Rl@?~~e_1SznMOm0_+hlMQ<+w2O3ElzduPTatDgLf zSM(0e9yVS)Wg3tv>(G!l%m4d~4B=gPahX3o!J762spVHsAZ3ma8*02EPT@9u*@fuB zU2Ei<%AUOBn!H0EEraXuxfwLV!lc?9V%z(f)W?}JkIr|Bw5U2fH4TIw{VN$t-d)CX zHdk%o^At4!7;NEtW335IP+sP_=LH+90-iUUTe0#4cg&{Xp=zmi-D8u^VZK;R71!$G zg_SxysCF!SaErx#DB@@4GaYikuhsH`UD$%^D*?abBZZSQj7O=93R^PqXr~PvM?cjq zLQmt%gIE5+L&J&`FPawLc8v*~=$iZ&)Ar1({A%^ij>=}^=9NvrV$7ob-hwO4#jiVC zXtnh+8@2f|hs+%j%Mc)4UHQB zJ0J32DWtfggLJ9+^qCeGP?&GYl77v@!g-uC=l02E?T^YS*_v5(TXoqq>wI79V&7A8 z(2L|8c(53jtJ@-dskaAN0ytplw$!;Dp+q|;7r^b~-4R{sm|hXsJDk1I<>rhafa&00 zwW{vGsnhISk;p8nQ=wd^LDN~aSz}(WeWtqoAi68o!9haewW%|3PHr+4VJJJ5KW1GK zZbXZ9x2US=QNIRgJp+jqZ4luaEef-R8e_?))96l_BY`i_FP&|Iyeq2otlgH+9>!{o zyQ@eiH9l0p12p0t#zGjko_(v7%Q4|M564YQs#a_@ygOvmG&C!!*3^O1cN1M7600lR zPUI8M1uH_`HC)|B7G1@vjkDz*Sa&tPH3TJVY7D6{hu9R&vx~ILIsTjmsY}z_O{E6w zKWGp44?S9`C&FZVmelE%S-NU`{Tmjne{{s8dDCsvwky6=H()!49mD^zdcBWo|NNNG zUtkDCbf`9&ju9-?=r*Xul6~1)&*Q)BCm`@z^lfI*u5?eU8bVJG z^ex@8RM&aX06(8JZA8Og%guVw*R@%1ZPmFEUsAU{@X;BnRV`Uir)#1gs?{jzoUO(} z&{{cPOuxkCz1qzCUJX1Pll6c`V1(#W!6CL*c)WMzW`%Edt|xZv#9vjE=yXVkQ~z;d z-y|C*)?Bu_NY6o?GvuvQ5~kjxUe|0rr9s*N5;u4AmAt;TrLncU$O0S@fTX(BbE_3K zZWp~vvSx554y{XnFsA3&Ysne1dbW92yl$<4(JxZ#rj*KdcXmcy(=Gc{t}wfq4F9P2 zp^so@`tpVnAKW!tw=a}0%BGE*Hfzyx$)j?>AIwDvPq{vTIf2@DV-CrRRKT6*3!uS-gV@q!b zkiVeX$87fM&V`kK5RLeSNd~42wypd2l8!l_6w5QZ%e#JiPUp3A_nFOaZ}I5}Y1m&o zs^o?f9wD_Re0vkd@jnoz(f)YchxCs-Cs_9?cTepkB_Ze?8 zi7qWU}ITa2=7yAPlley;b*ju=Q9Y` z#GNhctz9^E|9H;(%%vQ@ls4}6Hmj^R5vF6OH;@OqoXT~6L^Zetto*YlN#`rD@}D#V zT-=m~=MDuZHYA_K#>BO8`3QJ+kd(1oV!T>S)IfW#n%DV#67tcMEJ-FLQ1uG_nq+kM zOll^|+S?c>Z%j$uUv=a!Su$56ncT;$WgcfHld%_Yy;&Tx=SHM0^h&ie(3BZ~iA+UPNN&W~2jagfMG^)S-FBWMIgSNaWE15vz zRD^AuDXA7Y{zU*e^~L`3wOjEf*Q+O8xBP1+b1t)@xt^{b$=>stU1I{wz$@zVQms(h zmC=U9?i~Z6#pUNk%Q~NO%g-b%+s1^HMy^eZEW@uLzj42=cmwD^bqkr^1d|`YG4B|ECVL=S#$0w!il1Ml$&_sKm<16t1XR+ZjmXHXBY`L@QBQjc3+PX^D}SN@Bh=3yMk$^W1o(<)mu8` zAscJhpP+K_5mjIh<7+;%jv+pCvz9miNp>j{@ye%YPFS${h{3w_c1&5%w0d`yIxCcU ze1t+f?tBo%#@GrZgpbqLHE*k%dU*+rcl6smuVuU|RPJe8X?{gznz#2+lmDzmI5A1E zim{tH0%KmMTTUk;3=sZY7C-cPn}FOu%?M}=64vXb5%$y%xoyvvtg(_=69iGe|9+f_ zc$~TWEc`1>4N91Hp9v^HeyHR2w;)OUcz7WZ`q1vw+a}KYxY-l#`ZRbwEV>)f6=p`v z6E^T^dxPxWyfgItOlgG)kUrWL?qOT_96Ov@>~Z{PuVsE+|L`jd&^&p&z3;UW9bV@6 z6nNj=KW}EocftPT9lISViwa<01w?43zP~we-wQwI(A?(i%`AMjx6CXGQ@;v=c0clj zKRR$R{CovKngqi%8$v1XF=crUFYz%m34rkrpM8wW&(f`)*&CzV8L{(%s<(H$UYSq5 z_2V-Vj9v@F>nzmI4>LR6Woh}B#>eM#xh`UJLkxtT{m}jW=7_q0t&eWP4u2mY^~d$2 z^y~A~VgR*&N*^9~2JDzCIe0K;92>zHb{=hTaY+l?iAYlt3N}`a zdO03Utm|Av5(?0*{9W1f+HFL>b`}i5@NM*f9Kw&409&MMG~Eh8V>}<*3WlJD3(a?) zFU96%&V7^OI}Uv6JS|&>na~C5F`=qkdAbGN4>>xY^lY=y9w>PaxZAvWrd_;v`V3N^ z&OcDAIGPjMQ9#mJ=UH+Wv+wgV%@Qeh4#H#*)>BaUw!9iKfIhKGs_fhV@IgJ;3D+WD z3U)R4qBn?S`E&pa$|&lfmIML7yvRiq3VS7l=oK=(1IkGNHdQXs$(nj{=-+{k9{-Wa z{kn4JidJ#=bVh!RMy72&D$Bd6YLZ?-G!3Dh&Oa}Lm2F}sP^k_tLl||LifQdypwVaT z1T3O^U>LK!nRD2H-V(s`wvtd{)9ij5__ksuuiy7VYMxh=H_iu6O6lAT~ zO-hzcoP~a>C2=9{aZ&`3Ks9_j%qAPowbepD#oo-;sN`)bpE)}UnW+6?L3IL@8bk{ut& zSqNORtb+!+$GIa~dRR7?N?4W`0aV^kIy>pgoh58k9Tp^F{aV>C7U)SRbyVXkG zu`I9tSrUl6#!2x*G<9;Usfl#zmJmU&<>3P>3jK6SYQDP5xt3L^Up6Nt(rHjq0|8{m zx5I6kv2G%pwV$=L2y!ox@&d{-{XgJ0{$2P)5A z&RZ&d1A5>CQD;92=a9bvm+^t$XNhMmmB4_aagUy}{#A3xFi7WJog}NLfEOmw3#uOy zfsUc#PTCOCows(UOnbKa6YFmMH+*E}OOb8kk+85xG+jTO*4D43(ER(mSrpN`2ZP1=rsC!y~Rx@j`Eaw!%|QpLoURKhw7iL_tiiVYg{?lzdwgQCE;4 z*r$`o3D^EmCQQTK8w4a$2zMww+>@Rx)NAj+q1Zke&-dRj08R`?(o+xTK&qcwU}%3Z zmt)?p8@Lr@DFV=Ol)XKBSN3 z6DY!(S2My2jM@cl2q8fw3pmo2lSnzYqG#Wv?fAf0;Zs2CX=oXN8C2-E;zYv^((wn} z^nef}@N^T*^a#?}F=h%8&tC)L0-6?1XT*CdihX;N-AD)0qe=zGL(EP^D?j9?{ z#swzn&W}Yg3~v5i@4zs0ZvJ<9y!Hl@?>YmdV|`+crKcl11pEs?bQTW@XSjGgVseTL z6c{6?RTArClRp_ijCn|$Wo!u`k+&hXX%Eyle#d$zy;c*UZ}cffnZ^j{sxZmRkU=(= zdOO*5t7Do>n>#ppA!-|&=SVy%W*&OMt0r&g^eGeQZI!5rM*gv)8y#v${7V{pR%l}C zp`|4JU7L7(%YOoiUK0y-UKBS~8;PXD+zlVRMWlApzk{KzNJHCaels61>L6NHi(G5J z+TVf_tzCu;A<9&7SPI(%h*N4x?F`!$y6lzUR}wkws<0$8>{lG9ps`Q0z+!%m9W;EG zTm3nvp;APMy^v4`4-q9_i%7a@+D?VZCK0MMMa-TMqb(JV`j@9pB{Oc~?Uf^De&|%q z%U0$bU{riJlc%z3i%RVDesdCSx`FAGa+t2H75t$ENQy;x{x4rXoi9C#_t@7ujIVmV zY{$OIwM4^{YoR_WP)<|<#N5h>ZaoF@SxM5f-;G)pY)5*cSiQs=dj-uOBk+tKGrdU6 z@{!CFvPx!cPi3ik7)x`_%?rw|n;8ZO&ySq4P(oKt?uw{V$i#;AK`4{~h%*DWEU){7 zCD@=9)}ZuYMfS$2s?;tK%$gzFk9|d z;3P5oNQCxqkG9j4Q-=e9lLRjl$dic5&G7d!=e3prkj`yjq7P>gWR8HZ#G~D&A(b7^e-}7dw$!&3Bsz`Dp{o6fKXy@bKbtPwj8lVqOyF0cmMgu&cG$bSilLM(sq za{!=Wo%ULx(p1vMBh^=9r6oibC(XXNccs79!8QTp54vzvY1jo_iWS8tu>Hwd?fcuww$E-j{8 zolRg#A2)taJ9rm?mEtSc*vz`Wp8tQZYn!hSFIq4nNkh+A{>>$8@G%Wb(Y*`gcI6@F3nVrDg_8flQSBuWijTBT_KXH4n_0n zk5msEy)j8>;hf3HJE#;~W3ai{w7g=$MhKgrp!Y@e0O0mqOK&0tkS)i#2Wj-@^$-(j z?IOXJ4Oq^n4Oi?jaX}?;mMzDT<4mx2s;s-J*e$yo?yOUCpOm{ePnfgjVhVSAoztaO zmBys;Z97il>I$}57nAQL#>G~~7>*|A{rDj|$^I`&H3fw#*4PFxUdF1Lo{1!-eG3%3 z!9P^WG*L;Dz-Nf!&={=d(B7sPUW|->5G)Dbr^U{sRYAu*_kxo(?dZmqJ~bgkei&Mt z`U6b~N6l49$ZI?l$2RhJuw(S^p!ZiAj@o?CO0oN1J;X)1^pgPHrVdHwr@igh`b6Wh zM5HJr!V!-#a-k(|=fkrow*-ka2|u6aJH8bZBeMVo?B%voEhZohwk5W8u)$K;fXS zR-%DED!3aGkt4oT!tX-%pw5^)Zb-tVJh?n!NTU2w zV##AjhdCokD>0W)f9xCo945UK{*0!9vqOt_xl*qCUHLvc&-u)z?Y5EG`}d!o);qwG z@9Og^$i+Kr)h!LaZ1H>TCPch<^4&5^G03LAdU`lX2f2so&Yr?Ge-1d7Ly8sZA4rG> zBY8rec)I`5yHZV}PlVV)hbo@xvkUF1sHkG<ee2;`NKJ>CpiOW zJ{n~{Dq}v{2p)AcYxoxs2rnDvdaN1T63 z%)kuuR;MwM8!xPD6>y+rK58^Azi9jqqAUd&rhjKu4Q%&~$7L!ppi&lZ6v3P|4K|W( zvMwr+Hrl`@To2;=8;hX@_N^WJLBYU-R(|s*di*t5`xOD2FxVcfV>Lb=(IwH@1p%Ic zAkPB7P&rb?-q3c)0Lw2F{KZ?lbS*uXk5bM0+?sEUMCPOHM5D8#eCjPa{@A2N6O9mj z*tnN6b~JQIJ;r6L`TK<5cU?V5&M<<;Z_G#G0(Y2k==N9RV>91P67W=^DJf?eXZCty zQHv!eT)=q=32lbI@%fDKIgL3?DPLJ07k>R{MNJB#ASylJY9z#0_dSg6{#9q-b7GYS ze~F%f!C^j)k9(>;yCMQUanh&ZP!4vZ@`yh_K=c>1*IW`25a{vG@^Z28tq`;aGs3)} z7W%vnPwn*lD1M!fB>ql?U-wUGPP%JQ$cy9#`wg@BhWH)RvMWj$8vp86DQI{ZOg8%1gN`^EF_4e#{N~gKy8W z3{eZ|8wcA$QJN~1hcfr17>n$j(^GS*Ns+BjiT$2RB$5(jhUs@zc1;R7Jtrbk7T9ID zgbL?I@w()XEg2tmL*0f!--_a8@rEY72?nbu>0tMME9%8~;^_P9JA>S%oU;>eM3GtLeJf2-Vo?BdzMO=|XT#-#Y-!5EW1d(?Y zkrx{EZAh;{U>;x^hSz|dXd#Y*toJZbKJ6B=&%nQobf3T2j=!9tsN1NMCET-z4g1$H zwtik}5Se0+jTCYIrbdd)ft{R*yQ;k(5%ksbFP(m!N<_&fBjFVP_!%w$2K#X@+S2vi za~5zwCgNEGZs87DQdO)3`h+FKc@gVz@hpAIEG-+O6GX&(6rAI~5C#tv-ZVe7+(Y9a z2)0&gLmkTQ7I9p5qfQo^J_OWpAt3rFBBm?{CmW;IL=Do(6Tvx61csdH4b`b6-Did- zBSRnu1nI)3)11!`n$2m7kl0|~wmFi`-a2%Vgx?>Fgc2Jw`1|@|4mWZ=g+gW0Q1=PY zfgdG)aWs8|*3sl=-M8I9wLdIB5W*Sfnvpi^lLFmnq%qI0?W2KQo) z7(pd$@ANRmS2lHgJ~=QSSvj&7i**JpxLcR=pV!gOY~a5=1|bXA961B*a>c2*juj5cCY59J^yO{Y18z-(8uyxiz8 zTfdhG@$UXLx&~&Q$%m4gBbL>`l$qP7G}f}#ORcuHxfe!@XWEU9x|O?^_lhM>F>&KM zy3+T|a|!bTGn1Azp&!l$> z{*WRy$SE~g78_|3#PWP$&VGQ+elQX^=R>~;5Y>qH849@F)ZX*|bNISQ(Nhe5?ujdb5& z6Zx}bMPo<$9WQ|u9N9Vbq_$N6F99-ip3jk4OgopDgzTFwn;;#8u?!foFj#13!*R_T z+u{%RNK(2EMX+f8qGr!Mb4$}9D2Rzq!mKbUnmj0g=3q0!YXmj?qO}ZHq#oK!Fzh*| zuLIQJEz1OWQPucLx6hA&iWxMuSRs=O7)k-IU$h@A!cax7mSHBcKNo(%9)#?w0#I&? z9YbM8^Poe?79wKBp2%0oB+mvl$H)B}vy} z;m(+1kC0-I3N4&=+VDyweCZkG2~*b)9@c^?f92e8KEgu#n^Z)a`F0VRVOIa{(hwcK zAvU;*gkmPyaTXb;h^KNqWbT%_-<;Cc0>&OS#vVSL(^P1ihS&zE?}m^_bH8a?C*OfN z661I5zi&H(wMMRm%lnTRAN1hnCJ>0*?C5N#m{rWKs9Q6ep;Xb`>x2?x?_&wu8hQX$ z^4|l^w88E?uvgOhvL&=V8?MQ1S%E&sx~!U-!?lq=-I^tps6R z1Av1(G}KaY67DmQL@4Tz%d(V6DpLR#MDh1_GKgX=pAx)VUYKGMOokR;qQt~7G1fy} zG;d6@?JuiGwTA#)h3~!&4OMck7qZ`Et0<@$Qaq{gU0S4GsjQ&r`x6n?r$;C~^rvuO zrg`5Ck^Bzq(k;{`e%K9ZyCKif$^NhBs|;kyg9UN;4x+^8qAm)t$kf0$MG^VU4~0aDHUl3%phAGl0I>{KHj!FU>(BgR)~#?j;lGeNKz#UdIxWEMGO%Qe>7Bs--g^P!adI9w;Y#pXvvsnhTjG;J-Mm+e5t^X z%o}+P6-bY4`UVpQmg6G7gysNd6xkIJ9Y{OyKJ{herEh<+A@^T~9l!ag2RPhKjxlh~ z5?PdXm>45o+14)`t)2)r#KO=9(>Y3elwc~6;pH9Oi~y_~XLW z*i`+#ESDnif0B3q9S{_2V!5~dafERg83u!qQ0M3 zenK<(<9^IUK9{21Fp&Oq+R(pUpG;hj^S)#uzvLjlWFx=iBKNnGcpHvDE5@31}UTliAfQKe88DXhLolnSxR}v z5K8Co@=U7UJ?Q?Q03{3B^!9pp-g%+)Y1s0`zbsb%vRvux>|L{B&DeNnzWe`m7W0KF z+Cme5Zyc#W;n;yPFV5O`g(q4YHZ`{^&AyAXx1oVcm;cCD*?HWKM)tcW^6``JMVy{k z;BwN|2#9P){wg!%(`LwhfM6_xPZTIUy3Tm?c4mYkMac63p^tIHeDt(|RegASa=+3W zUvV@-oaLcj7yYq|{*Ma>2>P_TVDRjwq9_SweeTyR#cc?8)i7d^7 z2e5YKJ_Nrq$9|D(AP=`hj(wfTIS!=bCvYOi{!rwMBhop>%(01lC-!Tsb3d9Sm-3S0 zqi}z8^kM(&vq##MG{=5@PW(6r6#bU|OR6^t_d7>kRJ%?;*|A@ao8F$b{-C2D_gREr z@Iy<{zvnvjA3AkiACCKC{n)9s-{#19*vX}xI6V>ij??fzcj$7w<+$DF(4XVr|I4ZK zm=H2~o5_N$xqn8z?qGi7wEfD-?{;z-^U@ZXKg;3!CkH2E_*94fAD~mmXOX`MG?qW7 zl<}+Ane!d&yBsWKw-hXGBi{_#*E{8JqAcm}P|6=r%2!8}-yK2!utE=$L!bQ=80ND7 zbIpJ3$U6=FqTKI0b@W%%ImdbNWYDgYCg@S)A=_pCJx3l+87zp|FX=F7{iJP&w7;#K z=P_&ierQXM;~STk=RwYz@6sfOKdY7HEElmC@X=E63(vii*vWN9;&_@Z%ck5pXHM-K zK9*{dt=jY^2ha5(+cFH=JvMSKG@wu&Zw(WpkPuU*jN%2i9y7e2ntbRG?-{-1iYESHctpSN+nv4c71|OunVs92-}lY;n%~Uj*c{f6F}Cs7&0j$WUyNl^ zNnaDDFU*|br?XtER}I^DJ?`aP8mww<*|c^|%c`ct+Lp%~P>i0rF-;3#tg9v*Nr~mU zDr##{b$9ya#q`zPP@ik%998&fJ=+VV_r#Pg=}D<-8Mb8#)9cyX`7zD4H`L5sSJzCV zS32*F>8!r4POheX?r1sG=zDovbQjYFy->X4>)%Em#4k7qW-py{GZzJ@_>i8e*|Hpzv&Ari7{L!o^8?YDo8S zV^I!2M?gco?y4DK@R;U)Y(LDta_*0z&@pKRcQrF^1^QXbmcaY>!RKgKNh=Ci*K>R? zS@7^~HXiU7ue{q19UBLY;u7gbe2RM2N3S+gvZy)?z|E zbpMvOQDRsqp=K?0sjpgvYz|~+U~c{P4WB_5MWz!^;V`R!Hi%*HUTdHC%RuO*CxHzx z5cqvNdm4US`*P?`If91TkU{(=o0gC-i`I|2iX$=?DS=eu=SZ8X#mv zx_K<#aL-|imEnZ5R_E)^0#4S8X*os_u#9B@;G{1dc@X*u(9kMF7)jw2K}V3zf7<)+ z$9#3O>&XHRdl(Al$nIw73e{qnsv|ty@tw^b1|fthJ=J^w!E#f^${Qz$eC{CZ7q3s= zhRK%cBWgezAsoI2Q@lUCP}_v&mP13&!t_Na0%qJ#TB$sW&@-APpr254=s3#Bh;W-s z4mO)B96bC84pj>A#aw-}#oUBL(xmuaLxN4R=`jD!-V2uzC*6#PLZyvc((nSQmzj%U z=r~6W^o%8{yzgs2iqMtQ||k97{#^@2>c;%flIwaePeo<}y2XHBt)GK=b`WXI)d6q4%~ zj$JS~O!hHIfgn51x2_w2Xc>eew4brLhvgnT{mH{M=Rf)YZI={TkRcQ*pG^^dr1bqp z92x};BTUDDJhFq62zAUPKK-G!W+F0|v>V8U6R8K>4l}?UK#!G#UaUMh7j2L`-qYbs z43J7ygaGo5^kKPEeTAsIB2-5wJ#_aA5fu?)F(72^!HJ}snp|r}gh6X0CS~Q4aPEeB zrbigu-!f`C+*(4woe|(K&>~$*TCmSTM4Av64_DDPklV%P4ltJ|97UsT(sKE0^d+Lx zP)|B@&Fae%@Kz3g|1ort9pRNIB6{SIiR@K)SF;~yqb)xp1p)$lAQ|`<$F*s!ox|jTqo6scB*G^ zM8-RCG{h>60 z-ti2kN9K?_4$srwlRy}mq3k($*KeQy06H&$SxeJZ0xC<1WREtlzlJjMc*pgWEG<8* zbi+oR8Vn7i>TpvdM!`X5P+oRaRxiY_6tra?l!@xQS>V@t6o^=~%7!mjXu%Cxz-5Pf zq8Y-xUyyP_dc_$y;lIx4rdtw!y*@kx8K5i;wm9PWmmQvy)_hvwr|_+InxjtBVE-pJ+7PKUdL&-=R6dhTsNNwuXlO4<#o!* z@s~c`{?h)8B|3xqoWzzKA1GqzJ7fh)Kpa{YeqU&5S5L N&YZY~{|5j7|No-mz;^%u diff --git a/cpld/db/GR8RAM.acvq.rdb b/cpld/db/GR8RAM.acvq.rdb old mode 100644 new mode 100755 index 1bbc4d2a0c8962b7181274254e77f1044ecca9b5..c8cc1a606a26fa3f9d2d5c7a1321f0584baf128a GIT binary patch delta 169 zcmV;a09OB>1DykqYXO~+Y-SO}h$N-oYh|=JYAWuK{cvl}+Uspeb7FGrxpwgO?CFMYh zK?sOJwm`H%)iSa%oB@i5v03_p)Ci)efw+K?iQxoL*x1J{8su1*F>d}oF0MfsP**at XGwcA$O}cp$WFy?yPy+w}00960>@i72 delta 171 zcmV;c095~-1D^wsYXP5;YGX7a(V6x%17;&SQCTadGh{ z9>;O+;Bgua=N*uaQZgMUvgEbZ(RdRpFCp|t?fD&ZJih|q6MVh|Am5~^D5t9+%rj2o zHN1XGDs*lgWG+xJRuD&wi|Al}3JnW%! zfWE+V5uihv$x>(;(m|X^#V3D7m^eWoYQuCn0gu6$2cQego;-^KNhgJl^Gxm2ll`rs zEONDVINTd{23tXqgj>1Mh0#AptabLGRpikc12}(fmzi@Dm-ByeAe9mmxi&99f|#|o zB~;pao~j8~iXAJ(rG+-ie)$H(H$edO$RyOH(WxO{7Ub?w+uPsX+4nqZyWV$p`-dPy z9G1d4(N7|kcKIz3tK9ORgN*W`naDGlP(RbLo?f$^#ScUpC$oa*W-{nJ-gRBqw}8CE z2FdatgY@qYcD;Z0o`2lk+irS0i;XsnmVX<>wC*n^&ogh@0#5{a34z!pDLk`&sG zrXWv?I1!u;R*Iu}5=B=qKVq$)3A8;bL@AO44lfc*WFd{>R6a0LX`Z3AP$v_~^o1-b zG$r$|XycSRnQ5-izgp;G84+kIk)|+MvjJCFw`4bGK^K2=C4$ZIOY`t=WjH^&Ngg~S zm75YZ66GZf&Z2l?P=yQ=R>fnLbL4)=v@GG`t1Ik_<9v(9LPn*0Ze$irDUV2>%4>i* z0X&xm_nt6><6QDDURL~V5;rn<8!+>(Nh2{fi706#&vn&7 zX``iev`GgD>`0Uosi|IRORg+2?Ke|C^tcXsPo9fV25~A9+TgTG zny@kzdXrA7W<1w*D$miKH>>2lkq?~SbuD#RrMZ6MvlWBjnNIQ9AWSh@_9FUuHyKY}14WBs1{tIlw?T!}Ywb_rh4QNP#kl0WL) zKVE@HUV8g&k=YlMzTu0x?KYaHy}ZV^Du&e2Alyk88ZYlb5SAL<=WS0V~4|ASqnvsn`04Ni?8J;rtUXf$)Xg?f!!W@=mO;IEO)+{*?BC_&(F{Q z!s9s39Xw8>;k*OVRZ6C#M3%g^JQ{CeL~WQZCg3p`^JmaGW>22Rfuy5C$9bl9$lGZ> zP_k65$0C!hULKfKW?HrOV!dxht-a&z=Ovie1#da3o z6=|GI+W@ziLHB>rmg~B{1>_wzbe8`ZqlVu-$r==hp&|3{P2=IcuFcaB`PP%OBkF*@z|g?878bs$|~o`{g7!{!o`M^d*zlNlD$yt-Q zmcg5Vne|c{ijhe~Nke(2tG-HWO|7GK+C^Z8q8v+2^-5cCWr69`VUNYHbT`g$lg~iQ z(M>=P>!ADcOoTFsQ<2abr&Y26D^sD@>8NVPGhKft@(kU1y-Ln&`M~L2*HVX7np=Sg zL#`L>!{EGet66=zhLu$yL2fclVO+{!-c2~h4+@J@pMQ^~i$M9ZjN$h~2qH4p@0q{q z6lcqocr9la-~}D@dwq}mVfWtQ68sa9-T;0fbOPyaI}NVy1CdVk+?{Ai9*T@QO?T$a zlTl#sUr|@DzWSWo3;%>vml!P#8%Pi2pZ`r*EbeYs-eP>MO@C#q#L5ei``f{=yI1u$ zZf|4--UD&ZaKl!^uDuK5oyg#+GAY@m_d$4Dd#l&EwMl;i00960cmd*IU}O-JPy`)+ z1`9?82D_L*O(4Y}3B({p!J)psjzN*}A&yQyuJQg(Uarm%Eu26FtY9rdhHK0~T122) zTz#EfU0hsUpsE?!7!-gqu{$2V3I@_JgCPb6hXi^0xyQTuxca*KK@5%pDq?4l0FpH) zOUi*1gAfpd+yc=8Rm;f2U;wl=jLp(Dml-H8h@uAKPDUmM4WJTZAGc_b`(Vbn`TMxI c2Ekm%!N38OoOJUj4^Rm_Y@h}J00030|AlKxHvj+t diff --git a/cpld/db/GR8RAM.cmp 26.rdb b/cpld/db/GR8RAM.cmp 26.rdb new file mode 100755 index 0000000000000000000000000000000000000000..3c4f6d0cf4a5a5f2d169365ab94655c719d99cec GIT binary patch literal 14916 zcmV-KI=jUY000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D4B0RR91005jg00000 z0071U00000002Zf00000004La?0s2`q}i2Lm6-)<7_i-Ad(15S)84wrl~ozJXJHSH zij1m?cI46#nN{6Wn3%}S$gFTjMxM~Z3HAsLMkPr_r<_!Vj5#D&=5g`rYi3cPQ z5(u$aERx3zvoW6L`|iEx-t#Z9X4ck@UzHX2zyH1WEccvq&)q-x;DZnT2!B7o-xWMp zuU`2$ehQtl^Jc5wZ+6;IrE%Wr_J0JiZx-JwGLe3+{v_W15V`*!{{A5G_xpJNJ@@y! z_~joV{q_eR{3?Ea70^D7?EB5L#+!piuOA`QY@bH?cD?oFn~kmkTHViUfDB*de?Nx5 zyOoVfe*2Fh)a%#r|5s4rpTuvuaawOhJDq;>sDanibM^ZlA(7`#y3Nx^{phvA>rrlV zGqq_I78`jRw6&)H%uc{Doe0+~_q=+fl#MY4xs0tJ0klgMM_iP6eH&Gas%b=b9D74fl^9rBe%H^>Tg{{TVXG10*FdO;GChZ`N7o)V`;Wj{ zBs*(bTCanKdOvz1mEQ!rQ83xu>!85%0VKo<#Wl@ZTXmM_z38mo9-P#V`hzZbdH6)= zV5OrJ6Lrn;g1m(s)Ca(%+x#YZ-|Y3f&BH;z)9u}eqPq8ZJuA~Hv|F~dW?ws1#`2SZw z4uA9;1Nd!&UhS-Y9xW_%8};K_&zXUmajw1$S9)RLaktrTq`sV-MAnmy7LHu#niP1_ z><=pt*=8xR-aEVG65)KO2{24zjsFxv#-s1Sf%<$@ebRAAY7O?M`VwRT`79G?v8NQYyp$)t2JhjBU8F^&w8iO@gA& zB#9#WzU@vwf^Q24s}03$wxfFV=63b2@y>5hi1CmKKaF48EDg?$w~W+MkV%yIZw6>& z8y-TV@0RcN8fWl(Eobu8ZSz(Am(h!YXplW9;b&O_yJ3k+8>O>9jy$upsuSix>2tqO z)!#fg5eNRa)p2TvIA%jF4^@J8;(ysZpT}#q5}-?n{`4Kw1+T!^4=eFgDB-y_V{_my zyGscP!b7Y6Mf_%pW2Mov0(rpJOHA}InS72Nd!b}`JSF{RtNBek#)LtWV!Pk$KN&_5 z2LLZo(21qx_~-DuSI3Z910II&*KribA{3R{@O|1t1{vA>YyU;U|J_=bpTpJ-0V z7`b$zt-p8!?&gheob^tXr}5gbVykJ-V+shgpD>SZ+@^nOdK6yYjh19|E!b_iO4}0~u!s$rroO&35tduz2q#qbn(c*M435*|Aqg4W zxQ^&wzIBt+MZKHWJl#AxZyn#X^A)xi>^|H$Z?@T6z(WWh#jkI}s+d5)tjQ24SBZ@n zQvEC4IvvL_#dsCCT*o+&@^pXqo(y1&8+y#F+&RT}3!Z=&!Itu~|ydubGTj(WmC&ucE; zU?uqhJ*G{71-XM@hWpD&&{7*ykety>IKsE0*7;fOs55B8W4={soYcDgR&9|ms@r?` z|H^OT4>R+PTPP#ybdT*!=A-6u12d}_A?%yUT8yM|p7o$)C(04*H{R=G=97yN%;?(n z=-keRVw}~aeYN@sqjMSfqAWk?7}@0vuSOj^!HjROdMBK7vLU4yylCN4(uiIj!HlXLFM9Q3jJyvHZ{?4VKlD<^k@dOMU0CW; zEWBJXT=}pYzquHGkP@NGh`3xaT%L;|`{|caF+W^oT&@_d&c$#phO=lP#1K>Y?3Qrg z+>PV-QKQ(*2SqGnxP-$@6V5fiG|4~`9&&}lJ*75R24k>p*pL>d^{+Ce9#4b1@^p3BAy$PHLJJT#A-62uI~82f0(aX7D|X_+)^_QFh>Sf`t3gk!E5qQi6M z%$f7D=p&6y@WyU8kDJ|5-WV5lU!_;7<$ad$ypXj0nDb=LlSy=GE+=U@p%t@sjMgdN zYGGRK=pC*tO!O_^#%DX3hY#4T*tLr{dJ#6C!qvJin^iGmct~sbx>+=TSm!PlY>06C zQak6^aBKGXp%84d?M7#veSItWPWn{D6rUUkNaM%t`58^R5z36chy{*o>SX^mW zL^g2V%dtIQ0w=)iVb2`-25#3!M(sQIAa+PO(4ePdz{D^#b2#%Xtwi?GcJC6THmhhjS>V#Fp{L~X_j$Ydcj-8abmf*g3rSz|!+x1-{F*dmG! zIGBq74pD1k_+(`067Zsb{wT7T-HZ>)PlJ5eG(lY>Z}!Y@|?2fcZ0cx2<;pH4ziN z;fGE882o zrMeg8qUsybgT`T0w3n0EjC9y5I3SEgMLRhB(MEFy%bsgy-+7e(e&>9l)p)njl5=o| zCujCM^|NN{iOtUQ5x4VituHPvR&5MZ*n`RJJL|c)x4oWQ+NhQb8!HRBRr`Smx~p=w zu~4?4@HpHxD0%mMUkt4O}a z)SGJ|HBq*QsM*|HU*L|(u@T0t@8fLr=olSo9RJ<-XVyUJ zZPz)IUGKePqc{MdE+(>(6V{bk#_VU=Ri%<=_c?8(FxGfri1EeP`chVIdvn za)tcC{%);YD3;5$(oVHl*)Q$x*p&3UaUxO3w%ZQjtm1eexhZZhU&)ut#d59q)?R*R zt5|W;ICY8Chr;gmUa1VatEIa;`Tc`Ru~yo$h>$g_OH0ceOPia^YpXYMt844)ORI=l zTv}dVvx$Zguj45<#lmiFcW=M6UHau>jXyhgLjgj1ih?XY5Nno(8Y}J;EBXB*f_uKM z2zaXUIMG^sYrm2&?APuWE2Z-8-5Rd2Lb2QV!oAW?aaQ({f4<;*Tjk3smHLCN@)T7q zq8x9LbukeZa^MvYO;#Rv5rr6CFFMRE`p&r3APKvW11q+-5ANK_7BOlC3&#&0U6>B@ zKy4IUE3n8n4t5Guf!co2o-JncZ8$Tj^9>7|@=7~H%j38L8xlOjiqP>?QZJYHcFS8a z;habyb#Y(R#g%po%C402h2nN`XTJu!5(7ek=57sKG==evT`WO5<+7lzYb0oHc6fc4 zYb7)|9e#zyh-s+4y|aI>SS`&eyEHa?sIcw)-X5)CrI;v@kf%~J4kGSVcHbyM&2|s= z_YU@JTcrx#b}Mh=6CR2%CTFk<#U4FsA}6Xut`Vx1cOTTM<>G@HO+v^!HIgyiuL0#k zv0C-!ib!$^*g~;=gqHl+0-8(}Xa@}&YuhL?e-|oNyk9JbBBpO+e%=>pZE9_+Qo3K< zsU>P_YB^^62~TB!OVdL8N!rsl+L(3;%iqjqBaWBiBD!ub0+SqbNcLW%{!Vnii5pko z1aCLaI&y{CXYkQ_FNM8>i1*|~ufd6{LltmCn=8zDI;KI*xZ(mu;GwNsT)c&UIj8@m zI(NgpP>q&zOVRdi1n{JjGlg9OXA-Csi_Si!3S8P)&nQrm(Z+Jt1k!W4~7z{tL5fl*WX4-?RU2vyo(?n z((8Yu4bcm^Gs#!-OL0RFk-FRB<7Zh{taAL zYQar{QsDw9CE*2}Xf27;afANKbQtAl#wZCb;3Ku>j(wdDq1kIK1ukGjx8~^V9P`<| zDQoP{&%~cJtbmJf=Lq*XV`}wTaVG^X3nOzv^s~b#0WM%fqj-FB(rDDDL*~mf)m9Qx zz=Mu2?1!A4UBkt{*c1QWbV$816H+6=1`MhD^;2`lX>NIOI>hp`A(jCx;3Lv4%J_^d zb_!g;i2f-)AK|p{>Dcp2v#}=$Dqun@)arEJsR5noP?^mNrD4T!Iec=CT}~$_&jOb; ztT-+Z_uixC$uqIeX;=Xl(RiontSTN|J{^l@*Z84e1BP0jr_!#A$+HI)Frlv3Ye&8I z;Q+R}I~|W^Yv(h;4adkMJ&AL6ag02;fKg26{r5@GxuDK_SaDpu?ECLe50^BofD3yP za!@RScsL|s7CV|ZGa+dgg_-4fHj=nvlFYtP{A|yu8y`+(_i5FQP7n@PsQNiqGukMf zD>Gc@7q~Ut0yo#2`3SPQP8i1>w(IXT&jx4F33g~<3=_A^9=lNqjvllze2O1DL@SZj z#2dhZ7P~AKcUPQELi#qA7wroR%DYjwSSrF>y&-Jil2J7U7u{=OpssuL=t;EWF{D2g zmOA1E4@=?6LFUCQt-UkAketfy$80FXt{d<^$NF?e9NX`Ww@~`1|5sop>!x@=eC*S;LP-jyG?AWA&b?O5BZP<2fjXRlijTC zjM?J}NTmc{F)O1vn|{{(W`l#*+*raJPcT2}REOy@ryy?P17>;}ZJwmnz%qKM*9lfc zxC?WR2VEA1f^b{)**Wj6B|tl=m092y@zLXgsV6^=6z#R@M-6UPH@I0eO<7l_oO)t^ zqRJb!tF6Z4r~#Q)eS`2$#d7P-%*rd3iOyPl`4zD&Jb|cS9;tLc zy89OMTBFSAhDIclOm4OavTIY2y&Q@jHHmAMg}jF$9q6-+SjS4uQiGITkODZ?Jyq^k zfFiHcGN#L4ac0qv)ujeWVJn@sdG(oDyn$tO?0aQlO0Op@-rx+8Stkm{-H`;`UR%XzP(AheET*q63XXL25kAaT8 zupcDqiQll8lsfJ{)Rq<(U0-cPELAjC!Znt_bz3>Ce?u5gM${{auGUXrzqQFRspBm{ z4DDBuz-g*r-*m0FnTO~Oj`~^Z6Dx!sUkRH(8v{?nUrAZXZ#(p~ zoaivc%_NVQHah8c&ftGOwq@ZP9-Ul=SwTCy`_WyB{NAAVXyG;%TbV3F^RVl`*MU$k z9Gpj6o%R>{Q2}x}Ium=U6)bImLTnA-&m0k8VlkISOox@_F61kfw?|m+mdlBzVlR5?ms<+ zveR9~67k#3@w!4r={6jJk z_k3c)6MJDlL`J4~&Jdx&sQZB-VrPBZoLCR$_b()XeKn)qWqR*$dpTm=#5m<;)R>^1y?)%#uX!hM|zATo-Y3OW#;09nCCj#>qmJOxRMyQDh~}g zLZjR6z_%*k?q!HMkdscP7Hl29j?%?HlUjvvbd9H&$Ch|Vk_-f6i40QXi6a<)Gs8yS zvmZAm!PG%7!%{$+WVOSCAi|O*YKYk!VOq~x;a(owEf9ytkhWvPKY^c+%*L6f*RFWC znbRxY@7%FT={>r|@g}K_W#zjm{I0j^n$G{}Eh0rlA?`_u} zl(zQo*(lYNaESe&&6SeJ_8WW7Om0_T`#mXS)xn-klFGxWMdsPw-8v{AIOYJG|i`rQ7+PgM8V%UPWW*nJSEGKGsQd{rAK?v8 zDmQC9w5>xM!4GW{JU2EOLNPZs$3|PsiWSw`+sJ3)Bg~BrwqP69Tc&>~{QbGHnH!tA zXEXO~*blH~jVk^n0KR4u~{RT z95az=A_VcU6RT$1*c(l){igXCc0dvbbYvX6hcF(h)#{QzPH80<4oKqIN|@a^$K23a zk2m-@Kc4;Vr8PHFLR{nP$2p##eee=7#5(3^VF5$&oF2oHmtKTOJRBJ#HcL3H2@`4o z8BWT%OyOu_b5ZL=**W?V;SY}r5}LbJgmV?RP$yyvn`X%dIi?*BcTKE)C--umd0&bY z_eiCm@=RnS+(naZ5p*gl$#Nd8>gTDPtwuo3`A}jWd9ZOrqHZ5Clb0Rk8iR|GrHl9uc zF~HgtbEB4xWe*86xOQ0yS0|pf0pRe7{YsMZ4;Q~?-^jOhHwehEoY+Kpb3>+#mV?k3 zy@)?NjBa;KFcu~c_V?YWIe~Gdo=rwekbjuduyc;lN!Tu$@Ko@^pmU1soYR#NpdGwR zp?XP!9>Q^lk%Fg!vom~IgI=?Bk)--5fnL#|o%8sNK(A`hN|v>VUpCcNxwMpJ9kj+u zRR~>j$wBMHGbp?2c9aGR$@S=b>6yPE9rJ$+cMFDSWOQH(X;-$c; zph`R?ui1OgjzOHPt|FWQdrCPKaq^ zs^RJI2-uV{EKw-p2M;r?WPm0(Cwq!k71Yplcm!lRU3>RRi_JCycvzaUSHg2fr)&69 zK<6}Q#e4xxLL^Lpm%?xs`lgdD7COW~xNMR+RMN(Jjj9}=M?97slp%yNI_L1aIK$he z-5BuoA#$c6c;lj+f=wqqChiR%$N?3P;OE^Ye(**$ct_p4z^5mzt}Cy+E2+ja<2M~ z%&K3!;4z)jN-5gwavd}_jM?Z}dvLt)*p~1K{5b5~wl=%8)qee{)YrRM#eZ%;vOiXd z_XVY*$yQwT~9z^mGi03YQnCqNPt{P*k4E3xuNXJ*^Dk;`5ZnHg;YqH)MH;(NPxtnX3y? zR9d{E(A5?FyihbQWohT0ZM`Xqa(*zw8bj5o$zyT!o66{WWtLlrHo8d|fL&qLk8SR2x^sOV>o z;jI0TPlEA($ul(C}i+S)C? z?-WM$fh--mPqD1D>Sd!tcRZGr{d(Ex(7lsoH8k_G(V@FAOG6wUN8SsqAG)8jG{pUV z8R*cRp(WKeX}NkC=+Hf;r6Kl8(cvh4k?gMN zk0ZNE)kK-Qs^Uut&7ys*HDqV;rdmF0`UTDI4Vy2!tHlY%`<+%FXN}lo?ACD^9uLvP zYCxVPYCjBbyQHBbyf9tJHpQ%8wb^6VJ)Hl{Qs1^I7*x~Lu7-3Sx@mVm_dOE`1w8^+?6fld^v3&<9|GX{aGQhUO8G0h%VU)kYBek6Y|cT zP|!38%X}GYpBey*l1uFfA;WjE5M-!)HV8_&1ezv-g{qm<*4{?U%jGguPxErJ)J-snt}oK~dVRAqo^4skWczq20BoE+c@)=!`7<52l<3&p88z zS4z9HW{FHG+f`HIY?`;Ev@Pq#%hd9#*?6V&TSL^m+Ipzl>%%6_xU+Ok$SS4YS%WFW z`=W+W4>m0+cyThcUo$-!O4~HJI0&uW(}PgToiz+d!QFbOZPe5tw+?>^mti8lA68@bEFqv zEGu{#hFY&NTN9+0PiTNiAWkP|1fn!r0~8>v?si3{`)7oq^et<#z(w?WMd|g5f+P47 z;|ukvX*~4OehpB7kV#=Pz)bD5UZSAEX%KC{sA0x>P^zur2@E2RMXb`hn-PdoxvXVW z7piQfYAXtk5I~IeD%=?YAmRhg2t+A**2-U3 zCF1qZ2t+C1ssfmxM11lYfhdj7T7By%ks+@cfhdJvRRD#Oi!qq6l-n%dcT zrL-<<65GL$mUbo>dci{0l)r-^O~-67RAa8;39pO>Zn@FsDXK#a2Cpk6UsvEXh*>+} zdUcA1BV{`liJLGRi&PI>!xI?fir!91Ad}gtw~-PLI|KR{lB~U$)QhlLZ8i`}-849% z!-CM#G(8on_0Br`!Gh3AF+B*S+*xNlkTn-IQu+XgbpQ3*ddvTYf+Og&Y=1_;ObVg5^=oJXfrYA*0H%hKy*`0D z5H_%W3UB*3rpuj^ggv&nKn@2Q9X0R)4-X7mzOlS;KPun%2MZAswT_y*Yj-+*Tz*+^ zws7^Fc#}UErwycqtD6ugny?L*&BYa?&TQKcs_`h{r~d82OpqVJi>i#>SX+ekWKBHlx8+Fwz)$tZ5~~( zVo-^=qajf+^N*94Pg@-DKQ*LWkXa9w>J)i)cKmD44p+D{nZ58|_l3Kf)N{Nt z9yfdODBV@1D_$=bcnZbqDNz}Nn;rY_fW!6?LDaMCvbtjS@-xhga@=K|%k1U4+bmc^kZ z$g(O#(>@gPA#5I%IW%mk2K4J5GdBIP|B}mK>Ix(=L@;=ZV39&?_{K-6KbCT<9}qN``a0DWODNPU7s$LmzD1O zK3yo`ABLb0g;IRfm4D~+hOa;HaUtE`$cUR1m(UW0^8Q)~`p_royd|E0lL7bdp;~RS zfb)L_vl3aa{6R)uI{C?mi>Z^(uO}n$9-xJfznKB!pHX4W6LN%*e9JEHveSKcLcV0x z(f`e$?eEiOB%Uli4`w(dehAxd-vX6c`j%C1S@?Y?1DEf47y<5)rz614lHK3(=|bKt z+Olv7C5O;KD8`4_h9#fh&Vb9M`^hK34KT8F^>1dB*G?9O_YC%3`NuDi>;@_W@(~0k zyxCAzx@QsB$}k*RaI@++wC;hLi^OHY&C;Xa05Soh4ee&o@m&;{Ocz|Y@FmMWe=j3% z=rDx5{fu;9LcGWIIm`;`&Sw1Ze*kj-c2C< zS;S?@K^9N5%e4vQr&lRn!GK9jesA8WJ|eV;~8|FEe2h`B@uK9;{6cguVtc$wda8a%IJ* z+*l1MZy+VEF^G!q;ra|-Tu^EwaAdDN??usTdECCui_E_qEic|!U3?ws@sR3p^#%%H zQhO1@m!l1rh`e2l79v-P!S?XOGCsJ`D7?9cAJ*`}%|c1E9nIUs2ib@ezEJpcOZ?>I zF!5=XpWIki;?o8`$qtM7r==V}Er&=OBCMqqesV*)!R<7k*7<2OE7vmlAZwO8GOsrG!P=JX|Nu!B%R3*|nvJ&Mwx`>W_ObIE% z*e-+`>^-V?8=S6+{9P+C?OME%Tb@L@axM{}yOxLAZXZTEszVD-aq~Bouqm}y^6(lL zn=4@hX@{+KvY$y^l(%71R>3i=ia0a|*CSfi>(SQ3rLSF&^2f*B@`FlkyKp_K;&3MZ z&-m)Yocn5COYI|*(q;(XWbHx)WpBZtBJukh%Py6akHsu5W<>(45?GVKIsy&~P^{$N z*AvfidYnkSk)%g39zze4CFl_{{(_K^``Y{K>q%lSMo&&`SGt0n46xE-6~yY8pn{l8 z2tpBfj`3%zV-a-Z934_xsJ)93q?emW1yQy!N#vC4ui!045G$DkZF`9evSy`^UmxbY zc;vjq#z>x$@v?*I<=>O+DfR>hdWANT*AzvDeF}_KrQD)gCn8m4ZG$8Aagrjz zYYat9CZgvknu!paMpHFwsG3F5JV64R^*k7%$mxu(BPV>$-hXQtN#lgiSHLBR9I;H( zWu$tT@VQn(6K1Q*wWUL?#2C8L`tWr@PFo2kGg9Og$>w|YfPs^j>eF636=Ec0NPa2DuqwXr7D;bF{HY(S}8}EYjz(c2^0AmM_Ax( z;P)hEt|;WJeC_?sRjIR3+PIoBt#4*)( zvRW;xU#`*Om~N&ZjxmLl*MupQnhp+7KvvXDOgSxdS_nl6aDIuSJY@d`0NgS|t!4PEb!-UWHRAXYz+Sv}5YYTk5oluM5`Nq4nuUR+G0Jo;g!ilaovO z)G>XM^2SsXlO^N*_;19GRDA(T^`3Zif)o?p}M@G%}vHXjy9#;O0esCbcAE5-(N;MX##rdZWN