From bfd262def7b7bb7962569cdf56421e6c158352e4 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 18 Apr 2021 06:01:08 -0400 Subject: [PATCH] Sorta works --- cpld/GR8RAM.qws | Bin 0 -> 2322 bytes cpld/GR8RAM.v | 135 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 26162 -> 24678 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4351 -> 4533 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1384 -> 1383 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3280 -> 3154 bytes cpld/db/GR8RAM.cmp.cdb | Bin 62123 -> 58514 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19791 -> 19696 bytes cpld/db/GR8RAM.cmp.idb | Bin 18633 -> 17286 bytes cpld/db/GR8RAM.cmp.rdb | Bin 16407 -> 15869 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 111193 -> 104083 bytes cpld/db/GR8RAM.fit.qmsg | 78 +- cpld/db/GR8RAM.hier_info | 66 +- cpld/db/GR8RAM.hif | Bin 439 -> 438 bytes cpld/db/GR8RAM.map.cdb | Bin 21573 -> 20788 bytes cpld/db/GR8RAM.map.hdb | Bin 18584 -> 18503 bytes cpld/db/GR8RAM.map.qmsg | 42 +- cpld/db/GR8RAM.map.rdb | Bin 1246 -> 1247 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15057 -> 15399 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 341 -> 339 bytes cpld/db/GR8RAM.routing.rdb | Bin 1420 -> 1437 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 14982 -> 15307 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 29316 -> 27836 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 22468 -> 21791 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 16393 -> 17033 bytes cpld/db/GR8RAM.smp_dump.txt | 2 - cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 14688 -> 14132 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 56017 -> 53983 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 832 -> 807 bytes cpld/db/logic_util_heursitic.dat | Bin 13596 -> 13200 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 199 ++- .../GR8RAM.root_partition.map.kpt | Bin 3078 -> 3096 bytes cpld/output_files/GR8RAM.asm.rpt | 14 +- cpld/output_files/GR8RAM.cdf | 4 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 448 +++-- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 16 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 70 +- cpld/output_files/GR8RAM.map.smsg | 2 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1524 ++++++++--------- cpld/output_files/GR8RAM.sta.summary | 24 +- 47 files changed, 1312 insertions(+), 1382 deletions(-) create mode 100644 cpld/GR8RAM.qws diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws new file mode 100644 index 0000000000000000000000000000000000000000..6c79ab443485b08d1bae0671c80f1fb13f674e5f GIT binary patch literal 2322 zcmeH}&r4KM6vw}B%xD!sxC@bpkYLOoqvNzHEj6g9(P$Hh&YMYbbVR3GgdlB#HvJbt ze}n%(&?acrs(+we%PjkR?|U=qj1wgkEqcSb_nsg3ymQa_-t*>azZ%auRkff^)itlD zs%b+jaO=oQDr1y^o@-K9bXoV&l(E=keI46J>0Hudt)f}Oi*?glW;KI!F>pnVtEg+b z4OhZfkkpj&!|bcihEG)z<@ zj9Dakq8Vc~#4m=jSPXK?K~{tOhM@(btuT%-dgs=^k-;dEqIqK3gY?aV)HM#hX5mAd zPMLO7hAGtlBKatDn!5Tl5$aW^dTVfV%r)w^$ayym747kOPMH@4Fd@KE8h!9I0!@uaQo^ zBFkT)yD+`*FIayGDh~*#62zai;VZ zItZukSyK2JVP`lQA8i;oQivPBBHJ~?$`@_=jx#Rf7z3(-`3v9&0(0q z9so^d+j^4gOn(9*+ktKJ2^TKAzaj++mx zPyTg-f#GQNU%>Fg`X4&k{11aLPYZE-8H@^nUQbM#qo4A!Cz{N%J^!4F$M%i6l&!Yz zr5o4F&q}0xkckC;fu7s=hKZQIG7}r`r^YL3owa2#WQtEipAO>O-`>gjKKi14&+@uN z?%3Ic&UQ|IV1CT~AIxhMBr&u!n_qAUG_LOt^i>+-32CKXaR_ZLeYhuo0Q{0$~gC@o? znf}V(cTgZ}>~-b0V5|pBG|z0Z$Di?W;VD*-M4RJ9?C;p8Dd3Gntx<(O3`Y7QzejBt z-mf6*e~@9 z<-ZK_+~OH6P7f@#Ul~7b;Dlo}`fbhw>EuO2WOPr_PWurh@r$^CQ@`ayrDBXwRffmJ zI1w6wwAFCc)zGPDp>ruscLk)kRw6^2p{lD0t$1x?)2{o(r`|EgbRXj7ZCh#JpSGQj z$Vc-_b*Vr8bBuU!n>R{@aZ{312QpdPv5r`5>qg0y&I}#3I;gUaWYVNQ*G&61YpZvO zhoWe97JkhqWyirfLS`O+Q#kW%(Fnoc{*eU)|CC%h!)*0ldy2l6Ak?Xsliup(Ii6Z> zqFk&=&ZbDBk?jog`?!Aw#Vw(ei0zDxIQgxXlgKP*O8czdCwbdW?~ER&{l_dzx36Q# z&QZN+tuMyfk{K+wnZxh1A%07tL|UZ5G(jvkG)szBU1bDXt>lBaQj<=DxK%sM>=@t^@~v9Yn2)A#?zI*Tk#nX7Z=BDaL%({%;k} zCMB7fez^rJI%T{qn`@tMofJpS9UpVIZ;TZvXat8)xea5dft3uJs2C3mNdpu0E-&bu z<5|Bwk=#|2%Z<8&+L7*EdGr?zA$+^w@Te+ZqPreHj4a);ff~vQ)^cnQ`HI*3+418a ztu=9(|M1hic)sB6elj#d{&pMHWfATh?9n86xk&(S-qA}+uoB6~nT!CA~ z9sh+Th@|nc55@!J1EDGxBLb12Kt|~eYPciXs6%EeTh##c`<%QAC#7{@R7gp zWw1@H+K+9rZru5g`votLgVUv*l=}>gI*KTruO! zP!(l0lRXnSli+oveB9lk&yDGQfl<6i%PkG)rEz|n?ljMEd+MD0g?^=?#`wzjFW}*h zCy+h9u%5X2AQP(HU}%}lZfqX>UR)+u+WWY0L11azX8v})k$*Tf0C3i4Yt^~WUs1$>f?V{EZnh?W zv!#s3XIce-C@R#KlC0ROjV;Vx+oH7W65pz~hq4lp^9>7epQ|yb(cT~YdIgCX=}FG_ zkw3~EVXBdnZB2B9k0tTaD19A}KWL4GByyow(FumYj+k^Q&h8&nlj4EzZnYW5Sek7s zuv3>ea<*ZG?TL)dt&oq#4NkWAZHXB#ZfWvnKi03e$d(5^gB>Yq>M$bRFS&?A2PXl2 zTl=}qFze?j25^!&rdlc%%f>A8Oyy#~mPh=>RfLko5 zNFFY&d%Gv&YwQ5vQQn?f*L!R-xN~7mO zF+Da~(5K=>iRuo^k8<6xWxcAifw?W0w$PBpCBviR?Iu1=qgH#tf@*x%B9NRbX7++1 zRm+~Vt{bBQ3un=d9Jl*y$0S?iTP+`JZ#$a({Yo7lj?&{mu~1?hC%w0Ov;JZtCgDR@ z#sFAXa+zMEd&x%t4!#b?UY8)+LKsuJ41zz%t&k1C3padB(9pg~=g~7Zpm@HC4|0Bn z#}L%~nq#X~w`5qBN(K)uT#9DTVDkF_j-O_@HcE~|GT1^ctAqzO{9`<851NCk4d%YJ z&ByiqZ7udVv3Oqsa70iY)7NE_-407TYKz zOP@;6=MQl6W2lW%D!1~x^9}k16LE^=dz!{gr<1@rss};%@$MVuSsBgNnH1LFzJdDDVEWQ*_?TyX5Yus6YZ8k0@Bi=K z0$aOLJ9am{DZJPcZy;HJy-`VQl`9#xPo@HFKPi*YrSqg=U|bNd;g_cV@&1Tiy3~_( zl<@i2pRpRQgwVeXhTOPBeQlAabDShDKQpUdvjQhn2-xFRrOSRqnX6^Lh=3$!#&|R6 z>AM_o6n|HsmEO9bNa_5X7Ti;dVb<@rU{(o_0w z`;~B!)_pZuB3)hoP5M1VfOViN1~YwH@iUz`en%xy{({E93Bda*8P4G;{vp(pm&COB zWDUsJ=c68Qb1&}S?RWF+ranu@eN>CG3xFpVu<9<9rHHRrFOX=mdBh?OJ#^fiecSpMV^SpL3N+!vJ zO>Q{l+|vWPM7!ywC?)QvL|0)cBHH2F-T{CVnFv9hvghp#erxhWOYXL3)Zd*WdV-4t zPP;rE?GL*8H)OYCu$R71Vf9O>nW-I-?EPT{X&*eeDN7)Ya6MfQw}e?gd@5J{F3b4*jxBx)&bYn?KQsjE%h8k zo#-sY5?56_gs3soEhDOSb6g>J%3APzph2k-mX}4^m*z4HDVBcaHt*i~nQ;zcsl!=Q zxsoi? z7CT-dOE?reYU{@8!RZ@vww8w6j1Z^H?~~o_`AdYG9@mq;k5$TgUn~8}eO4p6BEx3o z@HcI*|2tgO;~$J|(my-iYs&nG(kx7Q5Ac{hnInINpO2?WZ2En~RC3W%jepIic?COc z=Z6@QC$lQdCieA}%&Ym8>&g;Gcfr4{s!XdN{1%kyzR5LVwctp&#$|XfNc4WL$$tnq z8Azm(Rcv&v@JbVRTUx9*+lbEVle3vxeVVK=qIWsTwX)c928ssv;l$d#>B`}skZT)s z3_Kd(^QT$apCp-U*q@K})sZ0|v=FY^sKKg5k4b44|Mc(!=@Esv8hf3Bq?eM3+kKAh zFU<F8o&&@sByAYYjQZE{HeV7i75Evg6Nd zeDr)`RcX}ujc)EFnaE5o@QIFc{UuczV$qLQbqkLiCR`tbQf-C%#J&gW47mO@N=(jh zUu5{#%_VlPR_${Lt64ZesdkuiOLURvdYiQVY^7wW^gptpPSLWZB_cEa;;4mpwXZhQ zT>Oi1>TmO-sLYPXJA6nb%W?#=-8|lo_TRB`{6`H?KrP`|g=!5K;Q z`%^4K9yX2}MY-B0vFo&|k$!D~vHI(}1J(LGfM1eTO4U#f8%K?O=h|V~?CweI0-lOX z0)mZ`$$0qx2+ms0f7;*wB_N+y#JT(zv{8*6+SN#ln^T+$&u>u%6^0R}7biFs5ptNk z20RKz73qzuu)8cgNh6JYV+ujHcP9w)CgF-pk)=sm*_)03`l%?A|1Idjr@Ek75c;TU zVb9LE%B=x@@~Lh@?%V0~*fdd{yaFa~L-ftA-~;rCmv$;}8#{$ZcVB{+QnX3h>&g31 zOhpsCH#aztaEB*4IrXIw0}mP9e!^aW)XT*8hhVPNbLAoc5igqLhX|4TaTVyH>b>xdOkc$sP+3JMz@Mt`_`g z`VSr;!4z)w8-r}nVE7-{Qr;(>aVkrBHU`2I!Q9;IKRV0(&Ex3YNzHNb04XSM^lF}@ zE#2-P+KfL9V~w>pC*nW6oU<`DL0r)*Vu2Ukl7Xu${H`mxZ+WD9h%@l}32}AhN+tbG zQ*^gT48`F+#9s3_LHS;S$$z6)K9O$?UR=*mS-xioNp?8N3}(0AN_e^Gmao!tH;G9U zfM|4)Q1$gXWG8}Ay$M?siK%Vi~Ka zN2}WBsy11q5y|NtSl&8y6&IC6CyIxJ+d)AdO^(|SWSkeldzAk0P|*Qv`eT5$WbTv! z8*e=zNI=FU5MCimR;}2e#dG4J4Br&YpOEz0<-DEqxUTN@tgxnD5r5NjnzvtjIQk2^ z`N$y{;xPri%`w>v>$)xze2Ku)j^+Z~EL3_A^w-oua(C8g5J%p>zB7pD_%A|tS5BH| z6r}pZDIUVn-Hm<&^#}GRM=E*hDwU~~Y0$E|*8U@}edOiaafgfJ75;8+x!=+!PC4?P z6g#Ep7<=#J0s@ilxiiFh08ZcVy z-qA8OYj({tFg5&djaBkh<$a(eUYLL7^4ac*uRsG~$g<$@MFOOxXN<&n8EV*a2!~BN zLy$4Nm9r9TWW#lijw6&rZX==RtYQj-p&&xoU z&%ie4$LZo3aND0<0kK5jfIgoADR`?();&0euNGT}=P9_OzZVv=x~gftk3_OX-x<8-7z=F@lvS;S-A}h9{c6kX>F$TX`=fxI`i@9 zKC24M7nxO%v#4U!6Sp7PeA+lety{>!^x^YmXphI|OTgP(1?^jB1z&^gNP`Z5e3v5Ol0z5((IIWX!FSrq!W;B@8+ zi!KWloEzr*OJRoOgVt>%=)dpUpl^G;XEJ^R^hqC$(Vkt9MtgA)gfT~h@n`rpzjI`` zQ%C^X7Hbr3;0)D0@@l$|ysSe>{6rOtx}%BQB2knxr}qF%U@_o7)18V2&VV9gL1-^0 zC_o81(=l)we6WT{?~L)Aw2Wlv##<{6$GX%iV&a9$TmpV}{Pnq2Fu7GQ5BBXs8+G{l zw+^00&T_m!JJka!?-f3hH!GmBnsJ$e5a%Ur2<6t?@lYwt13@%>`GbMu_DRjA&+d%y zIMI2F_|PHvR7SJgS*%`{k813JB|J1S^NkER`iDR=#q9=vI0JrKR>=3-6>#;KHX21X4pZu(FzNZ4`piD+FjA zTn}uG0<1pF^#kAbgr8re?m)JSseMxnD}4|_6q_XfYJq5;wa_PTzmMY{e`z%x~J3^8ng z7FlJKKr)U@)P1dtOaKsW7O$6mBDlc#neGf(2?MjQG`h~*xP!ux$sbB|WrN_??)@y9 zJ%d*|H8<`@q7T2X_8BEbkHD%4kB+G9TbKCR^Ltfdps&3;Ll7i=Kk#Vs-kEUWSR(?_ zz>FG#v%)A3XG<0A>>XmnkDX&Oyefsk$az-8KGm$|*aoWsFr>L0)2?Scel}f9;K-Rb1 zI-5(DVQ=r7&~it9!PG{CTztVmoY2sp-E&fU@h?Q~5d3JT{Vo6~+F3vZ@yg1Q@%R-d zTykn|&{GvR2(l7L>7fg}gU~Y|&yK(KgGse@frttch9hM)$wANXqrcP_17gy?3GMla+1v(O$3|hgU%hRNwD6CU>``be~wMl-jXPOT0nQWU>M!8c0?5ddnSV27&VO zPrz@S!#j3+3JUILI?N+4pwj7rOX#0D>fXX7>2gYbPi5Zm#kDM|cRNwn$!|Z@THpWe z)7glQAM}0sMsgP5{o-Eke@Qb^%h(fGpsyVR3uz3Yz5KUEyN@J~+#9M=NRB?2XAaV$ zqmgD?M9(*O^U31V$tFomgU3Es;3f}YN_Vf#y?RQ_2D&5^psxoMec&sHG4w6fTn}FjoDnha9%x5{FhfSj%J!hukooXrs?4L z^hBlwsUTT4;qIIXimG0l!Lx0(z1OUt-F@b@V}uv(S#L`C3(^MYpSQ(^WqF%@=n*Zm zE)`BlQ+dz-)ir`;bIb;BQ`Qf%G$BkXOy5-s(=0isn|nA59rOW*-TG6Dy9LN6KrS5J9*!Z;W)&n86oDB&kF~?*0imaS0uQpSZJCM9i%7DAJ*Yq zu>9ASRpMxb^;b5g}WssooZ zq!-;us5kv>U!T2c(22Ry>KMIvz2ugx#KOU615vZ~fxp@J<4t6P^63wa4L@PR zFbeW?n`#0iOx^SY9{Wotb+64W*3CSgwR>nTERpD|{9*PIC*P_)M*5c{p=#-sT7IL$ zfQ)L@`_qDS>HWoroiXt*B-t8Nv`^oJ=KlN`u}D38F&@$id|%8RMe|!#r5J7t2A9`t zk|5eehQv8(G9r4J*z*z3Pe2~?&q1#vE;gKpJj>Ig&D|j4k-R0M(_fC9Ho)cAO0p;J zP{!p<(>l7_Q1awC7JYXYCxYcwoeK^aO+dE_wD3$H?~4e|Ym`pRUP`q0@Y9N~0j0J1 zN>h}~-`T;%*HRs4Az!zD&(A9LwMR=Zv{?jhL;unj*_B~(n@V{!a!{LHPDQQ{^y*tE zRGVnV6M7jSp-DT#8FxmX{nLqWJ?G{;>W1g{H|II40zQA!qbphu8Le@zke(YF2F5j) zt$$5Ui?f}(&{YpwEmICsT+orx^=x%39!bfF2{Bo| z_{;45{pDV6627>OA?YvsvL2OZiIgmdqMGQL9cWf*Ec^;*bGYH+W9fmPuFxNt`buZj zZ2k+hDb|(7MUUgDfV^!Nu7Wuuwb#ZtAzcC-3a7Y~J+{br`{3?PdHS_xi--vh?0XaR zdSe?kI^s3Lw*%Id;*?)F$3!UHju_~q+13_jkZxam4@8>hGoT}%{7Gu;>zQ?K&!D%X zfm1mGnmk&psOS7i+#OtAhxlYc61+Pau_y3~?Y^I9b@uek8UX07iqn#N1f6k=lpoaz ze4c&pk-*me{Ed{J(}HtTYEUUxziog9&*UqsywbD5xo>1e$3si0zV31jC-KBQ%IrtW zhqC9*XF9kV%8cCz80E6|>XPKeZ^}r^g_nV_mB`P&0<(k{DXW81)>B$16$yN)h1uI; zhp$qcL^*^(OGKF@sR4e;a}ldCmR9>mj6wEjq!Lzu2&4(=H~* zIZnK{Z=-+hH5ZWorTxWS)MD}+A;O>Lxl2D{RnT7g;;*qHEVzEL4-{cOa!ybee-@ z$%~S-&yPQm?v}$fnn85xTzL4C617FU{@JCtyT2&p^1SCj3%U@w z6dB#uHmk*|6zz);^`ZiG3#vj~KRH7)i8{UAlg|xXeCp{PI%~ZK?b+yz!*E)$x<8C0 z6&oO~KnBJaAkSj6daW!uj#V7NrY9!xBiOiR8i~bS zmzhnc-oqwnuq3!?dMD%)PXV@AA#tHwX}HobTH!roJmzSoIB;Zkp5NRMR1V+%U&8NR-D z@?@>zUrg*@?%A<|I9{=G0q9g(yC528(+UqN$nft2rRc%uFoN5#W>_ykC-Am0B+iAN z{y1uP#j=~lbbL`or;q#H@2cDuZk@*$+lRH+5cPj0{|l%S@2L%(L&y-RB# zpDLC{o{u(a#buHX|092%yIBC42X`?dB7STm8apC$gSLnV#6k9&ySIM?8hpI-tQ#Ff47Y8MVnr=0O|EwVE}wN;#8UI^%(4(-dOOw zCS=1X0=!Lr~$;AOr*L*WN-Bk1xPeSj`(oCe9-H-Jvi2ODB(QZ*@`qwLJnN{nsAg^Ubp( zgk&}mJ$S-Lg*}>Q8FMTD5+|*F{f$g6aQAp|dl0`m(UGe+To(MfJBYCa$$vZ`Jw1#L z)NcIj<^@kDMOkJ~ns7^nnwFeG8|id@6O81~yuZI0=XAd`q-DGORyv}i)k67(YX3^%P#c>8{l`2Uty8p zy}{FTt>wnZ#()Fwy=LEnDoYimgMx`Kb%pIzYGwr`g^1u*fUN7vam?xQGd@|k?m9r~ zo|>~Bh6a&8wi3fK?KO6-nv|{KmU}>XjltW-kCy`y&P<~iu`34Gn7SWh1z?TGc<64* zAhhXMHI2#@HIrl}hgOs?7}zs5enTmpp&yiQooL=e@}#%k*~OaL{-i#Q7^;vA^)l#5 z|(jN7m-*;wwl&qWZ-W(h1PaieyN zHq0E;!0bWpx;Hlg5%2a0de>;+b=IZO^91)Pdv=L=Vx-v63i+8>@QA``W5oNz-h%M; zZTFeau#xsVs@AdON&ThE7GYn1B+Z(gQY8xFwn9g@D#&)4o=VNz#YCMyeCS$o#k{X6 z0yVEi;k1P+oJ{Hs;`lClMtthGJYP6NjfFXg--N7%_!A61J)~ zGj4H+dC^>Gg~1f7a`3yVYs7*B?x;u_e^Ev;L=rU69Bzs9DLg7U*Wu|au*k)n>?*Jh z5O`4j1y9MSc?w)+EuFcc@`zrRb*r$p;s`tOjoXDj*fHccevpk$vt*L%j1#+4 z6y4wzgtUV+->{HKmkt|b_krtC)kM%*I#BvVYm49?5lksKkOO+3;h%O-BI&$4gRb_3 z(1WCO?PP!Xr+INYc_0;ZVB(MEwe{vM9YN)Qy=DI7H_r9b42>yB4wBuddflQ1-{o@w zgN^%nD-F}M3ZeL+Ab04|B%CePxsU{s<@}}}2i&$X>5BL;L&@KQ<@aH;YU329y@cxZ z3S;66ItWiICf7U}1ElA#$Xe$&qWU=kdXimiCl8a-#+7^iOt$9Bt}e_>!q}ikd%^uS z$e>Fj24%EL+B8KCBDm#;Fg2{8?D*y`ra2&INj`;6fLOS}jdtal4 zO1<1MH3ZRA*a^rgDLBATP6F_%Jr9ZIpl(68)j<5SZ4QlpdS1{pvZ(H)%1`tTFw*sE zN1Q5JhxjWa+%p3l4o!Jr*0s{?Ns@Y`qq=>Xmb0qA0t$=ZVNbkvZy{L$rEO`$jQx|H zeezoh0(mqYb90-fl@T`8h(zQe9wigT)u>7PAjE>YLzn8qiQtDW0Z@iBJwtNG3|@fs z_$R(CW|{Hynf^k_R`1LJI@~XX7c>@O&btFMOGR|WxzC*Hr~6E4HaXIniorj8DbcdN z_}1mR>hQjg!B(jzy3izsO|s+M+3iT`Vqlw0aODU3$H2KuIOqnjlKU{7KAbjv-QH{s z(0;{10taK41dP-uW(9NK4`qK9c_U?9* zybcdve2^{O*x7SiT07T3+~^NBE_J1I?TYNW@8TdOo&6g03-4MCq@8q;=ewouJr|CJ zHoI7;;rj?}|K)_ym92~{Z^f`0k}Q4Tp*ZnudMfcQqgMtkgN?eZn#y{Y^!Yx(!B4n44vl+R=O-GZuRw0i_}{GEj@b-dZd_Zdwh1z-1{hY10=wo*3=gJ+7`$ByNU}OeTW9%U8DIj)FSsU%L^;9`_(j3EMKJN82L2YN{bsQad}x~vS9{Q@$uHnh z?zODCm2n0o8H}#HcmC@_JIYER`#BpKR!r+|edooZQj6 zvE#8SFvGoVu#W11#0GBwy)6uakiEq4UTA-qsoeBd~GBc0d{{@J=tHrgQ#b zjPG+s6y0wvx5zYLYbm`1d$mY#-cY!v7kyR{iD?c~f(Ke_U-*mVJjZdA`mWEoqYuWV ze;cOLb+eOt!2upNRyw!=0Ins;`7Nt!RrrmF;&-{#rE6s$nI1r&L%2 z`+Bag7GYoxp@*M_fhOK0tD;XjWb3Babh#wLm2Zycp8z^i@R4pw;x%qbdV`ea)NE=) z;n+IvE8kH{*5n(=DI7d{IAA#_*PhIxnB7o|;EvbmiPJD`hTfy+z%k8%4QtfrsBpy? z=r<u=S=EcJ#cxy-XKCqddPe*jb5I%(Xwtb$G;`44V zR)i~?=kUNHgbGh}^$(eUPu;5O>tJEZT>%X#DEZN3T>P0f6N)bOF(z^PuXH>DN`Ct> z;fg#i#Hv-v7gtWg#EYvB_?Vl>?`EL*?PT~YT%F)Hn$(<`XjSmTKtu;yu7%PCkbQO9 zGvvPhYwyt{tFM3)hVUE%yxHwcroArHA)WkKjZ4AAG`w>w@2WnB#{66Ie6vblg(5bj zL#XD?wOGuWb^XWH=u5qhM^O$g`6p_*Q{uc87;HXA${jlHHoAbCBcZMz37sm8@BI}3 zLMxzhj8~EF4q@LkbQG9b2JPyQ^4Bqn?Xwp!n${+SMQYl=fMAo7Ph;>EFJjJH32_u; z`)s&$DsfU`y;JFr6R$IyRcYCL5Z4)Uc2tG?kY8x%jIE&dyCW!_@Z}lR+T*^9z#Hg;!lK1ll z2*0+aA?=({)49?+#S87Y!~V0vDz`0PcGl-*A2urP6;-b3ln;CxeId8)nq*BxH-+^E zv+XO}S8a}OzS!RbI7%r-k$>iRzPw~FJRWz8sb$Z?I6HsN;}XhFHuW)k-S|gWY=6fk zR?Z=JDEOCe0*-+1oC&kt&Uzmx91)-5b-uCDF%$crG1LMtp@O0lQhPRz!w!jqzg%rd ze{ByJg+e}@7|FtaCf=it$P&L;Bpkwol)T*>g~wSg#@_C5CmCB>T!{HCr^_onNmm@Q zgQOhgXaU{<>)ErmNT&n3a}4Nw;zd4r{z_dXt|Xzlt(u&rmX#eWvNb#tn4f&}9r3z{ zXU5=G@4>NIIWiYl=7^d<>*wDVIUdvVO5aA+qXyRL!H<69d_A+Kw*azrLjKU)6i1?~ za}}i%F}3|i>3r4wU_GDow}pFUnUz&IzlZZ+B;%w2!e?V?`ziNhlb+Ar zNl@+DSrz!raiMwC^!?B@D z1sNX&)t_kPw|X4<%sK@}mf6R-xSDBQJp8N@d}qaDYNr?GJ@!M&##L>t z6=?^F6&Y2FmX-m3l1X@yjl9cEB9u=fDyO`23CTq^JdD!UF9^E5faK3Sbbk4Ed7K@U(Q>T zLj5O@@U!kOj~W5Ch#bBWDRmY(327zc8Zq@|rF&3sKux7lVM5S7qbXYj=uw z$uR%Y^%>2|2wH}}26}t`${4A$_MSrh`tP)NH@(W-(B#~qOGBMi&JW5}(fs2$4Pg8X z44?pl2PWk_<{3owmJ(%F>YIlT$Ht;6tuaFXI0BHvPcDu^h#SNu>Ipg?U)P~{@Ud1! z3S7t1x}MK?#F&q5@`-ABe#LO30M`lf;sPU16Ge%d`3(soiH^lZ_a?m8jv*7k1$0rZT`pc1svqtqiL)6XGB z`(-&UDA0Ey?9ilEoIV$XGKJvo}iP22VoAJmGvu@Y>pNOJDeX92MzB4IAxJcHIY_f(v_ z{%Xj$c)@GeBlD9)0D|!1?iAK`;3ZPx`-{*aG6wP|`U6g5E`GUu8 zu9k^FLoJieDOOUJDgBF43xWoci->H}^n{6KWuDD%akKKaR@Agj@0MH{_!QOF3WNoA zIk} zMBy8p#QIb2kJrVna<|%RG`+{FiyfpdDsIh5WU)gUXZPNwk z^S)-k+nTrEqq?=?IC#mBQu~%#@0+8HF(z&1&{uw^Yt`tFR-%kl(@D3N4P|}mW!vtI z@Fl^}w0o?Kd1#Gi8A|-~*T;95bhd#;YsZ||BuU!@9bp)GM|y3 zlsJ7TD^`OIhhvEy@OOliK_EmmSr*FRQtmGsrr8;I5ur)~zRLv2I3$A+wCi9SgNvVhp zHHT+xSuYC(?C4w>%!r3^Fp4vDAGj zyxQRcNMQCUyWmph1R~#Hr;)uPv65BV4>j~TJSpd)s_uQuuABX+v9DWkF85<1!RX|3 z?^d+7-?R))oWWdE7oTAP>xXQt&=ETf78$vXhM+6H{w!O}NwuGSS+`&cf`x$UFOQl) z=142{JFA9w)y#R{-v?K>?u%`U82u|;u+)RxOEdic6&`|0r_7?hb?HYT@V7*G?hGF& za!B-olO{Zj9`*Oz$f9W=ygb3ISN=T36`5-F%mp3a&05MV>uz<*Hk9}n`AGZOv0LT? zq&dD;+SXqg|JHwLjws{TFMVBkt+H-S8 z3?xKbox7MBsT0=P2b&Q*)bA+dzt~DWntBhnPAS%jec58(PltSi+vOC$Pa=?X`cm1N zLNnhsNAF4yF4<7|5+_#b6C$=E_tntz8Kerw-{8I2+%pUu2v;q39e8YMsNq8FF&oEX zg*XDY!zNn2__VjOEB%x1TAH7m>XE{m;9zRpF2q+>`0VqPduBZ?tc>P^X)@@{PB(F- z4x@aXOd4Z|f{+WX@w<#I_LQ?j!bdRK+n=%B%B7WmkF>nDTRj(f)E1r-4xiW?o_Mg` z$nV5CxK^%{2DDzqq+W!8`MJb;eHjubu|;d$vG-EDcV_%g%L!$XE^z1~=CM;{R$<*K z$LN0luY@Pv#tCD1S0`ReFqvAs(@d+y%Mu+87G4ko zJBTfO5iT0DRXNcriam$VJE3`f0@#GhQoqr)D1JUJk`$+rypveutW z+ixYyrD-Oq{b#KU;62(>;k`TApQ8HffEnrQDu}k|5UX?BQ_G$~cnj_O@uX4x0X|jr zR;T&^R7i_imdo8a4~QR3)DGnVEko)P#7$Fi7bTs$=1SM=CFWL5ZAQ$Aybe00WR92? zvhh^9dhQXsHw*J=tNO(o*4dr9yic(cjrWXe}5B?ID(X?)l^6n1=>pO7a>E-KxKvdlYQg z7@KY2Ef`Ak`fj}J5~-iUEA9#Horsy@@oX4grv|adMtJ6+9Se%j_uS!9Lv)8ZkFUW| zCMW~VJp9`1{aJwfNq|t(&IqYlg>1-bL(oqj*N)6bE^F4TiG@Y^?1a83d^eNo2(zmR z_`_>v@(1s?(u<$Zfs!4mn?e2Wq)1UW48~LHw=`ivh4u7~4fx2+D{asjVx^YusL9ua zG>zhjEhJu~m1zwFZKVdjTi7i_&CGqDzu-0mb3xNnoFcEoo&RoBZlrrzf3-q$n)6Ym zpr&YGy@;oL$I*_1k!NGt3L5)Vew*@F1hS2*9{WKUT8Q9gXk;0xu247&zby{=@JsZI zUEFYos!e14@LIJUa8)O=`Qo!Q)8i{<-i)kutAJBfzx0qoUmETy$95q2ycY~$3%wLz zb=Nq*3zU-U1B2NWtTLhxqX}B_PhG0T!5fl|vayB5to_&OqY3;gI=Dd~@R1#G9bL?d z^71G{ol(sHN!fv-Ro0^Ku=NpF|9;50yN&E8=Jg6 zu2Tds?TBo-C%o{DEEVv52m(<4LnOR^R9OK{`$3=bX14~&3H?6-u^&$071S-E4Eq@Wr5I0Qx;WU)*AA!g`g8~Jy&(1#FOS0= z_6orkPEPAhj5Gc^-Ee7tkAwH^tXJ&VO5j_^IhTrDquOTMWrS#FXQC!MS0FRATiGv0`K~Ma zn;V@be+|c)^2m3HnX#{+j6~x1U*Jj{Gl1TFQpaynWaomuKuR6NR_KGPbKd7vcNw zg^o^B|Ml_UY5Vwjm5URNA3-OSsE{^e7!V=)c+a(k8QZn6*P`fmu8Bw!>EO{UCjEYkIN98h*!h z7w!A%8Mcu0o$2XE>G*&+4&4yN7gx*Y^vZdJ_D{t9Mt)mwx454S{pErO z79Ll|X$Sgz3HRQS{!Wpv-hrFiUv%sl3J?D&;U7}TQzG0f_*X~ze3;etvB7_gGV=TV zWaQ-IkG#`J`)luQvL$H__({d6zhQZlPn- zH-$gz{j=|eh2mT)XQ@XYCswIakJ#~k{S$Yq}Zq;*Yt}>=ZL{NVa zQKe!?psqM%)pDVdzN`F~=}-;-KuzzIl^bccGd`h@4Ne&KWXiWH`5>`MWl zz`NUE*)z^Y0Rh}k68%JnGEtSN!<>u>Kzm%&!PFP&^4H1qK3Xf z=le`xu8dNQsDF3Km;UNAtPSyf3H!wKk3=+=&>qcA`t1|+QR(%b#P`WQ4{-1L-z>3>KA-0*pO};_csvblM$H{znfQ{r~P?RG+OMr8Z7rQ z*ADZT3ae1s_jcs<;xI?w=s@382fpd~9RJ?pGvhc0yd~)T%E{yDf5f$Sp?_k7oUiLZ z2YHB`H7l2{I`Nc~W8ACZVhR2D8$t&wbT~G>PePm~hHr_&I0I*KF~D&SJ`3a;XW^YO zGF2wPcgmhmj`n9XNz-lBuxh`>!(Z*v@u%fyY^y4H03Ax746pjNE}f)9c{~qq^)R5< z*}X~Pbwu;ritgO1p9GT>5}>P))h~ewEl%uWTG~YANQ$~uKL92G#*B`-Rloby53QQo z`k_@bYCp8SZ6fW5wvR*W5+xzJ79X*o`Yk!J>x1Xxqz|4ClrDJms5LA1Uo{*fx9Q;U z5^J%;;??AFVG^+Rp9pK!;Ve93>dI@$VJi+AVrhxdNkU;Q8)9|kwQ~Q*ItlrF_Bd;p zHk2QfY=;Tw9F-gkw>3fA!?yzKQNbfZrPN#SpMF$7$|JY%Gq(~`3 zdi)QXnz-)#ct=-%OK_}?MW=vPPAJ+fp@4_Cc3-0Uq05%fKWTxrg0=OZ^>u-pngg)x z^h;NrG~Z+K4JRFw*NJS+H~BSfPdmv>PGQ>Jq>`Z33zWSUH^|b+!ILQ z{kdEnc)lm9b4Bia+6MgFO4!cuzwQa|^-cMw$H;Tn()OL@iQfU~4A1Yqi*IN6zV!RT zU!b3~>m$#+EraZx<&QsS*ctxLJ>w_#mCp2uhZqx``j>~7okkMZT`Lb+vFh2!9=&pj zoh6XI^!uSd+;PQO&ISqHG z#=)ga=U*e*8jT5%PJ`VCG#DM7xlqoDhK>062p=5Eolo0H)8P!s6#W;I|X} z4L#ubd8w15J;&J}`M;pSU3wMh#s|wNn-cK1zjc5unqYi8@Y|NOJHy|hC;YE^4qm_L z3Ey|{>P!F7p8Ed0jQvJ?>IZ0soxkeIii84h=wu@%i;I?WkI{2`0PBKt&u7?>j~+lz5YilB+XD!ixv3I%2`@dflZ=aCyI`y?3aj zW!$s}mrwk07+bkv)VM6Hae0y^rwbz&lx<6J`SG8ITy)1`WUw|a3u;`RA}$y7;Brkz zF7s<#juMwowQxZ{*?FHD(oJ&CwGk<&d_>y2hI9uFN7s!<+N*|iFCqP6Jkp*uB)*jF z->OMPjN&b-A>B?$^;e}Rra_Zp zhpy6YID|_jb%bAgpbLEmHiPH{q zSE9L#lgf?@h9eZ*{;ZYHr=x1xy&p-&t#19jI&&KiZE(8{<9i2gb+TG2)Db5 z+xZ>1)%~_pXKus66mA#Fk_Sg_xp~+uIUG&Fy2f$K&BjB&)$#5oZrt0!VRrZ5JI}b) zW&Dog7MDOCY1rG`8UrcWx9GyHZvRho=2rLj(vIBfv2}W9ZuM9`c8lTI+GNSYSEl{# z-LlHV;vkvx_Rw$jq2z(ubiksRJ?C%y2DiG5ch#lM=aj+9abvCt<9~f9*+tCkF{hln zjal9Nyu8WY0?cv-shHJAl6hiwpQu?IvwClPfEc~C3$wbjmpU`%hC9=&-f12pMz4;{ za)Vu^Sv`)o7qk0z(5&9q*lzikE}GTR?jvUW>?+o8Gh7rTPAP?7;%Jz|2 zn;GlIzg9iQp15l@W8Kv-+o6RS*5}DDJ%oYsBzg*$nX6+@Yvopt;zx?xjxF3+XX)Nd zef5aJ&FEGJLE^tEKA9kALzHiat`_9bk+zT z_GYWCKch(G^@*gb#HmlDB3YkZb>REZ=d-FBS(e=d;&+;Qy{C{r!8wU)WO~M(95BYkQ`b zzR&1M|MZ^pk-d-n8qa&luie02^qKjdtCiN9bxp%yrLny=y;bsPl~)LeJkWUBI)1*g zr~G~U*FW^6&;0lUU4`w`vMr2WmWWTUuh3id)qZg=e?7dXzt{-u6F&#^r2o;L^jG$z z-*>)yVo&;S>nZgiSry|)b8&0>lIZ*R$=y%z1p3a`TEFy)2Y#lpPyF!2 zMIU%p#(m)5)RX=*deYa+QN83pp(p*<_oTn3Cw=0rk3C=5lm0*Ur2qMz^z}qXFZt*8 zgnw^Od5-Q$zwda+`n-?7=J$mEZclx8=}DiRh(7XjmD&ehTbRA*_l*ahu<9d^w&Hrp z&(Cl6p}$Q}`k(DdpIgU$YX|;C?uMSVH3u9o`He|k^)|K5{6S9^Wr;c=rr z@Lc`$fq%8z(-mH8B0dl889)Eplm5{?=|4;HZ_jH>zSe~NcPMY#6#!~@7&|_^tY`na ze^2?Z=xHBT_Y$2vHq8~>pVc3AFR1`{+&v}i!_~jRk7EG*t2I76r;O3D_lSVs!P%#a z{TG$82l;dIXeQNHEmobp`lQ&GGzza zfNb*c@%hJ2`r@yb_lO_-)o0kEyZ@jEee4epO64x8H~iY3@o z4_Pz={WjX1gZ|fh_!szl^t9*Qd&>XZp5ycTdt8=an|VF`MSMP>v{Ci}FmGe*6Mv($ zpuNjw*rJ~HJiAAKN1peHzWe=HFYZVk_;W>n3zZ`$GY!C)BZaver%aL z?ok}%znhb1+*A-&_|wNe z!1meTLH>X1DgO@6{$1pMY>)A0l6dIjU+DLN$DXh3DSsdO$a8W}`hDMl?<>y(ddkz6 zeqZ>1T+i~H-jjZx2dw(W8}R4#l&8-FXwdHqe|O<%cqETalhE%g&#q(a!)1ARt$Fx1 zG|$yM+6}uoJ-D~bQ@G3AtI#}2=$~K9;rX_U1i$+jbMedveC#!1!{=FvKWgjXgi^f$ zYP6-Z#p^6L6ocOe&r*T=FM=VDP5TOtrS;!MdB!cRd3I*GB1@Z!~)-X*wWO4tIxxJ;|zSl}My=18828mGJ`@)XJcN;%^`QLb^pigAx}a*cDw z+XdUluF&VX8OcZQ;+e_9WC)~FxX_#k@Zv1zX0Uh3o@ zhXDK|OWMUaSk5Cq&mF2t9-Dxd`5AMIvA_c83(r=|Rfll<88#Gdifhd%P$DEjefldf|>*EkYH02uJ71T~ciey8}Y6Efvx0 zO@G^-^2aq_(Lr`V3f6Fx9E?lYe|NVY7zeLs9&7W_xOhn8XB>UtU+C6X4|q+#=|aLS=l;#Bm#T%+(M z8*FNCBYVbf|Iat`6_6q)KQiJ^1Gb+iqJQll+nI0dJaVdD$^VyWQ7uzqhf*(idipoa zD}j;cxyl^*@lb0&+H8K{bVyud{7r198ab%WX;rp#|i#kE#Yfp+8(`zf9Ux1Rw^ zcmi+j$j`fv#GRMN>-*~j9({?Sj_^U>6kfQMJf1$kpR`sP->`l)InfjODHW3=@faH% znlayC`)CTeDJ0O>3_sd$RO8tJ=*r;%H_j;+oHKN;B!^$`U#6qN8h5ta5T)tKvfiV@~tE*Te4{#T@-IpFC(3_M!pl)rq7HNNRgr|Lps^<;)_lwPy^~#4NduliNgXd3KJI{5 z+9kZ@qek9wZ4x$zVLHNFk6+gU)?1Cp1F4p3Ub{|Xtq4@ftIe znawag$Rb`qeFV8pZXpIF5UbQ#Zl(uV84=H)^6i`(KuuV0iV3s z|2Ga9V4pnl|Bge}reQIFHrYbEU4x z3Y|jLf@AhND4UX)7pSN6MH7r~2cGC%&+r?YJiYW~U|OH{@%K-?Z*q0~b;r`TMecms z20TN$+SeO=pZ#9s=?mZY5kQTV=-OFdu9y43_q8X_PV}MQSAM4IKJ>NN=>@;zI+Wk` zh**KgxR>a|q)D>Kt$MIYmv-Bu13t!CAl~$|#WtJ*Zx>J?G{M^*HF50aF}}334`CWL z(J{Wjt3DRK3t#phhkUIzD5{z&_H)v0@^e9HI8E}KJgHx{S}V#*-j*e;>4x?a9y%pl z{XcTnTgs!Z?cUDuK4Kuyq?d>HJi5R$SDUO|;I;hj1@HI9yU_0|KieREhc1}EAUs^9QtPAXPzPLf6tAVTG~@UN z&MOD<0?(3P5Xq|)WFYTJ`1(^4ex1nAFQs3g9m_~QCpm29dS0q~^17-hI6Y#VNPhm1 zJYb|m*zB=jf^jIpNyyKSwxj__To6u`0I8uXW z7#DWP$yD2dyg|QoNs={+P>KKMx&uw+({oBN1JbGmA&TAfk8H;nmCP|2UN=Y7s`IHm zB%Lc06uz$K6a+|5EX2GjsdLZL&fO9v_dDD8;6Bbr@q@;nP) zcZ+*4xEE&z_xstmd*d=$)+W65Me26`X z8%l2YXuTGWu>s%rV`rZ4s&fBdaaimqU3Eh=#3uHCfMWBZYCA)1|Dp0ODjzF2?z4Sb znP+)9f4WL!dbaYr1oJqxeMoJ{BO1m2Dj%luAfmM0F%Ec;?6(8YqbhdHR$E;?NO3%w= zcVYoNpOJ;W3msw#J3!0myis`QkoqEb?CE|)IQZslWux`iP+|-8z&CGIiNDrY{*`Fo zUHpEn@-8Zg>$NI*_HHL-;_082@x}LaytB$fR1#|^D|3z*e33GbOq{3u1l6CR^3}@d z!Mij6q5L8p(?8#!`fe&y|N0p4b%XP5?-h+3MFaVWbNVN@6^~SYm(IOInLXhj>lhg3 z1>Yy;>-fbwPt1Q^zDqpVh zpHu?(S(S{#@Pa0>@&T2PQHdN!srM$Bxg)=x#SuVyh1G0A_vhV<_EXCH+Q>mw|mY`FhXDu1cjtZinJ*# z0v3h@l7m>-TiJ-nVGM$WjoMiF2gGP6+6sPe)Z6Td3vb@+d*A!@y~&fH4I+B*kLCdr2=lxuAXbLqhu9LN)fXLr@UjsZu1tdH;`ue>W*eoPzDCX?q zG`)f~-+J@*8uVxi5j8-Dj+7X*jJcn`^}tVO{{fjsq)u-69T1szn}_%tO2yO{7DYEb zhHdxe+Drp_Fy#*-afY^Fx}NK(Y#70Q*0=(qk08^@Pt z;U7+=z&2dbM@<;lzkF~D(CHc|P?1WE*(siW^!I@1$iXjFoT7VhJl}tRyaK+{3`f{; wo#Tji(L*KN9+svLiUXa3WuD8GOpzu+4Ip%=P#UVe@?b}!yr**L!tc%iHI!obe@g_5nK&s|H} z$Om^Y_wEVU{?FX=dH8=;@YDa*jntb2O8HmAh(ZI6CM4ksaJ;lI~=gxuUcU&HwfsVZ3(amP~jnckT~ z$3@2@!~~0t5O4cYA#I5LV2bW?(cPBjEA1#ux0DOKNM6MEvii*=?Jt~~1JitI8+{5J zf^CR#Osd;cC7dAdb>0n6 zN`h?n5-9!yxkPc&QM2{QGTK#G7KqI6?qzV~~N1gztYmVD9c*a&|ZC8 z{j@>eoAz6q#^Wx~?aE_OzU(f*MC$0lY+?KI8`Xy{m7hvC+W$UT`4|hAl39<}DF4s5 z)Ikv}y#AnV|IM%l=W`dUdrB_}AuD|Cx75@5-GTo39ez}RkwB$K3*X-EK&D5)uPP1( zGuCrqYkfcMmmig6q6{hjbEYPfM-*kE<}{`zQ$}7u-ptFZklkg~t8}!t*{tbTltO+i zNW8X`uh3%M`Ri#dwM?KxrhHF0o9IM~Yop&-; z2}*kep*(l_X{60yn(xx?tx=k!n`F-f6a1NO?kkhsg@0=%O$kZgOD|zGg>eV5+~$d>m`iy4Yx> zvN&x3dyJRa14I`a>&~{7&5aFISfUqE_sn_cLiQk#$VJ^VQVVFT3xy1z6n*NvaOxb- zGI+y^lXq$8MLu685EgkqkRJ@;%a9WmqmJ#+*e)%Kc?N&cbLs25x$r<%7q;LzLHqGW zHk*o8U4&kfD`m9r!;9i0{ybaFFWKt1r)mH;*0_mBk+)y0{aM(Ye(P!JaOrS=M{yRV zr{FzHj5tW>KYA7LOXovloz^eus>GK%jk!#6dS$t~tQj$RoJFQ`1&THl4-~V#mKMW) z7M8kUpZ=wzB4>A&=FC;hx6z|kRTg2QRDNui8tEj>^DQOspP!rFy63oZqRVmgDw_N)q#LO&^3O4$h&1D9V$G@jmjV(f{5tuOFlJh?n ztVsZs65PswURQD3pDf5E^eRBEYBFpT`dpjS$HUQpYN}Td-^&ZyW_?Zo7UI1Y+CT7tD0@cmQgr`Qi#En@ z<&A}3`IqERv6qK=0Yqr|LAjDieBh*tr|c68FZ|WlnNlYJeul}uH3a4t@v9Xoh(s(6ow0sG^h0$Hz3)VI#!T5)tA(3cNrhN3yeYbGPek=-!xmmlp`g&o>^Hi{o z&?y?*HxN~I8vYZ%gc!6fZb1%@A?Nc8v5>~`_X-`Jn`a;dW@x>gRgmQ$TEo(YWBbnO zLCs+SI|4t#0X-ZwFM*&{^p3gl7(LSzEf@tGt+tQ^{;j!Y##j({!<5m>fM#X0GD_)l zZKyp{eB;r|jX10T{Vbx6saq80HcnT9Ea<7cqF78O#J#td+oTN0z|zG_onIajVa>Ad zt!-Gm3Kji(*o0ZR`V4DBb!cEDy2~2apE3ysJ6bT;kBzFgkvcWPLDjIm*PN>M`lm zKK;4$o1{`|SHV*T60h;soA<tVf!bLH?WmS`4@y2jzgX>jrYF zgwYT8qRNtV{45bmFYD}@`q$#|Y3XCw=YmHY$Xz00B;7X2i2RtAMtJH@V^m2Q=C~Ija$8Ho|sBYpxnoZ9>zu8_0s$=1hX-fGs@OVMnwz7Yc-za z11-P<(|h`)ID5c5zgGQ(N`l>|>MP5N9ZQzGC*v?FD^-GeG@%6X9Q|$r=3T$>8ZJX0 ziYIqR4)`^&(KJZfNMd8jl};FwOml@_FHh`Zoa$L=k#sqpcA? z<)8n}7F$QTk3M>LbxcQwnL9Udz;aVY&2ntARvgo-dMtP?Pf0muwit*qPji(T+P9O> zKc37o6DOW`EqL3!B>~uP=AtaI+ZK zT5QYCXb4e~^1KQ6Tf3libwEUjL<)8pXKNsCBlf#?y?*+eT$r@I8?StCCTtcJGzejD zg>?L>EqPZyqxPet_qpA>0nM!GU3M)7;j@A73M7(#kFwrn63LDF+VZATSUdlUf8fUJ z`2k(ZrbXCW+pYSy@sBvy`+f0{e21Qvv7AGSI^T2?ke2mpkIJKO)n0o&6#7px6C<=ZAq-Pz{t(_Ff zKGJiG_&TD;{G+GILsB)ts*;wr3i`4_tkh`bLH)xoC!;pWwJb5sMuheGOC{h(BW&?o z)aUFS+wZEa(#ljiOEz!#OinNgBohS-PDwGBah;&@uw~VlO|O}M!83JEeJoee>U~uq zfjd(b{qe*^V(eXCt==f~+=|F0uf+exwWcvtAs+2+R(ze0dnTIjW;|`~6QA*8Z+}i| z+u^CYK)VIWrebqd7Po8$+udmA%JFgba-ZY6!v~kK@e{9a(>Bs1w`>eDo7HD0#72_D z2Vxm#q>}@~TO#avj7q$I*%D4|3LoHa67TSQw1JNy=ca_B6CP?lZ&bbUCUta#%k5W8 zH;sAMoW3r7<{f`_L-6XmY=gQpbBpwDsIY7{j~qU}V;?(b*#$x1tVE!ozhx|+3+cpc zQOEX=`{LBj8R*&PYCMMfuRN({Hs81WWf{#XkKhmONZYns`Q4quKASJztcJZ%U_EM7 zj?3+)E7j@LDp##oG!c+h}bSeV7s7hZvyO*-{owU{rgQ0?q5TD@8H`V=z7$(pu! zIn&ubkCG}Dz6AA&pdVjLf@z*AopnH(bd=R6 z*Ic|T#mVxh+a{8P1ZmT9e zVCi!T#_<-N)aJdIs-$`P8y+K~mYlF$ykt&lSu zui6};@ZO0kA_;@~66J~ch1YC8r(hatwyMBy+$;73$e$2i{-r)_79W>McT2qIIuV3^h}fRj=K?#1Dn${vl~58!Tpm8?^2SeQ(XchJWEDcDr_@bcJq%!9Oi9J;rvSmH`x)F zMb0$_$&txvg?Fj@I<56BmMx{yA9mw4gJu;Bov0aIuGVDsN`nGJ^=HqX>CJYaKX}-1 zf?7MEkc(%3jKAObOQ2VBE-efJ?P4Q0fK)juCg23&(nqy)O0BdRNRq~jLSZQ88j{qQ z0nnMVMy8SXhbvFVG*gS!OeL{D)1%Ypf4$@+k|QhhrNlw6^|Ecraw?Gg33n7UCj9Ka zvC!TDfvr-`ptjUC=UDUi+LtRg9MAM*L+b_1I2b$?y|0aCa_J>?*QL*HfK4l`(J02Yt{#JzUB%hheBU?s=2$b zll6m?iyu@owe&rTQ!AEdW~v@^yPxLC{yObH(B8c8#~$$Gmb7#))A_&$s(JcWEsWKB zX~ayX;$L`5CaQg}SUrZJhw7p71DMM~f>((jr;oK^c^%NO|I&p*$}<-%ryp{}c2DaY zmd_q*iD{xQQ{@t9^#Nugyw*pOUvfJOe`>Y8f)=51-bD0%}0S)KgE z%fVh;u1>bz)i*Mmv79<5f5#mOwJ>m5ww$UZ|0$TWw+(fskG$@A6i1k|mqaNh`m@RF zfzBtrTRq=qyvtk52ddw7&Wpp@bJ~RdhoA2xxaa(TAbKtd8fKy)K#;u7No{xTZC6od z2Xxq+eeWxQ(q{0RTB(<$svBvAG1@P}oh@%g-{1P3Q4+8E&pi4X{s)RENnRNHaGWAX zv8tjzO)oM%_P#*-e_2;&4;0pGy$H9jJ;2Y3yKt}CAtwJ>sWdS$jenT&lb}G8z18V~ zQBAxx9M@k(K4&|v&Jnv&V!WkD(W6P1eT>t55pg5cTxJ>)(6LCF>LTg(Kw>nXO-oVd zm1VTV#*@)XHK1d=z2x>GHqE)=^r9qns`fkzaL{rmB`eygey%KND~umxE`kGa{8FpbOsN(kW7Mtr6f=mLQ(Mc6<7ABp3 zUjDGsv;HbKB=KFvDfl`TQ7t_%xaM%SP)ayKxVZWX8H61{D`|FeBt`HB ziAtV*dgG4u97SMkr}opZVmR?xmyp>>OwyjC$7agwo3*DM&Fh-=BoSek8S=E1*4mCi z;&q808C>VJvu$B1Yk=&Q^2{r&@4&}KTOR@Oh)#yopwd>c zZGPfM=Mg4OxIQ>qu)D;Ag>YXVk`A^)s6|#RXila-QDV&Kk$}n1<#>gzeGzoXs}?0Q z(@N-dEM|oP3jU}9or?ubid!PgX4$+i zTIp~e#M`$Oe@oPlDZp@6*FIt;FakEnUYbxfXe6zAD8jH((09ScF1%CsXb&OkY0Tm>P_5KjzLh(RgX71SR7c)m>! z0*pLt2B%Y-x%1$8YdN}vSAPIwe-9Z(&JNX{Fk?%~3HJ=|wXs|fRp-DEd$;7$5TT(+ zj>edU@}+Rh)}iFTxmuQJj^f^Hx#bwq?tqKKs=Bi+d?HGmePereEmnDP^xX`LK}gF_V1!#g4u{B@ii(=*@X5%Jh{Y3W^po#@YW8fgIY8=sF=WT}| zcIbBEfeqvS@uuiLBG>+H24&uR&RgB@)b>U?P%3Vu>^hEU^RmzH8hksANQ1FlTUc~* z&&1PbW9gf4F_3eBX7ZJvY4Cp7(TRmcLs}HhWDIxxrpI*tcAr9qV$g}X*2N^WSek?1IO%1j{?9+OSG}zBiCV^G@wHE3MWx7fJ0&0 zuQ$M%HZb0(|BFJlLGJ3aE?DrhH?1A*Ep(k+@$?2IN}=TSdE1#-QwW?XA+EXh-|Ed>^{z93a6cV zj^o_}w|%ewYYMJ(Zx_he?(^`|9E4W*USbB8PXRFfWh@4MeS#rq>(j1h#~pJaP^I<6 z9XP6-JCFbOvDmkhZSGwoYMhDFv1NQi(wseR5LWMYSS0OjM>)HMu5Lb%znI%!ML{mi z*h3~g_uR%+j%UPv3>&oTkBXPL7LtntrT&~wGPJd%5>P}_0A|iRXJu-N8YLj(Lfwcq z()?hl@3L{auU6FFx^G})K&0fdz&^oty}IK^U)>Rvzu5gBqC-Z87+=fI5uUYIc16P@B+&`Lsw+L5~E`{H1K1 zz_kPGWrhwjXl`OCMDCjfcf)MFyLp#=rdLe4y9z$qz=njxi#gldXIr)|YN4&Qu-a2& z)7n(h+z#L-{b75h&8YFbxma(@4BVz2hkEOTwZk-iZRMZX7(;3fjm;vMSE&FySB9%# z&dyfTUAhfWtn@FjUgGE|ez*uL(PmZ%8f!OOe-QB+dYQe$;Ii4trY3RZQ6181zlat`=WsvY8&s;y> zMOh0E0QR3aQnlk2?Oi%Lj?x{R#Aen>dD`9HK3Kg0*@8loj#bVsiE^GYlg=PkZLk|= zChMUxnYbKE1LRgPZzk;A8OKO{HpSoY7z4-443x$Vo`fYaUow?ST2gb>gA`%;fHnNR zF|PbbY=8Zq58@46i`O=UVSrn*%qd#$&7Mm$u@8+T(HP&yD%x|jXC@|IC-LqU5!!9> zsl6P2U)?VRv4#v-IrN2@+Da#_Gyh8Ws-2_*e+2V&a}Nu!MV88aj7Ij*;RJ8-jKz(2 z*{h*O-P_U0W!d1l@++vZ{)DW{*EZ;PxM z%z||ruE@m&TgXF`8v396y-( zOb@INZLdS&h7Xwco-zj$TOb863E=P;tyeSmkYX5y)V{>+G)m(QBx<}Yd= zDZYG_W51b&CGiT=j$;anQC-&CM*RLm_cuS4WJmLwQP13`|E&rWuzwt-g1Kk%ZtbJP zy%onbs!vJp({RSr*yRs#@1LmZrFhjFTxGq4)bHg6%Bh&_$?2#ke5d=N{!gwq@A3J? z`XWsg@PsMil8p54ql>BdER`A&iL?B#X&A1$5riCVi`*t0hTYOOoVCfoe#c@ zwg_Akka{P(8^BmZ+}q)T4zdn4-=yQUsCmbr_2s*|hrB2eOl+sH`W^>g&}mhxl2)yD zEdTQ>6U9N)1n-8rqL!TF&kR8-myxvNA6u8P|FqR@)xrqvm2P+&D!_A|96bO06qCPy z+mbE2FKYsI-Eet?f8kFl2x03M=mY>|1)1>5{{tGt|9ZR>lev2Xsd-{t2*N#b1{ zd9vuQgVeka(R(*|Rt0}K4?5-HJsZseJN$3IOf*JLiK&VQn?+)FJ3xwiEoX}n!uEfv zJ4g^CxmR<<;i`|YNJGf^)P+8fWC zUe*6xd5~NqjN!)#$N~%%`t?=)g{VxcoX9*kJp01$x6AnC+QiO5_N>?wY*yA4GmKx9 zg&2^{AJNd?8}Z))r2W-sKtX2qY|JvJ$#XTECjG3q5#~Yp&{?w7?O1wy(L`l_b=!sR zaj~Zf{0h%6qH8Yis z5BN6?NDg&-U_|^Srt;=Tt!7ituGizYd%sjY{R|!pjL&Dn>Z^_&UDHVvUu6BQfF8=# z7-`5k+QIG_W`0I5y?CwbtdnjNtsGK&LG>Q{t>pbj26CqneK`$RW86pa-EKF!5NSt& z>SrJ;F*WL3n^p?zCj$gIleUCGG@dFPVFwJqQLi#$gV3f9F1GG~4}#){ytpaiz2(itjBiuGEz>e; zKVy7ql%*~fyC^0+GW?W}XaPS7+*S6b`Fm%7?^b=EsFYBu+cG_~>1m>i)(9AJ>b~!E zCA85NSHtWH%aSTpKQj_}YhOC0%pf`R;3|i2=Yzbr$#Wk-!a-A3DCVyjqyFXM>j8%7 zYJqzx?(Wh5FvcZ5&6;8TANMb#J9V?gQFE~jlqtd$UF`U#6!~4Q3okFYe>$;V*iH1I zL}ru7n%7lP4-Rk6VWT&O$YTQP{nH-9^SIQC--Vs7=8v@*I=5Kz^~Q4Oj;u|`5kGIf z-@ruPQwM=7l--@HsOz8Mw=%zM-S3oP6Gp{(0EGIPNG0MCiOi6FG4Id~zeAQw!MSPS z0ziF_zm*o)V(6K_;X_ZD=(fUKz+zCGlE(N}swthytbP5nFj3gM^!@q8Cl+bLPj{iW z*ea<*yNNmrmGSDZ$<&Xe{iSJ*Kj+$Htj*`23*6KN$SMv8o1~UTi3_J?J}7#X#<*j1 zQ}d3bn>217`{5T6{(MQ%lFLEBL@D^7k&D%iuYU&5obS zZY|&Q+3qVWHA?v`Ev7dg&jvYEFM*hxAiL07l+C}y@@8K;v5=S{BdG?0>z;+p1Sv;s zx!3lBqrbGKZ;Fwi05!1K*49dlO$toW|+!GIz3XyVtr|15p zZ@P1Wvo0TgpS+>8N`7S~tcs*BncK?BkDE%}KP#X0SIePNyFlfu2AI z8$xZ}^8fXFXa#Zd2(8x-5;lgONw!Gj@ZDkHyT%WBjwmACd6pvKeru%*)vFALPcyf= z^9Q(ULl;7f3qSB-7aO9STN}kEN_IWSnJbwFyhmB(cd~(K?x5#y-NXD|2>yKp{oq|# z^UAe`S~Chd7Ar?e%Cxhk_u6j#jgCE)o0!gPt{3dW82jhd7ituuP^i)JfUjK+ofr}R ztPV}dcuC`$5mZZW$Ji?B_hCI#FHNhf={_oB#!I_cXjt-2(>3=@Xi~_>PA5mCxhFsB z&+q+=nMde`@;M=)Qn(>$?aTznI2}DnxN%IqcvFBorg()%M_b5Z5^&y zja3tNFJj!OqIRg*$?n6xKm1Z{7iz^P(M?fxbzhOb>H);gv~m}F-t=u_f*wXe*9Je` z^bGK|sth<*QECM-f3p7wB9G|<=L+5$O3=#3!8^UfUV%7GGg~LG=C1e_?iM$@P#*K{ z9~#^dD6_Pyi(7*ZZ9R)seam3B-{_hUSDzDfUtx4&z7KQ-^{j07@FeCrUv=JEVSpVZ z;HJs;bT^z7>Ow}a*jKRK_4Hhxu_gL=9p}8}ooH!)wh;=L2HJR0=guD|5De}3xE5vn*=ObucsS{C#m04iml|4vVhtvdBPiR7 zyQv`piEH-xN@B#l8#h2Qzh8RNR(^-K07Lih-x`ZUoK;Way`@GV89zhhlP|uVgR;kl%Fg)Kl9tkj9r_}i+F@nW*~A|{P%ugb|Eb| z>zcWl&*O0Kw!#p!a$?xa3&4S(_rdt-(rZ_FrGr0A(Hyo~ro!Xp#PiLnc zb=`G0r$RBd#VklUZNGfK2Z;%5{YHwh9=ODc$rPb57St!d)fu^JXV0`(mq};EWm=g7 z_!z=-p= zz1@ZzogLQJtH(6H z%LlLS%j7bx*&Z0-%tXVx=U@@ zyoh*b1b~Ii^Cu^*G25~zcK%r-Tx}*Hr1K@W%i%@J_MYS>u88qm)?;g1^FlXL`){5H zk8C08b{utyHV4O$GBDY{^CNFNhJ_Krhq5BgOo&Eh;&v~}^FiT}DM$YCD1PZA{Sa@H zegE(0znFh?+??^t2-9sziQfdc&5H$8Av+EiEE>Qzx1*?f>?s1uZ*0~Qf;+vnOGL)1 z&%eSd-m7G|cLHI?zxd_nDRVTNvIv+L{!1RH3|76;d=RF>2stM{y4@Q5Z?S+!`ck=v zG~_zTytw?(f(i$;RjsrtdX8&@F|4g2*6CRqkNKI7?y6ENS$i8W;vx5KCsD&xGOdeZ zQZiA@81UvwCM+c2xvBfC;CRbBVP^fKE67y)Hh~rx9u_jXt`r|_fL$){vjcc#VGTjP@RJ0;V3(2Vq@7QWB@9C*JdNSbv8xgwL78#kDsK z@RXT}z2FW6gVOjeMlNfP!!$OTsxjk`3_o_K@Nwl2PjDYP#8mYG0k>hN+fCCA7vr#S z_k^4S=AJO^iVe8qhR1w_3YvK6224LKqvXql^`}Q}i>=%Qwy1)eNwM~iFPhh`f%u#G z)E)IV#+eHbR1e!LSs|(h-)T4lMQ&Xo|iDForFvmLQB^>?K2>^mC=8{DyTe& zj%n9kU1YwG#n53!*lvvR3(Oiao4j)e8pCj_vZt!h(AiuMG(zQ0l6Z+~eGIOgd`ycb z4=(Z+d}zAe!6%Eb1mQt$+)#G2{*NyYPsKZi{h1&)-v7?DHfQ*D1~AsLB6H{~%s&gP z$sP0Z8@*t7w?^<{uc$sgVjD3Q-=+@P$at}CbK%BAD>l_GMvj@)4+t>Zmi>y8^VmN| z{?>F3AVD1swle#PQIUxF+lbC{LGiF)F%I4~=)95(o`OW@Qq*sFV;KEe-2 zCNr0G10^}~eXH;Q^mlZ8`}mja!BC29-SRy!{qDoV1SIp@w*=_?&afESNTb#AJszeW zROJd-?Q8|W*@5$!x+5@;nJ;Mf&d8o&b4tG*FKoX2jU#8o;q>RqhCT;lOH3kRmuY5T zyUu#1U)YxFFQyLZ%roC0Z**lY=|V6IDmsw4PJGZSG<@W?_wsW%MB}f;8~*kE&osFE z_y~uRqlHcxIsEM%N91E}m7$tu#IgxXPLDGXzH z{ZD%Byo3M5_4~7&yFqnF^`z-t-1_?-_`6drUQ@&CQ({^Ho8gwPW$(LPk41~}w@jaO zz^Mn}$ZS$MYF!$fHsz7eN>X^{2?CugJ1iEdkG$A7Is;}Mh=dFSCb+6SZ(P?LZ#PxA zZ#);!?tGYF6u4Sr2WkRn^&UOySTzkS!>M6!&?e$NlGMaudDgV zia;F=xa`Z`^{(`4wVPEs`WMOiL+~{hFxCp#Uc6sGN=|ZnMmTMe*Hs2ywYhuEcl5l@ z1wb_bo82h;<;&Zta{Cg9yghI%43v6m#dmQ#g{t&@;8VwB$xsaMeA38rFx<4+`h0$%%odbk;YpU6eqALLADaHY86H@B0uDh~Gw&&ktQM zQFn)3ktNSsIQ&KE02sxC3C^U}ZLWn3CXdI$Bqvp>W-xE@n}Qo#B%*Mz{I0H)?ax9N z0pf@6S~_rP0tLX7!LxxzN$IxU(qUwv!6Vb>`{|OHFhYpeLEo(^*U#`EVg2(h_8aq@gFt?s2{HefyF z68B!*Rmn6DNF(+$@t6a{3;NK|qfL&%CtyB!3toG2Te@^E8T4tke)#ds(J4F?FhqLr z#k^Dy#3~Xc^zR{fy8PtEHs*P2fA9S4`ps1yX&YkOtrJ&w)~+(lduFt2$%THqK1jFq z{vkIhlKzoBae8Npmbp1lonIss?8%bfkpFtG-*A0pUSsP2K)&-7L(O`g zuDa?E752kN;HGp?MEYZr%Pwp?$jnDMwBLL%+?x3HC|@j&drRCplsVSqh%{6^UR`WN)t61^3 z*7$nz?U~{dCjil?MrwbPwvEF zn%0$QyYXb3U3>%Vz&?BVh8uxBBxkmu*zb!FIjKw}nURx~nG+OCXZI640VoN zK3wSgsQSM1o3s%^@nP0+gembuSOX;M?N4p4E2_hdVtJ20Plsz4ZEQPMVSUNDt>i_tn7LuEdVnYchYyT(dM4O ztxRiVnQ6~Ba5F2#A{eiiBiltpVQaw55}8xXpFhgxOyAEdz8qV@X>xH(%sb@1=aSLv zU!ziujjuT{vL1P=J~TnU`DakWr?I=J%hvB%>agCw0=|0dZ>yR{$0*c_Fwn@eISM#fyVSXADY_Q<=iMb9-Z2@HGr zz7`K&xj$;J`n8JHX(;(BbWtseP1+{m>CgA1e#HWx(az(X`)~4g5Vb$^hib$BjN1f3 zSXqs|_xaW=)XLAYiREuC)s(pDVkcfl>ow5DT1{QtBMcK6hp~F_67N)RDZujA^>zhh=gN->s3Ez%v32vo1x@|Qni~D9a zzS~Gr@e&D`kt;KaNVJU(N$Y1$@9W#arRGic%hE~^Lt_aC7vg`5460umZzA|k!<2UE z98+CpeHD2dLkwa-xr{%a5Pp3sk8^JU-#u5MYsEay{a5E&KJ{^$-7Wyb#4hr_Ymn zC9L6m@IMHHHM0dkO&V{$8J5?4safB<(zl)!HtiV&P%-p)_?lYjn^#=C?Ze%D)b;Rj z*HRfh3tM%njRm4gi2E?Xd^Lima|Y$CI|^?4Q0nx-~e3 zFV04!i|1svd@)t8QtPaIS6%V_P7iJu?K_dTVpyIdAQL#h&KPJ`P-`z@_2rDp3mPJS zb$`R3@^(HtB1x%!-OC&Hale)^e|-W2*!Y}Jf^w=X@zyFQK|$XQyHl^rI?rZzRnVMA zU*WSDMUV`PKj-+#E^XXCF&^XVYe#X)_--9i_J{XxHuGhP#%*hyhavrvsgr@Si(- z3ai6K|A3+?a>Ov>*{t!oWEqjYd@J>IVF8~>!E$FbJ*m*&OkBs{&f8ko)*!}Nxo>NW ztZz^^))h4@^L}SW@YtR0*Hni994*Jlx-e!>gR>j_4NJ5slVeamTBx>DFBViJqtu$0 zusnR{q_N-DP3BsNYhFKAE;l*W1wl>eLvYB{oh6<+1;HxbgN9-;^6_BHs377HuvOpr zibi35wz5n!RK0b!5*sX+OwCh+qM{KTaQoR{^6E(4HEW=TdjJ{U82^?N)3%MdfLsCv z6#4A93o!QAKpT66#*o?-ZZF^K%GDPgzrF4maTWho67BuA@3`NbAW=PKus6VL6A`N; zm~BW{^14ye%VXzot*g(5WZDHDZTZeL?jLI+!;4A1YiB=ZOmLgxjAfRH{qhGMzdq2& zQD&S|rlp+i5h^TIWHQId`QSG|25V5Ha(@ec!n_$6`DS0QS+&E(sTO)MR!A2kV#X`d zVw^A?8ew6izAx_nF=|_4Pp?@A8mQ$_cs~C!H>Re|WF4=CxHaWOvkM>e=??Hv9xDRdRr`3t>IqBQ@Zsi#M z!N9c~Ba!UtQD=AR#VJ;Iy+a0;Hn}JKx}XHFrLy7791QPZqwg7LQ(F)8`!sN{{KKfp zvoy|U2M@~H-a1xDPLEQAnL|Sie=bH!DP468YU{SaPK6Tq^|eCz^+1(gOmERqUS^)< z;~|a6)#*>=B1L{sal`cAVnK)M^3w009P7E68|R~9b}^oVutLn=L2xc6deHqns8+8T zrgszI94cCLVePq-H$N>8gerpMu9gQKSC$ei3V~lv-MPS@Pa&@%#pwpO{7Gsisv<|G zB$ugvBG*M1F27b>347Fk-aDv#XQ`3n5=tO0`k6+n+DTeeo`MOF(0h=9HKu-E7ou-X zIsB%_l+RHEFJ|mS=49;$re0rkV4)CW*5NjFU&F>mr%z&HBIQXvjf_NBTxN5 z7a>)W^>CwmU#XvH>ZyaT<8FFan`!hML7 zW+y4U?OBi{o#E2m@36@w9oc?3sD5sj`o5FfShdK~^e^D^N&@o`voEyO!UIl;F`hnK zXcL-hNQo@eJya0UG%TJc71_6R{VWwu3Y*z1pDT4 zXci5H@kzsvCnk?6H@*xy>l%n|WSjk__S5&%LKjShuAOCs$bcpy1Jetf_P?%%gy;va zMiwa-l;}n+A1-N4PWA2*ebNxgZqurryn$Y<(drVzr;08t?&@7h8brx17QfX_5tvT3 z9>GcSaEtcNOMTL)%{P=PE1#8pV*{;R{m2-%J@7V+Ej&c^Gz2y3lOif#?9~q`sP1$8 zn(R2>ipi57gC>8t_bo6oq|TH7C@$b6--y2#8&=-i+J7|PKz$q=;Ca)yFG}cOQ)6uR zT>_ zwJZYstZw{WJLo7tANgr@>r3ZS&oQ~N=CDv%De)&nVh0?JSou@naRYja41*F|{7o0V z0JfFsH04r%`cK%paH+V8D)`sOH-6F7HBh*|*!S$sFB4Qo0JsCWO*nepGm0`|!@$)H z^Mo}6R-p&K1pe@=pC#2~IV(XYreik$?$eHx}Dx$&+ibF)c0sUd(pg)C^_gVKooE-gVcX@N>s< zS-3Cs++1-GU$n8fr&&e=9k`@=R}qDb-p^&*J{}I~>&eD!taE!Di`hKDNcQ#hT}7~B zjs(nEHS>Y3=k}AwHvjY@Ta(0tTbUb%HbzU6WtLWN8g9RJ&6>C7t!xK(OWwGdra>&I zuDnBMlR4qy$!TfV=e&DZqUb|Pw2J6T zSMk>pa?8cdve1Pv??Tl2)BSIuHj(J7eF8o=Y3#OL(h*^JfdG(&;{T6NzT-bta+v>L0DL8b z+Fs-0*2YQv|8(cm=5aD-p0=02emv9h_v56^FIzY{;)b5<>Nv@x zR)saOKsz$V8(ilh0YBg2Z*2&IeL&+2FOC7S?^uKXR5uQL{0|D(#xak-q5uww?9FY~JYGDI~Pni|j?_IkaPvXSn$E z*W;!@l4r@eovtVF($A(?l84`S0%mL3Sf9;}{+tY+_iXxUV|gl#IBsV zvM@5xcul9@C`1e7D-;#4XP2gqxA6-wA*&59l#5|kzfAB=&&%#SR z;idm=|El1S7cw4|OJJN<{C{UXlulw^*dwlU_IBeLa8-x>Nk8u!d{fb|_Js$@;9R2& zfyW2evg>DjA0XFL|Ffc0Eo`9hG9LcXwVyLBdqak{256t>O9%ve$^Kn%OS?;E>|AXMO z<8$G^L4Fg}EPn2~SwGDBA@{(nAJ&>5Hn}_>|Mjft6yGr78zem6XwS*$nX)N9er_L8 zFu{6;d5Y(?c#gvF1FJqfzw!CvJ)?XcfzMOKM_;B5_ZqixaxWGicx6ar#ZYIn&9kfdwhEiAA8SI9XvlNUbYXfXY|%A5&vDI-0QajBmW)X z6XiGm3~^qQ`=9wX*Qe@#`+eFv|9f8O|7gjE2Q-m)u=jhBH_vq&oX>Ne9(|y1@E6a? znBPi$atia0zFA-Ko8$%mr10w#_@+@X_Z9eJ&u?@9nit{v=;j(eHG;1J+4F=Jho+DD zL1wP8IJ`FUg3kLPg_|EdoDa5{AAtLK{-(}N?k4d*i}`_fGwR}}3vSP!VAtow!`_uL zyLi_CyRZe>OSxMtN^!nM)F@Hg`D5qQx;m5a}H2%Cm3w(mNoVO;ebyJmUD3Cmyqd>?Ezy0cT5;q5he;(CwgHk+@3kkH7Qq zRF&#H^^HhrH~CCHo{B%C2T1X(&!U&fgrmUMBa2_eIJ0)*`z+ySPhO@%>EzsYG0isD z0rT&O0jxDCg~TACFB$J>U*gr5$b$Q0b@4k9UN{d$Q*Xjc%k>SjTIqa54Czb(P$trM zFzHvwHQ-sobi9Rh^PW9|XwvbXzM+1!nocuEP|TBKJmkDd4r_ZOpoZK_h6U1p8l{s{ zueQyU6}CI+b>v7zI^Qm%UZL1TewNVcq9zWB#peP{43b^{Iv~JH`)L#;H}x+*IVY6b z{aGUnfd%U5NjWlwv4@GD}=lM%k{a^{K9d{Jg1yoGYI+ z5q~X;ZVqsi@X18eFYhLWQCwaRp0x-o6*q?%2Tv`mKy8D=IQ8>u0z{x7ZeY_j$-}@ob=DUj?zXfSVp~hy_49_CoJ%5iJw)|VxG{R9x)Be7TYlzevJ6m z8IImIAlf^eUiUB;w6&N`B+V^$hD5ium{FSY)fUqWR#Sd1z(FXzE{}86z+6m7L%LRo zwRI^!m4&BxuwGd)>@xr6AF1x~P33gZeWQ!uv^m`LfLvx={~=6*>Y(VG?PL5B^p(#s zgT#1*^K4vFr>*%q(3fRaoro*eo(L2`_(~lkOqw%K|CnGER^gx%FVkV?>mrv5BeYs& zg*o$7T7N%4#D%Io@PDPssBP)*TdB(`(cGsdbp{UzNMboia=s9|43@UJK$(s7 z>okwB8=9|AG?GWSt`pX9-4y`wU!1GMeE0ECm-C0uMdGt0nHNNs!3XlWDxV$sSSDDw zETIm`_ED}}i|{eBxSzXV{z>x!)%(K#@*gZv3-uvm=YI)XQ-X=t%@9>bCLUGbj9!G zsdBFHJ)Ot5GMfu4s^b1r>h&b^(st`Ft@u$u376u1l`MXXP-Jm0G*gkIHbvWtpFk86 z*DgJ6D}H%#7+GD!J$@^)DrfP>JrtsG_uX6!>zRQm-)cIY zO6gd`?7rJxWq_naDM5P9N2$2W{gIwc%_1sa4~{Z;)hXp=V1UQ>bymjoCoNez|L6q< zf$F_~)lYco2L*xYd@SXie(?%DDG(D>kI|u1j$XRG{B}jxsFeaAOYr}^K7Q}l$yQgF zgMWe^^dhgTPhsGBU-j|rL4PY>G!}hpg8%I|8D)QnZ9TUYJMX4mpY+yyN}lSU)~Dy- zzb4luOY7>+!RH37>Nq+R_!|%8@9pgp0RQ;%YSx8IJ@~&LsLyW(;t%CN6#pK1kL+#l zH#ZvAURMpY_qX9=E&bzx2~su0e zg67cMkFrN<90I}w(3be{?4vZsYqZS36Mbu%E4)3`#`dx6K7D?k+W0=XUwe(YzmSZ- zN~cyuVkP!&r2T{M4Zz=8`1V7OT{7UmMEEBT;Qu1wZ$AM43G$8I^OApC6Z*Ww^)b6g z)Ia%xA9J5i_)?+?KQ`0>35SEsdo5 z5_W4!9q{v-7V->Id|y{r2LFkFYIlIA!*m}pzOJqe|HpUmXM$qmvl8}?l!LUH7Q$Yi zM{i9Cxx$Tzbxxuc_g=C8f^DB+tT1IW#o~VX*b;u+(CX@Pq`b}bw1 zH5()4R41jWOJd7{ij=zzl=9Y|Qs!5r+`U5z_DQ#$D@1&E)ZAR>+DNq1Bt$z-LbSsq zMB7h7wA~~`)gbNZy=u&TNvqzsD3)-yB7H0|HSkEkRSP_EPo7v}93ryU<{dq}H(_;_BWwBMJxU__ z_)!{3P!yy(S!{grZqmR0HQ-A`Cm!^ORYRXuXwe!@!M z3R_SPG|-)?0J%9Xmg?LX7Bh<$)ij#im*GOYlA~;?XtV|82!*tZwA6Y)oTKU@#H3Zd ze-GIv&Kca>rIkY)(k_;?zen5Jq*a^kVZEi5VXSG1lvefs&w6{Z+TQ2& zlva(c&-a#AjpbKsZ2e@1C-+;P_P1>{B-}R+l69?Jwp(>5`I}nc{3xxiy@?W}Rdu|B zB<&f8);ej-HDUa(4kdq-u&bl6y5=@vRrg;gL5D|Sb)B>jRvk$Yrd4ZL?Y0T4M%J4p zh#zZ~0mZvilr0s)s*SywmIjwaVRf4~W?8k<94bMdh{AM>bu1Oas&RaSEaM3g@Y^h_ z_OD_UK`swzH8U4}Pcp=!0P>W~*|;q#LoZmc^0PwFve^$}KW>i;KU zSG5Sk`^)xSu~ZL_U4AF>XIm{R345%91Tq+>2?cbA&A_P(^{(`k)md(X z)zZ}mRdz_OQH$L#HZ-QE3Gwn_OM~h1w@sSfamW)|vMN3dI2bZvJhM_M#U`V%M6)3`LBA|X+yoLmM`sfIPR%?M@Q3iTpV%DIzI+*F2>wk2`EN9k|L+I#zuQ3mza7Z`?gROs zGLSzv+K2f64FmZz$Nr}-l6eq`!Af&SYdT)~u+9+@$4C!|w2q%|8L0otf%=~?kU#U| zue36MKwQIWJ<^)~JF!>ft@f@OsL!PX?LBoMf8AFb)ZgDWkpE!=`Qy(a@qFk&{_h;f z|LFtqKPi1)ulPA8#*b`iO{_P3e{-a`)WQJqI<$ba!b{;c<|6b&CuVIJbe z!?yGU;l*zhW#Ij(WB%f!9*66Ad*t7>zPc*0SL|Eo$IBA@Hr=Uv=|KPNFMP%bk#cmV zcSs*UzjqNZzkgBt71}$^!0p;Y6-vMZm!$sL>K~zG;0;LG09;USaeTE8PkPimUg&Q_ z)ZI@&eM@s?$!XfB*Leo4?rs=xON>N6)9$yWG!(#ptlZRhzy|;A&P`0X4Xgv0ZgAefW;k?U z*gdqP8N7bzAAOj4cvBhuUnu{_p{rMzZtL{#3RC#=P{k1cAK?7i<$vt`ta}2c$xefV z&jymtIXV;g+qih>A^?Bxz+qy~T$ikiH}&vmQK}+GX98bsRP?Vd0`U2=r0}vXT(^R5K`6$Aabjf;mq{P)Xs$+~c45pv`XhGe=uGh6L;e}Ee)v~6KJ@9oFC7?f&(C$wI+YiK z*=y_CuJH%_OWkXKY(rSQ$yFKt>PqHCG;tZf{E$PJA9B>e zRy9djzffUq-Cp#q3H}$mX6v)8{DQ*b=;+oy%igC+@!A^h>rO*1?U zT{B?WF-bgpayb9N!;sn}^X<}9M*n{ssLz2p@80~k9Ed;Uxk~gOvb(%4@b!))zUl3s znb!ZMZak4*W67TOU*f)p!1Fcw7HkJ$!PE7js^E}&d`|j%v|n>VYDsrELi+# zegO#{F}J;-T+tBl-uwc>13)-o~^Qz{Y$3{hJFEr+3f$SO!g3Tz^sc4|e#_ zv?YB|Aoz*bWWnp26X<7`<~9WUt~uc->7%{{ZopUGnzE>mkW{Qs=BK^gyojIfKS0iL z!ewr|G0N$(o3Z_lk|B*UwCb`u9gm<%6Yr1dYe)NO?#R>2CBP4225-t)@I5$JC~Ly; zaFm)m0RII&^uclA<4ff?o1P>Q4;&zdb`u*+;OI>Br4I`?zTwn)%K@|ZbZyslZ3Tax zvyAWU^x}W`K>nwQf1ICt@qgt&{>O+vAE>~YYVy{EfBY73*IdQNf1dvua;;5V4#8*a8iGG} zAU^gE;Savv-Y&+{KK?vRG{WQPOz8hcwb$PHeoF1<3KAySClqf_jQCygkN!J5eY)_8 zpYIQh=gl1dMAxxX^oe6(Hda{!0Ds7J7x*t2ppQgm-yP6jz>h!5mer_R2g?3!0Dt1) zC3)NS;!pqj@WA%s-DD}+i$DGx^3h22f7}55!H*yK=%o*OqZ7G~K4~z%=G@->T?%B+ za%;>U3T4;~T@PGP7_SEDqdwjnU!TVcA24U_i!kZdnpjKjsrEfDkGWP{Dp}+Ey{WG8 zhqcv^_GMl2P#qG*(V6h2Y|Mnn(V5U^Nc?kqeF%TXLiQV^2uEi^mwUb-nCc4dce1@=T%pxz2aImtE*38tgjSuz2lkK`DY#C!_k@W zPuF_D_UV??>`^{Xx*Zs0iC1l+&)luX|5uAP#(ISgd|S^~DkLY)g%$9%4Sa-T`+OCA zecNMAe2zctYla(@!mxQZ`dg5xK*xA!dV2Ze__-MLXI#n8%Kz7ikEgd9@a_7-VC3MN zTo0!Y&~661lRhj!;tA_r9NgLi{SBY>O+ePaXs5-OfmwrPh7a)ODQThP#*T1q{y|5N zf5TRJHP@9d)WKPdu~0#KsbFyzVEn@_efKsqz&6n?^o;uj6+Zf=M}^NNQ7(P<9yNTp zb}~PEeSk}5p^xX|p6nkm2$hOG16>WMJ zKo!9Ee5?+56Mhrix4Y-#;r2tK;$Iv8_+if){k@-!H~COFapLtizx_n;N7cw>efSTm z`;m?Zxq7>9mAc^za25}YB|GZCW*-mg*F}6@o|l6e=+F3&;sX~#;BiHQ&pgnEf2G5h z4&gn$6rcBvjsH~+pZ$a%>R|8E>wlxekB>D}^v9sTa58U+k{2BMq zAACQ)**cK+BKCsv`e*)6@lF3aeAD0aZ^Gvh3R}l{eE6cj@&8v9Oeb=+*XT3C!Kcz~ zbW)r%DU+nb=%6E?x9X&MoQ)0^91=^EdBqyDA=yHrsMF9866CAPp9zwXG3ks_L=WUT zGU$w4Bcc1fpu;8QW=D^3;@yP5K2pPpl<592;ae5el+A4KGU`GSuuQty860E~g!A$x z>cdG#MxCjSa6T0m9rv6X-H9#JUF-t6DVXT4X{wTtj_xcSA+e#pf&78Ow<`EhHq*C^ zl7SbgV)~yonfrD__$P9~!E_tfO@h|f@wXxvP zzM#cueMUJLEyFV?$3(aJ+lYcl`&SX&=I<-IMDN;Sb+_nGw^+?y8BJ|m#;o3DTB1MG zBKn*z(fXLJ-c&Vj=ADacYvv<#rlV0ynMCJuznJ*H>YM={|H+UM%kIk~dA!W6kh|&V zPT;%5Dc$STNUqgHU~kfkehJ{dHL}GZQyoX~DNiGI;{u=`B>l@|zq>)UBNug0liU*I zEb5pPI;2CgrSMHL&M7mXcv9d+ss#E6Tblf1i2`HMh9N%rf#suJ#M*No8xW;)Prc7< z(YsT0o8D)4>8)m|1S&?OH_`TuE@EB$j}bF@LEI_1PHpC!Uc~9#=hfE4R*AH+hF(?E zRzFRMd?17e6be9UG?6KWJzF|e9P0jYvf)zK^-R1*Yiq=5Z!qDE#o5ndoPBQ+Vs^`r z{LM*-*-C==3thw-LRww z1XJCs-Dxw(K6&C!n>FxEZgZRDPMf)!^U15-X){gXlPB)9xo-6C-Og>2J8kwVQ)cmu zWEC^c(~%08PmFn(Cs^j;O=a*IFI3ObnZUpAKzy%%mjL)*GEjd84)M*pcvBDmq56D( zApfEM9D1*J$m_F{v~RpGFk}84>i-QLUwd$a;!}Nm5Wel<_Kp9c_&lE_-o4|&_Hg^^ z^N^f(Z~jC37psyX`VaNbBWGBD_Kk<=uZx~R_;E*Vt@z*WOMOl{T$$@3)yu?wj;)YN zXucs?htK#`hffT6xZS_eG@r56WxVGw;^!%|0{M+wO@-5xzwrUio`lgf@v+4b__)8_-S`v<%()`5F_jbx_a2hJVe=j3jS zbQsl*Yt_B8FF83#+xkJ~r+Anx-`^EuTbt z`J8B(pZk6eP6&|AJ8tlEZOq6TT0<-!ENTmZ#&q)5R7 z9G}RUWf3%%6;w2RNT?Fk$s%YhGpgY7P>T`7flfGTQ$a->goGS9EYk@`ZHiQRa0U$I z2%71Hqc#;(g)FH0$|~&|L^Vj3SDWdhOE-^igQ&qoUKz4pY85e;6(hDS$m6R`RgB8* zwHvkqFTAjsg+lx{*PZ+xZaJDYf}V*&iEJDF|lUncLCj?;b}asdO7eNFb=K&$$7%HuAUuRx)h_aKTHm=C+mQRRzE>EcG0-f zWtGm34z?OCbd-5ch59X3_Y~#ahf)tHVmfW#gN3(HPtw0oPqAGpJotP>=X>k?bk&`z z^S9{ya^?RHOyw(8=El4AF1-~w#S_855}YrBpxeWggSU(FKd4XLPEYsKmiTUeb|Y~xq|WbD!M;h z`7X*8FB!uQ?eyO|X9thfBz@zDqT?|z@ObL<0>K`r{1M8rfi@)u;Flig|7_KttNdxo ziIeygL7;*DEFH9sh}V2uIdX`j|5Cn_@|WqbcA!m(Q!nR0)xSqD-Xz~z2R3kk!_7&) z62aR82PnT*IZsyd1g!ByM|{sYF#+A)I#%l(UAY&IFF1(P_v)~=q>Vl!e;TjZy!5O2 zf*AAdm6rcO_2&1q&d&LzX~u?M%lGsdp3~C((hz@)`}AY(G7+bY0m!CpUahvFtt}>I zGG8ewHh;=P2JqvR@#6 z%#RoA{C+xqtGc@?|DE!?D8F9$?Ug@OI9CeypOl+_b{E`Ym+_yrM^_HkBWA}>RbHwC zKYvp=d+4AItsN~lzD@Z_qPd57>=k)!tMmBuSN8t_00960cmZ@$J!lj`6n>LL^JkLF zCD#POD}seuB#>Z!jNI>^KJdefid0)`<;IzN!gQJ$Yvo1rbhmR>dv0koYZ=#&1~U^^S4JYLTx z&o)?d4w7KKs9JM$;8vtjvWz07iWz0U0V*KLPIl)fm&Ej0N6idW9nTL!X>m+nFKyvm zxw~2)#NEVNwzLXB`8MdYWZ^#$SKRr#inN+UYTL4{of@)^PWw>_H^X&^A;v znO}2U6L*?a9zbAMF40Ad|u~ZUW);_v%bnzPOyg5k-Ov4qOREKi?>w9+; zcRB|Wl%x{Fwu`49{D(la#NbU8r|1?8&mSEe?Sk(#!x3g&Wk2FsbWj1Wdxhz}kG-wP gpo#28A33|=|0H2 zWJeL6O@M?DP#{2*aL8=LimVi(d2hz{mgi0ooKNv$DV8kHe)h7-b5$_ zao~g$4j?XY;06aSAdnCzk^_+<4hRqe0Vj|E5rIVb53tl%)qmAp{p?A+8FKgI3E);_{SEeo@Cljuu}-F@Y|`F;D+^Y#P#(z{CwWjc>@txUy;hTY|& z(~AZwMt08bODFNw{8S_l|K_d8uh&EKT4%3VTg4#3lYf%8%kBrOIOP@c^x;ntlMoZb ze9lil%L%cy4{>fkVi9cg&D-}adVg5rf{w-$^SLvAh)l$vA|4_eG+(k3Bb=W*vq#}# zw^&x9kNd^tqN_yq8m|V@5IrnT6i6x@30w{GHrL21MbZG-(vVyX?JfH>bEF9`WMiXX zg4AR(;(r@S18?LBgfQNt#6#&#M57FlFE5^}t-HlM7y{tsi>62%9R2hj)p&UT0;yM= zOX}+ir5;K=BuYtcmbDYmRA5GdX$7VfXedxuAXOkyptg<*iOXs*pIKy96u&{_xcN0V24yuX#VaTPqZIs6zf%2SBAymQ)O zEtVwSTZz}&-X1MDuHt2W&$iZnM(8N_x<(u&Yn>6C#{GAC;+%`I`= z+!EyGmLNB`1i85-$jvSCv<~20T3-)vYT(4cwE!ox25uU7#=z4Co-%O5z@}dcL~jFy zVt{46V9qHV;b#V$0h|fobO5IU*a%=ffPZNKlK_TMc86PjiV0p+iwIso;2>Z)fM`EJ zQ2W;zL;!6F%N@)Y0kl&?5UC#&yq7x|2_R+CW?^!gESN&0hX7e`1ju?LK-L=pvfc=g z^+teiF441=YxDq;=m8|r14yC=kVFq4i5@@_J%DQK7)jAy?qHBBh3lID>6-xQn|}bA zxRs(9Z;sdj*kRdYw9m`CC2!iz8K(^#Zpr%(<^4yuLBjU!-V5*@og|z!fMj$9k;GO& zVk;ntbb=&{5g=KN0LfwmIIn6JBZ~8?W-+2TucBiNnkcn(Y~8&AfAbdqZ6gHob{obb zKv@zeRJNKoD##TqN1g<-!f`_bVSi2wsf^~Xw*Dlo&HmQd;YkTkOL)D6H%j(GZ5__w_%qJo$c*vGImC~gLwMvI!o72Rm(w1a@lX>G!e3G_4|5jw%74&n0ZanO ze!xC1J2jfyl@|orO?194?@o{??O!p1 zfKnA=suY5xORoJ0m4C}U(3GRX15MZL9gjz$M_9^V?#ie~SfaQqqaI<2B5^^0L<#}s z!UAs`mJ^`F%;bYC_%>YvN1BCG=#=M9pz0K&T;aK%`ww>xpeQ);n+|c@4bGo@IyGlh zf83PgDCBWo)hg3%gzE!|UZI%Q`hbJ8GLe(Fv<;4w`miBw#ecN$1l%2+m1W;-q*n)H z^+6*Vi=uyZH7DTQ$oB+K=;OVj1g&)e=jh^-x^nFs{n56rB&$`7gaythfFQ0EN~D%b zEEo!%f$r@BqEn)6X{Xn=K}+6JT8}$H@^JSiFo3$77f|TuS_TkwjUq^=r;@Jrp-f4V zib?WROp>a_(tmY}B@tF*482pkS&K9+GGmcxi%eOhVUfB;#0J+-FoEl7H8qd1#9&lm zi?E27Fb{Kqoe*+RkDaq&k-9}vi?B9r!^#|z%o?$zX^|O=Oj~5iBG!V0Ex>%ch zskqC@_+m|DV;U9^%~O;hyxmlwHa13y1&;P#Jd9Mz-BP?`5!R*_1~GWnHv|DPymQL8 z79eScw;Ms?)2zEgLXh`huEqm%J3gm%a8N#w*+O=fX1q0k$tZ6jfyv1spxmRE>wbe4=9TY>h} zhPOQj`;Cr{4>IlEaBnN@k)`Vzd1ENwdQV6t(~d}%ZWsgi6;QwX(mpCt3Bw7IB>_Rs z=VF#eBT?xBCZk#-EH5c~lo?~TZSVxU3-)vRb<8B zf>NAV<$fii72U428EfbHhecS^%E7$JsB|*ILJ$u_5|P2jWNpSQ?|T{+!%)E-8-L=w zl5tt+@|Z9@T0~F;TZXhr0)`V^j`A!jSOgYV5CTga#2WHe5R>wQZzUy&fby?FfWlHK zI|L>dCV;Y5HwA2$)Hl4Od=c#>Y2OD7m2!8tSePuFS;8Wa6O5PSZ*zdb)5Hr`2|~hE zf)JT3Cnj^{5D8s}NLV{WmXJfl27iZy)l90zR&cm9qvr5WUVy+aIIVdGeu|_F(FO|@FHrayb>0gYL zo0-t5Wcc4B&p~q4Cl;GhGQBeczZCK#tD4}@PlViM)WxghOO}H(q&``+er{1Ry|W+K ze(trLD6W5HIWR|^k{L!N+kZA#%rT6T558a)+*nWOXi1*H)$s9k@sufca*qgoEU>PX-#LM|vtDvSb^v-^0Ie+(9&Wm;$O*fS| zAK+inv{@DrNTLqEW z3kHjayaSZ6eN343*_Q1zQsBR9M1Amd-lQ+T?!EL8g3tCx zg9|(7s|)R3b!SvPi}z!jqv2q9zPdTCs_5P6^z6wGRCgZyDE)||dKZ3nK7Utr^Ww$9 z`C)&%x>>!nd4FNIUwv?QTpji=^+(lFe{*~F<@KLPk6%0<^qxoveObNlB)x1wY+jYf zmB#zz-+|W7=JWS&^wJF)(8ltq4|V2NH(Eu}J-yUvZ!9gZZseU-`_A=;fAhHWAYNF! z*U|eJ3Z3`u(r-3*FIKzVV>b}`gt)?Zb%Uq}cHc}bc7I=Q@JO=wvLpR}c@SF{d611T zP9!6%#Np=z{XLKqojkt|=tR@x%AA<&aboi@II+2CotV7pPQ)H)BT?4nBmLoc-?dzC zkQ>LN-Qm{em=>=r-Ny#whpQI{pXgWXX?46)ePnaIj+z};)?c*nJrM}3Vq!Ii}##f12d4@hG>EmPcY$xw-bnaiFnjrnS zd}(7f|M1dWYn7J!>hi{X7fZ=MS01NV=;9=qxoRIbUkevEgNI>zRb6$6U7Su`yDlc% zuLT#^(`(noWcxMX;`%Fy;@2b>+f;njQH=AIK7Uu9i*KZ+dN4&zADWIGLL!-!T~EWq z2=T-v2c}iGm2LJ&7|9AmhQol)uf(Y0{DQ2p~wB*lNP*>Ab(TB%7siABdS@TV-zG*oOkdVa2u7Omj_11 zhVD7c=}-lA4qm4#dP1z9gGb$vJo3MoUV$Q*e;0o#j#a#)B--Q&U%*tNN$8Em(NB1& z1CqzlQ?oKiy;j%2T6D3(FwZH6ImZ3jx46mt>A5^+=94>Sq@)ohh^m?MQSppsf`8jr z1C=q;)3fXEyf+?E#fI5D!o`2d%<(^6kJy z<(Am1b}>wM!Hcfo{V&^x1tfWVOH1Vf8mnEG4I)_)&V{${y9r#3eR$8No?Dmy*j~H4 e!iP&&&zZ$%!1>iQu1?Kh8fkIbVw+TG}2uP z_qq4E@0YvQJ!`M?+xzQ2pH4mdJzM+>U`p-5|BM*fzgz_U%X{*F>4UXrp%rUsLgB<6 z&?Q94now2HRz=B9dG5a`Hrf5VzQkWtz}r9jGWHvJ-0;edB>SG%ccexE0XZd~X_Y-8 zG0p1`MFK3dka&Ilp6E!uSJB?qLV0l>O4rexXQ)A!zx5r_nMWgfL z7^4l^ePfW3F|H5TvASRMEuFX`J0=UlmzRtT38V92+=w!H{K#_u(-h;_3({+e6sarb zs})u}Qs{pcl;ls$Ods%_%yEO_=5N?h<%Q!DQgPC@kXVbstv(cvEzHX0NvAAY^c}Dd z)g4kw5nk5Ld}Td1`|^&t6zk0=cuiaDMGcB}p{5ZnK3^;&>jrl$w`};OQ>3-f#(VI| z-=7EE_;q+@|C@pc%R!j}|D&ZOn+t_jZomgxJh~P1bsD`r@xICYp_<0?-hRxE+;ve< zxoqlocZa>u@orA3uTzypB?>;iq69p?^}JLzVy-3fy*koMsUZhXx(|HJJrAFq4@1|{ z)J&kzt^{q>+nILncpeXp>2u3@bw~?8${p;lWu@yBUV!B*Z;NV-uw0_2( zIP#&9@=2AnTV9!@K@-dBfquV9bP-m=78_cU<>C-QGUeD7UxunwF=t$5)Bvzu)(OLf zmuiRg5pRV=@Viu&GC@aEsv;Z@5dnT<=Cb0!oeajG?DjoX(fPyrj?B2~E(S^_n9xvP zTn{2?qB$aW+>Fqf8!L=t4HFkh1-^yA0W13ftG+|4wr|Fcy}(+AHJ4xFgQf)eG2f4( zBNNuM{v26r2#_a9lyWCH0(cOm9Nfbe-ou9T|H*t;ws;0Fvc}!hQchm#dB*R373TT$ zAlZ0+CfW5eWO;j-k$+ZJZ3?S#v*06ixvjETosqe{7$T=0L*i_UjmPdbpZ?{UQT&1V zHI1W(uptRn2Tshq|r+8l#MLHvJ~>+{`9$#w7RrKbAYUS|iY?=Q*Y62GOsH{~5hQzip>5k2kgIOw6fp8OYNdh`jR&zG;nG0zI zK^`a6Gx!*ySoR!j1JPovNyph)bdXE&ori9>$-2g{1x@;%ER3XtQ$o zB7)bYK#5xfI4C%hUH7PbQ)(3lRq&dHsxGEC$mrK{&A0mt3W$9NnJq=(2W*LV>O%Q8#SF|#1Dbm?SMPtA+ zjI`$YGCP?=5bL@;!TfI7ub~DOd`YfQYkXNXk0a8`{z^}dcf*uF%n&uny4gx6J@hKv zqpy;_pG1kNJCEw~aR&Ts@U3;n=>HgptR|h;ybL!B_YL0zu+8tfp`SwU1$)X=^zpz7qHdo072D z5?nif)DOB3ck2tqBLlhQRroF$P{2AmHtdN3@uT+omZH%I53$9&x| z**R=_|L6b+m%!%`@6K0sK|uVx!vZM4>D#g3p55f4kygt|(l;cMlZNP_6$3e9m|3FK zFqHJcZIpY{9?eX{Q|=1);1%spyx}Fr;l)Nt@+fG&S4K+#vLi+FWfE~RN@&C!S(Q5f zy8yxH;iPthjV(nD8m_nt4gCr__Zo$zCwn+?ZUJ{8g(DlX;ih>M4gFGX=(ISapUH~+ zGAUJPEOuvys@q?Sb^EK=O}#tXdSVCb(2|VQ9M^@iZ@QB2r9;QMImvG#PP33DcKMu$ zSP+)Xhgr0CU%HBySMB5i z3BUkF#6t<^@^QBI>`sy(QvCV zh}4WXK2yr5K_y$;gpkg|sIMg$Vbd7XK>f&@g7xL@5$d;sb^;jiO}a^PzJx=b0XwGw z^|d<`Z;oID6zW@J$dzK`(Az%;vRM2wS2tef#cy(vEU^ktAn&>+0LA|b#}%CdYy|l* zv`nnRI<8`(bg*hyHfUzLw%UrIE_I1J%&BO>iSe=2kotgwnkEvP9?Z&PI_PVlnkZ=8 zV`fBnN0jH6SecT@|25gpTW@0*IY?RFOGT3J9)sNWgJQs^$n;Z{Z(t|A$cOnkZ$UN` z4O6n8MfL2X_)kTfW#4Dkk@y3egKSO0UAze?%v1#_?%_msWDkg{sh;BV_S`Ame40pF z#IY-7_9uDuo{xbqI~s+eY-5;t8upte^!@4Ty_*y z=(DH>dU#w~VzH>M-=$>D+s8y}c4s?i6+jKy!Q<>DZfV$?EEe?iz3PCwg;LUD2-a({ zCPZmJ9=@uVF;%rzXe4ul^m;JSyk{h*ifwhB7=0Q^y=$BUp#(l5qUJZWeIyAM#+#A6 z^SVeSJwY@nHhJJ}k+w9u{Zc3izI|OxB(Iz5ZcB=`a>lI#I*u^oO<}a_r^*4h%$7(F zg)eo^XxWK_XmclR{&e8F2XA^sfrXz=sMqHe8$`^sNEx#E@fnQ{)u2cYE1n}FmJl(P z42O^@G1+kydZ-(JXc>OPP7Me~m` zZ_Gh(UG9r!4+%~|Rd03iKEuIIjov25oy$HT1;RhAaeOjL_`Xep`E+Tx&=92<1nQ& zvJ;zg%6cPGTJ0dv-{N_lO+>>tD`B)|x^FJ^QKh5U4;iF)F8lX05z-6bWTH!+!4Cg^ z^|buv1XeNB9jC*!4`O{rsj3dLEhGLbPT{ElolSG6hGKhdy{Za&7vIOrt5ZXjlud-XB9=J;*53J2nxzPT;1IRZ1UOUL3ux zxh~r2mH3tLyq!~Qk&V4psFyHa*&97YWf)6(v}H+Levt->3pop%`3k-6tD>HIK{cs%avE9 z#Lqp2YcK_T(;|3&8KhKE;C*Z+bX^0aaq#s$Z=H*=-p3Mh3sp-f{hK-b5*CtTle?-H zy=^7Az_YYl!NtU<4q3nas&9^wYacdgo9ooFKBkOt+~i377Kpoip;B-`{G56j^6Yty zYpA)5ZTUm8C($m_ZL4O7D%_>UN8;SPNX{+>+^TXc?bYZf(J0owZLHd+Uvr5-xl6kG zI}7&PO5!LE828RI2$}_Qy!|FLOP`{I`*dC?%C^DEUS?Sl=GWBl9Q)NXbPHiN?)hV? zl|W*0g$t{n3aZ?2AWw8tVy~iZ_k%myLqdD6<6OP`j?J7{&#mKd%s<=uI59W#%EOuO zG}y$W$>7gKnn7S|%qQvct3(vAZ*8J{3`1=mRSKV(z(%u-Sntju)9UMU{}HjyA%Eixj~O12jyl#^eQMo~nvm2y zte@OC$*3*-v$vxpB`YK8k*IremWJXUMe-`Vhn9K6#})kH=X)ndQ|r^qcbhvaTCJ{# zmawIWct@6{{0`DZG_b%#O7^SiFX1sY>}|hIVNC72U(JCFZS;Z%YGmCPTv8#qj_%bo zUAMeed(oB^F{kMHAH8<|FIs|#Tbgo~+XcDiU9f2zPj~hDBsghrjkFtK-TQkVEWpxGM2lI8964Dk6P=R#iXk$NdkttTJ7c{@^}1oFVwk<49N`%H1b(Z-wJFC zRn^phF)kMYn;B4tw8L8mhc{jUC)+X)r%bpwQjk)g1onF$Fz2wnyRONB3V;`3lY$IqrJcP?;Wq$bTa9T_F>s0&4 z>=wyV+|;d!wMX{xemY-6dbh8LCuK{rH<`%ZEN^ppOa|4A3?BH~7Zp)(Me=6E7#tTl zgxsQ}d0&6_2fmUr1|LNZJ{^`9iPLbE+w4vm$u$nYR+*o2WWTEkGi*7;>}b4k;9)N_V!D&)ze5jvnKMpz-65kAJ;WL<$Z6q j`?5BN#t%6jX)dO{j-BVzI1RcwP=?s%3m2^azUO}dr9LD; diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 86a292a..ed9cb89 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731925004 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731925004 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:45:24 2021 " "Processing started: Sun Apr 18 03:45:24 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731925004 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618731925004 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618731925004 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618731925194 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618731925204 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731925334 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:45:25 2021 " "Processing ended: Sun Apr 18 03:45:25 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731925334 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731925334 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731925334 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618731925334 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739752654 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739752654 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:55:52 2021 " "Processing started: Sun Apr 18 05:55:52 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739752654 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618739752654 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618739752654 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618739752854 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618739752854 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739752994 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:52 2021 " "Processing ended: Sun Apr 18 05:55:52 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739752994 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739752994 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739752994 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618739752994 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index e0520d477a4a8d044ef2fde7eccf90705f321c50..c9a5974bcfc24fbce2f04b6b4c97438cec7c0807 100755 GIT binary patch delta 1091 zcmV-J1ibs`3g-%tTYrxP000000027y00000003tN00000004La>{i`x8$}Sefr@WX zkpO{smS{8h}S*%%4CP zm;-qpxRQ=j6MrPJ-lqO`>!FrPw+6`+-OVBy8{OIu%t1D3?VsK~?G0OQ8hWiHGihdi z_SuwTqM0WC8ml<$ZWhpUyQ|?mlv<0aTpP~sL(N*-6gp}?jr5cg%(kVRG{R)s&U^tf z%3K%deil*#2^&){Rn)#qPItSDUxzwQr)`(N2XcxV)PJ}<`d&oRieCq@n_K=Pka3bW zLU}Gj+Ko+MX4fod^*s>FR?EKu zGO|U$3fTC8^hFjLdL+WEFrJM?2#`jhGUO&v8iazQ!)mc3k9~gy^BrWnu|T1uR45UK zIO`(NM1SnjIEdtfOlrd;6eH@zBAh*wio8rQ|H5t@O~=K~`4(&Tq24HE)6+ldYMTmLjiyR^D8OedwI-ZHz}|hh&u|i4_N}SX*JX05h+?G!~OA6pF_3!sL~hHk!uxo3w|3 z7=H^jm4?dcSwX5WoigmH_=R?Y7+(i-P&K&;=>0P2fxHl&bc0BQw87yRHeh8W%qAV@ z^?Sb8nY=(j-^_#hrOv=Th8E@I&1}A(9!N-w@;&S@8tdx{9_T_ z0KVcnfkd$t3J?B~h>Cr7W=2vU$w{52Ge6DaiThvKuAH~!@t5$-VcZzaw2={=sAQ@{ zls^LVH>e+qD5Viz_h{Wgem;W+KDx4!A#u`<4g{+V>2=qw^DdIzLf*6-e- JzW|er1l%Tb6^{S_ delta 1092 zcmV-K1iSm^3g`-uTYr!Q000000027y00000003wO00000004La>{i`xBQ+3DfD_*! zkN|;rm7^ScD?D|?bq4f(%cLGH*l2StT)YWE-AY5 z01sI5ddBwrX6*U!UR_;X{fSh;>27`j@^Xc9`rbAQXNeg`wpA@oP7{|*}c zR{(r~_q15b~6^`T(f~a-mH31%tF@M6i z!0gM5z?F28ntvdP^)B^xTMx8Uy46pn=x!Ft*yz?lU=FiM>)>qXtUGMEY3Q|*%%qw5 z*=JLVg=U)gYpmk1yInxf?XHINP--ova&0)j4>44jyYeN5 zQRccp_p^{1NZ6RVsiO7{Ip6GVZSQurspE9ocKdr6&VO)&8ka{uh)CM$*D+Y-mj4*T zI7u6!ypSOcViTCz4cl3KUqnGz)CuN?-A8nEWZ{^%ezW|$7zU@q&XzN7J5FzVvCF#E z@^4@m*&<*k*!aHmMHU)*D8j5To{dEakVc_0~|nK%t~m zC=rG@>whB9MC{Qxh~)iDYQq$Y5p`n`&Ynp{UZ$9TK^sTYNujw+f4XerDH2iTAfM92&haai5S*GKHM+69t1~KbU67N`~?BWU|Wn;W&zo zR5;>`Gklg>?Q3)k=_~m(ld(Ia#3yquZvbZRw|^ZP9{$J>wc0iR?0L?AhHpQ(2t0t~ z<}R=&ML={fwlTd~N00ZV%Qoi>x&0#l^EPyFto3(R zMlaJJL9(~@rte^t?Z$vs<-WkoDoGM64qCCc!fXL%UVUjSCRr#Hjpe1uD=}>}jqx{W zAAbQc7HTRDmD96=RAD-0*fa49?FBLZ4(6b0avRWlWza);DLm;0kqBvn!!c~Y%1D?^ zI?3zzT-Uk0L_y!ogZib;z-dv|Qij!rONj71&NJ*o<9Ks&s!VQRW*vx|WU(PHP|{sg zA&!m*sl_SN-(l+7Q6s4WockdJ;Te1G`G0x!1@4wJ_@xe8ffsZ<=nr}{8h20cEx|t) z(JkOBt`kTUTcPmaAB(8avo|x6dPq*{G@bcr9#7o=in?;%mg%qHnWMNdnrR~=I#tP3 zi70;r=5J6h6j4eeyv9W>ehVUF6*Le=H%xK800000002|~00000002@900000004La)K^iA97mb{sdZ z&OzEsh<&G%)mhojo*#JN36O{u9}kfu!5VQ=?w$rKF(v}U1AjtRWN-L;#yL#1hG+iW zn)YMfd+6qOpV zR%s+1mtFfAaDNL}KYE09n6(wbZYlH2QE51XEZ0kqfJY20D4ppEVc&w=AA#IgQy3Ba zQz>Y&d)0k@ihXGm;QlDxN`Dgh=x62OxnO@%Ie^C*ivkE=mZc7Jm^M=<3|_S>$sxQl?mbLhJ_x(byUZ|i zGFtY(No~}GUDTpqagw`;?dwR|E`A;4(S}qm$8BID6IQuV?or@5AG^k+v!Rg2odBnn zRttl;hg%JMs%)%+#Br5I|KXBatUx`#?3$Mlet)_KOR>5wy!AsCo%%}mA#TH-+s`u0 zbUi!9S<6|V#R2ZpeoZ@1ZOES$^43R_sW~V;R>Vy!aM?5>H`kot{6uhIDaA+k&ndiE z7j~3kj(N>Y123S60$7r_@4YOXQ%o4@=|1e_)`{=uwA`@8Hw)0?3hOWHxKqc)isHu( z3x9fs4U4rL&{kLeHw(<3rUmw5iq7?CU^~T2YpxKtFiAash0J?}DbBT60q+Z}eVa$K4Be79wSSlGSf}vMcKyW+8*lgYJ5SfKn{g~^W{n*H z9>#j$-iIAou;jGGmHk2yzqM6@ zPH5w2Gu(McY=XT8T=iGs~yRiNkR_QN|`R06A+hMA&LFYM1A?bVK z^(7Jye#c^{?_N~~YA3{=ZHn|=tUkuo69~UIf%%3}r0lP3cXD@6PjwHyK8S0~Y(7k{5(CF<@$ zd?((Txm?`Oa&yXqrA;#@h|};|8r=+R`yh?#d3TBL1uV?Xkh&T7vkOd4Vth4Jt0Pb; zcIrO`EyaCtKLI0Wp!sE3Qo4wRJOJzzF^>LL;76q9+4Q^c=@NGG&{Pa;czn<)8~hG`hW-j&*s-enzLxJ6a)0uU&8N!T;b@j) zadKHLd;`uMIJauhCpZ=g+TBRRdxa%@g`K7K!flBg&+s!Z@{j7fF9+CN173^mV=g|! zqgn>_<^pWyETn_5%)=&;X%wuD15x=fpQ(fCxEo1pXc{|7in4LkroED4-JI{FgXX-7 zlMKhK?;%NE>s#A@X@B|E&vLMGWZTg36(I0h=ZQ-4)&K^ zws4+cj6yYs*j-|C?|$rSM_U&=TqiFJLPQYR7cbB-zIkoeL%p>EE$~6txDXMYF0@S! zg7)f^bB*MG1Z*D+MvnXqzFXz87PHOFmq5J8zi#ut7r8`1luTfgRT?#JW;}XU%2!bS zeIAJhFPZ(sE`Q!8fu=CNcsBeV9Zyqq194?rmCd>hmib4*giDW& zd$W!>JsLTuXx|@zezfO6cSYakZf#l7j|b>J1=|ANt$%Rb{c}DeDeju&W`KRV9MyIT zV+&}tm7Xqj?HihL(yo4#tY>BTyOh&Bx-GDa3uO2g@jCE)9Vy?NpFnTRd7~+3NO5n@ z_xyGlREw7MJL$c*2YEGcTQW5p-k#EyHYN;yuP`G;+h+9R;CrL^<}#1AGQhWhTL z8c8QGg?}lvl`P}oU@v-YM(ir4%7~GLSoQy%{+smtJUl- zMcG%1BSPFSNYY3hQOgwcy^7pA&>fkoeVo+}YJcf`pY}|_On-Hn&lAbk8vgn-^bw~q z@5=PMB%BfPQ;ha}18bV+Id>>$Maf{jF+{yNcH$wgy5@KpOQ#k*d&v5&9J@) zqC#-!pJ0D@MIIqB|NqRAlGIKPTXx5M(nwI|gFANc!hP7utP}YWJGAldw&4mhd_xd$_mUaH#tEaJIk%vYA! zE!1YV%3hEIdl{Bc&@+dg*$P98#z-y2|Dg^26}lu6_YgF0WCJ^|j@e0Htdo>KB!4i6 z(0&2f8{qP!=WPI|zW{M8P)TJM-oUZb1-R{L`ikN@<8Kmo!XCo3c9yiw(WKK{vG}D< ziIO9Pt;tJk|Ab_=9#>T@Z)!omz}Q^fQr2c7BySg(rmvKwsL-YaDYeb9WAZy9Gky>RR*oB;8+JM({${v8)u8>GPrz=Jy`fSF_As&^`hSJ;sVIPV*ka z9_j~RAFMDOInHsDxR{XY^h(Jz@Lfw297kA_ekn8& zRW4~U86TD>fg8TDS0>OqM?zLd-w&~SKcVHCIetVr$bX2acd%!7F&<^6=xW7M*Z-yQ UOJCUC4(MwcUF+gc0F#LfNBAG>i2wiq delta 3153 zcmV-X46gIi7|KA59V4hypuM^V669%PsKQA|4S%B#g=$FWek4zg8_0U^ z0JHNgclMj#{^s|6^YOFKKKm?(LE9#qk@^2|bcyQ7n_9OC~0J%59~omp!(`&xz)xsz6 z`%Zh<;iV3%_!-{MZD>5oj=Mlz*7t^3JX#vDny+jWLMrf_y&- ztGReUXQXIN6*iJ>KZp7|C1zT1l--5TruvW{k3GzWn60a59LN9a02W^a$}Zw$-Th-5 zNLixkO0@^pJ}t3ZvGQUq&ItX72@rR{al|7-m50#!|6(8T{$X~KwU{<9B5EcOmr^G` z)mOBv;D2qyf;6K1(goxTh(++>u!a3>=A9DPIm}F#s`!S(k-_xklf7jIn&}(=Yxj7U zW0qC+WOG?m11)IKH1_pgL!cZ4ZvP%}iowals04o< z_`%H(OCHRm(77tx<@S~MCC%Q{6^t;( zu+RtmcnpGfOBrY!5w{K|m zYMYtUw7=*?9iyM&-WkEw4-H;Uaob5)dI$8*Fu=azC_UQ_O^Mz+2`xPSbDBq~FsQTl zO5ANZwkk?ArT9fM#CGV%S-algcz-Wz&{|^p`(j%2y-(1)aK+ON&%Ujcdc_FWAQ8hY zX5LP;80Jaz%H`A7Ol~Bf>L#zJXSv>*5%K}l<{r*k9rK$Lm~VNs4M2VXoDZ0)0ROsN z*ZbM>+Aw<2sl7@6J2_49e9{8cey4Y`?Hsq`631=px_F6uTQET)xT!VF=}E zOT2eh1vf0b%EEwra_Tz+nWUM>uCiIC+mh)feF_D#!$W)(qM27_D8!{aeXv=+oT>OD zDSN?K2}QGH_$$h8$DSU;sDD|hWjgfJBfNd{bJ&-%V9mOYlbHO<0v0k3o8=~^!*6b! z(jLBFCq2;tGFMD@iZHXJr?-Ul5K@dYRjwc!0>1*n9lqwvs0A}(g?Eg|wBND-)SR593g$40x9yU2X*n@WKkcmpxdNZ}}ZaNfC zA5tc7oj*vWNKYe=&wuY@V;0I@=#6rd0sfB3Td}YrZ;j3-b;yCqxlqLBeyD?cKxY$H zcctf#K>VNpQ`0IM0WKL8kblRa%it3T`vfJ%;fnlEoNQ;Q-f3f#RM|?{CfOD_q=|R% zHt~M#8l6o63+w3)x|XH>3Ajyr`46VYyZbDAQl63Hl9k7=cz>fX8G|1&BVv(?Jgd@z zIqYaUSD6x=u!o2CVBw%;N4qQ>f%^Lbmgrm^U`IfpodjJzkA1VG*IScb+hY?==&hR_ zc@I;_P-e+kee9ipG~<66cAYYP+VhQNfw0Fz!amFsgx!CZz1?@(Ig~iHtAgq zS>7RL=DDM)-FVnp0_~$;6$c zWj&PXF6EsZ^zE!W`YM;dcdk-youCyRZSjg{^7gs^hOUm(aK~EhDN|gt>!&J}kH8JD z1!cxROj7-nj+ROg&n?QWCCF9ncCyuprrUmk-WM_g_a(7H0Z|~%v_<7(Knq)#YM+8> zz>SL*zJH0lO`q3n+vV&uRr5a0pw>%{+@hpP_735_fjaT7q0dpo45Wl}S!TX9;j@hB zHa$rvH~Um+mv%kCbxr$Dl@Gn9>FxJ!LxFY z&T>gv@n%DD9N7$2ihq&aOhV4_@b(QZ=a~9E-U;sWhVWGV zo|2Qea8C5<_cN`E>XghxV|RNqIk`$Xh%y-({QA9Q8NVh9c1t|Bw6>X5{FOuKCbev4 zR-S;}$$X6)rPuc;b!q7gKb1EDv$me%a?P^miymv()uL-9DzLAV+UZZt#MV_m{ad5) zB7Y|6y|rCDcgc|ftw5~xih8bT?DOs)*DFWz21STqSiv3{L3cI!BQcek!KGrj+7U&K2U7UeW=q;%|t{JS-sNPoh)JdTe9I!c+qBXz6(GQCfrPMX=w650hQ zT7lC2=5cZE88+ESK$fR)#t{b=XyS;eL8ec-T{B~^ygcUPa@{9ihKg7-4C}Ay+SAWx2{$RF4A8)=l!(XgP9ptgL2JR`k?z z;*v*8`w+)*UX4*!7Rb6z&^vRHYkw_J72L;7^nwpoBi?;c=Z@P8)=*ueT?pOnDyd(* z_K8p%N%*ZLte;5bpX1gy#3Ek+V-9oxHwgT%J05+Tv4b8P)E`v*x~-L5Jln7D6L{GIUZ%M<8&B4y;#6xE3fS5B>uc)4{gHzRR&grS`^wP4(v zT2n`lRba9?R(7j>Z;!{$)V87+pz@=ok?!tVLApZ(BvwkKI|UY%kZzD%x*G(PSfy5SrMqM4SYnsW z&-eTOi*Me!bI+N%Gw05nGv}V?-Z{@DzjNnKJ?Y(l()Zs``KRAF`uI9~da#O$3yBDc zva0wyyE(9miHos{NC}II35$t{inE$H`UE)JJF*(u+PkufvYKeKnmO9BsyR6O{j^RgQT&jsVr4pWrG1Z5<7EiTdiG6;l57EX z0qS*xnP{!^Gzyzt2-THlmaWHjeyBrgb*vz_Lv=xi);Is;KC;r2&z0`1%|IwSS*ybt zv@V=J43%AN33t3!Qd*URh2|l64%ZVkj0pMROO7>Q9r=cvLX%9XxlB)FSt>`-q3f8CJQg?(`)%1Htf#&XJZ*p}Z{zj@ zR31SOvM{*YP9ZgW^z@sGywSZAUT~YxIx^e_=B~}ZYOvg`!~%SM=Fgj)@x}&Fojkfz zy>+x5nspU-4cE-ocuX4pLmn#efLYx?XR|g$eg|ta~>sUXXM*_$L@4kRV#E(9N#2C# zv6oV=5U;Z)K#<-!BU{VxOya2y3s#VM5cWN0IROVOc!{gj-r?;R&P*2j$a{2l5**q@ zco^HgT?^7D@Yk?1H*#EI_<(XOa)N2cM?oyF@Mp;n2~@xdUK{kt`ux3Pf_mTjg@4Eo zMV_*`6*4bBAuE-tA!Y6C8tAh}q1}Lk%A;9EGGs>^A#&d! zT%WXSa@~Yb7J3D2WVdndHdNZ$l?ckuVhg@~4Mq-M*CNbDma}gM4rmwi{B1OoZ^w4w zqW)LLTwtUVCNEY=?S?aY4Sc2Hgk!QqIILe`Bd$y^a!UHiEfsK$GD1iR8K&~eS78C9 za=wGQ3N3pg>=(}oH@KxWVvsIF>azioF)cQM3Jc()FyS_h8o-5PcO}jjvx}R)23+f0 z`+qEw%d!I8z_B~!!nkQ}E3ITiXZJw7T+oZKJzCF7d~omZ^(I>6WF36jYz090zFlBy z#Og71Q!VljCSccewqoo}@N4r&L1UseKDV(>xv+(76eftd5)M&AjDJ>gCQuQ?Zbdk< zte9`$my<3@w+qg#N$s$Cc>@j{W;7XP_(1rF!T`4-m^DnP;6#iZ{Cb@&G@mPY2Z!Mo zhRS$}}{WGK?`0Oo9Rq$mzZ95#F)(AU*CEeZCnI}{WlxczV zPc&|gL3=@!QL7`D#7PD)_#fffWNfF6UvAkt_%`i|`zl1|XCZHzur+Wui0aw^4!SXb zgG=zvNkxj&x0yKG-U^&%vcC=5mFxz7o0|;7Rr2Bgth=kx<91;eVa?f>??59v@t^T@NY6u*i%i9|Hg!vgSAqT`M82ppdY7VU&M-;Guzn@$C>iq&m4$;ov%lEwo4Y z=Zz(3OYsH5J2WKBE%e;r#4HLhuM@wu9fb`J`z5;J9nw1m43<$!6h@w9g#YYiVnOT2 z%Vi`YmE2hRlK4zfGR)3|8dUzUyuBq?av&X$;2XvR!2 z#-g8aQ4L5Uv!SE_|G+YnIpSa5EE zj-ZOh^2<~(SdMVpoNgn`O}N6>BnRS#8!Z)rL6yLPr=sCB!Z|K%Q_IADV!C-Ft=g4&7mgW!Qv3976ZY=SL5YstJ>zsUZ7a-DMMt zzcU-HPx>z|7&U^Yj>MD9_#kJcM;w-CsZ#NyM+((sD~&nAmjQ=>;>*Ag9DfJwWgQFF zg8C4kgvF#aYUPzbf;_f{RU$2+8u-V%XT2)8p%_Bs)_nGDTuU?6=DHvOIY=0afxsVa z&4Q0S6Qq+a#FT;pFKM@4Ev{6UUfKiCbN*sQiZ2#v@zuTCzC95)?+UN_>}dddb1rd5 z3+e(4pMc|HABc~Y+9R0AE?v0Q-^D4tuBcL~XBP}qS!>!<;;y{1m1mT)sd!iv3YF4> z{0k61AIc?R}f7BR_IfdJ~8`|JKvpyGHb127L^D%o5oHp=S!Tv){-V z_xzJ;eXW>03ohQkOZ0UQt&HGta%N9Lt!93F*br^>wJtb1w3??2^cOlDD4U@6Z>aJe z`~_7jh=0I*DK|g+qhZ3oz}xJ9P5(Dc|Ca%0V(}KkQQ}A&gU71M7ncZCQRo{Zz9voDdzTuzosa_dD$V+nW5Bv5a)9H=tSq0 zJP%{V0NmHBOp0B}S&O9jqs*h{53>Clbd9qoFg3uP%u{IG4uAHHd!JCC23??@pSe|9 z%PeP(_DRxWS<24tpY0LVwA&Yt1?4$@J8;TT$LaKiJ#lVjJ*v@8(pn-6^YjGZ7KJn=z^adkl>DAN8gLnk>eG~6ZQ#u^DVX5*Y;kqk0nU-wA4FhC|slI zv7=DvS1W~I^PzuJf8wkzhEb)JEsBZ4$q=!gz=^B9AnkILAK1tIgbTL`bZwyHaqo!k%8>ZYii#)hm=V3mbk)CdJEjc7XK-RJY2S(8g9+sb*CIf zmjzl39KW+jR`+3C$o+^bY+ffq9IhNaRMwc_1Eka+Tr1W7B^vo|%QP;xlXCdfn=URkS7NHGWYyXm^;-1VxhEenX94^C$MylX%P}1*GY*iRQ70+>agt;VXxR<>X)KH zCXwwZI(`c;`<0)O+fD6%u4_UqIp=wkRz>&pNfjnZYlc-+wdY5lZM&LD=QH_%;<0(5 zhV$B9?eH%51SOs>HENX{mJ*Skv68(r9)_mlMMWfa za)cq30WfvS{_J&1m7UQl409m8C*POpzQEzWEBnrOs#`Q_);V0_q}5`2(R!b&bR~w@Q@rIMHJNa@;s^dSwL9$Xi*urG#XWz;4IFiIC88-@!A3}QAI2&NA zpYsx9=8+o4BPtn7;#X0zW&g2i|11}AI%CsA#UT=i(9)QTBXd zvqw{r4sjCJrdPaLMwm6kZi|I)@7TQca!r+A*+8$vbTcmQ>&AK{d+PpO3ME?aJ=IFD zSA#VlzuZl^n&sKfOl#xgOOC@e6vy!dzh-{QWW*HkW=4chG{V1Gvlbhz0L{;-y-%w}1Fx)rZ|mTPekErZx2nOmmjW1$1d4LNYwARfkw!QY3rM&x?Kh zIN`|9s1po+)=o^l${|W-VO477#GLj8_Y)${7zqkLY`A$Pb!FeBOoFt>RDlB++R173nM$}=MP95g( zq%euDqo#J{_4fPu>ss8fm%Ig|?@GHjsOHxR@8+BKkbJ@EkH!P3x1&QU&3s9^XYo2$ z_=c;(8nz^x`mgM3Dy;EhR(oS!%JW(~WO+BF*$g^fx9wgz`kfhrla$nW5lqBE&wI#K zZ?)=~UO7^iI)tD+Z>9AhK^`%h{Z=^Zwx8=>^ymXz-|iBHl@x`L?>`?}y3x5q*}Jox z-?{i1Ans~5cw_Ik(HKn%buD=C9uE*{;d&kDZ&O$b>;%5Z3bqMY0U3S5#M}RbIRR^b zoovVX9$0b$la6#vB#3hR1Y-dh?2tQ}Jhp)$r_%oE;B~s5o-fJ#54UHW$f5V=`W)}n zZDsCv5Sh=%ll^QFqPg?DiL#I~9$UA*EKO_7{#TYsxW3QZWj&Ms#Na0Bt!IX#m4*!qHo?ZoN7I+S{ywL-Ww zz5P4f>zlJjdF$%A=kax`j*V}5F{@)IUSP&)88HA^xH5%J0lenZCM*&O+0)Y17vQW^YTm_|Z#EVC*$H zsYYhqzR;^3iaeeB?n$J}tS`2k4Wb-ICZ1=1rJ_kd2PEIyLVP$zc6aWH4-fV2>i5MO z(>%=f|8W@C`Sjys+7UehtKdRoa7^Q2!{J?$aHQL#RfW+)^FsI9CFe*6PAl4_eh3j# zID)v?0j9}Zq{%A(EAZ6wNL4OiZZeqbRQzhz+H3dv)|mp-Un0Auu22p57G0`?JrNkE zq8(iiBKF~Se)W@;0W4}^6i`a97Vw+sF8e6VaAFioXU&bK8-Y?4**ZCRcQh;8(Y|H* zepSX({qgH{TS7+Tw8T(Xo4aC5T*(nKHO65kS1vePaOZa&WPw5IX${hmX0*Ba713ZeV4l$N)xLq*>Gr|`tE15on&Ls6T@LdJjB#_ zY~0*%-O7GwDiKbu=HL64O5L_?Y4sJO*~FdRv;9P}1}kJC&EwDqzdHLrs*Z9Ry^=6w zvPr41pDc9k8|wh30rw$g#GC!pBf|5;ugqbxGp(GMBEEc~E{~Wm`AW->8T6hoF_zA3 zJq=qlKW0!CR)L!K?FkCsmeF{-gBufUYGgmQWLbAVa-ngInl4ESAS`~Ud%_XV>y;{crF!Zx>d$1l6tQ9B#d}0XT707ztMao%%6JyB?qMXBB8v5&h948 z9PPcOT>Wr`gmmll9Srd~i}|PNma-L7gny?wv*Av$8?ZSNuZ2iqQUlBSyf3AbM7Z`Q zA24>(ey)W8$QOgTO#xvoO(sq_JNsB)%;+Ddq z-&d9duoy@p0@jS^^*UY_hZj*_Z{vhkmmj;n-cbQi@{|}nk){ko(_S(JPd0MsJg>b8 zHUf_X_BN0!)ZAphSeFV~TM>WaoPys?OWrO}I-;FL)k_qHvdjmy6UfhlA5Q4gtkhDeFMsE`O9__pG z$|dl2p_giDaR}$z60p_rX=u7JbG&~i4ov<$eXDVWipG>A^INLa!50I8LUNV_8AYKs z=7-V~LkUy?mmly}W-sIazU=S{`mD}3e$p~T??NDg7a(J$z+oF9S=+++zjdbVTNb9< zye8Vfswl<(2~Gp)n{u<`Mx*xgoB|1jF3j9P;BuTUbSYW9Yh2>!iv;i3r!)Ak-T#Vy zZQExe^=Fn~oVIy78fGRl=sh~b(vJF(2+)SxaPG!$q}Dm~k2W%hY=FK+rH zzn4W1QFh~-4IfX4mxak&IiaLa_FLgw%PNM37K!nSFA`@BXq>|J&Lc>y^y`1^9#MC$ ztP5M+z`V3B&cmQJPblZiwznXz6W)u4_?CCXLJ!S0d_0JS0+FQI)8%#lkR;V(F$#M4 zwzGQ3F*!|UYgQ%Y36N6A@pAR|RNk?2jlI$(iMH{-r`A7|s@&!C!p+^^)ULMhvFZ_$ zq6szK{^>&8VDNe@P(%AnY(=R0m-{fu%IN{E2fprC84`MyxzV15_5KhAK<9Qn=}_eN z>Z&8^(8EM62O|o!lJmen_HGm5g8#8~TWorEEA$yhFI&m^^2M7#x|E0{rew^bNpSJg z5H$-q@PqMfzrIT_AzBRTZn`Pe(zo6~>EU=ZLB~H=(0Vfpo;YI{I(+l9dIAXHjqT5j z98IOZ+_nBU5BloIfLJs1A&nJvLH3~U@LX_$VzJGMuztClWn%pLD;Um^coR`eVuh{# z(;_2ROGFE>KVlGaG%$sGzmATXH{15HiiIRl2|-_PY#G2ZHW5$~D|8?}popq=WV8Xa z*iN4f%-(28@RF?|%3kK%+Fu~CqB$@NUv&EAC0l+!n=@*(n!5A#U}~d|#!L1lgkx3X zxBeDf-(X{zUI>V{-_d*1C)X?Z4ubaJd$R}IwIJYF?A^`H(R23J%PF<8dC9lnjDzo! z1pAPu**5cU_OHhY@D%iHhcnondGqEZ&L0(P{FR7y^Nl|)$UjlI|JvoB$-aDp z>lMH7MDbcW^E^)5tH83;!%!56HM-ni@E+_GDwFqgJVIe4d!I=6_NSty78Tc-`z4k~zS4bDb=oJ>a(Hn(T4zma}T^*w?2&b}OUb}W^C#I$*(mYw-&A0}8a08W3N zaPj_%PKe>yHqm0D#AI4s9>gsyFIyoZRS!I*wNiRt3=h%gpiq(6Yab|`kV z2SmjHx+rANpY`}^fAwGW*H#q0@KoL92Db4G8) zLw+k`Ki4hEW)X{cq6^wPM#f70vYJNPk8_emoT9qYon)?O)bI64uCoWYmf7vUI;wj7 z{l4{SOixC{<*mWn$Sdo7j@|YRRBZV{v=Nk>!+C|{_Kz$&GZ4!7CAUztndA1og9n83 z!!G64p0Tcge$!nNyGH@vxv!M)p8OA|KUY1==6^_Q5tD{m6cF_uUJ(-#&E+Fyk1pq7 z+5Ewq(ZyL^3i}z$lqapmT(mT>Bl)hclU-UNpM}*)M)Y?i%%N2eGD%r`f*uvdQO@f7Dac1Ko%N&YWqEMnYiBWHf5!AI*sh#pXDquq5_>ybM83*=eB>^Mz ziBB?ep!!ZM9IDL8gVGpZKtVn8OU@&64&N1c(D8kctGW;Yvtb}7{pbD+sKz15mH`TS z)#|K-4?cjSCYAV02(3*|ha_d#w*Vxr$Z=XTZ-bgvxfKmTNEXWIcUe>xe;1iN<{F9^FBqX2X|MUM6cW~Pr!8bHY+tL*r?rO6{j+@_lrO_J%U_wo9JuX3bM?K-NxbruF*MIuaOrGl zn5IUJIh{>fRA%vqV8iM4sJ>%<*Fl@leOrCy&HY{UPR6CZMhgRXJW>8n)dCqieH2$i z(*0BLlXa}J@|uIC(9uXz7dO$I?N`PU7fl%VkJ^dSY`^ex zb7Cosx1~Hi_SqZvZ82M*i>kHsOWc+X02M4MrR(NFW^=fqkM9;LLRA0`wu-x6Wp(Z7 zJeQpxlNyVXW37IFe2=3(rAQ>q|2Ih$ooRJ%;ePIaKkBVs`|Vk7dGSpe$H4$c0~cK3Z= zue&Xbzh8Rc4baE!bNJbLU;Qw6Y*a!Vw30pblyWpbJA#Av;_aNF zrWT+mQie26Nz!<}!aeDVOe!*p`p=|t7!2vrJ^W0an!!@&v)``ZM=JEQ# z=M0A5U~zRZu7=Gr?`6K4>+6mQCFicZODF-4XPFz&N9APZ95_AyTY7r>%C?3G;LB?* z&NA)3JpL0t@JV{~=UAOMM;e8h`Vfp_OfewrnM(BIBWDHCN+!*WwkckiJZp;^mvMOwvaL0vF%=i6+f=T&dni=P8T|Ni$YI4u*#3OqE_;2B*F%dT5q2 zal*<7Dd#S;qJZ{YH&7`v{nYYN8Sv=1{~H`3{t!Dr@!o3IB;!{VtzUdoaM7^;@QcIeG7R8^^a zwZcOSZzFTDrkWe**8*0xb$6*2q8|&arEmXq^pN3@H>A{thPecn4zcj?aL3(W%2)_p=?Mmhsdl@iKo2;jWt)vGd;k?5zrOp^~ zs84U2V1JmKq1JUaqixg9=t&3X&h~Lw1nDDsP)7LGi%AlDRr!uH*(TxBsVEx}yW%jj zFJZh42P0XwUypU9)DX9MfFZk3BTQF|rx4^QFl`66yG)7@r3~f43f;l=?}GB(9gdp1 zu=2@%L$sH!{Wi0dMJWq}LaP9M832)zqoKzE@wAkIh~p4L+1|PZ{q>QUC@1VV(FQ zGd-wff-HwuKaIN;uutsPr^A_J$F3^2%$**ZFys6yy`@-bf}t~uCj8SNMe;DHZHGI+ zb=2hjeNBNM+(j-W!F}u^0i~%*1#Kx3*OJGXDaTbj8afnK&2bc{(E7a*J!!CnrIsWOvX&y<@gW}hR+&>L;Sy5POb#N%deyveb`uZAHGlDs6)$QCiWD`(UJU@CX z5{AMDJ{vdPIgHTzw)AI!T6yU-O}{t*nql9gXOV828HF7cThjJ!1K%yrU)Wf&t5gd0 z-*(V?#V3gy_))g3Byt#{I9}LvA)f!5`|#D_H`B;nsx0BU{71nhm z1{2GFxvBU8zRG6kJ`vie{5ol{^BJJnfJx}V7~HvcRqYBFcwY9Yqg)bx&(rLKv>6lqU6VQVr4>_0b)1 z>#{h^U>l`u&ZK>Jgz&M)HWB;mSLi2kRN)m19N$no*!HQ8Nq;8vuyDg>{A3`GUA~qE z`i(rOCyi&4@|)ueFLMLBVxD-PItbk`XL(jtVU@*9_*t`zR;f%S6{YLJa$v`P5di8& z+ri8IMh3dD5Yy<9pW<&6L8VYuPKmVm6N~(CT!rpp;Qo7;Y%HN!q=fFGO|+Cg-A&=F z(}rB_{!0II-sif!eI;6|wL(+NPnlsifx8!0qhPUi>dCU-H!L5BU+-yrJvL|bMR;}p z_`hXTb3uM)kDMI7GgDwGNp>`um_E*Uv)UrW=23Fw(EQ@O^xc&JB;g8b%$M{HvHH-xSTC53&$h7g~y>Q8#aX#h-Tb+^1EH)QFgxh4L!DXKWF_~e4(JgNO$Xzws8V{swI zz{C3q@*>%PleZ0S=DN5S^aIe`P~+D>)-bT>i9N;@ymC~;f8GhI%YF9yBK~JI$-%ZY zI`HohYU?|T&DlkV=y^yA@a)r^w-7vMQirjsv}42 zeo-pli@4T@Zp34M zU2p4uaALUR6qh)GylFYq3VW|za0YsM&s-*4*QWyesB`hfu%Sa&mzI>)4Kqip7Z-CDLB~gH(XC2$u&mlhQXv=rFV( z3jJjv9(zuFtmDZ|Mxgy-GxN#RP3J;Uvrt>AIu!g8@H*C`hois z=(q_<9-77na@=@hnDbEvBOsGRfcDKUYE-najh8Igo>J)(J3r%Dk(6+#Wg5k z6vTMhaE#~9620oPhOEKc4w~wM!N;0m_Zmj~^3BQ)mKm$kIw%w4XVqWEDIA1a$RW$h zQy+(u=?J6;^=S|16pnWI`B3x2$+E`zW7JXyZh%~=8A-@|OuE~u0@(_%ax+G^ z@z(S$xxFm5j$a}xS>X9$%`z!J)u=s#%{|t}$FmdVknbhDrBBqLVshigV-wmc_7?fb zEMSjz-;8H6i~#*O6Cf&bib*zxGYT{o2gbTj^vfPrzi4JX`a2AB{l~p3*X2%&O4y2Z++->l zzbQ87JD?2g;DbMj?OAG^XpwLGa2a7{2dP)(MMiA?x}#_7`0N$$NYmJB7zZ8Af8M$Z zP88~!yvJ1yB44J)&Epgv&2DB8k$v76-;e!*IXBG`{C1Z%X&XlAq2NVU5)GPnIWq8K zaB8FaYs-+ldaq#yrL}vsh( z^v|NukG(AQ38p^ByTMBAp1T4*thVMV%px==y(I4kV&8Nco!s)xdapWBXwWFWi>bJ=4PTLC}E%!{JeXZ^m2y6L#w8h>YJ5xDyH-?2>FYTtz^tI>4JnA>!X)j%Mv7tx#3wzN1(v!^ZIbb5ZZDo!Es;c?27 zWLG>Jj!mMFrx;TI_dIaX|MF=H4ZTVz0Jc3WDD_+q*TT#kP+Vmi^V7FNv%lm1{DDYzs_9hhgLBoX8es z-FPKN+{9xB1TJ^qT3>lZ32_gI7SMfd{V%uAFRkm3kQ=mMiu>;6YpAI;3ZhS7I>D4SD8+uoNqS(#cq@gk zKowOYq?tqh@0Z_VC{sJ6-CXlHAfr||3X!QMT{xg}arC=wnU6R?zNYW&eGA8=cZ1i9 zygcdaMFBzy1SV|KS z=TCJOA|0d1P?Gw%m8I~{l^hQ7A_-4<9FGXDvlB{c_{+FA18R7^I_Alsp@**%TfD>A z>-vbShrT!lz1{W=y-5iNb0P{dR>=B;O&1;p-4fpY1;IY!F|Ps-5&VjZ2$S10=PFEb z{nf&XjG=*cBRhH^kY*D^Bx0j~gLC%q3j5esriQGD4@?W4o*UlLtOV`AHpF@H}*xMieGO1#c3^OPU zXc?kBc=0lB-{%qeCVX?p?XmiiErau)n%@LbPUMdNMyzxZLB!94=G-Y@^TKq4;2D$! zOV6(^qzr2Z+cVP&^?jjNU`{kh<;F1*wYtR^7-8N8tAGeNwDAT~W{3Rt&vs=RxU>5y z&TIY~r1?V@n4N^FqerwbHM5;1F2D|Yx7D8OEn6|*{@aNP%^r$?JI4cZx`Mb-u|?~? z4jvQlZdlvZ4kOFb)(!_nW)J<$CeHfV9#u}pz=@TnSzMv+2;WW3?F^SW#C zv|7<8@26-wXRI>%x}@O`WN#9+0-3uXE>5(btsEJJuqFX}6DTHZ4=8RGj6E|zx+9u{ z(>E!nyC`?pB+&0mJ&(F(H^A7po#Xz@^hLPg?lHWK*Z1ZbJ%m(&;_TV8eVX?foQqGj zqY5UYS05y8i<7XN-zleMX^L~lCp@U>`>tXqun#ZnyV=a0Zd#w5=w^IelIRdRt|jX* zE7GV^oPgKUY%3c#9w6vkz!aYnK0O!VI0gqD3R4&qJ#hnc4^Re7<~MyGD)rpn;Yj~k zdCV8OYJ#ncFe+7Id~5MF*{P@d^01i*#-hgYT-w(C9CK<}$m& z(og;5fEY55)Q<+hbR1Wv(H?1T6!Laq6!oJr#0P~y67lx}Lx;+mwgO|Qi5&L7?|_597ZKYAzoU-Y71$?)07CKo<1>ZREcJAoMlHjSA@^@AXh9=+%#mwxGb40cnCpcM#2pIx#FLlbT4rQ^fg^`DbI zn3`U%u53zVLAoJo`BoK(%_A_+F1paNR3Ei%Nj3}D?YmxHE>ql?RQACQzfQKig;Qix znL%zg=hvRwHoCkiN=kBFTwctc#U8-uDd!g*k&qW@8r5Ni1C14Gn5V&zLJ2Pq;Tw zJEx41binX_Fax)pw5TlIaYj_sS`SG{df}k`8Xao~nZv3oJl2H?(f9VjN{laU)O;1# zgn9Eq@9pEwUIPKE$Mw}WPAUE0T^?$f1|8jJaJ*BpJ0Fd0O;IoBj?@m$@XrZmrQRr)%W#E z^)1U8rMFlp5v04oTnJo8H683Cw|QBExPJix#M)$gs{Q*xx2|%NC;FS9u$asykMC@} zKN3yQT!8y+LQ2np+VetHL-;=7U(&len|BW8<8Q3~y&gF^K&f~)B+~gE#0&d?bwnzQ z4P97(xL)7sJ7d^_X+ntck|SF+-rRE`taPWESXcS!9~V+yCE-`IneRBVY(m>A;^Fo! zrr#=5AYgta-`i(13YYAO+{r+vMOxF}gd@pe)#Ifxb%lMMgRHP((!ZaI^bCT?c@p2~ zU+H>f`LO*sNvnH=8kK$><+xBpRQaekd0oJ3olVJ?~65tSTKEig(HVoBQ+dj#eoS>#9bP&Jl6H#MRB(JS4 zOpiKa4LU@oEC!}>`*6vy|AyZkzu)>n;bQTv_;1UvZ}9p=`cyQ!=SBhBhr&T+4Xn4L zSC+u5QMPMRNBXa3RfJ%-m%OE*)_r)+;rnr_nA!q~H)>oyEXogJ*YHfYl^UNLNji7= zK5e6of2#FWX}(iVEiPA5nfUcFm+e|Mld{s7<3Gc0QLZKo=l-@P@E1u2o5A2WFaMHi zounGpMv{)f$pR;&32C)iH4zCsGMTbW^WLnj&~84XGjMr=4?ja@FnEL2LQhh5+);#( z=rSvC?*&M2h`g3{C3{o!GJD8iBets~(Uk}mE6?LZbCCSvHvu3Ai?xi$*Nntkef0HL zU)#}OW`uO_mDw=vq-r(>o1qLr!!r?ewpxF=)lXv;kRL^ce;j{#vDuSW%MZQ-QuslI z=e=bM?|ZntCEq6#9`B&PDDDihfUDP%f^GaJcHt-_ncg zyEa~-!+}ajGIfe&*^B0~RCQT}t-9~%F3U0|^59M6Zu5V`rnU#QnUwP;V+0M&iZrhX z9sAN+NSBtK(-c|GY3dBy*Sn_*Ups$^-`wrGo2~U#@19cM6Wa4LujXXT*phW)rCQHr3cag+;-2wEnpmhWzzTv>gkGTPamL z)lUyVi<@y;7}70LdTwF;^ESacw1rSBs?EY7<*gMi#FcJLp`%91dD#80Ims!;T>g9c z?x%o{s;z5);q!*BRS{8U^F%72tvJ`X3kG9^g;3Y~&sbIjNH`;0T>nW+ay;Fc`Umi$a>Az%Yb{0}9T_HT$O(NoyQ%ekGEMDdS}Q_f+nc)Y0-$pRd~k#5LJS!H zLP|MwS5o54OozfLo^QszVg$c;YhVl_Jo01sPyW0$>FP+$I#+|kb;0OnbeDl2v$5Fn zK1abqE#Y^;r+HQ|jOPkQ{0k-ze&=&PK{>wQtXqJvW0D`<>zj&gr494J{*trOe`hrG zInHe^;Qh}!1P^9s+lac%2CB%>y)NB^6PkqcIV=C!#UIr+MX~ar{S%zw)cPf?PNCb|u*J>5M z)g8-!_^8O*6Wjj%H^5QLXHM}##9fV}fXiTHz8`N;e_mz&m4p4$Ll`^#&iBp6w`ZA- zEvp-+0**u^>ubV)QseV@xJpVEvpiF9?) z`bsT+@Ut(bFy*~5*1&LIjl-JT;2qa40QZyIMYl62iS zs-UTpEj3d=4+G81&k&_)Fvknx@X_@!4!rIg;gIseV}xL%=DV`JlO6aO?}QVDKpv6i z1CU%Y`f{ygbpHx&R`KTqna_5SH4y%h)x?IK$v@X*SA<|FvX)eh|VQn7%{7-ZKB zd6I#FO(NFk{hVV^OvW34_{s13Fm{1eT z*4+%j^h%T=0DY97mV9BEaRAQ7tKp+w*xnnz9~>TRh+gF(I8*?+enZ6-*LJCPN1?(3 z1au{W@}z@4bdMuGZ-}f6;^b0Deq4Z}1us^H63T6jo&yd3NMA4v>}d~xV*bV}$tqQm zfNH)9*A?a>DsOiwhR@*3MYAZH&|Ilq{2T(p9vq>9vxyw*&{0=#q%dTv(Ak0)sX{F4 zfI-hyd=*BQw6G{e<{u!pKmy>7Qg7F>c$ix=s^7G?5GPIm6pax$38%3Hrq9g{Ebquz znS}PYKyCo3-@=0g<6)%hCJeRks>NuhqZkmZz!fS3aGr<@HgEe(n3F*^(nX&AH|d1! zeV8i*u>S(~r)Ie#l%N)XCmFn%>(}d%PkCesm*rq!vK_cS9rcX_-# z)zqynLPTQMZ9niH-Q%<0l(-)&o+HMsT&YFCO;vJS{s8QmMesX{#}HAAU_1+{z;yoO zq)3fP*ALhA+6t>+FC9f(+JLdgL5pvLxWfS@Rou*(;~&3o-E@%qPyXZWE*Miqfs?;+ z*^>pP@3P?KAH82{v{P6P=e&(QGeIPWa>=Wp4N7(Ua)<~`vk9tDTC>lSQ*>JEv$`N- zHo~KGD5kyS$-_}lfZh&d=za17v%H{O_6WB}?*>$EA@QOH&YaqKEJ~hnL~J~>#WU&u zP;`}1QMFz46-1;3BqSvTX(>fuK)R%q4wcTKn;Gc_0qGbTm2SzA4(aZpWay5WVdCTa zb=SH-?z-!V{XF}ez0WzVC<3P*^=gXJ32TUwEk@GS?Qq~;-JMgtUNMe63N&@z@3XVp z=mis_R6-{TIAtRD?N4>1=c{2 z>R}6URS)y;hC$F|jE@0&Micf`x}@PVI}G<>$X6~VconRNoG#xa&j6#^6M1078*y2M zQLi>K5+CH$db6{hEYwxk@u1weCbD*B6}yVE`XCJ3zuE7q`GW3!z_eYS!gWQ$pmFW9 z>oi&rU3mI?+@jvSW{v2;m(!X>5F;G+h?FFU3njyO?*WH?`+Udh_f^lU>^1!{$S&k_ zLGHYS{+)PM5a_oB-_J{Hz=e^Ju^L7Jg_AjF1?aES)H4fV=2g)-+IHAKJFYqs-$*@& zWjkN<^jTs}X(26XmDXh{SZNx+^&-TJE;EM^Ei0wPebqe`@b3?N!Mh9BQ(bt=i|~wT zoWbLfp7PxmCGZJ_LVgfAwpDZo7lvq9S+a+)x-@o9Ojy0S=lc+LO!fDmH(1LDV6lj` z2!P?2q!3yER2F~XbW6TB`o;vPnf13^NKCaemJ>sricVZp&AB%Fw;H~U;eynJ8zoKG z@Ik+4SdSRI^T~U5svnwIB~JIIl(qRjkM}3;jQXaESN4Tpz#o0_Iw(CM5ImPyh`Qe7R)`) za@tE^B*_2~L;bu@9aLVBw+J3G5nRiE^xlu`V{-ppPPwa=Ybyz7+qL(4jO8}d3w4um zf711+IN`_Wb37}Nbl2HD6n8=zO8lrSy;(tVlKc zCwf{O0UqB)Y%P?AY)_pwHIDuMQ zZVP2}s^*w&`l4(59PE3IGfVypa!X;h3w^cjUu!Oq)52&~okGBi{MxTJxo+9~Yxl8Q z4MfBH(H*5@puJLB-~kWYKiq(-##-7L&MX-nA>G8Uck+$P1NAosOMLOvWNU#46IOz= zu75b|6qMC?DarPO_(i?^ztoaoia5vWTB*nI)&b(#rxBVMutp|N`emeu@rD;Mx4*oiwTvfggNb8O3m7t!1^M8&%#i|TpM@tS>eTe-^Y`)iOD{*&L^Z}wNhr*E&6OWln z5U6ZoXiS`vqgb)Nwbj)*nXkU`Tgxwbj8KxNzLYB@iNt&cEgMF zsMQinZrE{((1ZX~!L_^AEe#>sQdzkAHSP0+i6gG-OO$mcGDDHE)>ZcWPA+4yJQ2=` zjx+E#jK=L2oaTRB8_K9=-XClERosgg1EUI|RDHy3Y1j%C6hoI8SmUOtl5E$PP z&=b$D^EIef5Rtqf7=w0j&8E9Sib&HL%2{o`^rF~d%%JGaH0=F299-pvcI>(3O?Z)P z`dOb9N_Pc)fW#lHo0J_~qRI5@_^|^MshcQ{<@7-$dcgYG|JZAt2fwS}M_YOFkLG>P zBDCx`x+YtQ1IdD3?oE6Y#NKk!?sf(K0qbtB_$gfh7v{LDrw2yB$ehW+QYiE=O!#ut zIvnZ!JwgIow^I&=FGU(5!Rc^MLil@9BAnV;r%?B;!LaXBDgRBtn^f*3R=xHKEnZpY z+q>zOv<=b6h{-`$)w6$dREajmMmNU#o(hSlc^eda0cT17>L#&*D{b`c^}G2h-y!c6 zY9MT~3zXQfGyu!zkscg9@lg#sI}^02 zw;8reE4!$&sEiCTZrFg9gt2xwHD=?q2U{_1eO)z~tWgaGj|ad1gP+EL^CA9CEWM#{ zx@ADHV5o0D_CJ4?@0c|1;g_^2|9&kS&QlDSphi$+nfyCd~hZckIrdR5EKbfB>t%L4bU(f%>+ zfpM8H&s2^sc}n+0X`5OY=t}r$pZ8Ua8AD5`K3}TsKPra--ZD&HRB)B zkusa<_?OAMqH32N12bWDjM0C-N9)~YmH+EL5w%YYUiDps3YF$eEOH9ByOqnSlE~=u z82(rO^_TF6?)5l)uj6iR+A9h(AWD>{a%YXnRle0<87nl!N}_dnzQ-Utj>j+H> zE!>$BPZ;+^kG#DL`j$($344MkcZ6hS)Ol^VX{a1>2aZTI@qLI6qcj=uoHE~nz>EBq zz~8EezF}hvz*T1)63-cxKTh6S!f5s|{4ns*?g;B?}d6sGkbt4%A~mnmAWg!LExZn;q`I*fk& zacPxG9hmtfVUZS@RMw-1_wk%yXYiC0@8qoi9d?3YY(VGixBdll z@)?Bn@-XNb&h=ayi+z1xl4e{4Z^b`gvb?*Gl0}{gt88eNhfU%34I+LFOgmiC zML1Iktk+)amOyLgO%8{;%;E$d-HXAx&cJdqi{W=o^8&rN)mP{Q13$50aNLV4R{Y>E zh!n(a=rg0_7kY+=4p%K%^g156?zEGy2OFp3Tdg}jXIi_8vnmYEtXa7lWr$8EOwZGl zBy3Xo*Da&OD1bY_Spa9X_Nijq6<_=s)4zZ1yO9lx!>La-{=mmlXiVWA^^C#+n?1D-z@sFPA(4fi67J>2qVH07c zJ#=Cm;E^cb%j;U!zX#K&b;L;Q%OKCYF*Z!p2v`-zz67~ic#7$NxdkRnfM=S4Kb%+V zHau;*6Wu!cFe{sMCG=M)iWrABd6s`n@%$M}a!`jsx~wt$yhSd3}aU&|9BL!>Dl2&LSHfUHz&>I|KKMahoi1k~S*@X&x zEB7-eD~d>>zN<~#a5q1IQ%o41-6F=3+fYk9)d_4

bp3!#6m5f(z!Ea!RBsV$9C! zqJeEVFmcw!zF4Tx@KEoY4=yu-SY2a4UwLL$DLhL?u5SN|b zyF)^skz);W!=}x1bfv27Dloz3KEby=^`xx-xzEtCWao~l#mzP<`A~8>3S-_Cr*TwM z{<_y~v-kRMD8pOE+BBiaJid9AoR1rKg!>uWcZoZeTI5%hTZ9>;f%owfD3Nh z6P&#XY6?ySUCf;U6YfVWk#!(ki<32*WC4Cy|A1?PBDEdE1-Lsizep7Nb-&kp?$uh? zp17swQ$U{~z$39mFklKNhpIaf+4m(xF;)A}K~S(zA6)+%Z-tL%h|_-gWULSmw4hZ7 zN4E4eEnhMF5~QzP0#pS~ z2MPWAj0n7dqwiM{gtpwFGydMz?M3y;-n}c+?+!zmRo{rj;AHrbN%E{oN#$Z)bXFc{ z-MK61E9A)5w*L98WvZwwIX=!(y$gR1tIG}}-la}Bo>Xb&{vBikhdT8n8}D%|U1(R| zyh2VG1SZx@X#d1rqU|+?!JrT{qSK;)pFhwsSPa6Pj#U_*l9C@mQcR=kpHi{K^)=!L z^#ZbQ)%_+uVHdZw+Y;|(m-c3#4HJ4qE^g`%w;J{wjg2J$jhs0+O}o?zK9j73neyy_ ze%#ks=Xfw8hO1@X(yNJr?}yRv<#)TpKH-)s*>+J}-pwPfa+OP6KdX1+=e|xYmLbsc z^Q#iA3~s;npQ=dnBhM|pH_SldN2jm!Wmh&|e)>~en>x*Q9@1}2M^fYW`NgOZ(i4fT zJ{RJ?kRSY1?7mxm4wy#N+apOH)$Ls531ZIwUKm9Sc@as!CTVQJr$q}aW7|v=Um?7M zUe6#T+?b+oU#dyyK5VHPz_==Afn^dK74utzQbPbW%>pmczfFutlZQx0v0Wyadbi#e z=Ln2aCK(1p7dJ~`C+mKcHu;%wa|dFsfcy)A>=sjjO!7oDMXmID$-K< zMfxff_z){JlHz1d_WGXr!~iH*i>CoFw^hjg#08%#XYemp{(p$eJ@vOD`IbEniq zo!b8gThBxR_Bkjc0*`54ojdZJv_vus#Pu5$1)t6>v0Mw)pK47D4MG+_sh+`-5p#Mm zGm8%qsZhw6*?$niYuykBaYNc&- zOAEBrCqkt%U&!IMV(zyB#qe=e)5MD_y3}A-O4*~CoJOHvK9$e6{FZ#!=%?+oVw-+Raw|%VNC2nF-_Fy{f^Xsk7Vx@nq=xeqK zm&M!9W3=BzOD8OUYz4`zCRZjTg-E`=_Zb6TI5vsUBA(jJ6ssF>MDa2tp1VE3aZsV4 zI(JDwNr}(Fq?DlQ`ZdwXQ<25(5Y8MumKzK?YL!3eIkob#$r1Avu6dwA$om}kVC)*o zuw5re+QN;xeAfQ~d;;Odc-%dYdsIsX2}`DvKAvJql6*=q8&y1~ib2R~u)K283iGj@ zO)X)Ch@vEyWwwz}7ik{U$E6)2`j)9o{ncLq3ZhTt=<2M1t6On*mA+}x`o=(|I~pPbvO7Wdk@z@~J};TUxkmuw&S$#NchXw)kb=%e6J(i7n5r-*G7 zXC;N;0~*96=D*-8lB1#^qPNdY!*7;x%7<>NMvB8%`ddF!U*8G~p1@}mW0Vq;c?8_mIHKAn__W`1E*HIJEE#*MrU~x zSG+ZBXgr=44OfuTaQP#G4xum#rI5de$=ib)i2Q!_5_`L3KYflb4lZ**N=W*vzd@|_ ztR%zW2fWVLy$u0F6jGTQJ*sLB6V96fLI>w>zkWqTg!4;=USve7)%vau!XM>`?}!@H z3D^=wMad`o5}U_~{MmHP(H+8zK(as;R3v(86yHflTb2=ktmeaDg%Qjm1ACdYtRdw2 zM`WU*QFDyrtVP)O#S|tTMVxy+E4=vYM!YRIxmIl*2UFNFO zR8o@-=R`;@er^9IlL%+}1I;VmR7)&xQL|48V!Z+rse!&q6kGX?Kay&k3;Hv2KsI5l z3--`|_e+r&C>i|KZ#-1?RLp58N*vO(`N#8lA45qtvxysQWaGDgvA{G$9_B+zzn_c0 z?Bw!}{Z&U7QmSOEUFY7GhJtVy?4V6yYH4k$y82#p878+WJW&S>mwNWyiFGD)V7PyzM^?-)^X!|ZZh zw)X1>^qCh@?3+LT8*-teS6S&F2LD z`82d25lf28jMiTr?12FX1`-(grK4B0OPSCzl&!vc1Ic*@oexsl5td?2GYeZQ`{}=? z{sUTGwSLso^7K{B!76oldenlzY$M+Bg;-^+TSMfwQL)|35W~NsXaK~t2RQz&GS)I| zTXJ3c`{+==yE+u8Qb|)j5w=UOiVXWv#pWYv{ktoMeTn|dp#rEwjWdXX^$-}n7K1gL z8OJv<05-SdUr#i$Sw#yxwqD*|cw&XN!0$3*B*;k~c5mXARV0O0?RAGo`+#02p6c5# zRR0^+epf^&cOJdCBAxYEflW_GL_4zhmQ)|ZKF((`QOk_=jm3N}11yHS+c z9z*4rBfxHEhZFzbO&2fVW0=W1fIIWzMVkU~;~keDCHR{&`h}~hg>UBtTu6RG^ik(~Pu8e{ zOdnB&IA4;+9HQ$TlB_VBOv|rUX$w;nmQQbo6x~PQ*!86EmGg${qS!X#(B&dKzk_FhQN(Rb!xWLu}$fyHoq%c3*G}0h%Hj_JtS2TSqY9sUcLPR zCB0uq%WGj+F!#Fxo9i-WyDT>Dm}K2tP%MgfpkWyEEm5{{lAbaN}0kKZpR@ zl(#QboFDGM=&wf|=V4EZp%_ZB%(=?-CwJ5b(B;T@|F?GOHBEDjUvh=qROI;-26*4N z#^u8jvo8f|EO%EJL+DOXM&Ox$AFcodVu+6Hxg5dr zf_&%;m(O3?I^t2t}wbrQ^fpgbt0{^gPv0)e;6I#rH7m4p<5y!U(wWetExedy=942pY3&`6P8< z!3)3=^a4M4ga((_bZ(P@_NU{t#Mdk1dk`?oPk_91`BX1h($36!2)p<(BWGnfscwjO z^<#f|QiAX7$kc9cdZhA?=<5sd;iW6u*VD!1(QT8&e+wos8>JzOMt$cj+r3&POH!|W zjOzuq=+%xT_`AwxV_(bew-6vU*A33nris37>VGQm9SJM@_rn3uGn$ApJgdV-PPp%Z z@$7R+??EA5iuO8=h_!wGO7AKcR)kfUv>*Gb@WdDkvr3Okl!t^l^Y5^OO6IFqI({U$ zY%06Sl2Vlz$Uec)3DsUeLy*5VumrL{(n&~=DA%!)pxxd4q`_?EAA5~?X^gYRWL{orV0RoY9`a$rs$*DW{OY=rU1vK>G zp|SwK;iSU4iuut~D8J#Eq{8vS$NOj7h~A{Li|UPkhm>{emY-rk+@o8Q)-T>WvA$C< zMD{%Tv`xFaMf_0f(MU_P+J)}b@SHI#mk%mzd;GxVyx-fnef{IPcZ0K|XXF^()dLHz znVjnG!7V4*KDwq|fSxJ1fwyz-@lX)8y>X{Q3&jCE(wsJY)c`25#&~^FS2kAd?#Xyy zDEZdJJDx94V?c9bDRyvS6CVmR$(6088_1unETqu+<-Gzp@> zSUM@JH|A3h%_vh59Wa9~|zO73FgxZCU`p$RR2v!PQ}k8_AG zl>^JGDkuptYD~tsAaj1y17U8np=o=W?to#w0?><{{C5xLRjtY<59>GAqYtzWfrS=_ z+8jbvsULqFXgOKDD3rbuGVPYdQxgQv1bAMx78&=tS9ag=iuA;dl?QR}mz6OuO1$VI=Ror_Tq*|K=>{$414!= zK;s^#B6o&=z?avHVz(GlGUNwOv*&dQ5elnYomDcX&2x+?X1Gz}{BKf=&-NItl_RlGfk3#`YhQMsFow9>5s z(>fY`$W*>n=rLdBluQ^`#N6KFNwKKT1RQsi%~zUDn$qYrYcj?{MC5mzSvL-!UjnGuV@bGqkt={XayYZ@3NPNMz~MU~nTo zZluDuzD|OA0#n>(mdKflr2uJS?{AY#rDkC%Ze(czR3&Gpya0awZO8IQFrb=G^OkQa z_0+au3M~QdB1{=29SM4O5Vimk6) z?a*(I_KfNSaZsP_tc^tbd-=X=&E_6pX#63Y!V$?&KQ7{}isu`PPY5x$dLpvNNx6Z#bX$kOT?2*=&q%66zFJf27WbB z+!thMIh?QWPj|rrn>X|g5nLV{`kH=F`C5zi$L+iky0ZOFZcp~ zTMSg+w_RX4XcfFPY3a`oo-FcAo1Wf6OPFTTuJq7$aD(l3bywt;%XO1rwd&-DQ1JtR z@RE+UfS-h6S-(4h$q|fDpl#{hsX5JSZW@T`zf1fM<#V%?IG@nQj+Eg;a-8OYuS%!1 zj>+hC`8jTi9yu&CHn4n83n(6Tl+U2J0zUc}@gRt`7)1Aua zba2|UfMaWi| z@pagWS$}CMC-tdN^ilK1k3Ukg6?xf|_T>Xo^FJiM>mH8oto_)elPU;r=R5~}HIK`r zL6iVbE@{@VXDj=S2O##FD1M&HjeR_IZySjj5z{XOv{y;;dk&Z^uqz$2>G891zT&P@ z<{H1tq2xN;$NDrDxMKc9VO%Woc%?SZnCSE}dYXQaY!V!B4aZ$R^YOu~N{4ypQd>NT zNGIhyLAS!N@wwRjVTLoUpUo*2af(5E4}O~v1kD?EdQ1GQCd~H>)2WpLnaaSvH#f}{ zy9gf$$!Vp1$n%lZeC_IQgtSn-5}!O5O~lD93+cex7;JPKXb%89nKorAwr}g@mV4zc z9cQ6oB7*~aqD~jsYo{Ngtqxa)WFJ#2tD|20?*|d;qqYQQrGv;0al}QXay)~ z0-X$_S~WeIk|IaVVA;;!Gwk+`>pK}8>Iq?+f5385V$&@_STXjDiG_U&SswZT?Lw^E zqJ7Km%f#&MpXSy>fWKwZb~o`+meW^3rCAe8o@RvVRZiq_B=9>c>u2gSU+ZON`lk4! zHJ(a8T^D`9*~N8ZLw4QADE&D@X8Fh--ipJ2_$6xBQ2f_1WkPvWAi`B-Aj-X+Sv*q2 zDHc;J`eG$Ed7C!8>y|CsHi5RqQ%#db#*UbfdhTkCfDcK-ZfE%Cs*}PB2F&?9#*QTk zIz6WCcDJ8%pBP8fQctA*ZZhkJFCyc(E7&BlcDn6!&mt+qnxiFv-C=)ZP+FwQ4Vj}2 z1z3QKL_Mls%6T?h()S@|=*9FK!qy^ls^UK^Els)-S9m;Q=lR#Jx|4J*K#(l=wEly*gYuz zQ&AlDuO*}Z=#y;ivjcy!R;6VWYn*hgYtDobhAi2(!uRFyND)}41i0j3H94p{4JV91 zG+GVaB~RVrwHUlE_E^z_sE5{+siw%!G3fg;a?a^@K7 z*1VpPA08P@;${VL)xfo}b5#Z&4aN(?h$z0UG#b#5N)_B8mPU>iRXi16O`l*PgGh;$&zXCESHxUx8) zAtIV32v9W3o#xJ2vhf{?yiHEYh~0n1e1J(1p8s^+;4@`IxzvA8PnW?nQ($w!48fTJ z@`Sm5uEHoTNOLo!}Gy$=NzCQ01 z4!LQ2B6V>*-7F}~tP--@Qm~yqIdPyhNTUm=l-so!k_O9TNPbv$+!K=@FK6797tfvd z?1IJ;VSAHxVC3ZS&|TdPg*xJtY0^2P+pkdY>`kme&f44GopGa{jmZ2e8H>T(X%>|{ zeMZ`>&x`X=kw^63xzyqtq83B*{kj3?L^dy4z4N` z^|x&=8h1;y>$~&C=sdStebCka)Ol|p(Ds&7DnGhoK`>`ub!ob#wlxt=NU8ZRPl_FW z=HU9c;!Eb9<@*k*6@wsmvPnl_W;-WSid%5L)FZK|cZ1s0%q>xg#mm+b%%>rv?N5hB z!Q`;kf_z-$7;LNM{_XkU}iOBwT$ zCaQNI>-Fxh5OLMqO{=SZ{RCqQe`5gsZx2oAzX#3KK1z(66N*I9x|}q5DJFd-4vO^z zO{vdqC)&ja$@W$gBediOttUv+-sA%?x&ze$O6`-KFd5Y%vnQCWqH8H6c6KT2yRH&M|jU}!ya#?CHS+? z8$c19(-F{k7*pGSQcoNHI+}YSUwXYE3)u1Hp|^bd;I1+Mu(#bI!&Wm}kWY)<+$Tyf zNZlhTx@Et|6CK5#=*K5FJ9AY3_@Gr5{SZX>Gjzp96v1*DNlC`XeR)&M0pYqaIfW7& zn0l|~ygsidvEDMr%?|QhPG6M96$xbtk`#kL_lhCJ;=3PEIxhqkV@%ELuYKvq1YB)w z-+zsF9Xw+rtlR?8_lm2uxnZ^JeM+m)oaF9&}B8WIxcPN(fy40RQqE*BR!&dYA(bBTNOh0g* zA60*<rx*RhY<;gCPSCP>L9fRM;^kJ63#08o}GzOglDW4*pz9xAQr)jYi*{&erw+ z^?LKbDGWxtcfqkYeUe&65_~ZoiiIf$$4T6dcXeL_bWy9Yrm7?>CGg~!p$A8@b{ zh|qn*ut-*g=|RRB<&hu3Jn}>%=iMzGi8YG}+a)QbQ1wSYg5NTh-RwMnIAxJtb`lCQ z#6+w@8;Fl?L1hIX`B{L|b$9iVKzz1OVwF>?&j}@g@Ut__Q_dZrb?sk@krpcA)BaK0 z>xMsvXYZr)4f57Jvxu%q#8gZzL4c&LK($?tBEp;(qw!dPJZ57zv$#7Mnmu5dL10m{ zi@W>Q1Ds5C8t)Zeo{#;lmv9eO$fKFVJ#Ef4i&3^Zh0BV68XCr=g+hO!ZV7eX3Ew@= z864VaZFwEf6^1@Bxbg2Of0k`ETka~tUremY4d~ ze0Zr4^QiROX0BO`a?B%z7_sMEvC~i|19qs4?z>Bg+~0@=vZ_{Ur}%Xw=^FWB{u>B8cnV5ie5Z<6A_@QQqjlo2`!W zf&WgvR{aZ>=V)5tiBz}czzQ@5%e()=jaMi%{FA>PM%SzT$#mx0q)GWv-18GVj%L2s z6K?-#EL9hRIG&441TWEz;9%3KojK1|*9m1RZgU-rXc)VqF1$H_yReZkxJglo0#KLy7QNKlVbhRip!y5RGu+1z%#MUyR>5~#h7Nt_tZ&=~6-iLrS@y-27yA23Nl{@2p@N=FBdpIjuX58sBj zO2ICopY!+&b6#HI^r$C7$oi?VIupovYRP#?6=9q_erwawbp7yV5zsC=z zo=Vm)du1?{XqGpOX=I0$ytM$om?iTx^=?Dij2s>_~dkk0Lky(c1q`c!P5r{*~@R68+lg z#58I$WJwr0h0(=Za)BiY@5!=FVIFzk(7(oYj;hj;iDwUz8#;MS9lPxN^@?#S>El(q zQPDWvwISg#V45t+M=`KswrH5SeD;b=mjkHu8=%VGwFzh9U}4g$yCix-Xu5*b^Y3`-!hi+;!c1*s>6a=Wl$H@gV6z`BrDS^&)ZMbqn-q zu=q^hqRQbFE+EqIervW>DB{X1XN*5X1x(&$R|@T@hxAZKQb%NQym5;Ar8>w>Qb#92!;D$DYi(1l$rut(a ze)c)it0f{n)&COK^myigt_bpBYJSTxipAyc?=NHMAP1))%@s(@MAnv;ro;Gi8O@aj z4%~DqkQy$~);9Ld)sEy6{< z>`}+}>5;6Ia5k5Ph-Q7q-+uS&_YxWlH}JH@tGp}e?4v8CcxA(zGyTJPAxb+6z%vFd zUo~X;y|Vn)vFGmJ$tA1mC0N}4cevQ9N-ntCBCyGAO{}~n*L2J-mIB)>USC)M|GYKx zR`1kgO7U26-`?Tkb3@(bsg6e3u=~~q(B1MW|0^llv8qVI7#u(Vk8?^4oq_<&?a=NO zvKV#QU~f#-?sU(7k8#-&BdL+RUwg*4{52BX&jI}d8fBZoG6Ic9;C0p}qnD$qTB*rH zmZim{w!DxJWHnSg@%cdN$Y=M#$!v&L3q}bne9)X$?cWLE5K=1rVsJwx&6oQUd86FA zUt5DXq8o7%5L6XM%NAW?+=3%aI-bcO0h&?I^u9kUIuaPQYkL~>Uxe{eNKx5#GB&K| z>}707ltM5uePHBLaAPo}vEOvx@9Oh8GTd*bymWgiN^ubA)@&`)EVZ~9_R_23C%%%U zJW86&NCh$gR+H-a7GZoV|G8_mREM0np?&NfMr3K*Jh*3)Nwvp~IoIg(} z_L2^`|2w|**|4c9K_40!RO^?uK_y(J1O2}3+Mps#aXxLE5_rTq(-hIRqLgXXeh&wq z^UH9T7Ii0UMVB&yis(1{pHWH-#XTgB^PT!ZH9_V1M^vd>Y;WrfMx zJOwy?fF}6MHiNhC_sZ?|%ut8kwiXgky^K(Aoy-MhfnPGfCJ`szCQMlW@t&)-$=cd# z`H4@m_u-IK-A4xEyR|-ugp|zQf5QhS!9^+n!d%mqhP}b8$YfXVmef6tw6+z?c>#eP zh7i$UyarxWMV|KyCwIH%4&5tluYbMss0xMfHK}PAtW$k64R)nSf19HR@%m0`%9tpq zu>!Jr{V(YA^oK;~0bA@`7%3_i7R}g63mxA)c7k_ADBn;=)O!oP&Wbcu+hSA%dup+F zLW&Cia}X7p*|{!K;7hHl+mjBuo7;-30Ho1gsRB}K=E*Rn?iw#cs@A8@ z9!?pHG(8qY=b%&{p7Ir<#yd);G-?gdgy!xml9YsZDbE9vM{npHXE!vyhCKD*P8NE? z@^t@BJrLgT&2jVK5!z9vSljq*2WAh-ao}}Am68r>c5W2u=j>8vs5aSjX1E{-gAA~2 zzzg%}v2IPthylg94VSoYsyQRnTtZak zmo5fSIqtEOhotsx7eyA@Umd^i=@6K0(_M>#=_Z^m6l|84Yzij3LTSXtSf7C5pZxb% zWFo-985s^;g<>`1(sbW;8;wCu7wu1g5{Xdl++#k_IBe@o@pH?8$G>b$^$w|nYEHzL z?XFIIq^4T9L784}%7AXG9>(2&Kv~W!i?_-)yN39Z+9{`TD{I<+#jPI%u8Xurw!85W zGHbzuXUk4vn*L;HbO2|;91{nR)(3dKOg~&JIbre+Zf|s;yEuV=T$UpEa2GqUfA2zZ zyek&Mi&E_m9I#XL>P2>4Q30*r%)yFg!TAj974LBE(sTQ605rok>tD0yqm>8~eEBu9G;!X6wH&dv!=Kqp3tQ12!EU*`LtP_UE8w$l~K?by# zp;&>kVV0hJT?dY`VS``6`IQg`M=IIfUfpYekYQDt_KcvOR;OBSO&TqLSk_O>&moeN z3V`;(2fU7&Q^GmU?gYzwZc8g&WvFh|I8sF^E1De$$bxN>x#0y_A4kkKQ`r&ruHyJTz89*<@!=Wtdv^X zN@uHe?GgpjEb@3`?z~Mymd3$jXLfOsl6gp*lc;c<7aicKpb$IywhBWV33i%pk(Tu9 z<6LLUJ8zyM{fqUPs-V)M=44?Cz9@b^C)TQopoqnux{l^>!#O-Klu z+*eCCW{eF>Xe{*x(gtf8yK5y}GL6k+m!IH*E~6xC)EVpA4(u*pM7KAkS+2e^?JC+^ zHt-twvGDSic2If~xVg&ji@PLi2}}^=clgEgF~*jIskgNuIjgy^gfcrAs--j4=~Z214g4Uizpi#~0Z0JoYD2 z88IG_UfULB@oVql!b)^?edw|E@1o@KX`M`ozl`jpj;g0e|Ese~^tc^(xiz^Wna@s6 zcRx(zDymU%gQ7UxJBw?yjxhec_V4)aAi>~qn^D3MmoZwBwjr(4xcjpEE#7zyeXzs6 zKd@ApR@>3qXL6z_Iv;AnQAQ+w!QpPCk!}CzBVv>c`VnH2qnK|q=wDM?vJmI-QOT~s z#(U~#GC(7)oN#>L!T9-Fequ1u${qrl9V<`~(~Fn(Ll^*fS#!bEiB4=@+Vb=}YGx1{ z9|h9=n*GbM zu{gU0T%?&ElSGx!v!u0zY??|d!#8NId0w0)+)%#E zz2NlAytOEYUk*8={b=4ZZ;2RXfLsuH_1?e&diCIgX)cB^Qr;?!7AqudtQR->_Gx- zF$(kbnobQ)E>l8OaHV!}n=5gpBy7yQ0LOS`1*4%?vy?Zv4u6&_qy2kOxmTR*bZKdS zcW3uO{6arTu`8HZ%#Yq~FC!WsSNP=DeFgq5zgp)ES1D2DK7Z#ytZ#@z5B<6El9A(u z$iD4q`)ln~grxstC~R@A@3oK+`2_LxreE=8X{AHa%HxS9Mx_`J;_*6>ygLp;qW4-D zIRS`W(~>d!qOA#~0G%>wR!(xi(#)I>#j(~V8W>ZA-+uFM8;bnhSMZ_ZP;qAUx0`EN zr(Pi9ZmYxJu*jh&;M6}-C>|FnfG+S}u4b+Lz7%ILx|lGqZt~#rc$=%UB&QRNx5vM^ zP%*dMP&y|wpknh!kd6E!fZmRiYK6;gCj3_YNG-!sBoOx;^`=hwDAu7qh8_K7YSZrO zS}DdZSuZV#>BOpH)AL2bC8I0W z%qzDOs}Y~APH0ho07WffQ#5VBt=^?A_$H3$4osz+oQO>D^;>5VUll!POdcSPFE;m_ z$2aDnGG~-JJN)Gs^j!p9`=tgAmf$`oALB|-KNhOK=CpI99Rq9A{LLht2TR~^>m_r8@B z$$FN@C%f6gFS4*wNB%w zGnOCs)DI1MUrvMx_TA!hTfq^m;=8m;R?ew#&Zwpzo5yq0w<-%&mbt2TIY*3fXVYv_ ziO^M%X6w+dOqEq>%BW0Ae2fYeZTu1I{Z)ier=R6y&%sWSqUzXb?3fafTFq{ygfI*c z{mK?#u<)Tv388nE&z*X-=WzP;qdo4&=vIr*PIMolTBAV5k~!JFltbo*D*>_bTX;RX zFTfKyDOctjPPfs2VFMBlYSbU!Xb03f2js-&%%}Z#^sruC3!A2 z3xl-~Vh$O(Di;U<^rhDkVwPD`jmWxLxvgP^Y@hAvjDvaA;^}XYpX*I~H>hP#HOxEQV7&7`w4T>dRyPQ+`rLP332@-bPMg~~79gWLH z-QL*#Zu7wM_qil$QfZ+nH*E(R3o>7IgM4^E%7s2seoUX1bM?alXU;8O8aHoeEthH- zII)^4D{hps)w%5xe3>jZM)4U;QaDajh4+44`q(9^!4vB_k+Lmu^K@zJq4wc=IY>|d zEZByAzW=oe@vC;8-L4^Ot7Q0C#LL*Yqdq5XLr1g#1}k0FDF}j0e~^p1YX_Gu(Htnj;AE+nzd}YpDX*vDNKtQxJ9RSlg6g{ zE2h6bW4%dk4>+oHB4&TL!=nm+<DgInP+#TvboNM1i%{C=9CcPs}O>0a#O3B-DIk|h7r|QiI_<+YI!nKS^ zQFHxLQ}a7QxEm76xkY}be)pvyKc^v8e-}Fjbk4IZd2V-3IB!N8eTI|DoKse?>DR5q zOBg;0++<-OIR*-ze~I|vs8@%b7e1O!UGztV=8x8$f^YC7LA61Jy643={gy0oj&SzT zH*bFdda)fS?U?)t@-}GoY~h|ln>QJDH5 zwdm_l1%GND%47z(x6_}QR25>m?`5&(T;jLX^T5qPXn znQZ1<7x#54L$MyGeBtNg%5Q&tHz7r6Bq;QXHRx`?VncTNDYBi-BstqV@dhj@QZQuP zU3}5+N$>pqlwa^+^F9fw{(kqap~=W=+!$tN$gn};%49WjXmQM3Zq zAA;Jaq$}D-@4hc`nY5n|^zRbr?pHCLT(WDVEu&U6Ve)Ns4b-;uk}baJv8ny28EWvG zwJZJjoeZ@FW%lUQ4=M4+Pp}W}rF>7Q_W5>2mtRL|Y*`@TN*Qb}#d%EKigi>bO{Wm~ zwd(wgvUFXe3@dMiokud|R%yfd5}#JqHB`+Ed=~*Bxe^^S*qT<#%;S|Rozw#(JUMYh zbeBcUbSdJi)sdZmc{^qU>Mb1Q_ywPlSaF|2Z+l-Bqn%z#i$U9!NJO7o;J!GAn$EW5 z;3i(JC(hH)C-!dtY1%v;sr?$GdoZtn4dYbTk6R$P{t&`e@TZuzJO2P@@zF5!=&vbE zE>=3L$`43}8>~QTvBXP8N*0m?!X@N85x9F|I0#4w5atarh|SI-_n+-OCaGBb6@t~BnhVOd>H6jh+DR525P#Wyd${) za0*Bb-H;sG-EfC-xY{TfgqBJ$Wfh~bwJ(|Qh7*pLSo+*y+P|Lid3IUz{N`SXJolk4 z{4d$<5Eh&$o_+90hF^V7M?$%h$rV=n9QG(x+>(iD^>>oy?D7*db!jH^0zq;iqr_0X z!R0=ktcb8%wTprjlS`OQwr!v6Y~-@hmlB086HrI2C%X^Mm}U+z7C0~wo`%jwgYpAC0X_x;QoPztlcOowHHvW8=751P=X zKwqru_KBGEkRJZ)ijWn!$i+$lsk@?k(Eo>)PDg#`y2%4m05%bg4LN< zkN+x{-gUvAm~TfdZJrlE1dyG0Ngm)ccn%nRrE5Y1$gLX0QHtdx`mN@f zix*4d8Gx2VMUtanZJz`|OvJu0cUT)iYq!uAS?ai{>c@sFYKcGNS(~{Ru(nrL9%0JZ zHA^D^P7+tOse+iWHJ1v(t%C`PK49HA`f{IM_VlX48;2At)u}TyDSCLXYxaKd5qXUk zqpT*y1)53DseE}n@~w?4#cM{v2y-&-7x@cX5XM*P;=F0$@;U(J(WQLv={}Q*HdRi{ z1jkGF$#wwZ(8dz1xyiQ=PnCK4>_^o=s{klV4ra<9>b=7}Na|KXQ}M+9Q~11wxpDxl zFtzf6Cymp0Oa(DLuWpNHS5tn#a1$S$iU-M(Nnja>cbJ?RhPWu8@aGby$JeBpwwMKm zPvOk}8RHtdb{$eEm%g|Y$fRq}t&`8!h>mSoWDYSc$n2kbOD|#K0PZz6n9jWyaf-bTWW^^a#K5=&h7t z$FHpaHT%TuL6eFH4pfv;CIi6K>0M85)s-EBlF8JRI4_rg=75=tG1U zPS(N7!Fmm3lmJpr(7|s*7aL8h7Q#7+j&#fX+r#;Dz(jYRwZgxi>Nu=z^GwHxjsl_1 z&^{%c(aFaYw16sBQz^5wVRD-Et{X+1x0D}AWdDnoQ&`Ykz%0C?8#TIqjtyzK zMu7%;B2Hg4t3n4k?b1PQ0B*F zzrGM?M60~E*})-l!`Ge%vroDnJ(lPQAx{t>Uwsb+;>Y3m6$yf5^W|{rncW~qB$KYx z43*4uLx(C^MzdGMGt}_c#3lbxv-Y_|Fk{_$)ZmJx87#E)Ofo{0LuVu}09DAf1LxO! zqrcQaAiQ3w?2<~ZR8R381df%|TwWl6Me{r@kBy-g2NIa%V*n^~D4`7Gs+KSwHwLXL zy=$!$)$e+eKgy!-SN9bga;-15>%zGQcL-9bjBO3y>}7bBA%^Xg4F8C}_{ z5+Uy&-X)2he_~wpZgOhDT=If3J}N!j^4hWt^qm%BvT1sqinve9TQto**a5^yFz1!U zcuw}SFr^#z*z~~s*YZG(uYpx#LO-Q&{q1;Z<`%5gX`0 zWD_jSn=L=3ypzo@Pl4<-TT~~3*btD~o9x%26rAmZqRc7*eHyUD~XPBMf89}+vFn~H!oY}Jrk!ZZndb421 z+-cv!K+GqT;;*n?o@UVewc|AOIlwiKM~(jR+S|_0TiM~+`TglhtMw#OOD5qq^`oD% z4S?E9>8rg5bR*eGJL!31fVxh2WyWNaEDaEpJ+BJ+^%C?(;~Y8CNuUJuOgtw6n52he zfj--_r{|e!H0?QL9J!C6{>H!aql+ycJ$SxB4BPTb%uUzElRA$>b_y!JCtBfit6vO% zqczHst>Ox6IGp!VUD+XS06p77JEQR1QsAcq_x0+*xaz_oU{<)7*snObE()fR;`t zE`0lBS~$r@yNHY+B*hDzBdZu1N~eA=HzoTuGCc6=eNv*%K#N3s>}9jUnalt7k&P%f&KJgYvP30c_ZbjYU!`9 zteWetp%4|L@;X*G~Wjf?;Z!o*lojI3-_~W$(X1Oea9A za7KeuUrOS9s8sOT%{Il(95?FnAPlvy`T#nw~}F8kNo*A554L@@#}jLF9q@T0EISu z8Ad$cgKe9%bQfrd`!koKqQt@X3lPgt1*l=2CO zRU1C&^u934v|KZGP>YMRt*&;U;lw*9uJ$IPBPaW~4%hCr0L}DH6S;}lPLg5%c0u4S z5!&UOv7^~>i-S1uZ6Z10EiS-LWzLrVc$Eu67IRIT7pi`Uf3oY%trCKiP8*f7!8rTo9Q(ewQgb z9B;sw$VQCerOvv1H1BVfpmqWZ!BB^$vu{d|dO_pvGF~yLQB~V28wS2#k<%VQ3wuv) z!aeG7)Dogx!rp#n^CU`2_dnyA*Se$hhEBTg|BmE{G zeE~QeJi*a?qa4Mfo20j=cG_yHd@c3kuNzj)Cpy8FDV;CRysg>d&T@IB=lrub`isi0g{ODv+qu)?@! z{PBo4<>!R9^{7;wgv3>oZTB_VG=k)~N9T0U555EAN{wOUBi{^=HdMsyUrx_eff`*n z#rqy}#2&d53vqfxK&^%kE7QEKy@DYpA707gYE1kbk5j73d8hq>gmFV}wSLG-LhKt{ z$WgcH!*S)LA7ZA)=K0~*BPy05xq?my4&lNi4cGF!Z~azH`}mTzxq}Mg?Vgo3S$03| z?Om|GN;WA(FfX&%Wzcl{hzU%Kxkz>UUMB!x4tQW8p5%YTBa<7v3Rw1y8erUD%H|1C zbf{ttB!Xbb3RmD++8#U`h8@=l*?b*E@_WBT`c009ir>;RPEg3Yn8DD*)^wrtp{UY}0u?79KGK@Li?%PcWTOP%9Q_U~ob^FaJTca(JRkVoau z5%t)+I?D|cZV$r3w?Q6E=ATkE4bhQ$j-N|xQ zp3;y#_tjYp_>z1w?`qzRvlLo@^V>M82NbctKSMnaxLfm5NU{+&`E+6A%@BG5rxOrps1|5(eHPXHnab(I5+kubYM3fDNWy_~}TR1;{oZZFU$o7qpZh-yoj@rlqf zWiPSZ^Q<6}YCu@bY?k#7^DGOmqxQvLicD1$04ww0%zIq^JdFwv8?XshzRDtiTtCvp z6(U2k(elEvMTC`?xG_^D)=_BYdeWV43~0^~!2&dT9oSitET*8);yj}RTeddB0RX6( z$1&00$KlH2VqfX0%fS;FHg>So(7u{85JW%0>w4xK`^>*T8IRo~O)(6!bK?d^dB8`3 zx(YU8>S=MxD*9Q=smKo#s>4X6j)noSS)N7<W8AR=SwCr=Do@l6?F7KU-Zn#qAVu~dwjt7e;+^8(p!x+7SBOY9F7ZQ41t4l^7WuLp>98YhVxEohQS)B!ba=iE6ycPqRSn^kbMqJKdWZ zRp>W?0}~B7lWV9RJHZ;0ds;Jj1&`bCtJ4tge!%YZ4Ia_z&nUXwU4m31(rJ3s_avyD zvPb-UvylWVVzc3r*z8Zn?YS&?Y!qnnZKK()pB64q=-hTR*#^fj$+JgI95Qpd9Rv^W zIIgnB2%PFs$t&OC$>XuQ2kx3!NxZHeP2qpelP|sHI8G_s`KAEu)UsE*^`jOlqj~eM zX*Q(XHZMdhaL$EOch8ff!^^2c75@~g{`V#`(dLQu5da9tzu=^> zr^Am)+3blOok}IKB)z54|Bxu8PdGm$lp6%}`16R}cWC}c4SI{3{c|%xFYcDRyOrXP z$*Z%Oiodp_^CD3O1~q4|F|1FTGEL|e(j3ez@X3JPdd&|mBPRByuR~jG>NNRadIH19 z!4+>MWpIsN7ej@;y2jGG72_c3K+*gG;5ubj^z1qr~2v263V3Y8I%}bHgLINK$M{ z&z!)Poq5h#xfHeHV1JvYpROI*~ijrjJ*J^jC}ZTVL~qjzIR{(o%|HjzV?-tD509NGNNJQath zR~G+}Cmt>_EI3gx575jRQh7W_zaojZ0cKC$$TKLMV{ap;Q(JpvC@pnie~@)%)Dn7j zOQ2EL1vpfYmiLusnM~^<{)EmP(B>ib{MpM?!yv^WQ>V!!G8%hEHw#T)^BVx?bY+kE zx*b2BqoEtye`!Vk2*YA>*^)fE)S?zUD>9Lru&hD7c-o0O^siZ>b7Gz(G0>+k8IEdr zhRw>)oxYtBPjV?y0M;8?L+m;E<`#X2KX@r11-2}R`>LnXa@s2jrrpL~Tfbf1;);D% z!tLxL*Yb{zb7sUK+>&|$=v)~0)b=z%?(>(=CrdnYkSq>VPs6X#ITpGxkBHfQn@>vi zTr@(??W{vg$+Pk`wTiqn`r-;$PtT*npP>du_B&H20mD|>8SZlLV0PBnvt-1oNn76@ zV-#kGB;1DGZ)}8s6J}L;x|)&0!1-P2#Kwk!^QvsLOsD`O8`)fQzo)a>yW%MMT*ZCN zvzccZ=TM2uS5m=vGq*Kx=DWssKy^r8%rgb~8z=1lNh4=uFcVa({rE2MZB?Vu{@8d9 zMgatNLfmi;6LWxo>;sWOqLxKeDlUBv+zhAfAXiQq zc-Go5xg6$Kb@luG$6}&x0iWivM{&I)Qr&+Hlo@qI#6UR=#EfKn#HzqPm;iIK)zexN z;G`=&sWq^fnoH1G+cWGx!vXXiel(*~Lqyc^?Sb_jpK;)=bGEZ6ML%eoU4NjKe7p`Ipmo zm$%>GnX-haPpku@0`s5K)VOs0I+-=D$!1aXh|~cVk6!$1-U}ijI!nk4rF(HC!Huyc zR>s(BXj$`K{90tAA$tm6hiLbY%38i3CIE3`R9CGg)04?z`qu~5vcCT8cN(Qe3RqZr z>|51GHp@nsHXPmHi^CvP-_7Tb{G5MiVoo<74X3xc&QA^#*xUmhdDEA}6V*|p>{;3f zmBO{Qh!a=EZ`U2k(nyC#T5jxvX)3RyzhUG%)_^Z>Q)rg&r|?jaH(l@O+9QB?kB|Bo z#ioLsU9&`+yU(>JY_~{S?rP}kyv47;R`IYveDPPw*D#g7C5d#u=E{yGve|FJ6UA7-E zIr3h)L4^Zz6i#hTb!jPh5d|Ge@`9d%nQ@$`n>%S-JK4$G8V` zzGv@j>jB4i%oa#w(w?6>KpJTlYCw$K0mlNc3ZjV7_(jV`?Fr5bwgwzp)lE=ech^TYN3n2yC}AE#m_c^t#gTE%wJwPF_Qq&wXw6y|Ge@%RfXk zFXThoIMO4Ge%Aiejmvmm7iB#uD&K2~Tu12$fVlAuY!)V|mp}sD2R1R8S9BeIX%~+C%rN%dKAmemOC~=XFB6u{&J#v9#k3N| zZ> z$}o<6in{(=G|o-fGt>+w$(hs2C3d`RWY)#cpLSyoo40swS`rLRpcYe*yBzw)f&Q?; z%tee%^r0q7z1kb2oNR8TSrDr~q zE<|{Za4Ow-6&L*Y-W=?z3rLn5m|?H|DR)3D!qQe zsDwp~`8};O3%s;OlN>T54b5od*nplet@seoD`zg#o0o%qGM7ObIJYk31caoKI0Jc_ zpH4-K0(<)oaeFtz)J8Jhu?8Bk1Hv9j+}H_+s(g1lxU(Z6R41sFHb4B~=;w9WrrQ3* z(}!BQKsm!5E4%>2&uA=fLvoiD_v|}+Q@=e4t@;i%)8o!O@9&~kHp2v-aEXxn?+PqF z7+<-Uz*bl#PF?>#a`W{7e^qujmhQ1b(P#(3MA*aoNxINQ(ANVAtMx`_15*UCj5UOLUi`!Ce;EI!56DX+kOV zi$|a5Jc?L{$16Ps4FsEpVY4blLY-?vRCC`i0r8=N;&|-ZCDa>YDq!|tRaa_CjNSc% z;zlZ{P@-+5%;O=j$-+(cM@QX&5oqQ5%kH9OK`_9HZusT8JLDyKn>gR^Cs&88mt@Y{ z?xZW>)2H`*l_mRh?OXBJFL41f5($_-0POU#7t%#8+;K>bW?Achfv`k$*;(v}B^|`{ zNs3xO{OQ0rye&L8jiM}ix76QjZ%q`)i2se%5J!S|h?P&Z>OTDS-x$}{EXzgcWBFTf z`z@&p2kFJJr*UAezCD0|gRv7#EojDmw+jRr;Wu=Nj?4bbA`h9fVpwVB&IuQk|7&g6 zKBRh+gzpt=mc`~ON3)4$deyD?9QO(nV;!-Igk6TS)-`h;h$%;28r>s|vyd7$xalNS z4NPF=z9Ru$Q2A=)7QrU*8v2FB4o`~W5+4(kpV+6VRI%#OO$G}SHK#yg?A8`4@)^~; zut=ZT(4RuEtG{~HdTO!DGigdR1W>qTFA8IS4Rx3QuM{KqAiysEsd75Ml=+!Ly9LZY zKwR#5*klJ_Ib#7<|L!?#3^4f{uBW|=De4_$>v=A$i7{2aCxT^}yD;CL128qrb}1-* z_70u8f@FiZ`ngXok62ygldNB&nR)4~4~eCpnZt@fpCXSc52uw!#q#QX$AGEfdW=Ty zr%x*M)3~h`kN25!4xaG7A6p{Cv9u|#ib2a!VT!=(e>fcV_V%Y0<)93Yhfz<_MEEH` z;miXBgf!{Jv2pVMe&j$g=HfbpM1BA)x6nj_{arj~pZ1rNkCa&dhE!x`f$uQDDcZI= zWU~2JI&-j}Ma-K_K_1=jmhOf>Fc468En?neW7>f%FA!hYLo+tP%E{C~@~W})$u0^L zLZ;q(8#NchcBvFjh0Tfzg9$Ye_VNPmcnuXoIs-$@7`cG-62g^^#q!2GljTH({igfd z;RR{3$$DSfzl@U}F7jmTrd&~AjLC=&KXbVf0K=16!WKTncLjz^En@t$$7_B|(>lI(uG1mhRUd7$M8>m;n%{#lDc^hvA~a z#baPZuOZ8zV(-;@ERF%{E%PhK-G)+|04JJvM<)(;_@b8brWN+m^M}F7##;V#mT=K-g0|wAOTUpPH>bbR;V;BO^pYZ zi|!6i%zxB$>o}MZNdOr?y(9@Vqr^Le99f-++PbjRQ<$-NS-D`wU6pL$J*J~eg5of` zy@gW2MheLY<$qySY#>Y!^tf|Yz>P<^2dT&%8CFxBuoJF1Y|1j`5|J0zPN0#j?>4wg zkb9=82D13ltn#lWDaqb!D%ZP=V`nbSBe~!{^!Q^wNP}o7B&fVBjAF`I@any^c!&{o z1cg96FAB%|+nNB~-sF`t%%x*-1dfE%y97X}?O8m2u98HD4KLU{(sTai(c$NZMXUYW*gwt?ESpMv_M*v__TcEYH>ah zDQt9d>#Yuru35j#{C4-;vH!63+g-*|A`;De%{!im#OA%0;yuZAQf;{TOE`}^&G@$M z#H5+bcsb)*#k-i=NA5IP^j;bNNKb`C3s50@%-%wH#b5b82)*?gRTxOL+Pg;r)uL^S zBiV0#erK{IjA@Av3NR#urY_^4Go*r%bjMA(xH5~3c+fv2%e}W$b=AuSf-FaA(!q=m z4f}YdUR>Nye)M z9*ph5Nz#hGW!HrW6Ev#sZK+K8I$EKvh4p5mw45>Dlh;DI;$eZ7mD+?h?ID6Y@EZeq zgx^QrtT6vU39zyntNMvvmp{MONLBORe#hIOu&J%hhnT`gm+^n8#DeatCCq`m@^aQtuopvvSGd{YqdSL#|%9mn}zJx8yv9?)W2?^r!CGXaRcxE zT#a3AfUFbLOjaawyH#ReE1sE0ac{V#fA{-U+midhkbEM!q_H2Ix$FHg*>p;|pA>Fc zr@hp`HD^5Vc}}xix3Sfynv$!&yK|$Mo?p|!b3v-6p1kFWVp96FvfOHAVY+t)Hy0Gn15lqwmqL3=6TfGPW_!Ox;CAG|U zIn>HHB@|+O)4T_`-f;PnjU}Do2EtUYhJ&`wMH~-f1K2x)@V_8;63mB#GNYy=EHh%c zk2~&=hC9NS2wV_qfh@_TaWdT zA`{jRD?cZ>874<~B}Y@CzXZI^9M~R6=RuJ1UyYm(cKi?dM8Q%{^X6TP zNqODz8z@$&c4n?O<`V8HisxTo7Kp=-tMXi+8DjEq&HXO^>rWFC%tq&1e`-98f-|2y z*xt`cLtSguOn1|4_X~^}r-P4Y&wcl- z#}Kg2APVqE!l2Ijl&)(`K#s59G{5}QK*w|aNdj*hXxl_}GGBVrDfXp1qTZ6PsKIbK zJ(@c+r~!W5^Yy0tr8AifX2{A-p{k-}_(_X=4<=R2D4Cru$Co45abTYPh5f#j?@iS! zDVCt&>qEU&G5(tx$J|Bq>Y+#f#qDqS!-v{xZ|J%Gjdw-o4gPeepI&R*2*p@Md&DjD z1paW4*sKh!EeG^Y!yiTuA4^S-w&*RVU^;N<*SzV1Qjjja%rz(JtpSR3GyblnVBEBq zCiQ@x-~Lz(%da?b<@{JNzw$dhGqbn(^+nmqB6utayfBhCufBn3gnB}2PJ1rG2Wu}* z;0Lzy>6YD(&u;UoF4@>1ryTVGrKL9M$BAR z^|~ZyI$RSwZi=MSMejPEg9eCKQ;tX?!2WUH24D3%Sn&(BAooA=qm3@1OCDt<6nmz! zpiK!A51HxU$Gv_OBMi4Ib{oIXqgw_m@MdqTXQ1Dr+}tk?ILw-@evdsuAJLzN%I;sL zCB)4qokp8qN*W?axiX5PWiR-c{HOIEN`pEmcbGp`$RNEN!01R$fee(3^hmqRvOB0e zpY!8k%kLB~U?HNz?7yW~X)9?}b^CV=5*f6C_y{=t^1>7p)2b-5C5Un)v?j#6;r!mt zaP|i5cz^fu<6%_C7XHcSKVDEM!|6eD_cj>5{tWhN_l_0Vv2OC}?-?gw9tzzIYK}W|cQk&gHA{!+t4rJ1vxZxg2KdEdW_S}|NLXjt zwXwJ1dr(o+lGjsF5=sATys2Kco{G5b@4)tpbg2UM?W5Iq-STsIvny+JZrC?^J;%F$ zwWK*R`UbAACkr1)uwBGbG9e%k>UH_Du&V3lbvZ)C=JH2&GG2T($cG?+` zYRX?Qxap&u{#4U%I1t45ssLHt7lE36kH1}~&?R!Lcie#%;yoE!x%0hi2;A!ql2DMY z*dMF7fv5XqBal9AKGm<+Bg*pN&?Din{WO;??|K(1^@HPIGDlw3?|JVdL$`}b59^xg z|4xr>d;h4zh+Y0xCr<^fKz(%LT$^h-qmj7~l3&8?rBr(Zl#adV{o)r_`{+pfljtMd z)@;pIr$PVy#RW z+$Bp4n(K4^&kST9n9PFgN=X-!!Y_q(+{QRHHAh}~wA8EI1PPtsJX9{}`Mi}ay7>AN zgUBc&s_jatO`JdSfq5)@*6K;a(Q)d0yT4MpuOuw0C%~`H3OIl39SvIB#v0oz(x+V& zDa8M2?mD!02W?`GXYhSXL4vk%ZoYkpiyY~0A8v#&ZmsF?kp#dMLIM3;1X^!@v0o48CAs{?Yo4nD>%_^b~tDSz2ajR(<|+T*3H~-GVb4 zRMOlNn&0xb-dj2&Zrbd|wEMbpCo32wLm6cEXU5NrFov zZUqykC4vi@V-x1p)T?&&d(zffr$>-WgYL3%=j#mLr24KfjZIp1yW6*P`0D6l^g2|> zOjoqWwk9)1)LUsS)pVG|oGQ^?9xb}E>>2Lxp7=l>`^-PCvWn%@UFx*I7%I)*>JtpT znssCy*%sqjq_z(*1x~|0C_!UPkN%V?`}p6gWcukHV=>qc)YNS-U9A10CoUqxXc*x` z1B>1Rz(^q2n3r;7!gFb4);kz_Muk7nOH175d+Q1Y%P?|4tJO0?f`_iJ$xKo7cc!ao zopOXSZ}v&8o$a8fxd!+<*fQOJC)_XFzl!9(&3F1q#lqyydlJHF#%`O#Ql{?p1S&i=+cLq3(2#pm29q$#K2azsa}8P z)DkiOAO4*J|9E1tGC2SeeoFKjgIAReuA?ex>)0vadMqS7g**f<4E|j1wna{GB#pV@bm#v z%TUnV^eeHaT}%O15Pzi1rhUb!oe@oOS7@cQTk!ND z1O2{-315ae<@qVfy32Ip%B%D$oo}y-2?@`9NA()vt+4RlwQqz?#I0X5^uF-QB4UKp z4f=sdVKZTD$t|GdA}FPMcTlS>WxsIlVNAOIpr{MXy51eW+WB^Ecdd2!>k{E8pA7q8 z?A*7Q0QWms^zjn-vqcqBa$MOhz z)>EyWO#Ijqb|ole)!5 z|Ld5Eg}~Xb9nG4qo;F<~*Dug-^_Gl5hg$CSh6)mkFgCjL3&?SkM%pEQgX>LG2h`Nf z8@A?AF7sBexwQZ^`tZOTuW1U5Wkix86h8;Hy16tQhq~U7uhhco`)OpnzG|GE{ z5DS;gqGRVJpZz<7y;K8_@Bc6a-sr8js((jrfona8i;|7g8JqQHxNCfGv!JtQ(Fp^W zr1eO-a^wT%tev>7=yB;FP86$lQ_&Vfcj!Xk<-w}5iEnfAjR7bOn&Pc==NnLtOn-iU zI&SB@w&VTd!8F%V+h2ltfF8(D#$#4s>%SQcjrBeL(&5{(F-MD@JN(StTIt)oPmCeG z>+7||AFDm{73tj281$|(V!cOfuGekODe6MBMtz%dGa{w9r2z(}b6?bPEVx`z_UEp4 z&Fpp7TKfuOXa;eg z{9xw65FM85z`2K1sqGy)^=b@Rb$}yHRS-jX>kJp-gC`x?(@^CNhmCYn%akWA zNZ@sGURKB1-LFDzi^a}z7w>i6-pHnZ+)b%%_a<-C*Q-GUHC;&-Ix3MOmhSJlj?XT6 z+|g)$rp$sKNh*GW^pi_xi7%wPnhf~zTzzlUvk~m&bSb+LQ;~kYzUT65JBQ}{KPD?I zTY^72K}ERD7$=eZ+-6nPXx`OdHAVD-h}9*3qppoP09tB)0WGxTVFeL;yWIR>73(${xQ3fBLTB+y zOe`pSiQy}Bm(2@UMsc!4H}d1Ba%+QM?t>L^duDE29p;fXpG-Vj70q)tw-NDOpZQ)b z)})K$*VSTT5QNTj9oa!vSJ0#@FQp9mChy59{9kasoAR)AoVZzz#6IQ+?h)U*zs-o> zGPzabYGod5NZg&&b(+oWh(pcjS<4?b*Y9a{=hn~s=RTs-CQM~$qORv;HYhH!4?li< zr@a7z=x(w9kdfe>)@qeJab56Pd(Ye-kl{m;O7*}s@YjT8>pQK?w@J^80u%D%nfXaA zA*4^5ORMw`d*`#H7~v)7n7WoRt)FP^@)q0uN*BhYxakosfK0~m150hLiT|3^vgflA z27YVFg1IeYr&aHUN_7&O6y&pm#>aOyjMX*7HV9WKb}@cvic1k27`;4;S8yRhhF^h^ zp1)Ef03}C5L+(@2_mAWUy0@ou>7}9Tdv9OYr`Mp_25_f=&;a9UTZAwzBJay-8;kg<7Mhhg##(Z(#Loh?kfC z9VRRicY#$4jorp!E!y?A3Fe%2d)_O(jku>DS83AS&i$>M%YD_`V(WrF(0{tW7D+HK zVl?v5^Dz$=_F#41$!xfAJ8m>f8#}j`;^LVK98eN^GZ7%epgA^3wW`5+>L@P*;$C!Sna`BhgM^8D3QSOjAp35J6o4DPr?}WL}letUNB@PFi}} zIZt{MBqkGBa|I|+TvwOr#;mu8Tt+UY@}dX5A4dlUMqbUQKmW1{?`yeV9*ZfqG}HSc zZdHn71SawGPVu|i1&gZ+Y$VuMqe6dP^wcAgJmAk=Cyn*|Z)Hqn-qdR;EcndP9{0|j z63>aodad^a-pDMqv~mqNe);(0`R30BZfD>lu{w0-9#5ByL^_pR}GVDw} zvjF(F_jt9gppu)#Eo~?CJ`M$UI`r9*-=v)5;+&RlW&gqH(u_t@b;qHyCvr#{T;tEb zJUrRxm1hwFk9~W z&b4iB;(K@RdMjsO?hv$`9uu1j?FyU_9}??2)V9!TXt+_)bQrq6x1HX})0v)vKNhzLI!z*ZR_dON=Tg$J;fDG6<#i z!6;>oek79<`YHkrg`#v*4wm_0oI+a0mi zD1`pH`f>74cXMGnI}*)-it0F9UKp|UUEM&>nPvGIb4)oSkQ=7~F71(j&I` zqlfR0J7Kgr=G9B17A5&dLB25ZDI|aYtb`)<>c(g6y})0Ppo_ z7Q>XzE5hMJDmRdM1+MzQ%AQ@r<>ijL8i#S|D`W48&0T3TXHH1(=k>4}<=%~TArjrG zO!WGZk(Kys=@lQmz1^jTYrL6E)_OK*qCtSvju`VM7>-1BQqiZmnD}e_63g2k zq$ah#ExeGw4^kbDy&Lc;x}ZIv8cADMOJ5~T1gjrf4QuqM+bbJ1Y-RiuB2jCY`Q^f! z;qKbzE^=`EE!u1SEEHANQHgMW#5NH<5@$YVR zi;I~yWDM3bUk#8Xt?kQml9QR5dh2}#%{BSB)d704{{-P9F?_vI&oQkowi18qbS$no zFTo_up5k*;D{5{yc#Q2GQERC1e*sz^rQv?%da-K~@`83wf4_OeHSxn<{BohT4f^1) zgEhy~wY@&#%63$h`|=p4(_&t>qblzA;sxb1@tx(Ieiy49eMh|Zi~PVftuuUEdR-~( zxru&F^ZQ>$+?J7_kNc0HOqq)E(2knESA}0*Dm&h&&3~_^cF_3T^ee*heDEbvzns0S zhw%>Tp!Pw1JX7{QnD_;rv}DUen=U>ec{d4rVU%08%d&q_q2gg-w$|p)Lv#AEF2uVV zgK&$9=U;?-O_bZjPqeU>$U2g@^ISZGC1!m6Ajc2f1Brg6y}>!YE2xiqh1*U!#3p_s zhxN%H3%Be59QARtwomuqs`as}t`7_6^N3QvYJFe_es)|=KgP>+{+z=xUcz&2Z8>|n z&U<9Ug7>SXew6B_0_;P=y&&=%(j~T9Dvr{1`uo2{T$6tA8WLuGR;{`miKAEkl=TZI^*>;8o`*QgLd$?s9TC)=wQn-h>ma_`7WQwdAf9@wvF#S=VVt ze!uGTtNOUWxX*i=j%$)Db&8#ZX@g5`;J7JXu;Uobkkz%0WL{jileg5z@c z3nK{zY)(J=UFVg?4YkiH&V{eA2gnQ*N2e%G4bO0g4>akyN9qp5^m7*qiMpi zHsJSBIloBn0{xlKk~iVd&wau%xSW1Bi4StsF9%!`KNSz(H2}wbjm{h8g3~xw>>G8< z;m~PMVd$@Xy)M_z@!#KC=r=<+^z(UflO4##FMrkM^^x-nvGNmbTc`|n48@J(B!zpt zp9{BC#O35p)Ad_~^RhX)n+QXl^t(?HkK#OSdkJ?$AGzQ>4qR?dKLNx4(_A$2%jtKP zu5&+wzv0=$Pvq|R@8!3?xVH1ytt9gzZP+dT*?9h*uH%>bJdrXNBjNacg^%eu|4|?3XxlXM%*kb*JtCaH=a$1QBOHEtMIV0Xw~sKy^R(EX_G@pq zs^9H550fv78QO^ZL4KK&j9Fkyzm)3&@JoFRE@v z=IipMepP-KM0uIXt!~1=@-yf--x(R!al)UFA{wwc8 zt9CG6`5HM4a&oEPS4A88;hrxaKcwy1(e9F8=@;~)KiF2Ae@7`7FJQkWJ9ZOKcV1%)|H`Lt+n*&kH-txY^9?WpVzs<p z8{n3We7tm%TyWf%t)H~FCY)&Dn-Hu4KO^$Z^}DP)GB0_~0Kd?F?~q?Mjr^MAq95~J z9|N(a35S08n6U=`fXlVZ_v`u%!tES!x%xd^7@p@{+G9s8{=|p(^gq*stHz(&L-8!} zL^o0{{%CK^pI;Q~q{&_tFQ!PIe_IrOytl;m2q$F<&sT?pzg8RM9KW)N9l3rf9Y3>v z`a*5~-kkGG=MgGXEF%5*KQ$kU?@Q(SgR8~s#qyu8Z#chHF8q96|9RoLmcwBy*FEKM ze%`yxR>(&EoaBZ!dxJJ zKOW$h^C#FZ%>Om$}Q{!uDIIQH&IlQ+TY9=lq;v zIL@^r+Rwix&@X35zh%^p-Z&s$z9rnS7hoIt-YkKn#`g8_bF z-RJ9)`^jie&JM=tzbIa&4v3edCfF~mzo4IwGvn=dnYZ%}g4au~e_`A|RQA3*j^{bQ z{IjLKyd$%{w*3eA+4b@~7ccTd@rA^Wetwbe-RCs9ar$@SwQ$0(^h&J)*^%w4s4+i^P+a1ZAaX@ao_FFm7Cu45U(D7x%bV! zCSLU8zD_O8rbxY>@A@&%K2x~cBk$Zin>C~Zllf3ny*26A6bIBR@A2HM-1WnMZ1s8A zN>MLe3Mp5=+zWmw(a-sLxtJGwseboR{VqD7etDmo=P64@`S2TW{Zb#!ZzAfK`z@c} z=jxaLSAZSvhthve33+k2eE0cA|5e-3N5yZZ{P(N@{^NJ_yr1a$O@#kadne0(o66q5 zxAY(1XK=5U@mKX9>q9%qf6pD@Ki1lx4F3C*?BMrI?w2zDs($&9{PHGkjw}6F?RVAh z6ndyTynK)Uyky7=-lw77p6K!SXW8)87XJI7e8<@3Ug+n)hv(>fO9TAJ+QE|{{`j6` zoA&!<`IvRlUjOyR=@x3gDXwXL@B;bo+4AudJ^pT#9Usu<_U78}9`duVg@ElBfB#ba zIX`gbKc|Gec)nyV8)Fm-xbcp^^d0^l%0!GGtRwN>DEj5b-*+c%5?tv&&blc)FYBJ^ zK)Qx4rRlhkABvP#`Z=XmF%U&Y67erff`mt{M-WGOTQT1xMX-PYo|p6F=;QSBlH&cb9Oy z|7_fv`RQ75nKs9eH^~(_w2eVH^kY1~SR3!^gU{&)_9Y1}C-+Z^saH1IQ60BfbHrY^ zr{q`8uaL?5U+R~B`hmv=QpwNG<32o(=bay?mbj{ZyjOf~BfqMCs|a(1`1x3q)9-Uh z--7I%T-HHut&Qhk)93p-3I9KjcZTQ|M!sN}BSxUO84`)=uWp4Jk3^@Dpi zh5BuyUwSV{>70J&O77)}4@>`5^*cql7d85?s~ZQc_2XTnv}8`d#pJ(NwD8~CC3}|q z=VM`MM=Hhidr!xu3bf0j~=z~Kp<37(KykyQVtYg!b z99P=wl#qw-72GV`^P?R(-0Hec+x!fjx=*K0`tj4X z@coUe#B-g9ZITNPUaYzIdruz>vGRyEt&0cQIsF)?)B4ECXT#(cZFu zQSpL$eXxaoJWKgYymv!xlfAb@`mVa@*T$+W$b-F?m+yRocD*2#g^m8efP_RRa}nhnY!Lnv*Wh;ev!Y+@m%*|qxz0% z(24&`Cs;Qru1RFLR;W?VEfs!1&ZrQw6cI?Wrw9jehg>BxOs%FOJ?+o)$BLOvV>~20 zsrqUv($j>ee$<^?K{eO}tdj7UG&-HqF?%AxT{v}T> ztH+J0GUo>~m4^PDA~TlDh$O>3Sn?d5r+c&6X{lf}68($+lnPf_c7 zKCF4)V)6NUQb*ry&2xudo~v2hhZ;zD>Xx&X0>WuYeCnl%x}Nb}k5D4vd3$S~dU2=D zQ_pANSLgY+);yQ(J@q-_S_0Kgi9es$%QIv9Ut9BBzBSKV zTJv0?muH+?6quFN`^~L+PV42luZ_)ho_}f0^O#sY!J zY&v91ZI5n%8dKp1NCN<`(zv}4lN(=Std$Pena8^yJ(_#9wvYK{{xvQo=1wOe`9~5cz#(t`3=}a);r%c zyLW=?D6SvX_2gEpnu_$af4`&-eOTyFVxs(+ncHxym?{>fI%)Ft{Dtbh!FFzCtEos& z`|BC+jY5gUpS`aT%mvR>dUDLIc5)hL773+$$PIat`XHmq4xSKXt)K71^T~%~nIlPk zY-cf~9kMnD>%)_Ul_d2+w&(djWY?ol0)MiMmZUxw&5NF4{_6leE_tTV*5hV<0GRTG=EQ4&%^MA;0UEs?nxIZgB zSa@cWv#6oB$=LoxK{oVYtf_93yq6lQdY5jM>p(xX5$ZIpoNU*N)TK0me5rb{%j23r za_zaj?fpveT&We$4aD=xUY^qv{yIeZ8bV&xi=5pn{S-;xAxckr#oD?zJogpPnX#`+ zB&vzU0-0RJV>t)Rbx`i%**jn&D?wq*J05c`By{i5cr6}xaXrbc{3dZaU zv6NBRqgz29kCg?UKkU`>_oY8mJ-6-E6P`O6PxyJN2?upT$GjgHRhwUrdfso&zF(d{t|}fq<5#qU^yJBM6^PmeJ;PnS(%0^lzFl)H>BHW& zl&hl)d+Uf*Vg)^Vrgikn-a6V%5#qlGPUO{uV-auX-P1c)T(Q@p4Vx{xsm4@1;VY?O zi&x3c?zM<_mEw0k&b`6vNDXFG16tZT;Pp{i^tISJlG;4BDjmtMNLok#+DxBXN`|K@ z%TVug7oQFOd`quCH){6h$u;q^2&<%A*y2^P&-eP1@4ZH38X`d#S^$}0II`M2M3h_|eWkaKc5kku-FxdO-a!^7xVx{K9^x~;6Ws#I zuj~(qwns)}TUEUzN^x?jXKMRTYU1;@&+N7RQzg$dJ`e1*ou2ll-uQfWubwA0$LBv= z>v>wQp4?|2*BhTZ^v37m&C>PZ!dl8j$O*mD`5KU3Nhri2_0o>LUb-`pp2({S*J&8J zgn(z78jv5B93|-&d6ml6C|?m?a5alLS)J$KtiU^}a!Gy-{&HJks!ExQM#GI0=|X#o?32^t zTzP2e-a5Ly*P=xeo->RE*)pvy`fwGA-7A$mQ;WV-l@79f+q_T~-D6$~j+Bnry=rgU zOUTFe(l`QgK zEGN?S66I(L74o9epQ%MFRe7pV&Z6C_NTe@P@=WXKYgOqW+2E}$O;Kehfx2E6T@{h> zGFiindh6yonq6ZmUhox=-Cj-_m+Kf zs^>SW(&5*>ZT?5r{6r;CF|nxjMk{(IQP)WmnKk~NxEKzE<{i3eP(aH z|0QXgiFCcPTuV8B?pj46{jFsrr~cGpXT9DRR)4&P>6v=z(kfD#6uiGgj#i1DnjF+p zPS1wc!900i8xc}Hzf+ZtMSO8ma*CR)nsAVdh~GKA7F}5739s#Bkrt@nn~ue=sxpP= zSHy3{$TJy>&+FxRXS0_!s`5lSe97anvQPo~9CVsMv9@-GNl#DqzrYeSK!lxbk#-ozwsp3{-3%l zX0aj$!tlJ-(n7S56BLB&JS+qmEe|{tvA4NOENu?tK_9jPt(Su34LBG=UR|Ik?iJPc2_%y2ElPfKK$prv9Qp3_?Q<^DI$k_ZN&Xv1nzc`HG(0f)xdzT7UP_+K!=e7##I%XUJ~Psmezc@GGp<!rZ{fMJiH`9}$-l0>H^LiSI zeHra#FMZzM88*QAqDP);6{SU&($bN4@z3{SZ%bL)eEyv)-=F_qkRyGYpp-U-`Y|a> z201@l9P5Z4QS|B%t&S)>Nt3Pas61taCE(<4XE##PchM6FuJ?d*3%!dcDb53+{`?mC z3jhHB|9Am}R8L40VHE#HS5tGJqmJ~9$9Ep9sjl9M8Q`jo#Qo(yaxwN_C2t$*?h?ro@K6_XV2&*#^FNT!u zPExL6L{qQT6u^Am{S!6i1C;9((G);o=TU0RjH0Fy4pxw3TW}k-1gvCDAl;t)@c=b0 zA5p=rpruAru1gB79FyYY8@^wW!vRa3X^1g|3>|aX{SP@XgLcS1tpP&CK=> z-=oqFBgUeQan2#j?;;n@vAm1dd98#1a9|Rx@^p-2vJA zGBb}V7Z{GjVU_HpUf9hZ`Wt#=UAc=uFC63!SddiNVH(_O+lq;1w|z8IVagF2npx#v z``1qOD7~~6>%Y>!(e5s(o^)bC`vR)y>7!LX`Dy7gzPcpl`IDib&6U3Z00960cO;KC literal 62123 zcmeEs^-~*6)NWha(jw(;ad&s8SlZ%l#T|;fdnhgL?vUaXcPWw<3c-WB2MBJ#LISzH z_xmUA58v#}p4mCGdv?$4p4oYx=k1dxPik?W{_DN|wNL-7o{guMgPSWIzaS4E4?o=} z9|z|zbOM3`bbKPb`~tiJeEfoRIyRoZ4%RkwnwHj1bo_KW%5?fRR&;V-9K8R{{1)`$ z-!f00c%S`0^Mw25|Jdrw|FQm0k9)B5nRJPT*K1xeD1D>z2-DSKf-_5d%D+gVVvgIP z^RW2%;lpz##diixU+JDPuzh^ag!4+#Hd=RpXu%&dcXz~@+zn7gNsh!t4-U2$3l^okP(B=!Ld-*yWn`JmHnOK3PEElkZ@p& zP;GtDVOk!BCDaWHshMb-KTUE08LzYhSB(l>;+AX7wLt0*F8SA6cLEf>>u0D&`TEM9 zO3)m#Heq-EW^~!mEhP5dI4_~ydS%%^)MQ@t%o?(~3>nOv;y#2!?jEXo$urkWx zBZmilSD@|lwkzEQkb-^v)+K+91;iyPU_;$S9(unxzX137=X`8w*3bo%EF`$_r~sV> z(uYm;pdJOw@vfow8B^p{_Z;N0cSLgu1O@8WBmVBHkL*VhllSVEJCY|I@d}|1yD$lA zW6Jh|JNStiNr|P8jkhHJkzlVebY_N+X5OsD22*_B&2t7%iu1q!6%1-|30}{nH8wwT z^E3pb7w?xuXh934t?-uGB$wJA`%&qK1AU>kJRdW4u$w^ko8Ixm8jZR6!R7heTOS}O z#NRnYqLui%)gvIUzFh=bQMtCT8*;MPHlGF2vs)<^sXjUrsn~_=y68fXi``&1I8e9i z`b-1dByDpozv6~H-LCjpb>Yl=r1RPQGkll&@Zt5i+ou^($;em@R`K5Pvpl?lHms8~ z2YlO_3c=5)PDvy`(xAYl+W)rQoVehzCCCg2ws)?$QFp;A)1AeH7EO5X%-=v1>Vj)3 zvfA;(A1wwcK>6}O=ZE{|6QMR8W7cJniME3Krtw=CumZ+m6wpoJP;dQ|pXsry@TEjd8VpKdchPCxD;IA7PCxQP)sh~~b^~TbJ?Q^J* z1@cF5>;Pu;24kMSW>se|G1X%3C`2-Mvclf*kh?ZA;Hw<$FR?iCdGP|Gb412uwdKFD zzHvd=b&=)(%DW)Pu%srY3_*0vLiK}usY-&nu_ySC0n~nX36K8J|-k>ip zBD01>72PNllF;U!SC<#Z0F`-gy9|Z_IJ>mN3f6xq27D=6c%S3}^XiV<0r68}Zk|Ab z3L?LgfK>TH*r3)}Kj03Q_AL;<#_OxQ7OWM6`x5h0TC9|)n*vH&mt$To_poiMntp z!_#mQ1p*}@1_x(%yiULG2D?D!5NtPSXu}1<1b`kr=SQROt=JGR4)S=D?RshG)@zzm zSE?P+`h3Z>oKzUviqIwhnJnw~w1!2JNnc>Kyr`Yav|h;Q@={g=0={$SkJ-@)akd`5 zZuM8JO?Y|WRg(a{F6-9t`=MVBt`NnxHz<;d^2wt0SU5ITL<0<60v zxa{|88@IX(xHorcdm~xM4g$~?Nv{K{Ebm{fr5^)dC9LA^vb_4^{0Z9NSMuKff(%kN&X1E{l@o(IR(g7>~@{(=zSg>1} zA|O=S3E4j?x`^Dc*NPNC8PP6(7cjC6E#x(lSn0;-PYH%3n7!?NMCU#{1nbO#7I3>I z*J*4n$uO&-4uCV>0EvsCYi6*(quk}*Bcc=m3L8-g_J8Obxr?V&?M?;Ps0+MCd7EHFdxd(hp* z_mp-3GVJ1C8YT+|K@eb%0h8M)0n~SL;n)d1CQ@iVcmLj;0Dpet0U4kbyoXFfLU+nS zBx-MC&qq#<7D8Pf|ijcsTTkLt4sc_#po*swWsf(q#?Y$vz}x{9og z>))Ags8xYQ-H(q?kjP+F=SyS|--Y}G`hMwL=z%@ywmob)@2VTTb0KpN1aeJ_f}l~; zw6S+cWX%2jwR_5g8mo80QBN_7m`1}5x=I^^4GvQ@;=&rpdx+^IZmyutt1+Wlu>%PJ zSp*?)xXOR+^DXOkzc^=r!~wzH{h-Bei8L@|26VUY9om0v`KX9or<6r|c0;3V z?t*}5SHZ}E)LYiaEBy^{nzY;lc3RSXwMJ_18b}P-aJ%u+OB#Cp5!kC7AXz9)yLWdB z0mqZ@lkNLYLw6aaN|ey|X&O`9nUZGg8dLWl)>gJb=y*|7`hj)G;%Pkr339{Yc)=XQ zAFv3fyijpA@a!H2E1L6eSRf?$+qG?IM`qZ;>B#o+6e_?X(!Bv`7d*H^rHFh$%8;8y z+NDU1`yLBQ!%%{>c@`tp&nC3$3X~lD2R~4+wpf)P0j`rRC}^B! zFRcYTz<|C@TKe8vBUF0uLPHE)HJEmLx8r1t<9q2l*fU<2E>KM8I(Zp@y|B=0fl+_2qOfQ z3&Q@z&7uVuJpLV14!vkb4?hB>Rzd#c#|WnH1EUQk6AyC>gFDC;=k9B}u3f83!Qh&L zt9wDP7nh0_ka7&kg(SGS*9kp7xZXwV=vAGU1b;W&@CXjw5e&dHr?Jj;C2?}ov#8La zUa>!t-vry{*ee}N3)qPl_E#yTqg21h(SFnae0C(Z{w)>v&8c7`YA3OOFi!Tl&G#0E zPO+k@Cj5b&)}#m$bNgXt5<~l)zPMc7g%2k&cjwtXHNET4{!6EbqKH~1_(h7H8Rt0G z6%|kbJEYQw7zcIFbyiZRiR;gH7EchbCB=!8`p_c87v?(aCVWmj^x?(6s)r_8@K|wu zZvfAs$!y5jk%>P1m-s>}#Izu8ng6GkTmk2y$<#wfrI*$%f4!G!!O@|~0*#*!k0YdX zg4n07(o60ZRy-lM2I-#g@u{o!G7Vb&-=P1S-v7Nw{~taXh5%DWcNBB*I<=@P6+n_E z5-PC>w<9xBXlUY(`s5?+JT*zkd2!Z~1i4n7whzxJccvQoWj1*ydy-ZRzAy?JM-{^B1h1(5LM+HQMJshSLHEEfX~^f3(n{TF|NZI``{-^N$$CmSetHJvH{r4 z!l$#7Ob@0k><&!{>(<)MiOc}?)&szB_CSc#Er!MhT~&SRk($dy`!nQ|Ra@ZB1(ruK zET|wj7$|-E-9tjIlUMgFr$J6G=?x|J2kb$(*npc>aIss*$Up_H#?d(fG*mWa5lmvZ zpU)*d4ASQ8g-IV7gxh#+(89GW=dFTW2^H?{R(pPb;#JXZPWGVUP*QX|U>lCw{(HAN zuhe%}YNvtqV=?7oSaK+2pETTj(cq*8`{&|B$aaF7B5?BSUhPRAr!!uEQ+9bIOjCZK zRSS|pSV9I~5mWn#pW8P@%c?fYWU6f|tfZ7U{iaM!k8WyEyh%xS_Lu?{qNJ6gFrGR2 z_HUo$rK3Fl%N7HB&lcm^csUfdqr%JAUl|htC4GI^3OObEEmPP>d}ViOK&?m+n4uq< z5%6jWpZPR?1SChz#gVfm>P^!p_94nWbM%}>39(x%pkxRoupYQ!WJHf9)fO6y=kDT} zLm&8mEZ7^E*^K9=rnfQaevY`^On1&p?#&$w)Y>L1!Qq*afzsO<(d0FVkZ4Qt#8tt% zNOW1)cW?Fy`WuVZL`g$bi?l8)jmX7;F9Jh!&BiP56}1G(4_jUFoQCxGufJBZYN-UI zEUxYsSFv$qE_@YOU5C1-?NZ8QB#;rKWXXXnxL%P4RdgIQn&`~hz>0U;dvP+{>=D5d zBm3|sWI<^QuC@PbCFMM#ahs&7g2_D*8QsN*x^LR$2fn70-e1yx2j^fT-m7sx!ee@3 zVH+svu3ZQPg=m4m6o_%}N`s{8lzmsrNACDR{&xE%o6;D+IWl1cj zjGU&}&%SRnqo3roUQhM;#*Q>qN(4NUrS?j)cp9rCG!ciL*17y1hYL-Of1xFZj`FNi zI%4_KWk7~8_eK{GR^4eIX9 z&Rz0a$sEyaVx>11(=EUCo4Wt_9_p5fqWmAKdpyMt#u2d#^it0WcH|xQ3vM}k6^Ctw zK~F0(OKr&AA*zIFu9ZSXHN#nLyLBVD)8Q1+*8Y0ABmu%vIbVPvpPRP_iM{E10d4b) z6{kqr+}R6y2CVbz z{^hAXTh>=hl2Iu1TWsxWBA&**yl?zH5In4>xJhQ_!I@uNIRv$2@7}UPtu{5Rx0wmf zXKeMEgm6g+1q$V&2s$eN)0LO!TF>hCiSPQA;ToT~%e>+7-T#N|yIYJQ-Ee}%sC*ie z?_U7hC@;Xf>=qpp10|g%k=y{ zu2>V1YrnXDD8z5_M!U;0*LWVbr`USp+jo@^z4wirl_vF9?H5Vb75KdLU=v{lf`N7a zzlTze=^3pn?#u>HqCwCmTI1C<*w%*$%~gu+=j5v zR+wpPL>ato~LBYkEaedI9zB zpr`H%_)hPI<^2b)c&FoVFQ1Uy8#YH;#!zx&0buPLxMgW5_hV!Fl(}|C=9FEZ5>f1~ z@kjfN@gaTN&KU9B9%rYkPU_IEgj6P74{^yGL75%jE(2fV`aW0a*5!|3wF2jj`!UDQ}yA+!tLZH7I)v^2uYrm9{hP|~_;{hhEZ&dcA* zrZcFdCQS@_cmmI>2b#JL#m#E!#?)Q$#L0A(n334!*?QPE4sh3lcW$6b%w`9Ui&>?FU@;e9A~ZaVvwSqRb#^|2|9f|=Gy8}~ zsX3C|UHZ3QlbVmK`{&JDvGc2l4|fTYnv{t#a{b$e0?_2Mguvd)d4gU=eZ3^*$H_$$ zXUxjr8MBL=T8}a4wYAN!XIX_OA31+qHN6FR<7}vsnyBb+q4d94cXg>H7D{{LmSU>9 zxo#Ppz@PVc`(5cx?ko<%saMY87T2gTqCw5{B<@aTaTaoc($4FP^Y+27&MuljOwP`0 z<{~<3T4Cdv%nJ!>w?U=GIj5s|inrdTZD(BTR+DaJ?hv&Kf7OnqwN8SqBDOG$`b*od zjQ6vyu@JM_AQQE-gN*lswjIVOkG@(i8rC$ybQ`p#BfL{IPT_YQMQP>)W*EF*g`>bc zgI0SKVH7I5iLDxh5>@*>w2SMJBa?B6T+_q?Y6@RD7io)uK8Ak^-BFH2C6@%SI#3|3 z$$8pIH$S1sq)Qh-+L5^W^0>0kNO4Hb;O}2fGa{dhXc{^9g)pa{GOZp<|9rkTX|PE@ zF2>?I3~$$T>fL5?wa3BsBvaO+B3$6~=FaFKud89@sl>sRSjDSW=X`a^!BN0)R42Bn z(3gO1g8iSUa#M`^`_&X`?s|*4c}I{rjM=@}FL5Qcal^(D>H!;xH}Ui8Am>@%IHvGE zbu<1%v3=DvxFXEgWZeU)W4DSbQ16f;gD{8OefBE(gPcZDG8aC5I+!>nHlm$>lBa>> z)=Tkt^Y%w)`5rx_a!ufkf!y;kG2Lb)B^5byULF*NaP!)ME5)-Vhe)HY01@NTId}L(C{0vB;Ktt;VRH4Vc6hIr3Ym^MkFZTKCJ<+iBDT ziLm>mQku8!^67udKUl&f9XH!2uR)H`5?i9H$WS3rv1QwzpSX?o=M#~&df?(!1@q^B z7AK@PNg7@U4e7J{X`uq&HQP%*Jg!{YY>z1RvrM;L!`vb`k3w8YBA*IbU34_#TeXf8 z!M;n_#UL1?$`}jT9dEsQRa++M$99U_Ud2e|m&=_1(#!L{8)%_O74f-3IU1g)n9!a# z763O>R^^v&oSZ*z&Eb!V%SO;flR^lUF53gatrrDtwhhGwlJu8fLBj8+phJJyC`2Vr zTTcGQunh*erg-Ys9KU7uHyXR_4ruWFd+5lUn=?h^TOXZKw)2z;D^iL1CN@B z2V1Nhx2y^jy;pvq6>Eh*X9KfSp;YrK;{A6Wqqg^06@+lm%p_p@JPi7gb36$ z#I`7~G@m3r$;CHYn4=;)tsR;o5WAPVtYr@#-PtIT1%B4abkE#}#$st;uzwA@g9k$s z1rvr@9m5f+8|Q_ZRDh6y9865HITLO37+4l`x2#k5H>UHA+IR--t~IsDKjckRX&c-+ z*bFSv(0*xwQgCcH8M#rKoDeyMvOm;3$SuOzrJypM@tEVwq6#UPTjtxDj5LLT69;>*+_;xBwxKq0t1G^#!g_D>8qeFz3}cU-(V^bE1Ge&Q zhQ6(}a~{TeA9zX9*6%%RO(bdl{eox4zQpEZNli^r&XRzEOm08%RDOTS=F$_b) z15O1?nTCxD5O?Gohm9^{_1VaYW5sTzCK@ep^iJF$YQL49Q21|%@9w+{eXrL+r4=@s zPTa95Zu?vo;lZUr(2oBq>!j?*mQ^FH{aD~mOnD(=8Ig9n8}TASWhpo)8C}Fx52(9o zLi+aNgf3fr=qQ*HUiIa?s9@aD82!BIYxBbOrbd(8b%R`cRsE2{bs=NZH`~2Sp(7zo zRyxtSfWM;GU68@4U99;m<5eNufbzT@W%bhbSRmZP_S$CU5bb3Yvo1A_s)T}7e+wxV80Z`Mes@MNM8N~U=);+7l%j$d?K3Zqmzq`QPab6`A{)+YUrl;2n9+d88 z)A?8TrJqh6W2WCm*ZX#%#PBEu6*n9_?Y{yfaf}l>TsB8v@h4NtxYW;1-9xDQze!ok zQ^=u_OwUvd^Eb@j!m;v13l+z5kMu@kfYm(IqI1ZL#dlpNoL$skLM zI-tF7t10v~PtfZu{C^q!bxkncHD-QVd!F?IJ6vVSN3PFCdS*&%*5HJfHFL8m%Kd#+ zpE;1LXLQ?Jb|BdCQRLY>lvr72C5{UIE72fQ*hIiSf} z%z;NEn*58XFQ+58WBYyyix}xM5qsgJ^w@+**r@6mtBZ>U|9YXLKX)}r`cGQwwnU1bx35L4&~nV<-YOL}UH^v8 znq}IN{OqVhCQ?<8nV%6oy!|i+N!u+7tDPx4qBu<=Hi5urbm}d~h2LMoYx)Y{5cjo? zH?Rk_(P#xYW?A(HZS*ve5nF@&x@FEcyB(s%@dvd@ zAq#>Jggi0>>}o$|=SE2S!XbHEZ}{I+7s=1$M6raq%$30gYjk#SH)0sUwHNCI@mTHD z!(H;QovPff1qJa2mr~pOeT>YwL;H}5=@pgO;>C~2jG-!U7qK5FD7lqAHtc*WX4 z27gvQoE!Wk4EJ{($MO6P<5H{Vp)mZs5JRZ=Ov~SfOKu-umeo|k@=fWSK@=~e0PI(t8C(7>Gik4>6- z8+?zsqGzl?|1YB35RddE1J~2}7c`jfLO}fsR#WK+ zw>yNf!GBU$ilNi+0*C%6Nj@f3Zq&~GI6?5m&G&}(eXm}F7Y{Mi0R_1CqB4Vd*WA3c zI(tPj#5(X)`l%?q0`SJr(Nkjx&m#-@9)lajcyEjS;jexoBYv z;1yMG<1RVc&+wglyEAZns(SP~C7Dc$Qpb`5b_D+Yn*eF?31uiTEk@NcubzGFQE zi4D!~EaJPx$@SW1hW}Zg`q65>Gwks&_xmN8t?zOB!Gj7wkGNi<0Q-pE>RC10$*bVg zIbxyv8J+3p7etx)TsOFB9G2(Dn{N`}%PAYAvN|1a4%29G>l3_G!k^IHV%ZO*GQO3? z==l5y7LAiWI8?1?rxhk1S4d zi)O2THd*^v5;Oh=Dp&;#g0ePL3==1zH_2>q0~xbi+U$nfK-FUYl$@8jU} zH_8HU)6Aa{cK_z!0~I%7$M-{tPyxqMRg2Abzu9+HqiY@bB2lRVs+=$1+jd_Hea;OA z;lT=$JHChd8_Rp`F^~dp^fE6~vx#Jwhi%`^eBZ{*FIuh(DyfcCxl}BEG?Txe>EZO= zyH=Wq#&ZiEmrj%j%e1XR@0GBV+8#^wb;XtLyTrso{Dh~Fx?w)HZ*kqIx8>L)N5m-= zy6nTI$8KzittX|394+G@4Ci

*Kspr05Pk;I+z@J8>rgO3m~&i7Wr@k6R?dt zDX5V1)v?wP)A@ZYkC2fjDtVdP;byMFUzva=6PXL&VGRKTT>ZQx=ZMp@H@nsCH(T0e zbZ61Si)9X8UP^0F`}cFJ#hPXBXRB)ujEi~Lx3|7GsB_3S-V(B(1*&MBZDT`;0b#Un zkhG!AP*3nWRg39zo;6pX!I#72cu1&n76aee$Lnxtf&ss^Y>guNjj@SS=Ki->$a-Ll zv}^Ft{IC72%@Qq(quCn z^$hWjhDH+r++EM`!8DoKW6pX75u+9ZKkp2ht7k|=*vA=B;z+PuqLWzP7=3zARUFMJ z-SQW9G$emphUzP4m@SE3umLLE=?y;+j%iOJ@NVf%F-AY+_m|a=8gEx;YPRY}HlEf= z0bCi%pewMY6{6%B4t&@>07>Lk9}|fe!Qux7=uQ}MHO?+B2D!z*+PZL^(hWy4$$=qNO-o@JOHl4U_`o zCJR0*Po^LSsnYBz2pg$>qKB6pFr>%A=#zwCf8q4z5>IR|lKSt_o&}A%s|XUT^Fp7G zSUww}NLZb$mNH;jrP!ampv|*%N;i1QC2pVWIK&{R3p%6%-m%~-X@G}akD&;>m`_Uw zF^s>+DE7u00a4@dc}Cn_xZzSBQp%{n7rzF#f9$NjgRN-cQPCo?6wrtcNX7`=4>+Ic zgRw5SHR8iNteh4-!J@Yag6TxS=?MB|cz{+0sE{QG(MV-UOE?`Js~J{5cKpdo)D1BawdT7?l9lLLAn<{?I=xvF+)HH; zEggcIob*diaWCK;Sa#GW9ETeYz2ORmH(Er65fy7$l^82Ctz&C0EU^8beqalrq~;}} z((p2f3LqzgCq>fCvP9AqC~iFUuvui`z4W*L~Pq zenNm(sEH^s9YXE%XhSMiza~VH_Yfb*-JGU6+1F4j$@&x2s8)$Kg zK~J}R&XK_EG4DderX+fHhP*MV6o@bozV+Pr+2X*#1AofyfgEZwG4~+INW2|sROVU; zNNOT{@=&lcUP2ekwLn0w|M!JCx-f}8fc6GD6*)2jsFy&B(88EDmWBN{aXQ?cJ)>>* z_VC17Cj}-F(K-eC&rVl3gvDVxs*oh|A~pAG60GuKSs3M8qUhiUBp1oxhN!R4+TnZi zsaS_y;PP8VMzF#)Rk&d*;*guk`ewVMAdd*E9wUjH8Toz}Rpb_FDlb00JKE~e4pf<& z{*~%498%>B;CQ2!rw1;H1gjc1peGgK!XOiO6I;PSU2f!F*d5rhux{~+o-+sAQi6#R zL?|{fzAFF+Z%vF9JIWVDf{X-&y~0ihZ?uX9T;tMA2p7 zH~bEetFzb23==>s-cd4QDJ--2+Ja$ z{e%kU^7IKvfdWcO_MTdZv93mVEsy`LO*mW#itI0kI9@)&^J0+Dc|bC9I89D@R>Bxm zC^U`T2yqa>%$e&p{E|I`e@khCf!7gUBe&yB$MMJpd_NLjcvTfIay;R8p3{KFzQ zaL4cK;0y`3`f0i?Z7n8{looJ35Fncc+C@R@65VF@WbC$}W(!W-^yH>asK+S;E{JQH z$_;zCdR05X!V9U=KLL+$8*~uoZu!>9f^hT#NVK<3<+EHkzN2gGNB!{{@Y~MfZOB}L z(Vii1ET2?O-wJ}{9F>6{#|0p22>1&dn2t&Wj=L-(t6jDF5_Ik`9Z<%tYj+1MP}252 z1I?EmvU0Uaw9geupPBQl?9s}PP38dJqya!tkO(HBO}v@;HW?8_O~icp0D$^AU!lVRbf8#XUagkK}L*&{pGdT@f zGA-usf$659rmpY1pKVK^olU}E{)617tXAAaB|0-bRG;~Ru@ z#LZS{5r}Ii_`+fp26_>1;Ml+;oS|=!v7Pq31z?2y0-?8sBd|%MO7fBKUA(i%u;~NO z<|^3otvO5?*XDh#*7^L58BNF$YjYdE|9wHRwki%uE=u*VkGuE4ENF4pIlCXDp2|of zHIl*puoa#X1qP~2yv5qdlzPwwebO+nz(CqYFyJSD+tY5KR8(ZDV*}F}1&#?lQM=5U zL_ZPX1Jp!VMVr+RRUFs2J9|5+_qO<=(VpybgiaW*-DX!(TBhH{HHX_aslWl=+1=b$ zbB!XtebS>-Hkv>NO`^-UvZ%(Q4J%YlHF`^MvQ$zu;hDlG52awLo48-gRvaQlLt%lE}jaiECJpOotF687(h)#>wP9%w^G{7!5UcVilxU4wStw@6)AQ90)UO z4oIe?4mghw+Q=tpwG(67?0`yr*t$(N2Q?mE)trfNq1!*M7gDsNN_yw|gKhChgZ(7Qnw7s+GReYg7d@(aVZR#8e`SMp=Tnd z12Aac@c@t@6z*92W(ZPg4a9}Rev_Tl!b{979ZD~qF#`<&(@|*65lXL7T~TN_tu>b| z)2_csOz0uVMY^e_vA88;bxl$Pa&|Ge6&3o`;BYh%?def|`%T?Zh`c^FW}9Me$M+0= zN;TH=9s0H%`r4FAuc`=_^U$duCrtyyv>f&h_6Gu4pQ4G8Ll8GTt99-?p=YSDmvl<= z5%N4F2-0ib_0yP=El7N(jzL-fz1I=E^-t&MG~X4?d({s#dPvsm{AKy>2xJ(x&~~M6 z6M}uCR4Y36vhc&ZIeC}JT8DX2&Z|aQM%BQr?yx<6ta2wVv_An0B`bLDd_h?uy|e9^ ztl=V9Au6(hcYMW9o+R|}eYtN|ba`uLo7^!k)~5E_LjD-Sb$mVXEN!s32-%l)%%I*V zgqc-<`)k=xvErdUkR#dDpMc-`y3ZisySvhFUXE`VfqYmvs0r`!ge>irDA#3C`lCsc zAZ=0yfAWC%>s9LtNzERToIHQ2PnP72B%IxTMxrZ;Xg*L>+a(|1&kaakI%j*^$in}8 zho#%a%?N_iL<6|&{BO#;wPM|92t`*@)l%<;)J0hRVQ2YKv6{?E{<)H_z{*PbVR!`Q zD90}JOBi8?B|WBEvZ)XaA&@;W2iAb4&K~Cy{#eMg+&aQ8ht=>-5eTLu;5-vTUbMa( zuoAMzw*=h64Xl`36U^mG5ooP}raISZI&^eBKxQW&RheOGqmQib_)T;R==gz$7aVYj z!se)+&+C%L2?w_)1o6-s4OB@k`08s74}X@W=NLw{EH|@B`856M!E^@!SmZ;Z?q|3E zPO1Nop9FN`_;``#+ppq@wJIyOAAe}>!|DJ)HY1MxcY5uTR+)&K{K${@Nyu4Ah<%fF z!}->442Ss{GTW`M0SIu4qrByW<*;~GRQ$A;#t5z#4(%~}hpG4TENhBoZ z@hO1^*%0!m^&D264u5IOf4rY(Ob2h8TD(&rxk&l#IGg__q)tcj1}9m+f&;fery&|Q zzHxl^bcgIc9z7oWgL( zb^tcchmUZ`AHXy&d=9;X=pj?lS8JA&JNzzcZ;`s6rO}5|YQkS%XB-P*iU&hd$Hu`y z2%|87Kl(CA)Ft{25`6We45T{Qz=XOk;nD-*1EnEVXE9qXz7QEH3i^9m)?VGWfvPhZZ4# z9qbpjer6`kMys7T>mejEf2S(DGm|5EC%!2pR@7BN8ir!EQ?}3TbsmeHAX<%c7fT zcmJVZcGC+?a4k zTqEZQ4GzV9jv-57JSWnFNtc~t$8vWb2X22jkG(~c&m=LRI`dc{64x44{4Mg8;YR}M z3X3Qyfg>wtw(v@FCUK!ASKu; zbUUT!w@50nY3E_AI zuy%Qh?ZItPy;%=H*XC@z;GbGstCV~6^ZHxo1hZTbIcBCA;8S#$$NyIO~H!=1!<4T za0bt6cw9D+w-D2#M;;r+D8Ck-<|#dB=ia;C%yKZ)w@@llhRYanBWKHwT)z4Gep!Q0 zub4+fl%JQ^`kzUw%jM?%MFm0UDyE6?D`RW!DukKJzxX-0f~;qsQh4E1V88kn@@{V} z7xt%l+!A6;=@j-_pFPpAlAT=0##&kO+K4k5SBvwj%9`IeaUs?y#n4t|OH9fg~31 zk>GQv5zJELsc|Du9SWGMxI+2(s*7(r6&oAiX5Pg-%0Hg$@zcM-QY_FAa6xsln3x}e z2w_e%6>Or9$+jfqKr>t7n$Fqm&z-VMz;IR1`A1*UF0B^82;y_ZA`}!k${oGtapk4C z2=7kC`!j@MTu2$zcjk zw}^0c)Cf7Za34S8Qa@!OO9f1({`0~L8_*1rV9vH74O3|!fhq2^KTczzwaarI+urmb z{MK!55@J3>u=K6fP6anJECX5ZI*RjOMB2;%VP|_YJO)af%)8E-3f$CPx|SVnyU3O} zPJp8%{rE7JJCo6vSZvFlk2>(NF0&Z}D+C)!-Ym9XCIUl49%1ne9; zYup6^?FxNlgWc_3f?=lF;O@-O(;bWUyovPNX2dQCE+7fh&m;Debol-24=T%*CDI_% zU}5;3WedyDaT35O>Ldi1>26m#@Qt6OZh2eJ0PHEgpJhYj*>w2zbnY}R2u=bcgf<5n z6YYq*^dUeUW?}t~jQTh=4*86NTre(r5cM&T+7RGp?%A-xXXiC(?+f}v+u_9o0pN#h z^&#Q@*tv~qA#5C35ygi$$-KpH1_k$iGe%gm0rJLIj081go_YY)l&-o5Y}6KY#`Mvb zB9$zBv2fLSU;&?J_Ld`zIw$3?0Sjd_14wXzi!ft342vM@m+ag^c!fNB%on$ul+V{p z-;K4$V$IQ5)$k^{&LmKy1TNm@rXmLZ;{j1^-w-4KO6`By=9bMQPn15H&yRc{hr!QY zxpZ9jcjyLoz?-R!uLbEJpU@yNz@ASLRb)YA!0ASxY7Tdd>!{cC(!%i0F0=!KWB8`xtBJn>*r1MP#BIujrJCP$~ zgsdmmRe_+mAtV%d?m|tsz5#xalZX?jh2?9^*=6!C+z{OGE5a2^CoG?zic>4>_*qQq z^OC;V(@xy2zwXqr=7WkH8!mHCLElfgVHkplai+@AHab6+qPX7~XqSK5Liyx8q?ors zSBZ>qTn+e{LDH{7{K`P9o}&jIPN?sGGw?+UBMEK`ef3|`5KObr4A7!EdFY@xP`xtK zdJZFA%MQVPF&Q4(8VFU|G)$UI)C<_!x5hm z00^Q5#!BO^J!{n27?ym`cZ6`^p%ox`;$jOyV3ihX$XN1{QXqu(4*er}_x3SiMRuU` zZ;m>tfZ1cv9pmpzC&Y_T3thI#vLvXdy9L6978mh5eA;maZd0y62P~2#T7RfJFYw@f zMX3c0THtOfZfyjeM^e9YDNvWng%=+sFhzPfzwo+%8{iTdDTNK?VFfGvu4c`@um~TX zGm>A>kVk@C3}yp_)8?d}MLU`R-#u)&ofm$aUcjMWvMtQDMDDQXCzg|oVE!5u*W{#W z57ONvZ4qr%yOO0dVNQC}Q6tO+S4_?l25HX;crQQ-U(E ze8$V0NwCVvDZRnBr?+2~t}2c^IY?;%p&fsA1A_uSYkY@NU<6zV{+Hs7|7-?*8&VfE z%>>uGh!AvjI#J!yk6lS^zgHH4_Qe_xRsgo9!`p#`4q(+b-B%6h;lgR4g_$0L%Az~L z!hJqrcmje@LpMG^NYa$pLA2w%?az=YL~{P__QKO_U=EqGd+sk|66R>}evpF*gp_#a zH;9mU;p;!-;f)BbEaXxEfekq@4p~MqRuZ9jS~v z98y~lBL{2@n5rSD{CN(&2;9WUyBG>#pH}I^8ES}+QadTB7OX6kAKpS`(D=r(bIPwQ z8>V{4hW*VoFAhJ-vya&-$T1*SEl<#ZaF`z}oZyuC+>pPc-k1}y?+T?+{cS0Adl?kz zD?1rhhhXVd58eSSIS;b(UCmcwAwz#4AqD~stti`eqO&9R9!nIq2V51?`q-k>2v_g$ zeNrrRnm|93DiYN{#@*!KR3>q*Om01d;d$K=*(=jXDw8nD4(4`@8$J5|mROX`Gl_Bo zryDHh*=c(|<%hdqu*16#%fb?i(atuLD416$@0GQjy1e^NI7+Ah9JJIZ@W5q4cqbrV zER+Hcd|104Ob1t{hL!zyzmsmdQn9SGj0#Yb$VM5BN&*4(pmmJ(K=w#gK<5LkToc|{ z(?Pa)wxnVXgX~%n$`-3nS-T4A(732U;pCY1(WnhRfAAwQ$2%S|x~Q&k8zFBK z=HNrj-_|q_?e`l9^>zk|Jv5PT7ITGkx`r57XBss=yA7 z@thxP_vtjDEf9$2eyGa$*YH`%_$a4w-=1^FhfF*O@J{g{m+sbz8~#C zgjd$m)cNQqab%C>jDRi+D#MgeA~L_x$*UgLF($#X!P^y;lM2u^&o*#LoJ2WFG4-wybv$QY2W=SwVEAW*oI;W0-M?efRMazxFW zUIS4Vh+7x%OH=R^{bPIxFl-r*K#K_|jjLl>@>vQ+Fg+axfmrZh#P-l9vow=nO^ltq zAplqkkO2WH7z1mHzX)`J7Nd?HA;W;Su&A*~sx{|K`woznOqp_dCJ#Ni$Y6?Dr*osL zZsvDbBCf{S9uOi6HJ;i+r1r>4L72I2Mb4p$E6Et6cF#^4x^MvAg>A~nT^@}JdLUKe zQWyfs0^^@(!(b-gD1eC%1vb644mpW?+>qj}iH-BbP{crK8{@hfM6Age2VNB#357&R zK(0ce&(52CRPjlND+P64pjp{(SVR-RO{D4-LM)e!*vC2vhX{imYp2pi-{lw!T9qUb z^|JTVjiCRBp~1y>Z{`aX4CWN#kGhYq9RuiQGAWV88x<+fKq zD}jdK0$@Po#sp5d%jI#k1odC)+YlFyB*Z|wxJysZ)Ik$D;CI{*y7n?yf)f<^>ZQEN zq9%jYalMA4#yjQN=kMcwHwACVf?#}vDkAy*dBrJvdbeP#Uoh+q8jaUZ1BN~{-90e= zXMibbJK|sJGYrd~u|VKORp3QGEkl4qz~Erlh5r#k0n-O%1Dhs?f6iyHM=xF|LUzqH za;W$~(ReX3Qb)jppqKb6>1V5X-XNF*3ZV$YMBCD<0U5-Rp#UsZNRY%6?R$~L2%`v) zv{OYy>DdteiA7LPR-U5=La1TK?Y*vh0NtK5RueddikOszPkHvAPzlq5(s&CHNl7OB z%T@)0HbaMmbSW!bTKF!V>Hne%qycs`|&uYFOc@!EM38d$5l}x=~bnaV9;Uo{%Y^ z-KOF0^-5DtIYFDgq&w%~x{Bv^J3auk4t9Dh953ke#G@nF=K`OW>>WZN%(_B=QI5H| zv{BW8~`z77HjiVrImL27qQzpJ5X)8-TaK^VP zb!Q98?YBO2bgz9aetn$KqVXatI$8Eh(?4Qz{^KV+6{q;zJXL(6|Ch=6OZT|FZ1Ibn zCy22Z`ZUSWe4NTpsjuykCzAHGl;-ueQQ)IxfyAS!-`HOan)kx7M@-N+&m4P@-`1lY z)%D{9H)Eg4trPG2Cpq-zS=DbFS501WVV7^mKL52$ynlvWo@M0>hBRiGb~Wf1XM5Sq zB~Fzs;^>;n`&h#6{yJrXnm%*jX`*RNEfO1=ue`dY+^_qkxeF6Lcy0CNk0ajeDM&pq z)(X=cYqjCp2$(2bM=?l5mz^VC_HyJfdM@3JnUt25P(Jf(?YAABk)Om;Abcb>rM71= zA$wZRoN;GaGxyfVnTQ_8S&)vrIUbp(;C8FNdWyX2C=#W89CV~{XR#5LXTJ}9;W4sO zAK9^BG086FS^c%)RxH1ha*w*==h!`t+BlPv;He~lr+PVP;7(N#4CPI5A}FjP zRY&L>-Wam)yvI;WjyQ5y)?zB!#Gr9eq|AuRwpS&Vlg?uXM6O^zRToh{a}!RP|0MtZ z{ztYh(UN-n3kehFo?=Yv{3pM+5tR37WKLq)h47iGrsmnWlhVZKarZgHBeRkT$jg&P zvb>W+x{d*g_+JvZ0_xkxrCGCa)7GtD-M60H#eSGJP@`K+4AFB2)O)`>1Pc-^=_aZP zDtuqJF&AT(L$pf$T|Az_)vm=qv=5;CYD^oTxQMi!E~}<<_T-oLUM2jy(%8?x{Z{+$ z6B4LRj$)1ve%T0pnrw*9u$PstrVsmza^xf@XYvAaW{Zyq8Q)5N_UfzRw6U~09Q-hu z0!Z2V%Tixt|4Ga2cJ)<{?^REwT&l*B&n@0^;@?dYxvWNi-;ex%-uH5F=um2f)_b2Y zV@KW9x^}?MCi<8=t!3b-Jk)K^zC35DF(@6}sXBI5;6T(O-rWu|mPw9oKgVxzjI7lr zDy|cgWX-WUf0yjSn1KRDgy=^V^SAw66E}V8OxLE8Ocm24iw+ zO`jjx71zy=&V{|ijd^~Q(3=4gK_+lMw}2cD<)q1AV-wXLt7S?;HHI zUq`9;??;~0yTcl;CXy3o*vg(Wu2-)sewv=(F!h!HJc`1KB>i)}ds>^S7BkLkAxKsI zm8Q|ZS=pl2Loj>lB(*i#B>#I;L$se-s@%!kXK2E6SA&!uZ5@xIk0ou8w{V@p5r@>r zI0drU)+n*5kKY3=R467x5F7)`)ep0b?ExFH9XL0f3woz2M zjQMsw;G-|9deZNkGn<*z2j;fwBbhQO`-A2K3AvM6>AK`cW&sfXi5-1Wm8XoJ@-u@- z)oK=wvr#!G@-M-n*}2x=I(&29=BUs(zOjfhe;MQ{fqNL=T9$f zD$fiq!6h~YyQltH>{`@o(yuf6k7lkyWzV^8$}e6LrF_B2#dO2eb!Rutj}zs-<9u^& zka!w!>~Z?%%+=m{7}_Fz9coBn+n2I=pXVpEp|O(fl|>?SEihuR z_Tkyu|IA`-sd&-(&$UgfX3QGCu+HkU^nzb?UQ*K|#q-Gv`$AXR$bukNg559iHC>q> z>7q<&UNw~gG@m9$$f~I@Arjm3JIsMNr$51(Ie)^n4`?M16*!}#|KeP)Zb`Cu3Vp$+ z?5C6AW5CgEZ)~3FdbUjx$y1H6h#q<1aiYLz;^Lk!bn~ruyE?G&7E&rM`+7u?k6ZG^ z>&7hobKM+~_}~v+`5GDy&mJK;htArBvB(``PQ;+-Xj;%ObSHPxwsr zB<$u968QY$sp@^weW3ej`P^9H+_qFie=-}JAhgJjQy2`P=@$Do@#Vlj5kIcL&cyz7 z7@AOi)382uXfq@BjK5ARys;PyA$Yv#_~c<{)0K2{o3muS^lO`IL`c*LBO7&Gp08H4 zxJ_2&7#(D!H$SBYzVXs}3aB%UNZ1!iEY-~{b(e43PVwNehvVs}YYV$_EerfbK@EL{v!EM||g;Fp0o2IH z?+GuDGVnS=N{bvN3lhTx*4DwCA9#tKwN1X2+DbRjf8cd>HTu*GoU9i8u|A$2%gCi-C`EE;`cP4^tV0{3`Do)$ z^dN<9&;18Hk)IB3X%2TX#SGS@EK3DwX+wT{do%%b|lJd9q7tFW{SW7OA zgice*c7|S8UXI7d{gvx3RLIUB%}<~ClpI(nJ$bL2XZl@}K9^5ZjnT++D!p4tJmUC& z4AisQIElJcbzSo-(MFVZQxMbo~tGT&GMI^7MJXzcn4m7o>5MH@;X7hvN zk5gnmghhVy9$jGrq-B(w`6F|Dk-MpH%g)EWm7Vg)ii9bbb&O+>L)^n%v&VMr1^dPGy#y5T) zv$Vip`z%!_Yx>sK5~;o6n_%WzV-#aXUP6m?Z8({GVV-CR^n4&cq_LV-X~nv!TnLpQJUfl@kVKSs+=$S5Fg2rscbc zqq|xH_sLaeVDs--a_GI|zTPGJV*d6>{z|v*l#)TWru@F)H>$g$s?TNpoh#+s;iwLu z=k}`R4;1Gk$#!KT*2B2{ZF*BWn=uK z53b~pf0)KTs?aOtf<0WaX$vlv`8TmHO#tO@CHiKOQbKuoUJ(r}q|FB- zu3?ikMP*WE?w^JxOa(^P!i!8;PpbOuX1V%clediac zS(H3}yRA|_M5{;VyjdC?M^JBz(K#1t=ghvE$Pyfh(*JO%@|Zue9DlGH^dw(?Kl8#~ zJwfT%v<#lz;o-IxIPk3D+hJl;tw*1t8fYyaA?+J!(~itWkF1^xpTG$&t0|gKSRLhB zw_z;)ai$_1JTeML7YIX%83X9fRqab8$jeI(WoIWRgy6F9o< zkGT|Aq;ITuPcI5)6MZX%+^9F~+QB_|5nC=l!~0uk*UDbDeYE@9UiVK8N(J zV6p3=`P!C=ST1#q6A!MTejpMClorh9w7?_gVnHWMG`}rtfh``J6{a3z;v^Pz`cS(s ztiJXsMJMli{PYj(3fUKXU)&RAcl<~&Dgf3Be9C^?=zn!<9f_JO`-~;IQ;(>2pNmb8 z(geIu#y?;O^sf%jYe-t(iAgx5SHy+ie_|Hh^l5R|C#B;Wq4KX}YAo?b%QYBvhQ05= zDB%4hHDimPDxU`uHPk#(qQM_cCXuf$(UVud<&ivK;&qxf<+55q>PRBxl?2EbN)D*U z2c%NOFIIt*jM_aK2S|R49VRm)WIk7)+%9%4f6X9S_^1Equl+AFP=dSkFe)Cn zuq~GC3GNzxkpnHv=Y+~d?W}oK_PU0J@H^wlp8bX9bSQ8|1XU|e9R^(k>zyX+u6xc- z8q0&mT|luN!6z{f4R|G50#9%VlhTho8s-;D4pusb=u!x&R@O+wP$-=M&rGW7q=0X$ z0zD(IYgsPLX(%L0MF^=2gkD-@g^oS{)7zAW5%`7OV|kM;e*jhkdrYm)DXMFD1%wP} zAw??V%t!~l4aZCAlDiu!TtX5Wpi_DVntml93 zNp^JRA)@qgIQA;;!##)6L#)+@b__9ap}oieJwla&5+BOY*|w)L-W06m6n9ENs}QaJ z1d#!9)KDL6e?^z~VHcKaTuKb2^Ca)$&-%bO17mW|aN3y#q}8^a=r~G?ZUCa;&29Rk z0n$97vy3~Hme*xrw4l$QD_PEryWwWE)9TI1E2+*&R>T^O3GGPU00e?{5p0vbl|0Z* z!9Bw0jhF>F z3!^A_n}lyg=lirc|4TeGYjyptHWJwh?_Q%GNEM)o;Bl9g)2-IIC|hTj=@qXs%jX+W zzf>V4^pN~nqioZKtD-R%WCL`8juie}&G(rk22Ln^gLSJ8;r1~j`Z^LFn_Pxj)Hx8Fi;Tca#T{-T9sDouYvktbRqiUsTz>Qv&@fECl*FD zPcvTs2?tw19KD!7Hlcom$}J0@9|tG?^xoDl@8lV>^Es6MZxRi2)4pX}t43?MY25r@ z)1ZRI?0Foct#hTM3zA|_Qu72#KGz66U}ui_tlQSq79Jx8N38^yChTewyta#vuK0ZJ zI!NO09%z))_tFTP0vOet?aq^)Z>!Y;F@`ABD)4acW2Arfbh1nG03KwsbmV721bWFA zv}Ix%bK<&}2HLaZB_t)n7A&QtQsq^kXatJ6@X4_G!L>_>hV2vnuD3^FqBu^^>e`lT z^t0M&@lplSXV>Y6@x$U1KNZ*bjA0owV6Kshh+xRJmJ>H!Gv6)9`-LgwNY1er;h<`S z+Ja6@D9u9}a2p?koOO|jvQl&HaOVt%8R!P`G6Y0l)0-kzcx}M=o*LACH)@I1KxCJ5FkMEe`}e8<B`}twJ@E&UUz>+S+M=u|SiaVu$kyUc zZTU67y$KYieV?cn!e)hLBHCsY(;}s_7mfwKK?N;%CqV;X#2@iIm_XFf!e}gCO8_&> zX4&w?ug;p0_R~yhd9X)%xaSs8MqA9EDXRmhfI?jxmBi6M$=-e%@ArbkI&U=}?Hd8g z@V!na6Y{o0_H1NeA^17by-Cali{9}~TD|t-5q$aoGlD*s3nP3!6cIYY9 zeEZ_sYn0n-c|Uta_Pde@YjczBY-rc>W0)yH$wolXQLt2+r z;C4-^`%M8B)Lo9bS$SYN5>TKG0lYy4mLHg3OToXx^>*9?Olh6Tj*dfG;FhlAaQMd| zhMz(oN58EOGz_7;sOqxkt^5qWpy_6%xKCw>S^&w&mWdjy(P(?E4zmTYQ+&Zs2}t8v zt!NanPJOsF@*bg*Q@qlhokHZEQkSnV_4zXPZ|AdMs46fDZq529wdw!D_OQ^219PV% zYl^$%#tFp{6a5p|Jy8mH8HL>op?t;nJ(2NYSfS^l)VFzEM{9$8tGB9`dh%diQ`xB! z?OkK7VIG++QG^gkE;QNy<*N=%8Fe7sj%-@=n0Zu4{Mbl8cSsGh=_ZerwvetaA4~-aN8Tkp#`3T$_#X6M-|IlQ)N1pP?YrQ7+F4^}6!cG13WRN7P_WWX#h2 zy?tE&y6Jgvi1zpliN-bvN9Q7xlj}Jojs|-!=~3* z_J1Hrb$hdw=3Y;`)!klgyP#~+gBI!0gY-3{qW*w`jfxp1JQTGkr$CB=M=V4?oq7K3fg14KSo)=O}9(DO`(;f3MR-H=< zv=zz0qV@td6`9<0YXELIU@wa|L8yWP8Foa1KH*J%5clG&> zi`p2}|Axqh=Y@dJuDHL*#II7y-!W(LcI4xWK$r2_pYaSu4j_TR*`%e1-oGNm^>eY8 zP3vXX_|k~)DP85e;=16%NHa*t;k{+gZK2#AMe%U)Nw8tI=5VW^EWKXS<{yAO3?lW4 z6!1;-TB&;J(ciAfA@)BO?z=4Uq_m*?+kSiZ)i2~em_Gc7?-N`rYc#i%VN*DZ8I8`u zMB!QXgRW@6g;kE3M~t(Jp;34a$Stup;6Dpz8N~HMS)XQ3@Sz#v*K@}n?mq*l$;5Ql zx%oRb4uGzEB!xpvzA9&vE+e%~+Y<$OYOrs<$X9#(6vk#BM5D`ioG%RG>3K4dju(v}ql<)U)bEarbyZvA;?UErgklTDHZh{zsQ;=Y%8SpslB zTkMGX3G-OeR*hAz!9JvPbM={LyhDH>5g&R;s$gujc7Mvv?z~rDM$Hfw-}KAP&Qk%S zlf0KPK zX@L5C2#=7wi=r56&oRs>MCL>)NezC=MQ)GCUh=}RO8X6n{3ImXMVLhpucjtVhaO&~ zv?HtooMP~Le;g>h4)c@f`L)m(2)Oas)rzeROm1IJ$jd#j zm)Ud><)+{ANJz|A!}&`pqaK*-`K`T6ozbM9y`J}|^PqA|_MlHwp{;+kw{*T2_wr$Q zwX3nQHv1k^Zy%}Y?<{igWEQiD@5Xq2x3rl}s|W%S0{x(cgzXxU%WA*<>4HoY2#Amf7*#uVkq3_Pe{UO z$Xt3=^^Cv%Rs6jr17bruLwtyOKx}IrF(B%vZ?rzPTV8ckLBgWG1%+D;9TaLIb;ZL` zDcgUjGr(nM{Y@fCnCZL}x>uea{v#qgIXFb;c6T8L_A#I$a7Ako>tJ=kYbVB9j7tFy)qkO2>f0oJdJr~{1~_fE{8 zMF9X;51r+}J}D^tml{OdiCP6h^n<9V*fHenaw`o=S*g__{C$nHx!wGYnU~m!GcRv$ zF5C!D=}Z>lewr@nww01V_Lw1(txV$sufcp~YsZl^@|GO!N*Zmx@p-QFdQ0(hH)>rF z4X2Fai4667ri5$@eG{ud&aKF0iA2={e0gN_eWgJn5k+faWibWPid@3JQ30HN%URM< z-%ahKgZ7B#%%iSHoSEMV4ZpCG--$`$GBz+W>j^BVi8|@;^l|d)h=2nxT z28T!*-KziKiv;>}U%e*r$Ec=}0;1PGRFA|+S?6@)xV`6$+;{kXN&QTO0{afMHOtUs z)K|V}jS_cp!Y^W1w5}JiGKde1d?dqwB+y#O_q#q5ZISo!1fz87+1U=`OzkTdnq4l6a|GJjfl6fQJS%gSq&ZdNJKvF@ndPmT>2&m0n3G z?lm+Z$+*g*OK%P^dH81M#Mp9d$@gGwHFwqHPD5Q>YrJ{awFjvvm+EeR3z$RPZeJsfaX2KqB3g1JBX%f4FV z3pWUqrw*@G9-mANJLz}+m8mV zIg@CEiv7xnwoNdtupxk&Il9PmaS6pIa@J*XYpf729P}A4-;Vi(6srH zOE{Nel=WwMkl{%2t)|akom*10YCNZO5oMno)u!{#0D**AW_3p9aT=If4{_gXovtr4 zT?VUv7|~Mx<|PVnz%M`-UYNaUE*YZKV$W?r&OMZ~JP*p-32Nzas*Z(&PDo4Ud=C&cIe z;q{aSWtM(6vT(W`Puz_F1QeI?5>5jhH!#u!jq@%rVk}39`eUgG*UGxK-)pB3&@AJ=CmDy@<=FY@g zSZe{yy3B0A@X2@`cpMWyB$^)XzJF}7Wy~sv7|6|~7ij?$c5eBDr3#V?%(C9Lb~I~g ztBHqm^#)mrm%_zuf6uo&^(%;n=NwTD7Zdx_ z0mpPCc4=0T(5U7lFN&A|bI8NqLI!>mmTx}hN!0Btpc+uHH2WpxM}nEN$VT>*g2A!S zY(oB}?OCaBp)wRJ%GPBk{}h@#zmt4?(|l? zL{uX`SwTvSRaaPTXru}b5K){iS_VSSDLIPs%;O{d!?pP4XT!8KlnFN<{4FTGDXFr_ zB2Dj1O2%kPnIvcaQn|!5xCYa$clogVaZqc-oL#Q3_FXt3kws|2(SlUN^Y_4%DtWN@ zKt%h8Yi`EoXb~_%921KprDf1q1o%kWhSW~&j@W8y**V_AbyycE z&Z@kgywqc8%!t(;OZ9TS7Q0;DU5N)Frg$*!?@(f3n*}?@-k{V}-#fPXaIll`aJIue zGnW+555T=ag_KkvqU9EI{umU3hpyD)d96@s-T^g;q42&216SkUe~8dg^5@g=?PX2( zGipes$bYtIZ~dZnt2AWh5?;KD)z(h?EP{%$Lx0{~9W>|sIf~F1nQu$hgP~*Y`&*wm z{nqAL++~|&soA*Ue+7jFk}j)Q+nlSi{+OE(d_mxfS0Nwa2Jl+qL*;WHDx_Wwe>|yq z_kWXO_wUO|^xFsu-{U}E5L<2sghLOsUiMvWXdriy4-R2%#IYsMosNKXiyXAnLpij$ zbexZ#2nbAIovjm5g2hwgNbAA4RCFs z5wvx8XJVUwTaD=oEiUlw4NlFVmKvgTKo1=>ECLzd$%<|3<(f>g?P?91j*7lurr<{E zIaMrQ-l!qQFds_6O0*`Eloe}#n)|6mYJ#ZL^>_K8#w_&EYU{-189wUK35ya3>kyA-?VlQOf{FZlEIbNn-BN`s*(wc2km4%X# z&)SF`$9*qjBD{Qy;bEN&##)%g>d!%or!FhoV7Qlu6jdnXFL$exhR9ilE_VKLb4_`x zNBt0IDkLTflSwbGE+~m!b8(8HaKdX^Ukqu7r2p2GVjE^KtEBhXFX=N4AaHG5E#*V{pXP8R}Lomf5);`$G$Bnt)GNYHGZA%@GTk2I+EXA_|W4GBpQ7_T>o(ok-uSO~vR3$onY<4ti~%)gns z%|{xLR03K6Py@p>1k@)lQ z>EH6|bIRC;u%ht%FFu}STo+L&R{L19HCH-&Gr)!4e;k!|j{b^8{`wu%+|uSB)>UJR z3g7C>T3j~-x*ZmKuWC>|rPxnScth;Xqg>kDWt-OQoq?%Vqm&kTxcCmXpNRcn__USF z|L5mr>V<*6^W#3CpHtn9O{IVf30>v@v#5oYLyqhCE0lS?G?&F!&=sB?w18s9b6ivV zIKV!)W82iD3xLH^P(~UhiH)4rbU6(yr)<0)1x3xzXTJsw>0h3mH=SwTuPRJk4(eO#aN8$ZqJ?)@?m(Li)$}@t z;P6McfS#84eUx@R`9}|*{Q~?>JSEKls$xl>|0(K|Xm$Zw_+F;swQoC85@GF9 zmMy6y#TJI#`&>y1nFfCFk=Y3ivRJ2>YZSnaQM!6H+PXEbCU(WUoq55{Rbsff4eJ{& zqZ57>F0~DV;I~t)B5HxsH6)?aUihF|U=O$=&`ZI{3J|DtD%`&}^_TMhccvYKX~8b8Q*WrkfA1;O2DBxpsND6q!x@N0FZN%6L7Oq z2Mv2+%s0NM63oggp{HilTeXKfSKtc9jgtGNb5F678UUGW|7p>&7@qS^f^0Bnh#TRb z>$dE*cn6Ngk#SLFf2e`{Cp+8(WZ77}YNn;_{bqExZ&vG$MZLZ7r+KZNsw~ytVnmhO znX&>llH0Q*c!hv(!znxOdLS~x2bHJJXRJDF7ae^)R5uHQkcK7Ac08w0gLoIHl)Mza zj+PFWf!(C%+Ms?>c1pZobO=2cX%!-pe0ZQUR`qSYX6hw$iZ zX$aPRgOTu!0>#hFGtLc z&jhYB{Bf}RXIifZ_#9YC?H7nju}x9{ZC3Y%${UiV_X3X5Q;ii?vvGM*6*<(x}p zqo2vO3?@RCP8lyTvigauyor+P1GS34R3Yx}$Zxgap2?bzN^BWp-gC3)8s9)0 zTX14JZLgPUl)h0kSUUZrrU~n6n3rXBBkqzQHv;V%nwkjnxrmgJUzWgbF%n-zofjbv z)xP5XWEx0ub;+WBQ@2(eOk33y!e10X$3j&Ge;D}aJ$*U7vZmM9`&#-;&vY6s)#}mC z;XsIZ-SXrkeAhprid7oG&hJ7RF)wesC_je;Vu4Vq_{pV~O29h^B9h2Qd`JGU$+jEU z{(Z?8Lo^-m0q>tisrJDZB$dH+(! z`g5^~?pTzC)ark2`z2~@!*bGS@z&0zg7NqRf;fAYH_Eq#Kg{cKUqdfYzG^Hc1Tt}5 z<4b4!-uQKGVq#5V4o=@D3U7Om9<=(qsP|Ow&b7RJS|Glk!8at6zqPvtM&*_(FLN+o`}AvUr*2RD6+NZE*5mTdff+>7n>Ds29y3NYJzO*pP!7@4s^g_S(9^zVw(@ ztf%_j3J&ZeS?uS8{VlSiD%MZB9IcU9W^mK=7LcCuW8jXj{OH0ft8Ipfp+5a_%j!an(kHO=x;lc+}9*D_YmY=#fx2!;YD&OOvCZJ->FHv$;VQ5QWkdzT6<5#Tnxt^20$Z=}?8zO^UMn z#jEo4>F-)Q`|ORhA=uf|xtf?1h(81^RB|#TpDFY7{@mXD8yE2u^7DZeu0N&SMtMmtRrP@i zy(^~QAlbm^nM!>_SIMKtcq4dzd_%(mtOVryyG_>1OD~G2qvyOr-GWKvc5y3sD&Rwx zl{q4I3b@dKhN4yo5Sq>EmH!;OJb~AF#PmND6k8_wc2D_r+WtUamPEG~f}q;2y@Pc$ zUL}XkD%Ci2d;ZH2D>>Z(d16_N z0^!gt5w(IhGtAu)4bjw;nZC2Rvf9JpR<7o_vDLN(7YiG3`y(o*JetRi)EhEMus0 z=3rGx=ubu-Qwk?rAD+@r+(`80F(R4&j)f#&+5X~~&HX>~$M|%r1qBUej2t=-yDqiM z_y9d)9P!40LE$QNjusqBjmy=Jg9apLL>E6beHE;rTGKPh5b77!-%a8@Am1P{@cA0>~PAkg+T zUufIH*!e{F;QwO)`8Ny_?<&fX(7KidZ=fZ*hBBlHNcf16u#!RYNicEfb# zTM_nERJ+&`AYv(ymwMkj!z} zIgzG2P;lCJuGSH*Z4HJibJ?t5@Lbakmr457H`PPOTiROZWd#zf`wB6#qP41lj)?K- zjoZy?f3|&jr1)>hSWPYN3;o0b#9B_9>Io@=;ykwegBze0Mk}(;PqT7a6KTQ2I!nxY zATNC1J#r`C3MxrB0Q~jWUq?p~d~yGpdyBZ8J8ZG=amwx9Hm{x)%ldLJ`ogQT?)9t& z7U_ld!tchhf1q*nl0=z)V8!K=HigPECf3R)xBvMeE=0ddd$clb>PgRexKmnM}S| zD|upTlzih*yg2!+-L16(Mx$${piXhqg~3gvT&FZ*2~rkmvZyA zk#H07&G8bi;UWNdkyt{2K!WMiN!UT&4_a$Ck!nz)J zY(C!d#Qdk(-2bM=%4HeW_&s6a<znzOWcb=DU+BZGjean3cO4kH^7Th{$&#hr?Gu6M zX+^LuKJ@E8hf7N6ytBr~RPvlfB^Fii_<>eg z?Vi<}Ew7RjBY-UGlL2d!+;pd~;zUD!eCnHp3&4SYl74BOz7-VhDz*`%{Vx67KkEZV z|CML`7rtg=weq*A$zAt%|9QFo;h$Ig(4{fOc(VGpF;=1~J%57Qv0xr!EL1hpBD@|S zyUZt$eog;jtdk$;=Hym$+KX$Cb?+PBu8i_IS}d0-PMgC~Yi9x)JIpJT^1Oc+@c9kbkX4QU?>7GrgrIaF diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index d5623f7a14135188b2c53bca0f7fbc19e6cfc4fa..9e1cc63d100a7953d5d0e733cc5ec4a4575135ef 100755 GIT binary patch literal 19696 zcmX_m1yo%S}`O)-{8XmFpr<{V~@AuZ=v6OVke>r3F2?1kNWAfo&IYUkTMWo3h{mFhi z%tx-yM~?0Wj{08AgDdd9;Iu{fBO$bIG1+P9i;Dh>7Tg1Fo(Qd%bX2sLTd)YCU$1ysnAd+fZqehPCy_W<@`mSlXC{DHo!6VeV<)cOYtJ}iu;5vQe)OF!Sv zC2f2Y%6v~+>Z&T6tS+0d03-;hMQPo$XP4~%2)mND72UOY-jl)SV-m=t(3_)u{z{gCtEBUHT)L zjY<$-)_}`%yJIB5XXK=Hq3D^f+AP8+yJR;I`-Hv(No&lxh!f-pN2j$T7WrzN!2@>_ zvcmGikhRLp)^R(YP1=)>iO*mTf#w5-Qa}dz{urliSd~smZMw{}yLHHt;Cq3iZjR#t zVof-GF)TA%0`n`MdTst+$=_C?#qb#NCg|>&cWn1U#t;qCg zlqAdb@j1JSHYTZ(yRlnuqE$9ScA-_LkS|)KbJepNj(zpnUqL9@;S6TYVFlWc=Y7TJ z^KjQV=d;(!-jQtb?BU%!$sd4ZT4_3v)o;AA0ol&*)W)g&7(Oe5P)0?A4CZzNfng9s zksqCReY?+1J5yq`Rx+o~y25iYd~*nVA-bGSLJmq1Q)cItftieunXCTkj1Tc5+mG&v zS;s!a`((8c`h&Kaj7grLBzniZAAYO~M9|M-wrLbKX$$qutyj|cg#yHQ*-XD+5`lr3 zIfWrFcM)r*Wl1GvM{@!IeNzt9js218Ce()ga=Ml(Qmj??OFRA6-9sH73Bo$EZ>kTbt{TIqUQau^ zvFNK~_W$e3|MThpG0pDiYctv*z7X9jaQrY8soAVTRaXbZLO&cHGMY+)(iDQuPzCq~ zZN5V|!84JzHT5Jzoy)sblGuO+ZoH;S2Gt$z-(YoZv8SX~B*Onh#I!vY^jf)a zoJ`!XtS=&w?%)57QObSk)#))p{rII|sM`6UdaUtqT|IBM)MW@iIi?es*36tLrPR5E zz{b@zhqn24Na<04y-yIW=5WL{)|vLt?aoJS`U!N*E_Jc8l=NydGDh9*cQ@jGxx0o1 z4y);&c}~}=UnIKNV=DM*}q+Ehrk>SyY7fX(Ag`+pIk{pC*us^ z9=v^>3Ddn~hJvP1`;kL|sXNjaVD@|F?Z%gTVGT)B8!*zKyp5UTtLd!{(d{^?kf#P$ z0d@v3DW=%xB&xC~;`SExm*0VzDyy*Oc8b(H4e!U*D<7n1Y3bbN*k;UIkf49zTLYIw zPNAuVrE6#d1EdQ^l{ac<{eRq*vgX=8H2FkQypRI(^#w6F5o1GiuHsg=+1?G7$ z$H1Q_fP{jE`r>O8^ zMO{GES=WJ-`iU`Yv!1WqgnC~`Ri)F~wVD|5hFssol>YI(3DG}1M}n4@Ry9c$PeIcAp@bx0|x%(JvwoISx04Xrk}n|Ge6jOk`({F$D2y1qTFE3p|^Ea=YR_e2xCd$5&IV)E2x+VRtwhR&u{(QB%pX)~PXzOiCp+92OnCj;Iq* z4BSvsY~m3{8wfr>W`cXu)p3JPj&z<{tlVQT2X^?a!dWEn6Y3cDM?36VBzM{TJxIw? zjK8B|ns`Qgu8$p#!0zZR5Q0qU32G^c%6tUgM{n{!rYD4h2XK98iB`1DNH19c)+ar0 z3pFEtfH$A#%8zH4lz%|Sw$^Ue=LELj;UE7@Sd-OxK_J$lspnVu?~#z0m_HN;#*K}n zc(|r1NThb-G`XfFas>Gjzu#XfjP1^1ysBnNQeYy6DG~RB_(nLWpCxm^WGGyoD+8+V z@;TY^UWA^N4^?tHb-GcJn203OQkp>%c*;-~LnKyDT3PR_=7K4qYR2ehYUdo6m z3a3TbqO;j_vJRrr}?kYuRXjOCb)TOa?E&fu%`w` z-wPr5NvEbpIlUYaEB;_=u==%fK?h|EVUT8Ju;HyO=7p8?G<{(F!N-L3@DSICVL#L7 z=XP0z>cpc~EIb)-Se@~~NQd0-z3?ZzHR2bPk$DoG})D3Q@1QF=GbLO^FQBe?O z@;odH<41Ta4Y4(weKy$i2Tzo*hjjThAElCg3Au3;(M8ba)=-ILxBlo)P>oLkh`#&# z$L(;}@DoVs(bi>2>Ers=r3Sv~Ire^&R4UoGC!^4JN&i>p#!u4G!>3+qvXz!Kp*!JG z_h%3m{7z~82VP<$ND0MouQqnS;RA3wRPx5qGx9DT;J9S}vZzExy2)J9%pnn;{;p7M z?JELC8{D3md>2KDsEuJ(>ZbdEkL&uvr++TBeh@FfnFv9&y+p;i!#lzCo<14mTu8mS zry|_5a5`e~_LNmk-y^oMM9ZbS$34w7p;YI44b%<9xCRZ?qnptshF@)t*-KG4Mb*V_ zD2fNC(S39bb*$C?$dq9bo+s_;9LiCuE_iJ`H<9uBZ{+{kCLoi;j~Xt~*ZhSG?QOl6 z`C_5lpq&q#H4Lx4T>Euq@?R~AYv)8}x}f3x!JL*ui!}x~)H0vXQJtXFh2JvQO=Hh) z6Zw`!{v#(VD)XPb5L5Y3fCt+<(lgIB;vt99+hxoCrb$i#mkBe;W+h%xq2V7ACK}+G$Jck8P_Ez7Jo&pSL)`CqAFTsTN%jZm zD<8IMA7US}FYx=qV%KwoG?<8kI>Jw>i!>OUH>d@mG7gC-ncvsXda7_HH)X43!ow9K z7VA7c_0c89?|J{`KHLg=EP=197%T1}!;=iK^!XN+r1j0O*o`&-2BoSFoqZF;lW=id zaXyOJ|IF)+aj?1B1Mm4hlf3CQ*V?^u-L!}_Y#4n`tXY#grL(sDkDb@gdStlUEH^~+ zVXS#xSXl86tv%NSVZgF@sRwUJ*>sHSo;|`CapA)OGdK|!0*d~LS1fG-Zoi4SYq`wX zwSJ*yba4hcy1x-m0G`D0*!%G2s+vs;P|fRP=7ZyEn9b=E?(g9P=O~K}Ch%Yh$oh47 z2z&>=Ax(VP?A}jx?D_jV{sd5^!MyHq*m;Oo=M|nv_Yisvub&xtX&Y2d2G&b$SO5gj z)*c4m2D1UKZxW-s@B1l_%Xl>?Yd4AWmx&*EniS`#G?-QsG_fBgE5btH&7bma;K{`g zo3|jL-{26HocGb>z}vY94%$fNiTqgz3Z`%DmOhR`C6_$ausb(~#m*+_6k){XmJTkW z&3oU|LSBcyHyXLrHcy@K`WA?a^~jV<-g;?OYG>kecCkhidPWbdI>rfd!rO7!n$pRH zQ&EK0;oeHIH`Mk=9d<8Lm>|Y@nL`qbN{dlp8m#^CalBS;gOa8z52;iCf^Vf?fqzUb zgjUO!!wQUSEG<(Cia4LdA+(ps4l^#%ixEeeEJ-2xdfamS#^a<-)PY_mgeJy!8x>>C z9DUIBWi#~^kZXI;?n$okH9MO*a)lszGPB<2<}9>;3q8xc-{8QQpz>^8|0Fi12Go!i z6A9feYqL}npCidFA%iAEfJa(yiyQlGl*MkB3yAIH;(hegEdY7I&_RqXYA(?^&9c&C zdO%Nzs>zB+QOvNV>HpJSNJ3t>*VeW7+_jh3wKvMl!9CTM@FXqUqaZ7&$M+V3CYu`0^!Du&nvpj@44&>Bf}59J-?5 zq9;&kW2uW3V@~~d`VPR8p@1D@Ua>m8hK~ojQskI?kz4s=Gko=P!PKKgaOD&~=Qd{D zkG{L9gr>WLCdaojM(}4BLzTU?w(#iHPeI1Ni#D4J8Rx6I4ZeDRS5j%&W`+M|aEF97 z*&(4@uYDm|Xr>dWv{LiX9xcAA4OQ6wd7km-Zw6;bhaL`U`UD@FeaE!K5Yay#T#$Ln zW2}g1$7*x8v1nqzh~0DcI>4F{DhHU>YtWEewYQ!+WdYaV{x9@hI!!~gTI^${nKkI z8T@PYQI#QEoMmCZx|l((JQu@Zlx`D))3X)yFukohVs-;+iyp;l3yA-aCSF&YFh?+64?kH zaSlBe)SzlAjXPJ}CO!f!EQrf=MJ)Fbm#UVbs@-J!P+F82GAg{?M4eqHnfyN)Nd5H& z208^{LXJXk@&j&L+<@oD0P@IW-{AD?|)kh^|91mGI}Vq^Df`#s4TRly!1 zB?E7QFslt$_|5Us<=0)W4q-}_Q}tw%9a`EvC3Jzcb(tM1=jvCehWjm}>+5E6O6rDH z@hO+hGqVaM^nW+ih6pK-Fb+UAm=R4#m+{bh@*{1>I8=3k;OaUfG6~k{fl*>|%L{I0 z_uTnTcXot=^)56B>?N|%#VkkFa7-UDN7KHkqx9WTsTXmW89p~W5+ajn%nw#+rJZON zJKuCDN%#XiLoPAPejp0PUo1E&i^k@Bi(8mvWEG|mn66fv`Q_0GgGGE}q3s}K<=ueo z?^+Rz2Si*fsYV~Qd7E0yB)wPnCZ5XzGxT<4Um$0KD~lV%WaA8G`{qZ(e(fBFa8%92 zn(wELgh;FI$Y$8XNm&;?Q>4t}3LD>p6H!C({deaCMf$&FYzTMzj7MBIrKP=jaGgzs zItLyo8cV&z1QGicjBi0V-`tSA%;bsgS4jOSR{BocTzs>U>&dFQp{M2vK;7?MXz+1y ztewO06mVQ!_C^XKSCRwGAJlJr;YEd`?mWYF9Nl$W6 zE1DV56AC?1ll|t?D125}k^I-?!*T&i^gzyFRa{r5S5yvy6BCwkSHg3uOtCk4*0%0C zh*t063j^fqy5`tX8KfPuA+xh?^=^AJALE8*$-mV=-7IgVV=rslaJiX%N%^}UX?Gi`I90GMlA+9^o>Z88oQ z<{6yqx9EjJwgVhDV}~>xy!2nZ=HGICMe`u;f&TxZhF>gDNg;KBP-88}xkGT^--oA4 z{F$9{Zxabelo29dx<+=l~9(*ue32Ik_b64DKmkiF#+^|y`5bpX;u$y(>{SOCx&$^JJwyZ# zm>nQRW+-d(>%8)CYN6es1C+d1HY0~JH)ZQ;F*Y5Gi$E2IR50;1X{lwJ)(?joxH0%d|yKGe27G*bLSUk%vQ8h zM|T7R5%V7Bq0780osT@x36k;0`QJ%NQwG)&&2AlFbWT}mqKJ^r4sJX=WAl!Ti#qPh zoP0u#3T9bL_lFi?y%WOLB)mtLw$o8QS?QC==e~6?tXzg0nRFxnq2*}Q%*y_Sh<6zl z8+sj#1>Qa(#ShtXfeORewEc%Tp+PE=lYp?SdmK4wHFVMZ_2q)ymYM;l^tTE8AND3@ zB*usnypMMKbVoo28=iwr?FGucp$ZhvEgZ|kvY;l4c1NpBF!NFzL_3)~>t!ng@TOyhc#g~Op+ z8LrF=49Su)^bvN5=nsNXo@?`BWPQWpr{0U)E71w{Co=o*oz9ALu8P+Ii-$<0rXM8V z7~BE*)u8Xqah3N7Stqh?<1Y3+r%nt`Jn>H*wldZ8U0$UOH9LPsDDgNtc`^$pAsmK| zA}Q5G3L}3CoMQ#hpPXg!jdm5zhFAvV%6=vtF=QCd5?qIi+?7-1x|n&WGA+Gj*7FjY zXlB>i-)OM zRGU-93t{L=1nEnt=E7kZ)eHI9%Mu*z%Bm4%?&lJA2vFY#s`vW)lZ2surKD({$3+~l zdFymU0z)LRFh>HPPzdl{_?GHN4PE;#l~E6H}TP$`WDMvt+}CO#Nur5 z5}zu-3Ih2rD~^z+R)@2Lx?v*+bW@w%m8|y+CuD1+UP}zdb?}YN2l-P@MNE?Te#=eR zoY6R?O$P3dJ(y9z16TJsxa($Z^r)S#3M6aIQB$+c!L;!~{6YI;#nKFmI)luelgk-H zyl?qc(kEen55QF7e*!bdqh!%8KZiMx6*n74{h$1t&HTT71JR~2iE$E5ij&IH3y1X5 z^lO%i6%si&cu%@y4nnivrg4d? zGR{2$@h&gY9o&h*vF%tgC6SdIwwx^)SSlrvE_r3{dgBTS+Kq$9lojsdxKb4Odj*0; z2FlYD5-dYM%8a-cys}7U=H-2@?KY`@@DZEdSG6Hx%Qa89@JZukyezCuVW^aZ-DRT+ ziH}*hF>k5a=D;&Tat1thYi7bTo=Tr9migWGn%UMp^^NiwSK@(~t117EN(wX{V^8NC z@=K0iHqnv=3mrSQUy#Vi9BGo|+1%p+1K|(yu)Txtjw$F6eTOLvwJv{S3^Yp(?FhPf z#Kv{AnOsH!jm{J6m%^Tx)sp@R$&Zte%gOKnyn^qDIXsg#Y5y{P7bV)hlxlbVF>>i* zKo@`GE_^N=fAff>r}J)D0m96Ke>QEL4B8P?b<@3l1sagwngUg%PkQD2+bGwcuIWaN zJT&E>gmpuKuTB)Z3M+SiP1;Cb33iRnwiyY9?^`7c4@wb)hGC7-#(6MnR%!X&aw-0V zuYW7hocmiCt=~^gzGGRfhdZ$vZ)obcK%&hvx4`O*LfAUTouqvHsg zDK7!Tu_3qGfa%rjN_dnhg*S@rG5*sz4b8^sOnMow2U+2E3j*B60-DbOyEw7wDF-#X ztmbXV8NuP1!Ft~EzALPNF{$Orl!WQfPCvSo^LlSc(>4;hKbq;gHQ$zoJ)4qYi6Z~x z#3#Y1j!uyem796EuzvYMzbvoizEKR!c;9ZdehBgre#$cY^M}i@fYlxgd%-bof8G%* z|B&KF+xayab})kdpqKk3#Xv&XmVVO*lWRMSuZaDL%w}go2CI^`q=GE6L8sr|pBWyb zUtkl@AW`BQ5pgfJAy&S3UAq$YWa8IvI7Q?HYGu-MZ2Y>#8n+xwVh8%!G&$AI?@Tt| zm9MMfcvMmwTs1D|R)ng5;gvmUS|(&QzNPWxeL|2^U<^JW&G{Y+}9wB4C4R5-(d6dl&CY)`WTe|#3-uXxcE>WDcke4H= zZ?6_-CQFMl{=gxUb==Wfxo_y~Mv7Rhfy^eEp>JHgW zay^UDLwsFtv5n~m=O4)4Kmc%6Isw+_WI)PmBFCI(fS6sjF-P`BU0 zKPT7(b!R!)f6)@;&e#nQw0GwMNGLFGfelbsUw??%4U zSAON(2!4W-FpZ$PMBVD*92SOlyxD6-JbsHiz*Ay|b%vd}`?qRz%6PSWh}na1or&24 zcYTY|gXp+Yhjv{<=|{g~8`puFbS}1W9^PPJC-JJ-zYNDs_U_itEcNQuFC6~FvnPZ$ z(k-zO_H$54`2(G7VqLJ7FftBEcrF1o4M%uf5YAE^s|6wcmPGzRRQM>eM>0hlRG*#Z zP8+k{dkPr~eQFyHir{&V+GG-a(fIC5H`6&1{~AjvSUR%@gzHTGzA-QDPGyxdWGC@* zMWrBM`wZy;#_{NZvgf`kMC%uXA$f1^#2*yPO3Xpstc3q?E2P$s8FJn7MRkE?Nrj?x zAv#8<=pwok&rQJf!g?Zw`}i{TNY5J&fP0rpS}T+8Q7UT6d7HBDK_b*1q{JQ(dIN^{ zASUy{+m=AjrCnVPB|iLIkCfuy<4JYs^g(XKzRS#Q5b1$jf%QWEHaIYzD<>ihHzITm z$Zg!GG#q*6@Nf@$WSHX5wG>_gdruwuzoWSTr#1tH@RFS8Aai@*Y$4xpjskL9f`lMm z6sMYUQ~hUpDlmZPJBJ0ew+T+0;ZBBuPsF*^S9W{1ku6W`gNwO`)0NaDNGC{`lQMP@}~ zVpMkdX=S!1{IdQ8H6+o~_4x(ToQCVIR!0DkHGcG>qe043juW}-qF3udV(+u+PDcCd z?su)#;(NvaE8|uHd>kVW0R;}r0g)`97BlCH-OpWc8=9giK5 z#^F8MD6}q|K8vzC2W^w&?AQqfpBadb9Y45=4!=tl`?xI};O9WW)|%A;=jd0GBX_}@ z3xLUn_rf`<%k7lUK!4$#`Z+}}f*0sVkR%rk{8xv6Js31t^nfkertgJy^MQR= zmJ5V8fE(GiLyJjA00?PTJz@Ut7XR?Huvq96VQx#V5Jv4gOvbDvCMpZ)PKp$b^Z+4SlA&X@7-PX&9-pk09 zZDS)n>YqW}!ICp^=d1gEJW$}}t%7F)gZogopV!dS2g6JsZiE-3KkC>T<5E29Fk6Kv zjM9%e1^^8vQJ$DbcJzVsoa6{yY)j%$Mb*3czq0L-X;S{@p}-8 z;%!-qXFbk8?KI-&t`)yQ93eX*tk$n3GTN-pA&$iw+-ViJeVvbwMWG&UEKa zLfm$RlZF%!AGhq1U3@KUquKUpCOrb~dLZtQMKkY`nRCt9L#{)N3_1&CTu~NYE0zrW zknw`Bns__?bdJ{Uk<{xq<(JU*1M)YtDRxnGC|~B7ws2>{BO9WAqOd#aTnm2^q!&e^ zK?;%plBiwPeqm%jm=UfQwr|oV5QC`+Ja5i8I~BPO=>5PbE)Ss{W|-JRGu0H%lr2o# zDE~USNf$GJj4%7h4}>>Vv5q-Vdoc`c?GVzGa+ukV!`=dKb;$qxJUh6KuP zQ6ki-KI9s`zpdIs;6@4J?Q4ty#wN-O&y&!ii;@}2m-vWK6oBl-dbFIYzgY#lzhZ@Y z)FGl3;MCQGbr+ONFLHeoHlUCz6|@22g>s}N>MdFWn+^T)V@h<2*`EaE1$pYP2oPzc zYJxP#Df$I^N^?q2lwYI=am4v{Q=|!(Lm0@x?B+O8(fsp;SsAL1R{%&GYdty>3dCLC4wJU#%*A!HpTN ziby~905PlF68R=uF#}@WJlb&eWMwIDZ^a`~)*9V!0IbJkc^0wGo!Yd;^wjP4so?MF zIh~GWo6#tomBhl-D%dqPC2WjMg@9SoA*ojp8ojI*33OPq&CQLNxgX&UsHDJsmfiem z?gUccG|Mg}ZagWli)HfAOYqstq&iG2_~I)uK-i)##Rz|l1@L(r<4_VW-ECTGMsRRA zI@FtK(1^eyN%buDQ-|uk(@3R1O+Ow7Xg2j72dFZYfddr$kI>bonRWKoK;g14)$QQT z?)?fL8Azc8fJe;+bZ*Rgd=^}&q`WxM7ljCUZN^&O>QNvlp)U@Bh(|+?k=LhwE1Lb3 zzwooiQRg{~7M&>frJVHxcZKG-%cqs&uDqRnzJ)(O^)?KY`1oWl4;)$~6Z>rVP4^ug za<@kMnD|b>w;FR&n%rk~-dl?ALiwn6mIfzB_c)f0M$!Mf46(w4#=PdyF<%!i27UZu zAyEHAZ|2#06F1OEE6)x7cU&2>hD)@&zP@f?uztQix|*83#${~|_HaM-7`)LDq*pYY zZt$T|+N62)x&1*ZNoPLkf4G7}OPu}<;5Wm|KEZ1;PyW=qNO>}sG_)+!ulq5k{l;k* zV5`oOY~h1_Uh1jeZN&OVmgl4v-JOQWb5&{`XnbPSLS4Q2k%v^xM>O`XTn=D(97Spi zl69I5FbDnykJ-!CKffM&?VaEMc3m7DP1Q?TCwOkj+Ys1MD3hE3%}sJSHO-oiT11z> zVy)ias(PC`g(a^9dNO&_CTf{ktQ~e+G_g;Z40~=SU@zK-HlbzD}-G zpNYSacgEgGYU;S_r!H!bW?KqY?3KUxChN1;ti5BOmz;6m9jZTZ*a1@FF0IMUH1DI! zJ6lOQQ!2kV*r;(e56IPzMILr%R+&&vG_=TQZ0z|=5CWh1Lhm6B=Tr9eZ<$>+_ciAG zHWl4KZ7%imY24F3DVk<#`bvg8DR0=Ro|9bGAHN?mNz(%5RFrEc7=f z2`n$eqvM0K4>CGNK;r`5o7ts+r&dg^I4&TRy-9ow%&!;KtT03KV~g?5VnD{*KaX5q z%`u`GBJ}a=DI#?Mdn478VFCGQ>+*ZJ&52kW!sVA#C)Z93p z8bXd|2yf|iRq$=q%2 zi_A46DYn_%IIcA_ZB?f&4XIlDr{{Dv<;3L!E*cvT>OD)iw^vsE!z_p=y5mAhHbB=U zH#f~D+cf|#r;!5H1PteU)a}`1kNSN>Hf_y6F9Uszo>mZJ;|seTJlM0nJlZ%L+9oIb zBO&*t(^sIM?-{{eX957CW$+3Z1rpv8ykQ|}S*4LkVkg*FrP4E%Lx@o*giuW`;UK>a>B0?K%?<^NEK zeoMTMt$82!N#8s>$Gac}H*}zh8KMiuGVty(dNIldy{!(HiZbaG>c0`PG=53GOId80WX z1-+&FbpT6r{pw$QAGmM#Uq&{%g+88EEKc`t|LN^tXC8kPy1tq}KfFBO_}+tT-U|%g zW9)h+fr;awN}$h8x~~$`z5Tc#hk$-XeQG!tR=4 z{|IbmvTT{K*6CK-$obH=?-_oXq`kbg=el5Kyhm@=UUPq7j$UV|>D(B-8S$$(NH*+w5W{D+7B@d3@7Lj3+BUsSn<-9bMt zIbcUCUSv~>QJgvb+0;TeLju#Hit-C2>+}llX_e0&Xm%c6-p9loz;{<) zgtd(LhQkjT`czOjr=6K*B#j#UVZ#S0Cr42@Q?@JV)Hz4C&^)bn-VP|^?Q=A#eY#_YaL}H2X+J0x7yX) z0pHo-=v#1q9=*Bl{>5SQ^OsF87|z2Nb|bY9tRTAiKDDUZyNh}?|2o z)60+03BdJ$4|MkqScKZBLF$C=1-k}3>R>d_)9(X~I?@*VfS3=5L(LvlDMz@@`&(yFRi@hDyWRcw2lA!Nb z1HE900Cy;l4}+~Plum1;&gCrwgRT3Z$A3`vXdYTP9=E+6^}YPKos*wzUq^l~A>8FG z?1tDC6aAHBFIYR^(dp{Fq1PMMgC4o_G0Tr8oz7t#OD;x)8rB^t+%`Tn_+bO-FABVrK`^%R@jn9-hM@ zw7Y!3qsCP})Ft0l%=arhn3|GaFrSAK)71s6{UX$*-&G9Ll^sM)RWF#!gPGyV4`SnU z-|Goj)Y~#Z&Jo(pNz7vTl@<6XJ0V*pZH1|&dq50e2(iDikai{dQo=5IJlB$n_tQ(9zpF4#zg=-Tg_#w&{%AQMYb=4 z!=!!YdSfqAoHOZW*9fI7{KIL!r^K>4LC3Z1UB9!HS1Y2Ef$xV6C-A79BYEanhyCeI zWhL!4(uNrU1qQpgSuVNSp`>t$KhiZl;ac_A*$mEy_H@j7QJwoQ{^CNpb9oYaSAF2V zESSNM7Er(I=BLKXL!FI`x08rpsW{@HrTUSy?Y=!n-dF@r`)?0*Hay1IKo&Vcb+xkinOQk&0^!O{Cvw@6w05{hU4nsHSe6Y{29r3#S}M&L zTOB)oy{ANu<^&zs!O^Aofy>wPQ(^7Y55%>)KYi8SV~`PEwaHXJlJ`H$N6}&Efh7xx z%-i+SNyuwXQLr>cA%CuLb81d!%Z*9lj;qgiJ(w>or*Tb1gmt!F_4=0`=W&MyYvENt z%Qi{<VSn0DLoCt1JbaextUC{_I4Q(f&p_g@M)}db`*h$EQrETNJcjr);LKLCs%od^G zpU~|heHUG(E9la0vzWm!A*R^m?!mR5DJI<^ztVnyKHU(=5VCv-Fem;0gt!CU!FL1Y zzexW7IYYUjukpPFA4eOsPS)-eQl?h%o832to{4=8_=fBK*If0vZ{*P-Z%%;b0{SJM zkmb$=pm&I|E7008D?HcX#98{Y)}U9r;b)h_w;AATT-zzl_qZJ9=e5=JS$86LfgihD zS4$)FOY0kAaGZfEQ#>3z?IIG|f2IPbMdRj%LT=$KBH##kXF5LPs9;5sjT%#UtElDm ze$N{2xFqpp)6voisAaLRbsCD=KaG1)()4Be@82Jix3pB)3sL{=8QG#Y9A8$VczXkd?S!oNCSzeG&&k#RpiV zNs1Tf1}SG7P)uUEPPMo5Qn&t789) zGRj%_jb`4?7F`@ReUoG_;vx6I4*i5r@Mq}=7Vq+GRdNi5vKcgDX+1hpsex|)LN=`W zu`(p=JkyX$mFTXqW|0P4tV>wl_h=ZEq%esn^`XV*;ID;zD9Lt(B zE>&Z#DTi~US)9EZou7+&mFFP*C?>u^pCLL;7=_HZ_ zo^%jNN^$iY@)9YhcZmTY3P-C~?TPgyM0UwOc{^oV_oZB6P>>9fe67Vbp;Vc+JWG#y ziNN%RAtNGn#vPwTpO=>_n6D`wg;W{$$%D>6>q5Ygi%v?I+a@uXRKKWjj1D%9aI zPnZ8y3mUSwloY(uS4({2ulQ%K6M_u^2h1AY;DOhzZryV5X(>r*kyi{UvDrrUDW`;M zuczO9`oVw^<6T|TR3{jBBknff;Vz0?BnN62J`8>^W#?i&a9T(sS>I);CffZ)05*n) zOhpsdp1`9q3bLM=ibWoefk3a-va$$hdIf{l*+z+si~49Wa#QyMZN9wDadw40nE@_; zLEyT+9g21V$HUVgfZ7$-5Hg_4Bo-ZQcH4w9h?(HCF@z3$V2%49DGQvZ^RBQd^V}ze z?Pd&NB5${U%HnQZz_YJ$vyf#%*wr@_6IXZ*D;1{M;xb9mL-%Om1kr?@`<-wIn@$I3 zFtdyNY;icC*lcK&&BEb|1@cOS?Axt2i27_@3m!bq8rc3B1QpF4PmwvjupH1AJ$)NG zi!LVlGPYf)u$i%`0ZCy~Y_gd7d{vh`z_cC&D?PeZ(8lwvlKOY2Y5v!ji#zf6t+3Z= z^tMs-wpH}!MA92{5e7jyUh_x*BBzIW#yZ4yX~uGW1|j6a)fc1J@%K3iH7joEW1sfT z-1WCO!PmGhA`H&(2k7A2-XW38*S_fp&n;dwgK|TUXUKpK@a49|147Wx0U#z!@i?&t4UoxJLW3FMvdAD z(c}1aM!OytPnj_ie(<1)f#gWA+Kl`m*s$^2x|-$9Tne494`zjzm_Gvf4R!f% z)wEt$$+u0`?D>hbj`~}-2gLt;{Pzys>xgBaz0Ag;)NLB%A|!zDWr9BXH5xj^69M8C z$5yl3^Xqa>;)Hnzmc|&R$WCG-Ge=K|jkL8)b4Jr(zbHk1md4Stc4$gq_R2 zoO<{m?5`DAp4VhM=x-XaN=Wo)dXo5ihjq!<_XPbBkHx(;x0AZN${j`yy|uQJHXk9;fJHfl5k7D?%eKgZ zGIZNDFSfE@_H_J)y8VV4-s0XMwk48!?o@L;(I6^gz(igU7-7&V8~i84b~+pa?GCy2 z4ij4*mqxxRF`c}LfD%{Ma^x?T&{CE9>HClN6gO~6g zobaBcNO{#;`+u3{A|8-Qc2{)lgfEa`dPMDC-ZkMRaNG&We4yOd%W>qC)*TYCCU73G zdwFJ=R%`tf5KDqD9Ft1Pz&lY7Fok-n<}M`VPi;pHpK)c7rq?a1cSK~`9ow*s&Txn5 zrCItez+NK{s~pW-@h&ss1FahY;XPRYshv7(JhX?l#c1Z640cMBAdOI&EOnOnx$GNZxmENWMqM2&r5b6=U%{cj9GTG2c+ zQwdeYf;u7#wf$MC(W0 z0w(Xd5r2n3P_P;Y2nA4X$A+s@UO)xU;;r~n`A3@G!I7~HM&|F&AYOikCn<28Lv1urqK zpyVo|92e{hxlMQc@2|CHEGebFcrt|_K{)L!_EqonE39*B{_I{0MuGf|vJvlUHcJig zeP$si=M_*~SBqXFo;W^v{s{KZY<>ge_T3`y?7ETf?+mU-SJ$4!6i9xtd5;+4 zyc2dj1Oh$RRNJX)>XA7jYbjIz0UzeT8lo!?w)+UgVeKbA z@{pBhDS3=O7XpU&|65p`|6c$W4C(U@h%)8#4v4DIm43(<5=h05=e`C=^;!8QM>(oO zw>TiGLf>#eRE56dfT)7L4+z)G^9N2Cn4cf(O3{yjVx49jshGKa@Si)1Qutp0;_KbN zOfaRzZImgx-G#{@jbA0^RJ7A?6Nu%KL=@ctNOe=*=}@BD=?{STs{JR28dd8*2dMcA zFcy22F@FWZY@!bI4=!>pjQ-&&OWE^)y)1DZDBr&2nTMW$GmOPxrDRtiY)TYlp#u_S znByIg4AJ@&4U@%#{bTc%=2rsBo~!+u zz6^-32Vd*LsCr%JC`wuLl>jwg1*Y1^U$Y~W|E~8UZUDm5@ow}(zV3(IN7#8D}qp;4MKg+jZ$9!yc?w)e>nPxr<+`WeCVopMrflK zvB``0S0H#xacB@fqvTI=mo6A~KmPxYM4$Wi^839de!z?POoDLlm|u|~+|SMYFA$g# z3RiewNVp!8KKCSs2k>*#0r#)hehpl8GyKMj_^lW5J0M_j)w2k{_e1XRmUE{U@dq#B zk6y%GUc}vA#Gkx~KYI~>@gnZ=BL3<{{LPE_I}o1M{fAe{KfQ>1y@>n#hTQK}@}L*- zkQec=7qJ-#kI#<5UR?FiJPt#OgS@qU#>|${h56ec>Si`C7WmWF*7l=1T94|O+0ru9 zJJL6=rM2^gEp0Qi_YVP*3;a2^Q@=uPK%5)5@g3!*C$C&JzD{K~8c~+|J))es@{8Im zzi|iRtakPiNtJ1$C(9*H#n$3C9TzZ4*D-#`ae!EwK%ME{0R-0%)sInp+O8+cta+jx zkpUVn0fJ6852oN&`|QCn=WX_D;9>sg&0q&#j@#%JuCiR!a2GhjA;AKnTXe8MU~n!F zlK19Ox%@_hS5J8a9{4dY*$|-R`l@J2SxM0li;|)x7ob2sn$_AqtF5QIwWGVIqisg( ztk&+X_MWbeu9=;!o#~Ft)v)pQf}LWoi{3#zCxF0U@PSyub{4$Z@Gwt}O(w<;aNm|K z8}|Wa9NjE>c1%s2>1U`s_J87VL|i^Hj`?&W$DhRciUWyrtVx`9N_=+}=OZoTk3GC) z#RQ-}KWNWM>}#z|bLOV*d~l5_E? zPv`m48XFRyti4G;&e<4Jt`Bnq`rkg&v%VsBPBs19BU|{J@U)LUmg2wIoYftVc5Zba zd`aoYn{}dVlXP#5vi=7$c+W{B)YU$hsj>uvg|4X+UcIx`>n3HqFDVyGfm|8bLpA9! zRrb}u;>AA35A=uV5c);r&jbJFL?>Q45n))A0>)C~PGCrraaEgQ)i5dfJy1WEkHv)1 zb8kW=EfnXO98UOk>BEVByoto?_ZG6#ERX3&jp!KiB!X&;Ne_00960cmbqWX>1i$6uwioma>(7tq;^np~xaqx=^@p z-hK0?yjhr;hm;scr9c5wAlO(E6p2B^m0)Su53=MX??r_$>^CK<1`P}x^u#nlvt{(qP7-U-;Cd! z7{9t3@^h`6qY6K*XM4f)z8KR*Juy`+!?sLedOeFfH^#a4hMc+U>Y8cvis$_?p4Hdo z$nEK1Nr*Nw@+zRT7 zvVbMP0|%$K8n7NI-2EV>f!P2^|Cf6afoOj`Ae29=CmrrI`8L<{v9*v;4=vyFHc|`; zDb%c`F7{O`@6BR%7WUR}-|#8CBFhxiDO_g#V3aU4-fJCmel64_kO482Ga4qdDa zBb>FWsqQhr$#^j>$LIna&N2XS(wB~|gZDTXXg)(2N#S&XmJ!c?I{NKLEpL+bBmsvU zhJZP`y9HkPXt7My5gulIp}0d?F@T1iZaIKpnUt~c#tpLLU4;GO^@-bxU`4u*N&}V= z!r@d(_WtlfZ8M5n4g)m{-4}HP^thk2QcXxg&nT9F_qduvCy-8Bgxe%?kZi7Su=x=j zg&0e|`euu{374cu@mxc%C)re(-`RWNGUB9~aZ{+2xFroQ5PRvl7>3$8a-e4nmC7rh zZ2cUAe>@D-Q**3bATkx=pb#pf$=frZIyvhIC?|D8W8@tYLQ~{zwreBFF*0Nu$q}Lk zk~7LHzF${ptm_$>WW}jJ8wS|2j2R?%2 zIN!FmKcb}(x}g1x%{>hF;OR#iu0H?K2PnHNk$D$dsJmDxBrAh1MuTht%F@(h9$kUzXX7oe; ze7F#JdRh-JDd>nkECI7}_=k_-70D4^iK0S}3JhSc!d%UMnu)RufC5hL83K(~C>mmwM$)&r z?9q0_$atdQa|L(QjK5gqi`O9HsIRCzgC3Ns(0wwMLX79m!Re9$#cnOj|I$pZnl|0$|qCbd`Y0Vk)x7TGn4f8ZixacDN_%aWU|g<|nx; z8WQ=xGA6mBa$EZ<?#qSzqOFH@w{wteE^*9=ge2;C-xEx2}a9)54Tzbk*Xv z&q+`@teLi}7(++4cLN%qmCknua)+t|BDnfYB&wZHw3PHrBoN4CH98EA$!GF;8~ z#4^v1x=7G{QFC?%Mvl}!J$qkNBaoyETDgNN$>`?4$fB6_h|=Sgvj~>|2LJ&7|HWTN AnE(I) literal 19791 zcmY&<1yCKq(k@PL3Bldn-Q6X)bAr3OdvJF<5Hz^ECAho06WkrndEEc6_v*gdt^Hbf1gjCAR+U;{BCO*`s8VHC|>Hh%;B=rA9 z6{Y`0FUWI$gj^!2W{}dKa49pH?@pq+-$wGNkeKf&Ipp9h5I9m`BJnXXVS-`Ab+BZ~ z$q@_Ngu$o2uYSTA@P+4lQ%50hH7fZbthrwiTQfREQ5eTN4-+0A0~v}8?xEn zYP^R0@|TGySQvQY7IK7E_04wr3wDuem!xZ@|uMpoA-m*+Yq_R_=?7y$#^~{frRNPNi@@* zU6)FeNO9mSN%B&CsX{he=+2&jAGOl4#C#tOyM6r#X4MoDkTWk`a6QB=%3aS&kJvid zb5N7L*vKRdFc-_SK<0ju=^hnFP-tYhELl=sE8Mj8RZ~V`DrFve&T)W$G=Zj+k)Sv3 zvO?R`y@OUeDPNlz%GU!8;e_c5xt5L9Er3ULQCedTi)X? z3`6~nKikdV_XA@-Ys~er81|v$WtVWkyq|S7fQ{*vvzvBWtMr9ZNjMLiPYOgXouSZy zi(~$6+#P5Qw@WwFF#$oOLuWh4gw`ZDb1OOy&4gx$NWAk9>m!)8x5wopaqMaHj$yR% zar0n%B6k_j-D1ai34LMI98K2#jd}_C{z?a}1+5uRMbXGVRuh{=5F+_rj1tRwq}J|#~pNaRE9HZM% zHuZ@KVKj~bZEp?0D@KodHN-B&RPIK{k+7aagZI7jTD*~rua&ruRe9rlHO zmncamF)w}5x()dZA*VS)?+DWnxk4R3iw;x27BerCL|J$l?)tNVyLHD=&Tlu>R+>WL z98@VGgG}u1|1$djxv{b!bX`3pw*tRYrduabXEItoPV-Hes=xKilu`SsnWV)$>05Cu zGg}T~&_<}fkpmN}V+$@ihZR1lt*On8;=+|`*WwdqI=SdEg~c;nZoJIh?eFE{p({+K zgGM7s8nQK2wK{8@VxEiy7qP`i?3hxmp!=140+iCn=`<=AF-!Vs?K0#E%-EVumaL}k zkyNOGQpTy_hef(h6o8t`=*H5QhVk56MeMcl9wRc2rO7EvNq#fXW>a_GRf#;#rnF=r z`0wz|H=0uXxUWwCT=3>cr=yWATv>Re2g)KJiC!m$`0H63mmv^8s4div*ACxlGamDA zz!JHgCg3|)y$->78U_2)!!;2puuF^DuNE*CO9~Yz@Zh*ttv#Vv6L=>&9#}50cavqY zKR|t)e^BXFctl;6Pn$l_{J>DD(0-AyV(w)IDX397Hvq`%}C}28jMc+us^ne_}`;5M%Nx3kpnbk%Gz{O2s3yQE42H8&LTh7d62G(R+ z4p|PgbbHpjGs>+5j)i%`qmqVcc9ql*aXHg+mb>Il%OR#HV28^0POnMLrIfQ>?Sa@h-T*0w9#f@N zpYZImza2~R3dZE#MQD~EN@tRCI9C=|WeakC1jCxPf1>82+z0trKPaDUoZp*r^PfA6 z&S^?p`jX)GY1Hucyv_)(Bwu-J26HI@B(e4PfUSroVd6k@kxXtbS4wrkxgSIJxeVhI zHdbjR#QoPR-vbcxL}EIX54QU2 zCNq(L-e*wQDxEC%3#7NLVnYCu1FbOf;R2UddSBLffRDdEaAxta!6VTj-7RfBq*8*| z+e)(WR9S&pQQ*PYZowi8y2*Ui#?(}Gk*WDR^v-(49kXPBmTfweV_5&fv=9bdwY6GLe2eqKW0uR&96Fi#spSin1oJ4* zyPqpXKu-VEbO*rknCI2`l5cSVknA|dVUrG}AemHL2kwr+OX2wRnD9&o=xknI+$9z# zCp5kQm=ihdhQVb*$cn^rxz4md=6PuJuRKB%-u4n<<$hU+^CY3ceWChTu6KB>y;?it z>8KBUg0MW>NWO%i{z5;B{W#BKV_?9hs`7^}_!wRP zp65>FjV9C_^z<6>xF23Q#NR({fKOg3>rEYB%Rg355-5B$Gp*g51STB$eJir9LXZIV zwr~Ga(|bb#c3;B(!3ffqd3zdAE!nOeE+^r(B*0mF-~jDDw-2f+gBt@fz~$gA-imwh zA@9TSdIS#Nz3Im}n1^qyT;!~FE)ekJvy15262cUZq!fGuIxw*Heg`r>tdIYbv7bYC zZp?nY+r|bBCT1x}gr%O4z>|L(n*I}*W6Us?@Wh2CzN(=3VLkZotwZU$7fn?djAzOW3|E1z!zf*xFzUO7RNb5}bKJMV|GJ z$N7B{n2id5P)OeeE+yS^fe|*0js_sjlz^6QeyA(|Ecff<{+BfQR|Km4F|`iDa|_3k zfrK3S-DlcxIwfTAV?9{MCH5wvKyLJH`PcR*NeWP@UeGc<`zBxSd+F+R0!82IjmF}+ zVwzbCp*|=GP4|ZTLT!C(0Lq+?pR2)_@&!;P{&F(uoXhF7uvNXt!DtEbktz0(}3CK6Kg z+?9{jBD+Mdi+J#5_^S~!u{UIzDo~u7JRINkJa6y%lgn4V+T<;pB8en}XZe<63XioE z;lpTzx4Msvgc+Ktt`|4bKbp#tqMULcoxkjST*){q+W5V*%}`1zMt)S$jAtnp)9o`Q zoF%PM8}+D&06utd!5t40_4XfWuZ~@Zxe}G{S1BY{fvxvELJY!Eu>%ys;J8t+XH74~ zrROtBdbzp__17E5)HZ)gZRUgrw~XP}&T;>D&1sR}ll1f8joW=pPw#UP&vq5C*CCHb zK>mmDhyP*MlzFu^ds1oaazH)^CyRa)#5X-3(4`zF1HQQx0M-`ohx$%vzOKHzk}*cV zrLi#!9d?DC?KCt|DkM&m&qI(iw{ci?Rb>gC$-a`-Tv-|7-(k_6&p`WANYwA^=zT{@ zjWi=do}ZBg*q455w2Xsc``~7a<8o)wZ=qL5v{iB#;Kp|x6ubS&SC`eB-b_iB97Ze6 z@G9eCGw4d=dHwIn#|DxpSWcW0!Em$7&;8~*m@-jq(MYkRidJ@BZ^DV^#yNiRy z9WElWoCIETJ*6@|=fP_u#I#|5W!n}-h;_p>qyq|k=N-U}gMIgV}SE=LoYF_3ScB;MQBWWLOC2#X0v9GRV zCR0!jP=seSJIqeLL{2h9PL@PY+C(I@0xKHHxnF)RofCW1l~V6qUqX)VZbJVDoxGkPRyG;2p*J@} z8NS>!Hnpvb>l4g*cgWA9VoY;4bsBm=M_Bd6AsgM}G8voF@GR(t*f$hLqQLq#yM?G_gCQU{%2 zm9x5+&U)!}U8&NpF;h-oKR$POM6)d-n(Y$SrGw^_H~SfD+~PqYYbz4}#90WcYezx9 zAM-!fjL+Ns5D$UeGx{6S+Oj+8iBF1JQ|_<|Vb6Ay zN=AP}dWlwuHEOhZsVoaqjCUi`-N>8K`kSh)r6npPNuv&l?Bv^^5iF*yRls7Y7QFt} zf|$LCy+Z$cmt1GZIjJT{$fVde$+u$(?z#*O&ftW!N3mQ#h$BKjP+2NOIn^0}%s8fq z=4nB`wfV-hSGI_K1$<=OD_iz z;YUKn?MtHxx$c>m{-ZF-419I*xtPrk9l?_)K9t!7B~FrRcXe(C)m#(oZ|^$(xvZdgast5EGMAq>M$Um30N4VLfr6dk$>Nj^573(1>! zAxEa+JxSj%8cItE7GF9gmbu`r3LUA{oy9Z^m0YXdttbuum$ zGoG!pF}~)Lr*X^G>}KS;JSHy#XEmSckz*);xW(ES#5_VfAOVHGx1T$CTYu3XCN(w$ zXpkqk_3G_*W@+ zDkG^z7-FKWY6IK(VJ1DGe7>Ny_PzdrAg+WTvuH$}=Ep4LGjJtK&gBj7t)^(X1*R+Z z{dk?YW}JWo2}|rU1XWY{?M%3al(y?6UE)W(EvuUiR^j&rqqMN+K(hM2bXy@4>EdqW zG6bP4h4=Z! ziI*GzCqjqX7hWqPY^NEWudD&_I90CIpkdx6QxC z;md7(zhr)j@`>GC)O|mnpkh!){hR%u*l|>4Fg;Qw>UkeWIA;f^#2W&{T-eK<^m_Wi zd}B9j5J^c>aot=5Lo8^lM&=rdS=H07iKv!e%~{#aV6`dDNEOkPZ0+Ah1*1@l;>jXF z_nQgfl7LXiS}M|PJ~gx`c$_8r67L@>4vJHO)x3gDA3VJHa-6i!_O+^eD&8euDQcIa zlLP5S(@~#j-N~B2#L>~Li0Yuxx#4$s)ZL-fpiD?)px3dy-uveRRaNzFds z>oVVGE6aUz%E3Ea@VlQ^y?k5R{h}>UKGZ33Ennmm8**An>31EnX8)u2FVk_lOYuwW&<$F z79D@tA*cXPK^uDOVL_(9>s({%*CW@3>L=6(Mo1o-UEMJCF z-(dRF`5i$H>4)ywCEBN+76{%p0$Y+kKWBwD_K!SbOxk^ztz0qJ2RBpEB)&>@I8SaC zoN-J{*Lp@zPm;gv%9F4%ovwed9HZLeb9aFhZm?OPDYo9wKFf~?L_QgaUTazdHHpda zV>eImFG++b3FrO2%gMns;!Tdc%X*%xcya<~3l^JyPLHk6Z)0rvD+FF=$$#^RBDv*d z&jC$7e^YhqJ^v)bM?W!Ey{Yk#Qx6%{fVJV5x#hqK8I#E3 zP%JSbyBwu(Vk*EJt3&Uf1vvVHUlZ(e#dTt1ZgB*fcOotTuyEIwsQcKDg;? z-S$Y4-!Lgf3@RtCqrn79&e0s(#<`1%n_kz_2xG!Hv`&8(HVYSD>vFJu-kKKrblO8# z5|=uAYj;7A73VdH2YY@4r#gaAQ@p&GfBe_-EL^3O3k?k#dQ3IHosXY=@Nu}|GDs_y z4Am)p`Z=PeS;{xTPhdoV3OKr&v3eeL_mr>1(SN@8D=!dI$JjHL%1KyByylB&)?*45ozW5G3ls19XM|l5n zK!u5vZFfb}FN1C7nYTZ+CnGfrAEbv8C-O=P2PooeHC(J!s`^GDx|;RCnkpcsIt4vz ziNQR3O>)kr0SA41+v`rW1XL%R(C`N|C#tqxJNlu^h!vb|f;F_PBky-g{~3*`C4$dS z`p600JT>maP6(D_yNBG2hL`TLV}nNl&=z~ZRdBmOWafA_g|}U7nb3I&6$vgUALVyC zVFJV)3U#-cIOS;6Y@*M`LS~^e;8(Z#dGr8(l=;W{Nq}@A(YRYf{<((q)zNo6*DL&c zbpqAWlYkHLdy8B{n9>?&Vc!J`BWW}m`eTFNmK6&bQ^THm?~gMGXe4C*YgNBqiY5n% z=8*tIrArtp9mXD_#CAt~audrZxob>*M<-%^5%GrjB{i=TK+nG8t_oZ0D4<0x$F2m) z%on|*rs;D$YCo&$AHt0(*mv%WH%R$CJYR*&08Q9Y{?7-4*?0)~^DI8ED|phw(+?A+ zZWH`mBLlIE=T}2j^C49eI+H_|eW#onp1Gg0FVt0eHQckp^1ADj@!Ea(3n=VbylssP z#_k<*BH{aSjW>0xQt^Cc@ZNNXoSl^jmWe59%6Hf@eJA%x9*#q!W#04T~9+y4N{XmQ0rLlu2~EZMlmur$|XIXfOJfp)rR@aY|xWb#iv^6S+Aas=fc^ zXq>nuF18+x8cwX{?ztt_aDGY=sjHOHPKJ%Ue(hIY*gl_@9s8S!D(yhee>?I&;5S&O zG4PsgYj+_VX7^^}Hg1F~iVrYN zL_J=r zVeaL|27?u6cX;N0l-{cc+T-=BcnT%arDn7v;KqlKHSP1k@bdP|4YOjQ1lq)dhlp%go^ zVllc-Mi&ZO^v_-es72DzqRi6K<3#CT%eXdMeZ-{6R`cxHmPf`={^iYIRaSVb>^n5B zD8L}9^md`DR{Yz|7vZOPNS;=+hY&_o<@BwPg|4qS1CRK`g1T{5;Z;WnN;6it-xZU5 zh`^9YE4$+B@4vc3K?7m+&-};GTJ&UNaq7R=st@|aTcULVnyiJgw| z`+b(Q6Siifq(jEZ}N|>7qgz~+JB=JgF2W|JaIzp#D&|<(R+dW_B!~p zS52NKdTQj8#>I#DF$s0WoO2@d6P`V}O+=c^bG=g2ws{=JjCdcU^tJI;cITsiUG_Cj zbpK)T0YkBveWJ|Tga|}n_zxHwau;uh-7hDWz9S`pFy>f7CIA#5Qmd-tfa2}Y`xjXbMd?>8!Yk1L z{ef}kE|e2Pj9$cH{X_?eF7)A?Bw>gf731x{k?_uSKsveC2U#$#@Gki~+_M+)V{1>8 z7yX`NQB7;%<>E|)D{?Z5usj$Na~pCOV?e*?oNR#6^ebBcz7@(ye%LcWF3a1U*U#SeCm0V5FQfm|;TckCq^o>-r=qhMV|biWJ}0KK;O z2NlW<{DP2l*I)a8e>02<>qZcH1if;{HRb%Wp-HZ)y-No(^rXr;g!> z@VZJm2Nu)`V6y^?zq^eb5kXqJ!2Wn8;q`#Buzf(@HXXjJ&x+c>AJGP+LI1roQ#e7-ma5fz3pbs8!_A*f=I(7us zrgFFuSVGj9rNM`odH$yfQ5%4uC3qmgUkYcD+hvMCn7wIIVaywpbT5eeGc3dBqY#kp z3>8b8*i0>~;rLRm>b-% zz0V8lZ8kam1-GAYzP23t&M!=sNZR=vRd90Vxk7OGYklhuFNx?_&7MDDxHcpYOxKVh zQjf2wFY}&b;Sc=#XSltSNIqpt(j&h>T$8DB7O{8D0T!wEZv!k6egu1le|k}G&XURi zTj3o>@a=Ooc31r~J#QgHXM=wD5r4p%WV@M?tyz)gf}*J>;6UjR>(>}Iv@Y@GXR3qq z;E8Zqw7qAB+c)y*+iJ4RnluOt+SMtMmG^^pDM}&cXH`2a1-jV)ufvmSj>lf8NIK@{B{ zRpz^EO3gOoi5;UkBOIn(g+95k_gbwD}JahE?(*j@{C$WZG+^qE@BmzGZ=MaAFJbuwU ze*Z%r%!SG?HVcvTu5lx}+GuyfHSDQcZsEAuL%l#8>DD3#9Q&9U?eS%oww`Z7Zf}Uy z^h@s6FWEAtoQ7r1OnS;+YKLq;xD1yM<(CIL5A2xTHjkU9VDnusIbD}PE6+V&mBqQ| zyh`cLLz?k ziF4ER7P)*&XY7aYLuAXi&6n(gxH*jU_Ps|K7$yhd?rD>P90$7c_NuY+HJoJG1PO+F z#f|`?+mQDn6h{}MACaD7ek6OF=wQg3%gA;~KjytojQp@n_C$j~9OYbkFIE=oC^30! zQ@TJHezl*dsgr;7Wf3BvWei_`5rF2*8CkgaRgCh$gtr*i1`i{*za#06+Ee%3{HgXR z&~3e4liyot_jgP>Pl}=+AHtrXuGNlo(3r2D1|c!xo_*WV#4%jCs>3Gb0j91Gj=hU6i5kazshv z#)*b-XgjxaQuBUW1*P_>79?h5IG(y`ssRu((SJf5**8`ShJnO_9At#fEq%qeE)t*A zXD)vyj#s0c$`Seg@#K$hC7U!{GncLH`|HxfHr57B^NO|lV1Vy(oa=itOs1sIH+?-h z7?UVW0&h;*Db|lDn^Et4BK_Mj6n`GGM@-0x`IY7ECVVt=g&6PAfB7%GKn?p`=j?X; ze(=33X^boX;awZDyOV&KM>3dmOgIvHb;undj@L-Pt)xL@PCw}S>vcE5i31^$6S^zk z7#>OFv%$c#=R+KH;*mr~_jhzMe~vj32$tFx5iwAAB;RsU!tmdMyaX?@cpQpJc&H7n zy`lpW@&J-OWx^20kQUSzZ{Fu>>Ui54B2=k&NWuZ{G_R6i4qPk3MvJT)dGdQb1R*`~ZvOJJ`vISdj1rn%Am- zGtw~(;3zra$!0+=OfXFNX~xIN57OGG(Nc`|@G|th75y&Gqx4Et@pVg<0~mp;%IqY3 zs26KX+cFsuq#N=ck%%Lr2%qOFXg<+80@3b)3k!dE^FyQv;t}I$b(qTH4TOD|yZ>v> zXKkpVm7<$ouJ_wXWAgo=EJfDOZE`8W_56#;fWdctGCM9z#k&>EVW|SLG1$xLE}Ep# z7o%%Jkqx8dt_`3FD!$_`NpKa$!1BHq?nyPofdZdC1qgnxjEtJ)V$b8YWyHz%LpKuq z(@S6=Q0m=g;7S5H6MT@F#?Hi3$UP&cFFS9IXxM--cS+L&^aX(kHFm0=9TPe@o25+^J?ZAqw*JX zEirSg$Ls}Ryi7T3*1NBXYSLUGd$N2!dsyWxQ`mepcB-btFhuCX8z>GoPB*YWNl z{ZLu*W!jhrO<&-<@cPK2@_uzb_MkA!y0m=NGB|CGVt>7M0h`hFL;%=8FSIZG1YHSq z#|JbHik$PD%F0za=vj^+UMZVl{6z8e&36uCHH7xS6v8QcnIo0d#Fg5j< zW^j>Ncnif)d9%WgZ>eErdLxDLZ7*y+^eR6Fih^OBLRou;{X>{#X#U|d-*to=EIC9M*5I~qsAaZuEm z-;bi^K+SxYRh73kTVwsuH@n(oT}`dSX?o$nRTg}!>BzXGFq%oB*6l~1{g8j@ik;H| zHbecl!#%-(X$2W^yH_=XpGFoZmemdE0KJbvuL3Tu3BoyiwCbA~e6+5ymYO&MN9M>Y zx$}p(W=121r3}37l$DnXGp#hu)$fBmOAXHfgANj!t2$>4*Ei;)&A8&HMn?%LtfiT) zse`s_lO#vf(WH7C(!1X}trd>135>>E4M~mgqM(2hOQ>J@cP-ZcFFh< z^E!Hq_UOjSqtNPE{zP{L^ z=|98F(c*6(4wxV~P%SJf&%svD(8+&O=rQKAkG=4dI|*Q(25VBJlu=50g93G5I9`N+ zw+$+{w-<+9t)83PDcjn>6Uz*dt=kDOfpkFWcC%gjCuy|@*xCGl7&KTz{H@J}WcE6Wz1?)fMCu|>Y+iiel$^+{L_j(#FtPDY6j#ur)j0YGmh6mVkKDMr*XRMyZxW6Q6 zS{QgFy2r}KVv3DNO6Sb+7^|dH zD;^r{bR?}2(`%j5IF%5N9D>sO+=ujfkzm;{S{8nLkm~_7YE>^vhxQ)%MP%*df4K}u z%DD$L#FKFW0%w`nrAFzbQq59pM&7YmCC12$=FpW?UF0#Seu*%W%f}g-B%!UAh_A=6 z0}Ux7o9V{4q+q~lUnHVwH3i^8o{K0K7R*i+l)2DBl_$8IO!cW+`okTZN}8T}Ipp%q z=NI2>bY?zF|KP*xA<`OBEBw{IzC({VfK<|kg`cM%&cNL57#H^|ug#(|QPTuZp)Ib# zk1{i$$T#e`b-doP-d54|Z%s^yT?xUS5l|P?1s6I69|%n?P#4NGJ-WY(klgLG|9pIF zvqfry-09INn1s4efL&9Z=`kp95A{$F#t!yy4ff!*YQ?f*fxqa0swQbVLu#TB>-;4u z0M#^&W#wP6`8f*qq7inDa7I|WU=r@)4pxuF3P3yKBf8$RGY`Kr5BTQv2Eo%S+6mPJ z3yTkW0W{T#_KSdH;+bcz6CfzwCH@%nzVccPV_T6x+p7jYs?Hbz3aVi*USI_RPy`-n zX9D2Y0`~mV+PvLfO+<4+=J`aWp`|2Z=ewdwjFf#<7wpFjOx<3Gwg@el(Z?YX2kX zmD*k@5$!~4VnS+?5nJyTeG{^pW}3N#v!8~#V4m?{m;pho)xfTG7i? zTXRM1dJ1}4gTydxaaoS7my97hgVXDIz0LAt6=-9#&;}&}4zHt~MF3wn zNB-F|i)LMn7aQ8QVk|!_FdL?zf?3;p8a6B82WN2ESEwTO=1nFxJUeQC_(mTwXO+gh zxDqqaatqaPNhoHDG%+##8k)4`c>Yjb%e-r}qyK^&*0B^X_Ap0u30M9IEMy`u>q^D% zbQ_)qBM*Epf{*)K#+2Tb!XumE!_L>f;QTR^_Ne==9FSq1Hra4Tu)mY)wqf!Y2*B$S zs27oXHf-BP*PF+oTs-(TA30UXR01nkZqGu;eRwG)ZcWnL^kLa^U-5uTKgODEJAjt* zfE%nD!mVnPYb9@E7M-jf{ZB(fpihIr4l%De0LgeG`E}t6EwJ<1yb0aC`|?Sr(vW(q zETFa(T_tSUJgvWjqDa(5{WHqZJ!!?T(tyBcu7|UF=hw^)E8$t*nO>0SQWyOiXyCIScj zKX&t(XiNr=dV8LQj;_Q4@CYoGAD<55ipm2oc9!=?!`kHBJ>Jf*sk@j*c9T)j*tV5c z!o6U43MnNPoEl{TDj4iRY9Uo8Y9YuEsZJ>21(&Has+^|{l85G=fuyK2e2sw`Y!p#t8)?=xi_cZQt%s)jByJy zFX9r|(n+e`zwpRco1P07khDWes7%{j;s3~n_w@9E>rl}PUO``BCan^5ZT>zo=eiK& zeM(K9c$MLDc`*TWr}Pw*GTGkwC&y6N*$sq|hxtY7T;OOXtE1EZXGWH!P-E2F^{q4# zhMsSu+iHvfRy2u}b3D}oVcw|FEtNKpN?u!Dj(!@3CQQ*md}M$+OwmT179mfs%3Lpr zwOck*m6TDyI?XHGfe`3!R(qgSEi`72@au>CbYTdcPz++}JtKYQT;uQm63|M;5hH0v zkQx`S{2|4{&rDVH_JC5mT}w%J#rQ%cxg|_``xuJKB8$5#eGORp9h%P7tsr0VUFjvty2L2&S<`~B z!mL>ZL5!;m?pH|Dm7Uh8NrVX!S6LWcx~(k6gidEO1@gDz0GUJv{*dfWir;4wUnDe_ zMn-`S)ox)y^aQe^Y5w0uiRQ>#m}v{-BM@mvI!I->LWc$yer@6{IDgKp zo}o(GGUA9v*DHn1XW`ttVXH0rs&fOY_e^YU>d&>X7!w05{S9-_H8%MLiyG+-ByCCT zuhqtIjo32CKF0xMi52VqSlP7@ zX{EF(oB#?sf0-}n_xlbvv&OgGd3i0itkj|Kpq<*?rNg)YvbR6J#PB_T(7b<h_XxWW5G+dgEinK<@oy1bWvoR#g3oDuK^UANbP@E4oqs% zLBNe8y+o>aBm^`wcx0WJhNMU%{}DQje+3Z<`)l8Ct_$G?>ylX&-0yjo$|mxw#kt5Py^- z;Uj*+cf74zprA~gom~-MxT>(D1@+G}fR2J_2Z^Bu_ADwWA$@#LvkoH8vqWDbu%kCj zx^PIBPIMvj(U3D<>vJ?9w?Gpv_HyRm{6AnnLy%;Y#&OBpoG7DI5PP!-Wg6vsJeH2pUMNRHktu%=Bru zc~*Eja8<5;N?XvWyNrtUatfc^Y4Dy0N$MKe_oykHEjbI~o&s=`VKU0rdxy~Y@DfiMcIk`;%0V_- zUg5dB=$PgdF3r$|()(FkK2uPAt+{*xe?M2I%gKuAvMI=)fVl(1GYGA)DevoMh=#oE z2wohnTIhkfFREJm?V=0%5x@)$eFHl>%RCZljq>^-GEViT`Xw}7_(;>{-!O(dIQQ71 z6Jru<1)aTMTq^R60qs!#eOQva2eIpqA0#mOUmwLT?lrZ~xn93Gd#NX_@Q?&iuWb z7cw7oOn#Q{meKXg-}?Ez186Ptna>O;;~bLI#MaetGj{w8ZwyCIjiRuwel+hgl3QYt zgHY7S+p!2D^uurO{jin$sZwaKWMBxGz;8mgWW9+Ikh{##mnS|E@S8ku2@u0_U3?|> zKWg4-2ud7t-)X+L)o|XTp<}Bq|w|>Z5#db>vX8eFAlL@s-T!DxF2xB7KHiGRNTxWk0d0Auv`0TFOGG<7cg=eFhU$K;tIJdncDlH2J|L| z;2icx@fSvihfv)TI3>2r5#eR8_0-xv@7V&jB=zup`)ZjZvHGPjrOvZ3X1)1MAdEH? z)lIY*a{&H@tX4r|bW1|@o!Z+dWQ4c?2jkcm1Ly7AOBczl)aPae|*(gx?_A3q9%LTEHZkq_wXA>Bbj1p6AqTl#h^p9>NAJ zP$z0+#ieD?HOdBuvTn=s07nM>k%2iF3DzJ z7I-=}bq3a-;kl%8ZM}Wq`~87b<(R}FMAw|ffh@mF1l*FN4i?#LVIaGaY%VQl;033G z67g#KfHbPDW>@0wRP4vdD#KsrawllVyKy^q6KdI+Mt;kd`>4otPs5ix{0Z1h2Xr*S?95=AwNz=lQ88kSlvo0s+=e(REcoiafrwk zbT|*gvemCZ-Q4X9V*X1f^ALs3r$9Et&Q4xlHp@#am!m4bYmOS3>_Sf3<-;s;+>}# z!MUMh*_#*j^7BX`amgXMqRyd!0y%F`9ox)9Q#8D5f2{v zlz+()2A;kSq-u+Cqq$nt-~+iS1H9y<(zJ`{_oT2*$X%+Fl28V1#=!RPN>wm70O%W& z4hR;)2ee7uSml&$@mTgL|KLNr)*lWk;Ju?*yceWYOdsALY;6YBB%-9n#eZ;p^MxFc zyZ6gngPT7ZG1)FI-%g2ZnY%s08oXMFew}AQ!=zRFj4fGaV49o6*HN&G7H!Au(7+1< ziQGg}2PIG=)y#n;E~zB!$dS{|6n}L1bLY$#0JBgcX1`j2Mct96U6H0A(Twt>A9r~Q z+u9I)NpTs(zDLu2XQJKx&|_rn2Miy3Mu!oMj}q~B66p|q{xFO|9q^Xz03$v8NC9E= zRz~zzLG&k^owlgp3;9+I8aPA&1YV9W*E0gkF7$WV5EG-2xPEX$+?j<{74zp`xCC;6 zqz!;^%ME86&5(a1y$CfwEdNgcatw|0coD0;wVatCrsXf#QC7`ac7(F!Y#?~e&nv1# zoRf&ieh_cOD-o|vM095L=2NdrL=-lnRVC-z5lYN?c7#&nd^XBsQ!aV8U5IkYdmKWPPyWpTQT1Hzt>+5AkWV`xO55uk5T(lX z4u~@720!F838do3bGHIgeO3OtqaJ0@HyjXU&^H|rWzhE=5LM9+0pWVNzjr}ze}1eh zK|ckGHXU-PBIfqN|IbmC!v7KwU+=yn!IT!aQ6}h47bb%=ex0aO(N4cjAeLJa5%haN zs+;m2hY;0He*na1_Wceq%IZG_i1{-x7JZd6e*wa5qW1Rm&37)0{_d(v>GO!aE^!@V zetpX`4?P`M7>mM6$TNYk2~m)F4oH+?j&eXUMB{2dg?7yOdG2#Bu-f7yjmcHQKtN@;U*fS6l=srK=$c7*cZ zZC=Dzfbev@ulgZh^FzMwhurRme8UgZ%~r)j_Chf>756p{{eI zl-I9!qm<(hKp*jRlQk%ZtcrJp)_M`^yofgg!AFV%efSwAf0Da+Xwd!o|JxIJ?#IjT z_ty9UFXHM1;odR7CPBF0oB1pdm=X$icpyl)4U<0iBnEr&bJJe;uh)J9Ty-CcoBc~BK`)1 zr*;4C74o1L@eeQJA-^IIdxiYdi};rp@u(N^7!V$xy&QXS)kE`03@HxsX2&5@+D7K( zAA_ie*}PfcPg|QEhjlg&>zvZoHqbphYi?V!>&0#DQ?l<50g?;+Ik!{3LY|26GPXsNzN=%5uL$k~3F+QJa-FevdTG&ORck3Qh6^xy7m2TKtyd21dzxxgT;Q zAeJUjSGuzlrJZhiWH|l)M{v~*rKYBCRzGLwi zy~0(NyBh8SM>r%{Aasik76=s11w!)PJSWA#B%}& z3*a03|zkcm5h?zz=i}r|PlV*NbRmT2L9FB;~N2W2KuI2cX zG(T}5X^u5XQ%^~6uhM*_h4jux*RSPI{Ta5wLE1OBXhi!Wz8J^vQ4U%(kq7OQL*z={ z77M0M?2{wqKA9RPX^CCDzH%3zi%xmE%$L{Lko0)i3)bYNgUMvN2bzl$Gb7um&Bq!{;6%QoudSgb%x;={Y3vq68vwH7;mQ=ocNz( ziu&N@%)~zdj{4q(Sp0y#(YQC}CuYnC*Fs4>B^kUq43T|$ATC5!3p4h%9Ix;AE@h|; zjHMLIXIVZzjpsU;c#g-`(egn>A84?QePypFu+Q+mQ1tNib6pOebX@#@00030|9AnU zS8Hq(MI4{y*;1gC_p=_Tl|qq%O6h~b+}_;XmfHurd&u#@RVh#)DG+Qd35tXu!H@_F zQDQWhXla5bMny>!F+qVy4EXq<;RA^pe4r8&KbV5i|Np-`d$(7hC2TUYw==)_&Hp`f z4!fTXVvKG6b?cY#VztoHiMW>%h9``y?jIy6|*(Y|Hf+V<70v32cF zG~+UQ=0=znz*ui497%}fnkpI^kos19wGqC?oANnk)>eg=)H3}bofjcp(if>}>XvB; z!|hw#`4Q%Zn=*69(Nc!qFU|)doOL(k^G-qds}1GE0~5L*F1ye-q|b2Rk0%HTTSjHK!5DSro?UcerO{y@u%2k>h=G{j!!A-*Nh$JJ$F)5-p4YI3O$7XvYj1bKi%oz=b_ux=pWrUXS+)||02YJ(=$u9j zG*%7`7nl}$&08*19A$f;O(#iat?oXB7MILU+O%EYf~akwvFj;BsyZf=B86nuLTlqF zJq=VXh8()yji1tT5QUnXBa8Sj=wau9jGb9OMW75*prNo>ReF1#7F9t_n#7L7@7H(N zoWn&Z3@u7)mNJbzG4tnd&f-*AFy+@3rIE$J14n1K>o6WF+o=DdmJS*W?=40h0^{!?g7xUszbprLJap~r`MI_u2$mTaiCvr^Bh4V}=dVuP0p1UN zLwS!if^gWCv1g!v|8hesidzW{H4A(bmV0!k7dI0*nD?KtECxR&)gL>J>!d}vMI!r| z)_=c#7Q-yrr?y7rOkVZUV1Kqp|ctp z=owFS@Y+Y)Kbs8S*ig@uZDxIusml6QP8mnup8NEf+AlyksT&$2?~ni*CvUTTn@Ntb z0c|KpfEq^5sI2;KL!q&5WMq;RyCG~8U@N*Robi_awgI+oQ{fF=h#>YN2I-d zMFXsoCVg8g9`8hqj3){{M{rwBdrL*Wcnv2;ZB_Mo^q^FQE{lmc63{b(y#wzxmEAjs z!dv=~+cwY9#gag1LycORruwcsOM#`^?IJ%U~zPq{( zj{=r}w#=q`WwHL~|_vHzY&WuHMnP z2H7#Mi9Ox|j`LIw_qsdXL669f+22e40_S2ux_vE-h!%$2qgabO-sdi=cJ;INm0)DZ z(_4pg%}nNtKeDF_B#99!Bx0k9xpfAL$N43JNMVlKxm|< zs;1#`%?MH%r#HqxDx=ZY1s7akJ+;fk8G p^Uxep|J=MoVF|z`MQEjx3dQ)=Kgpt))QHleg>e83{|^8F|NmO@DY5_n diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 1b41e0f8a7f0f797fa3dd573b4b288fb7b4d4b87..de62ad494f6f52538034e640904545721713b657 100755 GIT binary patch literal 17286 zcmZ^L1wb52vu+YXNP>spvOpjN5AJS(4J_^wECgNL-Q6X)ySqbhcX#*27F`y3oOAyB z-}~-+|5jIZb@%Md_H<9z*WERX`QpWkQskG<(&m|jpJQc1Ya3&*1t}8?!&e3-Qeit| zGXqj)7G~0~?2JszjLct|SV$ENt?iBV4M}Bn^-W2cNEM_=RSfk=#SDyXpT8-6^VjKK zykPw6Y|kIkSO3KRBLBpWFCnRjno0xkr*vT8JDMhTy&qZLTCu5L=2uGRwdPk=R>D#} zSM+d$=dN-f8k-fm7_VfypiS{+#5l1ZrZPzRvs?t3<@BVFBZL{OzL%uNXkSkXDT z9t7}8(m8pnLg%?Fci!nAPz3LQFo)8a0*VxQ30B@?KEH$ zZA1Z*OpE0G>?8_}G$=5r*mfUijrKF-3zou3prbyZKA=~VwGQ9b9C$HM%DiSCoj_R+ zWRJCXB<(_{1W5A7n^9b)^;^bnD-859KPI9`0QnPK$reNp+2V%jjutfisU%yKneQe2 zd8AtFRubkGVSkq)OTd7W{G&WHd|MD-;G1>Dwi3R8 zqJP5BnWkinLC!W_A&0Vc$~GImfSiBAz!}kiu{^()wg0vqe!HS|S?pL$3jGeUp<~oG zDzy%IqvoK|z!?p`HocR=ATH1%5*ilGlIt3Rf+Xn=gpm)V%JYl(-}Ikp4`|4@$uf%$ z<`12b;q%iuX$<0iON)dWGrOw$k4eeIL8+r-C|L%q?6nTB5}<_4yrAB-*bU2pczJiA zKNu+fZ8fHau~B{(uIiuuO=jeaAkQAzfP8y7Sw!`x=@ zYM{A_Kgf_XD5o{Y$3T9-AbNn3m{Q?eUhKUXG;H{(bencyF8Ux>`;DZLng*_z=K3<)nuz84Rj?X63c$0;QrOL&mHRElbU)}iGkBVaI}a) zo0368QBJu5+rVM8d-P*88wG99d2lU8xB5%HaG&2;q-JVr7^WyUcsC$< zs+tOwppu$tD`A*&HhlkJ`m%E$Sx2a3WL;je1YBnfx>uqo6pG%N;5#&}aH?)_VY^bW_0Da#d- zZ@ak~{`FF*B#LzQE2KUHiJU~#)hP;;L2>>2EqXLcED=o*-{;NBzSn;T(bf4Q&oAh3 zE=Z%p`vAThu?>c|4%!W0H%8w%D04#gWRL?MmL)f2-a2SD=ywuhp9ES4`0-FI3vCGd zA!DM!8xcBn1?oW!t)0iuspqp)rgxa6`))6T0LIF9YNX^Z#=mv_FE;Xd;~&xSRx zhH|e453dH0R|CMS;ncGs-E$uJ14Wm_^EVP{FIC|AJ3Uu#ynu|8Gqbk>X>o$QpS&E! zU!9jA$i#NDAa&+bj!EgAB6D3gkzO~gvq(a8G5@N#k`P2q5W*w~q5g_HPJ(g#l2J-e z`%|bBs-Cvb?>W+4w!pvD0191PUtL@b`tNiu2IGGn@fZN!}%)a3da!F$>bP6~TG*?&^<$MxQb4 zU#A1xBD-Dvh_(=Y-Gqh-F)k3hU0*Wk2oB@^PxayT=Hn~9Wv}@m3*7D|^ehj-OZ33U zmlFb{cSzI3cZlxGR6_rqBiioy8%(;38)${pX+a8kvzdtH`ye>XfpLM_?TVla!nl5| zD}ZtQhKWI_1|SN57UqB4aJn5hNoU0{#(t2_ieMZih?9AcPI7!;#()cBJojS8akayZ z1(~Us?&@I?%!o_UNuOH_BvEdRgBnLkiOidC{ZVK{6hTIVee!7G*wz@<`&n9~ix;B( zm5gtg9aBT;s!)H{^w@jY<`V#LD1duhN)a=*z~{DjtTF1!VZ4Y z!}od~ugfC4-QVg$JpMFB&p(m2e1EpD@{$l6jCRova6(^Ppq`G;*K3w_6iJ9aMjAuV z19ImSMWu%j9vl|~j%%4qafh!95fOY2ml}i<7W2g2ZiGZMTyoGjiaTUe^vNu66k6Q` zaWCQhe7h&i&)tR0r*+wEq+1=4&$42hQwYLmhfCUZJ6afXwuWhSAm)r=^}7%66E_nG zv$w?;1=f|xH$QYJgT5AN?ON~0=_>JZlYdF8TMOGA1Fmw`w2eBD?A?EqMcV5tbGuOy zfpAU?WXue(l3$+vK1?5Kim^rDo`X~gcscg2^JkM!>be6i%*(Oul*+)yPoTXY*Oi*+ zQgHZ&$GeH}%l27U8iKEY{B1|Gcc9P1dL#+j13KZp4Ek0xe?NpWMrxCXr(ahB!=8v7 z>iGZ>dl20Ay6(W?-U#S&e5qT$Aq-ADOZa#oSR?I#M|B2{W}es2iPTN=`mM80_iPMS z$cPt9cpwVveGZA)eotj*JV8*wZe5}dGGe0Lmx~!Ze4*zoLfyKq6xats-I-6a4yk8d zx&r8%JPiZF;KDPPU|Z6~jOGJ!H1`^GI$BPp{_!?%VLq z%T6U-Y!vOcc<=E(ibRyq7Rl|FLkfSf@q0f`NJafPQl<}7w(dmv9sd0S>d#FV&VKgy z0j)0rt89^6{zO>SDc@G|KabK~lwiqK98IDjGQQ3V#T;}=q*wVytp+-w8U)P^> z0q5Rd+l-d!?i&DHA-1HO;qUayUu7{gi9B^gID@g=r%!RC&_oxSd`O;;@S4XJ^uL$& zKl!S?(bv?Zaccz_rh_72I*3}9Qw)w1_oCmc-drOKKw{K$5O8~~$3ZMcdpGEVyJhgUfzWLfV*$@_Oo%Z0IoI=`%Nbh??|_o8(K4@T3Zuhxuyi3j zLXdKnpr#cZ_p>;PHjuwegXOx-0B*En**+t*laFM21r-zhNneszg)|gSxKA1cC+Zxa zuxc0KOr|(-dArpXzzcpKa2jg6nxIQd=0miG>qYIy|67YWfT9goSiJ^G)MdTz2K9Cn z9)H%=fkp~>;o)mL-b{7x*e1HbeeQ;O?R(3Qc^U5uK-&UzuLY9?+0y#97wjWpunRX) zRR&(lF{6;95PBD|42l3+a87b93#fXPL;FDez0#u)}L5Dc>%W2zcakWpY-~ML{1F#CHc#iY6|-^A(9gl z9inEfj*GSRBRgl1i1Xf$2Xx&GMRu(Iq77uW%~}DA@=YPP#ko?#)IV6^Rg*q`M&9Hi z?6%>)E(%1#M1MwTE!Ag7Xk%Sx`ox}qJJL&`eGz{nxe9;F(N10BmsX4jR*Wy7ExanN zP>DI|EIo$lhqh0DxRFO=QfRp(KDeNFZ>^#+#C9izeLcCkZD!fU3~Xg+_-&>t$eT>q7QoY8V$$4$DUngse1IkaA=Wo_6ZH<-PC2nzbT7W(9F~s!5NEuh_;46igxv4 zgH*&#kW<5$<9>yBth>U^ePfw&Ljuq->sZ#YitH<*qdVNWOKOJN*}G0432DZ-j=YS% zjOup7J9p%NF$7fp*sUq3^)EGJ)eAF ziV;}*qFa-J@uc_-Cdk;gc&1f}V~-|PgY{^l%*NB^VD#mRi>>J_Lx#i+e>?WUA!Mpr z!e|dl%)!}9066uaWxHxRKYjrukch*Y0HW%e zO(?Lf$u9L5eww%p&73N^D%v4m-?0(ZeGu7=_i9PFvCj~~(q`VOsLbJq}4+ zLRn^eX^-b2=SA0}Y!2E3^I5_S`fUqC_6>-2-%1`{lG|y*5Q78BtWbV8Ox{ylqnv&$ zWx#P}pSz;Ck4GM}JCw(f{hMwb)Lt~dvSJBxIXXKv2`?(G@_eR0PaHUZR_}_hoUYWL z)a^{oRrmI_isQ$kYKLZV(7y|k`6Q~8Vn4l;4M7WedZ0Ic^jJw}e?{W)IKD$sbGn&_ zNq)GSOI3@>5yR-b{zUay1e;`QtJGj_y#^otaUI_?hrlphN=S)&Wvv~z z{c4=oo`xMKrl@I}swJlRG5f*trW$qEX2*9Wc1a~mZQD{FuOwC{Rc z+)sYDc+pGY1Be0UF-`7M*)Xs|B}>h1+k8b1?%r4%QI^}(q+#X3rmM!Xr5^TOg3I%b z1^sSds{3$wmtHBDSTU7*y+iA%-yz^;c#=AO^tdc*a&(tM#diB=YmqXq20MGf#*oHZ zMxJxKo>^+GwRG;`yxLu1uYPiF^kUTJdn#J&PbsTlzznq5RXZK9$5!e=PNSC|iUx2V zpcP2lBqsA~Flm#m(|{#)6@lN)T$D|dSKfrfz}{Duo7RP`t0(RsH*MT1RV?d1leNcQ z+ypPSZWP~VHrv@Lr5D+GqwOEqqG>Gl8~w=6#evPs6}mZJIobYj^(W$gXUfQ|)To-C zV!u`uo1YJFR)cioo$C9!JXr88qYveF**#IBh$icmhn0#I))pX;2blZ&0{xI({?^G?$KSk3UdX(@%uQ7LFY4~B_G zFxfNYFirt!*@06IfgWSk-RFR;t z^nr}F2p4Kmaj*@rII75Vl8*?~jEav56+hHmU0r+Ql?U0$ z;|s@AQSFT=*O#b+-M~X!{Jlq8U(mab^Qq`> zDq#8w@EQoL{{zlUg(h-ek7FteH(_sJdGLp8mZO}M--~<3bEj7!5#{Ttb!|xHpee@g zXsFPwGPLz*8H^y_NG_gobA(8##rT+1+M0t zcBl#E2A^=Psw^6->k{w@%}=%N4cTcDVMi_TCs$At&CjB=r^~kv+Pa3Vg=%T#cFTDQ z7w?XKFr2PB z&Hq9YZNuL_xGApH>!PukHCwMkAI z7P_@M(6#w;GWI9(A|K?ke-3;9k@L$c{aJt+M(Xwpp0@B%B_zIjfskGH9W8)ai)4w>M+FJiM0{D|n!MrA<>Lt6Ejd?uHB}E84Tz z2dXyNt|gQ7CHmvYiyXF_U(LYYaT?k_=d>!I%t2b>li`I~u~a74SnIW`y9=toxhbal z!w}Ng5iUQp=I1+n5aH6Wv9D>n}-ufcbKJ5zF`_R!;xA{5(T6YMz^B{Lh5ehU1$h}jB{G&uVqADl`6|na8y|@|N?KRhk%ju9 z{7>T5ou6%^MvwQ1hH1El+C_{wh|Ae;_}ZXPN>wtCFtW1<%clPx(+Nv{`w`-z8m z$Cq099G-7yJbsA5uL34^P`wDYj^*h|jgH@i8M!DF8Ppn&Pek$7sOmIrk+wN~RCy(L zT3od@Nkm{yW8{p;6xQr}BN$j;)xha0`GIA6U7`M~_s5#XltNh8VLbn&ug8!Cq!u3W zv0)x#!XSoe(GU$6!a*C`zp!Us?xOP{LK3`UL!vqo zRB4$8=wj+W2;tC8)OHXOu>FUfS z73Q<#KQ{B5H>aJsDn7O<=SPJ=j5lcnXqU=NL({2d>n?NM(n$4fKj>8Pb^3#kluSi! zwwm0LOLqA#zt&0l+;sQYtzde%c}jOLVGZ%K#s{i)t65yvsw-dlv1=0|Qas` z)hpW*C+y8x%J>LRgJF-}VX_hPOwNgAHnS#n&C^Zslu}IENXjh!Zalo7>Qh&nEX?b{DZE zXFG#Y6#k8bTgK)la+%eJbo*ED`YXFRuT1odV_*S<)J7s~6XcWH8pQGS;^0U3;`a=5-}Gfw z)g6uV;zm>+^56CJv)5T_Mr$w*=BmTU{m-ga0PmnD!y(7>MCvGc4 zSo*9r(yUoh*cryCBL_k!mqE|xCLRI3r=S|Q@j@_cmrWgV^iFX9E@85zw;G5?xpyLT?)w;N4C& zmsULis3$*(vAJZ1SQv==J**COwH(Kt^~-lQ=iZkvUaTJ>)LyIFo%}MhUA~8$vvzSV zR@z^K4Y6oPm&+QKh=EG;8A8-CawX@&cswg(ce)z;N#>AWaiQ5^Sf%ReM|wGRMo#v9 zMYfy=;@2gv-6p4#^1bW9`nCLk1JyqSy3?Ww2ep%1wp^WoaC*1yn{wVBPCX6JpFC56 zFXPz|tPmn{NcqqX5(1;{|u!p-N0UG8>XKGB}HeJwG=PLaN!Ib5czXTK$9 zryrwH5w~&MQEZm`_izNZ$qYR*SIB~XU4*`EI~(Z6r^?Of8PM7vU)@@+Z^u?*RPg;= zp}7|mhd_JBu5jP~cQT%5$hzeT_$Y!>}j# z5j`zx@HbSvwHr$cN#Hn=ZbNr}5b~nh7@O}Zf0b$s4V}v6kX<0OYm_+?0|tb;hSr}Z zmg9GYE`K#AlfhgK9!)nLOhj0mdKgo2+N^CRI@>0$#}#nHdMd=Wil2;S-y{R^Lj5?J zk~l5zozg6-^8b{wvs$8GB-x}liUlyXSl8hSNPX#?7{<32$Z6)Wo`eYLx`N^FOQrR3 z>@pLxhF|jYU*@Q>&NX{-qzCD|4R-K0Dj5&}_ zuonuUM2E5$G-Ct!9X!y)F`gZwJ;{2G*BATEsD5{A6pGVG~kPgW*8El|-Ud*Us#*`wa8JCZonH~VGgUD~qc&Q%m^!2u#s zN+z*qVR8%Fc)0+8E(fVJXuwU)h^OR$>4Qdx4iBekru^y6%ibTfhrT!Cs7)~5@D;Q% zY7mw(8lPV7{N55; zN#ozJTNpcUHQHfK<#R`Bkd?qK+W0U~X3h^idjoR(V|@-D5@@im!!d&J$7SR0%-O)% zceyxPbzMSs54RIwqPq+&)XV}fuG9(D3N4k9pS8?@ExY<)c^{jbhEob$nh9oPY{|P| z;-XUBY&WDs?K85uGRw42qAPO_QbO0}%4bIlSJYc|jGmqxd4O25J zNoKN47euGg71XGx2coGdowbia-}(GPWYokcm#q~7uiT|)dx^oZtUCF^(nR-<#6~=E zVQC@hDm0vs%-ko3+K^q8p3oGdTBHSK{*kK>EJlSJG5{C6ed7tVl#Fc`?RgtcL@gP? z`Zd0tl5FCG$Rndi&2^@F>lQ=q)98*!XGd#-OD3dTXxrccci&mpz#IFP5cs%sQ}Dx>}lD!4(Bz7wO_2q2~bdsDEFm z#mudBgMoX~FAW1?=jI0S8A~3SLO3@FBpimylDBEyOZ4luR65(qWbId|PLwBfXpPk# zBbF-;*wbRg?t|C;gIza!9P3hTW2|-NWrE&s=N<8doI&K%$Aq1(4yi2Ev(8u*SJy%; z-caPaj=3(Jm}T2U!Y3)~ui+NwBq}Yb%F9#P{MN^TNi8F{-g>JX`Fuy=)~!~APR*H^ zrOVObd3K8hJH^yP5!tjwjcjcjhF@$e$QWxDrBA6=^!k<&*jnkSkC%^?OLwr1s!bLX z*Ba#~wLkN7R?{C3tEAvI(C5Dya4>0NppV1D{zen@9KBS%!c7avj zkYC_)bM`H=!qLkvFSEDf9JpxrVyRK;#C&Mj>yZ7+6fPkymrL7hM$x5{z7Ml%G7w|2O3L@dE75+u1e1AgalK`g0M ze6mXtuJr4^x^!9QGWkM*gC1OCB;RYn+G&|si4zgl@XL72y!>VnZ~udJ2$hRro|0A- zCR%$+t#k1M<0}Z)_NM5f$_)viiPNijur8yN1!$DFMG2KF+7|h8sQ+`91NF<{I4(Un zmWvLgWF66#F;oA&<827)R}xcY5($JQ_MM4bhpGGQ+}q1E!X_c0T)W49W1-la~KzU#5E&VrUb z)L1Lj?spfH6HIoT369Pcd(JYdHrI(wPi!XaKIZH+ULU{db$&y3x9(&sl9Wz{oJ;SJ zoXpW|zWDJhO+D=W9v_gSQfDUaa(e)4^$OOKS8jNT1rP!zMS{0_P?Yy=V?HI%Y=t7L zM8~v7q0jv4&u5s+Z=7g^36~?OTTJco#DJ%j2qw7cJI)P}D|T#ff*|ALSv<{->>_iBA&vtf$C|rx z1YNyA$pYKQKGz(1<|J+YB)GxVPFH>MFEwyWvX^55F;^^KUT*!+OzbObirqf96x3_O zh(xJf;?jdwC&SIgBV3!UU=rsz_x{Zu6^+C5Pk!c@?gj$1`y6(oi#7QHAR6fXr}P5- zr#;^GVYlm4=G4kIG#9><@23Ql8kG`D+aG%25{jAGa=4B1W1+6uv5o=PyZW&z!=VhQ z=F}xVv|@8;yEA-51@*v>0THa@D^53!z{3b4_U1t4R*W?pNNbNPt#5{FqDX8~1XEN$mv;?oieEBllc|1BNj2t#YAeiBXw9Mxt# z@bgmR{9U|lNiQ$j{FR>@crCXJzlk-jOy;F?K%V*5)wBATH3W zJ7~>(;L-^nR&TXU#K8cBZ{gU~&l(yZ@?JbZClWi8P;ah(=M}Q9>U>j~3A}9v@mFf4 zl_?fFDM>r*R;212aOOV@0~o*8Tq2%mH3<*B2JVY{Ac@p%FiNK?1U-R+AcEM(ys+;u zGT#Te4Zm>KiXtb*pwq;QbnE;}G3_(kwbT~#N2|2zMY$o94*}LH&>XDh5%U%z_GnXF z;!)FxE2?75m3EE2D=2mxV(fpQA1_l%J8bq&)wiA;+YWS|T+SV}x}|l$q{vs@8)b7R zUN&!uQ~$N|aqmNyA@jK~M)J^LQs9^Hqk+=&0EHd1Wa@W$s+j+z=A^rN%A5QH{r_M2 z^bNTnMp9sco--^*=JSJZW^C|Z3y13KhB%e`99(+bjXgnV1o`TVHF;~WDECuwUoGaT2(#s zrEHZMl?*T@w&^B=w&OHpaskGBkeN}sTr=?M@C#Ox5j_$+G1eC=1>t>>8ym?h<&h%D zyTje2rTRPxaiQ#IJl411G{pdDSVmrGrU~bF#*w znGJDUSg>P(DWwla)yK%g66G}WRNhjR?@CBZ@NXGkAW&}{INi4i0=X-!1=4w*5}m%k zz4;nGzHPAuoEQk$xbKKeAa7b-2Q8HvD|XsWE40*?*sLNhyQFZqwOoV(0Gb_O6ULkp z8R_}s4A_I*E`i(AI}P6cws26L>HxltVa9>Vg+lsEthW!|$mT*km$5qj7Az|+7g6jX zH#iI3CZKAubS`E?HX0UvYCyun>)PBE3{rftJ`fa4Qot%cI(n#=Cv7OSk2{jho%Vbf*P z@blz1>mZ7Z_hi*(YKDs4-+7C`GE;{}R(H$Zf=DT)3=MTBR6${)Vj-qb)cYvqS2Rou z(WBS7O z%A7Vvv(zYuE;h@h3>nU>HZs=_*tn;;Q_+{SCh;A`?!Al|G(KheM%c1&+~50xel0>R zIMRXsoFN-(2aCO7?yACZ`Xv;Vm(sjtuYKvv56jc9J6&6Zy5qE_?m_dci(9BCEm`(4 z92{l8w-g&7QPHW0OeLG9uRLspI%_fjKS{eeR}U-djlNq$MDMjj3vpZvesh2BMpl~U0I_ex#y(XNUHK4~Wd3WS<%{__Z8s8Xsqx`dX!rFg z%vKjc3ENJSYgJRCRl6^Zc&p>+y_-^b58G&C1g(33X>Q?n^E}pF);?wR#klKhq#J{d zqsCAdA?pUN-$#8sgIq;x6Pu>jJbSYilRqrzv`g#i+<_t|Su(N0_u@wh6Uyp*Q%dE# zy;!q&-c_l)2U;t}wlnWjnhXl!?QbuKPTXhDzbr(1>D0O`bt|g_@QXtn=7Nf!;Mwtj zuohlhMs&5rki5@kLvu-ZC;@P%@x*EBLg4kG8D!@hwMN<$boJ|nFZ}%8{?fJ;ISkV< zFWQj2JwL>}=H4eBWVH5%xS-LTCXm{206p&t&R3|mD)(ktV3x}uXSNf^IG0}jGvw&H za$PP7kI6H9)H0{0a1c6RA6~P!tps#gY`Oo#r(^h3lzJWVu+p?p%C3k<=RQPVfyPFI zIjnuYX*Kp8c(4%MyUoKN3?jaIUI285ooErHj%hof7h5N>uocLZODV2PD~;4?a8>6f z1D(%#qU;U{Kg)arozt%E(%}ypUkUQ*CP$b8Ct#&$wilTkNQxe%J&0v@+x+~uuWrsp zMI1)mcf+^{lhD8@Uu{A6e!xJ7->+wDXD&+)`D~GwR|AV&&~xOEm}69VzTXj1r+=M) z7!E0!w(4TEy%thKJH#o;9hm3xTk2%hK%uM;VXFV~j%_GKA_nYIKQXf4*5J6dqgXUG zb5PvEaO>an>1jiPu~N&wCZ4swSZyM}pXgxpycTW|?_9fwdeZQ8pwa@{$P;EukWCn% z`eiUid;e##gV`fvs%FmRrbGtwUaX~B5lm=+w&|wY9$Hzs&rcXP<>t}Uv>oG!q{0cN zoQN1-D%ne!v7;j{2P!>`u3uJX;AdyogR547I3o*PF>yJ zRNP!)B;hJ8yxoDYvPVrQ{%l2+W;iggl(rkHG0%_L7?_G>o$3icRGcr^!7K;8Z68vL zrg6C1QZIBcwoj8r{D!%v z%a+Vx(nJ38H+wgZVs-*LyNjpqCl_5N6f>Mj7}N^zTSw%hXv)ib0Vn+HqHNVsPjVM< zvnrhHyq(Xq>T}%GpkIw?_HC;j*fEMZL*_#Y@iZKcMUGV4F?+SOO>e@DrRKO(vsv=R zZM+6MH2G6cRgNe7fSTPGF+QD0*p$pIg7>9n%_xyNp&(((mLn@@+{K4Iu9} z-6yj*^OlqBG#I%fnQ7wBobQWj>_)8T_n6gGU6|wbMhMic&jC-)D^`eq)y?TjaXNme zzx)oupS6P*@`bpZxaK8+wQZjIHP((}+Dj$OR-U>&PUL^TJP_RG^FG3l7N^3S#W1C2R+ryaOYuoh!cRV9 zg-ixH`#And+Duy9sz-}mDKDW63aM`-ymm6*&^6LHD;BhAlrfgLSV3wn{8nd{bmFTx zstI?*P9maQ9pSK>bU13E6xosck889TII>hR?s|9yDxV&FqyB}bdS6lLsJLE56b`S+ zMiFsUyAaA4R>b-ue48uNM6P=qyBC1-ZD;9W4qWF^km>7@kC`Mui74)IZkj(Apb?pa%V|Pt&Yt2=z&&os5hRbLtX4e-*4yXV+(+jE z!6Hd(!4b3!3|vt70&N+uEf+MXc+@H`Ge3-0OY_`nz@t26{(LPSrs4FMZ&f)22SEdA zr8TW%aaEQ9aeZaYCvz8qoOKVju+xD{*GKw$x#mZDJC!R%oLil0Pkx=Jxy91OV!Dj~ zFP&ZB+#Xc3Jq-ygUQdbNIW^Nt|FcQlKK$yieqkD1UAXoWK9o176JL2}&VIFI_U~MU z_K`gIWa$U?_0ir3!LtEW?|f(mmHV=G{S0v&$JGz@Z|Q)K_e|h z_~y|mdY4=+ixfHilEUtVB(b3Ue^MWJk(iWH{>P5`Kl|$c+FegKd1?3JfNB`_T&`&GV7Ro6;dlSG2-ogPbHzu;d{q;5k-w(IH*T!a>*GTfHEM?Z+c<_@&UK(FgU?ma@Ea!5 z`zG691r(O5V;{)$;y^dCf?*c#l0#KrhwEjbgl})1+$?Krw~M>OE;A!RyqC;g>mP?f z!_1~IaFyFACV$W^`}=5qe?F=={CW2!^KYHh^z(26-J`533ZEiF;cpK+_6Hb!Gp z-$fG+m>pD4V#PExu?)VDUXtRH@U`lMV15@zpx^Iv-Nlkib;2*y^28oV(%be)7r59M zs&{OXgi{g$?Xg1I_rBZj+{y{>vP}#-z4x8!zjYdzu}o93-7k-ua&mBxZck#O+L5|U zRKYJZh4P%DO#k$7meS_p4-0PW7=0(bT)Qnwer5NrC~=*?biQ=h_M*q*ea;1HF~ z8*o@+oniJSa`}1fLP;)HOs61XS`w!OVHS30=$VL>*7vucMvj|1G>0E6KTk2s0-o%U zHSZOH#Xb+qkoczChSi-LnE0=U%S}66DQ0rtwabgi&GfRG%;EYQDD}~6gShO<&n_#6 z1n}3smS-Osi|kon^7Y@Rfz3u`+^$=880Rc(DsC{-T9=fj=x@7umFfK6jdfiSa+;%h z400V11Dq04h|HlHSs|=u`uL1}cewSjK`kKLu-)|#{2J>fY3FV&m9{->G$%S^eHXpG z?4g^_`%*#8sq6Jv@39V*N|VBH>@vpr8SJWR%EZwhR&5r;-qBgHXOC4G6{aO=stj5( z$Ltbov14|)JGaV8h(dI5hq;__KhN)6-X=g?AnZukZ21!x<{Tt(h zv2C0fn%OIJmvJ1;$|avRDYa9U?5E2|;T2ij%a!^E|4ZlAQ|zXZm_tg^*89)zcx#*u zcrLw6s3Ihs4hb@35R6>c-(v>-3NXhcVE?Q+WqdU*7t$qhQ8{-jXoS$pC$Ab)D_|)- zCG^AiDPft`v(Y1XQ*m4EqeMhoN7y7>?jmAi`2a%Az`c)<-o7d1V$r@cJM%&I9^L-q z^?GW&`{8bNo<7foN!;(MriH!h@yxsC=KkSC9x>eW}9@x2U98&i3X zis(LP6M%e@eZo~n7Nxp7E;_NMY3iKZzm}Ze&oYXC8q+i!tP6pEFDU2%96v%zc7v|G zn4>KUO&lFeU*U4=glnb+*eFdQOM`bm6W$=q2GP5f&V9_9@!VgBee$N_vMAPuU6L&(`U|@OpZ#ZyB8!} zg}i!G+*3S1JC<7Z^XobF8eCoTo_?F(=?5`^CiF#la@~wP8uRUb+qAiP*2#1cT!CMm z39%K8yLa_bjqYkZ8I5p3k@h>!cLn+bxt~fJAdzHr4aaTI5cTF}t^U$nvBbUN_*^R9 zP~7EGabOCGiJclxS!8n>)$h`M>5x|c_8(Iv=B9;OJLq=jnOMD^hx0s zfNeJq3+do=+sMzLmSrVcEEu18wF)6ZKY~-E^Ya@;ybxkmt(xqg=76H*1MYmn=JVn@ zLG<%kRH-SOgx;h!{yN#8KTJ7_Hk*`VnoovIDlvPvpoXZ_ph?M=uO+X-Jgz%gtFP1# zQ@T;x`faqOjnp|V!{d!)!7;gvnLe&y8H;ZrG(oLXE2;6EE9`X< z&+k+8{aSSxFX)+}y&5(bGjQj2)u+czn~Wj&$pscB0m@J3wsrBJzfO<^k~lx|pgT>* zEVt5MiI9*K5{w^*@77wnR`UD>yjR69me1@sjiZj7P+ij!s_?|!;#Ag#!@j^1n_T&x zXa;$}0hH3`?g0gBUsX)@;C@t5wLgI#B636X^MtI?L)Ga&6MBl#<%89o_D6mL&0HuF za?2Ux+76T}Vvy-ctb>lyn1(vcP*E0L0&nco||YqXYN4dV;R1ox#L}THwgl&Vt$erH_lh1* zOsP_dsYWF(uF8&tz0OSex5*R5naVdxlBx;I45CTUpH4u;2% z-%cfD4`Z6ChddKC*ik5(hpS&+f>iHTHzisfh)Oy#+$rhel4MdwWd_#rCPWWHY1@kO z%K~iA`bI^@fDu!67pU%_Jp`;@cRljCUzan#JW{f? zERa?`25w=WdC_MAt?XDpMT<+^OK2R!(rKHaS>~`ts8y@_-Pvs;b1jj-U}WrC)W(2q z=oTFZjwM&0zx+*k>Z(#Dm-Q#x)mGY?#tKh|BE(GCXRS&!fDuG9xHR_WP zL>8e-+?iz?CznAT;Uwx!j$PbQC*Z2~V#@Y;>y@>w8BS>jS07|Ew@d1kdV!%@pP(Dm zwQtBO37OG7_ElqtCb1WB^yT|_B0`KKE-P$6@NbOX+@TuJ+wp&UJuqrv%EVQ%jo)pT zZs7#d&2@YNH&{K;SzW6f#3}nfTn*byn&8D4zc^0~MA?1EXuaTM+(LO=e}lFRvh1+~ z3oYN#Fwz}ib^hB8{(t*7Jj31DR|0Nz;;kv-|SWu@{&px+X^KnmdDaXRjKiy=V;n7hSLS$hHK!%!h~P-(vppeKFe9esTKogIO`g@`NIDcCWxp!iZ8>I&3=$;>OUV`K(9 zccW=R2n$dVJOusyed5D_{)u;S3~>Ypr7KXHfk6$3nHU^^WH9HCKrtWJSDpGBQj63JSL5w}BK$At?Yl)HOaJ$TJKWwqPSc3V;?f lFmN$|+_^pavoT0S2#7(Jd-{dA2KhPqAk>0n0RR91|No(i!oUCk literal 18633 zcmZ^}1yo$k(l(le07>vbAh^4`LkI+i34!1e+;wmnf(}j?+=IKj2M;>9!{9c+;4YW* zp6}ds?)U$9?ONT{wR@^+dhOlyRM*CN@!~}p+RNt__N-hF|l-h{-qGt zt7kPYUO3A9)4ibh_wdDl?7zqEFAvg@b-xeYUS&pRGf<(p8dA~C1rdL>5p{KQb2ADL z>OYDyZkVH*GopOH2#hHF2UnoN9lZxWe{%F&pS%D|ZZkXFcfu!%rLdG_UTf~sk>RxP z5Qw}vo?-fNcPIOA(qnoYF@b<{9a-^H?RVyb%&jTp^$e(&mA!^csGuHddndwZfj~o~ z^`GQC@zK}UwhDB%*wI3Ym-$>c(XL5@iXWhTO!}=#t=D)b>l=$b(EvhdpHK#jEkj&V z#JA7=QE`HtdGs*cAv5TXMmNHNx+nA!pPr#rMAj)}lVe~FLQNwh9|a*{6_j@J-xO0} zA6%yP_P2o%r$Q4mIlw>_WP=7R z4D;Os>fdAc0a>jh&_EkxmZm}$MOOcp2)&2&X9k_Uoci+)mDcs6FG96+L9g`4VCXlesYw61vSh{_i0C1Hkiqm!%&_ZQ1;-8mO-zk9Q zsTkcL7CjCff}YUII1iX{(+Nh%)+e4I)1t|?C+y)s>}k499uTGi-%bNe;x=UlECxOf z03eyP9kPA$M6^{Fo!(^%?`6;iHX-T|MjBZ10_~0TX_<+cbFws7 zS#H~Kq9j+QiSWQib%rfOhqgi1F5zCuDRxtOz_U8-6Ja~s9>WHzyjlF=09~>kSAhwe zoYz1rNBRr@erbLyjQdX-eeO z6H6(zRI3wAaK^H z*}5A0emW(oX;L4rh_HbIjneOdK{anSR*;PBP&5>bju*%NT23|N>$+BKE2eX3e1ZjF#7&U?fBcf;df ztFX^#X`%%}bZOcrlgy}RP}xw;plJG1l1hn$RDmx(c2R79!Zv=}QzxyR zTSyM)!L2g;^byDu;!Uy5Q@Zg3m1;$0vplrVMqEI+x0+MnhhDUw~uYDRu){M>uVLPre$<-nmmS%mb zMUwKN*hk{L3Sc0Yy7^t?J&ePEd-1anl}B(2NqqmM3RZ~crzxcMj92xshH=pxgZ)B8 zTLHWM6t}NK#9n3te(vJ_AMCB%t$a3sg3RZYROX9u`cLO?vHw3T3)5R4?BHOEQJm); zV)=Q8cz;VtY7N9bV+rxV>+ybVwDOu$+<%CMlbp&M@cxXvOH(Hx3EY_uNz%ZNf-k-5{HQN7l zqt%cdjYW^?@j-TN6#v) z$Q}rLd|v$DDdly~!;4n7P~Nw8<6p%457n`~A?=*aul%_4#@P0i(KE67bNZbjIf5wq1VbD# zjnV=>?)<+j2+|H2Lp>Qo4HTm|f5~YlQH#veq%eWCj14x&#fH-T_)RlPY?wx``6&Zy z#^jB$5{eOP5Z5>+_Th_hOotW+3LA-7$%kVo#PQ?Po7wo2=hk>BAkx$uS5DWwXM>RN zz7UN2v2Xo9l2i176WCmOA6^^oVt#hRw${gfK=08e=(+e=-w6A8)aCaP zL6Pz0Qv?uu1GlGnFXnFr0SFsTi@oux$Nj}g1kySoY7aMNi1}-5YhP^Zr+$A1EGds4 zs)W*lZ*oYmw|+99N(ufhA}L+Y4B;3=YLQ(hJSd7lMGbMsKB?@z2@pV-Q>}czmN<{z z;X=V>5*W zhNG-|N^mcUOGKbyKafj3p~?($-12wr&QjcRhk($tpZq@}Rt@D0byukh_0-e<<;B1I2`}ukl}f zPvf5jBq9HrAf&4D7qbdRZ9k8N(j~`oT&WA}=9SRpB}5qqn7y5qY((uM zh4gr0uO&mcX-?ALtaC<-;021l@xs2OIFOhNDEFUcU0-;)e(U$KpQ4ZR^zuFCY|rMH z-L(o?lJ1-z1HlpliH!q!8EXg}ZBeXi8prTlrZUfg;^=A>d(8o`M^AFga4?3dh`E6U z^1ga0^zM;reT;oVr?0C0O*iHNli*iuAD`zPEj5MYNcFe_XAdK&TL{nhH4HWUzD!j4L$kXPQOr0m`8ndP!b) z{uoGwXu_x->nR5NJdut2q^{TMxi%mSd!?RD=|vXHo{d zg6DwilXS8W#JW&OBW+ivgf0QcXMs7tM#OLX6kT&1Eb&`=`n6;4*THY8FdlF)Z`L?Q z$H0oCcp&x-ad{Bro+b2gUhjGwu@ZXnl8SxQKHY(?3#;M#`-jrRN*(5|rk`u!1L^ELbE_wrXKqz4?~jd#j+DhMJRFp#q;h6)3e#vX!D zmkAL_Gp94e>Oz5j(1iaiT->A!5x9mafUrrZmsoKKXcyjQE5`MFdqi z@T36;d#6RE$1vTDdH<#127QQ-l3jSnvo`QZSM-IhlOYz>h=xN6%|4Idx$*UQ`C->u zGm%uwrzumX%I8^l*gGAnJ*>Eowb^9YeC_^)XGt{o4vkm`IC~xMeBT*jtu~}HVl>Yy`yG}pC;6Q#lP|kh*kMLNMIAy z7xyTswgLa}i>GFSMF86uOF}(;4Bg=q@HYR+OV{@< zq$4JPH^_^AR5)+dYk(hOPa6~LO>ye?7i|6`w)=|x*jS_!OBmbrI0u9cqxW;iL_Q&T zXVkhT%rHzqapXvG#7VL3j16Q6X~O6sK{h(-bkj)k?aX_IM+6GbK*D(m-uVGCL|cXV z$IalQ{i@JF-7`+oP*^9!6kcMMh%m&y0$BLDunzXzP+(s8HCAll28xE9WXK6buzJth zW1l3U^}PX`w>Wkg9*XJsH}YHb$YZP> zLh)GsazCiMhP8{L>$n>egsT@sj%n!D`H-Jj7n4l-=6(&VCjAwG{My zuCaFI4rNl5tKHezcKMF>02Hi)u^hyzM7M98X3vW4-&N4%wAU4UE~~*Ohiv)w-4yv; z1wb56wWnPYHhTHJ#rcRb>~KOW<%9iPUaBl!@B_+%2Asp9vQ02s9sx@CoS!{d^!@we z?pE{`v--WuEX$)p5EHHZ?DTx-3+-^p!Y3vAsmZA8y%6po6^g+Sa%Cd+G~MeUrtNbR zgP<63kVj>teYDd?BG}>n_7^^k%<`n0K^XM$u+z|reIcM5Pufcngze#&wEmSGQ&kh~ zH{=5|v5?DtC8+`x>v-DFH#X9!BdD)5)(=zP|m z6rV~W_bCW9*k3OZp4Xj--}LK8#E)%t@V8Hlzwy4lyXXG!$Clns@C<6xVO95!@Y+D* zh4tafb=38%*J9Sl5Op^A zTOz}Hau^@r?yA@D*zTqGtf#&sYE+K_Ax|lMRAS$HeDy|Qlxf;L>unaq?cP0iRL6PL zE2M_mjE~mYhxr2DRiRgkNg?cFC!?Vi-TCgDyf;dGA$M+AyW!nDmf^+o=Z{!v3imwM zCv51s-I-+Mr|zs9ifafQgZq?IU+6Z1$iBIpE<632iYO)NW5*#ZEIiZhG_~)u(V^1K zBW^8zP{{UKzm$H*waaJJh?h&}oH9v$0hY=?%Zdy-qmk-|~pgCwp`_Qzv~w zO?ahG$gHeHfh#&#m8cfxwQ$t4J7aLFJ3{1YU~hP?>vFKHDId!x=T*>QV_1|yq(pE} zulah&%;g@+%)tYH>$6JeJG1PNi+^=SW4SoFH`f82sDNxcF6-Xbn2yp&icg=xH}2ZF zsxf`CefQ%pu=ZS;?crDE^|{r&z$eNPHT4DFh70=z{9}w7ptDQU<jvnr|p}gVk#sM@^J(-I)VkbZPaeT^AzWcz) zqsr12s0Rj2aMgD;wt+b_tWI}!X?P{}f=r?Inut5kJCDnDRUc)z%v9kIw(hBN;_=0c zpfaM{cD96-!=re?KBwlH_BwoXSK+b4`4dwyb-QpQFyV5CNp|u@%L8RaQN$D9ooAw3 z`r^}Y=##6cxN7^xBu{y!Pn4z!hJa<-jCE1DQC6zV#twPgM7PT~aQ8N!L53Q@z-Y=2 zrn9BKkCt(`n(7%F4*z?TmCy|k6~YsT%|6m5)9ts2k{^1RfC^V~G`b$AZ~F8fPCvVN zcZ{C7G+Grb-sTLUUAux{Fqfvth9)J0MM_=kyRQ#^!TRkmc?BEYM9`M*nbgucjx}{{ zvskN8Zb$PEG7X}Z9q^W&GvT4V~AjKy<=Aj3Qd z*H-y{W8ul%>f*^uk91{Zxcz7y0myD)s%ky*L!r`>RUC?KvW9GId%H_oyMfpmmB*2p2ATKSUQ&}3zk z=EDyg5y%UcPereuJ?s_kD-fuApSQ^V=8cMULk%ZMUrSljs&V@?Bt~YtCJ!=dX<+ zA?=pWM;Oi{mm;D!wH~9^%jzfIcb!vXb}s?!dlGB?eAQkfG0wkDdAxXQf5VOQ)Bi3^ z_wgDjX@`Yk3aiwtvuRWiYc$TK2JX0bOs+F28)>*@W~Ukvc!4#`D`A8>QvnQsyKf5~ zCT;Qk({;d?gDQ4tUL&&Zdg~1Yk6XC2jbu8>ks{m;HO>MLyJM}(%zCW1JGtel4-pA@ zqCSQ-ARDe(?*TN`rkF%P8sbzobEgT{bna}wCwPzYR$cP+_DFknsSX5JqR-!PaCEU$ zjU4P%H%U({L2Su$-oAev@W~8{nlv9c+=Cy_%lIx<2iqs+CF>@-c-XbuVesiRsdw~> zIA~F8Uu02hCE5>|A4P66@_Svcw3B6Lc2ETGu(IUVnTE?Gf%d!Z@dLQGwnV?^6BD2B z%8!7Rc@l#zizWYwZVbqAujKv2W&G^D&68sX=Y8Av$J?@Gk{QwTryqOP?f(`L(^Q4G zYB72bw|w8cVIhDKl+^&nK9kBM!vf&47?l{Wce1j*&(_mRXrzN z$8Y-dt4;0{vJM@8d-`DW;t8tqtx;heP&VB#sfanQv zQHFo!dBqf*bv26Q-1*K>MxY}ajy7;HSF{PWU+!

;Ld&K>71{)3kZT*{ zVNa}aC51Q44f5N;rUpNWMZ5A%-6adhUqF@JE?s%bSyzkU$(I3U)D`!Xo%5+tu= zcOwqmK5D=Bj>)jY@F~t?KW@lh)Sv5RTH&nTA*3hQf^_M(t~U>?aGuVY*HZ6912OQe zTYlV;5H7MjRM%PxmPIaG6V%DN`nF`bhIfn57opZUg)ORKwWv@U|2^pvZr2jx{a&|d zRT+2F5poX@CmrkieBAOujlo5xy^7@nJ%N3EF=T&FZZmy-Rkz9J4{%h(l|7OzU8!}^ zoQ9lJSsJp9ny7E1G?_USnD{N?d}DsLM%5BZv@|#nFJ@w?H2KYV$84#}ZiyXVm1Kg* zj?dsrtX=uGZpVn&p6aB!!PzGww1UnO^cM2NZFRx}-i-_5yK%o8((8i}F=qpB<3k{> zFlMiCdX!>iNU2cYuR5T|zRoEnV02N*;ztUUC)Rhh-)dPVRV(;?bG(h-4-UQNG5wZu z4H9}nIz4;oooe!Rl_>I?SX(rqI>8hff*U0`_h@GhPm8q&6Om9pToI|p`F9q<4lw0I z^o?{RBYu#N^hbHK1v6dlCnmUh>oMB3t?pKv7WlL`{jSLQL7Qa3OL&<%EqTJka|l-+ z)v@VV?%ajxY9WK_X?PuxMiRgpUnjoI6tOjf&759N6A3y|@+v8sJ{xYCG4 zKU=He4otAU{i<~4Q0d~&k9b>DucdwawZqw-A1dy+7Yd0z>mdORof|XXwb$n3V*!GH zydVWxo}BiL7X{#Kqd4jn>JRnPV_xp83+E!is^owiJ=7;8JtGB78~H>tTeHNJ%pkoq z+xr=^MK!v5d7zA8hqif>-H(IBnVOy#Z-vP;>pXgmWcbpls@=Hf2~y8yu;-vN*>;R%FmAJm|@m=$VF7GS}@*lQVH?m1?X?m3% z;pLRARWep9ll08fsds^Q(*jE0rP-$T3nzE54^wp_?;`n*H$G>wZj&8lEq7N>+jPsN ztv5?N{4~UGkyqxn2^shVPu!%9)2NX$ly}=`R7aWUKU_5Yxaq5i=C-h-$X3JdG>RiQ z(6(=^ENYt(1PwYhuu53jjjo3+iVv8YN}?9ml;a#)w?)#+U`^0r?&{v9A*Ji2MJftC ziLGeBZ4wLkTj6+*N~~YYFLDlp3lA_@SA@~I(TP(MT3e|EhGMt^1#&n3x-rCa<}Nhw zgtv?qKHVhZ;f-@e3u2x6khS#wvhl`6tIhhjli!8xOugKrjin{{cO!m_MCvkXgZ-YBJd5^1a$ZzTA%%>O^qd$M1`BNY#2nVM4ySPu{{k zcVXALlwVs-#g>D;vJYUqE*1BwYu5v2_>-v_W4FYE{G^inE!uJ6C)^=opL|6c1WNdA z8?0|8%0pY~QH@ZEtZ0+-EYBUsttA`e!Xx2iksJn@xzH|*s^m$X9&s={%N_#`Z!@j< zlV)c7P+`y8h-Ycz$Mww>U>+q?w&58}T(1u5dwXZD>lMZ3Z7opEVW@SPZbsadfF=9Y zJdO9(Fr?GaCE+#j-Gp)k=f@>FO-*#>+dn_7G?shIgWHysHP4%77C0*AnqS*;#qUg> zv}bL<^9naG=qKW+NM7$m=N2c{$EcoKUoY@wZ`UeA3m&;s(D|rcI>Vz}U6tO{x}fFD zec(GWOcmezr5ja}krhh0PZX=q%H!+v?SHXrr*5oArvi@9&^vW9tUBXWzc~GiekFdXtkXMa@0127zCrf+qr-p;=yzqTfzeNBj!*&`rhS+?Rq|<+ww`vl&pv~F@C*KXMvpb z3fSa{oD&IiQQcb?5Zrx}?1$Y>!-Ov#oA;GPchu%h;g{LkT1&at(Ip>xq3!3`wh9M= z4~n*qJK_c7D3VXMtaWEFu5FF(ty5%B#|(_YP8*}ugJmtI+g8QD>0tci=o>W9H56k+ zKtP$V8$* zMDFM}DQ`7<8FR789uM_)|uh@5mI_}6NmTi3nA0=@cP3BBdHLJ|lk&t?r4F(SA^EnT)Gm?@3)Qnkx z6$+Pl#x8!7eQ^bTi$|4rcMfDnafx)fzWt;ni({Kvl=n`cmsSZHGT+7WvuM0VQyRL& zEE58&u_!%7n5li*-O{n_S)POT@)kX3a*=VY0~rS=|9362?mKwTal8iNCUV1Tg?K*I zzeaa^MU)LVN=x3jfJ=>)79W&BFe(|_aygn7KvCc_YPh08}`JCs4zwzu~Djm9GD zhq{X&QH6GLdzhf@1zK^S+5naf=?|r7KwS3O5YcJE11m$9&OLvtNH9vYDr?W+$kV)GFcGxkUy(I<2_2a-9BQ^l9mfJ85w!_u3vyS5# zYR27>9hSKBF@j5IM}iw`seE+BR>6cS;_Mifv~$CnH#XVkVGw1$w4BZJUHp+*?+x)^ zAfI}UVNvwiLWpGR?Fa~TI)v2hV~Z+-+Si6@CFSb2?;xf;Yn?Z1X{*a2-Z0a1mUA}V zw>;0lBIzMdE(TEWipQz|Q_8n^^9kM)UFC%0f1p1-FZ)n*RBNOy*8daPrfDeHie#nN z_MiuCik)-^MUegEY}2@CdE*nS?^PkHZhM2f^-lpzmzDtx{j!Pmx;GamiOgT~&WWoT zWX`AdsKLRxxic=YDi(;3pt>twFBIp=^6w|>-MdpIIwVb{ynCWp4uc<0*6)k*>gs1p ztADj9A4$tBK_LAn>>~X;6j+6ZGNxW8c|IF`C%Y=tgz>HkYi(eccTu)FFuW=`Z zwO@!h?J}}0tztAVX@FPUmuC-F(n!9jqJmHIejT;~RS3(5e=b=TIg*+awYhMcAI=1! zyl&s-kG5sdI%A0|xMgmiehg3Gm&{7kwRCvf3>pf)ybvGiy%qjCG1k)hm9cPBWFs_9#=zxI8$-tb6)My^Cj_Vs2h(vo*m3|PM^`~5?WO0|h#;oV<`jFzKU zJK{eiO15_ye#m?@m{<8}wZf!~Y`3yGo@ZvUL&D)B_=N=YCp`@LbW4rg!=dcn#QMQc zL6mHy22(VP*sb9tt8Z`Ddsc^}R<@PzOKVlO-h~5eg<<9gABKyF)C8z9T-;!D`Vf2W?DU&e@E^O&y zicbQ6uYNJ(x4vCJ4ogh0P>Qc6-^#;Pr?vRJyPDX#UdkF&9v%)7+po(XvQH@6I~pDl zx$2~87q@zG1zT52?a?I%{y^PP%rD>rq{LEpY=#usx_1_ssg+3k4C;H=a{3HXcz*a5 zPFKsMaHHJ1gGu~taxh_?*Z&Oi3k={sny;jejLcA!p;C7kYRVfJ-Ke}YzlaaF5+V=v zhE`rE%!Vzf5f;@Um3i)wm&~(3^`IVJm%=t0Zm2CT9)t7=W?4-HOMAfR+Blmm_pOnM z$E9B1cN)c~QQ2r$S$Gn_CjF!RDIkxlB~E&B3^;rCYL)%NyT>(!rarGp0lhMPZH?wz zX*-|tx1=^M(L1bfC-=*^2m9s+n8bZ##Zr_>6eSItWnGg*GQn8;ij!t+8(N7(kf)_a z&IFIRjPeC!2LevzVv&#i?d$r9NJp`DQ@s~ zJE69ly%3}J$&aVc6lqM)KyS{n9PPCbA!=aE2P|9*(Wkzy*r$qXhD?8 zns%v~OhVgUosU3glzbi>?Ig+MRE& zODb4-PUTP(@ET$Kn5gU>S*tBtRjc9~{FKlj9|pqv^Jq{!c_QtPyl~ZkRlcwykSSa>N&c)6?$x4ZRX;5UiL{o?6VnoTP;1qkLC6*HS@Inv0Sm9CEy3!a~=;P2=U^f4|Px5Pri+>2G%g z$~PoK5P0qoiFYH@hf@I~I|H;UhXOxtp^0oCf}8Q26=#zH2Vg2o8{*sc12N+kH8o%q zrV$RdBfp&7bnTH4Kk{5v>KB0}w}_D&lv z0=PF@Q|IZaC2;(;VCMYv(fa5I{PW%9(vM6Zy&|(i+I#mw`Y6n%0U(-Me&KP}g62V* z5r(12W*WI-Y$9L2aO(E)NQ?16!=jKY5I%Kp&7Ooy0^EXQ$7?R$Odo-ov0oP}^YXw6(@eBYVzOxw#pG z)s}o<`Kp!6mB=DDql8-pAZg8ZTU0oc|CajQo>Oa-SLDIWie=G42+Fzl3Z=fl;fI~N zLdh$1FWj%pnjnf1yp_g)LQ|w|%KGnn>JK^xLWS?JI;J4SdDR772?=Tqx3ps9tDjoH zo@1xmO>zd8$Qtb{G2ofKPs#R(tsUh3uK|YkP2PE=Hghpi>fbAJ;xSm&_3R410~fE8 z4P-uSvzp7OwD8pZB43;N&B=6~6v?brimJ+>MoN zoo-m5JzslTaWO2{OCZA0U>o-xh}pU;Ss%&uwR09|@o9*Ix_naW3B@@lFf#veLAq>j ztTUL$v6VEE>@JM{EeQL87ZlLasJ4Z&;#h&;>1|-tnBAP-Ju}VCr#}J&Y**w2eLwxm zuZK)@*NroO_TWUb6)-vM&~PqW zmt+iMi+)i@ZYUa9eBMlDNQ*Q7RRlYvTc(w(=?wDY=sG*29+e~&O3er3nsJ(!ZeCO3 zO;uU>z)OW8`Wh2DEZ{)8w4*e=P;FPaLB4G}BJ1;C8PWerkbW^BzwZF=Ki#IV0CN1= zF64F({#iJ!}6W*IuEWd$Th#!3SZqP>8(?tMO%=lwO; zaD&(&cA>C01T^i9KVeG~Jta5&lixW1NvBp3g+CW!@?CR_NG*N)W0D*Bo*65dl>f;7 zi-bzM$J%chn{(thJ|HJ*q*mpm$K0Hz%7$g?C<|gxg6P_6U#t{8H{kWt2k3Vkb zwc~>CR27SizHuU$a=~ux`mrZNN(UT(63d$C`4>Lp(%G6>nA3G&8O)6oOuHba5+t9) z6$vD^_QC78;Wf^5T(6_pujz_@ILrTi5u`e?6Op`oBhN1M%irVh1m4Ou81>DrV^lIt z97y@VoZ*U~fR)$BRO``etM~o2q(9)-w=mo+FvlO?EL@JOwS8Z#F`0?R=LwZlZrdCs z#p1GU?`s--J+WqoALt1U^@I+I-16NOE*K&Cj$VfOB#@XC=sRB8v$4qagsq#8YR^9! z;5qDnA8oZ30|_>z#aeZ;>hF0#W|fL33pfe{CzEesyE1v%$;4Q&F2lrLuX{6_HDpA} z*WbQkoC~;UBWolI5@`q>ajJ=X<7Zm(q;fq#EstJm6kMM(!gDu%5{yZkfB< zCnWm?Q%38kM<{8u-3zKp5}Yn=_0Dc<(8CgOZhh)-EL-|>#%suf3Nnl96C1s z>%fu`5Ei|(=jqj6{kb*O&IbS8;9Ml1ZHcm)8y!}wSX|$p@Nt}wUXlA#@{*;``(}fE z9E3eA)=VsHHB>2V%;8F733|sHtqaLiA0a@%hceQr&~X-oIrzeyoO_k4WB~VJR4V(( zZjBum6R#h3ppb>3g+F@znFV=YQchWi!gvIm;J%xWeqONNcBp%2|A@$eqG>zp?R3e8 z7h|)uUJmR)HI9$rkJ0g=ZLrvpn}>>aHW|)v6B$;GyLIf;@%kZJ%D0IW6xNne4TJdK zXR0K1Zs)lxUq5-d5!5E9xFq%#IUz9i^t_z!LBbOJxG2>2hk{BkBgGCmma?*4wgMe5dE9*%XlwTP8mJmQ!1vOIU{b_EQNEal1Y* zp32~Reh*0YD#o_ObVep{(;AdR$Y z3qXw?kh!&^Bx#=i!zL_0rrW|3SE0e4!2u^=s@%$$v0oCt$o&&cFA$JO{NYGAfSZq0 zR!2X2-CHO6q=+`b`N+vE1(BJcsEx%$YBy}vrmry}Bsfwi9a1gDl7T8jpsRQwd{p)T4&*V#%X9lE1O+= z-@Y#3F1oMewWZk`zZ~=i#hU^7ZZHb`iHWRct5@NB%{%q9wbpxTR7a$G`POv>pI$)8 zR~1LNYBzf08>~DO^xinlL^cm%vD5>T-7m=C2fN-S>F#dEvB|X+q13F`yMM*}$la$2 zCq~U4 zN6TgwPXQP+Q?j)ia;>hlk7|DzcgIcjrVaQ`$MsklWZJCnn^iqCirBv_bK4de)Jr=e zZ0ypCRKmntt^39HYP3P}?)7H^<=RJq23y(Eg7>(?jC3RW^-X`k%;8sdgF<2Y z*893wp1j(RGl>c|%0rA$yd2@#(mFW5=d`ZSm@~@7B2M=QGo4VlrlN+BNK+F+x!t+n z(#%3#Zzb*O#&e6o`2M_hF+0sRRearC4n`XSdzkBQ0)qIPEjXjE#OT6O$VU>$kS+CP z1?=~26k0I&-Bm!uOfK`O8cH1_4HI7+`$>Z=>x_Ai+D}T8(7vhs?UdzH)R8O@x62I; zK&?^j>@&)^*cM!q>1|20cZ^a7H#tbzFYMIMxO)QCi+6D)<7GsR#H*s9m0c;PSgc(E zUZrD(dT}<5?7q&WQZ;3_4&VjmT40T{-I0y>Aw}k#?WUZLx|Ozb&Cs8ra<<%&cxN^D zVaA-gobaQ^2{e%@x;Q=nlYPVrN#97R&-+qxJ~Ck|cSr5v8`c2Oeg=W}oJ6Z60$->)&_l_9VSf%5(`Acz2Tf$Xi4+0NZZ;l z-v7o1#U5DRb6tGLUDoy;MW6brWK9IPCJ9pgO==LLE6b*WAy5UUSt4HavgH>;SISA`5ScE3Ee_3^$6I~m_?bThZaxa;e$dL<58DOx&Uxp`1O0uy!T|Hv#5 zX&RDyCzud-E2h_ns$G|p7Nc`L-PGc3ySx7M*OLNtR?)6x#*iuafftcqpkLH*9ELZ~ z=qbZ!#YbW8&HauQ?+RqBYGReBwZsF{RsBl64ZoIIEnL|?BefLd0=*AP2V6(-hyZ%{ z-T7UKZ^FH3F%!!(>uOCiA)$_3OH`Y4G?LL<#O_0lu*M>D?pM?xTj|-bEeiez^pX0% zM+~l!dSzP#6_&G6C6vS6;%VEr{7V+89o%|Q&(s!811|jfd4LMYan{W_vfi=plzZml zi@)n3k$cD?;MU=wlFK)#0oO$B|9WEoZ+r^+7i_$g^k`2n)DOC})l)0|7q)|bU+ua} zK|D54V_I6FH`>kS)@hD4e-_}iy*$S^>$KKxa)|Mdt)VLAbPU+q0gZuhkcD&~4V zdtdcc_T%D1{kD+{swI<+7=gYqW?~0r*iYM6->GZgw?4goiXPpPv4jaVkk9+FkKje0 zwigr(Y{TT9^j8qQsiSbOz5HwPx>+@8BMT;kIrPwA>#o@@*604Z0?sqF|5IW4 zUn$6Myz2^vU)Q2)&suI?(dhF4@wZg|amd#iIROB40l7T9HIPe<7bAaT#&h}OD_QZ^ zBfgIG^vDTx_u(2V{A>_lVE3La0njpn$W=h|0 zEv-Ml!$=&fIUysjtYuD@1(HOIc}hu?t~kzjHZLa6v$_nc*|z%|g(dywtIX zts7s`1BczOHWnqPwC=KPKsI<%-{EVSxATv@n7anUqsB8XHxiZ1ZgbGKIdMR3bvTN^ z$)yr1kGR)b%5v+TVs6o;=6m?pv{28OhEbo<4Qkxa{Y`Z1OvcvFFqL1{1pXrF0^GPe&-^LUUzt2D zgF1%nG)?6igMpS??86^>E;3}!R9j@*8>Uml$_D_9iz{ox$fqe#U)Mev?G-=v>e#~! z{w3!L8IY?0t?5a~I&0213s>4ZrEwd!5kd*J+s1ld7O~_9g;K7>M4uU#5fnnq5e^5R z>MXtc4B}kC7nS*qQyp<42@7hQ(h9ZT32UaaBr9HR8EW^fo;ui2=lgM3G=jE;^Won*Q;ISlD}R{q|(a|FPmbI2Pn=^eN87u~U!>i5i zIPN0vn4sa!Qm_^D^wU(!^HN<#%O)~ymaYIFk^1;{r@|v)QMo#=E@$NwJZU=22P~eq z=F{CLB403;OtN>&01Z&@^JV{}ru3eq{FRQ<12yAJfSw(4^sj_csQi+%waJ#TIfg$4HbP&0*sHtf5Ds_OQXQI_FZ%4l?71R! z-p_jT)`Lv|VH({`&2S7_Y7!kuk}f%eKK{L3$8htSO9}u$bB#)1z~w(8wYKt|uSc$@ z1dcL1ZjvSaubGqpCofBCr+6GF$&amj_=UZvCTwj(oaV*X>Y~aRoNV>qc}^z4U8}S1 z7PnPqFNkZc$D31$+ZJrUP==QdoAL>fcbD^)&Q090nU+kX;SZl|(-~V$EUaL$ckgZz z>6phl)+Z1a{e2X^9|cCbvc?+N0g)|(_ymLzB=<2gtRCgl0j?LJ922h`l{`bOx!B8; zYhdQ?lB)IUx!IGP|@<*yV&YCo@dh*X@IdM9{&f=I2 zxU6jN;)dj{Q+;KTS%=mir1fzhnEBImQeDsI`NxYdHOvlAo2+??HpFpEZML~?@`c7`0c#Bsp(#nr zhoK7%5XW|LimHa0^)Gd-qwx=ir<2&6c_SQL4PmX8fhg_q#bbmIBx2WA9Hb!6Pr`{j z8fTU}?{Qrd_2|`eVhHFQO!Wwruq=L9(VSKw*5wH^0md6q&b3~aUvBe;7%>qm$wVCj zltf@9zrohDiNCeVlnT6j~g|>4}sp#_ug~v{eFM{eeUo4?hlW2fPGVk3 z$T4y=d;?g4FrSw+K`8QRpC&Ei@S7vBddicW1Fi;X6Qef|EY-n1&!dc>bQZmzgz`Lm z^TD`#^YPL>vIh8$Y)PCKEnX&Mt`~UaEI}nbN6F1}BXN9WO)m08J~XQv{dgDxhth8Fi2H}CE4rZCRsBF5qE z!k2nsTFBOHwNO*C zQTqq?`lvaTl~PW87$^~oT-@Ea8`hrHapWnMPM18i;X5KN`Dl=pEy1HPG-1Rm2QvB= z-$5$)j8+qjDP{4xMrsE4sSBoFKlqqo#ig~&N69U;Crz+TJ68=&R8W|n0?zBSc0$W^ zgmXk~J;T3vBppG2E!PtR?NSm`6d7(j&Ti5Ok7MgK(z0gK3rhR(bx#(}r&1l&L(&l~ zdhFohh=Y+a$k){~By^xJ9no-{Jchz4=<8(c_Cq=-GOe=)zin@=SRK`M z65c;>s?+FOLn>!s?S{S2)QeTBo%+v|x$@gNa!Ws??mRiXpW$@p)YqEia#`E$i?^f~ zz5@6c58Gnj4VmWMHedXFZ$^B|m~3z?`UuTPLdDCCNR@HjCIQ!WJ%W z9ls#_O=5G{WF;Z>OJH9^|9)ynwJ3`5aoAwp=a<-ga>xp&W${a%fl#Rb0e-sd_A`UZ z5uX$oZneJ=2*QOY-6w>MMgOcDD!-IsbU`NZglqO>j#B)IoT+$|^?0Q*SHFRvrM*@Q zvG(HP*+vXff!Pko)e=>8Lqj_0R_YTWNYq%>qG4x%>_bZsk@%r6mMe8i4aDY3VaA0( zbSaD?9@D}FV`iibPM)i*NHze}p&Kke&RxfMF?xpA=5ny)27pQ}IDrsQ$$mF57f*e(XN z3g;nefG_k$@7iN4jTG6~h@PayZYJRa#REmeilMgTIJ87!=02rZ53QLSM&4iz(Y8D( zk&@4n#`et4F0jxYU$2T+JB#*l^XH^Qb#!#Bg#ds@Z))RyGe4*c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EbM0RR910034z00000 z0071U00000008|x00000004La?0svDWJz{bwXuP18<^eM_1f5mSkKN(&+b%rWmZ*p z)yQi*J1e_8cj`5n)!oxxOgSs-R&{!^GHdhEJ+-XYV6gvSOCV%nS;$x*|H1+!3_|>{ zEQ63h0`Y@~co=Lj1`7#4@pIS1_r>GJx%Wn9-m2=#p6<=7uFkk|Pn3v)CtmNp z_uhMdfWI%{Zw}9;OLHH>Pq}+^+-f%lt!_uvqT_CF@Rf*ttMYb*K=Qft$ME(=r2c*U z{a)(tck%u^_V2gx%RlDyoA15%z4-ZFzfkLR&IaH-iqkZzZsHZcn z@04VQ3}51Ze;9waYb&+V#vekcKWO0pZwJP&!S8x>*l4TG?x59-@S1rp{rXq1kjE#z z*5Si}x>9~k6;@XZ^Tmb2qAIlqQLmxO-5v_Ad2snbci2SMBa$tEmo#ohCWhSC<=|ebpU2jC!iyJs3Q0^diM53(jC`&{w66?QM13=nXmm zG&nBDNM6?|8l4l>9S)9%19i}9M}2kB>mK0?VhmFJEbOn(g5}!~4-A za6%pTx`(~Sk>+t1w6u_JVt8-|0oH2)9SC=2R z1`oknfE~3=SzkvP8UuCWD!&DG12Ng$?*ifR5E5d9;<99|sXD{+zB+1jh6j!2VAumM z_fA|nSn0r`qb^%skhhS7#t@YBTAw5DTm3<=wKp7ed;P0QZMUNaGVDbMkPyq`%c6*J z(KMk4!=9G8{bvrJU$gOtwv8oS`jvBp_Oanp0SL5#zn#tU6p{erk>A!#= zQl-_@AIHxQbOy!yc4K%L_4Sw3f0zC|BA{-la^k-f%ByWQPGk5nI%Gkd~IUxoi%dy~Jj4_XDySfUm2lamsN z8y<@72l1<0N2T33?xX9WRi?kD{-fRX{uD<;C=2r^5Y&a)s*mg9$j7Hz6i@LVbri36 z4^3?H|4Sc&(fipUjKg7n_o#8K=I47+V}G}AHOj8G;64R=eSZFNuQiA=Uk(nGF_+bR z(}pfPga@s`D1=CM7L1Ml(G$i93qM-`%_P?NhY`}lhCZycS5*C^1Jeg#GlY1sndBy_ zoyHKyEF7QwM(Qs;X8C0^R-xqIY7Jo2YOJ@aI~lC3Mq+gsIpT3SxDn7V7;JHOv;o_> zF~WYi#w=;5IUq%YyVD&g7}T)pI%ue^j%uj4HtM(akl-qX8V{NBb@(+s-tbtP=zw;J zG*IflGvvks<6&+ez;CJFkB(q$8xED~8~UsGFEDh2sE|d#@QuX4v0thZ$F*VRdX^6R z+-Z>S%or6lI}7IGfYl{zH_jRysiW9fs7d~}(HPWm+l^kM-HzIDPsA=7=KBNkTho72 zj~nn#wE7$Y@4v1vlH_<+J#0LR6h{Ap)-eaNy>1gq2xCmuc!Z%Mfv>7cM-Q9fkT5w) ztQdP@I6H(-ArT9c;VP+d4?QzE8=6T%J@N{IVJ(1xIC8wD9UnFHexn%2QS0gZbx*-3 zM^R9V`i6$P)YwifjUt2UENAIwU{Aom;45%(as>`r?bhdv4+~D2N@vg-oXCn?L*s)3 zF?vJYqR&S+1^A(QEjZoiDpG^TUDX*L?L}xcZMbRJyyzNoap;!ObdUsg2-39R|7yaY zCSd5ZgX2s~RNp!94qJ~RI1*2eBRU+ldx%*3$5FF&0Iwntf>PCJcROgKJ0e3v1l@!E z*1mRCj?9119bhzi=Dgv$f=VF8bXURYH?OH8Yfx&E`>+KUYOne5BvuEyP15F(W4OFw z?PbmjEaALJ&|g%CUoTP{jpjobPJJq;`o^{a@&7{u8Lj)jjMjY(uR(RR1sCO!lObpZ zXw%W=CX4UP%KvE)f72+$&P0>q2-x`H=*F1xS1}T}`q`uYp)mTc;A@cHde#U$MGow+ zz!@rNveMYWP6Hw$^Sm$phclBcQ%|#q92}t7Yo~%9{>6Sg-F^b&z)t|>CF&Qci;{hO z=IbmX$*mbb-VgjRZG+*v}7<(Jt9Bd^G|6a&@)76+k`z7s0(2a!I7D^hyJ85?kEc= zA5QXt5848qhcLZ%dwOkzj!pfil|8zLZ|B;GpPOLtO>zX>WUd)~n5dG>*IC5-L49Ux zZB{R{dNJ!MXdAv?RF{cyvYJ<)H+|XXt942*#?16=RXWYCUR<;TU&R|r zZL~VABX|{V!Y6>w@xxB;5)EZ(3+u8!)ksohO8g>%wdjy8oStIQ5o$F@59P@N+3o(k z(e7W1=nd;peK&y#A2JU(ZLs{3?;C8V9Gd|NCnIwW^iy<}CI=RYZejNFK3KN&Wb4O> z5}PWmkZOl(0b#I?vfk)rxI2+%v#l~RZY|?Ga)&p zkodllvk!3LOz0dx&d!mJtx3)GwBKh)XFNTn>`oG~nMln;XTFGg?&sx;1Ma^2& zXozM9~!qrU!s3^lKh|b`wV$-EIeb&j4k|~jKagM{d`}&9 z_xT;eV;8)No zcaq_Hx3RB}#4zUq!)dou-V$!dzldbU{l~qL3g^h;U|gQy%40KlXvCwa*W>vV`dkdS z`}6^cINX#+S!hR}J?a9OA2r%I7FhcS?co;ocnMFJIbGJz;F*&;`d3*BK6>*a$xBq! z(}~aoY2Ln!U}m>R=tL61*x7+3qYp!Q77rxhwxhME-8fO@hd9X19i<^^+{lah|1JEy zUp9-)=y+eKlUX&)szG?4X5w&eaWJZu#t)AaDC309UKfWp!+>eDNA0g8IG5H1r?a|(^s4ZBuJ$0*rdo0F_4EH^cKb|loDmulfK6pC+ zG=e;i+|qgnw_boybI8efT5n^Ya(4eJ$@n$==`XXFxw#n+W<20t`x%to4ZhclC4Hbj^WlV(H3*>L${Mn>Mn!Rym-cM?v>=go>WoVd!H0VkZK${T%n zl-sWc{(jLM%r?7DbatJn!d{ixb)uQ;L@&)@vXb8NZ$>k6Cn)w+Ejq#^|Gruq_H+|; zS8YY}C#|VnFZIUBu|x$*-4gD$@^F+WO|^Q4?pQ?+1y^MON7~ zUqC)v1OLc+ZgfO(<{xvp()ei-eaLO_u+=9*e~K_J9U-4BO{%W15ZWKHbcDqS>)0nk zTa0>|*isDnXqX`i>%>Mdg=Sq$Ipr*VnPxFYXPPRYtMN6SO^j>hl|J`%RMR3mV$|=$ z!o{?`zj)1q0C)7wSmp-z$SN>I&&~ZR{{9OCJTb&T;Rc63W9GgK@-x8S92KDX_NaxM zT4AHaFn=H4`KQz0y|tnC+TG?mCOs97e#TOO#P9ugf(0mGbcfi-P(YaTQSd9kaN#^4 znR9b&pXTaPm>WaGCVewtec0q(g$Khn4koV;JF0ZtQ-u|^u>8g{{uNbmp;*u;^ik$- znJaTuwW_W!&Esrxbq_ao;I<0`vVfn$;?;$#1$A@SYVWJ!Vo@zzzg8$-D=rikRef6( zRQ=QHezd15`z>CRVG?rdeGOaHiArrY+*Qf!2h3sV28@Ts>~xRk+tDN3*;p}mw~Yp8 z@>`9gR{O*x=le$KZBXyu&7B?Qo%g9#wl|7P3p<5{h4QkAC2hF9na0`%HqEy!_c++S z)xuI11I24VMoRnKi}+eBE~sk?$ki3KT2wD9YuB;oPPG6ncPj+Pe^(TU@!&)?qEXDT z%VZtkA=Xs8&^L_{X#qF|MzY1+S;B;7k*~c&!$hzZ;3W6nK<)F!w^tNyYU7asc-X`i zmaY|6P{GB56`Q3M{Y|&54KBtUH2UwDC<E$)gqc%XGDfp#9abgAVtN1<~bj?@N5z3B(rqcR`&t|(+ev{c$Zr$3d z?U=+w#Z@fkO*fNhzcoBE?_*Kl-PzhD%F0@tMST~I%(XFAhQ7<7^|?ruaLKm8nU8q3 z_Y!lcRq9)JYvl@fR;qz|PuQt=PkiOAjqU0>_+78w-Yo6htyOlbYX%<=cP}hnFRrc> zmR44midPHQ3oADkS8pucSXeGB7k#cFPD?fs)2-@eX?=Hly;QDjR5rC3RX3{NQQE0) zZ5mAJ8YQn-qenQZqlqgat=f`^WtiZ&!+^3QE0bCbt+lh?=wWL?Yhd!?c#*h$j6@~F zOkdr)S=xMa_g=YN-7@J}VT)H6@0m9VFT+$ow!1hl8p~~RQi~ItVa&hXol5!6X7#PR z6+@2}r&zdH)|-uXqtlFRla@5fFiksP-z{%J3_g8#v&_l?a(9m~7p$->Gh|L(^!ib5vl( ztJF|<_)>5$k}4T~1wh+d_bGyJLrdP>-d=yOdv9xfr*ylrs~hg^yX&Q$Et>&poh(H% z13IF&Yg?bLl+l9j?rh)P*pz+aDZB}+sRpm;(9;;|pPWCFBe6(>bum(TO-nipIEoD^fV}75e{+Xx3@uA z;7Yr3%=4FEHAV*a*WsrG&!s-#$zKnSJze_-fOgb&)ObhTvm>1ixP5yk=HpBFF(zMm z`>w{SuE0*_rNRELacfP|4h`K`YcNqWE-bvke^yPi%Hvkf4rvKy+{VpO;Dj(+tuhc+ zVP_C7FD-`fIkjE%S zEM8v;(QUzLbLu+D(7a?~q@>8Or~(Tal%o#K*1+0;zmKc7yN~R<`_4hzM+C*v8ivUH}9M~Gw;}TJHWAg(D=~GN9I0s z1H*=2u$t}#gf|-RwvL8JRNdXjPWOv0>|=o8HL1FFV~6kHAr2ypdh-~!Mm^x)ZVc=o zjo8?I@QV1&Xuu7lj^tcmuqMpZs^aCNqj%cylDeUEyZ%QuFFb8}oV! zIij(u@XXIE_#pP+yrBmQZbi-LPFMBpy>$k&?#Y~;!E9{Wc@ zjZ_{KkX0+@zR*7OI=-9vAL~BFrfwP$dnc-+Wl?nx)cV~Whye^>TQfd64Kz#jk^xZ% zLpZ!qYzwOkS+M$BfG>u@N$--SS3nm>Locr)2_6Am2t$*JwnYozg^9qvxIRSTOz^Ew zmhsR_(qbm1Oz1`YSQd3K-3n>&P?|Zb6l6R^X#pEOw9KOjqjUjG)+}0B_TCouvj=^{bmF6W1a?);3c1+f6{3_>~%ZcVP7@dQKNUQ&65OtGF?%&T0id7 zHF70fwAJAG=|faJMj1Pzr6es_27Gf2Wwd)UYPb8SNffZxJ5f#U(YEO{-)!SyYv&QH zVLi__=rrg7_FG}pr#f+!eigo!i_07M!9y}rusYrhYZq(*=|VAuvG@!OQ5E2@Hg81b zjQ0!}Mzhu!Vt*i9o9a#r_I|JV@I-CKG}6>FVp%3b41Nw7*2~JN6&uSghz{JFeqw`{ zit;vXkKZKMngVZO=DiU0CpM4@0ysYcX?5U5j>FyEd)uQ`} z<30@FTSE*au$_@L^{9ivU=35KI8K`+`W2ppv!lxZ8UNKX|kk&fNda z+fMV_cguz6+P>3Pv_5^<@JSDhXOk_r+<8p2+g>-5$poseEPxMhROBj8wPyWME&wJEA{fE7yvWmh{v{~2(0 zqhYrq`*|+Jffae`wCoMwicqD&0AOZc6e`&#_L_E-HQ&I&fWN5`%9sFVpbZz5r((}1%_9XGJ*u#I`#*!`o|8jp0` zsC~0yE|VK2(>cRsn6hc}VwwildGw-V3h00Y;47X4b%~dc;j5KR>q3%st=2gQtx?SB zk)gI7!|&Q5pl9wdXRXU_?is#0JUGDgxk;4BJMlt6w^>K<1z}p<&|}hZGkSGPkK@eg zO->)|Y_FNv)4|ayjdRm2c^;YpOGFd7CJ0Qt(2~22WdaQhStH%XIK=F$JB>$?`i`jA zjf)Ve#=vawHyIc@Wev(O>DtDixrat_qI5{f3Nfi)#LuQN<1=zH?i)>=xYUxp;}VVg zM$MK&sGuBGrM%TQq$aqQc)11uG)uiM7ejO`&S-d)vSxn2_Ri5D93T5pTPt}Jh4YmwpkP!cVS?&Jy% zq>M`P|w}%SkyZC)_J$#KU--j5D)9f+vAE@j|LyE}=e3 z7vL25J`uuK!x&!A4xEy>R|T??98#M#q`1W6IBK^#n0EjmoBm6@;<|VQ|gTM zPbs&$U`R)Ae|QWl4CCrP*IUgGkKx?`=hc<+`kQy_H9I6tNYKYU3Uk|wSz!%@8V%Hy zwaUHS@_MZkO35Z+GnXl$4S3OWWK0!}VXG2K&sj~IzPee(#QfG~#okAQFL)Ak(u{#9 z9JCIHyo&~s*>66?xIsheBcir>ye0a8I%?Xjt79HetWgi~obViWz^8rTAYw;b>bq-j z_Is^Iob5a8b%&jOgPLyNn+;g2@G5Da(E***%pd-VgC72~*W?)R1kn>KkUh^ChHoY- zb|HQ}BTn^Xujgm_n7Lg3=-=7y?5a+^zA=?ZE^;J+ za$~mW-PjbjM2j4oR?7BV_gZ7LzLn3H(o~hajbgl_H z7J#S3=^Rym*g6=XEQhcHptv@o-XTS?(d>2muz#&Pu;r{Z)}|aLCb>h=u;a77-j4wE za67vWWh7g)$>T^zOOnILRWC2N`G({h$9W-q9h@yU4ky`KT&Ci|lX$s; zh|)giiTnNe9ZV1naWtJV|6oT|J8(B}8o5^5n80kxx(3&<>Y&#>!uaX2!NqKoYY?TZ zzld+!&bJc}3v`miy2W&w&8;1En{9M^*nc>G6VnUh)6kQr-2fbWt#v!E4pbQpX?!A1 z(%4SN*~1ufT9rM4Cp2KJ43$f@+5_xy$mPFbPZg)DmrHAvyeZiU*p#=|u_SjrZ$e#t zM%-&#_tohVi*l}W9c122Ss|9%`qr(TWHMRXGEA*tya*+>=_rv9E^>oqW$=|{`5NHVNIfKHV% z4shJN8^iztPqOzxk?2vFvL<&1h0Irmsr#&jB4&+Yip%p@NyF$i{VKkhQ5n6y zSaN`N9nU|PIsfc-Piiz{dVwVX5QkPu>m1sE5a?g96E1w~+Ja$fY#y_UJ81RD5*C5f zN**wE`tU_ZyWN8W?NE*lIUQqf(0t^qu!JZjUf71fWBQA!&M@7N4&P4QOpq0k)NCfD zkGo|L?l&Bgf0Sln#n&=)Wi!7V^_U) zVk6d&fhNX@7V;mD2wtqWmd%&i;s{Pdu7=V3!88fl7q7i0mL^)+@}0_{jzKo;IG(Lw zZS}-JqK0GSxtMFiV7GOh;8Egb6)5dOFtiMJUW3EUTv(kz2#pc%7&-6sphS<49;g|1pxw~PAlh1gZS{gA< zO)@R}=GVS+>{+c}?Q>MHyVn}*+BTSpw<~5c@m7>bHt@t&Gxdu76b{A-EaET}V=aJ9 z5DTyP6iyo_5IKmTpe~g|L3|RyY+9E?A^Rysodx&+isL#;1o3d;O74}BF9LYfmQ;2D zc4b=9lRsvmWWg@sV$-*2V-ZU(l(h7-fsD>1y-?Dq7b{SHO5TSk+h(LQTPc}X;VA^# zbIXS~I!W;D>?w4mYLxRdb_>?Jf0WuN4l5NWK$*;{_uz(!R_P`yMNpgp$K7MtSFE_ zIrp{G^KH@TNfgUX_B!M}iMp}uIZy5RY3y6HU`!p@EdMH^OOMP>E(}?s9vn5a((StJ zD+8W*aqZ5vdv?M^vta3E=C{iK7jIDS;i0JM9bf0dLiEnoPpnNf`f`Ce&FN#lIM>VV zP;@RGw!3@q%qJ4ic3EE5;CfBt$Yrrb4&m@HYsZNMCYlI~SC_1s=bJYX$YhL~6|EXP zPSS2RI@a4m;d~n&wQg^p>+>TGruTS0$i#jPK60wSE`>Ls^qC|(EjtD>pI^j}>z81X zC%&-@HUeW{Swg!Qzz(|i%?FZ=se8SW#l(>8crk#wd+cMgtIXI#V&rSQh@A2|?0}NJ z6O)Gg^2?Z6D{glcTT0OYF}UJ`wrw!hR!*Y&8E++l@$n80BJXtZA08PdP`q@3OwO!{ zBas-z_wa*OjMupEpaCLJhL9p!sQUu!` zEk&iMh3ODXes5IkTb{uM!Ae1c2nr%aPyk#YgGul9ovO&ese=@Mt-?w1R}h&6xG)i} zl|HlZorc5ABQZD-927x8oQM?-Q!pUZ(4;({R)(ppKyks5Ok8dsPm0Ncoq|kD8)1e1 zm;%KG%Vbi#X!TW!;w(WafNVJ=FGq#X%HyJ>SX8=TY?xxfV*xt_9xqCY!>U4XUXUpm zJ6(#GB*jAQ3M#@B3jh(E0*{v^#iG#*D#8>Cb_>`Gq?jEvb%h{!RS+!dTm*%j3xY+> zTs*JQ6|th27fH43&&nOe^&*)LIDeML{QKxgh0ezAiW&nVy^Sn{n#XCZMmK5 zsdgkt5;{WAEW~3fG$%T`FiByW1^1^zGZzGRutv9DSw{#D*}Nq378Hqs2mrxmp+GK> z%^Y}is#^()7sI3HFvWuPB6xumYbJx@o!U*Z*fLo#ZX%Pz{JubvIe_lq*f&kC5eiYe zf?lDe!$b<$3#6C&yK}!H$Q4y9NC=ZFU`6l($t8QI>6Cl{`E8kH_0IWdSVd=aJ+MQG z34*Ri`oFmXN$C|x1_@o&JGH7`zHFk;=cW80kd%j5E{JuF^#Ok3MO7cg7kw|UEs zwzE-%Ic@yYDZJ=2-6@?Z(?s+@BKU0AJb8Vq{HCvXcfu6QF63JTg;qUd#WT}*;vn-f zdA8t*$vvAreD{fFU$hlEngN0rwh^3G!bibRS@O-m2dfxG^@oiwQRHlJRB28dKjqR> z>D6%9v@iU1VNnS6CY0X!Wq%Y?rd)Vk^=rwh7b-tn$thiU#+LtnD*gRLvv;R$rJB8{ zaG@8T{bpbH^HZ#o8=^xKk}o7e06g109(6uSpP1{qA*xvvUo`yefN7K{pEiEV4c`@C zx;5OchEy957vFN?w8b0+|CEX^X9KQ_f`)5fh=-CeU=D_dkE`7Mi3zz=6X!mY% zPnSNd^#o4@z_V@a+8)nM73$IpaTmdG>7VU(uWj9%$&t==;ot}tU+BeWyYgM}rL}h> zZ0&_>|JiQ&^zU@ar8<7_Q1q`)EWD72r`+MQi;R7wEXpZe`ergXHKpOMUraeU1Y1P# zIjH?xWn23!u;|c5DMe6d{WF$5QE8MoX)L*8MMo}ze`0QJGe^C7C*j~Kir!rmT1fnJ zLW!I~^PAE;&8Pc4(ey<*Md@vQ3kTq+syuD{l&fBger@eRQuIYhCyKrWIBhvc z!9SJqSFrG5fbItm>2e!OQ{BF(bfNm6gQj1Xi-(p)<%fk|#M+I86%qKbIBMv?X)TiJCQMAfTyfwo}k3Y8L3rKSP>NJpXr?Sx}BTg3OhQoX1GOiuG*KH4Q0yEz6@DQ|nwaTqztmu$F1c1dAo`ScMJ`s@C z0*)EGhaWt&*XPBaH_K?IUn=q}y<-0KEREZah#(&Bq1?u8+2+t@9Thls+H z?%Dy%4IOz&65J6@)dnp|PCZVEq_Cz*lH|;uDUl>bWm$$%@H_GH40>=eV}+Z-Ohrr( zr@R$MxpJ#6ShvCg7W_&w$?5MYF-aIyf?r9J+}gz{ktB>6!LTGrZb9LcNU|OPuTWT< zmLkfI?mq;D$GqDW@pyci(Y=C)k$puv>`veI&^|;y+}>QPT17%7cId8*^H4q{aff3i z1jFtqZx8K5WC3&E+(7`(MPx73u#j2Hb7mOtB7TC6nRw$xaD0jP25XjBwc;7!Lry9j zOISfcso<4V#jDHru7wQ-k1<^#&ZN+k2z4TwkC0G#y5bZXjV4Mc6yZX%M6osaDMOO9 z*NV4;ojqxD*)r%{PT zD^HrPY#+~7_|ncs9Y1(dtMc!N`$5$te{`oS+f>JT4X(&eTaxoSq(xRyEY_LpB7NLjxRix72%W_7fdH5^hF zFI=1^X;!{`QxZHQ9a9-!ELyGXsqi5xpo<+}xbrV`^yv`HPoWe-%eYl*+{I(<=C+Qn>-=LmE2P*dcX z(CAK21*-CFZpL$QOz88)Y%fTT$>TGp$1!)TDAk2iT!Lf9v=v8@PLVRpCQVs(ghIp4 z-zG6dvV_eYxTrugOdcybMaqON?sS@{N)G7b(>SL{l~DVOS+&n<^k9xwR9e3>Fx>AbY=TJ5+ZIE;_JoF zV3C$3sl>D09tjZ_2u+VJw|-31n03aH5V7KnuhXeVxAsKSmF@H_UD8UJ5?w-tFWE3# zzYSWtq>+%HF6#eN&qb0!Zs~<)mgw%1M9JC6X%Hop_mUXvC5e(#ZPOr1*y~GTgp(vn zTE){KO4#a7t7m0Ojv=N&l(5sCvKK_L@Aanzv(vkPx!cTakK4zm1zl)&TYcIfh&TRC zjVP@6(&V;4A22!&}bg_ax{@zH6P<~74%stuljA@iCLX_4g)SJiSQ2C`Pf9JieRa%yA=H%Z{If5)wS#J5*)Tk16wzCbgRGpDm=kX7?^FAqC&OXQz=Ejw$ zWY@U}6I%ZIh%sF0Gj0qwO~QnxcP2xYV{RNbO~NvEx3?88-r`-!*xQ0Bw$ZNtbY&I0H+xL5f(-Z>da5j>%`oQj>WDs=dbY_G>HV&-;Msh0Bl zd(NXS-5s#HnPvMuPnsJou+FALn$+ako{xlJb&u4TQ=&^~a%YDMsj_rEg{l;q+*zh+ zy4F79h6*I};(KJyAruy@u}&FtmT8(We?<6n1T4JKlh%~K&GMso+lhKdtxjXm>ULBu z>bLrXMyDC!1D@c@Hj*!Hd*t5Q&F(;z9yMC+#$MYdW%ihFn7^mi@0GC$1h07Vr6m;h z%cy%g%_$NUuCAOV(J6EK29U+66jg6NJZcRFYNyvaJjBk}YA4=Zn6IG3#En5u1`|$6 zJVq7hn!MABdW~N5;fdPp?u*)7?;f_AsvNc3eZySV(|Q>-e~6lodN!5{_wKC?b+g@V zzGLGkI@n(<(%ozI2E#^MC1cm`?#yp>+9ztgY+*lylrDqRrw=mwyF1t1XP@W=d?`12 zy%SY_*ub{t7#nHZZZzMC_I=!k5qE3YZYxo4Y=cHD9$m!N9{2%n#Ic-1`26kKO0Be^ zq2}~1t>S{ZQYf9p zYI(8wSk&ZBa)n2R+O{-gTsk66&WNQ!W27VwrhznB(y%dF3p=jj(y-Fxn1ojj zoF>7_!OSFBIl!0%D~;P< zV+Wi|C>(Gusi43o7g&z05Q1(bc5RLX)k-40%flS|3nX}GrMW#!rt%V-2}gmlXz3k( z<6zUf?nc3CdQSzM-Yzv3b9yVzSm5-QqOri~tx=v$~H^PnrWp&h3bFGtL zT}xuxQLv;I9$MEzbAX-#t7}6!u(}qM1Jt$o9H6en=Kys|H3z8EsX0KMO3eZ4H0n4| ze&a%bJk{l3^VFAv%~N4UjmZ@fqh!lKx=SO*l}ke=Ry2*pnOKxI4ianXgeQFhso6b zSl3+hz`FLD2i7&%Jg~0CPJ~UZ&K}FN)QbE`u(|mQyt(-c*xdXDY;OJnHaC9(n~T4c z(B%0TBUwh!P^TTaC^@_2W z)4lff$2pG5?br2IClaIPPV8qU#_j6nuA8$rV`V$MWbS494h%kii_ci$aNHsnAWU() zy#A&gZ2#*xANruy%e!wc?><=C-4woM>!c()jYmZt?|!0<=gz&5_MQy?%lF=U4`6s) z9yfL$6n8g;_p@LYO7JD>V%5BLhQl{Npms-JY4S5>neHG1x)-ECN*&La~4 zZA9*3dc*Ax{yOA-?)?5HkeJ7b;B_s6V*1od<2fqsUuD`7SX$WK6f+Js6S~$+nNG*H zID@z)nH+@Y%x3naa}fIAoH@Llse02;vpJljD*nL;ZD1Ki5p{cmsd7qvFVIFzPN7My z44t~?IBVBnJ08&K^h2;~$ff?hKsj6iT{9k&)hB;>M756K_~?!)$j(f+`=8_NtlWSd zKOe{aavVay_C3EBhkTr=YySUBanQpZ#oX~JzAMH83A31&;!uoa?iXSK{9^p|r>Vd7 zgD9K!KYhuC+_$+o9x!SipT}Gvt;gcv=jM7bW*_%cfw&)w>GN3b$9ed)AC2LBoY!+c z?#~6_z9o>aFPqQzoj|_TK-!-T#QncG&f~ij*PEyBF9rDgR|9bWBY^ME1j_bGfad=i zr}g>%i$L7Z#c>E&Iq)H$_DRPTrh~TMFW+x&IKT%n;lgPgq_8+0K2vCo2v;4#@LY1lsj40hrTC}ZwOzAe<50sZAO=b^u{Xz`o0jLC6w>~3FMJC-yaR+ zdnM+E53KuO9Qu_wO+@yDRveOhqW0=)W_K-~8Q;zBfYG?sosaDO2Ug!fP#{am2V zL-_K>g=8(1?=<2_*8};6XxhkXf@_j3i?>`N|h5Ra^JianO-|wak8oYZ?x&Ig{ z$G=A=9>Rn;4VQjGv^?p!?+=tMz$))<7eqcUaKD(72R{_BX*eer?;+^Ki~L{I(TzU# zwj6YOSn6=pedLxvaH_gm|AM=6M^C_Z`vY~*=ycsG3QjTabO+euVD4U1-2;OJn-5%e zef242C!6T)tq-sRqd#ah5yrz@?ls!ONWaa!fFFafm)vn-mQUDOsn-GJGmIhCu;1z& z>RIlGy)LNit1{=h4QdWo-3>mU%#FZr2GWy{mVo)O>Giba2nyWv<@h2bFnAIu$#wR3q-R?#G$WB&@3_pZK)y|`+HxPA;I6QWiPsL)vdghr(UqIDZ zdWlI$K?etajrod|ePh02HQ(s3T){W?>z&bGxl%9umFzZh*%=<~VJ{N|z2&&!1@KeK zPC&3>Z4BFk)^R&}*KK|3BN7j}!wUiLxAq4Qb<7-_=gmexYI8MFsTVa&G^d*Rrdn)P z{XuWo91MGI71BrXwcdC{kq>_7T7sHwMJ^3@R8`(>9Dyt)=`#y!@DUH2+Tt6wvN*rI zr1n|^OFY}_idwIXeqHciiRnRPL0Lw-QRnm9_4vAI!Ys+$7E`%h_xPCk;>g!TZrt(Y za^nKn!gq>_<(z+UL1ubgWXdAn5M|_hami=P_hs>($oEBj6#1@<&sLU|Xct$L*%Z=b z6#Vyb*%oEC1WeTDN~*90!D3P&-^ub(HOfh0SJwO3i|-coF~dZaUPOkqHGkze>$9*T z>$8|DqO8A^2&YS&;48JBDpE)g8}YL>cnC#OgOX*7k5?u7t!ylpSkg%P%1gHKQ7ns#OSo$F#>x`zT3uXFFRRxO ziHGY%Z~1N-1WMLXG6B@K$>FzBc)-Hcew)k#rx>FeRoGSxv9|-&q`P%bP7X2< z&`Ua@DZ|pL&xhQpCAhPyxwAm>aUE^UousK?_J>y5(NWYH^p(0&LXU_Gb7AAGUX6D( zeiM*;`viq2r0G6BrHV_I@1jfe<~JjY_`~DPI7Tk&I5DBX$R!i$|7WCKjF(JqmpUdh zvYk~D(ynz&LfScba?L#2nzDU61;{)v;RnT?f85%SRK0cBX|$6}DqdZ1n@968iBeU- zox16TUcVI^!?ZpMF!bWpv`k_ilh_zTa`o%~jT2Lr3)*6|>mp4;md-pZn*;ER{ycLy z*;zEXTdDJ|m86a5*n#VHu$p*3B|A%QziU3Wu%0dV{ZNaMw%bO?K8$?U1j?@p(PC!H z^qX<5=~!DRUwj>Fn~5vE+09n4?ZgW@dFNYbx@uqzC93E8)n(UcPhCA;R7CZ7LPYf> zA#FkU-8>9s%E8ES?cMt<2x;59mu^Pd_MU{a?cGCa=30qEBKsuAL2De>bLjI=!TbsgrR zj?I)w$7b5CjY#na$(onQz zW8d-r0RRC1|9AmZlDkdO+VGb9uzlbQMcf6ir+pgvpt3}!1BmDt3gIyI>2y2kK+oF|gZ-sS_in-mIa6sf9G zRrq_vRB4@9_DHfy6lU@A{`29jjmgRc+2=_ z)>2_hUI}ro_xSbmf_cl1xm?q>DyB9>6a3-R*P~-}8+OV?Mg7VcEa7wc{(0Aj4CcW* zWzzO&Jrf+;94i*bvga`LN;GD!%c T$>sYXg2?cjikkib00960;M_5l literal 16407 zcmV+yKc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J+C0RR91003}400000 z0071U00000000+200000004La?0svDWJz{bHDF-d#@^l8_3rus*Pfl(9`96jW!8Jl z8fRx^cjrvKCbOz~+KVZ&vTju+ot0UekM60_YRyAN%TG%d#vsf?$ifK8YlL`9m>&iS zWbwlm#+Hn2p0Wah0`PIqr!byvoXd*Z~26DLlbIPrS--FM&p zef<9t{!ih#abxO(_$jteP8-cyuhDJ=mGHFP>HQ(ZzFB&!L?HRx_+xncJ*56!{QsTA z|KG;@|1LFfJ&y6qs0T%M~tkXC; z?gh7suLQZ3mE3fGHa8a(n!T`73ySRy3a)r?^I^N+3HseIXdik$f1(>4gx$tbE9kY` z&F-r~vwhU42Z#MuogC~2H*2kfpxrwTJ3+U7*n3jzgaM-rID?H|Hz=%cZ3U;bPOk+( zjpJs7+4|6Ew_1vh(bMGY8v(p7}l+pU9!=7yovuz5?<6s&>37C3yf+TZWi zJB?E%e1)S<7&7x$E#KEswWyjfxNF$!QVL*Dsrg+yJ#9AXwf$xo;8*2Pb2uXoy&Bwn z(&!z7wE#P5=(4_wGSqs(nXUW=*bT&FbGHqIr+r9>7K)pawYusw&%41%t<^uQ)qDL8 zc)5RO%fU(q78P~V@PfRB9Mt-tq|{U(|!|G)Py zAc#~cHTBi_*@n)bc;Bn_kHW6{lK6Au&m#irhAJoepMaUXKK~q`(6gt~LLc@hHeP{Y zmva$8*DPc6#i6 zM77fx;+TcwlmC2{ZnP8 z1KJ`|K#4yW$c?g#hqE-Ifx)f0RH&}2ui}qq_y%zydw}5^h=Jq3L`4p3$ISI2 zo%W^EAm52WDr$BT%*26T)UBWG##)2}^%NTmwaNd|X{hJ6YMolM88+dfh}<;H_b4aVVoJ%9%Hyj;F+M*QbT7r zC3KDwE5@G~()Qt3h{eKem?1Uxp?4-@L(@s9M_xwIuLUp|M~-)u^P_?ua1i4-ay@_F z?kM==APOpBSJSXVjcwJ^ATp@VGM0VRKn>QR8t4XX4puNT;K65fN+mG^{rc;aLPiP#V;l?H1bTw#ZNu zK^I}SaiH9l1M}~-dl->kIB&SGpb|(iT~=`XO)IL%8kCyk9yj1d?bMIYB6XnJByAo! zj>{TWp60B;63&SPeMVLI_QGJjRzHT})TMH&u5W1&_ZJ#SYu*2;weBl;^{S&yxG7Jp z3_&wMn+`TPS$u0+{&$V|8wVk_CYlsSz(x;8INF?_!DwLSGbi06Vf5X?S1-NQv=MlY z9N1xjGgQ!IrM88w21G>ac`AK~6O%1fPm_oY9H7`M$ATIHM!r1NemrBrZvo01)GtyO z#rycw*GWX2TN8df4gAn;gW~^XGWy^Y@>ZiYy@`<$M;a7EPYW*~y7=1doi5D3+pFy( z{MR$3-P`vXz5D(B+q#FoT|aFe+}6{!+D~Ub%$zn_f5dV3{Rl>*iz?<(F?l>7Yh?^s zCsWp6+8+N|+Z`WfiL@SD)pNtNWH47fAV1afk7+W{G2|r#OPJ!rTr;fT)v#H^^y$F? z!kmY_&)HMGVn8Q}|5d8ivgSYOVhi;hGq60BD-0}nN0SED*CDUS{7L!M26YJ@oMbE? zG%k81Vax4x)Pf5YoA{%O1mxe&1s6Xv!h#&-S-8VoQwla#$EmNAh^Ijxr)zCeFOz!F zi!^9#J}vSA1%oju?FOpL*uXoO4nC_p$Iq6llwJ(N=_)I=>TR`RX&cUu*MeZZ(Sr7G z26y0Oz~|^u9)GG?XPK-HfDPK(G=X(Ruu%dtX;qU}HBzfggyft;;`&BT ze877&qI3K>J4gD|Mm5*-exD$n@bsLrJ4(bRA~g}IiAarVCFl7*X;G6FHEB`jX)&W< zCoO8yqMn5o<;Ds0q1|a5G&;k4Xxx!~gZ|x7@_*6q6Xf2p@PsWBwq$oDPNZcbEng1O z;yN!*G?Z^|26t+$M}e9x9574B=o^=tn02{wl~e&M&$nvzN8Essn0$JO&w9;1K48~N zN3Wym1~?7_?zmU&@flb^w9k(B6}6jgAIB=-hz=ystD)MbSi7#y761~g!S4ho?E|;3 zC1io<<2z4}J4uj*TN5r#xHQ0qpNPgpG$x|qY>Y{Jn6!sUdziF`i5co0c}nFlQI(P# zgTfOo4dRT9pKwWXV^Da)r9qsL@e?jdZVU=fxHO0}GX8ns5?pF{xVoH27`PR5*!-st zQe11-4%BHJ`dDH(?Y4`X!tMB%kW9P(xGgilF}66Dmj}r5#15WU@i^>scr=DOk^}BO zg%)S4@)Ql_=rgBm0Q2Ko6Q>d@|DZWn!<=m4=+cMVx*0ruNJw{vrQoCXO_ID=MZGr> ziXg>X?yF4g_V68sA{aY43uW**EYIRuDBO0WchnY-afX~d#Y5D%mKXi^P5eA9+sY<% zJQeC>QVo-85Z^ zZfL!aTRA|eK7eI7tq-x0IlaM^Wc)h*t1pw6si_GMCOqI~{D~Y)crcNJNxv}Z8z=U` z#73HQhZB=vGDMgRlO{vO$#8ixGP>Nz$T@R(ksWTa!aW7Nv;X|Q%KOOrG;^{wy`xoaFwczLM2!W6qcaQO+YJK>e4^`O_OwYs=p z6Zg2{ekER`&&n~N)%lwfa?_`ZKt)~v7U0qovXZh6a<~b?)t3R ztCRX&QV`;n3eZowaZ;}Tmfe7NTL7TgH9wXEv;8q(dYb#QZ54|DlE zAGj8s56qf~i07a;MSLm(K$rk!X(qP_Pi_%r!#Pwtk>8H9wSH|e;de_C&=O;*j}g4i>p0!Y^6RNqH1!`Uw{r4xhgq%MG|J~nP$!E05r44*Eb1IkblzANkwnpoUao|`tHqWmt*zu$o+{p|_X2fd z^Pr~1ZZ;z4X)kF+=u=n=gK4w%!(%_U)fIeAHC7Id(nIvr)UVWI5f?oxOYv%#UoKk~5eMd5koW5q0x`MRYuk%vW!+sMN7F7GKpm5p=a?8Q& z+-vg-ujLnl{A@m_aqx7c>;cyvPQ-U<$;AgW-aX76RERi!Uc&|o|w zYP)?p-3%Y&a@dl-Byun~li#hKG@55RIp5a{Z-ILEt}h4D?^J`Ot@Zr;>~?N;wz!~U zNt^K)tmBA`rr{p_Id>ayMrbJBH#AV%r(VF z&jK8OZUrF5ffLn$MlnSn0P6q`u_oGuy3&wHbHFJuk}djjBqr2L`i(lBVBOGYJnG{S*Bz(aEqvy|cZ!N0g=2D2wVI z8kucltPFL>N#mU`D0IS_#+i+Hw)X;asFbRkJC$MyJS$W{y(8>gyeGcm=K5B74g9W_ z?`;&ecPgd5@~Xzis~mE3i}{u1-2C#&e10akm|I?&%dO;AX6F`G@-A0fnUWncOiXvn z8-=yKt+hh2v|id!VpLu)e@9`vyt$z7v>Un+4KW4-Jr0-SKb(iPbla?C}cb}-ulj46#wnYPLaZj7(4{BlCR31Ne?(# z)bg^ozO%Mn-dcmEQCO#_!16QHP1*fT-z?( zEA6RDFDy;MXC+S%UP+1^_%SMau3d5BMVC}^01!O>Ux z>OmD5Q@IkK3{$qC$~SjQ;PC3s#%cl0bZzrqxwuy>t*sfo%M_N^t!@{#OVIe}sWwV` zsH$SAT8&gRD<^%$RW{nVM?m$vrE0ml7pF3ppTk!?8L0f@0Mq?96$rY%)k=A{w4wWS z;#P=M;YZf3EIkbhScLs%C~OfHxYVqj^0YNhNNL<3#ZLkLQgxhLr%jW)jT_amgY!TG z(2m*)Ymb6mGtyayv$=DoKfZ_`ZSobjb`;j&7VKo+PL8=VgUncyv_sXzRu|K5FDISU z)Qq#UukoKz)2#BSm9s;dhZ(ni2LU{3a6;($K?NWoOogNnF3d0a5E^ifzF^daCzzh> zq;L)*a&Fm6q|sa)sgU~lT%rX*^m=5Y_{o@n0KfD~{I8u@=z|GsS6`wP+cl%ME=Lt^F_HJ>hC@K7u^`X~EV zP0^L8-!U=Y!y%kp@z4rRYW3qr%QQDO{G2I;GUjS;H%|H|RNd_-R`-i8?3)13`Zm;x#Z8eD=$u;~7OR zJk`P23mY|FYF;(bqhHSJLgJk!$wd=UF^-p~UDx1w6)(rO1Cb4#Vhta`G!6_<@k z8%=m}35Wiw>e(p4mxkt2OJu^7%O($3Y8QGH-}R)C@t@)h2U-#PXF*E|BUaf2YdhPJ z16aVOYFzS_}i&To;wgB~#RxYW=uNSId^rRDqdnhV)rD5eL*X#(yzeRVt!#AKX^!H0#?QAaqX-rAblvh zFb1E7A*$T$f?*#~S?fIoHaC-B)`{352;XLKzX5~4Q$IcnHX<5n>uIqpk|8=F#|&%5 zXd#7(WgkQj?j1L?!P|zXwj#zcogFX4W1$eHH@U!Ut!S`)5U^HpB|cGBk>Ixd>=Loy zMO9z=2#pAv>K}1DHTs_@jzgHceT+#&xg(=h6;XFC!g6JoV{S=C2oDXu{CqyRg+H&T z7*kzy`bD$_N{uxDL^Ltv=2n7R_+v0Im)tyJ=0(IZK$a!M+){9BZplEfkAdfXRwRhg z$$EIw?mWT|9_5H@^z8M<=P>c+pKB9O8Pe*wam^`{?zHR=;Ef0hXnQB8H~|a(?dqe(sm@bq z1?D(wbEKlu(|!TF8tW_9iKw9Ap`6|g)^@kI*L0*SEPY98)-P~HHY=l*F=@0dt4_|< zu!cRJeT_{ij&cjrp|Cw1+G=PA2#R?gx17*Bnsu65HZxl&802PL@IFI=i{VgMO$kJb zf3<(wY}8djG%DsC`NXL72>&JZjdLk5|AX!cCkE^7lha0XP|6sZH;}N@s=?ob<<3~{ z*u+$BIeF6f&;x&FO?f5)B0#-n6hc|VwxJ3hjhYI3aDCyjjwoO)Wu%D315wD z8ZVNp>tK!3&k#fB%&cpA zbUGd`QQlNTIlXq?>VxgARULaiINGIAZmK0uLo;A)YAn|nfw33ba`&)OpoURvsM;7u zncd)i?Qs}oc0cAvB$lUegYM z$+SE>D32kDy?hcFBWlW<&fF9#2dX<(#meO|M5&8$LD4jX zD4L5~#WLk~6exAz1A6VZP(RZk>P1y5+#iGBpME-v`TU#iCC_&mm=_)RnfuL@fkMNpzDej z#4E(bn1@A=c_?2d87F6f1Wycc?1fa@Tzq|$%I6&TzQu>Hf>FGhAUG#+pAg6ja!{>T zo8s1<)3Dj#rYZp9iIpt&65y+ciN+c_M<>J4iW^*_I^mt8rw2>BbabkJw_Q3C;)%aS zVrI@PDsmjE0i|fk@JsltB-12`DlKu;*KjOz_yXJKHR@La>wDqSr4FUuZMSr(sa|5%Kc(F0f zoDXgl*WTEvR?LtzCP5!}DD?GCdSNycYS;^It(JE8iffgYFD09V$y}y{HsHMJtsCz`tn8@bMu=UC3684zTk<`NiznbaM(EN^YST3X19+0eMS+eIV>!?Vp8Xd1bRb+r>}U&Jd+*gjr}3D5&{3z|Zyn$h9(sQ7)L^Z`ucW+2 zhxAi3e|RVkJNRSn#Zu65qDNLBd!7pn-*i^&Lfn!@Yzknn=O+7@xm*G1-r4TV!cMis zF_B2Fb0mSqGe{A7=<;rG?=6*7Ib^|Cvjrx!W47?^$RL$%JFXnaa*Q!xn%GH7)3zdg z$5Du~L(wx}p{Qw}aV)eS^sXxOoCl~aSd2zh3HO+!A|_6B$QqMIOVf5(KW;TXV;2Id z%!aHAqEy)a#VqX$wmP(Dlo=92t7H2mdUU|y8A!)0J{CBm6I72Ihdq?#2vz{h<@K<0 zL{Y5OJMAv)U*iyLSZj^7DTj%1?oc$$_^hjTE&x3o&$dGuNRBdjEa_-Tau^w3H}NIp zFg5k%Fp{H&EJ73@u&d#I|43B}-LhR}M3lFb?_^{rLc48?YL&X^FvL(q`JYwW^GJ!T zB5GRfQBY&Q&C{@@d@Wl|xbIP^2TyA7+=M)jTa094!XA6!SjA4e#peCl>dc3|{H$H% zF(j6gb}0a2Y;4sFvv$TI{&sr+z821k9EOubYSfG-`v}iKT#^!c# zk8O0T-#wnbg9(P=Y3RvQZUBzGR@<#l^nxN9((pu_rZJt4wTCh0G%9-pPiVjx87dYk zm512okja0;o+?ULEf!WwSyNIuo0Rv~uqt;gYeH3hTHGs}4}$Y07Uf*yQpl{CvO)~C z)y=!x@no{LWtdt)dl3q3(`9&#j$ne&r-1Dv&UT13#Mlev4Hb`ZftjZYTSs=G1an$4 z4Mm2d;f+X`q4rgWO#MB*+NohW(~Xv8kz_ak0V-9(IKcf5b`S#yJn`N?{zgx+LZU`t zfibyLC}h4eOxd+jL;}OB@_13ca zQd^wBX~^|3YD<_RLHXj9*Tm37D_g!(8B{UIh8@R49gM9W8%R`e(hApet!wP2u46ok zy{rJGSqp}iVGoM1xS0#969}O(qW;jtYNF$2LHunlhQ0bEJng9^1((J9S`2UMK!+^o z$pvgr(`AUgsIk6sTwn(ShE;7Yk&C<_Qr=~l9f(@+05hGjK-;j0prv-)6#El3ns^&!Q?r*`jY)eH5A79$uw#va`cCFE=|EZ8@Kxb9=}+7orp4cobvY(4flCEAZr&b2UJVo#8NgA*XUVB~NXT zE0CyJ;wwE#!H`Ywrf&2A2>od{06ZRYfOKPpUwo%LWir5jJR(SNGd)EE0~gN(gwQaw zwD6EW88^?X&S>#_G{!liCPky{i!`)$L2@_#?+o2`JX^^;j!K>hCxx-fh&mP z0JHY{R*%PO+`fN%*G$Qs`ce8bDB~o zm~l3ld#>odI%>A};rovzpmk)uRxJC#rMGrR5wo_Oh+(1;GB-1C+AiOm5ke+oTprMF z!eb@vPOWA9Nfgev;InIY4!8**RA6c&>BCg)SK%WkBg}Gp4N9*}ywftHEdBWf{Mdd8 zMt|%ZyI?Ia8kQwA%MHx1{y={q*;pK)*2?G@k{vBK2zE|gYS2@8-L4ybiB1KRDTr<5}_sb%Od6BUQF6a^^_&XHf z+C;cg`1I^|YI>MQY;YhrD1w4|6H5v8nEZnTSH_=Lh^ehWa?aAMvNp{>A;p59f_hUs zgcS-z)R|8=Tm{8B!)4-{^HZEAC{d(zIV5F+!WZRnUQ#S-T`<;9vEZ?Q9RrW&B*lJR zAviC{6pS4&#q*M4p?3upeu@Qv2#$fr3zA}ghv%nQuzNfdvxlax5CpFXf<>K+ppbLH z%rR*9uW+^oooHQ$H$6h~wR|LI60}FCQP|z!cK*U}ny&U6WKK1T{ z$S7uQSr=cT9SM?zju12pQ5p-)v7XLPlAmV5{qfMuMaM0y1Fn|V5W+(?&x__HC=vw` z0D{dzfm|b-Ir3Bh zh12B}xtd;4yMo@dm?j#hfW1a~slQtfN`hQbwSojcxdK+y$~BTp_Kwpn`F`ZLsh93s z_oHSN-O*LgE+{7OIv?o)=PIW_t#Z;xl*6`Cc1xsn^yU1N9{`eKA4?LkcCy;TPqc9B zBlx1W2AUGtwJ>%g#fR~IxQBBMCt_$C32V@prBixQj{f?g)Yp4hHhHQ)QV+rJh#e97 zosBv-vQrhZcnq6Qv{#wnkTRC7T<6cZx6C!*@b+IpirqS=F=I!+RfV_IXpGgBc)rVH!aesm=m3X35tBAFPxV)$gCY5JgT0 zvy?0g%$Q40rB}h3)~@i2!lDrBO(?y~%l;rnjJfct>R01cFI0ZIk`ub{f-V1PD*c04 zv!4@HD@re7gU@+wHrcf#s#z3YH2m~{X^<$N zH-5|w-xgoGHSErbL>u=P-*Do*#T*3x9u;5C1}ut#`fFc^htUm#igVuhF&Cd|pTcik zhop8{Xgg7Q(G@;7P2ZGW*8Q?5vIzQ1|6J6)omNtHFVxz|(wjzbUI`xr|K3QxoundF zOQM!V>HVGFb5Zwp%1hO~P->#|f+wQg8-PJ|dEWTq=zc{k+LL#2+4qwj&CuTJ4C6Ae0o6kYz z-z}QTXMsh3F2q0th0?!Z*=g29er*bzoswbLo?JR`75tTXwe=MB#{HOoD=#{CQD`CX z&y9aem%s96y!=A%k1YSQt@l;=H`dGX@(aU$Wce>B|4EUz;y-3{Un%}dOz{gb7eUeM ziP&em@^#^@;#0kzX!)X;qVT4=h5K(%O`bP?%r&nhzq0x;F8QLQBPHJeoVT2V;NO$- zm$2-ihaLwH>9QBOBzk>O=|b~A2Q9xUmkuq6%J&Puh&91Mm3iLyF;_lY{y5hmeJ%34 z!tIfp*S4hTIbXZPdSBnHmhpp!LYfk6S4Dp3TlpcAC{N7nGQwFM4xS^CWq0(hw9 z%mODp#~oXAyezCB!2`iB5ftnYu~)zusYtGsF?Afz{W(#LIAf$=VA*dZh;oad;G7sV zJwJ?zO%VAz5Wx)*6n*M*$(Y#Okr+_<1wt@JSQyVGW8}2biYV+z#)!uGTrx(^IIW1n zj%17<;Q7%pax!W~6jlUqmQ9)g2@X9k(jqMw zd-ErYI+87dLn8RRutnN03!;1?=+`ZNHS@f%MOrTlLPSK+--v`QCs_ErutnM~3&Khn z$rb_o^spu7r(kb0aP!otu1K0L3qk}&@-_+s#EPTGHAaGFIa*HemF!v;jnuG9InoNBww(lD)kX9= zAMb>=JG+uhDz=;bla{+|k6Av{sil;~d|`=RIo;71s;rJaA5~;*1$X&?<(iJHBnj?_ zrfPzQBCM3P_A#7VMt&zMLO!?HBPDEO^tZ3Z2zqg>e~7pz-h z0tfKw=}O+yi7NA(|q!c*QI zi+DUP&0wwWU}RsB4BMls9JC9O5BD}!%SMqP?QLDBASnoPF!(+6&*}EEn7TDsGqT6-qZV^e&H5lo&ZuVbS=6Ov%G4#zvNrBt=%b zTUX2~L~=APs_cG!MU`laf?0Bl|JcYf`75$SYc$bnrP6y*iFqSWimr4Y&sO;2_IedR zcoMD9DMS|{9;*)hu@Zveyb&EmLApQD6s(q+|8-Gd#6(e$*4df@dnm^B@W4ofqQI}9 zU))|b7DZBI9h+T0>p8WCe*WSV@cA=Vpa4QNwZ53RfVQ?w30O(P!=s)94Bc;zFbohJR==r z8DGp9t?a09Au6Ej9bee9FI4rU`zqD3yVEkpSvf*c+gpJW)kEXjP5~xV^)+g!IibI; zw(<~WC*j6OnAGa&u8nm^j$O>>zW0t*c``VD$E;(I4WF-ytrOd z!LG1TEi65FBLQMf4qvmomM)_#?JYr4ql?-hA>v*kzFz+f7HOH6N<7`|kq~ix(D>-G z3&<3WX=fY>5lhbaI-Yv8i%%3?=}ynkC9Q-p(Ir&)yy){x(9k7~gzR)t|DSsS<2NF~m5C z5_Y;(_JSyyQ0}B)c6!$^cT2M)It5k_7X_N9?``L_PARkDMHyTr3?3Diz(AO&Yf$X;kF0=DwY^Nh{jAYb|(do zO=5&5wmp4p^dB2hLKAzIIFbyWFKIiiv5_UrY|pZ8MV2@SYFuR5gH@GWiGi#U zG0QFMzZ@G`!cF2i=-+Z{>|`0XC~gVgrHNKHcHz@@`O(;zC0ry6=`POJp}jkEY-9;D z+u8s^I~Zo!sqXSduX!~=K3oD z4I+EO;+-_OEW_O!hO2ULD_Z+in=(0xD80ivZb2o}*ujX5T|geJFksE{f{*LzTvLX%s|G)dR$r|nRIWL|%d%sGVI ztTEOpW7aZF66THvACG|fH+s^VayMCi1aI46=cLi9^&0I~Pzk$@Zm-s=hxmZUyRwbs zi<=&~w|1l53kr{Gjb?4XX_7K~%r{K$25Y-TYy!b6o@{9eh5a(Yu1a%`M7f#eizGT{ zPG1kQIF%Aq>&GXJUN6}0G>(q2Gq&7{b{A$VC^2zk(A8kVA&IA`0#%du8)2u`sUM#O z8|?#8n``Z(Mm;Eo&1P3Km-Vz(M9m+e=EIJOrNZ60yB^$Ww(E~f97PBFi$%KKMyJ=W zHG_EU+Rpa$W~+G?tQ8IH2a(cdkofcgX1}w2+kSS5-j6TETBmas6pw4z)*N9YZCkba zqwv7ReF$-P`^{z`%8hN%h{dCd*w_a@z>O%Da|oZkS6QwU))my0+NG6W32x={E3fD% z-MHuU3mdd-=O!$oi6NI?3~u3%!Jy;-5$07v%wjWmZZ>bCNXOh#aBFT!MC1q}A!3xW zCZ4oAkMM(sZ?0JH!y9*jyN%mypxiy)YX`-4CkzbTq%5%{M943Zg6aT2cnF(V)-n*5 z<>7k4=;CUXk!u-o%(PIFs|$ytmKTo?Ma_)jtMHPDXKndX>(7#q5hsW=K5LZ(jgXQ! zbl=jfNWw;F4Xo@^N5M+7U=*wz+>C;i1CCL!au6^IRvPA`U}YCR3f8)whsuKCul4B< zg{4Sx?Q*7yV{_A%?K#0SRkbDMT)>$s&|=P1gBCcG$XVb_GRNi=Ut&41x(T`-+qXFo zRH>lkJ`a8FFOcA&oo072Y0-#nCmaOIq9ynE4TDYYyBh?n=sg#3a>LY6%*o9-LxGc< ziiQFwH%AQuRy>aH>=+6f-wHbjl+{s9%(jk#wJnKh2f>nB_-Ji|&H#E2thNnhz-n7i z22k7PGl1F_p8?dW>tL6Ee^VrM-J0#u46 z?u5VNju;>d<3=nTgtqRPbQG+u`z)}wwPt~}%{2?GZLe8iZG+7MYg_C{*u?tmp*%~h z$sYxqnZLlBnZJO|%wND}<}Y9~^B1t0_)7_mpO7(-Wdw~6^Wuku(TKQPYfYo6AcTh% zD~CRme<4KN}1epk5yqVUyL?-m(=*L$5yg^DQh^ZJ>qo~z$RJ_6Ur&ESV@C4$Lq%k z+9m4emr_jz;*3nhWSI$GoN+&uVw`R=LeNY>=%>2~tD-_gjdDSi!l0$7nWl54Ipkw4 z@{&1xEX5@)!(y7(3(Kb!vJ9j5^(O%{qO(FhT zRpaetSgw&hi4!P`%cHi2V=}7gyHnK)RX&*GgDLZ}Gu4B;wr1c_+W#7n@M}>B0n?5B zZWMBHF7$m7Cw@DE`=N-@Uy9;pBEgD2PW{Cwh>s?>2;G!R>*8F#KO5n5amNup7w1CJ z$Ej(yclEfhE@Zz=O?@3A-J1>t5iu^s&wAh-^$x=)Bbr^@k4E@h+`mO)_ohP*%;no~ zkrDX+jc9RjKkUiZeT|Y%?MHlZalaAab8)YG;(YkN+LP}OL^Qh;KkraSp`Y~d;Kw}q z{_hB1lyvIHJ#bEn9o$bxaE=xia&T82SBdgMQT4Qj;(*B!_X+IT9rCtMzZYQ{p7#6Q=P2~=Jv4*7l)m~2k4*l$C#{d>U-#hi@x_<# z&w0|`kN6iAW9n~tXvxZ#|Kcgz>kf4k`lmixqOUIS&pmK=-RCIuFFkOp(N`DvK~LEp zczEFYZkP5m9=InSd>|!N=YPeMw(Cjz0}-6V;;Ucx)zRmR`|Iv=6!O9OWY_hVQPL@p zmnxeN-!FRPz*#{K->-Oh@GBYf@a5}^^X2PEH9v z=gY&_nf(p`U8!{FmppMk`cRdrv_I{kWha>d-v471=O}fd=;PGW6u0Rq@2`5|KIe(^ zm4gn8cMxMF|Ch2Ut4&?GhY42o<7;v&VB98R}LSXFW-OU z$#=~|pAXKLhcC{@gI!M^Kjfjs$J3f8?GJd;Zg}9n;DPhy;p6Fddhq?-jQEZ{X}`~t zcE z$B`$G6A#??c;I||_R;da9(<27;_G_Sem-MbA1!~=gKyu1@9%ryc0F)D9(>M|_Ag{i z>!aBhmsMWA;GtzLBQ2lzqdzj@X`0LJaB(1BP{?+wFMt7FQPJ&-R;kK z`pbXlp{44f<(3EE_j7V4@%%|oTAy6`{P*uq;Nt6#dT92Q_l}1?PtvJh@Z|ARp17>K z>6bnEe$<1{$AjPW)TPg^^QHC4!RI~rJk>juHO@B%%bND8;j+@==AK+7_-Oey_c;nJ zcm#$riNn~r@6tfTFgN!+G_HGimr9B!|Jfz!E&3E z_G7yYhZxG$&*jVvpIRNz?)HMiTB~hW^1KX~?RF14ZS)O*LHkf6LE&w7-QZJ!A&M^i zY7hH>z`#1fc$mv>t=SLN+Z5TP5q9GHeyDVEvt|X(t9Wr4Mvy_j+h`rBRS?IWHmK~P z_OLKQqoyp`TdY2r8G+l9#`O6Gjca;ndNrRtfC4-3J-i4p42}ef^sJ`f6GJJ`i;hZ( zMMrks$Ttm5yVVK1p;@UVGNdGyTaUv|Pt>iZ#Y_(ekyx;vc{11MP&I~LViHo&!trxM zzG8Lhkgr%#I`}JBkq-TOfACkX7WIE6yR}@l`X~F?Q3pY9SZ+80{8V7t8ynVozu9Y? zHp92=2D~mJ@sK;bmhwU4pl2qV**x#mx?z(m+6tYpMmW6^j&DJO&8pk$^y|HT$F79? z2q3Dp#}s+*cTUPxOe?Z!xUaADcI^aY1(H6!xCA@RC9<7cam8Uaxg;4m_kCEld6_K% zWA&LVEcW!pDm1}y@fyqXYeZ2WhyzDb`6X*q@QyW_cpW4^$?WVhR%SOZ>+)*ERc2RN zT^%g1GCNgg32uriOq6yYH<_O;9%VJREXya^nUu{$^HlT^C>s_=ATk$!1xus;H@Nz|Usy7)r8&4usk)TJ`I;in3f{$uQ|F z&Kt$TCYN8%;WFc;<$2s@JhvFU6c~Gri>GkKa9HmJ(5-gE@COjPIEMtw%e+2$$-z@e znZ;3cvBQ|cKzO2GT5#Yj&syOQ)?d2a$V8qaHFLaLd2T)dy|W$Exoy}G&q@Hy?I54S z_S^t}BHF3KxRSbwo(3DB>+MzxDxp~E%S&~1$>;U6tkluk^ zYKA9atJe*JTLtv?xRM(7+se$syy56K0=cujPuJKbr-a?7)-i_NBnaBUw!^pW< z3|<&%RwTMlj5PLFJW{M8)gK6HI$}49Vx(Cw;w*kfn)Qx4DgR1Kk;0{)7x9DQPCscJ zgh91&)T%Y(Ov=qHEu4!_~gMOp4dgxnp*az`B@O*>~$KMa|6?qrIQ=WgfDGs5JioyTz+mb1Ikk7T(k zl4QA)t++6+as=B#r=oWHCe*;v%&b*|*_EXc<~YT}IBN@`#mR6s9(isJ8c%LCXuJxC zan?af&N`%$vx(SL3stbGQmI%|tVC``ViK{YYjE|bFqo1hXh;=J!Fn62ye?8>A#C2% zS3Ea+Nn)Hbdq1J8aD^dNWhz#b?f(M+0RR7Z0aTK^N&`U@hR^1%iMe4kiiMRZSQre! zG~y&Xi7~sIWV7)S6IQSg1SQVhe) zeE&b^mIMXj0MO3lw{e3oE>kVoCau>@i`{?+mmd}sfW=N@#*3#hTnuWqbe)(RwnYjW zwQGeHT683B1i}zU$@$*aACn}7q)b|6+7zr3fhD}p-90_y25DT(Rxm2Dh)p%BQ^RqM z;rjp&B$>I*1@Sa26jU!zRi&!*jdN5t-rG&)5Ixnzx%h(^mq zljRF?r1$$pkmTdR+G5dlOA=lTp lN=@k&;@rGe+GOJ=N2Q-hLI_PR-g*&4+%Xk7{R031|NqrK<_-V= diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 65b0bfc6a7de76f46807da7813882924fa057583..f7d8629fb8585a479b3590243ba951c2463059d3 100755 GIT binary patch literal 104083 zcmV)4K+3-n000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D983IG5A0088Z0RR91 z003(R00000005Jg0RR91004La#JzQV6v^{HJOja9KDhf%Ahl?4cXv3P!#UjHfGie5 z2*H9|a5>!F-R*EV+&NqW%&*?n>D^84?)&`t^y`($?$mU3c~$rH%-XhXn?L#RG^WAL zRR(`q_!G|k|M{2K1U-h4(ZGivw)%>rkDM|7ab!l&;UDMK8S@|K|L^PniRYgf{_7fV z%HcP|4*9#iQoH-H_rbWMO}YiMoH%-fhTe%t=AcKCI^jfqG+8npHYgcoa@Wj~Yc?qcDG#anlQ)7xG#0?u}XD zPbSxROH5t!Sb8ZQ)fvq@?8lpTbzb3Dy=)t9;!UlhN>S*|uQy-cWJGo4SNW_cR7b^Q z0x!8K34D<&l}zFaG@%@o1QZ^HSPkhG!mN5J3g5~# z3WLJ0cvmAT*{W;Q8E^b*6eW$AGP)X3QKBeUV=0?a7-AUk1vR3&Mp=uJiISn}rOqqN zpM*|j2}%NLTyG!orbJz%uqiH-1da*&rw5G=O zwtodxtm%i!(Cs|`#7^;e&!GE-p#5GvzUKZZ1f%jygea~{>YSZD3WS+rmr7V@9jsPJw&+vq;|Js)R{z*)4jJhoY$KiHQ`1XJurm#BL0vyQj| zX?`;?nbbQzFUgXwO149`Ku(p<-so6MaX=H4}bb}B9Zgaw35y{ zHPc&F^w^Dg7&|s_jrr1m4xb-Hn-*NL>S^SyzHskOz}5QN30j*mj&uL6TvmhzH{pJE z8hq=Xq7`LHF;ndPk_JCQ$6K(d(T9stI4M({z;>Ppwzd~UT*AQ{oHf4TdJkh-0SP2! zu|z@si$>nyGh5+%4hE)oZ#VKyu~{P1;A7t4{s|+IcLP;@{*$!%+|lIV5D9d90r2TK3~2_*K95m_($}3TGXERak2ZnLO2wZK1M*O@IMHMtAJ>R!d4t`I0gIU( z@y8qNW-I{mTnov1gWbIwp=163?45%pVmcre@7@FBMv7%V80*05H7`&a=l?EMa26S3 zSb2OvF}KoR70GioXAV{qhnyN<6VPV>GlxtZOp|2yAfj6b6f@ zJHQ7nxRU+bjt{L5NHdzM|9M;(gW-SA&L@*1uEhqSI@RQwT+fPNa4hsr2EK=~3mSeI zM^dh=%GNS6KN0A?gQaHT;O0BLz&pny&G$P-ZZF~Mj9qoc64fhDM)TvAq-vZz$+*qE zV17=;^|UJ18!AvRsr5#?|2p8k8iSdC)HoY;`7()|?|)jyH1aYK&~kW5zbPLmeZh2M zOq{4xJIS7Jqd+jzO>082VuuRfZev=Cu@~`B_T#1gewEJW6EJ^Q#au<9_K+jW%o}xIubN`9w&hCt_cm>_iLhMx#6u3I97i*WYhdP+p;&bFQMC#pg1P z;h>XbVC}_@g4&ukaX+&d()*4)Z75dCg4F)uUJI#78nev#F%I{3eZ5TIs>KyAsijGq z4$5b-qnVx36{7C9f}#rb7vQJ>!^{!t?{_+p^3Y&S+G0f8%&>D!TG4(@9OX1=EFYH| zX#e4|wEA{tTAQhxWogphadfadv*69em4axKbDc!RW16&A939M=P+PKgxoCf9Ro1xm zy%)71tHfo5tn~Nm-PT_yFQQ4?fl*@NpdEO?*D8*gnzX7B%yMSfT4>gyl)GL!t^g)m z`7~+6LBw;&=H!KS^rHV>A?<`FEe{*Aoq#VfXSnjx#v#gy+@!6ASeAhDR;&E|uKiCH zgHM=NiEG?1vT4#j)f(v-s7Y%HWu*pV72;H(iGDeyPF9S;>N99Ru~)>lt7y zNAPG?uohJuZ_)9fQC5IvCqEG9hPO|#1F3EK9b{BZVl~&jTfznqk(S**<`Bi$9L?Bw zR9piPa};m@+92fZ$hXt+7P^3X4uasl^5^wJ*Mr{;LaRz3FS zcnq10==JBU8r1P~Zpxpuf|XQfgUejb*&oomwWAKLNHj&VK}s#u$#BkTNa8IsRiGKW zqLKDHBmzq`PHgq}`}MZUW0iDV`xWjbp+b{3>C-{SVL8K)%={-aW#jRTE>t*SF^W?y zhVL9r`5<;}Q%b6|pW-$mzEPt%%}cX9YBrFLFk+ST=iDBIHi%%Sy{`}^g*prlq?lcxK6NNaZMuw$<#O~7}#s5RHU=t3P|6(iTQ zc)klXX#&myC)$xIp);su5h2Z;-Z}ocjJZ6N@mp|9N)&5pRH>mTGV(DW=D=53{MKbr zk*SI%Z5vqjM~$qdw$^XCW4|V?GY2jugXs^O()3AA@-G)*P0*xOMhcU5yXklOG%tgY zmRn1$KDEX0Lyop{)Y8a%fiYozNTo8Azj9{Lw;MT78SQb+)Th4mu!f%?@1T(j zSPq)xsQSdQ zR9njrlol5_3mS3P2hxz1RBIT78|0$v6*MP7ZYgu zJt#*{cTbU!TL=LOZ7#=Zvk3S;(;+A2x*e_Z=m?>%z>KdIIs7_IRUsF3j!>Q+D0;Ba z-IQ0EblfpTkKKJ9?0i=T>InU9!z7cfm;TgvX-TM`&dV|A^yGiN%fs#Twf=#s6s$o^yv2{Y!$mF@^ z@|0&8#{$zCjQKw=KoLozd7gk-yL7tbGdJg<@c1??&PPIv(JUf53H?M6+uN|`} z>C}Bz86Ei`8$Kh5R<(RAly~DHWs>WI zs8|~{U5Yf@sC>*X^s?7H>u-(x9n)xE!ncXBX49egSLof_B35ReLCb<^ZI-7|2FBjI zP!F1WVXYv)oFH;VGzqBU%E+)?Q)knK23M$Gz#ePJhsZTetXCT2A%*OzwooAXx%UPd{{AC{m!E2N z*QQtz+AA$$p8Tyh;07zp_Hb9Ln(+rYxfG zYaL4G6Fd>p;%ala2J!TU5Px$npuI^C()Tfgts~r9ELEmVu^^jl$Af9jCbh&3p$h58 zfLzw@KYa|QJEs)*B@-ijJ7K8{aplZ&>&fWyk-ooQ?@8~nsN2Yg!PO3Jqvx*g6!(wr zR!uf!Sld_ zU)439?=M zl@n>9d7wtSI-!*0t1`uvTyhKFyinsZm%!FE-(M>|wXk+2Jx_@Z!`3z@yia{nBy*ms zm%%EBvuKt1&rT1Sug+D71`Pk5M$O)1?auc-$ zcQ}q|#vXyRVobO(YC#uTT&I`>kNe;h%?CvD`kG-5+P02XH-AI#dRMpNYcIhfk+I%~ zMc4N62%1yir1BEY*ju0&i`b%(=Y3Jr3fIWr!TTDsBbYm4wWE2CDKz_XOyAyG;Q=UM zPP5?cfm?sj@t6*0qaF*bZ!B{Oxf>w0$>^Q3+fqvP!AC5WQ7VOEXk!lSd_lUtV6P;^{`A=ljzK^ylLcCM{E#!ASH3G&RMQ21z!*)wTGN>h4+7IA-YV2AQo zvv`%6H1CJbOI`Ij2cfz8>`?^kF8&#Ll+oR087>` z-t0V?hvGU9UwQ5LMU(at^4x-;Uh9`aWFHzO{yjqb*cAwD2t4UtoC)+}qDoX45!@Kf z@o6jz$*h)s0u_kNV|h7fiVN1|OU&}PMhn$9JlN`>^H>PPE#YZo$1rNM>Y4D(q^c%U zt9r1W7eR>b4W@A^$*je~`aiYD@Mh_fx@0ly7SY5OO z^UY|EOe|t^(Jh|n)wo7q1_oFwG~X{cOc?h;{<}iKbS{~S=a+SYmh}lg$VT_Rv+fTo z4wEKMlnQmr6&Pl}5$h>Us}yc0644aE@dwOdQ^^ffca8!h42snHz+cwmu`N|oC~yTK>uvMN(*-VP6=*9BEPU&1mMH+ap`HLoDgrm4Gu zavc6bmpApZ;%S+$VwUYQ7~$3KFv?SHC%s(}VkOmyY95e3f>?U@TSoDYsZ7+JEn6&g zA#zD=R-5gYbv?B^`GNc=<+rM8sV#zQk42t6TcRRa$7j)A^QyH>2aifV%z9k@W;^z8 zr!=i2s8N+7Rxcf})55)aBh78C)SU)8lz&gwHS!=3Jpjh^Yl7|6^ZPftldP4so5dm# zxeJK+#*`a>+#X3unyFN?Pxmef$aQFJZkug1Z~iw5c7|DlE9 z&^3{|EhpeJltE3%Cj#D3+}k~tdGO9 zJ7x&*;vS>XX5nnI{s^Lbf7i6q>nzFfoTt&CFaO__Xv*TX6g=m#<-V2k60rrBm~E@x zrf!2WIMc>%ZKcssaXmD<2k=vKgX!AO3lbH7=+fdM?0f~HgC2YIy>3!7N#J_IRGG;^ zTBgi_^klzs177)8iRuVwyaSc!-qYXivHELcy1-ClA`CaE-Y$A@BEIwRnQGQ*?cbw# zY$ovzgQ^or+8jUJ?BtwgpTBV_VqZ?1i81`NfXAOvEyR$9|EW!M=!pmm?U3 zW~?P|Il8Z-Vp&Y4_zJGLnrx#SkK#yiaGvd4rpN-3!;70E=yb}{g8Z$Hin&n9okTL5 z-C-MzdmhIbR}Amlev$MJ35VRcvsVym<&+8KxK` zT|3CI)%lyLkvIBS&uXP97cdjREFNvoyRc@_+cj;h(VFrj+|if>v3wlMx2Rhx{~o~p zE%Certc4vsz}-8`DqY_uyrZ< ze*Rg<4K4F~VC*uyxTP!v5YHN7^G0mTv$2nkLNsD(oDkBKz z7-Sznp6xX4SK8HS0XcWCxB6;J)mRLpPy0XUNsiZqndD|&TGYdRm|Nhk@S0%ivs5Wm zQ)WEm>}t%zP&-owQ|7)3T!zIWjGY3@W1*5F4L;N8b-A5EjXGO*wZI1e?=nbjcAgNr z*IU&sq}@Q4d46Z)c7}t0`6b+?+`vsZ2Tk68!+)kX7y(;&;x#VYOvOV*>CHk_PLvV3 zISZm<)_ou89Tj%oGM8B8HD{;5x8PaPW*WWTf1N7M>0!M_5VqH!C?VJK@5SiQl&GQkoZio#G{VuYdbzEJYVxt*hr4YJ$|#e!T8S4xei zE&cY=y+YHhn7Xt`ijXGYWWUX%UUOA~K9MN0UTeWr*v$|f=6{_@6)q`o5lwkd@VyMB z?xR|-{VF9{EtJD!-$HKK5Tu%2YZe`Tr+RnOPSlTuYJ7lAoY?w^&KJnzd{MBm)lYXC zg2H4t)Vs7xZ~75O*;;8m_CY4wZpi4(#oey>wSBup#y-f%MJ&8fV;gfBh23uTWBPkU z9w#EQY`p|YIp84karjbb0#)9rOtGFu{taTe1Htdfc+2n09c7AIpE9pEvO)0P;G8^d zk+HAx0QO{9i+14DYld1Sb@$@n&|>=R@&!Vv*V4N}c^)1+HL}43$TKe|Dsxrmc$7V@ z8v7d4`YbzxGlDAK&EeV2?E0&&#otv#=9^Hqag%3!cG~*=C}lczBM1eDcxVg8VD^S> z=~+$fZ(|+P^sFW`LUS*aT|INwp*wpVw7OmuS=*CvzaP^8t~^}ku1P(qea*u{`5m?o zLC!O^03!`zfbJbTXp_Y&+tHi$NB?G-*eZ%(I#>Y0(n&xtFNg`O55YoZQK$$_^3WZr0cA=>nIGIg6%$$F!632*CDJCf{nIXlvoX==GO4vR&s zr3~cBI}UlXW+d`EyGL0+wOBf_cnyx{E@NuC1(d&n^3dn(Rg(ROLIMOga8uqa*ov>k z7K(tl3-8)C`MOgCflAxYjT6fyfERUNd}YQSU5%HVXE_&KE5`vW)7 zj^=6>t8vSu!Z?MmNyegI*6CFb|>i35yA9Vmy0rXQ7#dM@6U)#ub?(<=lk2F@ZAgg(0FnmV9WyN z{r7yQ4Q-1$8&56e*&H{5&CI+B?qV0|LIXF;ZRM5nHF`>Lw;8tZtDM@x*P_%9qVb`&ty z9V8PqW`H>i4xA=_7kadKHpM+2XtmJ=xStLaMzz<|D0sJ8+Sl>g=!%NwHAL5-$TT`z zPJtb44x&)r_-5EiAma6NO=p!-MVz^^SF;+sJrrY$!R3!Vj<)qs#lbs{4&p@J5g0N; z=|`8ubLL%F)On)j8q2+HHxPD?#|w;Z4`x&DiH~T&p;cBKMlNYC6qVdNOl=%T=JHBS zN{Pr?-9{2*c1B1c45HDn%BV5m{rL;hs4Cj}U9>(8YV ze<|=E9H)iyw`_&R3shVg?tY`%lYN~Vp6#*ZZXCK_hLN6T(U~Kf9iXg(eo&8~ves)& z+i~XGOoyVabdO;)I+mKn7SyJA1fk~)WYf&`ryrG_D5hADok$eg5y<7Pr$)Zxx3*SJ zZEP19Y8HmUmH#r3%J!K@7XrpvXSKdFFm78m>Gy~?o$}6#Hlu3C$j!yzZe*$Hl>2}J zYr>6|tP(pXAIv;~(<%FB1#ZZ;Cgvat%?qz6<@`>WOB8j!oZH&kq{p7mCT+_6VgGM~ z$W=k{T~YVul_JANXG8aHmwtw|i+fABPX!w;4Qm;FZ2`RvS8F|dxw>aD2bKF|C^W5a zIL+Lpz_4ziz8Mgw1@iG{7f{Bz3d~QPD24WgxQ9W8TA|29?)qE|iO06hQprPT$Gz)R zXw`bl){LzKd@l4-tn(J?yi8dO#+Fcx$Ma0)EWpV9bTeglDKP37zQQngo1|@b0{Ll{ zY@yQIJ-vV60tWJp0o00nTWzM0#tJ-|Bdy4MKLgAZxJEnC0RIaXbR_LE3(Ovcs90+8 zZAzFik#kz<>DD-=T%70vTpJIYnaw}VrLqlF@z0DZ(uD6fAmU4^c@(>)0=pwqB?#;` zUt}gcGmqX+RABC`eC!$WV;-y&-M+`Yrz`Lb*0(s(XN=tv>kjXp+@wSE6FU2DJa6^W zq{V}(Kd{=2IlB*0U~&@w7K*^xR}hVFcEuToXhhs-;5guWEl`y1c!+95_(^Z52%WuJ zkJpE&RuT zK5<~?M2RIkND~xS`pu>hKNZ-=gH(Tth&ziij9)IjAym0Hr-8h{mthvwnXbTvct|ma zIH<+4AXDlB{KN1})&tGeS2)pKWXc1r!>H}PA@umsYHPDb${RLaMmF@W9JYvhIB!td z?#Ha}uw*n|Sr0Jopm*x6t10)zPt-hkwAGR$a<~zotEt{!^!<{=u;JJ^=-yk>jkaQPLT zBucnI-FySRVosGHbDk0NvSWtHw7L3I(U{wb#CFDll#A6TQ@<_>{EYLHRM_L8lKlYd zu~X)lXZa!tpuK}i-m~!-$#O2Fl*=y>7O{TQUXmCpsSk@9)xkxn3aLudjXVeeF(He^ zXp=FVV%@t)gAPx%sw&icPahfX1Iy6ZUOkwWuDndQHdeOU>0F{O<2#ybn$ymrvj~q_ zb>Ok1={xARQIsl&(pVlH&po}zJf1}}zbMK#Ysx*~J3%AJXQ-mQ9mh2h%U8~>W@?U# z#_S@&lz_M8_g#P0vlPUeXZVphOpNlJen=1UrgpY0IN0j1N#m6?m+>b=m!`@TdNNXx z<~E0gtZLI&MCY7BuE7f2OH=*;aUu~=WGi)r!j>rH2%5t810)OF|2C`8WU4<|-7aMn zDX(4(J8A$FRf~9ng9R*{0k1|{9dlT;oevI-DEg=G8IB$`$_ubn`HJ$OC z-QUl#vT1YpfEDm;aDyVRrqZ#zcM11P2LDs2zyG$HmX%O9Na0o=dSTx*_`{ zYEeziOE9im?nW+fw?FA5Dp^v2A*)Ev`BN$WGYTJ{NHyar@ByY(%mDyn9+=llBHNp7 zbPUjuiJz)i39GwLp!x?Yl+b_*eQ{cuglA{G$o0$^Q-LsVh-R-HQl>F&AHZS?SlM3mCMWxjU_%cmCo$EOqK6fw!Ukb z`@{3E!yGE7>Pxw6s#y&luDM|~C{Nazr)-)|O8Mis6bdwi#rT0fR)4(D}Woba{ zJUcufEeNRlk)Qp(kweVEJvX=wfu-|dBz33kr5V}PK24N0P^xNYps`6OFVUJqs&|y3 z)io`y?4b>xvj}pOIg;jvK->b)@aPg9xuAMCVPZsTfh<(zFWMZ2HXi2~q_O8l@EFDy z8#k;vG%4JoixW?Jo<&~EnC;=9{BEBE^sH-KXTR;etkbM9$tB&e6{8D7%^tfa(2@aa z7R!_=(wc)T&IY{g=hOt6F#5VuuQV{oWgXY1$ijCSx!{7G(k`MH-8}9uBj!ikc)%Y`SKLK(q>%!5)>%-t z_0@r!>akbMzT#Pxtjz*5WsaV7{083s64!a~$!Ke=4w@xk4!ku!z*v=i4(0y*CtV-j z$Qq)ZC?)EGw4m?C{B~3|&1Sk>qL8&xQ_hcPs`zLQUD=yP4^kewM=Mm`Dlip#(J~M9 zzw0=oZ7mSPa=7P`s$V}^+;Rv#PyE&MHb-~+cENL$zGOUS`DP=mL%N(RfQTo&qBWhZIim3y@BXLIY4j!g0z5#HHvnBQvkpcUN}<-CNe zWc~^QLxOgPp0k%1T70?M&~Or#%C~Y1b7h9v)M?vZ(HQr!Wup0f6c~~atvqJ|7~^pGNV93vJ_T;%HU~KHK=jt;P~+PN#}v)? zB6#v^mW{EFpY|)gpekK1KhoN%rG`ZfI|jsZIF5_->EO{*we*F!i9Z zlO7Ro=e@J)890Q-BV zvGn^wWi35eEJC?#Y`uX@xia2ndRgxqRh+)x+MvaPr68j_tfOC*9W?WK0_Wv)ZLI5z zT$1D$1TKCJ0#67p1<}+nCG!Zdg7z48E}te=3!t(&^{ zuW$po>6kM0K{|CYk+bNjK^EWVRP7@=UdFfU{$nY{^~<#Df0aEu4*9Vp+a88XU(Ov! zN4`H4zVCDLlVb1%_^yRn>@Mj={)DSp^PMu0mA1IAs>LAg<11>is zjEqid4m_4+F3BPcX^s~_T~Xet!JS;P&NRV?KQ@NU|8Cirs_}j*;H%6k5z_ubJRga9 z=)ao7bOZ*jP9iM|UAeK_D03iIiD~i(?yy(rc^BDgC26iWe34(^5MWrXgQ(yPm8fDf zI-%TjX9H~x*7+xn)Y`{nC^<~~b2Kg7R)%gx^s^8qf~GX+ca?&c)Lqmuqjn zCjdfwJU>Ojn_@YiUp{8l)#+XG7;j7BD!14_NM)J)`~It>e+h)=2}Y;_B8U1?W$V2v{EzY_DVZ3ZRejugmt^#&P4%iY?*J(#Lu2tJk z=gKE{HrqVTnxkXtA?DELSvFUMenI57?lLv@8Es|J;D#8R3*`VK(c0-Wzwag5Snr|d zQHTVHsoCKRCvRP&{c+35lu36s8bfODq0qGhuF>x6W!*C>p?3`+J8%e}ca`?_S77v! zhBRVe%orXtyYVVUZbf$Rd3dyGN#Uap)Km8Mo+1AeFfI~dwl+{J&F%YF%`iArAL?soOSkh{2 z4di!Bb)Qee8Y^&lc1%&pQ`VS)Ex_)|<8Glp9bA8^wjJ86%YSA-^HH>{sd_#%hW4=r z3^psUo7!)77ANNo^=h~VTFrr2Qv3+Lc!M==gLk(E7#%T?H`s??74{Q%>}Ihgn2ErM zH&~e$gE`6Ab|3i6zk++fP$n~>QO0)no(eO|i~Rksy|2M;{>0&5!M+&w7gl-{kpL0&cXTDCQF z78K+ScDuoB1{kAp@CM)Dmz>Gi$sv*U(ZMzJ*r^y|ZiTUX_jU_aRD!pl{5!ZU#DeYA zQKaVXjb}NH&Ht$qc|*<6|5Qm{3>y$#C2r&`F}6Gi=}oy?W0ep$ibrS6ZK)`0%wMCM z;#MuS7L43ZgOuQne1Vo4v+Oc5qj2&DLzdEfFl=`oAqx1RR;a%ZUv-ziMUk4D@(;iP z)&IL8HwNXrN*-0;Zn5Bljn&vF$lc%ukXrxf#^eUigvLVtQ)4w5xhealz4>0Rlav?aUC+Q}hT z>a_qMZvlNLI~_*fpQ$9-n$;}zT61Jq8RoAFE?U=jC#_AE!um}k7f4+$2F)L4IqLJa z3uSxS+G?xgIWNn)%=JL=vSbh~3swQ!?Z98%$kQAiOW`FH7=@O^b3rZ#U9WYz8rMo6 zWo!X=I}$?M@^+!nPTj4C%#SplCAf@KD5-LGpGa3~?4akh09J#EsAlbgb)gkO@9pS) zr%H4BGv?vD@pDQpvkk2IUn}hA9E|O7gk|q`i-(ly+MnD}S+yR! zP@}pQU#hBmF8&R>5bCNNXZuj&^J?sO3>Iq%gB3RDx9=%5lS7kI&^AS(K3fsJ{=m`@nb$-d zaN?kadNNLRs|ee?Ng}5E@5&Ky@?fcfmn*di@m|y z+1BR6)&?Nj9*0e65B*+hgJZwGagY_cgo~R1qgvRnG{d+K<;iS+KpIK_$NCOlp~=U#Vcf9I%!Ut6eJF4&~JD&}&!E_7s5396WTpB1jn z;U*;T71q+O)&{Dc_Pxygv$L&muhA3)hQswI)t+K|UVB65>iJ5k&Rze(TrXR4U#Ik@+U3RT$e0h2qtIrtbZ7-ad<8 z2cueI1g@b7b4SaqraDK9Sb^Pd+~1lCdxZjffKi@KLlw~09z@)kDk^%P?V^9NApZtgFqkVbAJxVd5c!43WdGn>PF zyU*Y<_m?)T8@^xhU%kW8+vG^&?ttF@+$nYr{_qv17#lA4ua+7IV&RAKq6Uu*+64o^ zayt;9v%#Hy4IIUpU1=7Gs=*)4^$Xek9hs?rzo}McO^FoXvZ7P@#uS7mrysVQ32W}P^6HSg1R3}VdT;)+kiO23ked<-*bPLXoxsy+nBuI)qv%@X zQtu^RP>~v0*7ju2Nb|?v>1$z2p70!~&EYVQZDxb5)m+U_42)IP{W$hK>5X})y%uc# z=)Z_E#JNK^Vh33*G-*>HA9<_ZxgTLPYx_3B`&%ApOLf7CNfq78P$^F-Ss5jC7L<}P5_Y8xK5FeABg)#;PL$Ah*kh}=d;WEw)q|F8MotM?5;0Jl6W;&|%%`dm)y@&|+?XL`?tZR=5 z@^VbMAm`gi0Y*a{wA4PdeBgMirKSkPF;$$=v}a6fO1$ET$JTBm8{jz^BRK9Mid*|V z)v4avDy1vzF$i33&^v3HASzs2MPz>aze+Xp;Y4eZ5(<_*L=}I3PwUUz_q_B9{Z#9b z_zh**lT<=3-W4Q5ea&c;zGs#SqY1CoWG|~Wwc-{sela2=gs z99>DJc5y=yayz9fRLvshEoQx3w0BOZNDafa1WBp*Lh~c^9lZ%fF4%BY1}XX?uNkTB zT)qe38tr4FQ~V;NNrLvEY|~&g73>itWA|ZmkOb`kYmN2T+Q+e5Ym6%{F3ou;V}8~O z)ctf;dYrGlXG>vxX4!5A=1VniM{gFarW2=~)?*!V1^Fx((;1%<`;gUdJ#t3<^stpP??Z<#0dZGr^jwbx=g zEGplifpj)N$$Ui=nRmrpRm=QX(Ta}Ly4nkd3(i7tt60*X@=i`mk1DjVx@oZtfah;# z7c`5Xoj@su9u>x-R(tC}+P~(|-9X+odeA)0j7dp_9Z6;c{9BXurc;RHtk&2cm^I9S zzK6%EL4A@t=-c9Xp7+j`0p4S1@&S)^y#Q-`f~q7env#sV|am@g&Yg z@%vltn6YSR{plB^haFbf*Pd`qRk+bFAh% zB8M=*{)B5v|2mf*zd0|=@C1%@B>^q5?99A{cVYV*ul2K6B5h&eWJ(sSh zzCewA`^noGC7xe{Q17Gscou&=HO{3h)kmn|Lmp@hZ|yMC9t@@qcI5h+HsrGCT?{hU zlz%+2%)+K2iA)hJKC>2Zl|H(Rx|WRghNZJ0dNKbQxoa(>lqnQA2TM&N@@FLI`yfC^ zhmb2Fp7I@NRV%Y2l=kuCjQGYz5Iq)syD*8Kd{toRQv#Rj`EN(jL;{!tZ=G^QxuO)G zmC4>@K5bXx>g3<&CGFW-FtZ4V(wo;vqR(oyIbgGt+txgt^k%8}%MV&*wJGjET$5|o zx73~1)03TVn+l^X?B_rI!S6z@MgYV zN~#N}Te=||gq=A{IYQ$&Gj;f6W!GbSNUQ7nm3}{x$%$8eqFxg>h=*b2n&XZTy4g-y z%RROhF~!d?MF9`5xSe_wjpJ;Xu#NRl_hy5486`R78WWC;p?$Md@0ILy(mNv)EpOv= zPgA25War>6h52BgEZCLCG>TS`5u!s`l#~H|Oi|AMphtNXJY0RR6)b&+w>y94jF4D*z^j;a(my-ExqkP4eSuPD84Q(vI z)GsZ+M4?Xn8?>y$BtDy#(wzw+Azc-PZ z_IqRbvrh_w#;8FT;*dPiXZl|O%ek}qE9)_OMQty#U!oI5(ulR}VU>urcrKZKAdrj& zszx5l{D`$QWcN4uCFm|ARqsm_qgooL-;w>E-^!`a6HTKhytrM_3siYseCL#p^Q@BE z6c=z|V&sQdD*n2j=&^e2rYQ$5LB0zC8&B?-FB9~o{P(s>`Cf=wk=P=n1tUoo2Crtk@J>xQT^9h7@FW!m0n zqI~}U0Aq7i>>N>g=tMPpN~pt6tE3w0-+gd{ns;kbVt)sn&-lXg6!kXLzvWOcR=xQ* z-SW@i{GO_U#jj0O`rd@zr&*}xhnBS|_Q1LHvcyqqfF8CMdKY3#wKrIsX~MSm{McSY ztB@Z1F2sU|KNyzg-6B_9)opB64ihYrS=v7(a+) z8)KMqcOFBP%U#q{SRshE(@~9fE<1?cEL0U2xRpe84T*mS=!@RO-tu5ovI|0wcgwE> zS5EZ)0Ih13U?$1*s+SNC<4?RXo(cvFtGE9osKA3|-~OE8Od zmvf#zdKQ~wUkA_K7T#JMnTa;{?LcRq{z1R5jAOa)B6oo{q)nZbnYJDbq9nyFYo#v8 zmP4olZknhVttmc{`du7t`FY0FpXzL%K&56JpxC3Hd3MJvbZ5bkU*6J?onKeZHgz(jHj@fDg*za(_9M(^$`wQW2kx%*CfUAZVAIo4}DKqIf<&BP-TseCg`?U zs-U&0@zbR$3reSK#KZw^XYv0 zt?EIGQ?hD=u?vHem{m8^oHZY*D?c{t(%{o9UNa5cAm_nN6u0Dex)jvHI-r9`U$;Wr zju=COGODN;rh`W&7J!ieb2iOb?Y_M%KOH=pAxRFvP>ouSpon;C?H+MUBqP7?HHqmn zw_F@SuA&Nz7rTp>B!pOA!(6qs1a2o!Y~km}cv$GAS*{LL@oCej=Fl2EO=c94&cK64 zHZg~tu%nMSc(-NDj}%3fF?IqRbih8Gak68hmYS!}slEK066^C)V7E)wetnv^^zc3p zq`B_1_R{l!&y=!4nDtn%fRAG|<`w9r^tV9T)`x%X=JQ-Dx0YH{R=iyf5;~Y{0oB>9 zZdtx#bP{sc!42fakwcH{r4chf)048Vt(2Oyc_1PSRxRfCd`Hy^Wpv`FE|W2GiA+Bc znQ9_a{yuOtrKqB^L4Pgt08pM33e_?%(0aTlriKBzJd2Sc)LwP7{PZsBY@nJC5 zk&Q;lL+QzcXdW7dkh=o)TJ$C=Ifk)EMOR4|t&-;8I}NVXq~RtMv#subpM-R=9AxZH z|0{JH+(*oz1^bRjtvV!93Sg~J%uC!q8c~2P)qDi<^}OUqgVk1PonmKV>AX2m+$y`C zLKD59lPh~#F|<&3fgiqMW0;Nk?df9|D=*=dP8IGeLFPq}1$A_2oBgiiwKj)N5I5hV zaG92WKWaK=2)#U-z}M}-v(P&m4B3$)zB6+#f2Yws)Jn}`OXabZM=>p+XcV%5x&*4H zY9;T1DyG}E%kT#?;hp*XreC#yCh`Wj1}tF)NQ*yn0bQG381LM@AjIeHj;2ji3VkGggdHHn! zH5FJ(Ma_0k;_3zkxSVq-u$wVq0rLmLsM>&1WC_Q<=Y2aZsLRDSY!QYj`I$E7YyAxHq;s-fG%<@(1$*N@yC?o@T5lEJ@P6 zI(HP6yRf(`{1)xMmClI`$8bTZ?l-U}o3}8++lt*O>AmA(sX4TzzKUS7N^y7hy}2>r zBOLC1xx<0@fDe;)bYo>o`XL8B-+9<#zg6uatR)v5ZS%bIbhAPN=g7(9WIKe6&1=3c zBPImDvgvgC@V9z-1n((TGm9jMct3zb``D^ULnY*T<|Q)D`uo9jtC6~^=vF9iWpWu` zkp*|%8c#261%}2%Sq_A>*a&+K^Vhju)i({Wx4 z71ydZ^n)KQ_KQJD%dWB9k67Xj76#`AeoVSRIQ6`Jk&3i==6S=C52*6N*xib~qQ9O|rgg zku8Cd^217o-F$aA)y{N{YV)(H*win_xwnzZwoCR!E!F!l%Ur_VISxHW7d*1yE%P#3 z=3Cj>j1PQJ$RY>q&ia;mtvC2Cff00yeOP9))NT6mlyzlG3p3&^lBE<9POG-#&IFN^wo=7Df(bjUMW|Bt}?=BCelz&C5 znJzNl<{$sLws!#L@EVMHxACkJiHbKdEGG-k_7`M9HZC>OU%zlOI1qvGPrRUX?2JDLq2%QU1dl}@DAJhHG0iUZe1)WHr3_s&|}_ve)&ueKB9 z>-+u-iUwNOHE<^UrzXPv;d=uqXPq@P@%siVk=9secmVI>4louyUQg@Zzadxn4QrSN z3zjk9yF<$tbTNNgCmuFV$krmEXB$G#R3_XWm3kOGjZj7KIc7{Ke~s{vhyUEGv8U^g z@=QEX7nrwF^{wdoc4t9PA02X|WWnn2vF7lx_IU@KDyT-Cc@+$ zDgj0e{>8W{i;f6m*I4Ev)L}41;@%=d-3k9aCoaGgy+NGby_N<9@~=_RyN~B{R~;2S zr*u?ozAxOd_MZ{EQmZ!PGcZ5J@14iDAW8Vc?PFB7<8=$~x{}tE1z`4P9_<_ZH_)C+vBeD*X*c-5f!!VisSPUEjXr%< zch^Sokm3fTG4?GudD>c8oLh(0cK$kciB(h&{u(JPHYP@$hlNwy3J(Y`#lib}#K$H8 zc_t|R^QDpG6QqLX92Scp=hW>oiojZQfjiZ&`@6gAGb#oHd^1*j3t-Mf9ZnmCd zqe(dNzl8)V0TW4>w14||fK~Uno+MZ`qJN7E=DPvbLa)WDqE&wlfrC)cd z+bL`*inQAiEL46Ykf)S>=yUd`smKc@_WjyETHwtWp}o zPn$-Yu4$om{?f+LS%=*B2&ep$elFvG<4V%~Tv_w61?nqpOjxtmaxmz31Q4TSum+i92}S$g!OL z+Z+U&xHmD77Iae2hhlCZ?H7ceAPBW;)uD9nZHUM`8^dJRK;%`?{<(eo4Dh~WcXpq_ zm9P=%ArHVmVw~ORhsA!=bVMX9v;ZwZmx9t(I0kt&*B> zudz6EJ2!&Nk}4`96EQ-=xW^JOoP02U={tfNC0F3#e7$(+QYaoX1zRJ}HO%(>3Wy(^ zlOK%ZpOnrWK_!l7aGsu3RBB|YnYeFc%T#F$Eo`=4G{(PyqarfD7KGpV4825eB2Nx! z0XCsCPVf_s$M5w*9zO3@rR&MAf?y z!XAFI)BO^p-K)kp_(yJ3>%mTB^Ut>PR_^7s$SUffravB7gCXjutx@=WI?yD&b8nMl zo~Khhmju7xLPgH=tKk#3u-0gW27;%4P-xno{JO3rYC}UGJ|XTu1XXSb^b%2W3)O7$ znJNaqv-WBSeghvH0-4_#_?q_Y$|M>~%g9Azc(4?khWNK~inph^>r@Gygu|W`2iWd! z=7vnPA?KQ0&l6L-!GGkgNWk(wbZXHU(KjxaJ%a%-(qt_2C_)9ho)c49YEh(wUksHp z7T2k!$3_{;GF4HrFL;`OLzSRWv?GG==5nj9qheR^#6Ke7dDj5$NxGNcvcrZuFxb@h zBm+O*vAEz+%>leN(%ja%FX>IPB(k95ego=NsQLj8=l|s2s%fVd&^|J0;zYbNk+-0* z<+-I6+RWD@HNKS{U}WMyx=?qBbW3Zh>n81b=Yv~-Bo2GtiOuB<+s^~VT9;SI$7|NQ5eCy;mwE9 z^sV{c<~zkvT!+18To0+SXUA+vPtxY2p`U76;9Cs&gF9C5>eGh~^;X3}A?8~QncuX@ z``X#84c$9&w-~;B3q;AP5nOc{tmRm!;r#L-i?hY1FzYWh9{-#T#2tgZ-MqGIAx$oH zoh~d*?pxhGB={MR^}myW@twyyR&+Klx7pgIql1Ur%ujby?iddV6HWKSN*-|aRpZFu zcm3l`eHNoozS>2`{fb%$w0Bxdp<0YC9oFnnCf0R7~(d? zNLuCJGS;_5WY0;R<`VLc4=>eHD*z|r+eo}gEb_;PXXXFfs-|P=SvXO0TwJEk7aG_m zle7H-+j1|~Zs1Xj(rlP^k>@ukyiErAZKO8ZOX{FREsn@}nwrtvm?}|a(~CJmS||)| zZHpTen=;709{ehV*qR?ya*b`rPDMfV+Y|K!SbQziizw%2BO>d-6=h#fcEs}xjNJIw zjn=n8)kDn3qBpTd{&g7MuI%>1$ZO8)pt%SkHzQP{$8KdiJsVJ$qT_Ppl2JoD(&{n4 zh^?`L)uIM3^VoQ)ou_U=5zKC(ieP@{JpWWR82j<(7>Zj=)oTeEEao7zoWcltZ1C+a zp-VuatUlYGN!{pL{Qw#JH&&GNJ_3a@CANFz0Pf#KyFdRFN#t8+wFBd)7jm#lBr@&g z-ga@&_rr&?!9ulTVH9)fAx-Ghy`MF@VolJ-6pCou7h2sp_cjIAOys;VcBwT^n<68( zjR9QM+8H;6@hh|55GFm%^w{kYH_q_IX77V8ic?W7EU=HMELay*RsT0%5QXx+SeNO9 zO?Wq~uSrxa4de?zfcBEvD^gj!$x*dWz`KDzo7q22rlN+6e|5E*6-QGp7fnG{n>f58 z)yy_aw!b*py2OxY!oNX<9bV}-YENo{jdy=abhv>a!w-n@Z)Ha!Usm$H)kg=-P*^JK z&oJ|M`9{f~=60rfP{XPtW9mas(6=*918Q7r0hQ?W&~ta~1;j0vHQ#iBZidElE;;IT*Ka&{=S1!zB)E1C-VW#*_nxYSr4%%y|VV`NtT(?w3w`2%6f{ zre68-IQwOuCQD$^W|EC7gp=QB^%hbe?j?emhM?3L(P7SoaPryi21hzk1Yb1-+V}Qv z?yRE2M98x$kp1g^#S)O`bfAddM5qgqsLFyd@5ZHtDh5+SBABJP$Hq=9L?&TOOuP=) zYsR&T9cXv&{t{c5AXOtbVR0M0yyA(-Skq)qRPgYO=)ByPj5n$vbKgbwfVE2Io;m4> zOdjyL^F8U~gZ@&GVefl1nGavmm)5#eLFV3ZxCo3rg8S>WD5H)hv zCdr-Q&CBxJf@fG;v>SxDqr;DHGwJWTcjS$jct}g!-!t~hljG^uI5lN*yZ=OYDVaOr zc-mD;f$@@YNfy0P$q5Q==Lb)CEd_ayckB^J$^TNz637k$=>^947ioENGX8hU%uiC| zG$bamWfF9`IpY6}ExDr$wV94BPAIE9)aG4rmDRE3Jgj9e)Fz}v9%k#<;yI~RlA{Z6 zNYp8o*MwZ=SBy}=uLq5*KR|vz)L%#BF-Wm-FoNBGEDbECRt}s@j3f)b(aT%z;i0mCX6wFkaun-y&3wnL*LZ-38KkEwmla-*t8AYn6YC?J>kw zVQF)YqRKNX(4t4H^qtB}nqc68rnHl(SJCrmZ^f>ZdsrnRm++B_yp0iBShzcwns<;L z(Tq&6XuAd5q$fKJQ&nDR>_@#A`+?vvB}-R3jHvkb%}2V|JBzW;IBKtF68AiZO?-X5 zh4S|Zqxx$@^;1fr=OGsE>w7#U`%R#*X8Y(!%3yt(T0@o%VmXJ(7n}2_QX#nn#d1MW zxhM{mokuHcNHA)%A>z}xL`XLmhkW9F!2?9vz1~?3|io}raX`Pu_e^zLL0rI2~8fEla4>?q)$6`qUL%Vo4193Bew$V#{CvCTN4NV^AOQXRgPCU^Q*ws z6#mm2#hNC)sNiy$EZj`1pqzIa;z!gdRAh=UuhV)aaS4ulS&1%e^dP-CdQP;}A4iRhNU+Y5l@%^mtA)Td2QS zRP{R8g!F|I#o&HqxQ_eJEYD!@?YR_SS1` zkjUGZA_z4L;&~-M4j$f*&%ld#%5()no&TT1wHWaHuP`|8YYIzE#1@e?ny^BxWj`(J z{_9?+q{cfQ1Zuo4A<$zk>_KZ=4WNc2lj>qA9;)NzLEIlg3sWDJq^56%QTO!Mbnd>( zt0!Bk_5nrV{+ns&ljsJXp5yFs5ppZCMZ4C)LnkljL~}C8se!CatBB_hm@#Q6s_o9% zFX+~DL3WTJts*Rywr`=48-FseoY8vYuNpg}YZJnK-qp+K+kM%?&Z1;qFH(w)AoHw{ zc_-vh?+J2C=9eM!Ma);+>1t~%CeJjI2 zv0_2U{C8NwcBF(lY59HNrE&!tTaPd0Sq=>6(`e+Qd5F^Inv`QW72GVb_c1>{lUUxJ zgA%H95S{OHjR0xhS6!B+z!q!MIKA8Pd~$TT@=j3x7e~Jqm*9^qHIYkVz=8Q3O*;#se=c?o1+5Cla zSBxirQSn+oM>OOQ4ACk;flyZmS+&1?*K2FK=`1XZ(894}v*iq0BAU*;6nT_6V6Y1X={1uAc! zsy;Ohok)|`Z_)4h381D;w31}oa%)H%cvWAl}l85`bM2Mr0|N0Wid`JaNr<4zWas}4Vr3?OXfr7%V44z~LX?gmaBL_;deWO0_Qle-oXc1AoLY_Fhh^7gHLurFM8q7D~;VAm^*RO1GX_q-L?W z?xOPf-6m79q*ufdzSyuskajGL>uKu8mBj4boz8}DXum-{b>Jsqe3%{Iquu9|2}`$* zVeDEqRh+COuwCe?VG|6k<-g15kA|{K1sriDgVe;Q7qpyubnyW%Mpv^6Yd{NWvz#^z zmf(wAoe)bY)Vm%ns2p13NIQGWEI;J$tWf%$79r$!TF(4iw)6!f)XPx%$+saizo6Vx zVd9Iy zgev?Y`97n<-gRK}kx|?!^4d(_ni{Yv_x==(#UjF92MBdNT2LL)-2IQb`OQdqO@L6x z@MqV^Y8~lw{36uw@e18#DI9n0fw?xVxPh9-e@F37Ro3S#Cz5rbeDr5qN_2E1)jqaF z@1Z)PHn`xsxx|MnibRF&#{_9B&}HEm88Mz?Z!;m59U#KbHz(prkHDS|u{?uVlre=} z%O-aF5!iOy-@Y}cmwV+qT-X#xU=M_{4ttB`b(+EMk}4Yn!cq&Mg*E*u(Vi+<#bI{G znK2Q1mNTMjC9pf}8$_MQ%h$`Yah)Rc@Sbvko|?c`q`_L)HDpXp%1)$Rf|pdv-i8jm zlk>>im9?bi8(n-vj6KNJmj=5ztIO+G7)l#x;LXCy)P^~`>9Dyp z`@MV^V_Bj&&?zqJ_;ctyDn3!hmh4Ju`*CB0S&KAj+Stn>3bRnf`KH>17r_*>l$>#aL^JUM$!Mg{_k5>C4d}pS|Iiakmp{r9VNZ+&CvmSw-lxm~ zZ!3f`SQyhEtP+WsXVM?Ee6pM%pUu(`##9y#oC#i{9Jo!wl5Xpbzin{f>*#0FXyG%!zpeWEfZo8B1ddQIa5A|%#v%=d;_3vI3~4Zy zx^9(V$Xq0gHkPuKO5)6{X3y=}V!7FH5HC0m7V$h(`FAt4Jp+Zp#-brqE=ZdL&u@j| zM6JOT?XXcGGn26s#5-8Za(UAq3$06+#PNjoM<-n zDSDpmZJRxRE{GlpH%&h2D6L!aNlcLMS7~l0ECC7EbuVWK1-N{MEiGXQVchG9uzg{%HS$WxQge;sn>F>WL#dj)$DvZmV&>4Z3xoT7 zQzuZFEk`I%u%^fH3&$>x$_HR-V94X_bn?YWVdsT;-}l(p6*c*hEG8|@8_@GWb^*@7 zGJgk+*_R@%*@6e|L7bt*#V`Kzu`U>wq~YzVN6jxT+CHgd-kpQ5>m)CR)LwNiK=%{L zSNcM^?YL-r6sQ^sKD@z4SXgh+_8DlSEXY@tYfTpGFO^sX0ixJBXdV4I^{r^zT6t%K zbMGxr7w$>Et+v-eB}YNIH+T_CS+vcMfO&5gj z{%Lhj=d*2Pke`dnZ$o1}s-L2FZ<87I%PrUWeH3{$Mdes>Kc!e`|K9g&0kY>IFDo};&2)W7^f zAr^~o6EUkKDE}^4+=r#T5x`+E09*om8=w9gn>*D11MF%7#=Nopa6c}g5?-bkk+d|T zC&l372&eI3YbtOdrnXRGNede;$wIaEja@nKsNPl?lb}2bm!4D|cTm={Q4Q?g1~(Ah zxqr~D*@4Of_RpZgfpU)pi!?!BQdsk>TdQb5+$3U5^oYeMdwXa$8sOjTA7qG0Pro3F5l?s0}T>vWZSF3er<>inaS|{lUl1$zv$P2RSYAFVC&{Cgr5dMqE8Dz?R|z}gekF+2Z6D$-SgE3tnI%K4OC zp3i`fDgW-BwuacrTad=@A@XLJu+%Q8yHVTt@^u8>nnaQYdAe>wp-Ls#*!`i(C44-% z$8!`_sEyrgmCd7DFR#RaxOqqYKrOIz2WmZVnXpuAnKmDr!XtBFtICHb9qitzD(6Mi z{Ps8)+ZK0raVIDfDdx37t7pdu&2b*HRo7&YUKttcASURY0<=NH0k4ba;YZSRLRM#BwWj@dzR7x{^YP`gwv=?j5%L=p7?57+*ZhP;Kb zh13o})lnfeMH)`=>Z-790$)sMO#_}R73NTx`4-q7w9SW*1zC$gE1wzS-log}yRvKj zx$xAkP?`2U$Vxf#Z`CiT%9Ek(p~#e1}fm^XE~)lOW(l`~B4Xk&iiSMcjDC z1h`tTISlzI!QGTfN^}0!5`!_N5^QL{Ly=}PrZ%)6HF=DFl}WSRU))pb$virlB8o7@ zFz|ybFZdn<`qHeMMk@0X_!CI>UjRbBb$r^NElegQTssU_^}xu?Iaxw3cED zOoY8TsOBz=oKrNSlDi9z}{4pnMBbiT&=gsDOP4 zck#LekCwPaA6imc1}@BD3;)IzqFQY*rYgrQ)mT;eHH03#5Ms?zVZi0DC)3cfdk?@_oXn1l7rNpi*{VsPk&dp`XeLM!@6FxK)5 zA3Fxb2Z+1g`p%*BU6Ogifm0D5uH)!D!S`D*7KIs$5EU(KL3A^#R~F9X8-*R6+t#Lq zaSPsUTekKYl}Cp{y)S;1QMS!%aDrS{pf)9QbPif7#@mABEU+jw;L1FCt=&1Wt9+Dy zE@irQm-?LOqMu+76;ZJkQtZ#5FJN~FO&BBN8f!sD#TDq7+yZ?{B~L%}5q)IgV|L}A zx`xm{N#&3Uj4JBF@7Q-VggTXxU^XuK9Jm^qO$_c-<$02pvS%gNpxI~OZrjQ~$vi6O z9pFSFV7CHdB~+orf+N|jH$*BRj0kH|N3tJI8qc5K7?56F4dTAX)VUsZj$y>hmD?DV zw7dRU%~I{dsOAErdphSGMN{hTq4$T2>PHn1xvKu~X@%1quAE$HXVm zZ8*oBc~|tIagFu+^BGNMw%4Pu8wja=hWD}BJf1as$I|-n1Kr1PE)o5f=m@#~7;x#W z;uIZo-?v1EYDoZ&`VAvDayp#A6j3i?Ow=S8h1#7gO$|8j#@$~ zYS?nO<0gCb{ujh8z?Y^sr_Fiu(A!H(b+OGAejScSW9#5V8>fz^l7}u6)}L==(gb5Y znL|%iFkf=*czQcbPMBJx@z$8!T>|+pL&sBx-z6BU`2^o*q3=+{U8PXi`DTT_7VfnQ zZ7VykJAJxdO@RmEeJq}L;GM+EO{R-Qk5adivFs({*ziC*f)*yM^fTJ!&n7meNyP;F zbPno`9#3DANzx85!NS(cfo~y}$0cJLQ*Pupp8nOxeqDGg_!i)y8$)T{vAyB}*liYz z2wb9@Ukl@sXm$HgnzGWz*m~sD-#w)6mVT^n_Ha+Ck~!TQAr;670L?aPCyhq09o z?Mm*S03FPTSE47ejZ}5Y83E=AJxGCmf>_QWf~*bOPkB1THu5Z7Vm}8y2_p@Gm(;zt znsU7Rhvp7gq~}(H+-xA4Ppjjpi?a*q<%e_BXwdFsg~Do{Li%v zmb&*~Fd)#|FMyc@i)D9lyuYBjIva(#g!jW5O8s>d=8BGF3{H^ls|=? zdxNE*_=W*td}DjWweNzn@+~f2waJ?Is@%dJ?8lI{2)W+{UmVYxcNI+776lE;~vepq#&qYiP;tZ@|r&4Wv(q2?Ki5%?l{W7M`gKm{#nzU!tNL1VTZ5*o+3|x zhw@uPyk}S4YV@SOKkZ1{Mh|2k6Z!iY`b=UOZq6eEXz9I^LTY#7h9~Iz9LiloA+@l& zfixk*DFIHQ+yEmg&mOKpYq8-{z=mw&Bg(ug3&b@QHt}8XDa60q5X*PLYcVUi1C)Ok z%x}JFu7X^AAIvlVnkV0Xf=#I8ETraLxuud(;7MHGU}9o~%wJd<^X}c3QX>nA>01V? zz-0qeHt3V6k9)ofTsAm&s&eF}Eaa&^Q$MEy*EOV()Ew8eFEv(B?eRAVTk+#;B;kU* zxdw0b$@FPsY6nx9neySfrd+TgE7X%8y;0Tn^4;UzJQ*ObL6FE1^hTRSugQCmXQ$&y z?%F4a*`z)DdWZ66Nnsp3SzceR0=5mleycsX!V~mJmYUB@k*YlN;E_6yl;p*ml=74( z80#^zH>KORM(i5@3$r3D^%SVu1;)PI=}70J$O&@Ru z1R0muwCvU3mGtTBTVYIt6={VTPdfvd&s#j04xg6YSnG9!U#%FWu(1X_RGGtQMwC<} zO5dJptdjk;a%zw}0~!-VDyconJNyQOkF3m8aO5IJP{*&42aHO6}?lqAGin+DE$f0aIcJmShDBQoeU>DP7|$dTPiL z*M0a{W*A)9t*tbSUnpp}B~b6H6e{i!MS=`{>B6cl;^Es_MVbu`>rk3POUVZ!)?>r( zgLz|P`Pi@ltWeye+#jLGdI@s_Lhc5bj0!!UlZ~@C#d!^>RfahvzBq;+-#ACF>X?E&RB$V!@S?|uPx>Q@>oOPHISF2ZU%Jp`zNEX&(!}2g>-ghq06Z3e6fa1;N zV?!31d~CQflC=!0yve;D{S{oiM~O#Ug%%FyZArZ!5n25kpkIJ96bp=6mM` zyOS$Bx3M2>s!SiUxT(;GCwkt>V536)!xk9D8goIdb`O3!mw_1{(7KO@qMDz-N{K{3k3 zFy^;#`Oi>7wJTqeD2#S}%_b;M8yTD;qe->T{wL+F7UvCk(oM%ggT3ETn4SDij`}|6h7r0BD@LY1;3O(Ln3!9Hu4!^v?X_+yx zfaRIx21?)P*4$V6PKG3u6@}{7G=3JMTN~Po;{|@@`d#tHO`r#vjtj z3q-U4o8Djx`w{};Efn5h3;QmJeu%;wY+(!O{R2L{!4@{&9dYILt-Pb6{DGy=?_#4` z?I*Z_H~1V2LdaZzKcNa`=PgPta~D$KUVue0DsMe1H_xPu6r_J=RqwK8BdPxJy}}#_ zE*tUc`t}!U)>)dvcOuiQ30VW?2Im!#iH{rh3YwxC)pqI{rSu{ZE5^5U)PR<498U{Z zR@56s4%jyWT2imIYv{$}qdFh{AuY_VajeoRJ+HDg8yxl3B0ApUwir58 zRD-?eFvVerocpQ`ratTCYw=B(auGZdAxZW^r%kn8V%%E&m&igL*L?+*jB%Bcv?;Iu z%-wgNwUVnjpsjIi3MQKQnTQFw8IqRYqemr-78rDIY1L}McQ7J_a@HBI% z1fS!;C7xOEZQ+-))EEE8(yJ=I6_B4n-w34}Gdfc9obmn3+CQsLV;d(o&ZNq3B%O6e z=ZOV*4T3K7A?#)O`!-$6AJ2H&zoFh-r5au)$*YZ!4PLezMt@I~bGZjOQ3;iZIkk_Av)uHGRV23>5!k6gn!-jF!+4Ur|v!9s+BOd8UxbF^t8Ta~ngB^wPgG-*UX`XzIUj z52cLWM6ao2u4>_pOb-sG{86MEl!+j7aZk2+{OGQANzwDb#F)=@`{&X$we^37p6I=J zb?fJnLgsj%$nLL+dX%lv=MV0~YGMJaLA9n}{%hf3)Nw{>g0)y3lLi>y&IR)`8V#ZX zov(|^v1HD+7E!svUN|s{|984`DfWD$dUM>un2IUJ!jMKvApZ1m=cTZRa=O)usnOtL zyIH+jj2G^Jy+P8Lw*7BoVhzf<9$R#MGyOrw7s>e+PVdqMGr^7`hy^nTmJ42oi3_lr zIe2p?iXD3F%FBnuGU9H@Dj4H!!hNrWl^Ri-uRfv2zUkG|3<2jCO2)P*#@HdkNmF({ zBy(}`3Wx|j7cJ6~JZVpVw~%&zl^OFT&hWBTwi2N_vQ z>wXIS+Kq6=&=m=@P9Bzj!DMUdCU)bc~-?FGoVD&POMOz|Lif_6Qlmgfyv z89wkB%lAtkLmKId@U7ZLY-yolOCcC?BNQsO{C4o2{#11>`eUXjC-icr)n^*KHH-1O za|Ipy&WUGpgE{JWPQnEfbOiWTQj737B@bqxDskBJoXFdYHcXI@ zQ$920BE9qJL4g{-@?nn+Fzwh-MF(3rYT6OU$)4o&E}rZSVxpzZH)-FS-Y=5Vjy>7B z_1j znbC{N-qcvK>2-E zifc=kG30kmo~6NEA-N60R3ym^NRl}i!dv~ zT9%^nYA{8`cdtB~?Zq>^{{^QJ@cnmK3)-I_jmZo`E*?T*N)5ZUS`Ca5x@h?!+k)ir$HbwEw!Uk`ixP)e> zlIyU)!&pe`C6qLp1S?b1b}|v#308@=@YrICwnM&cxK%@^1um>4^7 ziF=eWZQt0>ZB0^Fx7xnbs5JexEF;CAwM6GPKjhUSjH!5m;5H{{HoXizOUn|y(Br7a zAF)-r3gOnWCErovk136hzg^UGsK5oY@$k!tyw#_9h3e&y`i7T?+S_yGVxI%o>K6xT z%5({~^c@BV?hEEyr#wVwS4prn-m1$ocg2C=tJl3k8B0j8WvNS9=AO3@eBu)aX~%G% z$^`+U$Q0ucU0x)?*!4!l)EY3wr6|&Unnlm^pQV>A=i1h?3bs}k#mrucpEBp7}s8^)iMtz`{e>fWi0X#$cjp3G`JKdrYn>36qAG82N;U@{l_+x< z@U)oyo`s@;clPMqf%+DZy*=2PFs45sp97%W8=GHrmYsu1u;Idx+T{@)sd7?@%`4)) z`b?q)g$+aIhYb?D87iL-n@IY!1(m4TLud?Zz(w0Q-#~lJ3XHHOxG;~ag&R~rv)xd5 zUq9@fV{>KEwuU>lZ9D1MHaoWM9d^gIZQEw2W81dv?3gznPTe1I>U>$V)nDk*yf7ht(fF%C@6QZ94=ksY5y^iblZL+hw z#KNNi2Ah+cIFw9Zq~rX=q0owjmA}dQs?z67cF#r+&3ixMsjG5ILA2$^=(U!fgiBRV zJlWF`X6<=0$*xP zlaMhVh0(y>(Gq+KKWx+;&r|5gh^=r-TUZ;G!*sT|p;x91WeI=9@R}X!!d>}$M#7x( zXA6as$b^K^=R8IMAbPuCslbVNP&$h-d^(N(OntY~P0b^SFMQSFtJIndbs^d8a)`BM zn}0fPj0-o%F;|VCJyrk~GkCVPSs{9Qvh)^EAD~`vw=aLBU(;V)C#hjyq@$zI6hfgo zG;<`smA}AJofWdDx!(@SC;5`Uz*7l6tO(mIt>TsYC6N#<|1~&jc)c+Pm{Qw z859k+8h&V~_d{)+M&s8)sKaY(IchGXS-Kd7LT>RH6vyeid|1b4gOszLt{C059>&{) zs>%oER^!tCYCubFDMG6hR^8sgn;X6xx#bex@gOfGCRz4 z1h>xW4bB#P-8$sDWSUpN6|n@SKnPza$9F4f}K;Vz|d_Ys&a)F5oaYlQFqNe)iuepiiQT{@c!fY?)Q@C63 z=M}8WpeYrl+!N$)`-&$=XT^*Ey%okovCM9y!!|TJ_a1xk1_4JzdESQ4Zd1v=dN8*o zM&?n0#<6#a&h;&zrjcoo0PYTQfq;f6R^w1o)0h_8(8S zgoXl#iFQmjkNuxx&r4s9*cb+cLRvlE)0Ipucqe-i!@a4pClSjl4xB5ah(7$baXjk7 zRu6AzJ$-yc^&wNv-Cr-XE66{69tn$*MKM*Ao&aZe*LkJ_@Iy@)S~sHWnnPDptI?-s zh-YNUL{*|v3U&QMWHQwsV-s-mQx4rB?Ydi-p}ehoM)9Qt>)2p*K-cN94FZwIk+Op z^U__j1%~vPP#Tx^VOPs)B&vK~kt%`|nEF4d4=o-rc1`Nj)%_Vr355Y;!{+i~$J2PJ zvJPq&xW7^DJVr4F!_xD^;;*(hU!95-x14i0*C8LP-hk!igugDPO)pugwUNbZZ3r_fOw3R*#{aJ{p&sbt+7hVg<5MD@{Vg}m!Bq7QK3Ur$lruXUZDFNOPwjWJ#YWdYes=k{Mp_e}<0=Hv z5*ATOlIoLZ`jo(&#C)H8lMqcyIvhabBYgORHpHB0O)+JSYfymm8jaD6aEj>5=2^jGtj3H<-8H0X43 z2Lwg)N1dvpLCM4~>Tk$8?y6yJjyR4J3Z?c^;`yh?n!y7Cj>YyV62^)hvBc}s{rTDN zp(Fb2hoX&W3-&@^v_aKnlAQYa7f!`!#-C0;s{2DCT^ncGrpL=cys_vAp(W*VH;Jg4cI^?;V)s&y zuY;NNWUlECaSxtX;KSwEKpe8{(bmopEZ)f79i)Q#%IqwCcOu5Y9b~EciYLm>v!>MK zJ_5(;hxod2 zh<1;h>Yq(2#~QVV57B=L)k5by$v35_KKd=!=KVeBKNl_dT)g+`VK1oK+4BZ1oR=yY z%#||lQTZZQn~7pW>pb)#nxGQ*PF^sq>J1|pG<|d89N*n$7S=_na~?{=y8whc^=!zIC@Tc(qwE=u4BYoA4&gr78C}QayEc51fDQd9LJq zPMS;e&g8^4zHRI14eS2`!*~Cr7g-m~%ffi?rq$?2t$pm2PMjTr!;ZJ-D-Zh-1#N!v z1xnTkdod-o>7}c$2tHKRGEDrZRy^VTOE@DKFn=6Pz)dYtmZgxGQe-3^>H_t65`9@p zd)OZe)_i}1YG1ebNb&o#Fo4&d30_BaXJ}zf!{Hd=XMO=#Ed|n?orBQ>n}4&|>Ka9w zo5TQ-{YBVP0=mHWqci;p?bdgN5Ap_OY}EIaD&lKsv-Cr!i2>Grj=uaO7p(O={Rm`EG4JVGDKbghHjRUC1@FD zZ@}bT!njb@QD$DX-K2MuK5lSpv!=c>s?jyp_WK8z%DZss3DgST+m)w#;!y9E?_vG= z7oP1cfOA*xg_{C+9XL;&?n^Y`z4Il$hVo#hq=W;cqQ}4%`w#meAHR<;)c3%X8?d>C%6L=G-)O-r$rH@+zf*0n1Svy~h6~9m zC=(F9WiJGS07`!DZ|Jcccqusc?2Nw@bbBnbIdgyRf$W^4=*XL7xI6X^Ep+y?WxP3* zZ;>^WcjeS)C<}B*i1U4&J?1MEz$htHTcGpP2dBkYO$PGko~EUh^Un8}--Qo*91UCW zNW{C;11(92;pkh|D5G26(O18}~%_gU`!XbY5m=cibtN2PgOKeZ-=FZr=~y zMp25wV&h@PELBg6AO;@xg?V2tP3DtA6^28|Vy>@qm;CE8vfaVu2z9B6HyjV2a%j)t zR~#ElbGB5Vg1PDgzzx+DR1V>(cw$X!jD_Cr!GjzlH+HUNoVD4aH_gq8t`P{Rz}KKrYoAL z{}%l7BHwMqh!vY7LfXEJcIR2^%uq$x5E~|;uiEy~^tJx; zM86ghYFCKT%4baY66W#SLbzF^IW+M_Vu}t^#W@2ku3Od!Uz=}}gJ*kE^yR#1d7W

HC+AKc7}xz<=~~z{ddVG*x3q0gJc{og6nOk3wvOZ8AR^HCL+yRd@5oxYAHojJF3#HU#FL_)9}H8z4FdsqLfK(Qoj1yyn|h1sF@pl`U3iUBSb zcILS9Bo4}PpO5;Qx0G*lt2Lb``|c!-6l2*DnjLSX_T`Eox+ZR_XEu~eMPvonz6iHw zW*4V@K4CfGdb>@Y1V|QlEF@-%p|kc}d@~&>6j~`btg27lpG?KNk4sdk%9i81Q4CDb zbY}>1&e_{7ayji6&~`Y2dl*$ws4ZHv3k%B%3{717ybvuHm8VIG9utifCS~myMD;pq zYOiQ$F2{Fb$Ii03sxvrw@R=!xDnsc!(r>6LI`$oQ! z!qA#UO`)1Q1`k&PtoSC~+RvIbb)jE2f*&ZI2FXK;*Rd~u4dtK|g;Y;~G+ro2NacS~nWy*Tfv@(~pBptKWqsQVFRF6;jwy#8`y<{b zz8VaLx7}MMzuQ6o*ve9Mn4*N`#PrQmCf(hRT9sXc@Mhm6JTnz)Qlnc@ph2E(Crvo} z1+UWa_H6-GU@D798+-@od%L9ci@6b!2&v2$MV<*tO@${g&IPV`nQpOy>_E zL`dFQ9FqkRti= zxuuojZ*M-A)BUKtc~^LUCqqAp93Lx0KiU2>AMCiOYgPqSCKD{@PBj0DBC>o5ZPgxx zfu819JNz8@%O^(ajs6O%XSOkYD% z@^G;(9E8bh)g9Wvnz<~wY?Pnr^?jxlt-K?dO@`Z0JNxkgdD z*&oYRoh`~IhCv(K$QY4&2BPT+U8TZsh$o^+G>?)ahk9wC&2ZTYv)8iSO2IVz_qg=z zL|=#>nq&e5f6IW~7-MgRRH!GTBeWPo1#pdU7}lUB!j7?Pp-< z&-A2sTEDYo6krSXuv)?z5K<(+yZ>#vyBWp|*EIP{Bg^|<|y>N_Sgb#D~CQ3Ee?NpB1_aE>g*pz~) z^&fLZHl1>ch4(Gac}aP1N*N-{Kem@G|MU%be9urb(^N|BIfrNSAN1}YX*<`GpUTl? zz~;y8enmiw=s^vkADTQNE~34zT4tzYoOV9k;M`xGi2nnc1`_hJ93JZGlpQT7{5E++ z?gW8bqbz~vKQVzUG3BT>v6K6S1h&l z7o7&!a2F=cqjK8yj`?xCYRdvslyeWZpAA!FJf=rjh*n6#P^pp>>du)=3S=KJy?ljt zCpGm4HFb-gc9?!H{NNpmN`1vR4B)feN7y&2@eJ82N;R9q^>%idyQU+0^Yf6*(|mG* z-^?L?Ac%x|5-DRQX(psrT|arpQUUlv>|HZKExtT?-Yp8y($ON9_Tpn6KT8=r6{C#{ z)g`vha1v!sxPz(%;S&n?%Z?UfEByuZK2iSlA8!Q+MCtOxGiWb9?jte355BZ=KU}D>_cyIwcN!k<2{{rI4B}H-qAg)``MEn2#6V2I6wC44{ znthXS`D$l0!3DOPu^J<+7GlL_b?3&eiX^> zubbngfSHanleI)L0g$6!gU^BxrNst6JuHpJ#d++d8EYwbzt}IQ@^0_XoAHuH8r7z& zNL3^w>w)%I^Gz5Ur?plu_qJo&cOp4n7ou@3g05KF4>FIBEi{Z;el7LvA!g+>n%Wf2 z6DNTJK|9F{YmYKl+d9SEkt~;Lglmp-SK`}!QpMd}72Yz9-==fx%rfRz7@CDh zl8m}2y>AZ|YAT*sVEgC{YnTpDDXYkgE-5qKMDlQ6J?zBhDMDLx5r+ z;oFt7P!TQ#6nt`kn}W@G|O=+1UgAnrm5*#eJK^bi zOJuw%x*L98zRk0>U`yWz%UD11d$OwMDG@-<{Bu=$rxHt9t9077G=$Z&yl9zAi@sdl z2t*7elF(7Hnh0ue)6m^gWbC-yJk|Y_P4b2Ngb`>l8n!vRW9orE;Zyr67;r*+(!1a1 z2x-a{8RyZ>f7CmRB)|=t$R&1D4eFw5A&@nom9FR8p$wjwFT51`eM_bHB}2pmfz8DN z%bN$AzXh`QeX@P{QoOPMI31IE4DkK4E5CEQUycvvDcaNc7e~?4b9HJ@HVnK%C{-F3 zZXGO{=kn-PFSFbQd=NG{mcVDcB#Ri3IwV8B$mFLfu2#+I0)~EH{&=xgCY$dA|6HLI zRV~L{0m{@@GDit)!s2N_F3jc9efIj{gv&xauVQ;A!ep3WIRosiZBSBq;( zEImJhi&g)uP@p{iq!T5y2&Xt}>rJYz!skIZhkCrN?_4#;lX$;?oN9=w2+Dw&qzuZg z^<@jP-rD}D|LqF=2iDs_@{kd^?hWBec648+i@_VNenAXFW?L!&FS!fCjiN$P`p!DC z=yO}kx9dd?ws3y7Vd!}u>!NuER0mzn{<0vz*DK#GH})+{eHj*D;o*`aj}dJ`tc0PM z1UGRQH`ukvzg=4#3pliCJbv-{hE@3GsX|lk zYw&b2+qfFt5-z%#jfm%uixLCQueug!vzmO#$fbq5l&KTQiEvIZ;^6}AlZWqYZ-KRV z`L%98l3i`^)>kxnGvga)$#YFfXpzoXQe2MO>1{7Hy0)oWI*4XMjpgGSXTXwTJ4J0z zL+iV(J-17kveA+|j0?Yb!A-vER+*#I2;K*!)3&7(Jmp=AjF9>ZMNN~bFM?eW&yA4; zRSn?Rs6jh}!0n2ghPxEU%MTyuWVSuiApn8_ues|`GuK3Bhwf>HMBc7xP05scA0jHH z-m|XMWBoWgzIb-NB@7-MCRIyvwWaq2L|(&U>sCDX1x=Af%*pB>3mQ9bU~B5Qbh+HA zQ@miFR&q^DoEn}Pw||S`4+m^M{uD+{t>Zp21k2oX0GAJi^Qn~Ifm$^$k^hAy1mSOEm zmfZU+N3I_p%;_<6ea5$t0xgZmXfSi6?+~6UE;H5z&b}lpb(+wMM=WHt*g8>)7k91! z@#+VU4iy~Nq-IkcT3@UULuceV3fB!A1*Ljm4^8pCk*P6xOBhe#Cx#CgfXA3Ed@<=l z8Jpgps{%^})RK}-A_rr5*&lMrjip$d8S!J?mt&sxc+x~rBQYn%Uyt_S7gzDs;t&_Y z&U0S&iF39{bGXZ`YUtD5&SEZxCYscL_V`tWCIdzUO6tDO+6}s^A=_|v*4X32IezgA zsUb{`be#oeliT+X(k6tKhEEz8};|L@3jW z8p=@_1Sz%Be-2G-KdmLEv@AHWb&9;Cj$eF3Wj#6SPfG|!7s2Jc03(+OvDjqLRK=|rOs!J>ccj!;$E1))~sF;L0+M+q2RaF$bz*wGA`Ry5J`x&geV_U@%AZ@2kP|lHc z(@puC_8QL-NAZWI0^e=jOHB->JOQ2 z8%gD+2F78wCZ4t)dMKat%fl>ZQtch>=GyK`&3mouc(}4&@ldSASuiP{Q+&d_rBl{` zG0fQLmpZjMBoVbvBb`R0^XQV~?QjeqhnN@7;sKf!-8({Dib(h%QhhO$t?@Ra&FOvH zx(Iy{`YS{QAqdvS5Z=q+E43s$XR@YPCy%tPajv5`_@&M1TS7z z>D6iN>8R>DfTS*k8WXT7d#XLimWOJKxNjnt{u7~q=h_Uhvv@5hYV6pp($uQh=;}1B za4$!c6I8}KcaufCd|O2`v>>kT z1KN|I_1v7DuLe;drGAvfM6ST#@xpJ%>59nxvePD6bjIhf&u_NtlXiCg0<#GYD_!i& z(@JuMf>mj3!7VeR;=kp|ht092y}%RBk^EbCqC{mR&TtYZvqcLJh=P66&>?&MSo4ik zmz=D|-pnw&ro5-b?uA_Ine%SY-R|Y-vqCVJfdX6qFW#e_v_KQY7(A}gzHvBwXNQSi zW~fr>==sl)M8cHR+&WxV*@>9A zy{AJ6;?zveXlp*j zFS@XnxgWCrV*NipB0b-q1A_+zrK&3bo>IaFKm76^fKtl3bn0Kw(C1*Fza^ntivZ7n z|6RAMlo$Fw&e`PR|HU>UAhI0?1srIa3 zgK%_rUjwlFqsIAmha{p%t^1YN8wK-P3C#K7&yH61Ay8HE(jdp&UTqq+BLh>lrw2?EEolQF z>xkrqQs98q>8r&6u^W35_}>jj}mc)tY>3mRRPaYK^>QOE6($W%#ghh*o4$U5bp4XpP)+#~ z1RMCbGQdB7<1J+Bdb3^wUX$>R0PT-h;HW08DEFpC6zv^F$=I-q9YGp5-a3xtH=@o-2O>v~aXpLt+KLnf=J(FQ zcc8O!Py$iYBW)-+TKBMY(@Dy@m5Q#@2KaQy%C)rBG#P0dv*73rkYtTx+OijHhoJ*% zpYn+Dl9bK7P#az5Opj!TP`x%ySah3(;NbBvw7s%}1k9OJ&V?_rkItiJe?3yu=*JaQ ze~vjU*S1Zzgga-}{uPON+gg5aXP!X+mTTannd)%dD1NRSJuEWI(K$jZ>LUwsYuPp~ zRAEzw!b!i|E1D4@cmF#Ua$e3P)(M$UW7Jq=q>r|vgUV1yL|_x&5HlMU?kH>a-7h(c z&zSUDLnQ|9ghl!Ya?=9Mg4Ekc0deK#=<;hbQPtP!NbPoHEhTvw=!eU|$~<5_kK`dm zAI~^sjzt==qTDeEc8kofd8g*#V3`CT{nf}57zDTw*OOF~`*sVkWZU&a5iNJ(SH<~J zwT(!`5^=q0|6Y)RQs$K_3HSaKH~VtsW0DT~ZEU%m_qynai052L#ALlMaWlv~Qb-c+ zE>C1>n4!9jANA(P9oh`d3%?NaWM}L_$j0Oe1oYXe2ZBEO5no=;cO4z`bzbO>&Kk`7 zNHU*gf~)OJ2ONq%HzP)%e7NFG?_V)d?!eX9=Q*HRTKSm7GCu?53n9C9w4;%n(aVmC zvn5DA)f86{Zh$}3U^Z>!%IoHz6Md20zV>po{Wx_nr{9^FO48wWIiQc81&L6QH-(u||7W7&YpY^;+m`^YxOgy}c&-{)1YCF4&*1j2i zH6LYRpY%q}vs%?6%Bh5Re3-*cAKzQ%7zbkc2fsB7*hb}~*x;o{5-p>ch8b}0L0QRa z8q}bCMo*?woMjNH1@GVHqii2?bGOHbt)#dWf^eeEpN0{)BGkP{6c*E24JqJARVl}BDLL=-R3FnK7Gkrz+VrV!9!QYIQ2IEN95$U+%R)S^4(|`Wds<0%myO=6HTjS;)(z zE#vohcL|Ae&c>DT{gwu8jG^mt&+QIh!s-v_@SFI!1!fYx9%8bfo1#nT4J&3%XU)qs z4QC2ju~3R-u*6@{3N6hPf+1n_O$dE`f>Zm3luOu;*$H~LVo)Qt zTi64GA4@VT@1Z7xlk?JW>7NrCULls{aRhUIZ8R9L>0nQX?4dMOcuX8q_Wn5$0GYIW zARNpnT-A_QY$>m7|3gE;LF9FEfVO{&L<1Y&Gz%3gTFb*3j|+Hkwow7>!SDlgi+I#t zPMf5sjzy&7Q1htJr$-F!v9My|b0D_#BF*9=a&MyV3}&HQ06Ev!kwl?u(;vuhMe%Ri z1$LCdEgQk~{D+T;A6~uU)Yhc`CcIqxs2BF@Kv2PN@b>?q3WuQG5wUPAZP_y=4B&zZ zCxxaIW(!y@$kc5MNudBH1GIFZ41$-lnD&;qY@-b0!ffjtR@JQ5f9Liz&{o0U)5a}T zID1AHMn0|fdPS6_>|&0b@x%n=(~lU4 zp%3mzi3*N`p=I;7`U5zg(%qM7Z;}gtp|Lt{K~g^bqZr5bb${&_nk%-nKGNA1`pu=3 ztd3v9iLJlxWs2tP-Udk;;RCTXopR1VLpYqJ%~UdKM+P;RNnFTBy#|HF-u|wKyw4pS zDw^SvyFQm8vpcot+^jJrZT$2OrV){%H)XNm7}0%&4}w6xf3m zMV`1)C8(B2C;T%Ddc07dF{NX1yfDCT=B!SrabHYlVbI7(bt+Qdw^UqG1LvKCJ;0eDP({&Jiz`$6;3CC-?tLcPfyu5 zI@d~PK18RzfWB|7x`H>cpLq@h7MMqbipvrHlUaWAGatL*Q~=_MPohypWY}ZiIOoNN zJ|D@ePJm@6aI|1gRF@Ld z!?xYMPyYhH)kQmYP#oEp=ScRBo+vBM3=@mJUc;?>r<&iJiy&uGD3u|;P?Rbp`3o3( zati=buuB~=={DvO>wmw1b0>q%{|wv03IcSAH$ci*un5Iw`w3vvZ|szIZJ)7*bA+nj*5A!j z=pe+1K>-;jlI)>#xWA~a9hqM zg!>|X_5c&ES9bFu)2DGhADSc0gtPh~eLezcOSxpUKN?l5m(6xIv>k)R(AJ? zbp53QFPhjnL}7Afw$graH0n-@E&`J1XzPkTazhhLq({%naW9h;}+!F2R@} zrqqx!!COOBgD>Nr;Ziq>JL!R@cL^1;`oIa4z`cYE#TGfM;C~?kTeQ9m!9{M~i6mf= z!ixe$v$}kT#2G{%f>)d&5?@*LGLI^DAGnXV{6Im5?LnMHNN?v&8C4N&n9l))&V2s4 zELe<|QHeCfK3)zx_!R_gkKrV(Xz>}2f#Smt?hHjmza}|(Z4W|9u*~Nj46|)d}ho6n?#!8DDjr4Osb1#+6Qo`@E z?T{mOz%dg`7{bRI+HN`U8m;;)qrKGQkKvyGI_(Z#=Q2IX!z5F9p;a5n$wbtdhkJX? z>eDhLr6#6^$A${z&7A*(nEYr@Hyn#h-cQ70f9?9XKJ)IJ@BFJ*<_~rdoM^@0(MlT^ ze>>^`N}yQOl(WW0= zb`q0_=W(=9NMC8s&eok;Ycgi@0AmXf&q)htkH3Iv6pHlN?eos*=DOK>>PG`jN}7U1 z_TksppI(~5YXZVtmn^aSEpCicB3^d-(M)YFUFNU>w2GOvqYu*dW$7xv(`XpJ z)jKf3-BJ)U1ufdkg<@T}vx*@%nM(1@Rf5Ds+Fb^q?o`p z)2kD9YHn2tG%@ILWqwa$xj6#|vXe z;~TSleao#6f*#I&LE%3x&-*Y7)c>GrcZ-S#-@3C*2s`uo(~{YGDP}QRJd!<3-D{qr zK+iQZvAQx$+>6y*OBO}jj^>{1K!1iBVgtP`EJ35{mm5!WH7!xVb)@;=P8iD_BmI{O z71C^!@ymoT>-8Ia>C_4%8qdy`JbENMtHj`uxyYn;F-Iyma_-SYfMP;(C}GF2C74~F zBUUFS>R>zMqGzOmIHf*O+1mP-6Aiw&Ma_0OGssurqkEEd>Q{PZZp5yRKVyXUET-$|?5($1^ zXfW019xeQN!?R;kgvioQ3+LLocXMGSa{=_>J5>hl5n6ZvjvVm@!;jen+Sg0Z!4CNG z2ykf;_zajS?6}uW0lFiGb;W^9xC}vQb_n0AX)f-`N5MUQckcz}O#Siv>xupj8I91<(rG17T8#T#Ccxy@{Aw71aYw z_EkZm&w-N86(>xjwW{|@PFV%Ay;YM>%_AYNSY>$2qiT`*Dk1PPn2c zR`h0(nV6d(`gHQd&_2PObW#}#tfij;!L9n}ZRp#n0va${wfOvQYH)+Op5Lj4#?(u@uaHZ=wD-G$J{EFnu#y!Jgedec4A;><45__ zh?8U`fSQ_M73^Rg=L;tVJ)YQQ#c^=rUA(lvo4f{??;8n=j&Y0LXVOa_JAMkeiNdA;lOex|5+s9 znI8CJ)6%JiY$$_^g_he=D|{s)H39dEnFB;{qZ6pZg(6bQ4U4ED*1hX*UJGe7-kc ze~&=ge+{az;S=dQ|3vtnqk!!GbN#RS2~IBpTvdUaf!6Qv1DbGv_>H>OmvN8GWtt(H z7NPQ^-3$+Mur|*mjneKBZjjo2P|I6JozBBeR=z^vId3jayx$@XSePYBdT*QW8 zn0gmxa>w?NDr~`+ZBXnxGS5(EMK>bL0^jTw5=}l{DB2RzFaM8^L2hE~fF~+OA`~>= z711KwAj-DO)t~$syT;7tnv(EXsG_e3cClJ9|1RRtyt_7Y*0zQLHqs~5LNs`$LfgK> z@a}gEDEqWHh(_$9iAxmVPm0(fo}}pZ45E5g?j)m4haGzdhByDLL2JY6)B3!HrLLHO zq_$Y$7sx#}AZN(yg9cMop<|whrEWQH>|4|dyVkS!xJxn&HX!Vd8fR;h{{&1GmF#|b zT(6#%2QW3m(GPxv9QC0KuL_j&!3!xh`YiM&3U`&?j` zEsj!}jD9V2|3LXF;syqDgGgZkb_})7rb$3aMGKrPO*polwx;-88dQ?=4Wr5w8Ef$) zwh?qLx9V)|3RkmPM)Zo4MFq8b1X>#<3rdA2Nh>dzx?2p4@%S+@r}CHK*G6&j)_!M- z=STA^47p~w!Kd@Si`(b$I{7*E+8ZTJU&LB&MsN6bj0{;!S;jL*ti+pIW{dZhBMQtSz} zC4w17>~6}q3i3finbIj{vNwD&x9VQUE$*(_thY~ZGDpGS;SJ*6Xp8-+44oB51PP{Bov$|&nd0eaR~M?7orzV!s& zY8GmqlkTgJyJMRMGN~)l`I;8^Yy~Cm-VVIWZ*~|w`)im3T2`SwJPXk~yC#Q%OnZYv z8a;Mhw0?Myw`Cl^N#=x~$^?mS=n1O5@qMor3Uu0oX${J2+%5)i8aqChz%vtw4uf=MqW7};^Ofo!I^4o_6&$F^V;~?d z_#gIluqLKFmqQD-&-3(efhvp}S}}dK2g8~5h36KPrZz+ zib6p$x*{qu7bwINtI|6~iY~7ZzpIv0VzFhJF|loF+8Tf<`Bm-u1)1n^2&tuu4AU*n zK1B#i>NSj~ zzweM8E91||2g}%TBjq)ILS z=C_@d9hP0D6tUcWJDJ!5f#mZ8!IRmv6Ks`|KNhoE=X70hpjv z%Dk=0R>KnUed&}=-hZOs+NwjF*+B9{M#PJHAZUisjh@6hrZDwVY(b89b}5MIWnTbs;vLF;jgKJcW3b%LgEVrBMwPPg~#HkTA!b8q}VhB)1La-Bz@h53xu*cv)MAfSG#SS!(&pOYOYM z))QT<+I$vznF^7)tNOOi;!P)r-b-QSa2~O(sY`FcpQ)|je_%S4U13;MnV)N=o++6B z#ZvK8`pZmY?Kiyf>g2)B5V(qg2uSED>9+$9fC;tZ6uVjI7H0F(a?j3i&M>i`5 zeH|2|zK4eduuKVkr3W;R$T0ItTxI$J4C&Az#^Z<2KlJiEe=CuxRA@vKJFN)oL(lJd zmu%M&jmsT7r-bTyEqO=c{4*(hL4g`_6>ofH-hrxO>MvA{ta$$0+1i9PZ-zDZl237Mzx|Izm_Cp}h@73VU z7*>nAQvE@5d`>_^%iCbcz==I}*Vzls+i9jq2{IuKtAX70!cliPECL&P7{4>(KQ7dl zK(7n~NTXHY+-rMv9_<8-wCM!|fq+(*_ieJc+-R5DVWs6LiYvVExTQL^z)@-m&w6*R zc>)c*waY+5l&3a^05N4u7rxfW zKY(}%zj;LL@347)A@PKMISmA)9H4|iCH#iHvcPxW?ASVNSdG#V3IBBmgV=&WA_+&g z%M)6(SuP$eJyf!Ck3v&K$0^d7E%A+v@`UcMrG6UIWX<(E9!P&`um(<6LL3JFa>Am8 z>1I`l%CNWs&gFDSrd$X6a^4w05!Qe{Ao_%1!=vhY>y6q*_mqRyZ zC)j>RPgIl+^!`8gzNt%-U|D;5dZumL_S?2?+qTVV+qP}nwr$(?>HY2V8@{Wv@}@HC zCaYFtR;`E!pw6O5WN#c3%3i}*7P?W6l_od{xwaG%t?l~<1}Zgr1D4IPj3P|@Q(8*= zM(6zh_TDYXg47|PXu>2h=?5%KXaCTXa!==`5q;J4p1SsfQH^OE#rmY$AI+_p8=)oK zUCLN9h_6Aq?p2Q{{VVAfFqtN_ZEmm``yM6r|PI*Z=a&3#fg zN;TQV_V3v(1irGd2Qs`fRR{%Sz2SEd)BDuj-Bt>^;5b0YH8R=_RGRXD#+hM1kX}Ec zWtn3+U$3SY^IlW3X7#EFwaz1u#Rx*1+-sKNsm8-$W%?Vi^=4>YPQaQc!q%^V7$7^ceGgkG#1;5|6ezRr%ZkncnN~0GBNTtzw>1eTqRY{iRs+=u^kQNAT|+3^T4S z?^V^om$*M#rFbFQojN+DI8gG(gdC0 zm6-7JT=iezY~RP?7Nkf8sVeqD{9z2crmejbys&fO1ZXC)Cobp8PqbgIBm|-VmC=Eb zIb>qJ1dZMDq#tm09RM>s+taE)VXs(Nj_RwGKfZ2ON3*`gqUp9B=1I6redfx}`|3B8%5z(3TY0ej!P z4dO6nSDnU@3jyuAGpTPkVqVnd~lZti=|q6X_&>%VT)xmiW2 znH!Wf-)WYuNGDqa{nNe5C>m_DX?`4czu(cDoo!Qj2y^i@@RDIKg0(Uq81)GXf_a#_UE;lC#t=^!7>CG zD0qdI3Wb#Wn&nd8g{YLYcY_oZm|rca5|It4g(fg0jKW3#^68&ZR6&Uyw$&#=CyD6UWrsXHZ9b@6F>x{RknQ}JVnLfEQknmM50 z9G7*KYx=`nN{fC~_Sn?wx+m3d#|AD?L?^M0$S@9bw)Qsl9yDr~2I3pZwjlr0u+6U) z`0avbG>bQ5zQ9}d4mU<$o7{n)-hP=r?1)(Ai9;N z%}TOTwWi?iCIa*DW3OjXwT3~~?1NsB`wJHKA*TBeWmwJJKOh80D?;i2t=?E{w5cnqb+M>hO#9e@R9rV zr*{@ZTi-(GNNnARTo>kciY%)k#3^L00#BAw?1vwDZ#=)jK+qxEl*h&?R95lK7&Gfz zirahh3moHaU?gLecxp0G4}jn};7_CN5)Tv`C5l!>2;{K)^-$E$Nwq66BspLsSeD3n z+vz-%r~J|^=7be?N#7x!O=AFeI@;0+>+~A@M*M7^)CM0AwM_15OlQY-&*gR}v&u>C z)Oa+b6iavkX%g-l9E@@+Zq}Z3PvgxhJt!^X4jXL-x9~_A#Ecr+eiFy716#ZXCJ=P; z#rlCy-Lw?G-o?Cvq8%f!oIKYePuOub4V@gLy4IA{afaaChGcvkCO*kJ`ZBm_B|SSj z+Ht%e7m6nD&Xew#8fkH>O&@)&Vyh%DumkCx5h*OtAusm**q`&pfhwc%fQ0)`CwfM`P%~z%bcI{Fq&(#8ABl5*TmR?(t)^ zTWm7PIG!#84Kh&7_QD^IU>S=Ir$-d^jdz+jhBCNY)E)t&98T0YX2KG)JE0ncA`qoK zdwC^p)L$M)<(_n75nDJmm>17!CI_uK9=-*-dXNwh@Gi9O^&X_hIlYkMPenH*UIwkT zjP9ZgSEzbz5^mXEB=^#Vq+MEp_F2N~n#`_i8jP7F7|l^a)oNr~DqUBVU+aV{%beH$ zs(-!~<}B8eI*U;;MalLOMu@@7fQqtRC`pK@WNa<8E382=#rzd2aXbku@bja*Loar{ z`jzZfa>Aql+gw4zjxMVHs7M%E+x<&PmZ-q9H+)q23l4BlmBG%EZc_xCLaR~i*KY6h zHJP_XYJ>X3DgzIm?cdg}g8wE!_&CcPRdzYga|ROdJ;7p# zVY~nGMkuY(AbpvD*&2;@VV;|~FC;&->U!Ya(FImJ>)xI@SleK}$>W1RhHm!ap(rXD z@5I?wl=1t6%Ue7`64{PKJ@Wp~Q|*LCNTYt?_9f|2E3Ad&kW{lZJz%hY`?!%Nu9w5l z-3kmR(SGJ1EVKbRKV{M#)#ep-)|=tA#a{F?Gwd&cS&z|7ebngd2`jq+%gH(kZeRjW z))~SYfouzSN9Hy1V^==6PciJL)MSops6Lzu&Nu!;B(|t`ncPHWyJYI_la@XpgMU;puFKQaJCNV(mGd>TL$nuPx5FscV@*dA-KYw z)OVIwH6C$OY@G(z`_?w?>2s$JPnV^~hfu$*AhYN280=Cn}C;pU8dn9GTWLE-nn-Y_Oj7 zeFv$nD+m2rfVH)j8=t<>(WbKi@}^HwI0PNSyUCJZqq|BvtP*b^$lS5MsE?OvCop{IiNy3Mmx8$k^x*i4V&@NCeqYdFbEX z8LobkXw8>mH=`+~)HHCQey(qVaml5>fR5N&ij1gwo%lgfR4OpxAMC!c-@o&22zv`Gwl>-v7;mY)I_LT zYnrZl#f{q{r|8}rD)cP;eo*fFTCOAYoV-&p*%Y+Bb$F0X@-5*GQy<2j&semim*Tu$ z&^JFmbFgdi_l6OQbqab*88y~8pW2g*i-klJA<3guOL(AUg0fnLjATuQYgR_%O;7M} zl6yxHc=Wb7?za3B^t-a29R6@?-@rd6os~DY#TKcn{kV{8i{VqbtQt9b(U%F$u8&x) zOQmx2bOy%Z-o$kT;Zwp^a@KcgGP~DgYd|AAm9_&YyIK241sk9vkCj)IhFufHL~JAu zOUhZA^WDqh#;YCFk9zN39o^}QEVys;4Q4o*uOy+XS?o$n>kADLDiDt#MWhu>V4j-Z zyARZ5p-qNsD}6YqH)ygU0d^g(k*15=nmzB8K1&C+%*Zw@^Y!_$BM4L8X@Vd@mi9n&0tZAx9ykl{5!T&PQzz>%8+-Dff>M*n-F zP0*}R5rw2_c5LdroxU2td0%ooVg>`KvZ$6yf-d!;cXIJ~x8+k^mKLebU~Jn#Y_S?@ zQh7%8AgT&ObajKSK+%M#qWYv~QkTkZW6xOLq)I|ACareFw9<1MfM+13F5p;lQT`d!Sx8=>D9PzE@ zBUas#ABh#syO|%kkcYWemFbvs7lWe4h!A=^{asa3w&XQr{Sw+872K8NdqVsk>^KAwGU0C&<8t~1p#4Fd6uIbuJvZQ3sB?Qw! z8Vw~;QC{(}ooOMOsM|A zJxGSIUgZN6mcE`qcdLW^rBh=5_2YRV*0Nhn|AESw^?9vm_uX0Y=!4q-q{3UN{{Uuv znuua|EE^{f&N})=EA%}_GkUx!T?F+Vc%~EZo$YqvbBihFCpA`v@D<)m0?zymTgv?N z15jo|$1Sw}y%pEF72%xiirvG1h36lCGO=!xc~$A<8sUbr~&_R$BXZ;!m ztZkK*Mw@b}CBeciI+Y{6J$M0XBN&+-dFi+BA1XsXMm_vkZ0r&Hx$k$0VpM?!>XWj& z+n;b(=>guIoqv06tQBZ3dH;lqL7d%#tZg&%GG1S`Mo8j*e@5yWUB2dNlfIF&D0mSa z_FR;24Bu5yc|K=R-$@}gylG{zcY;1kz)UJ<`Wf7}OvWzFJVH#4?hTOODbo}&$*(pG}JmO**b9r-A#bbMZ6B6G1beI&^nnP=)Je^k%%lme#(9 z(@ih;*oRx`iHf)s@*COz**Xn+%MDrEqL2P1UZSH~VmhJiPo#n6oLLr5M)L%RoO#l4 zaA6hbO2GwZZI(+GI`MTe(WqD9mvifJgqOgUIed^$%raXR3Up@JB!4xefY~yJbPpSk zOZq1xI3*ZifoNMDWbkN3$+%4iplk(+F!Xl?7VGMHgIfR#6cv3vC+nPuvWLUjl_KxNSI&pTZMkXAwx$320wj_96k#fgWv=E*as#@1`f#`O_7*gUhM<84hn$sQQjm zJ=Emkz-FRxC8VruUEMkA&;VQ&%|kC)gRBlYv=M3*Mf7>yhUdvlr^^qk#^&ZXPa94g zN%`ds2!>8?u3=GcLNTpn=FU?k_BK2*agnpO730Kb=OeZ|0&|2QGel)~qo>JO>SQsr zE)RWX<(^@2e)`axMDfnkLLO$B7@5AC%0`LK*zU;(RwjwQn5?4X^Y9M+pS^qh^_wH6 z&1buwHV-74?pdkkP*W@w#O1JTq!n8`SQFF#bA`_>e3_?DL}wfM=Oc5A<+RUk&;*jK z;(ALveLuv@FZYk7UXdduFwfqd7p&^hxLTL_$oxuUHy%zFX-?;#6M1Dw2P8F$ zKKw=^;F^E878<{|wCO14`2})&G|TxGv!0yuE>Lb)hrCl1#GM?XSDcq_K5eXx+I z6mq-#Da+F+-sSJs?cJro)2Ivk%LnAkN_ou)zi&8PBwXZ-d<9Ivk!~(nrT`TZJ_bHU zu0zP8?|<&l+1IecrTGW`M*VO2zcT_ym|EEY)1NVguMEo1$j{g}(tE~h3WEZn`QPw= zXJIpJ1N`uLKZ8A36&q~!XApvx1Lv}-lG*+@{J%5)V>n2m5aAHv6D)lvJV8Q1!U(MY zE~)2#!~eIy|9gY~mxKQwHp#y<0p(>eQ7y3MZM%#=CZ6IMQI4C~yW6`+p)$?SUfZWW z{m+|J_hlk4%S3Maasl7mg9_IQs}mxab1C5J4XnghOT(iwui02dXVpGJIGq>6#qZ!Q zxGlTG9IbY{S#Kwj1(%7q1f!ljU*>!puR;2XLRwQf+}%Pi!Rg?oqE4~;oDq;b`;Q4n zkDsHG;7FTzi>Ppmol%eyhk0bgrNS{PG9Qk2)e~lMP7|g*L&y13#ENIl@0fM6eZxK@ zx&*xYBs%Ih~J*=*ZPi31x<<$AljixlkOrG{x|xLsljD zBgyzKIMMe9v1c=&T9Wmj(J7Z5{RU7n;Wx)WttE^x4$h}Mnr3#I4{5}At_s`{@GO<;#F=b^8^IZec%r?9Ko3}t7bA%$^wcE1bDbgZ_=#ah!AAE_8SA{ce&5 zMyg*jvQGHXv8Q9HY#jEf8tMxO)9-9Ab5PKGz#rwfg`Rb zdLU;*A^|qpOA(mTUk%cIMif0BmU<+NtMrzIP}waok*y!7EnB@eT5HpeXPjV%C&}Eg zyWt5r(^`Wmu)m){$?_4{yb{VlsEEhTquTD^R*5-JV*xa^Rq-A5e=qHkx3n$6qh)T7 zizI--gFAe(TaSCggfT|*AWLnZ;rle|CQ?P)A?%J|%}JuIW&es;W?~5CH=-38y;_~= z29h>v#58$>Dsckb(@jtHO4H(VMzu;IavQC0S4dz*Ggl7&B6T6QjZAvA`nS&2`H`a} zkG#$D^wz*mY*Z_71mAj(+f)$xMT^@u%`SP+aA2d~F6M&ygb;>p2*y_{uq5dD>ANOw z7120uoo=<~nSJURC}@S#l)ACId2E@uS4~<{$^Ke;mZ<%^X84ptronaR9w=Ph)f`4& zA&AQui}dho978FRv-%+W8@Oy!G3E#TnC5c*llG+L7NHAH z>x_yuK1BTc^qujTU!3u{5)XIO(GAGOxQxW@i9C1e!nQ2=H$@0$s_n9rSndM#HoqK% zypeG`NH11KJhgoKPbEB+Gv*bglo<#*nRLAhaji;1_k?zkVJiJFO$f!&4Bodn5=X}` z`yC!rKv~$vMau>#b9EFKa_QFFFj!SSL`_zHzqKnjGzogkWp|Emny%tF>x{o98gDXFxmdz!`a`+$&GF+!q7yqof$j06p5Mo@4)@YL}=2nV{gg?G#87ogQ1gGpCbh)L(lFBxB z>u#7FCI>ZkR4h>2uFnrsZ|ZdSE3$d@pfDlE^Z>1m=PtbrI!lIAF#?EUX#NiuN1(}q z?4xGxh(7W@6AYAoo=)wLuaFEq*$E_r;l=>QBTPAYO?qtynf6=N!XW7Y=xPPAkJJK?MlJ0 zYhJH4I+jUVn5?csEU(pmC_akUf)-MGAHz{fdEfWoNG{Ljk2f7%B_+Dnn1EzFWw7j%PV8N`= zrsF&>O3WEe^7^TYO6=+J4^xyZdL$G?5PVx1{9LwAT#;*#PWyaK5b|0yG9L$_Kf}&7 zO2j$~CDw6#TzJ4$wloN?3k0k5se%Vb56s#lRdCiHo>-_B&D@s|M56n)Se#6V>lA-M zp4n6@cz)-~&^;uhnuiSFO!Aml4j=ZyBBu1Xz=Fo=9kNl(D^(OLd4R`Us|XbTHml)_ z_9Mr-fWa}dEZ==gwWuYjIJiRIZOcOY<+&@(Gu_=vu{%yjtC`vBYt~?{0e{1JC=q zlA<=YUnZh}cyArZ1718Ia!`%%yppB$bnGO}O7zL3@PPAkvfQe0dQ2n1=&9nBDyOb@c z44X|iW!w`RG)>96+f$vawP!}xcF&PC9B?TljHDr+s-vvuj4HMwWfzHa%7Al|Mz6|c zo6%Aaf=q2WoW8E$R?-FFSI>U`U9aT!1_-UR+fN9YpA-xEh|b3e?Y* z;K3v_?RbR;W#ii(u${TOhQj%yH&oP#1&Zw0HF&a<(AsTw0NgBA5QSpof7_nlJK$C! z3f_NfpMg>+nEs&+H)MpxM6Y135EV4N5Bqrj#!eIL2L__^S<{&jj$y% zhx2PzoK@)jEF7|%Cb3rLYAqINdjZOC{efsiME(pUaR*RX#-|~@1LkoXVX(K=vRtv8 zhZTWU1Xp5TDV_#Bf5oyWq|kGO^jHDv8`hDjkta^cX%UK3CWqe8J!e0~5PCWHrJnQj zh_<^9qH{{$UAr@g5Zh<2tRrjSJQHc$q9`mJtR?Ogg`l}Z?)3P>MDj3O5sTu{rqIpe zvSqd%=W7LN{sw~dWg(LXuP4J0{izz`5?&=%fE^3c{W}4KBA^U3W+Eyb>JH_z$Pj~n zzp{j5OnF}q+EO8Ae_$<6A%0QSyQfGyy{Dtf1xkAEomgSe*E-}#XBOT-K(>x`#w zQ^GLQ8q3EynuA4T@GB0rqJp5&e{yT-8=vBc|C`;qMK`$4xc`UlN(>Mgz=~l=s`Na5 zP`%e0n;qhJP3^NWn0`3nnc|$MRDXcwQYVpZ9VYV(J=mWdk<5qkr%ClW>=#-%J*|MI zz?BN$b@p>e;C-^n+_u$+YR#L*iS}nZ(9>cmKaAII(mw!_^|rTlV)jm)ro-|z7d(wa z%(prapEOEI#2O>fS3E>SG_^7xFNVVzwevR>6+agIe1dleS|`u06MIx!t`(S+nvJcT zbYJOk6ej?D$x$d`aWTvKq1xH!w#Mz8RhcU!cND?LkzTbfd#)2Vrxpqwi#8@7#W3fl z6o6$36^p8HgLG99!Ru`EOfDf|b4e((U*VA2Wnp?~1m$pN}Hn~q9k_gxk?)i@W8oH2bZh?ht}^u$=|JuH>Z zsUg=nl&wQZL%M$^R;q|?L5^#HFf_O=!GG=G7K*h{)+B_OaYqxX0IPr-Aw#QC98>t1 zYj)gkXdkZMCrqhXXJ(b?0A1IeS4haUi8+mkGmB6^1#7w*s+qpIF{u{%b2nLFEG=2l zO7J%u;C;^K0geIqCd|5kv~DKykBPzI2FL-03LdtB>blmMcnNJ+^- z5^&HDflyD{Cw9;?uMbp6aaPMISnfRzM{$}>C^%IK&7n{$MVGWiq7-wD<_IyslI5|2 z+Q}ax#z&0$9_{+Z70c)AbD-wqC7aM7$Ow?W5DsHZt3nbq9EF4mo^ICeET1FCnVPKw zIBpvxdty?4LtJ%1|0>(v2FIh7@=hi^*`JuDhYXqRU8xGFBW7JrrQ7Azanv-M|7!{s zq(yVteFF@!1OD26Fd#Yb=@n*QYE;kQs|aAJuJ&w!6;)6quH@E zyYuU?wH8LG)KLJZ_#Jc;j7^Zf-TShu?$}p)NLnU%boR`$d?ze8RV$k@z*lV2gv!d1?B8E0$rBa$ zn}fijv5sMyo9~wrM{iSCMUC$NqUDlxVv`DRc3PB47y=dH`j=a`NXu5*Lv~@Z=+^0| zF}G}_!8|f3eiNeJkg!^ZG9Go|UbFC+2`VCrIh&B;8rCehd+6Q!r@c}zn?a+)oXro; zd_X}d|7)A-l95JMDyv@9C9S({2csoS_z&eHGB;H}akJ#tE${fS;lK=EgFL_+HG-%| zt(MllR9Boq(di5HHy~#1vscF-T1XE%Va2S!JoZ=5q_#l_QeprhfJvm@XDTBc# zIX{y78-)HCVSld=I$b11aH@==EgXiKaDVUglp_sZr;+*fCWGurM6d}|Mto5!5-eOn z)ZjOBa=fDSq_TPYR3B%iKT`c!wZG&KV`}o5T~vzH&8$hIRK8T-J~}g zhm%};#Pe`tOFnJT5R&XC`$lf1cbj;ji)X)gGj#QnqG5MKyR!r@ z;$W0vG>7-H5$L&gh|$lhY09fjDPoEFTW_1rX^kM zqzwMmh7_b0&t&Y8+V@!eTasf39R^rq#_-m1Q}t9z^dv(E%jU_8Yrs;WOvLpWFV;jo z!dFeDam)k!2Ebf(M=LPNq65vsJ|&V2WJohPV>hnbB4MQ>H}*;5-FnJ{Vg43@Wj%HV z#t{Vt-}-)IIZJ}7#;mWXQ0K zkT0_wxItE4F&tH$*IWG4j|UTN;4VJ+86or)xU0H~OHDagvCc;`=n|9LD+vh6BktsrXWLJ{+EFaTyDrLKQJ4r%e7R zQh0gJ#rqncnveBXNEQjotE&Girx@3D_^yGcv>RR8TsoG6RXDo<`Z>fhBalins>Nov zQ?k(>-CD9$1lG3x8aI{ISL~LTqgG;i5e5uyB@Uuv>_PG`w_IzB5igDQ)WMvoE$Yxo<_h??_#2S+k zl=G<|hb{ia=5{Y2IF*>-JI*{oo&&~&1?q8oUhjdth4q=V>7N3_)UzVQu}K8uREJNqr!yjh?zI0=$?uiyjwD3rBae13BK-Z6`_tL5)f|4HP@LkkI$)FChLX}ds9@z(??L+TGAJ8c|d7_*`rma507%|^J% zktDTAqUCFl!J+1_cPehfIi&NAJv#-pJBnYZlCmM_w{#Wsm#O*InZnqLVH#b6!Y!>r z>NPA1VAKh1QZN}`D1wRA@_H-=&89(!@?)uCYVNSK81crsSO#k_>>=g17X)&E>aeCL=4KGZWz>3g?=i3&A`tmT`v<*no zRbj>@0ipzTlqXcsoS|fm*D-X=o5B&NQ4AJK9aqRAYTn+|v6&Cov}ab=5AO`hrfxJC zoX9C(vJ2T6T<*wT8t8YaP#`>lgw}iSLiU8VGNHR*`<-4>-XFtQ-O%=(u-vMPhrInz zIb>FcWu8?M`IghN5ug#rHoUMqSwDBn=ASJV<<3krvrt|8mj zS*n9UEwgOe3Z|t=8wA^yGo%^TGA0GZ1?VGbS-fkeD=p_SgUQzk#LxS1B?Y~dDUCUl zaF=yRy>0~7zxkDa=zb28hO z>S8rcJ0LkzK-sl5r@Vm2GOUdVn8Baog()~4Vmkr=zOMtjBShU*pMH@#pd0eHHP5tR zAaI)-hS#Z!bErZKRp60hpNJ^N>wBYj)_852P!fkxjUaFDVrP=mjuihG#>OHZn4C?_ zDCu4=#h;OlY%MIrv@dakgfD&Lw--g(p<UeA?Up-PfPeO!ZeXOIu znI+{y%0O-Rxwy9`rIw5=*s#4)I|YifG=2Sl-CVE%O9b{JzJv-$#kAQA1bV%Bgq*cB z8Ut>Ht`{gRr!YnEb{zoF@Oei7rzx`}x!n`SX?D`t}nLdWFJ5-aBy*w*lgm7xJTZ6S|{7n>>3V5fjR&%xc<8 z)e;k~`LTG#7~pXFs$Wv7urFfkR%}4AM%D|A!Y*;O#6)Rj9M>mHR~;3dHj{vDr8NSB zv|b_WAt+5RJ2KI-OmsDE!aiDD;fc}ya}hPifDy7o4=q4U?S$yLladly7^ zl93IZ!5PRVJ(E^OkB{vk|C_6c(=p&^P((yrAE>a#(>0W%jHmFRXtlP|!jQ1|S z78={FDziFud8hGD|7FYR*0{Zrr9SoW^RGT!X`6mxa7n!hQ&F<8Pim=f%*)N={h-m4 ze?fwinm>t|Xz#Uu)3xl6%-+?o^AQ26d1Tdv#Z5o+YJkNMW+(jpHK`YunI8IuFxWNK zmUYw-r$%K7!Q`bB!FW+d`49KYHg0s?K|M!~g$&E+mgk&dRI>zCAtCJ2J-t9nLYkf< zOo|~uJq;@(?UQkoF@s(zjzJkUe=z<@lFkM@#klP^Ml4;Bz~yC6Y9@ezvIb zm?hMpzvMwN_Ei&F&vrnBoqP6ll?Z}eC%GuPsW8==bTwqb6a|zDfUJ?>SpokZ9i=-= z#fy9_u9$%y4lpi)YjQ$MGI3jon6UKrl%bDs_kLitLDyrt(v;VuhI=#DCL+N4fFCe) zteQ=qQ}PaEMgHSw$j3N~TYTJcz7797XcKf{JG zCulY*dRl}fFNbSF;Z^Z<_!No4-digmbLjX4Sj$D7V<$To#;1~-2 zu*@@TPE4q4`5$`pLx|LwcNz8N+%tN%2)Y}9Upu3iX~E99-L(k1(&o=&cd7P&EJK!c zqJ!HYngb28{t#h%Y>}{~;2BqUhs3TvtFVoNi3!}l1$u^dSD1eII7Rty+FpOIp>?Bi z=DjjyRpP$%QOf&FrqlG#V1X&Bns_`ewC}UT(U9c&vT3?Z+52on<85>c?CSg1S=*}X z+TJ1+|1I-O@_Ohd21BXfzL%nn82<>;;S(8(((1OyX&rKlik^J6;^riazaU;R0E@i} zL#l+Ih7@RZ&-L1Wj!&|5H|tHI%8FE)gTHr?i>aZa+v`NBj@eT1l1O@LURK9Lsks&u z=c7jznq`NgUsTZlZJ?~CLsb1oA->Rh^DvglYZt?lWzy1uWQO_lN!pTLdaslgg;;FT zIk_A&;}dkzx*-znMVm%7PaMjB(1_DF&h)mauHvNM5OG?VeGJ7k;Zutfp05x$aN6YTrh-T=_OL8i{<#<$U$KH8ajq}UqoT!D(3=yoGaD9psORTWdGX&>b zGtg!(ZNt1~gLSt^1)S}VZXxU01lz_7x#{>=O)>q2FkTqFW4qm-l$<`5h z6wG%0d=t-2-URkj|3d*KxyOTS+42Hb%`RszwfMoRj#Kavz=u2t+0+SiHBmFrjbIQm zNFyJZG06^1DQF%Uy0jR&`1djDdo0f9{5YfRLk(Ud11aT(ijyDJ?AgcqYbx(S81QWt z_5MVmU-fv*WK<}Q4*cufr!j`*2V|0IUx}22B9$o= zkgOcnlFF90@^1K?F6chrYUUL5SSQl!qb!aO7;%EjTG$bj$G?u3ttw6C%OHeRIQ~d| z&@ePBGJ=khYr4@fe7t0HMP$zX1Cd(T@Q52&4ERW_9H&ss+}1@un`kLFrBA5iwbaTr@;j0pMg0mi@4~iQ_Hy6ygQ^|(IKsNqR%5Ktq`Io(xsVG6K(oM}hW7UtEGd(1qEG5`u@(#XO>;3MWONg+7R;IbMNK=)#TgZ5k{_ftH z5+WSlMCi#dnn=BONaKGOMsK7P>tv#2fc4^ql*0?7MBA7=K-AMCWhohcqdA9W6WCAZ z*VA&TA0`2Nl4$$=UlS#@n_ax$I2XC=JE7~~zJ_}||4kJ4FintSwka7xE(`n;)ctEP zu9$=S8qENk9HY8Ce{R~71BBYLhhnUbP-85?<)Ok_6+6{5W8Y2o;zwy=k&n-G9g1n+Fdz+xkOIB ERuh1)$Ud~W>Xh&X%le%Spu1trJom)mB z&KR=Ez2uCd5TTIk#oW`UwPhX7p>A=XEz`O9R8hwNlPGg#WdEk%XEgRr2>+tr*r_eS z;TOX?=2pDC*`x)TYi+2~Myf(XKXW|wQc7oJM38?wzvsl@TLSb!qr6>wy$QBEfULKA`Ox7ScxT1O8lWK&{bL(?p z_-!CpJC+ktk7*nx@>5Q%nP>fjmzto9Ds^M)cK}p0xGTTaPuPL`@#u13*F&j~mBVw< z;}(X~L}@aE2l!3evX&@&XsROotNPrpJQ8c><3#Zdu=ZCwZ$R6Cm8&a14S`CqFWrT; z^P=oty>(xaYf)6&Jm);N9oDyg9-b3U-)OKYn{i0ky&2C}Ax`Et5opQC<;+_O+#S*2 z$fLD)rv>K0b(^#IGZ3n=if1t?nm_$RWhHT=LtyiyBD2nqyM!@t@6A4J44kj;0C$$J zY;i~?PiUTr@{Zy19679)CFX_y!I`PEr~%jTC2xJYr6Wan6LpVg_%(*#&H|JHEYi_5 zewwnNz}W$j5%nfZ9_qVj?%Ds`@msQ@a%twwEH`nORj|z2vHug1=C_ zx8OcKM2WH|G4JBd_>uW1cnXE?ki;v zmj1QAWM8!rU;8jETE}S;E1M!@=v^lB!;=1~|8-H;=WRiMfJCR(J{imEsl10<1v4Q` z+qU9~0EVRmiUhcLd@rHd4{EXbI)Bgwo%WM`((?c$n#-l^Cv%3zQ?v6Dzebxwgj@YE z^@@<$C%5!2JqO&foH`LnmuKU>jG+O7I5b5xRBxn|0mEtYYBEJr7V)@CBnGTvwm5|; z?mK}wrdrqhEE{eR%;A*{P{&v=*E_5MusWhz+y6Gc(>NQd+|^YGf9)V~s`(n6Q;eFo+^=z4(qNr*t9I(RK{mZv(qs|?WN6yK- z)lw_1HFxKUY7L)KQ4-)%`*v!UJ8n`3+b*LxR}xHD)F5qEO^o4R;a!BfxU)4}R1UfY z+dmF-5S}>kRU^uv&-g(QKW>wB9yHiF5(hoGTYd|5btNndhoc>|5Q?rDdjE~5*atRd z_qllq2#GANv+E}NzEEM7R)}yu=uLE{8L$a@k8>r=f?BsfX?G>*{f`17CopiVYd*9H zhTdc~@62?!t{1Tl3;(bEI}4(X&sDxp{5du7EA}}1YBEGVigt&7`h&PX`2-+Pd$5HV zY^Ox$U+ZUa1YM4U-TN_WQ3zN!bpXztgMSQj5w;8T5lcG^t{f_|Ns5AzHh<~a`F;v?Duk9pB+QbqjS zFCSjGMU_g(h1rrggXZlJfS+(;vMf<26^6qB%7XB*`*k^}q%>$+D#h4;+HQK9c?4`z z&SQNQU(16QDXt#btw^r`xK|MCzzOVPBj&P$FEV4`<^lJf69rA*U5rm2^=0{|JEFW^ z724M5lISyG4e^z5Q@Y&ozqzcD`K;^qX}1Y)vntTf%6&EYy_aBN%b`-rfEpeucck25 z?O_XVcHP_R(!)s7+JuOf)91oiyP@9Z;Z|HNx(XT0gd721cd#~m{H5W8jOY-Uiie5| z<6PKS$!+T)#CNohA)Mb@EX=J0)MDY>|Ewp9tsjby-~2vHlj^h(^Ivl_Uu?n9=&L8h zmK@9z`;KJF$d1XSyI_2kk_4Ef5r2xu+V^=4=f9}LEAG4-#y5G7bGXZrmL6dmrm_XW zW4*)iHljPKX^cQew7eq+jv=9a9<3~6&_lY8UJ)zpfdGoge>rE}jI`fMHWTVk4h(~C zrD$*92a|-FP&KhdM^wL&Pswc%^MA}Wp} zf9^q6Gp>!xBAtp`N;!Ve$i?QP)*g)Z5pIcSb&1^-M)UjL-$<(MN88BD{*)Fe(w4F?Of-(g&++fggHZFOb6HsgP*Js6h!S zQ?}ANN5bsQfb0S&Ra{*w4S0$9&7H`PT)EX2*-J?r1RK6aX5ZT_v!iFW9E?PWN~}oB z{--0*9OYJ~H@=LFCjb7>_(9YMPSkrSEIlwQ;D+87&o$DeX5n-taqkCe^Q-M}yLYD8 zo&FO5=1!(BI>m>wabxwX{!Llnp2iRXuw_tu<3LpL58I3O zz}dNJBT10jqJ^YN4a>H7D&XOih49P`s*O+NwJxhssn}$^Xb|u4)m8rSGnLYn@oZ8@ zR;VNF!)T5iCCI8CE?s_giprf=Yn32SX5lNhUXAd>L;oZaIo>T$Ar$d_Zc1X-@fq!u zI?y`4y@(;;#K{O3$aBy`^1%C(*i-|doab*wH_6Kz5G-2Ck?1#4F(vlS@d_p#R8%^p z3+3KJwV^g~i|js3ie+?=TuOQ94&P8SOl1zxuH1>b+ik{@bjT zpOl~%ZL3~%3fnGUM&TDO`Q3wGRim(RHr}bsPT_7=?sr6HAUdXym9qmausL)5j)pxA z7|vB@*u!nVb1z(vum;H)yvuOzQ`ilu?IGazqkZ8UT?z7wWjVl5d~bQ&a-Tpi+hiKL zA_`OsN+67w?B{x0d-ZG!Ft|i{sb|}z{nf!z=_g07C>mBMC;s@w2tP*A7HEjy7)w38 z%Es{xKfX$hhoj2Ykz_wWvF+%9s-kG*hXZlTQ&0IlC(Z;^3g=NY!(Yc<=I2H@czl5$ zVzBQ~ryJ3hb>NWKMOjS~itz>;$8T>SoQr&>{e_PO;RPkS%D1|=k`E6)1~G&oQrz)Q zGoExbzi8zkS2;f2`(%VEKdT!*(~ELHvdk+Pm_4eIo}_fzlZ-n)_&RzEKqGE>J{9|I zx>Dxz7-P@6v#!j~J!&|c;=AqQzLMDpma7#H%^YF!tFmD^*!Qb&@YT@)phg3j1lkW? z+r=skzNg0*012w3f_t;8I#3VkMY+UCc%G)n_uwJ-0Q)QU5N%1Ip4G-}`NiU4s7v%v zo{eXDtQayGks>)Uj-r$8gRT@bf+9tJi=uIj@Gb{?hpSlBMOG|FS~SUT&Bm==emHU| z=i$gnbzf3Gn+ovVj2n@O1#d=DD?=&jLha`MUVd?6muhd6Ezg{8a$$F=<|?HqKjBv~ zN@&a`MMq$;e%e=?nT=o67Ywfww7tfd$#yd6`vkm2)mL>i^+f$%b>aAn`UMf=jO+^fj1VT3xD{iR?1wciz~N?xC{ zm-!0tJtn=Gp;Y)*PP|`KSY! z*-PP;;7@i{aqdtEXozRK&Oz!JD^#llgJ|eTR?&koFIPs;fwspJixDi>DZOnZjfLLAH^MU4wG@quZ0oV7FmsY4!z6>Hpm`et{c@T`>>5ttZoEFy1}5D zs?8?)4Jqf2Ru0*Avny}=ztf0X)_KBQ-}RX6;+CL7(v&N20Rbk(`2q#EMM-$@CCB9# z=|%az12<|G)VcyO&|hXp!DBw8S)v$J8D^J$K`)EiT%g(rDOX^)ym(v{8w#1= zJOcOSu!lLTUj+YRPtfgdB^6ip`K`qSEs7_yA91?C z_>fC+UuaQR$nDO>;-GvslhK}i-I#%#-<7i#e&&=hKn2)_5SC(`G>oTCPu7*!&`uJB z+mGtcM9i4MRm@hvE4>^Pb8ioKvkZdF(#y?YdM-YKm>_cpz9ODUdwC$`=t)cB>xumI z*dwq?VK@MTuj8@Fe4Cp)#-D<75QZPp>2+q~7&Sgv^+6zQ=C7Mm%1mh0mabdPFTif8F-)zZ7vJLdrt7J+02Xw8C45G1+#i zsD9$izFoDEFCS0PxX^A}~|x+pcO zGJZ&Z<+ue=ud&Z{;7F%pVB?MBq@yJ<1H-@J>dRWhp@WlCcq$v;1MjO;o;7m{&K2BE zs)zV&U4nyY2foCHEp^-@ZQvY4jIBiM8J@JR-Z}(Gptyq-@}pk-bT+?;Eq)#wxP4~? zB)qN3;)ur4bIoAHM2qBnnXM6Let-ksOv(~_h<+4fwx=7-Tn|s3Lt#=~Vb5rk0??wl z6l`gBuyGJ#(C>0g0d=%pP3c85veT4`JDp^#e1#G)o9I%pIYZ=^MkJ`{Kw*$R3D#3; zfQ3*MVY}Yp;H;qt^9e#FBL7VIReNnHqOHLPXcIrEXj_OaYKb2E#j-~t{MM3PUFt?; z7Wk1;@(t--*#2{O*=Z^e}pjq4}0eUXGM`T@E%-q z&Yp^{%9&2tCuS4@MG-|s%vl6fP7o!in81KpF`kjrQ%vU>&zy6{{2iE1JjI-|qRdzS z?pHHC({K0IJwSw>-*4Z(H=U}htE#K3tEJREolXI}gQ$sosBl1T z*in{UPLnLfcrj(O3tp#mH?Kdt{r^>kW($5s()mL zEh(D~%B_+ok$BAFJzI6)d0PkN38^;y5cNO@Wj)2An1|%Np45}bqNjn7pxspVo&f~- zu4YbazvvV|pc}K#Bq_S;G2b*0S68Mb_cYMf+O&)-6Jb?H zXp-z!m}Ch^bidPAuzLXyDZ~Cb8x%}OY|@;o47-eU(9Dg#ufVrehTWBk;}ELHNpp!~ zcCtJBw%13}i!%k51o4jwPVh!cz4OrJ&mk)vWvRgFY=496#F^SfFt8y`B+U-xUch~p z6s;1fyqCug<=u?^w|wYxl~iaa`psx-Za!4#-J;mgyb|MN)-@WC^$+SR*)ktt+A{?} z*sNjS1K~U;OY!7i;276m7FjDPHg=XSr=96NZVBw|5rN2xuNxsziOk?UXE@lnh=MVi zS{GwAioV9ectNSqN`T2ofbPs5#HkXoQW>f^91esFFer*csn5x%bE(k!$+D$GyhZ55 z8YK6wlcaj&H|7Yy03?gv(-B`Mqi^_+Meh@|b)1jhev01R=+_eDQlSSJRtI@>2z}#M!>BpDhpK`KzfKWYPsE(G=2x19Gh^D&A2bk&J=@u)qvfqu-> ziFzR5(tPFUgCjbLiL{qR6Zw*P=DrZlGdubOm;>6m_$3Q}PD2Vvxix z+D0kr(@6qC2YR8hH}m^Cn#x|P6EJWXe*EPX8iaS;^Dd*jD1^_EZCth3%Cv2XeQbo8 z1w_Kf8}~V`BEC&dgPk8~@(V?k^`tgO^VNFgA1iDo`)p9e6+k>^m>tlTS&@Qyi}Y0x z8M7f$IBU+KXvC`Bt{Mh<6C|Ji*i!WX_dFHq^OZnoh28~Hv)Pd;-G|UlqvBH`$KDwS zbVHP-O$CQmtGo$Bj6wn^4qs42X$#hO4mGtQ1!kV-k&+@)M;LolOUQICEtLQPF}Mt2 zkt7~>WobdL1w*{ehMNvF$Qk;DJ0DlMQx}i-VY7RZ4`M7a1+1f`HUT(1F>Dw{_EUgD zAu;Bk*uIFQ#h8r~B-o7%pe#NXf5lh&D@z*2I^Fr?wKiP$H5ID( z+C_zf1?&<@%1$Butf&|RF^Pk>i#zxJDCekY#h1iO8E&>@2}6WNeCJy0=EA;=;vI}9 zrYcI!A(*$N_6Od%!Tja#oMexoy`}2GMUs+yCM~CW7-|tMbpi6O2W=6PkmKkOL+N1{ z*&r9e?)d%)2>S|no&6|EJt{b~BIS8ubJGu3Q++xr4sRFYH{ZxdrkD+oL9zPA!SqYe zn{NimmY;h@!OM@q%UoQ>R$ND|c{D4jvCtuL;Ls|?L%==D1I8bii8yV_f`1hy(c|hQ zi#@Xa{>Z*I49HQ^6U$%sL+;no7E%pAqB9hXcYttlKH7KaTp^$>r|&JNvb~Bqns5O$EkaMUY1z{0dEq+^^D5@|hdOUX8gWhvf8wJw z$(9~TznC4Ce4tX9M+U{t4tK!j=Lmo)4#R{I6lX*?JA4jXt}K5AE0!Hf=E6kGU1{3C z!|ZbGeRjKBVVnB{!y``D##)v*l*bFZk?{v`xDF7ahqB0G)n;|Jtr+DteE5%*3;$%O z-tfmOn;r7JN;dMwkp_U^C%Sxh`<*IlZNGEA417cy zO~m(mxZN8iP}F$Ap+(eDkc|Wk$%Q#hSVY;jUW9DyH~EmM&07ZQTl+tgHu+m!2Fjr- zZ*6YVb;WT*DD_xLaXH1BOp!b%(xscg{K^mRRS{pJ+(&wK@(>HeUK%9|Q{_>uaA)aY zGF1AJ;twGDP33YW(QiaBriX!z)~oGjp`qhSM_T7bdvn#~cg^8@hJ`Ls8f1hW82_3i z70N00Tul{Dar1I-XoJm#6vfOQg;0^w6WX{3MCoGWLZw~_kX5A^R7Y;SkR25F93aBn zjT~NZsB{CQUsmW|nkGXLiqlLfENX zzc$?9%)nh^!$4i}VdB7wm3al{$xPWeyI!w@G77kdFeq%968N1siI(*aM*BJq951%W zayG91{fhZ7p!vhWA@OZid2G$fAEZKyc@DI&5ih>q5_y^{5;7w^?wiR}(E2H{D z)7G-f`EOPMWV1dn!y%>x1&4Nsv@7|Ka|9b12EGu&wknq9%U2wNCns$N1NZ5Cs1M8* zDsBD@+!ELm$69wyZD#sd0XiEYN!c|nGO@a673zAIDd5{PH?;BDvPe+l7^-*pz%hvf zHs1;umaw0KY$Uw>h!7jHr8V3vBeRDMrnJGqUp3$zVM`rETMr`nbgsGy+pe#XHcs{iAjg(XRNYqg|@5&;2#m{09Qh1htU;vt>@YXOHpsv zNpHt6$_i_yvmKt1jmoI2WW215(!8>JTfN@;O2tMy2wP0tvbw2Wc+^d0)N>HF-O6LU zh<%V5xNer3&7Xvb(lLv-uUvg{m&)Esy%IxVxcL*(2tNlrB$n3&xCP^82t>A>E2|&0 ziH){uX=82Bdz&CKWkJjoATBTtQO}@?T!dr`1ktLB?YcV_HuCe;+*RA^1Fw#SEAa|O+6c|N*`WszY?0AvwP`yj&w_|`8Bcw%Z&+!w5fxrQ zgG#;Poa3JFs7t(Jtq*5|EVLSCR|su}e;^&Pv!NALgc>ZdT>yX4s@=YqzrG4T?UXoYZvsBPV@=#@YuBa+ML0YSD12?{mXYDC92={aLj=A^j$Fj{%|FxqtO?hMv^1! zH)M`ChckN0UsA|%rFBE1;a*`iv}*^DT9O)=k;kg45@*5@)+hd6J?xlK^=V?q?Vm z@O3QV@W!k5tQ>#t4!P&dFt~lK88D@X%**6qU& zw&?0ow6FncRgrN_cvsP2AhB0L->{Y?TfX{{QJ!f>g_@KJ2Yq2OV!K`E^3FQ<1?-#qz}^@j_Vfqye+(s3I_mh$SimGEk-b5dHH0<a7f{%3 z-%9v1Sy6Ik6h#vjHFcoR9^B^@Pe-Z8%EKkl9CpZ# z#-+@qYJs^)`RiRKG8iV?}Y)T%eU zh!X5Hz1~7U_8MrT6?PJV2BhW>f-&4Uk~C|_ z8?lW4{OQ`SMSyM@8KQ(4mL<~=-3*!snx24!(D`e96%Knt)5G|XLk5*c&)cH1ioj$c zloRo?UB!n=0BOLH=s{3|+|VTp)#`pFFRV}C%dq)n%sKMLJ~hy*Nf}e?i~Rq&f~eWr zyd}v({RbR&oqeY=$=D`qRjVvU}E7E z5_gSR@zqnPF1Z;_A*d&w!7B?)R^fb0fOi9|ZDsooLb$TnfTFhT+s;}lvXcwLgfWua z5S84ybj|7`D=R4u*9fK?0nZVe_`}JmXXX?owhV_ag2Ryle1VYiA0NYd)sC7Ar9z9t zF7n0YQOTD1IovBu?FzstT+e(|hF-15Ed9gU_N>_zVG^cb=H;sdhgRrqmXRpIqS

^u_ti*=SW+(bR5X*}J zruz0QuF_^@p&N5Q!b}$<)~AHLUm{??Kq%@`T4Hr&NF#?lmH%vILkL=5YF<2o9PbJ6 zL`>pVqs3(et2ZVK-0!bk1FC!U^YNxZ|J(md6ajv2emq}st5H;i-c7X5^8;-D3Pn{v?5A{iEI728WGF3(rYgRU&NzW-4R--mh-`lM zfqN0_uL%l9o~C3YXSd3aZXprYFIU& zlh7)eN(myGE4M$>Z-S#wnCG;d*uIyy22XIo{Am@gnqVe^IL(}p;N5%>IG6PoXtU0E zd0Qk=>Nc4j?4aaHIJ)8qe@Fogo6b2HMCGx<=C;&FjN+ldvc1HPA~Xk4>#cBDj8Qxp zFt`#IY&@VOdL0D_yq7hak?0N-7{`Ymu)R^n%aZ6^$obFZS4K9A=y-hxhc5`PBeA(O zfpjbICI-5zvoSbH*=2v2qbCJfZ19;qzL7?8uJN-$7 z`Ia=u`U~kcJnKgGg~MdrV=c=H_gn%5qxo3qg3lT_>zmLp&lfUkiEOsAc-d-jvjDg0JAOU#I=57M6bs;W65;Jc+nHm`vs1rza2lvS#AW zC9F%C-g`MXJPQIN(lsGs2>ED9bWetAE{6JQxzvVh$Z+l|QIzsmd)4&blUXm>T;R30 z$`ZojWQA}^Cf_>|1H=`>Lf5mvdbS5R;z3bQN)?vBHWth#uR|ML=Sqc7YFF=vA^!+% z;L?nLYA40KW|3&)oOcvok<13Wlyo_HhYDp6*7|;P=+#PqrzT1Hz3ZefHc^6Z|5Mn( zf+5y;xqv{@4VER^B7(OqC7x-+4F^nREAyn{UFxmDhJ__r^<*2v-~EzTm!cAFEf^I~ zjFYWp>0eTXZL14)-9oK8r${Mh->75$TA4xPAQY}0ie2-w%mrpVgNBG66fT&UOJs~<13*#gk6>>aa$w~qbBOESQ!ggi(L;`R|z*{!|yA(+NK`C784}D^%%4$-NW%C`SK)OAp6bvC* zC!>}Y!ZzceUIM+~PkgtI@*BLot5J*j z4uU9qmy0zzu_$J^$Z!5llHHVJvl?DTT39FEGnh`klUz0%uU`{VcB?WdXzOA=v=kLuFfN7b`tm_|7_={u zLuFyErNmRhmCD;9Dk(~Pe}ynl(o&-Kmm$Or$4YD^fV`CQ*LoqRurYpRkB$vP^4$6j zv-9%fzH8Vh#60nqx!rgX!F(Q0%@$<-C*Ie7qQQQ&g1nM&D{|0V;7xRGo<$3P96EeL zdMOb#kFZgi-wP3?wDF%1c(&mX5PvEp4TW96AHm8p`=JHyRM-XQIPS52Sf_wX7)2(Z z1b;Rhp)-}Z52H9qX7h|IGnM!vO09$_)hS?gCG34E^(s?9qj{dY^p1SH-bfZEJd70(kUdCF#J4jr|x!E8%~$D z=B2GOer?V4?YeLr6&7k*5Ak^nd z!J2JLwur>dvwMl@E z!!`AF)m9&cm~6BkAmmg_hWQQYau13s=4X-GE#}2oEhac{tkf%Z0QW2n&7UVUpWW{u zJuN>(fM6x?nCjTeqDU_VHZUF&*Xc5;-HNYWrGx1%^iqX`e0O5`M1VVu4~#QxjuT7B z>KjW_p#+c5A^e*{_%6gGXNBeNIN5ask2e+|oSSsf0j%uZQpqOo0%x)~y0VPiyk@U2 z?3yXk+?4m@>=#=nC|dyeobJISRWpe@)FiT3xzr;}C)d_2)looAc51xT3aoCl!g*zZ zVA==>Us2#qRySPl!wLsy#L^?u#@;8jn)WMCX9r=I6EDmAwH|U|6Cezd?39GdSe7)2 z!Q-(>6ZlrUlqh$NBqe(Ryq2mXACE<^xT54>EN!&{NqPkFBtfEbXV>1^<<`*ICW5Fe zE>=G!01II&`Aj)Yh|U3tT2lWrb`B+qQMFbS~9W^XsDc&kV|Hf{lb@ zMua=prp%Sd){iO6oiKPGbr=4!5X$X8V)cOLmA?d92ZmflAwi&^sHcyc-ELU_L-`9F zCI4nnRQq~gWv^TfmZncqRO9Jo4#RVRzV-Ncde~l-V|VLO$z1q$pqZa2r4`<*6^gT+ zoEigoT_B8C_4`dU^Y+kJhrb_MVR{p>>FiN*RsC=?RthD1u6w+7Xk-+q#XW2D3q*a| z?{F?y^c0v!1;Q+6dl6{)pxCn6geW-72gN4&X3UboL@n)y2@XpO1f9Q@QWCAgDF1ZR zM7GPz4@*F-2d#wwN^No89K$$Tic|H$>=}?KIvXc4?o-)&1c(^O2gHV9{0=E)Wv>XL z;bs=FpR^$br|O zl&=XGrBTLDPb6t>XkC|njRF>KHYQGmaFwHzrvUz7n22Q{!C0jUM;pDRfRToCMY>LY zSdnrm#ou9I)mL9ak22+>97m)vVE&`C_g+pJQWC)m!)!NPM1T<67-b@ zX^V+|S>@#wXl4s)R79Pjh&qwFzJaF{QL75E*;T+WdYdw_u-(TYl@=~@0F#FE(&;I+atwZhk7bDVQC;lMQk z7D5WSXZ{uYRPaz2P0o?%7WB)e`G~TmZl_t=Qe690Yos!p00G$UPq4e z#Heg%1o4HtT*CRv_fJ{Ba;0LvD`0T3K#F@2TP+HO*+^cRL7*RV1p7B56&p4(gYAYY z?b)hLE*l>1dZ>16*=lmnb(rSmiUg5-asm`~xK+Tg!0#ZvNVlPLHynD5onbRDB*f4N zGZ-+rpNQiLc&b=^<0Z!HbYz&);q6-NKFIxg+EO~)R+EO{r!MPC2~)V^&vGN`XSur| z2NKN_)GAk6R3zj`Wk~_7G$EdL^FVw3?jr)2rHy^*m+c~=i_lV;ugFF8%O;`rFv=68 z%T_p8^>PRFUTgq(Ajyb3Gt0Y7U480gyi^Ckh+*fHm+6<7olVMlBF;;TX~=Qq>S%HY zT6U@WW1pp6wh){E31b6bt@p1&(%}pmwiJkM0TiL)Lm*bMEaj2A>gn>kP#kx{eDw1s z`92X|aOojXFUvsIv1{wXXDNV_TLy+3EFv7{y+o7p_PCpykWV*;_IU&Y8z*NTbbA}S9YdK%wFnE(F=Ajt9Hm|E1k!CT0>0s3z&S)a$|r%@J^3I?=(%r>%(axm zz~SY5a7d1j8E>m(t2QbBeBev-7o*ISy_^7VyL>ccVT&p+cT$EuHiJ+$39V!8a0NbZ z3m1MOTya6L1^yGMIr&&V>=i%URQida*UH{SFb^%tj*qtWnpP-BPDYsBAuEBg{=}lhi~Jg~GOYtKDE7$k{@zezTbk1$CroF-kb zW|FuNndBv~p%rH66@siz6PnZ@4O<-kUxs-q<>{gttozBQdPj^@;gBck8uoG(4tbKE zDSlan!|RCMb&&IGyuX}1Q%o#wJ7vq5$}wtz#a{Kxb%~-jId<4V1|?lzl#0ykEDJyP z97}JXKx5LQD~p9-AZ-wOe^;TGLx|TR4ydOJHmuOgle9w7y4}+b2=)eeoF<5!zPx~I zDe5^OxD4Q_@Vt3?S7d~o+9Po&MkMw0TP1+diBl?Gk#0E+nC(7xVWc4^=Ox^yTCw#kepb928}TeUu$+ssEsu=`9}j3pOm~_drWo2E~knavbL6))}iT z4MoswFV$z5e_t`*7T^vPv1E;D%$3KWM)0fxj(&m3K-9OVe$XHmUiZM%$!Sao92mmr zf1HA0qt@RB4)M3;F7V8ibfZibXsOe6C=ZkZuGJ6j|FcyOHrIvE#bDkxtJt_x!RX6e z`6cN@s$-;a_RXL9Nxy#3>NfT)m$TcsQs9<5;+ZQkv2bGNQTbuXW(ZPpUgk<=hrP7I z%Y?L&jbL+)bE~pk2wZsieKN8uf@5uVJGlKK!07*yf{`Z?`iAQgvn`1{Nxz*dLvFVuc7tNat3aD&Lq8r3U7~K@M`@ea| zHwY@+mc&1@QPxe9TbPe|S$4L@szt}LHg z;cZ%Bod`$(z4*tos%mRzuB{@>z9#&>XtjMXo zCyRi<85k17Zn)V~#>)W4HY>f_@Qi|SuzWEcd|@sIboY#+z$1uKU7KfMRCCC`ahvU7 zPu|_EVB|@7i{YA(f#D@d%+Iqg8s9KZ!N`+zl(R+gyBW>vqIf@TYiXpUEy{HkI9y$< zh{`MESThlgTZc(N$ZDzvgdhqC!B4)+xjC>X**sKW^E-h>5%r})n1g}pO{H0^k30$8 zrodl$62Vd7FR`yWFvJ6Sg!usiQ83<8FdkyyUWW>FuFQl(ADDl2r~tA-&f5rJw6jo# zUanWeDxG2Daq5yt*dr|207h@w$+Fn^i*zs@OfR*qT}3S0J^Hh0>kdA!zY?NTa=AVF zIiR!UX;9bZb&_Ofy}6<6m8o^DJ&j$baJC*450}|JDM$ zE%j+eqB>p^b-cutN6wP*ftdwX&w^6ki}JdX)RsUt=)M0|;1>b7^8_0y;R8Wd2K;9k zgbK%rB19}03rQ)*DFm?DVeZcwW|eijePn?kJ3fpuQyXClzSH93wkiRRXpjJ6obk!p zT14`s=SxwA%M4LO$tffOUV51Zr4kzLofVNES*dXdnz#4}O1%Sc@AIKgR?1fOF0X@9 z`0plbQ=vWs6~gzjK?zFPyt6~1TIyx#q{X3q@gqf*4mVU$sd?S8CgLpB@D?K&6_Fqs zLgBzr4n28tKEvh}Ub*GR4NDv|uHj-C%3KiHbaj&7D9^3mMy>o@!~PGw(y&J;^oGqf zt94nCptz1Aw2U#tfy2DGuF^)H#3mQV-nP^Q!e@}pNk}6uWMMOCFM-f9>_SW&hoN+6 zTg!)t!o?Vgvz(}oCEp$nY;sb)v(*043IaoG*mxd36!T(;b`Qgpe8VUsdzrQ`(k_#f zUIU5tS!~lvzsFaubksrZ0f~-~IxG_rpCuD|Hp@c@2Q+0)SluJtv6$bRMjwDRReZ_s z5f*qckq_sRbJ3Q?=av&0)bn`}!MLrmtaw?3gSEj*mg=MZ+D7}O32p(7A){;lO26Ta zN%&S-Ji6yt#$yLW%HOVRsLVd+7L|QeEFaByT!$3pItGqIJSxK6qSuyP37TK^$37K_ zNyv7v_q+&pCX*Mr!sgMl<5prFg3*Ck{ zMve8dz5H#p)hpX84z;u~nA5SSZdSmdO(#Gfn+q5yO3#A*7PPj?8aPya1Apuin=5TR zu8T)Jl+18Cr^iXM$#*x_Jjc+vF$?WmAx8EZg1UT^EkIhThAM)w8Y$38fuDrf-56eH zWrI~Z1AbO${(c#vj8pDvoB(7L+}b=xiMo=?)aKZs4P$T9waXbhya5T?BwZn9Y@S!h z=|wISkr0CAhbg-Ne4ats{0;?v)eH=!L&@ye{9hRuxJ_|9{51i-gkGKK#V^M_uP{{0 zGiaECIeAy7NiTmV(!^?TtPnXAE$dVF>;h&}Y0x^7;VqVNspkXLk&6McLHUUS z6Zk-N#7{5lSG8XG7xXJn(tfp;F57;sg%$WTP@?@BsSD<3S@aG8C3=Z@(HBR&`bSvr zzKwT%J)hbh18zo0Hl9ARmjawA`7F)Lv9i=y$3Wc+=&iiGA;h)^AF5-2qSNS6tmwA^ z$Dd!lT?L;};)+-k!EwUj>CLbMu}m?iBW-fa(kb*yO*#UT(?!?8I>2?WDgJDjf`5`E zJGDt9YdJ}RoL3`;nBB{dnsmm>)Mj@Ot`OiHO}=*LewDRP-=lJ72l({8-6|I;@HvDE{6BS2=7(&E<(WY5jG07Ma?h8L&E4!~ zV0GjK4}=p1!3pbf?i`ir-5pW9 zoQY#&CJwf_3uj?&huBsFB%H39o5il>M)?{lTp6;_eq|fha<){AY4i(^aYp)ICMz)M=JXVwOz$%fR}aP8)m^S9Wr(oTf~h0-=;JotmZR3_eWv87(ycvnfY=Ma-_n_nQ-o=fua zngkOaLFcYM;{vfqjn2bn?kqS=<^hqH&3(R{QolH-D7lVnc=`>9{3y9V3?^QMwn6)G z*Z~(*?pu7cJXd%GX01JM*#apHGmD-Qz4B+Oc>AFm|96o)Mgv zRsmpE1B~k^#i`Z~OP*Nyy9}jm%R+DxIMz`{b+n`GfTPRov~xGi){;~jFD>;1CozhT z98Z+3%w-zZ6sx0k7|xUBI7!1Blg>pKbz~DJod;32$=}O2rHy-)0PbKCVkd_KfL4lT zhswJlOWiv}fUgsX|Dpq_gr4Wk#Bd!|=J@vWGczG_6JU8%a(s$sxjl8`Y z939FiD;V=B9p-IeF+-o9EllFZVf=0Ws9f-AAsv@6`J%18OjoRbtt7m$L89m+@B(A2k!rZHY@=gK;It$LMKjHs?uFDiVB@x&s+iUXfO+tv;Ue0;+*csj`1%97|7G&6(` z_4{h#V18E0*25KunUC31+Lle)Fw+s_XR(w`*S4N%ykFq3rPC*7aD=R;btz zx^^?{xnN@yA8g#=eI&;rodN^-BnN|IWrs|;mALuUQD)+R7BgF=ZN`4EASUAa($dMC z&219Lr#N6M(d`}PMaYjZB4LETs_{kVSLz+X#P9-ZT;l3;)aWfYBjha^bIeNamVp|c z7_#qReI40DEcq-Eo{Rf9xFFanfq9jRD4~cldUX%+BPo?jHd|DI|3ZP6LuV3=pAQW` z{;4KCiJbqCkB;yBY;Rx9wsmqaxR#mY5ZNK+t|);xD%z`=@h9Fu7OGB|*NHOppp;Ft zRCdgBUq*2&RA#pOIHoA9T=oeh39sNebWn0Iv|kUaR+%SZC1!(WE}8W#dRdmb0m4em zLCS1)$j6O9fCL~$owIcv13&{|^YO#?vHrbi@ODtP0{F9FJ#Fjw(7=U0Qz&2`Tk z85np}p+0V_^YVj}q^&z>i-X>T&Rj`xD2^`ThQ)_gI9b#l+pjwXp5#$V;hg-&m;Eba zg*GgNo5KzpQcB522HCARazr87_(RU?v{FrF0lv&S^XI$Lc`2<>aOmdBEr8%U7#5+& zBB7^_*X=;C1K85>dO*kPMW(K|_)wpvg;X=QuD=gMl~SsLuX#3J^}xZPC73=gf7KhW zpK0qX(s9!!&^)SIK4p((9?-UaF7;PRaW>E%9#$|?fZLSm2;Go7K z?eboOnBm&CRhoYjQFt)u|7nBn6^^jJ$9jinBbvDZ9AeZJBXZ>$9m~_mGn@~w&Mh8m z*Hv3xS_smPvbp4^W$h8*%;xZ(WJz8EOjh(G$G+CEjab@Q(YM-3=ps#ME9lpobSV|g zR~s-!VtF|Tvp4ou^g)Y%({?ZISDy6nPG2@~^W`%NVV*>EVb+!#hDls_gc&XaJ}-qK z2!lyC1^y!7zMqi^fZrFmH>S(_EU3Uwpuj9rG-2Nc;4?u~Pk~<`w&ma0qJoK5Vxg2D zwlNRiXdN3|&aR~1kqVtm{gPk`};Pf^#a zHggyhwK+490zW`8e-adRCo|Ea^KVt*b`rUv-aYrz+b6*mTZ<=mS-+CSF`Fo4lZr-^ zCd8`bz~KwRl~z>VCn?FJu;$egJcQtlef5}3KdCppfZ1LY_6m_ZZd6`g7tHU^Y>#^< zhlXgu!Xe&$60ieoEkDjB)hRZ_)yAPL4Tf?Nu(28+`phlh+V7ubm{B*8sTiEJ@)7=O6EU5lGFvT!uof-wv1)z1d9J4Cp*q*Oo~EE2>t z=-T=e4!@A0Bp4t*(h@+!J(C!MgJD>>l2ZRx^ezDe-+`^MANR9o&FU4so3pGqi}7N& zXW-vE*iu$T-3p~0PRs1V5ViJJ6|i+uVfrl-=q0)6(Ul^-?i;mdPTg@za68GwGzYc+r?AsTiL;DTU zh2d@`CD8`B&L$xX@q>9^lky5TJY+MwpR%a%hTYbAAI!4>nAFdAOobclo|T?hyXYT~ zt*gsWrz{YFkfR5AUG^Jsxba)cBB18!=o-Y-C6rs(zzFf&gr%a(IuhQoAF4uDAP%!vgC@g?WT z-18oF^<+FP4uzNJk~gI(n_V-aPXbaYniiLra<*c$^fcesyH>zR6pZy1jJcqwt};aF z(qF%APv7sYU<|91s{aW6a@OJ2-4?5i6JEA-cobv*F<{aTa{d`XZ)xAbb;cN~DLqIV z-1wK)_scUVpYx%E@|+IJziDehKJ=l>jXX%fMv_7UOqQ_*gqkKJ*;s|DvujrGzhipu zMgCR9Mq?F9N@GbMXwt#Vg*}*w^vT*9#awt3Gm$=7lD^3QH<1*|p{$r(q2(ZC%hQr91Z`w1#8MIYnZYyNCiPX!Zz2roz*Vk1UNL`P zCVRCTDmL`D_rD2j%LflC-yndMK0`CFjQ zwmz|CNqKJlmVu!!^8ZbgY9gsFub})bgZ4kn@rBgSv|r0G#}|^Cs4(NNLIL|D6JJ|{ z*&}@Po~Q(H3(C+)K9m6VRRRbrw1-*3*Su*$=zXO93QHCdP)MJaNg3t~5ZE8n5{#C$ z)dcJ5wt3hsbDa{vMd)O|KpRQ`>nj25i%vF+uPtZP`vwIr^e3ro%Kn_zHuamV(;sUy z$1m^CUrmrr6BB(CrNm^~*dv0Z$W?j935_RZ{mOIex0e-%`XZmdmR20*N%~Fnvc|w@ zqF)*2wVM2YhTi;@erp1U0!3qBG=ZolNOVzcH2mMh#L)ycnrQ2-#({65)U-@$f-9Q< zV|87O+`VN~9ZeH1n&1*3cyJF8EVysn-QC@S2X}(IZ5)ETy9Rf6cL?sXH+J^>z27RtF!$bblI(zS}50}l}B+f+UD@ZEbF$)7 zLKFQJQ{FGh58Z4^+x9633FkKhKN%ojn$0A@^}oaqP|3356PBRJa3e6(UGs)f)K@B- z{)me@WIH5@F1nGSc3p-LR{q7Ovd#W83-6yE_5fUud^B%S?*OAzJt z`ffeaP$*?|CYm+gUwBVwSW=TH)fS=YgD-@BWz18Yyv6|F3sSl^-lzz9*^% z@vMMkY8MMi8D^M|q{hP3jS@r?6-&PsP##1onqRS>&?V`-ic-STNl#$$nEqJaD@aM{ z=dJqFHKVu85gZ2q>}fQJC4dSCA`F?;v>L=>0l&Q+Zcqtq9rG1`923TGmrZPPPpX3I zedb`+PRfETb2I5IzSylqdt!dR^{~#%8Xt zBtj8JM!nvG*_~AKC5%#>T)BM#2mLaSb$dQqFoUxIb7ae_Y)8ZAN^a*b`;W5Zzn{Gq20 z%C~pn8%!@inyx|biC~Xvi1BRUTQ$PfB8&Meky<;>)~{_;`=clLO}5*POz%x>4KHU1 zG}>%*+9|}=Moxw{2@p;<$|^WNit?#r65Nv-Lz58nMxjRO4{w$qe_W$?3adL0;DOhT zzz2UN-6ObF5$D4>tJEM1mLP5uGTW?PRr`UCATuxXnWLlZk3uP_x(~Uc!sqSt$$Yz0 zH8?8Lvqi~~>SV)_mKvycRAnayhYFF_`b6xewiEav%+@iBYm83`vbyL{tB;E9minkT z-8N0wbY3Bz-zKRExxb=DnT2Kug1-O|ijl&*s0qzheoyvJga$He&CsFN?($I8QGeu# zIdD?TOYSs~3^3JM{jh2H05wDnYFHRsiWpttJN>gkO9CPGZk(Mgr4{FZI1|iS_lKZp$07nYd|pQ2Y@yo6 zpwk?#*B()O+G~+NHLtx}aD1B;uf{zI(l6ckui7bXZBMW18qfFWu-SV-m?pOV=Ebd? zMmSyZKbbr&rB0(kY4RVLSTTtte%WG-Is)?WfFv9hjxH-op*LIwmS_$%8k8%q)qB`V z5@cfbP@x2u3x+6fYlwN$9iQ@=+~1$H)qUvTrI01;Nn-DZxZDcP3)~_Yo_necAeF4*t-(GMX4m7sIEbX-B_P9jZ8)(Pi1$i6n*6J6b1RQ$TdiQQ|B3JN`yY2$0R_4~sJ^VbYDgEu(NpL^(Hqo^)pyN2>^+c)p4 z@^HeC&bDI5F%{s?1_hmHj<@2p4Lf<>&s1K+^ADW{K`T1|&n5ct!&~SM#=jA#)l46= z%XPK<&KW_kSE~CA!yJ{y z{CB$PEwmnZ{Bo&nmB|}4&OBZEaH@7to#f%c56pb7_5Td(J`6%^K=KH9Dl2H~@*ZwzNc<~rNJ<{Q{)0@6Q#v!sTG>J45TsvIu zE|yq-Ba7uD`n41m@6h$)I)8TN9Ql3Vm|av`FqimfTPrYH#c`kEyB2?c0};Zs*~ro zS#+QHnV1wgesdPXHqe8Svx7G6|)m%g>*!n^E&%qYt@&^Z*8B9+p>6|5P%X( zSh+X9Z8x}3Lys$fA1%e3Hx|ETHh&9-xahEs-mY%RACN!pz##ZPaX^C~OZk37J$T{2 z#+_WZ^l9v)Y47;5@Ql<~AaaHCJw&1=W4bC%!VtiJ7prJILU61U$aAWg*IzX-hfX`N z(;2Z1y`j{+6a>Vz)5zW;<=K{YLl1J*6u!3U`8QL72@|LJ8(@QnV|>&s#@fd#y;EZu zX=FcU2=}^6)cL%psHUpS^WXWaq*cHSs`gkm;&7eFmKt_so0SmfCN-r;FOkqL5O|bS z5k1pXB#S(s4tHLq6^;6AqNOg8bjYtRQK?TR-C9+*U+fy$QRncC}6p zA1TlCth2ZTMG6|p?Y^K zw#wK9;NCATDB(u4-^J0BsnLDlO;)+d@>-G>i_CbPOHpnkHC9ven(#-2xHO$r5x%6TfJ*Zj3p(CPb? zmw-NIZ-usu@jAYH<%mQW>pQ$Y*~>T4`9{c3Ljaju!F51b9Lk8g)L398 zHrkJv<;vpGc{|Hj{E#u;o zIB}YfC6D$ou^;%|WcaDM-NDx*GfGiDkAsLp9EiMFHm*(T&-|y?=OgY$yOvo}-V)Ao z7M$be&X?76GEeNMC1GQcZO4ZPk8_}A_^%qPNXk}+D&eG0&$i!KHR}L&=&ODN+}r^D z6a?&#WlUfl_+&P9a)?(0d-S0Do z!g10FI1z4b=`>arO_&oMSDJF4IKMLc`Kwl+y1A-{?A`HOR;FJzyOq2@bV0otgH*OW zh7M$r>(`1_)qmEMB|gAm!XH7mvW^(bpV)}M)fjPv}WY9iqmZkEOk;YtO24_&gTP3o09cRFL4DqwNF4d0z$JV!Mc#Rl! z`7~sNSkvT6yOI8#>`Q;eb;PV3?#I5x)PhvJ6z{tyq~8=WsYAS3%0Sw~$K1;3KX69e zaoyD?iuyu2XhA40DBtuXuzoB5T5p$Z+3>>Z{g!)!)_t&mwpvgZiF#oCSIK!6N17M- z*|scQ-%lK53kVeA#{Uimuhxme-N6lTAh0`f-3=%fX$$@SG%SklxjCod8CTaBcI(H~BQC|iU4vZTSN8jCp{XL` zSdG?zd1Fi~MmpMo&8tes0w@C?64d)GLN9002qQ#+&y^LM&K?b5om9HiCAhBvqK6#M}1-_=p#O@NQ#FFk|LJjaDi3E%ncydWFn zbZ74EWTaTN3V~ZpMo#gg4w}f1sk0An#G5{I-U;a+MBbp|Md*LDcGb)l`bW<|vTlFk zZPB;(^PJ;OY2Ff8XidPoU{e$RE1X@Ib5rYNmhCc`ivBYstE7zvb=;f^fWRwW=tX-P zEv)K`-1E>;(~MGBm$P55d)RDR`8`CpAg3 zjCd_k*vE%RV)cWwOC{i)+ChMU#R@ zFU^{ZZSuINiE9!GOq7lFZVjg4+1cJzXB_H6gqg6YYgT!F^y5I5=|ibV0U=btX8{Zz z+i9h@v|0h!^dn)MrmOeLur>n14e1$wR8DA#4cf9Pcd_|Smt!M9%G*v;2y(1f$+XfF`YJjZZ|3m_p0yzE_nY^u$W)u|{vb8r3QYWOM98Wt;;rQ^=kPqR`%&JI= z48l%#>oEynp6%YTpr(GnUf-S3Cjhqzp7TVR-E*JP4QyeZPmX=5nm_^1HbqKpk?{_6 z3t?R_7anQiYt@{8h&}amzs6KYe(!Q~u1@N%p~Xz}E)cbako<@xX`M(25(uLfy%znK zh&XgS5sRwm&UQYzF@-S&5_}FEIR`mM-eKH~plRqQ>>H~8utTHZ$azgm!g_lo#QMei z#j#KUDE}#Mf-FB^7!~i^zRbUDJQUHN!;0v?f?^}WqQjzT2)*&cmLZh>UrEEjf7vYf zVP|o_$&uhmu+a(736!{=*gpS*i2c8k;+Xzro3cSZONfX;xO#hv1O7o&!u_wLU*6&W z!0r8SwelRUlpv@eEfu5y{U1cm|4RD5q5)rgmyI|AK%s1MHm@^TR0o${QaLz&4NI98 z%IT=^jE@Zoey-kGkT7=Z(_muJgMqOuHK-imJ$4JW2W@6{=;KvyLVZf7dfpQ4)FOVJ z&Fr`fJhJQQTdT^r7$7WU99|=tHIBadhUi394_iGxWz?f?RAtbsThB?Sfe?&%Dm|ME zF36N=(+H8#hh4bM3qu5{I(IUhS8Oj;|b3Dmp_zW$}GtEX{WWC{r!qe4ffj_ zxwJpHBmh0PB{ji`Y{&qbwz}+z(36w15lHEf;HrjhWk}N;_gUN!x4;#5}f4Y30@6IWx;8qHJ zo>A~--QpVJ`G+w{U!>o4kH%lKeJ?or3lU7nkQ~EhDa1%L6R{Io4p3Bb* zEAE!ubim zL5%1t%L(wi_;L6R%czVb`REu86SC;%`>_%Oa~Sk<;0#WtXuIcnzMxtO)u&zGDMN$E zvR`?Y-7q42Gro9`>%MpwmVE?{{KQLp(>-jOvGrX>CUz1ak_uOEzj(kq1N0k*+@iyk z-R*5ip!ta2To=$CKdBr%|{e4=KFn{$zi@`XFOFYKc#{=(I+IE4I z6##RRCSIw?PdrV3up|YPTl523nzzqZW^AbXp+sycUKkz)3*v8vhB-zuwGN%dy;jPJ zu&h{w+%*?ks4Lho7>K&j?DTp(?0(P{{52qP+*cML)2fZH1gOBQ#SsDIH7^n_fhf@j z)D>h)j()1Vmqy*e(Pq$-lavi|91g#Cvk7Z7ppUUfv*&Hts-8A2!zU3nZ90~NiO)Uf z-F76iJBG7a4tj_UhXiC5F{9@VSD+>Abl%N3+V3@e>Mz#rN-pDDuM)?W5YHtU%cz=q zIXlDG7gLiDHaB?!ma5uumZS3u56gt(wd(8Bmo1aC3S>;{Vz+kWZ;H%??Usk)vcm#oSGmQY*%h<8&Y7Tu3-`i0oHs3tadu7s4TE3&gNH zYs9iUE1D%K`Mc;Uh6i01!UFDFMUO(qpY*?o7xs>4yz!uWD7B5>@xt?+ioJ z_DZuPSDrm4-?x234y?^Ax#v|pmW#-1#Ue`D#Ka@~!w$l+&}sA17=ez9pMAsY#TRUY zufqOe(eU9f`0;8m!>(ZQb!1eY&r@<(n;?x5Z+Q@U2|qj`K80Dt=J?xv^?C{3$}@;= zvQld5_)}`ZlkO%D+b6up4N?Z|XgLc>e2fGcxM8g>b-kZDUIrDQ>|5_#+i;00r;q;; z`y^-n_D{*$sJ(PLYpb@Vo*+hc&#yVk0IKC6&bc^@bPv8sk|9Y%50}6q z+8qsI{rBqN*%JCRoZk8s@~-+gm%+gajP7W8BuFbqlef+Ui)$FDOk)wR2`b~b#E3T= zl2?&eu@KSTKyn$z3qU1_YGLH=FOl>_yI_+t&#L(+NNRkP-X+A&r5sK8K&6xdLla&{ zDJYdh^-(&H9#Ij)Ov*ojM&{q=Otps-#3z1tYXxc-+5BL%GM3Y6`T7P<$bDOKbGMF; zr3yY7YOvf}di1siT7o2-I!p^bI#`hSQJ7hyv7;>7<{wb3+$2Op&6)N#Y|mKDh))<< z)*Y#|i;hXu9Z=~k?pQGc=JKLT`SZGo+ZjkkQh+b_ips{?QBw_;6tf@lM{ZAo@rET?a~}2pNFf-PH+QiRzuXIDe62bBws9tXM=|{l*mQ z;mR@>sb>pDNg|dzFp3(t(}g4!SX+8}016@fF53XN2=@J)?H&#(SpB#l3l5-I9i?3eMl0p1R z5fBt@1RETM)=Pw4{?tl|F1S~`_~ajVCRegjLhhRs5H z1}CrXOea^dB-Ppksy|-vjcc0J$~C1!Y7r*StMVTs-#APZQatS>3cE5_JplT$lPYc;yHKz8^_Uj6I1(k11E{qi1^7baEt22U2rO zWaTY_ zn`T{hW>Gip?5Eh^yov-y!?l{{BM;a5A~Wk$Mt0LvZJ2VZ4KZ=RtM_ApS&Kc&!AFoJ z-M^EV>8f{4QuvZ~K|qY)EXqpF+w}l3uzi478+nIF zC0`@M?BE^4wMIIlQlq2|EiHj&lft*1mDKVePjWs`1@5&;`1kxiNr4zvg0-2jr|>7o z2K0maL_){6h+C^ng*|Wd1EZu+2cdLv53bkIzW#Nc8=C}d7pnp5@jBtr0X2q(^X{}v zM(iZ8f>oi___+X_&8;$jxQC{+)ww)y8wiNWdpc;YD!s6CmZ2(=`QUuku2Al{?qi!& z`eStFCEFNwVU_6q0aR*TWq_;slRCAK!{dD*92(b2-Ge#AS?;4Q zHu);c=&nxS9E|`=LNM5XC!LD$&`e9%Kwf9)VC>zl#lr2{(D2fY9 z?6(+~?3|1=Z#_dY0Y5I&YzQ-FQprFn_ZE}N1}~IbJWDqkO_|w^#ps{J)D7ud;GK;7 zw7_FpkqPR__oWs(S?YH?y1mWK`I*bD{RRswyZxulDU)&akEj>OS6DZ9&O+HeH$}rF zK;R&yoB^8jK$EuziQ``rirJ9ZXh{M92f5wTa#+L8|IUDgQPUas&VeZ#SX$o zWD)^06iVZ9AcGPK-DpZl54Z!fN;hxva*x`&hMQxzt&T=xPkOyL+OqxXoKh)h!iwU= zM64B^Ap%e=>QiAQ9}Z59%_)gJSPlbgDpiU5j2B0j#DeN&=ZBnD|6%B_IO)=xLus%AD7{bXX50?8>;`a0U+>u)~0u`xaDV;)0=c`xvU~z0>gCEj1H&_~RoJL`@8Vt$o zu+8N*C&L`ep#19KLsF~HZM-E%fW!Uhca1|Q?N4maYhO!vm;%|*YNOwL5}LBaXOGPZ z9!!PR#y%=y+vv{#5oT}EfL!pLTc$&{)Qu@~jXq8do)OFwR)rHITEA%^_V$aWI@@vY zwo1CjLwXt)9`=E_JfafdS-Ce=5~4yglfu9uD$e=(xUMt0Uq!RFa+s_=f+Ske58zl> zXT{@H@+$uT^H@(Z>&i7ZUmSs6-=SFx$Z6l)1^w*hYEK4%dq*PMs$VNr^ND0th>H=!*gJOKqGrYSujOdc;53 z?i}qW8CGheTU+KIxp2gyewp-arT|dO@v9@7FQSW%?5%+}t)wwx=mhahFRg~2iAvR~ zZq`mn_8Jj=*0MDDU5L^|UooUwsYXjuCU70;o#dGho3q;cY7H-2BzJba(QgJo>@wI? z`?~=#Z2T7nSkG^Q`JTu=B2eyY{mMz4+;1ggQsF7*pj~^F{+$}ZcP016N;Zb)_kHdn zlT@ZmC*Gx1gHRAUbS_J%1gE}=wZh9f?x>QN0@B^pOktLB_zc;d65(8qbomF9)SnR^ ztFZSjs3$87SH=-nPYE2mLqmgik^(782YrrJ;#!B5UirrZoMQ4?&Q&az4YnafHFmAp z6WKJ*7x4tix8tuSnVw@yv2_ zZvlt7$1nEQ>+A+99uZ$68+K&;@j^Kw@;inz&E!FLM?JS*DLbtafgxB%#H+2D7O(K5 z9w$Kv>m*3;Sf^<;vP#~pt)`)D=7v&`gZYqxQo?8Ujwf-y>n*8_qfU(rIbJ+ufshvF zt;&w)lF)9Vt=gxDJYi&4d?2lzS(?w zx9;2KK3wD24N_HT2hZD4=n{(0mi*yba=y=Ya`Gf7G-oHu+5HuYjR;5gXDZrSQj`zY z-Imim6h_DOL!GU3&y^nfsL})aPs)IpUleJQO7TqO27h3cW=|-0O@;R(hjHVX@`#h2 zh32h%5b=(XAvU5PM1F*2vI{1!f?UD2Bjv{J2kkxz7*vRn9-Zv9==fdxjdr!(Wz==v zKQi}$)fG)*{^~bGj;`D!6HYp193dCCq^WEbSeaSIXoN0wx{aZM+c_y(nUp)LG88{(ba3Zm1JId(I5orN-0)}t;cO*2&>nm{_N ztz-&U3D>pW%mm^)h$j(5&xp@+lG}-{hCQJ8!G$+5{p(|~g>vL|p&um;9wz;xG05%u zxy`J{X^&DSzZD%ak%v%q0>T?uY&wo5H8hhb{;M;RJ)an!W=`*Mt;-;FUb(Bw2 zey)KWxsQ@s(0JJoliYCVFFEZ9)=eButQ4dtIg|4`IdQ03-Q`aTewpaBRpUBp7DUU5 zm4NJb@pvHpVO;l!ZsSw&k)~UDw#a_vTs|AWU;X0)h!=QNcN$?~e|y(~wH4uBwbk}w zE}hNG*o55#xL<9%<|qz=4(OW_={cS}mpRg$yKCRxzr^clU40Hr?Dm>w_GqY7KO`xv zFSHt+AQ7|uEVRa?b}G7q{*mt{k1bFF=1Nz#@n-+(6Uw#+RT#UU-+fYTiu8Cx{}d!u zR2RLHQe7^h>geIUVL`j--Ix9`&rk+*9}+=JnoPTgtIO zyl;9@4vinV?;Gx0#NBQ8+!R4T^Gg8LSOK$&wa;qi{Zi_OY&YMzd#iqhuHElntG1kN z+3a7jkEw?=8Ng|2*K>rg4%)lL+z)*;IX<4K=b>{L{}j3iDH2jB@LsGSr|7tt`?T7M z+wYZl372*04Y@|>Us5=9_9dMiA^PQ3i`L#4Ag)^=Y?Wu>1^G?>HOZY6Sl zXu(6a zp8~KWBnnTjQsk7`T=VL%NqH;Uqo9pdMnQM$AYoVe6ey!3=5A^t;H693D&4|{6AN1z z>7`nFNT$WG3g#E$kA=5ih0P~Crk;)cj~iS_$dOJrP5t8Eo+KTh9+|NfTi%1A@kd+YsSDr0vKiJlKN+hSj*R<+PYCy^Ol z0K~JD8b%@mi1(G&$aZj4ye$r32dvgQb920|kWu(?|8z`%X}65eAot@2LHS~^zFx9M{9%kX)HT?@ z8A|oipr68(fW1AACtq~MaP+?J=^oSc0hAoQ83N87JRwTUKIIgTph6*MuIQlK#wW&5 z{+KxGW|xH%o7+wKyWc&U^$((XRvX#5+`wK3bS{+4#fJlD%ELym&2iDiXN(u35H{s-#w4A)H!- zoAkhmF(-o&X-5Q^V>4%!0X#jJ#DO>ad%1Raw~!Sz+TWN@6E%I--CdV*Znl(!_x8lp zHKa4CkxbA_QP=m~f8Z_Hk>=|b1e-|D8_O2(NO(*e6|_mZerwFD2*SZ4$d|s+>KNGj zWMe_kIW&N`Se%k3Q60%zA#m}HO7%y9)%>aVOaDS}Y&2INcv*2%$iU5c^p2xXTuZ~I z!yR|2X2vO@nmqrY|2n*i2*3GVw!4mT^)#=-x!$1xa#n0~sRZOQ$UR2&$i(DCX+ygzO*T?y zeEW|R#(kSN{VbcO>)|u+V8b}jU3#Rgg)?5I$X!%}oqY4Ri9`-&DA9&bWZh(O%D?pk z>9!13DikM8NhP@gvMClo%VDa(Q;h2d)H;a+h02Zn<1HZ9C{Ip%e#LDqx|!bOhSH*# zR&jYk3x&Fj^c7>3QE@htV?i6sLhIIOG97$8eA~otZnmjgr{t(EXPZIlK+Ci-SbO|x z30Hy3)Na+wmTluXVNh$^4}0;&d5<^?8zf2(P}Z|2yrWRa)q~ zRsi*K`ot9s^5c&-)pL?>m{BV%VbJyJpWzQTm0f;ZkE301>+<|P_7>8SQT6IUXuUqc z6z%Y!{(DSMR4HbFRdG% zKicG^AZxGaI7h)En>o5}Ns3A$Ena~lhetYf^KfpsI9`Fs?2Y(-lPd>2&uj9xlXXh_ z>!^N?r^P2C+L+@z)}kHS46}i6fnU?tIMYoe(%nZ|M^I~wtXyWDhv^Xu-)?}=zHAOF5E3lf3&Y#&?ER!EFu)PjUS>BrOZ)A@n;b;m+6 z3mVQ=KdW(^f>c zn4^>p-wy9NcEvtcIOzJVyLG$7iNC!=%lCF|HC_CWRO6bJ%iY=h%G`DVGZ`jyK`)Sx z6W;y;dDE6;zFs%CaQsVCwPfgzE273=jw!rk)FVh5$C7Gr(v@MmE)CZzm+$>e1}q0n zx7K-o9hM$bRBVCJZDCEhN3Z#Tr#1@hlCv{uj^8-4+UZOdK8EhZ6#OYs^|f{OL(j=! z!uCWE2D)U1GkY`AjVRdhixb45hjS7v-jknSm?a}DLItqc!hsk^|oE!Z57p5(g zEQgWE!j636x1R?aZYMgUUbDk`23?O?7BU`7`=FzXEK51B_|(llgY)mUN7Trjk}86l zhfQ`e_YeP_+UXBNmamY>*_cRdf%)afF?%+D7u{|dnSuT4qv7*{JSq)0XLVR_eY2<< z3Sjk0HDmii`^5WWAq2+c`lZv`LUqmpO5(b2K+ldM{Dm+8nz~{&9D6MCO$V+f zK{v8C$szltr>9n&MZ93*bxMRQjpTch-app{* zkF9K}hll2OHvzmeF)^MyW|HISp~0lQz7i6!X7ni*34vqQt_ekzinne5eO+vHi~d9H z_1dZ(2NFGWA9SQd!`jmx_BjWDWBsvc>z_MvTI8>5(U)ie9J@RNLm|%>-Dzp(%S1sQ z9xgSkyS7UZ&5Uppe42C`#5V-fPVFc#Fmy658`?eO(`hMiSIW6+=WQE&<8X4$B=KJ~ z;*y~jaJM%GdmXk)ho{^C)V+=~6BFqbJ8~khrt4OQFDvVuk#6x*1Nv*1x9#|sw@@Ww zoWc3mnjA-c)K|meY`#o}X*3N~ThW;xdvhn_d`t@b?Q%(>fM}21?_iS*8Z@8Pup_9K zFCMHXpd`pydh9=KLxTp9vqxP8X`=ts++BZaXfVoA-(DL`GU%~VO!C-y%<0$(x#gl5 zGF*LY{%wPCKHnPF*qlCBp|hDoMd7iV&!jRkJTlKwzoBKv*k|T%&(l06;U*XKN)=5~ z8|ZPQUl&!1vdx0@V!L5y7zW`y?y6V}9oFxhQ04C@OnQz}fAnO_NGiF(DDgg^z5MJ# zl4o;6mi~q1>N6cF7v%XB#wSM^Cj{{$2kNKq4|3Cyhi8JF`17}rg@n|BU2dPi#6ufo zvop?wZjjmTmX9qP^^Nkr8;OaE!ell2aIGoF5=%}D&_b2l&u;qhd!0nmEr4hcv@s+O z@nLKm#)YvDI%4}usgLpjmwT<;;Fs7QZF9>Sq*SH*wyGW^OZVx=;Y)znzLh@6L;K(kavc*Nf)HB{|yI>$t5gRPbh9 z;@gb=(XG60_w#b9$Ks{qdhwAXTBi@3tc8>B$;uH4%D(rQe9SxXfj6OB4qb7xW2|SE z08c(Nz;)4AK;cUd?TIVEm;66ZKk@Uuf?px2;}kkw*TDhnnpB!hQzgE*@mmz#?OH51 z?FxwhGy)gE<>M_;c+WJ^Rbk3)Psb8wJbBo z^ZC-zV6ZqR%|d_?yad`K1}}B;17(ipnpvLBibNsc}k zkLGxJ^^uq6XdsfF&E~WOy!1I*QATN6ZQJ0* zHu}r|vVhw6)3+{Z=`ihkLz3GkQe8)t$__NtZwHNWb~+cem7K%vyFcso9e+L-OW*6e z{N__QS1eoV>U5A_GqHxYuqxw%MguRVYCp-zR(5!xB&okNjo#d(3^l5k>#MNZqXyAc zW3g;$9IzH|K;?|HLbaa~31tfjWka-E!(L$+#O}oVLcTw}-PEEVKmz$RoRE>l=OkkB zbIB{K(x#gTblv2}=nU@3lJ=Mxwm#+0f=jt!d>?ZYq1L@Imbz4WEl{;r)5vVH=l(gl zCHkB*p~#5fr_n&a8B=E;&8^_uTnCbT74?-%*{a%4Oo;Drj@a@xb|2f?wnoVr^#jUh zlk5kjuV;vrze|nCOj@5Ze@$WgYK*WNmh_pnXCz+|aSoa453rx`;9UV0ue<$a)a+%e z($>ubgI7V(7(CIpeh1ljd?1hN?0i@yput>6x?tK_nRv-9UXs>*Zm0ixUpbII*}XN) zWUlR-xJL!DH1oTDP*ASROm804BIe|U?l)Eo+a}~3Qr_xFC}3y@rKfmG>l=2;-W=Me z1(ir)l-t`K?W1^P@rQ7}FsQyVz3F`^bOoKmy`@mr)`6=tkQR+#icmwioQQz>N zUnt4^W{TKM))>E-cs^M#D7ON=r|d#y%y9&7UOa6e9=Nk4|5Z|pnObG){G(B0;j(!@ zLvT@pW-1;U(_3=v1Z$|O24ccw)_b&I2O6`;x6{;$Mvx6)&#>UPm}K7D@xx(VCxoCn6#OYvly# zjSCQz1oQpT2E**X$R&M1-;IuxCcz@{_7A)xFVrAI4+{UAh)4+z=KCy|Kio(=|Miz} zYd9$`W`Uw^cN)9b`7vnnnA_{}GP6_RojUE-r+#tV`?k`4!+gTbnV+>2&LD9;i&*A_ zMB2IGF10b~9-(y)J`lr0+wyz?-61zrGw%{tXVh)~Dc=^LQ{?c??Abn`*?k>jiIriX zJ={DEXS$%M%`%0S6;mF2LX`EmKK#KL%l3m0*H+_tb^8O#-@lWQFV%G!mbkOOQjCi# zvYF`3iqdKOij*&;Y_e>!0~k{th;w*H>lX?;f97~Z-9w%Bb?92g^&B2N)lD@|Gh%@{ zsjB+!5-siX_7T!SMlQ!6a!?MpZhl0blPo#zloac^Wm@^fFu5EFD$;Mj3uFM2b)qmRX&6PhB>4VgIC*3CrV$H3RfHzM1(X>5sQezU(yXQ)l`#YaUN zQZpYfHjghc-U6~|SAX*Cl2J<5VXR7dN`lpYit+sJN8hI&R*1`~DOQMHsu}aK=6zV= z_sd15$Hm##4GJF8&(og|V+Y-K!r||86pz@(cy#S;Srqc~zGRp!5_HWiAdV7+&zbL) z?_dvUP{hcjIjeY?y$m5#KN1$hS4tB9`uLibd;o^0yMI_KMBVafbCsTosn7U}hrZzs z?0ZEi&igiBTnT*^Lgy3iRuA{^g(1*yf=8OogITQI=2XDuQP94L=i@<^``|M@>>Zu& zl-rcz=#>QWy_7@sXwSNc`=U6QoDhinm$#A?Jo$e?4Sll}u>i4s2!Uk+Lu>}nD#O^Xl!|EnA zZ|v~l(;Rb_>_q)vP_yN;<(8NeHI|zZX$&qGn}hVl zE}V7yRwK#GslJ9kJHKq0_Kx|K37lMQDsI&Hd|^o2is@d4qY=Np^;Y zFcMLqme8jsrLApYKxWVNviN(R+=eKfzA0%7?r)7rbcak`&Ci9ox`E)YtOnS0(wnw~ z1evSvU}Giaq;YSyg>Np7;Vq)NnphV$$R8R!J<&8^m>DRcbYW{Oi`RvITqxep9qS9uD`^FJk z5b!!KNY{UjBZSY9im3u&CxvUYvddZS3wkFNT6e193m1l>7j=uZ_p}B}r&hr8j_1!u=S0W6<%NO8tC|e3@B+Lx z3VUlCw&AC`(F^VmF0N6dO{bCJU3vEQ^cD^os1mR8_+PD-n;Hna>yfgKSa`TLlu!xp z$JzJ-cG(-S(=Zjx%bBvr^M`xfm9^!6)-U~tNhA?7kQ&Os4!_>T2r}hr$tYXj`cSxm zlsVv&da3kMxDmANmbp1I@Z+9ii7TZOU&*cAM1#ZFjr$=q#>_M)r^k7!N%uu~z7h^` z{iE8&RZ?GGT#9G;RIyC}OG^GDb1$@Wfrx>;0;8E#Y@LUBIPa|U1Jhqz{trXT%s_HC z)zKOJR?ZFqZi8Ig!>DvvaEeH69?0&`*`W z&o=4|y^ai-AUn8&6y!P_%ofBJF{Wy8zFrpgOAX&@1z| z_ieNL3|2Kv!aLHBB5q3w@<3^VTWa}dyVyC#>fHu`>qlKZA(?S6vI5Dw?L(@3RyM8V zQ5>XdPE|z#HA(ic1g1s{Cs_RMDk+y%!M>c<_1u}s97}-D#~-NVa}x)DIqVWp&%*_V zT3cV&eywZp-j4rp=K$TnT@#plC9;WMP2qLYxRIh1{Pc$+W2nQLo?7OgZjXZd*g5+f zSABYkL83aQ3Ck>v>I42Q3g0;)lcU!{FH$I@jN)d(ouka{9&!>V52E_1HQY58Az5}H zuQ}twE^Uj1ClX-zje}3L%1?$Y8$)5?7PL!iYP>rP3y2qhwKFG%##RU~7Pn8LT%(3i zDAxCjDGH2Z+GARG!!qogARTAzI01Gby6_6%Kh&j^GZL4b@Lw9;W92(Ku8>HH&9)F9 z^cYlYQutp~JvRd`mAA;OY&FhS6l7L7Td7gM3@h$e>3jG*pt4JQowS%A3oa4yl>VRO zRa>OrQv^vn^0%)E3v$DJjR%q+YS-%Sb2xC-r((2o>oLCWogvqa_pShGw0dS{77tO| z{T^h^WG!5%7q;E3y*tW!OKk4s`Bn9^9jm=y#fA^hdd_8D}0*->-@^(%Po9e>s8eRbxP(RZEd6@mDtF`{s=~VNrt_ zPMacc&!{J%7gFC;etVUhuO5jVQk%vuiaCd0UrGN<-#Lq|q~HG^l0UjLTS5MRQKUCZ z)?qs_Zs^Q5{G!B$Mfhp)li)^jrr-U7OtIRu7m=qr>}$OUQ&}!2w~K-O zBUy1D=1&wbBZs!o{RH+MR_I%2VD`!M&^MaybP?od&vpeowf^obd7YcDZxc?7DIMW{ ztUd$X=Qn9j&3XXL_XxiUqEpXlyX(G|M!NUkdaZHq(^dMo?@dG9<0&0x1g#Au#dB7t zOAcE#*N((wtkRQy;|mEHXwR-=9k;mWKHC%z*8_y}hR;viQySWqxU27@7)w6Mx)703*Nlg`EP#r@hruU}k=YqekeMmNj5csjLhCw9Gt@y9>JO z9czPRjiz_h%gI}XZT}xtoe4A) zTpNHzl4J{mLHr>V6Jo5{vhOKlUy{KH6S9s$RMtimLY5h1-^N;I8cB#r#28CS80&=W z#`;g+`M>l1-+9it_q^{t=bpR0@4fe&_uM;-ZS()tbq3dmtpsAfi9|Syhs3+TR1bME zyWs%Y!w+HUo=TIYIrmej_mkHW!MM%%fzt-<3iUK695Zt93EuJnadq}#4PEz>^Ccj=9~BKrq~og^N6c9`QPZV zIu66u)t7DO^$gd<>+L0s1M|QZAjx2hYvS2ypFg>H9iN^MYbzbO{UR#;e>`R}S{LPG zddPBhU8QpvA~S0;|J$@OEs>`=ct-@^bb37}FGw zftl5E)JC4scSoD23G}h)vJQT>wIU-S^TkYKb-SkMP^@CvR>2fWa8trzFznA z>Ry3cs^}#xfB2zxn84NVb0aSnERw_+BHwRMJR zfH9R`IONcH7yDyo;J(d(qj1I1oUFv2r&@w&9Fep0#ydB!TuLVWLzb2YFHz^YeTK8I zgqv|;cimRZI!&DKvi?Yh+|iz2$780C-$8j4i$)ZtSzp@s3xKIk7@2aK(|-s;q%rSv zGD|^fTTcti6o!$NW#UVehW-x(jH%J@IJ)qjtwWQ|5@GmUvgbLpGvPsq&5qIP-)tJ> z|BQcoUC`d)AHtnH^Pvs@&-&A^%t|THQ;6#$&p#Cs|HV#CeBQJD&$FwaCezx)qYaNz z4n%0`>!FlYE?h+0nqV@}PDpqzsVSiigt(cgbub@hPCj~0{wV#Dvx$2YCwk`${s#OOAK)d z{LkA|C$n@m6q_Xf%q9MhQ~Y*_mhSy8^5i(&NC~n%e|c0g#o3F7hof4n28@D#092njuqcy zAav&?(Ev^>f>Z8&hi)zOUnakFdL~RoUIl{V0AQ@x!n|~S7Aus$$Jq76OTa4FPBbqRxr(Wrw00Hb7D_!HW@5j z=+GOJ!ZSxLg3$+=3?O7y;Y8_FG{XcWLt|1*yI}{i7g4F(=8^%rNpNgTZqa|Y<8Wpn zTG(M|iZ6bhZON*6U@(<@RrOp1!I0_`5@ACVnpRk|pPT(T(cT!hYqlp7=6yw4T$4@u z0`4*{QA$Chr~L37&1=juBU(vt=WL00vMWfFr(k(en!N3d1OS|8%v%AGuE(;=J=ddeJKuJfGin0>}arMu$tu-1T##I;Gs|f%pD}0aPzwyoaK**6{OO zXaBXA!sy-XX|LOR_K&>TX~@H`_Mw+PzzFOyhoG!6p}hJL{-F39V#9+1HS|rgt48 zbq%_NRz+K%$MEK8c-S9UTVT2R)bh&zWS-}B0DXE5NT4|^B5145GK;$)vHzo&5t){0 z;KN6N-mbqqmhRiNdlz41J?Ql}`zwhh^(X!zM>qSZs`OXMzwlh;kmzIeipnD9*{%0e z3VO6&L|;5MJIX##_%o)BnH57{5vVHGUYbF&FUr~O6Kyvc_16RiJnTAwnT0YznGn_a z+ru7F1B6zy_@ihM2SOZ@od#S7Q+zJXm1Vg%mkg=q@!%47uw%8k#?L)Y!y@-ik9)+t zRzEO{mv!VesWz2L84UscMz}w0F zVCV;zLAw@z+K%um>N=o!=UdxExsqzHr;2JyPhzhBfL;){C6xZws?m7^S3^KQ<>Zc| zMcuQm(2g^B@w`0}HCtw}Jf;YTfvjXZvVh;I*~=D$XK@q2R850C&4)l?BrSU7E3 zCcJD{0~Tkh7H(_5QmB+UqxgBJJh}Ht$tOmVO-$7j7lypICZu+1cfYJJKGW`DUyr^B zVtfa{MXrv>?O?f6!#%UHbZ^8G&ruIW`i|8B9)zhw1jBE+)}R-@UeCA0l#ZH^z655$ zbW^=XWOW`SNxmo^HpS(Gg8h^N=e1w4tH1`M1Z$4ELe65LjKz?p%K-@s81#qCozpcL z$FbGMH)qRe`PHF^%2SSC_KzA&{O5oQ?Dtm2qkoUrgR=L`9ONw`%?{seux?rLvk@+) zj(W?xJ&pQ(B>0qJ2ZfDb>8s!F9rqNC2C@I*oza1&rE-&Pw7Qs|lEOYz?Y87UB9PJX`{%U-*|<29@Z*v8>Cn zV^&S?lZlHaCpH@*I8WchHf1$T4|Hh2Z^6trZf40uUf#}2lQ2D{lEbKyY0Md(s$dq{ zOSv*;`t^l_Zb~Z2zP6j$`g4Ez!TLv_P@n9%U=!uQ%^P=Ugzv{YP1)Kb$~k>k=G&bZ M!3Aslf0pZi0sZTdb^rhX literal 111193 zcmV(~K+nGs000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F-|3jhEB005}30RR91 z003(R00000003FC0RR91004La%)NDZ71#3zybB??7BB911DQpGyL*9R#hv2rO-LX} zu;A|Q7Bon4cXudKw74|DzVn%rbMFn`{@(ZBcb|u4v$JQ;_?e^EaU93|&bPl~8+-+o z!M|+$gm?dc_*d8jU51g_z=J7w{Ey3XXC{7l;|=}aQvdJYdzV$u|BK=O`odp86SDOD9zxNw&oc~uX>ic_AO9n4lJ(4#+d%aO#K6YZU4AXnPeN-uTKl z>RFXlZ~hf%T!DU-=Q#5G+3Q(-6W% zRatdc&}y>i$-~Pb`?< z%d?_H;ZG{>m6Vdmv(m^r`BKrDO5Uql6b5ezr1rwB-lvc^>e-tYZ>g$p6eX{vE~Wi= zUUjKA%5GoEH%gxB8%3eQkW5N_^unX~Rnk!3DDD&n^`*k2o|T-{m+BjZQ)yg1D~Wka zK*>r;DV6~*Q*RVb#jh%*q^C5Zyg=z)y;m4iz3Llp3V#yrl&vO^XJti7J_>^>t7=ql z)U)?{^+wr`H*MY&s`uVyy|F0^H@rAiP!$c7ohaJ8@6WvSqUcw)p=#06L!9@fL}6BX z@s^vy;0^VrS#hUy5y$XloJyyPLPw&CiUzNQDuu^eBdSJkN)(0ay|NkgM%AmnRJEu# ziaS-Kw}qq=-Kp5?9S2k?#iMs!>b-W<*xOtI|^tR)qwT`Zu9Q`MvbzBQ>=8etd+X7)EbXJb}p2j zOlj+1q(hxkST8mB6doe@pP6()ReF$pDm~1w*)>Iy8h$$#538W(qkk2p)PqM8KN_Xp zIe`gp@x#h5PN0zluL8FP_z##}LBJUtC-6gdep#B6B6Is^rmb=CDLT-47M)#I!D2v= z4@%GDe?}pQqs;eils70?N=LC+zM^yqF6SHvIML2^^tj<@vco30Qi2#<@o`H5*&KLX zlPI@~-sEtsajY=_j5jEli@7sfWQd|aH~87(T5q@FYsOxGW6G!U&3QLqK6Tx2pRR2Q zv|?$nppaXW0@LX7m;GeiscMCOa026k@{~-YQ5?6TcLvb8Qjdi43e4CSP@V;7hA{!A z=6l3XO+0*80peTWuI;A*l)11HY8=gXJfWO(NJE=L$%3O?6E$hw!17xzY&I=eiz{Y|8v5=4W~ql;4LT_ViyHQN4jpWEn?BCl zV|CQFRt1*O3Z+xjUQK(ayd&$AkKbI4ya7;b!^crI6WG5!%xl9G&*;)&(AYdyulaY? zigY1joKQZVO))VTiwj$;$#=&aJc{4G17nY2Hd8(`3N6$Xu?M@tb={rdkPCbm#bm## z)IC#fdU5=ebynA1k_($lS+dTQEjy`vgs&Z*ES6sZUAhS)cl@D&V;`qG-yiz&}5{H~(07c!KD<+xRfvfj=_#47K4}%3;xH^<&*`(nLHZ@r^fWy)uHyEerCY{j#ya5U4fc;6&DaaB+{lZVYNYYCUk2p0yN&wO>aR6c zn3*$2q3%Tww$X=mUunvtNNYV_;Ht^AITP5{jPVDz(xMRw?Rz&;_+`}QupTOz0lo{i zPi#+ZTG}o$(y$1!#1#sn4}9fYqMbDAG9{a>1Xuv{~dIn`&)RvBfP^kvSymc!Sx21I0@wg2R)* zr2|(B$F}%y6|g6pZNrViK$9?LapqR^A5K?je= znJZe&HMkLYng$__7+#CM(RkVxb<7IX;JuK@c^0ZO@bd-A|95;5YED7QW&Q?U`D4zv z0`x94Tu5^Z^&j|81^#F52@mkknMXBL91N9Em$F>Ug^a?{ly~iM!L=8Ka9seT8=gk_ z^`HqiO3|*XhTj5B8aKXyMta;<`+uY0jd_H$44O2QQ&+7zjj7+zi7`pjK*QLxEWkf& zZbe!;W>@s>0ec57cil`wkeLax(Shs2%Mk0OCaorlPlLwN^&d@d*X*OcFV|YTHTWUG zYasI`OSaIvOP{3mudON13BF6gOV-t~DA&8tn3V4Z-m18*UJ~Fxx`(pV+bjs7yd0x3 z^D+i^Taxp@Ue|YgkE=0Fq8pYS?>c%(QlDzUy)vKm#3Q zyu37%PPaWqqc&!-W@vC+;GGI7e6C!NUN;>gV%ev~vI?aA0|$Q9I5%alKP#qKkk=V3 z(;#!|@&Nz9cf|!9z%N8BErF&nSLJAhj)}F;byBzAdl+Jl0wpDTbfNe?OVHXZJFWMi z2p6$?8G(2@-17`Yr1?m9mMpafY0A4XX-*23f|+5_Y$}%JEoFY~YfaVQ4xnn>zx++= z{duWJ;fcc7pPI3jEPSUqzG)d=iuT_g8I!RRXn~iYhQ9Lx{EPaQ7w~-TL?B9Cnz6AJ zm$=GkC+ft(SXDH>P%HZEQ&33DtVs)xVpE9ZP-4d3R+`FA2ousI{R7hO5C9r!G@)bd1T zA#I^1tyL7`T@L1}v@1*Di`xikXas(^dQ|nJEKNtml$z(8eTM`5Z@p7qQbm*Y3)-le zOrJY339Y@vl~_ydF%q8msA^}PRum^?L7LRPz2%On$3a?4Gzll>htrKI+l91)|4Ul; zrMc)y#LSqaH9@s4aP#NT^XyhR0l(uHVyQ(z#5Um4Qri?#-!)W=#f3;83HJ6+EJJZh zWu^yZ7h2afxH1fdn}k5)%hg$Q+~;q>2B~3 zK~n<_V++fCa@?Xq`2=kaePIr9p~g3xU4eat+NXw{6`vknZ;KlaV+SE7y@|dK31o$8{ zmT^Xa|KXvEw8omWcB~RJ5ZQ}1#i0$yxN2$A!cfal#DE)C9h$VzqHI2gtwK6z76nx{ zY|Xg6k1i#PXWx2w+I3Qweu8*;4yD&EPDWR5mlw)2Y03w~kQu*W8(i?g49s+9hwfcI&!5;ImdAs%#9R#$zs8~Zk<=oa zCT%Eq`Uxge{!;+uUA`)XW>(h6wOIzVb;A=Dk63R0^KlVXzQ zVXW590RP@~RMsQt3OEgFImnEeOG{s(i#wCr$-@J! zRRZH%1z1%u3(47WHJEyw*-01H&a+}^zDxP=Hz#3HoeEE(ht)68;A`()XS7fspf7kI ziAj}$0hB0wWK0Jh2fp{=R@y&+e5@bFTci@Xb(kOE-}bs{ODbxGzG2GE2T+Mt=#lbk zTt{`?!DuX6KqXOOEh$d@0`&X$Sblx9P~W2QU52{ngvGI2O}9j$Wi(^28Lu-F$j|i* zp!CIlQ0R8%+x#6u+P)#1|F_ku1x~L?>&RVDrwQuTq&?2i(zRaKeUMuNqYU?P%(kaW z(c5L2L~5rr<)sjE|NO`(8js3N33}EMso9z|)T*vr+lx@IIBICXo&0N{VYJ{LmG5xn z2Guob0xrn?Cin0gl7oi2?ghvTMx(LN$-(->U#;vqwzNhIw~%p|S(kjGQXkXX(6?LW z+aS-15M98(h3UqY5kff+9jWUXAuT zo~@JQxS*~(8>2OT;g6j>t+UaiDL-_$MqxAr)SIzSx%|tNS4~Y? zEmRaXR=`}frGBgx>{_DDp$CtRh(?SGajVco|D1Gg>L)9+Hir`2kQqy%^g_-XdXXZ% zeZc(LI;2ZCWWJqdV18ioqLgV{xR^s(g-~jazCAutkWN1PKbxB8vjY52Ra8w)FRo4U zwE)<#l-HE6Z&As0T1)K;3*T`#rkcN$382PN>F8vt^;S0x&ch|mAQr0A^!0qIIsO6N zyRykDs2MwnUPCDJVSZV=l~$j6Ppgw=v|ekOOSMsu+VGvVDem`aWWW1v9o5LAx#IuM zZ}YL==S&~7vG9OTRw+sw^G=BA1|D#W$pQXL&#AC?m&GW2mxG5!LFSt8BbVY@9bw+M zHpA#-7(7wc@suS?NAiE&&Dy6&ME4=llbB!Q_n8z#r=)IXTiJOdcz_GGmOtlcx^(TN zfETlWx7c5j)hw5nQ{@! zZzy<|_2N{UG>HxrGKDm^N{XR$1*oLcrbTp^GfKRu#Z8FREq$jzRHc!32qxO`Pbu>vOe^34LeG8bO77KA^Z;8(6kR{u_`7Me*%>r`9yO*(^E{x4O0OeYD2< za6$7TdUM+g3@87M55*i<)PetIXOw4A?I5noJvvu0`7(>GTTM!|0e48i&9KL_sE0q# zS?~o>ipHLDVWTeB;FLT$i#}!Zfbp5(3zl!->k`Xm(Y2p`04G5MSQ1i;e8S@$`4rfP zole{!A5i?nf4WKQlWUO0uA^ohK7ptE96^jnRl=xS^oWU;igAm*$#{ZJ&r{b}8W*lQ zdx_cb1$hqSOzF_!(*9lj+XC0`+P}ZT^Ebjv;^k;aJzwS%|6Zg0+k!T1kQUx3n0!j# zbBl#v@kS;v@D6@3yY>&Gket=% z>&QV?EbZT)xrH-JvlN_!+a}WT%x9!-)F{^{bc(XW1s9&4K$oVS7I1_%^48GRL zXP%9;4kKRSLc?!1Kv>@0c`JPw_>mST=x43dmYPO=#rKN=6X>5Wj|I8GQWh_{!vJS9 zGVBV?w$X)WaqUX8w_5$cDXvybnOO#PKRLgh`~ni%c?P|;^6I*)v1*-qFo&Ohxj_9g zC$bS!^Dy5s%XF4mHuga2#ov#m!-v%T7|ICpUl7-Nq3*mvVN~m@0yp8fCi>or?`|M! zM;RCBR>DN~)Mizz^ID-%z#DHmeFkY? zaMRY(f8hz&6fHGDA-8f*ds0}o(p0kR8>_aqhwE^IIiNhJ>jTxjk>0-7w6c|23pF>~ zpgkIkuGK=R=VZlq0_`QA;0DW3T6@XX%o#1Wm#hF0{ZRSc%+ttc^l;kf)UY;cYiR@I zkCA4d4;x6;LR4H^#}tXR^aN?K;Gw#7h7k{~?wWGU;hEpzp{{Rlse8gS_R@RBtcx0X z7*feops)AOL3Hw!YD3p@x-ZCcfU2`_DP4L>i9VJe^DP>y#PW37^It}xwv%YtQe`df z&fY)3n}GQ?Ki-*0+uJMfZ6;V$QVs6kn(1>i<-SMOT75O;#~{yXV17Z}ZM3A$XL{8q z*t(#tg=b2Gj0nUQd*NcLy!s!pH58VZnx2U^Yku{=j)RkSAW0jV276D%1vD9;5) z`*L(HYd6h-rTo1TFS+AtBhdqqzCn5pAw^6z;qQk*L z@$K^mirP1Jgj)Y<_;a{+8#YC!PM674xY$j~GCjn)rRzoetNTu(HS(tbKjLpLwAI%rEB2@lB0A=g|uW+N5s zc#Gg;Iryzu3zs#Fb8HjN#EOF{R)iAjGo349B+bajB6c%&sYD^Gi&hCzNy#0YG+5a@?|?zd%WRQrkz4*&3WgT(Bx2vRSVK0Sro|^K# zKrX{dn)0C=w^&=X#(J}d8vg+Kqwy!{w_9K7P{x(kF{WICMQTF<<}cZ5@pQ$c1(y9g>eRCOKt>F96_+K|!1-mimb^=oCw z^Vl3DI&=mFy-~xoY1gUp9~taB$y-=EnQ!UMEoFI{NH?7niO10Mkr%|6cC+V+8~8C* zPF^l;=7{@_njXk#$6M3Q`cwONLD*4I@g>&sKD-Q&%<5*8{zal>mn%~!2Ebx1NlZL z;4_MzaIMwm63!|y4j_5yGNL3#ixo)vk6WYiNAtqR|hxR^8We?xp+G?t;1xxmvn^4J|wq59JpY0TW zWrQ_Yhn{0x&g{w7V*K@HGR;n@d<+_sX08G3#s|uq(O?Rd%Br%Scsj1d;}6Yh3^ghY z>O#{8b8{0l+B&0=CqT;b3zR;$@>KGT|4I~kAaS%pe`n2_K`__qai`I$*$Q0ltrM6E zV_dzsTBj!XNEZ3PKkm(yQaYUToafp3WlrENgeLZ3f-ZEw@pkGRKhnAcPI0XS-d^lz zMx5#!sju~%8eW}h{iemz4?OX@4a1D&0hLWDE>WU_<*XfACBGvm4FI07gM;a7JJmoZ z)TC8J!7Q+jq0c`1f0(gYND~`JnZhvf*c>ZNZ}5ILP@x>37{zfb=r@}x6~9Egtj1Ob zAH31m2t<$uLx+>=H>K}?-$aY$CBpqWi0-eVH~d>wUgoa*Eja%Pu3aCIDPr1iiqlP% zzRC705n1DBi;A|?cKL5uB@rfZ@vuFw8HBm+-gcRODWA^HHq`V>uf;M0;;zktcLGDM z(8det?6rx%SVuIt5oe@EExwsyV_#8{^O@{N6`EOF*&L*?ScSI46~$Fuh#W(&n<*cA z%HIoVx!I!4WlV`v$@ePtd6~{`)n$lv2)2mpI&#HFP`VX6j0QeZ)?#awT!L5zK`fv5 z-0^p1Qr0425~WLl!@Z2#@CN_EhAb+{fLbsd~huMHF$tCbka< zp)*TV16_^lP6JB~fLPKXux1Ir?O!=k&GbBBSw79qU{2hEj3sC(Q?I*7GwLL@&;7FB zlg4&~#+t)qb~yWJOQ1s=zW-|d!BUf$$_S#b{6$7mr=2SF>}DsD&@%*f9s<5IJQ+d5 zM=5Z1EtZj>DlMYJtw%Tg#~R97j%&U%LDkz?C63m2&HKMvsuRj(HZ$K&9=O!>!=vcT zc{ME2KqFrPq3&d{m|Oc^_aECp@vRkRjKPpY7O21*?2f4cs5?LU58mK~?6RVgyQsE3 z1h3T5xGGL<8tAopg!MGBu;qQLFkvk1&pKE9J2lJP zfUV5}@+)YLdOtYlUuIB9Q%03WHX!l51n^@#+-b6V3}sp~ zM@nNZLX0UnL(HnooqLRHX@|ttbVwOjOAswjcHc=<>3u!=+~$C1YQ8(th9zi*W6#|| z#V5qGi*CAPrPeL*WNsvl=0M)N$sv02CV@TpWN+&)7OM1#?t`Z;Xe2*8A4dTNRo=3Q zRU*w?W;C(W;QqSqZMl0{Beg<>s_Q^ecExm>{z)mc7%M>(x(axE!*+D(prRT4_Gx2! z0vqnjH-~m7wI3&_YWLoE*{Y}cKEeRUhyNMVpYEl%gTGP#Z;@7U4StV@^q?Rl=NZa* zHlaPxXQXwD9atFq&4-1`bIO56)!QqmS~4}`(L}f3yWjy+5gj!0JD=-%*4^(0^45^y zv6?;T_Ltd|sOwIPbAENPRXIig9QfYD^^|1sH9AqKw`a8G7DLR-_;hQ~RElV{i%y*w zX(iNB%MD8iXQ&x_^hCN}P&MyxV6m1s$Y^+VUZ7L4@+68_uE2*`!=jSkA=G>v+{`Mw z(%8e+Rj_-T17s{$yreo4WoG7^ldjcNTDDn5CV0s=7*S1j17|D5(xKK=>a|RPZ!j}p5Za%>TJocw+{rf4 zu*4Y!%%W6`9Y@q@$;ge%%QjG_*2x5{HDd5Wtw1i%x5(2Q=utNh*c~_-Ghf@jZ=|fg zs_);CBa=Ar6okEu>?Q6lQ=aiFU8S`-bVE%23&oxcbJ`7}>)`)1 z@+143$Po7r?e~>8BWUpimG$uKkqWt<(wg$nD_Q;K!!~1HpL%DqeXrKC-(Ov6nVPYr zjK=r`FG-wt1D&Y!h|oQUwTPX+L1GdgUYzk_2tCaiJ+Q!q#Lgo*mo|Rozs!PL(%8TE zuWGl-zaR3@>@*X!qhA>f2|nfC3r!`78uXl))7>>mI4Etu-ymuoIZRD8@`q1|D?d0 zZR05rkAtm6^62}?;R;+#$JATwjOIwV>6)Mil3rR)On3da)Bqg;Q8f1zx1P zOj{uHVBE6V%c|%C`{hPU?MQstkdNm#l)d#AZUx8O>Kvsu^nX4YYW!dF-!F@fs_r z>m&t6TH^uB)0rGAXmE%EGxSGrp&~1&$XEq_s9mrS(grE5DNoYyiM2pe9)!5o9Ok-W z>`Mw7mccGEc(65Eo5M|%%!XUu9g}HtAx{q)?~GosqZBMz^XQ<-v^#?W7iD2dGgpYC zh>?WB&egTgY1f9I?GC?2TD)ycUAaKl4pzTG5nHHCzdPj9?5wp`w>4cc`G)Mo>^?1m zmQn)y?VBRjFdff}OH|~WQ)SU0dX-l-bI3d-ranPKCH3s9IiE&9xJ^llWwA19Yf0x8 zUo4kRb2+VAIHZYnRa;9AF6mT43|O_I7j-zK7Boy@I~Q}f#mX{vL#tY9SGG5>csb9H zR}^nOIkQNSR$FJeAAz?GZj-{k`2UuCvb3RSIfeBEz&jte;g3V<)*MxOs;-+?d$TD* zV_K*f+8?TYtRn)$Q>Y|y%Zc>seNSQ{KK2p?U$d(?3+qm#9M=_i3AdrbSYyPN*f0+5 zV@NI1>X5q|Ir4KK{-$WaI7)t0b(#L)(h_oo`|JF%qvLt=q#;&ot+CFad>jbyt2>9j zhex;HT4vCe*%w+pRBjF}4pm@&sT4XLw#G|jg50BXg~|lVA`*$n=h@DUny|H8<;GLK zcWQ4-2d+&_v2hd|Q+#fC+(Vw&n;NR!;3}fxe7-q)Z)(vSd#ozjS~Q8A-F@Cl4bHu% z7oTQWA6e$o;rh+(V?K%3QmN%?ToI{;6x;w;Uc!`^BSP0w)B8Vw8OTG5pk(awwe8F9vc-#@xHFH7^QS+@XZ^sJvmd+57S*+wOBGC$&^)m$>!Ignw#>{sgBpJ zI9lHr^DyTE`Gxu0spik|?MsD^dRDJv@u1Ta5oB5C^VI8MLi^vx-7Oz2mcL*}lMt}a zJQ_!7yDLl8RU1!rEyjg_@#Gt!z@S`wEIxE8Jm~@Qob)$UiOr;m8+c@u&>Tz0Ua5#YjO8S5P@k>J_!Cmo z!^|J+MY>jMf@ia;O;!-E0{g2og}*9JTN_`uYH4tCesHd`wV89KpQ51)n)O+mgOHYh2{8MY9ZtnItC%`UD^$QAQQA#f?Ru_v==|f# z%#DiqhCen|&o4tOc4VTop}i%xh_&2@@7`r0nUsA5rCp#_L*-;nJ(0;qFI(>qy;rWR z<-=ep{e|(GOM!gjt06RKO^}!(_7h3zv~ZVZh)kNaL5Y{UCTX$!gQ&O~8Q1Bxt*BR< zLi8naxrHUCay3O{stq5zlx-Fj%zcM;r>ZPs5g*&jPGs_4CQd{T_Mv~1s+by!MWnO2a9oCgZc15XOiHJQ#H zQGrXl7&0{;35lVS>E3quoF%=k$RtP;4 zhn}JaRa45i!xC1g_;)qVR?C!s!%2j??eL#6h-;@`Po#`x6!ef*1{{i9OodIU*A8T-L`vCJNdN`Yk-a|VQ5C85cBuYDo ze2lxZfd+4#4|K*w%%D04mr3vt*Yb!2PmO2Jprl(A81+isn;@2Tu+*r48B~0jr?lj2 zb>IQ@L3!-!)2UxI1wPJoOLXv5pyS%3P38E{gT(tMuNlXpNPFfMmpW&_5v*ut!O`L>ibgHn106dD(; z!O0?{DQJsgY#N)cl;)knyr-T2xusSh!(7Wxlg6VKhEWc;LDLq{)6G}uc<%O|CRS#8 ze&Tp;l>aiAIxjy@SaH}=3$-Y-ZH|NcCp$HYf*L9hb%%S5DLAV!Br+{%G&Q)azp$~qw_GpS#VczA zomI(-Q<~nBsot57p1dWo+sCGkyG-3irLr-^9aqcz9m0Jk_;;F5yy*AgBXOc`92Gq> zf8dQgBK-&}Li4Sw=R|blWNMj9$=uymv_xFH4!xvlHJ#dRQGNePn(r2n=Tv;H`JVLm zU)CqhH?N}zG^QY6kGgb)>KsmQ7mdhf@nD}9-$Fq3uQjMf`YGg}@0jHdduiFCRV-+> zW-A3u{2=SN5cb4cWWwkr^KDEF{)4)mRzv&lZaa95s)hb+uYaAzl6hlP z`34?PgXwePbT3FRZce5`nr1m9JPZ=-n~6j*{5z@DpAzU{Q?paO@m#>AKg!23AO4l=dW?p~T@g=x}$ ziem9)fUQ+aA3+~KsO3&blB9zEtZJhw!d~s-5wtdr>J!b^PIM3MB?RcmwDWx`zrwIz zTv=EwPF}R3L%%1rTm4@9uS zpPIc?{qM~>Zy5q>Ny5?J)O80=d+LcT^~W}4q#fd1(Rj>~ zHLTfj?0{N+_Kf={tp&P~xA6iZs zrGa+)SRWY1LRd?(p<`)hAvM(dnx!mt-)G^Qvh(fun@IY-Q7RikU8pI4iS{uA;_mJe z{pj_P_2S>We9Mb+sayAnj`^N+**Yo;L~g_W>Ox;$mY_RxYgi4n)M_*3Mn8~NeqcKq z&3o>#x!gyCITWuPg#{=olbXrpWduqkset%4a~A`w`yGaegcmh23a`?+`S_;q z7#-u|G#ukRaz$@2I>tb2w88B-|1-V8O3eH=4ZfS( zpQSg$i59|I{u4YDr7?gKgK{@%I7>url=3e9fD^J>EyXd#GDss2MNpEBT;6rNDbI%tb`S{oPjFs1a7u9Z zpJ1N%4>bDw{J@wSIk(LUc?`bYV4nE<52?AqFleXhkGAHv7Sw9~gs;6xbDLsUG?M)g z6}`d9`E$8?u`e2t5S=&J9kACx8z-ZF(D!Yvl0SeW8OZl8?WQ~ww2kH4e+#uA<5hjP z|11qOj%@MzPw;pkzs!{WH}Yrju|+?M#Vs}73gMVn_|F)8yD4u6qQ{{I@4DSW{Q#Z( z5Au~9nZ(ZfGG0^m1MA3?BhMn&BORGIcL;R2G2_{w)(y#bus@kc=37fO_!~lb1y+gK zI?WuKlkgHn7TIDI(BK@P>K^}dhLzbwODlaQ47Xy5nS}eh@RH%IAhYwUKr%n7mZ-lD zn)ms^Y{tIe9x=($cCV{}4ttrA7cg$a=%2PL6&X{U_W$zMDlKnf+zQ6}NFz_Cw^Iii zeoZuKo-g_~`AT0x{Orj*wr6tZJ~dWq$iF$^RLi^j@_(|x^A99;%*D{ za{O%|Rl0tjR?qKYZQzDV7%Pp2Y5;m&J@1E7=Phbh5v{*4CIqBI;-o2W)^3mWOsAR) zk>$=sOL?ZwJi0aRy<~f*SfP^bVFRf10-+~)+QC$LrBdib)}v&(x#8M8$?Vy|jis|s zH0AYBtq`D>&aSz(-}*~~MQk8;qE^yU0(5 zl(ksFLBcnV@-Vl-9;X}~LhUjJ3G!X61mU|a5_@4x`&hw?|G4&Rp$bH9Id>1F3GIUD zYEGw0W?&VsXHW1ylremq*?+VG|= zB%)UG21-_y>F+y8|OPkK&tEmp0STgEuMOvujp$ zjl3)}_gg+(Ltfr`GUa8IB;X%)8jCE~0Oe-6a>METEwyxZAag27a8*#wv%QWpq5Wo> zG2M(TrsU<%Lnz^4JkHdiYl=UonxMjtH79eWk1J& zL-Xjvgpc&9`xxtprhF%isXxaSCx3zov|*-NQ?!pml~7(DhH@DwyeEP%TqmvIMV6ZB z2Nd2Hj}0lAKSu+27jaiNHJ#2baFrIn;Q~|9PQ-;?N^NdIi;M#FVpuclI+G@miFd^F z5r~NSNeU05LBxYuB_uU zFW8$phYY4|!F#OXn&MzE#^d3J8E^g?+I{^Ut^dGdTpUv5x)0=Q5pu7T8$#RGZWD9h z^{ML045IJA9!sRFOo!&EZmPQlo(HPr%VT^JXvT1L@YGBlk=sI-0@e|E?WA%R6Ru{s zUZL*cY}RJQwLNIfG}QuQq(K4~+pu9`kB-z%MeI1#mLSv7?e6@d@QpW(bu>LaBDv_xz8?spslGQ@(SE!U{ zM^JMh{}QeL|MdCUZAEVC%TEgX_fPO0z^e`&~et-{OtT@6Ev0( zu|@kgPL8{*#Zm{>@&Tpw$#K07#PV~m>RkqjbjIygHeb79t=u-&#vW&>N!D|lDKKY1 zFLip%qUWzYt31&}8CW&zi6OJ7SwFQXaGmz=mr%(KzBw8>j_SsaUQx*wcs|e= zMz{XtyHJf3m0)5-sI}nv!ysAhV>glqx+Y4+Tm)I6kp=B++ISTyVZ)sCTl^49miYqX zY?isPlnv9l@hX`9?6-|py*TDM#cVAUiX(E2i1fRtZS8n=&406bIz5FDJh-R8lTZm7 z(!}J0=kHFsYTTx%jwO4d(wVmY+B;^WmsW)_(He#!PL@J zBcgYhG>PXk&_nGE!E0NKELzDGq4mw{UL9ux3*H#Y$4>srCrjlx+Rmz@!KqNUbeKQS z=}7lStfn(%4|q=VS_=Ou1<`HXdY&4@PatPQRn+Dn+;xLZyxmlaPR9w4X$}IO1JPv; z;gg+zR_5@Haem0ouxfzJfQGa={GFt|XJ!xP;CzKblPwCRLeIhkIWkYdn~?9O5OSS+ zvCDo|6MtBiDlT{$QcHz^tu;11=LpwCJtp!qT(CQ5P)?`iHEHZ$dFblZ=AM1AE+F^C zAeO7bP`Y#Bu+-g~3rSnj1GeMn6f6|so4G6G zI~{3O*p93lVqIZ=xN-W+56Qv%{FA9$kV@bra&;1(DM~i3k327e7?i!!K!b7g9oK=P=}>!)CBT%ucp!Ry%%X^kA!~i z@s>JlC?+pL4>bCaVdsBaNY2zKrW*?$zUCQ2v=|lBcB3_as#QV9_=Q+%BM~=xgt~O^ z!>z1BTw0{I3aaKge%_mUdK{fjsFs*%sTg%&$mP)UnaRhpzxY*w|I+3ly<{OGg&ryJ-mV*dZ9b_36A9nXhV`_~ny-KGSxiuN$Y!3Rgt z-NjX?cK`j>Fm30D(R9y&DXyJ;ibhq9Cwb~YoeT={+8AV;@e?<(UzNTSvL?h7`=Kpa zhxA<|U$gMCCr=H73-S)WKqK+-t@Q9od>cv8B{m01iV8!Q-0%b$Wp@VC!__JYUas@h zFh*-5#Xe>Shxi+Q~W!rl4 z)Rc_YnG9>T$_%E~#Z+qm{YajAhTACPJpUC#WBj+*3*NdK7(?OQx~SIQ57pL$qiL03 zu@vuERo7+2jSSqfn0m3)?|m;>+qA~8D$@8pib*^C_%J2B8^^}+E|_!_sqsFgKw~i| z_kA&hHl$I_dvz@}sbU;R)1@Oz^^$e>;({F4_?U3iVD1=Cp*2(@8p2W&7t8}oVB{Vb zygVbsV&hk>!6`8&a2J{(jJl>!ITHw%l{r34v@;#ip&kETO^b{QjF&=HIzY;zwR&!b z(Ue#UT#AVijirGu55rn?3p_Z*V3&I{qM(pl;q+C>MY(DI)(e)Qr6!QXQ2K^`=PBy$ zPxja5W349I)&%?xnMc1vtEfv~)sFp-b`4|V_EmJag95MDcHV$pRek$*$9}rpDW2^g z5NWvwir2yvrG|L#*HdVmD4JQ}i^Yec{dg_p>5PWG{3P68i$R)vS?obqI@CptfG^hJ zz7>2-z`Aq*SU{)0KgpUb0Vc)rQbggIAfV_-^s(mrrQvd86K3YbBv4%{N zK~A;|qXz$TD$UwYZJWolw=A7tZDCuJ);|QH{0=nMdFW~?enW|cZBNyh3x>kS&jcEu zXRoG7UliD_@0(n=qP(o{YFg4pfn&3dr0!>o#wo}aW%$23Pn%xFwNp$_?H5Z+tpt!K zWs@;mj7w@a+*``VDAi<^nkO-t1~;fsIGnnkQNd$0hbloX%y&aXUiL7Z-MMa6yIYR^ z^3zFD`X{uZ>5(x0)9oM?`kdOmcTHHk!vJ#{Cm zd5r?QGcNgN3-nU1^8tE4RDofrB8?o-hI|v!@E|>TuIgqXE93w<@j&03{s(BuNL3nP zQW(35_VF{?c+FUXM=w3+90*>yJxp(|x#nPS&q6GkhMc=jAsI619?F(vpZg9N1q&ZHHTg zP^;)=Uh~O)a58A$tf z`W96>kj&24rK2T#)d9y(u9>e9^LJkhrD}`z()nM4t#GbeRC1Da$|FDNk=#v{2n@Y1L zD;GS^`WB(iMRdrDo6o-UlxT87JL1U|i>0itc3}A~ZYxe4q-XCF*`>obST{B0?cDx7 zc>gx)dQoj3Wl9~{@H>|aDt#|Lw~Y#3^Xx7a{Fk5-la0f;QYC^Gc2HpD7+k$PKrKei z$q|%)r~@zgq%Hk0)*7No<3UTFQHWx-6}dl^9#mKPd48Sc{thSV1uqVH zwTos2eHBB->5O8?mk@Hx!r=Z{Fq+S`Q0B0kH6iAJ>1U@YBBzc_#`R6sC$w(3*1$PC zFfJo^i}`$@{5_d3DabE=aKXZ6Tm462nc zmkr04|L zUF^1xuzG!ic0mv2WmW|7RwJcQeye=!G}CB)Kn*$(#XbveSd}@fL=)BwGJMmq8$Dk> zTx8Cvg<_05&wJt zr(W#P%)xo=)9Wr{QNW3u9V*1Q0Jz_M^&in1Kg`Oo3*nsHplYw z@$E4^{FZO$~PJ9hL6@-k929Sg?~y19m4h{7E*?;_i5;^-4-9v z<>kOqPqTVk>E4h8_R3*1NMZ%`N?rGyN1;Og|b zm21CNi3z;-!FQ@LCFwz~EEE*-$eN=Ky#zd<68KIr;vBtrAJ?9L{iDT7P}gB7bT|4g z^M}2oUF$Q6o#Vtjv2$Jz7-T+$hkpM!iTZC7{V%7U-LU3k*d&ww>#8FJK9}Ti0_A_)UoAEq~{f9Nmw8`5r2D^WQ4$1^6&z8S2%H zEof6;_NGcZ_6jU>$C5YZPVGy3i>i^qvm7QRo+pFA&LVht4~Z1uKrE$Sqcj}S%?m2p zu7f82FpjVW+)J(Abo=@H_o$mYA52~^9eFLB7HAejZZ;o zbX87QPQ>UW^dy1j&jD$^ZHH2pFKWF52IZuY4B+pK_7L5{R+FgPuKhH2&SNWBTMKVP z4l=tjp+;vuqO5tlWcK>HBdt^#`D>=l83wMhKU+<+>-;bYl^X)%L+^Pr!`ta}yPR@h ztSc7>7RKbJ8LK|Fschnqk%LKK>>w-1$U7p0;w(QT8gokpr=vN%?w&U~-w&bLClxrS zR%m_r&vZm>tx$~4v3V%5JO<0VkSo4uF_DHYQk{D(Qx9cEvYz9q*HF*soXoZi0cp>F zv{aN54UdF2c)W4ejG z)3?4WvzE(4)O`Xzws8^fvUFu8Ovc1qR3J6<_-8I1&wpNa&F5r=ip+VlW^_{I=MzX} z9;m4Jg6oz9JTV)x3j8`UVUqa|9dbRC>#5r2%MN;s$pbDJ`w~6A@QGqA z$5^xABl#80Y)>*;%PtM6dmd*VMm#uChWF$6j0IJ9er^P$?(3{E=QGr%#WH{9a7#94 zfN?%}k5>(#nY-s7Oa&6|pdHU@S$}E9unFBcfM#yh>OW|kuR_iZv>I*|(aBAlLyVuv zJ^*vbfMj*Sh=H_bh6?xKMH;HoFo%aoR=qF9s`r~1&;K9bwQL2xIbxL1K8DG4ncHzg z{h2gVPp%JGu*LJMFMLcJue(R=J6U!Og**RHhj-sBUVNdfZ7w$mXuJG8M5rl^IQ zv_n2gf+e-UN&UtoSX7H%ZRo|_#^RyxnIdToQbaN21_;%qt7mTPNudeVK1Ey7r11dq z^HVAr&IEfpdkYa7wU52cyU0~bTWWiT$S;>W8@@HC;8q1`dC9LwAmd^!jtWP z(xX!8W%_IrYgjBbI|RQTDE`dKBf)y`?L+Zf$wDnbu0FzjRjIKwey+;6Zm@8!A5tRZdCu1}$Wjcp9n~uokTn zD3pb!vOQ0kN5Q@6z^&e*P)wJKLix~vX!rL{irmwsTexl<8y)>kG&1*OYfgmIk)ZrP zOS36DMmGj4$wrqR%jO^n8UJJ|A5jEj(&n(;Z?bEeZr^*u4S4=LkQbvgpeO0_5stj% z>{VUZ5N%|{&G)Z!RHAd7n6s$|5X%3;E$6cdv?+m_lRW3v7~CKgOTmqNLcQizb2cVP z26<@`|N0+C12g;2L+E_=BO*0aEjh9u@a}|cX0{nb(;^NF7{Nn&7DJ)${2=YeOXAB2 z*xFg3@V@YyC?16hL@P8wzOoj}N|9Cuc+o30lC|tg2L`HcYHilH2$g4u<=|Swong;B zP{HG&mJfrm+1Lq6+k@7gP^J584=okNsrfeqO&!;^j`gvIX%__f&Rr(J?0#S@ZQzZV zH$rz?d^)dEaAH_$R%XnpFm@Xy%M{OEyR)Cg`-If>Gt1n34f3x|oj|i1?I7&v#nDj` zdMdKwoo6tn?NvumuN2Bla_ZD#5vai2dzQKA`xC5CPH50F_gQ0FL0W23ZlNF@IF^1+P>t;8as66r zna4x4-RHwq`L6sz-=16c^xgHXjk+|BIyMF|eNLYo%_yMKLV7qe*lMq3-UC*MGp5Z4 z@upMT=)Hn&TWqZ!RMHZ+y@Oj&{y}OkmdmK@emR_|0Ys;xL;TJSB|1n`bBC?aIR>)h_Z~ob zS1Z1G2c>GLh63+HFs~`c`AlOpX?uZO1fkDoY85!u+7~Svqddkd<&U}3!^zxmnT)DQ zJ$rI%BQ@{BRT*7!WTT&gN6@u4!#zio`*2Bf8tcz^I$|stD=$kQxRJINjJ`Qt#2gk3 zq0-4z`;CzUX=0bd%%*{S&3Czrqok`S!GqVx2bs%JdPTuW6gWnm)DK}uY(kDKm)WBI zB>H=$0_zpSMp}62Z;age_0|L`vsn#NLlWZO!t#IKL|8Qt7*+`7ULS?K{TnZHf)4H9 zn7McVyh(Kke+a(OGxvQrj^j`Kz(N(VJO))D(pi~r9JT1Jgj$%xnfP~l;63Dx{K?H5p1y&niYfIjQ@_G# zWUWOnZK)n`ny#a%%UBh#S8>3W-kb;gKHpXPdRUdlAqryW9`KhNqv=FhReCP#`-jq6 z-!c4~mt^rAFVfimO#b;m9zJslqVv9#X?wqk@%;Y*<^%Oq^1p_wHQAj#E{M^0c4##; zu!4~~nSm^GwXXCug=%6kW+#Cw6>HWkh2W;mVM4t9u8awyNs(W5IsolY8Q+Pvq%KN( zR?W0pX@yRQviJzrK;!Dfg*110ESW{-5fo)D9)5BkSU`(wDX@=zeF5?OSJ*_J>*-)ptjk@8?!Ha^`R)s#v%>_M2| zuFEnP#(3o_ACJy?sODSvU((e)JH*lyi%Ql!y zT@5w-=A#)Ji@N1k0!qZ2Oo?}^;kOCQm>`$Wx^uvGH#Jy7noX;Oy9K;G6Oz?SNE==c zkrUKJYKggSV?9)IGGq*G_xV93&r$a+WZ20*&Y_J%6gVMUwwS|nxYsKLR`2W{$3=)( zQo+4axcg1f3{7DN7RqZvYK<9}I}wfHzyp1_z)cQgPR-5($v&$ZsvgXWg!__cqfVoN z)?QMracs-I0!=Dw&ds|yI$ap8NLZZ~KaTC!2VUjAmT+n3pJm@E>C`;-tC8)ja=Mvw ze`5S~ur<9tw2+cE{AM-PU|t{+WZVb&5r4j;g=cch`ZeTC61Wzl_(gc!;9n-tiJ7V| zxJ`#WUWgfJ)P!W?pAVtSixiXJ&J$3hZGLkMWR;8aXDiCYK8UG%nPO1A!LMACybRGPky?+!% zyisW^C+sRQX$Gn;;imaM_QLBYgs>UWl(C-oN5@m;#0x}fSl%er=LGhJAfU#gq? z9$LePOV&aU$vcG}s6DhAJoKu!hhFM9!!TJj48FYx2Idd|WRsme>wttcI) zG-(sqDgNnX@ifVgSH*opsKt_9vKz^L-rizR-Ve70FGA_qPpWhOnS+hw4t#o>VTM7d z8hNUZTdc=gs+bvZ?ql5Z^rtnHFJBbZ|7Q3}f5TmSQasIW{E?dH%xx#iHqm;gN#pYq zf{-in5l^ir)4C;xW#%3Yv_yyRklHqw;(Xq9m;A$V(YLRT4*3u?9gwEQa%xsl&!VAe z$SOL3u_ko`>A{heQu-=aCf$}U$Wv#d^pBTlcsmOA#LfH4$T-EntD)c|-2OA3^N&^X zU=FJ{hP7Y;s>Jg?aQ}@gS$9i>PEQgomYz(baRGj)J3T|3cz#Q?zOz6gv(XAB`G44Z z3*ah}FK%=$8-nZNw%7u90y%?2a9P~l9Ts=jKp+OeC3tWrz~b)CF2UV>S=K% zpDJgxlHk@57H?kh*Rpo{m9kt8qtZn;TiP;~rJ=2X-}N?DQ`7rbNuL^~&sQ$@vrut} zEtO*`ojfJiGaX<)374A_NykbcFlS%_qui}L0=3vI)+!ZfNyYbUr%Kb)=q;7=usD72 zP6;~mD3pfNS^YCGa(zC9k0Y^ly>(-o5 zTHi*3%dmG4mGiK@X1nfO`Qt zmCn^c=}Y{Lt?|v#bflS#{_$DYgh-;eu#JDSbnUc#sNJ0KY|lFENDSit>|L3jugp!C zHf^xny@{C?fAShi8u*N^oF8MITF1*QcyBlQs%Zy=P@6gX1<%(i1Lh@ryg7~KXB#`s zpW@Ay{gSnc=c4ieAfO2IT2)tPy?5%>*wBrh(QW`0+9t!CG7^cIgE4n>PT8L_c9h`k z{P*_|3FcL_F^K;1OZhf`5xG7e(Cn&O;I#OVXN34(S8(lzr3XQocm~7uF@GNo3&n5d zU+^Ia^UjGM!0sUkM~ZHjV6h#d&d*tl=A6#qE+rA(-!eaOX05e&=3cufYlF9F5-&&S zx}NlAK`9#0?XliaIgbYLS)YKwsJt&J)5{zN4jEtT%2i;SD3KpE;1krbs<(qOe*olT z+ZITjKTD5XhCQ;CaS3>x8MkQ9q4Y+FNfUMRB+w>Ml=JYZwKwyP6!T^Jo`*{d!d7Bf zg0*ForZ8Vyx3YB`rD~b4p4u^(YSxwc8gD3&OHeGZ`%i)+`QaFLxrcYG`x5?q6`1-b zzg+V3iYh` zkKZ|tvGGVA<5|r>F;FZLvV*AH7v8I7oH_K%VYzLV`5^VaGv%}XgV&eUKkWtPjw{%DTWXrcJozVy>4>DM3*F%)zRmH&;G`y(Xwgsag;05#{Q zjFMP7MnVe!YN~^cJ$t!hE3(?N=lyhybrFwMWsPeSX!(}|bUwI+9-mV%;qJ3SQBs5F zewjv-cYNu%yci>)=Rd=z{teD5x?#X9137)hyT=`lFVOP}5ksiK5$Tb6Go9qx2`10x z4Lw(-YYi)HaqS9oL~=j#&t2(6a@jY4`iNL^3(8~%YKm?nwZr}b!3R%4uH3AS->~O-0GLy zg8}DCHJO&itixW$tqDE1@Ku#hs==2wxM^WjX3&M&!@nu7EYn7eWqUdb%8m0&;s+$947?( zwD|f|+MhzE?`xEbrvg%g`Sr|?HrFCjt9#|(CB({ff{}nQi=i+ z?I8W*KaHSv`6QV0d8vvmqxq}-+=8jd7`$Tv%R!B2Q1Q5U<=)qgipG_LceA;)aC`G% z+S7oVa(lZ|IP9j|I|}Q(Ngp z6xVoL;wWt$dQ?73dsD}qb7|+`I6h^S#2Ukhczn%Y>zDC8o$bSe8prqO*rP8VY&&-> z23zM`il9>EqY2v)4k(^SLP>dTEMIakXe{kaC`W>?vn1TKWqzu~bb)t(;o8N-jEpt5 zCz?6Rx5drLFH4(Syxsye?kZZ@p34CaUzkEigcp~OlejBw^zs+EB2X1J2JYJmLoV)* zP?D$6wOhd4`5De4v2090lxKop;}+$(!%&!Z9-yXm9!uXNq+eUWU_o~vM7@REF$J2X z#3H+?=c3*m$a;qLZA9_;+_^SY4(ptu$tM9cAtiL;x&L-*b?c=VeYYvcl?HU@hj_0I zTudMTkz;$^*gFWvbr(J8Gz>MDL!d{MP*si#v281uZinhoRr%7-+GV+pmC5k|gw4`J zsi|)y9pzIk#@Llfc{f@!QZjY7V(L34 z0f+utX7GJ^2P;U5v6Nh1T-s;&P?}gt9wva(Lxf0PgM=~&HOjXX^4elI?>7*$w3y!0 zc3)^uh2j+^W6x8aH_OXMoU_VP6q@$t@JBjvE~8iuk&@LxxLk46$R7ajj-Eoh&r1W& zuF}}kY-8F5(5))Ru*5y(JWx(P%>xF~j*@P}5&~2XwxB*!|6709$CbM%4-dyk1I7{^ zZ4+M3i72+>3fJ=hsMW&D`C)pT(pUje+H(8TMSB^S=zkTc)d~ur_mfM~xi(pRnuCpa z%ENXqBHr9en9g{*s^M{#6j({BXR#ZQvwEBOz?^_1aOZO7mPTIQTYhdZC6!v%-xI3h?q zYq+?hHt=iSX&OxqiW0q=E?l{Yf_KpI*oaheaPKkHAiY$@{H%&@Ns;o3E*{w*^=1QG zet4vgKkB{hE-|1b1j={8ve$tTIX{20NQ6gz0%0ZN#L0}`Xq%mfG@<~wo=xyx2kvyE zv5RCh$jzmN8Spk0?gsM9^orM}9=Fd?Y~g!6c*O!da<2V7Y3R7pbSwJhl6DPA{b@?+46ZA{=dcbd}!qOKTj&@C)#UopyOZ@B3e5Wj`Lb!ar(TsO zQ^I=}=xF3bOC8ojBs}@Xm%_APKsE24qd1uY#F6wu*F@#Kl6sV5dX+F5G(v8iS;;&X zx@NVl)Zyr+p%{g7TFtx%ndXNlK~(-hxN!HFs}sweMCl61G+QPQqPCqR7^)!Rw*Yg- z^}o!2fx9yCPThZ7?&PAW$6!AX(Fu3X9l9!~SseD05w@V5X7U|d?Bi4nwdhWer~^e; zFHFCW{$gD~WPxv1`9htylfBTE{dgU(H=E`ymOaT_OsUY+^3ayDcx8AK zO|#16F>Y=usEd;>t%~te`piwK#ooChK;xD^5lhe!x7C9Y)qXjavSpRt;RfrbsJsHp z+wp=6+Z5(5OJ|F4FBYr7_#(paF|@I{Tv(4~Yhs}IB&_6+iiyBMJt!idDefZSSmK^i zIeTPt;!joJ`ag~)5jiriVL^P%6B(6t98b!+S5K1=~bFuygjIoR%T#T zdZWz}^4;>9s$Pia(=ax;al?LFZ(g=5T5LL{SL-{|^T0%9(dh$mHomA;6W`FF{vCfnsdN$Qw zeO(`=!0lP9WKB()U7!MWk{ET8Ruz{3i2&Wu%(&tm8%rB1%JH>BN*&6>et2z^r4AjM zJhfL+lQHR0d08}-f9XKl&~YRmsJ~4As0?@+6dB&g*00et+S)cs^h*YFS|i$S0J^IJ zfs(usvRf%RK%SYEPpINrlWtY{^lC%(o{F%#Cf)k#AyhQ;Rx0gys+(sdJ;Zr9zav;2 zo^q8|cFthn{%^5_k|IU7-N7PG)S1;R*s z#RYtZCqQwnDJL+tHE?c9*Pi}5-dY6O%e39X>%wUB9=V>0(UpRlh8!8krE2{PO{7i^ z2}Z~jt@HrHf?f~3G?T^)1Pa`k>80Wh>hE=J}-E+G*iyPZE1Y7umF^9&>+caDKCSxgWdFj{q zk8)8#V;~)eU9LF_?Xv!S3^%K#hr(5QH;9()mYuzntRw<8zPpqckHcZB0IgMdY^@Rj z8S;t0A&`c)EKjx4Z*baW$@SU>BD*hEdJ~0AeMjeJCG)|pU!u@4$U79h>?(ay8Ar|) zHg>jYuU}P)+<>8u(aam$z0v-ZWaCaswK+r&QqiC%Ge8>!scttWQ($`;zxk8|84YlG zjCK=k&#J;V6Ba6OBp$Lv7x_FIUy2t;42O1d#4NhM^*)XLb(wXL!4p(|2ji(-ORS<+ z3$Ihj!Wpb9T~Sy*#|{v+OgvfGSPw=`h~x?Q`3$eb0|O}{rqhE3YHvY#I`A_MUd|5$ z?EJgi&n`~R4aUE2V`cKr$v=canXZHJN)@;MtXs1!BFnFWJf(T%cC_$rBcY15SX)F4 z^+L@{xh=Ew`J8e|f-vB&P$n3pBg2*eDpXxg#w25HaZ90&guIEI(4M4weZv?XxNl8|j-)pkBdbeFVm)F*;uGI+e3{BbNsh$go} z9hTtb_Q)Vv4FYY6m(mt^2X0Xg1zBa{ryNWBo49!g3#=TM-{w>H?ux1KBDN$j!4 z6J;$)H4-$_#mX|2ObrM37UmznVK>^vM?W4oxJ+k6m0Cty$nSRavjvm(WG15KWq8GwK57$Q@vy-U!cQIvYrjmDIoX=q;w@!?uLt|wW z9L*Xi%-|OoXDWUVnaZH@@IK)*@zPbA_xpb9Lb=QEOb1Z; z?WxD<-L8a2?@bfL5ov;MtC0@-m(#!%(s{UWSboyr@p5{#TY~Ge2;F?D!W{c7IxBfw zl{cT;mA;){F4*!!ML`R!N63tqk-eH z&9j2W!8rMr2Y>CSa}(&JpH$?}{8wQ_yjM|<&e_h#4WVy!x03hQYI-VGAHkL^48{ea znqz*%FdCIXmd>WkAR9XdM|Ch&d8V1(!^I{o5n>;r*-b2cEu?oarAZ){V!&mH{t8bq^KNpJxH8le{9=1p$w%sokg7QfOGx@VOL;Y>(N5ky#UHM;*JnW38kqi7-GZ0)MmeAXp>p? z*{bqokj)!lK5k`QS~S&1q!!J&azXbHR%FLYXmi~1L+JdQBcgI%{UNix0?Za1mu-!w zW?4GKnydK}Og#!C|LuJs)t@Hm25KVt=SE{5<y$Iup`FUx-9G>>GZQ?Pi~-5uC9XLQTk}dbDL}UYb#9x9+F1+|?{u?JGjf zfzey&&l&%Soy@p?&>E!@4d3QFV^$83xkEJDn8?qcG5c&^;Zs%R2sPW3DspE}bMU!% z4lP^L5!CXDyfAW%QpG8tsV=;nl6Rb86YP5xrTGzJwNX zZ)51!RDnXzkt6e;G)){cnjXO-ZoUBOUqphDa0mmQ4PEO61QcPj>#Vk)aZ%(c(3WzY zT2q{B`Dsy;I6lGf8LrRmTi@uKBe#(>?|fa{e&q_@nq)c80beG+=9BarN}%GCQjquk zC80F)z&Wwz;D(C+t6(LEAn#I@{ApT{Gy{w$2zTGuw30b*qiIX4+(yqsL3%f3{#N_G zJ?7G@obni9NKhR1b>1|=T1Dnkcv=ZI$)j~HCOJ*qNg0tH@!JoItc(cjJp2{v zmtkHOuz};cx+|`2hNyFaH=iF2rb+jtD#oZ1 z?;?V4S%f}O+Vn%|MiyyfzbMqk!nYI$bxN7)KRRGPrBJg7dsws!MQtiZ%jS6b%vPuk zLFFysk$*m!z!;od7fa*Ki|m6?`4V{K1w$s&h?wD+09FT~$X4a5bmF$WBtdzH$|l`M z9JA?c61h&3o0bB_nheJTe4}g(KGloCB2XQMY4bH=qa5DP#!%ax(ulANOQ_;5Oh@M7 z(xymW6j@{sSA_ir?{EcG9DLo9#`P*l#kMZAuAHa`J!*m~22`3v_g+O&y%CKuT`C{p z89Kn?l7+UXE}v&pomV+@USKI7KFyXd;Xr4vd%Pml;L~_2`1Pz_ShXGV75{g<@kP2< zJgIT5(FFY%+n8|oK0vw%(_ve*ZY|YHCufn@F*3qoUj))8S)h*T)!S40C$lNx-VN3X zOE?5hI?X3@R2?`Y@kP;qvv;F>t1Vmzce_SZ>tEV(qIlZ>n2S`lDA08&*vcUdeY zFh7qD!j}l%SUzK%@N4}TS4-Y}DGPDMLD4&OJTgE6eK4sontC7zThHcy&CH0y}KDm}byG5nULS0K%5 zEWvm952EXEhh<|sAii{a31(dc-RHnr=ZA-QoVpGIqU`(64T1K@%82%Zp9xuIw2^<- zB)`*kDsZ8jK3&P1S9I`6V%&oEhi@QdpBw3VD#i-a?XB`2K@*xw%4bmK*RY zQ*Rh;_X`u6`dVq~R&az+S}_$%F&-*`Rs%<(P+Bd;SUi20bzQWDTGg%-=);~ZLZEk; z=OXLDjIT7o92JJq-?QZac^Bo^n#2-l*V>QmV%HWb9%q&gUCBPJdwDu{e6{|oV(Kun zbl&5URB6#$aS;zZvc*(BkHz2i&xX+y)LyoYb6Et}1m+ij+z*4K0ADp*5Wn(3BXdo- zo|xbHKgBg1;NTZq71aR_n8GsMy;XYFlK!M$mP0{UEhqGRDcp+qMwPzz!po^Q%+naOM>3G9XL6~`U zQfrjHiL5s7f}*}vFXj_q{#9PJ!8r-%1$ZgUzr>5QUsI_4gwjReJSG-uPU99Aq`ZIE z(9bGxA?SiQvo^9%D@vDaHZ|y%)#sZ6pMZtN=ccrl*D@L7%U2bcpHPIAhY=M9VN(*+ zqJSfEQD7L~berS`*UN`a^I1G7?F_~puWxfOIGmA|x_0kWW+Uo_tbCWMTrvmm!xO60 zFGD5?y3NW3I1q$6gE3tyDt`$z<4n_G4nq~|-`L9Tc?GV+k(K{x`wy3;wKspE27@2y zWmV#u1H)U}k#Sv~agQ1Yq&4)})%6<6arMD`V@q)Mr^1aXUDXBRF02e*F({|OcYL{n zLu(Ul(4OQ=T?NXeNAYF_t~}e_tiFHstSG=CjQM-8g-?a#(QTlw1lm7OnEhwP76(Lq z4#S9!rPZkQpLY6Ed4*nANsNzK=HuBga!|hgRO`*`r#@t%;N>FXx=LUxA>1NH9ID zwTJf33fFI_w%@@kVm!ze_rerPd?t#%zTKercj3uwe6#QRAxd50EB&0Mf^|0YB<7EF zxwU=#`3_ClcJ?=nE3AZ1F^hSU*1F`|{+QHrS%3lf!SNKB|0`IlgbMy0EG8oU6>M9C zB>jirk<2yi5=7z*UW`BF;2i2iKiXxX9|@4;f9G+ zXTTNm8&yufu9(W}Wc+P|m^bXW2GF;va@--mVhbN{rD-APQQ3me`Nqv6QwvwNoCjO} z6|7YR8qVNo##f*ws(I`VcBx#;|Nju|C2HoEs773*Rk8|lxL7A_?{acHML`#Qr&Z8;yB^Vd8i17XbaqKs+MdfP)TXeR@_EI8_@!hkd zv`dYHsCJlSDmv}|V!#;F+^0HZyap#mk=2+cHWVCInD>MwPlxx)^l3D0{B@P^Ygf5) z5uhKU+ut2@tF$JUw#Z&pslz$5@{u)L)0?UVX!*6dIwz0v@#TV2c9VabL~oazB-~en zi;qPDE~=Jb%^5I>Yo9 z*K6YeU4QLwdwVK9c#b%g5;OM#wd^b`M{`)xva>yC;1QW=_Kn^5Z#H8qeR?NPz1gY= z;{)wuB$n$)ciMYamad>mmtcuGLLf0!I&b!V`c5t_qCqAo${4w@{iX$(f*WDTd;Ii zXp27zeuj%srOWLpY^|L4N5OdTEsyx@wa$~I#LjVav*ayMQ)bX%PbZxXQx0m(MCD<4 z)q63HM&6Z2UN%&k+7*@e!%Go{DXasEu#`}T3Qz}iU=RLJbF3>{qBY z1=4--QU_OH-`o+U4p!xla`dJdzOrw?f0cTE3lihYZLFc7vAN_eoI+yRA+c&)xg!;y z8lP^iTw!V^;Cq49c9eEbz>Bi#3JXmJdw9z)X~i+9U~W!GOc+x#{8bTlc62(X6?mfv%k{jJeTE{e z2>AJ25LU*IiY>`N*`Ku5y_qn#PEV(e6X{_18KF$3A{|D(*U-ZFArt9#I|+WxmPJWp{BT^p~n6o>VsEImCpamt8t@9>}sT?!WdI0m&?bySQZLJ25Q0#cB69M!R^o{aIo;# zC2D^vwp2vD>G@+9bttGTGzpWSokkVTPg|u9Z;^`?LKP|s;&9fdZt~!w>TuQt4@T=- zU^cE#yJ2HUySPQfZ|J$e{4$z-hVg+5jP;0;vg1)xwQWbUX~g(I+>JCd&3Fn)9bliS zm})h!HLM2ZF3m%ptcvDg`WE=jmXVb3tIRY_cW;4}p2I??#;&|{jD>2qst7%|T+b8q zU7Ft;Kt&f@KGjmibQtSVdR{$Wuoa2E!0Yq`@$a^UQP%tOguHVpW%6cWX}rYM;yG6R z;c`ps8HpgNR{i1VM;z&BIS=khYTEPR!)g6dsZ1x7cMw%HWeK>bLouZe!$8wG7`gLv zm=P9);bK&67>r!0Ly+;*o=)X!>DjS3)^Jl=p_1chgRQLSGMVd`%1o-BPwp$&h~sfZh5279jZ zxqPbhD92E!V(BZd$aW&R5r0h&y|N0iZIK)VqJ>1OCQ_n_5_46zwh+ky0*Wm%cp^<0 zF2PujYz^&yM_Qv)v0R67_5!N-4pa`Kuob9TlP*`XQjK4UIpe?$#^iDJuF_zk{3jn99yH=vUFwozoV zC;Cm*_B%vizA=M)bNSBE@TUolifcDm3(Us@E8N6=A)V$EtZ{SVusHv2t@?BxTSJ`fbraHJ;8Df?BUwa&4 z#S$C%*&mvEo)^|HA0haTAC;z7{Plu$d3d!hXZC1a0@~zlj<(yhWR%vg>b6!qsoSetEa55zUPFVhX2m^WBW#)!gV?6KFW*>v-iR3@|Tcwjef33U{kJDYx= zC&9JYiwd@UW~>}bAkgx=Hqx`TH_1ETsddPiFJe>z5ElID5X^se0G}jcFP%{xl*I|C_FsHf>xzFU&-zlE=gerbx zCTV|_?M+l*g{V9skJhBcAXXGtWBUo6+*+G2`kpT$dsI9GD$RC|`oRS(^@mHd<8o;4 z;oO`{o8X&X{7oXkI;YA_@CcNyjlRndOV2^+jS%P$!94oq(3bsc>6Bd9ftO2Z9#8du znuGsX9Q9Vk%h`zd9LoP?M9d879gc(KT<}cFfZx3@rbqEX_Tu3I2u;HYbhaMTy3m*5 zBLvUwisvD4?Ek=r8joK1-Y)4jLbd~SOoz+;A-E2}A}0P2T!Epsa`@(l;4*-e|5xx% zPWv2H-NB|hgfq^zE)eJsE9c$g4o6vt#2L&&kUXCUcJo;SmfLG^r@z}Gl3XzICVPV^ zs*YU0b|#5)?r?#*rmepcOnEv=a9+h!T(4~NMg(qp^}KJWALpw4n7WpRa52=u8Jt!z zbtg#Xvy3dJj(lEL_hPVHG*}tYlkUEieSf6TRzk-0TfO(BDa+XZG1&&lZ-^LO%KOoZ z^cksHt&92@(E?VsqLnc6%bcKQ|*$ zsAD?ytt`X)BNg6LnYn`sR1IF7u@6?2&xM-V*u!cOk-aG5#UMW7Wv0GEq1F*<=HRBZ z91r+BkEeU6_v)s)xu!@V#y41X4W>)8w-7w?C5}WQ8t{H;zQG$#{rrIdY94cT3>JS{}j)-)yZzuI_G7yUCtGj1)Zp{G@-J%Llo1nRs7PoX!>UaF5%=@au%b(awYtt|if^33zcc1y zMw73x93ewr$(CZQHi_gg5Vdzx!W&zx3*Lx=wX>#RLM)nbRfrAkCPxk=!VFc(pBn((x`UgMPZn{BvJy~GtjTM zY_)$wlxx2?c-ug4|8__c4Z2(!WHM5{2}yG>yWGHi7^ks0f5$wJe@ri*Yu4a_&@V^V z&&Ogl@A>LzRuqmxR@KIxERlG6{g7X9ajS#{gfm))_kedQ4$LA^^V`q?w+BFlX zbnalfi%GAF6oEtPBc}Q&ct-aTu5YZcKAZkw?4P~`fGwOxZ!V?z=UrS`k#w$frPF5$>7&>Licg^7taRZ= zL0gYJ0R@%a@9filT2>NA0Fdk*MXG5;xHG3B-&D27yIV_anv)ckO{n_}pm)9!#IHwMSeH6#IiMfuvd^J4bZ5XZ?RE{}8)xrBXL#VFzMe0hR zV_PO!BX-Y1(wo+(yJMnrP_#);>D4#%q#-dHVSjz|eoLN_5DVybbYLCy$g>HPRQQ+2 z7()(+lnybxil%Fpr7SVRf#&P?oC^B+@5&iw!%^Fb}=dQ@e% z>;Rez)dXx;5?)NdQuxg6fUZiGlbw;MSDP5{EPA=Y`ETG?0E|-V@lkLqF_HRC4x6G^ z@9e4(Xf`21Wi$UT{^#qquo&}IU0#=bVFAds351i74ED`h_20{NC7g7Srgp?NreYy6 zoTP3B<} zv0&6}GanH9BV{%>u4?M%Q95WmR?_pkEQ7L72qp}zd3-~-Uv6I13(B>JBJJ)^=oX|Y z)y_v4ATz`F@nbVtit5nCDH!@LL`A=jdml6YDQ#cjh)E6OVV3ahc85hlWzX`C$)hVc z5l~T2`(OlE3Dnymhh`8um)7}j;`s1{$-{}PWcwNqMtRK|yCZ^8K`z93T?$ zhB1^&ieLqOdaDHJvlp4-jQLt>5ctn>CTES_Ie~E-}Rjod79$ z#6Y`r&Bqz5v@9wmd!%?H8~zMP!%sKBXoXL7riC?nOVC7L&vVd?Q-FTq3s;;gd?4Xu z18I$;EvjsGtMYb$#xE5x712@3`T~v|YEK_PhtvV%KDu$5oeN!6|C4=Dn5t1;;n1Tgb!rMMSRKZ9X`f$D3dybf^`^TN(R1*FQcPh)69%0((Ml-EJDMR5 zCYJK?{z<9Jm)i|89eZF!huG{4OgN9Q>2f3Qky(LE{*{VFpY1}kF_JbzIt=d|dyI75 z8_FW}4(f)8>h1>mMq$PeMqffvZ|KL_lZdl>O@SQN4TBOd4*lV>g=l1Bwvx9MUJDy+ zwdkV7oUfRh+0}g0n1w1s98Of%Y~2A|G2Lt(%X!*?8|F-uO@Q;j4DaHrg7dFQoma_3Jb?vp&;GD2A>(|m^Ema&8L@k)O;5tA_&pv@d}CFU~nY^j%I-#K1E4q&wI zwALk5Rva#85g%#l?V+#GI+rDxbOJ(u9~ZwEMNIs*d9cJr=dTI|VW3XjQq=o~czc}V zcN25?DKkGLvhe*K{~SpFX4Tr zA+*NONl)P#TTS$qS~VzlLgM(Z@d->6go>t2u*A_M1?aeI-+${QnI`DW6SpWN(dsSo`O%LkVxITb=HQSY-cHZj!_2~vw|okIUXeBSZ= zV?#<1um`lG=Srsv%!`8C6^@93nXcEDRRni}MZ<&MdupG_-)*@`WOJx%^;5KcBfEA& zfZ3u$rU`v=e&OCzt=5M6Ej$R1$Rwyc27R^6Li4vA@m%QZc>rzRT(GgZgU1V}aGtMg z>5q>cpqhsYMowkZa==mnx#`_Zj-Jm41tO^Roz6RPj5p1buo)azJC=SK~)koekjwYIsknShcmxdORS9N&V^MD9N+lnzp~6S?N8r zc^3_(3@JQPV`!|Lvj3nZ&qnX)SmpG((L{ku7e*x$2d<3AtR>7jKfXqoi z4KzZFQ@J40$O#!+l@uCnKOpQ_JnT7uJo+3(|1Dc9o*yyjVGf>C|!I5oBg;3YpKku z|3$-TI&OS|yA(!J9vF!s(it3UM~2%JZjvm0T1HDDXCq;hHq3re(34Y9g)dWnw_fi& z`){nSVU;Bs`1&_k4*1m6exeQnvo)2ewW~NNjde}C#1gnRIECwY-*e7s_6CQ=$2DnP z<|f`0IlbA03$fxHzf1=sy59HR-g8%9t7HZ9wI!q5HrlkxUwEGaG2T6y$VKk6Ayeos z#bDF^-n<`gcN!1Ctw{$GW-A_?K|Qa;&}XE)@v%BjF6N3Rm)|x3JSf7l!fElc>yqkHW?`+< zVB@~K+j~=MYdc?kcRiQ`Vgep%wZ#5pD=Vk$vk1{bg)a|qznrsrzy`Ygo?9V9)+D3_ zEUw1Sx5RM!PNNi_l&V>=@FA;I85$U#jP4E15X(5-`_&>q|3k`&rt&9OuqAHw){M^Bnu*o1N)*Yr-^$q3t9IE8 zcOMG~9ZT-Xq&rBKz!I)Q91Q^4az8aw#b)4MUn=sWBtcnXN3Y1|W=)20UYg<^(ome0 zHdX&S2nyMDvVqTIDmGdNF8)kf{Z}MusB{;PLsZ{G7UxJYoTJ#64bV3NKeEax<&K`d z;?-gC8p~KtnXPRk7_bT#Mu*$BlxE*PSk}kV#-UmF?sE*E05f!n5-kxdH7Iw4x+urk zB*sHD);0KRcMTXTHfHt4$5}p(t=j?Wr4TK!`Zn9qtPS_jw)bu{X&TJMaJ4Fb#+|cF zUThIZR88lp=96NfiS=DR8dey2Dp_Sal0H#YOzc1dTnYf94cxJ}RkBq3nn9gy9L8>V z+&&S@_|;@e7JmM1kut4WeBS+} zEhrwy>OwsXzxFMGc*zKIo?zSfrxrdErHKCjD>dF8^Uz7 zh|x7`RX7Ymp131(`|4ZM_G%2D60`Uj2lZQG`rZ&IFTZq*^Sb3uUVaV59*;ZmJ__)< zAwwW^@RDW9V0TtQ2h-BwJC$m#zl{b1t^#05P+k1rxzt?I{#B=zooKbeWykHbOzmjd z66E2S-moM!(^7o7VfDTJ?#SqER60e}d7u1Ylr*JRaGf`1DTi)zvw=O453IW@Lsp?F zh?7j+X>r9-O4JU1)Lg?1iJFsxKePGVqev-Z}N5?*1 z;SRA_f50u7GfdK^>0#XElGz%oehb+zT4f<-^B>)rmFo-S{OUGK&q$q z8_#*V-muh?+x)XqeR`9Hb%G*J!}3dT#qn>O7F?qdd*4a2mBKWDI$0C3%NDXr#`K{(#KvbQRMy4cR-|gIFTg?tkd8Zu# zcm(Eh|Api`M4;oKUsevIW7OKxl9et=Dv05wMn_k5{MF6MKiLm-Wk?l3%M;MdCXi9GrDbsp=kvlUDb3}NG{4w5$jKUCNpJzY)i|$ zb*EaOCP9<23s`59E#&ncExzV92@cpu&J4v&a_Y{zq7yY^osIPbw7U-XcCAKO~} zyM2DDa72-YC+)u-CMTZO1aSsuUF;y!w**S#jzFK3J6G};d;qa}SZBP<+V6IhB)chr z4?+kC(sbDy6w;5H79{s4e#>q9+o!vMdqLOb1bZR&j1S2$5b-27=1lrbi@LUdjGl{_ z9*q>2nDQQd*rbb0C`euLqjy7Y8+~UQ!)>+1jwl$dyQr2!8k`w(%+7t{t`QF<`j~kn8apPw3$Qeoi-<;dvO<*h~TsT;7Oypi}AD zid!QUA}3J)a1kGnqPrdjt* zZ&{q^)=_T^Q=sS+Knkl~yia@mcfBT1CV;d&r}U5O^}JJSh~>E+y5X-ZKtbRuxr!Ji zazk*y^%`G57a(=RQuQZ9_@6`WoeZw2{HnwApuAuzho~ByOBIoUBNazT7I|#v^8udL z03}8>q_LB&N>Og$9MI?oldFy(j;(N081HCgG88o~dxQ3?XfoCtyWIMdJt5EuNc{wt zXT^9h+T8!L4o%0-`7@-b)BPv3=75fTgtA=yv z@dSmwaI&16qHFaA>(G2_s(k}FyTj@vJkCL;aQ&7R`f~UlHyu0LFLk;IYMYo~dK*0m zTbfE}Tvz94r?_Wc+XBXJ%ThpAuJ`ypw%XQGP^GX^7FyHTI4H~T7-sqDb!HL>9LZF~ z%m>WL@w*r6N4>0{+ivm#Yd9_K=c#Bneuk8xk4;hbw{`|G3LV0x4>2)*BDgXw(f z8WAVC?1xQ-fy4n`LQxyU%M2E3GLrCOXX4c%4=)rQN{8z}t&`#mgs`UN{PeR()p><@=v4a3&Q8JQ11)u9M9^Me4x2isYR4|&Tbylc;YX>{IVB&ij1O$?dqLE`gDyO@9l^%eGnPd3=BBgs(jlYi_tLaLD-Bv1Fu2 z7T#ow`*0`D{scQl>>EmRSg&gB_m|LlwMhvZsE=4r;!{pd$i8}*vRsgS4raaH#*n^5 zd}x+dmw4^3cD!EI^vd2_lU`YI%<0}c;aZ#HXqGQ|*5^@Mn5aJ5IYvvE>M%pXX#I+G zzhZc}8?$rTk+sbKH`=^JHnME|cAqH#g9k0UzmfKP}brTNk`uj5W6G>I!LLV$df+HG^C-Wx4)b}ocw0)yEMo0 ziX$#BVxs%l(4B~Gg=sh~V4&`h%WH~`6i0qSIcfy4q9GBC!7K4I0(A}MG}DBT$cAe5 zv?Y$#T(4d;W_%}SXD0uZWuOf-^NP2;gqQTWgbYl+Dw&S7@?*YoERK+EQrJ^QbK-?l zP}zc2^Ab5Gl_&zTbdEu|I}ghGoUJdS znQIzQ%{bITlu-|>>(Ls(IX-Otpw{?lLZH@~rJs?ue#GTqrL~~$;bjc@`)#h*!x673 zWar}HD8~d3DZ9utIVj&e*msT2nQSI}@@xN=kJ7pmX^-Ipfv%+S zu*ph(bU4N;RroSQic}+H?CoMrn#zjsR3_WP0HZmv8m6^Enex|X#quUj?;mcSde>O|zRa-uL{O?j1>jKRlLg!e!0N)iTO7{z)mMBf|Rvy$K z^@(vJ$TI{V&Azg|g(0jDbFzIQXU;uJ>Rl>y)n(7Q#F~tf^@vrUMM>cVCVO8}BbGRt z#;Ev0$m*WxN{bK9e4g&nY>i*%qd1@_%-Oq($v2HKVQo%plneTT;KxEjBL9{-hGx*e z&3)grIG4j{w1-m05U!8s0u9PVtcLKrC(XZ^7nZ%aqLoF`5H|7q3ua#BcVU<9 z1lNq%qLw&wzA7bcAY~x*g{gQail2sg6`s7s9yrSy0{c=UC{CyUhDL;V%fI3q=c360 zbxXLdGxO1#9f`pd7g}5Y?6SCpjOOl2Yg-w~{WK1(M{F7LNbM`=khMM9CAS$&!cK2G#l^6|Jc=zmQmAigE-@IMb%#@K zg_yb<2Y*cvsY+ToF(AuN;jA}}z4uMd5-1fSkC}iYcPn0MduQ?brZ_*WGJSkA*1>M- zQD(Lk-C-^@?V`KHB4>3e8K$b|6o?h*x(NQzb6x%<_3sGgCns;l61#*TK73`?E}fQ= zaB)vInjtJHZE~>8s0OGe-XD2vp-hKx?h!=fw5(pmA1L2{c;O9-he(CD`X$rqjf4=`*KcY|lLEg<;Y6QvG&8=1@ea67?>LC>bp1f6~QR zt{6KW9u_V?@Yi)+ZHCwqEaBy{T^?~3HFOaP1Kpf~3ej34X{z1BEDKK*ET%#2DCY0p zwN!HXTF>OuKmPshvV|kVIfyzTbH<7l#oI&OfY4Fw&vH!z0~TzVSw?nrOJzqm@Byht z?c78Dzv`dDKY2i_X}1N<74DCTL7-?vps(SAAa*b^EV;cd=z6=U+bYKrI~b>wv=5I# zGa?czk+l0GglEPHo?{cw=W-Ty*mN*d$~D>(_^woRJCn{Oa!=9fLg6=%?R$N7P@Lp6>?SAKs zn^8Lp>7&>F;K|2bzT%~xdOZ-mtgQc>_Z*nAOR3KV#!53mmgz3u|F9yW1GJ4U<{ZYC zUk9-Nl%tLPLE5%H$O^H(m9h98_JWN+)v)Yl7vkv+x_KDGOyNHI zi4Jo$VxYMH$t7>CvJe|xVf90mpZDLOq=6c^g=7T#5J!W>izqFqb^=A0ECL1swcgAR zZ%N#hev_A}8|FO>oxHC+^i{$VJEPsoayt|GG?!&6*|#5Fgk}9CRe(v~Y+fCFnfpB( z5)v!cPT0?X$AtjXXPX@kk3vg{$vCUL>Ng4cV$z_(U~v3T>1H5vE)m8t#c z(0X&z=W>?5PmO2i*+xsbK^i1Jh35Ix$XOB56Yh}1hYe9UyZXpNoqRgvs0Qun@3n;J zfQy)mgKZ=kn69-+JbMCKUlP6SW!Gq~e-xh{7-fbh>GPaS%&6wH={{4*`*)}o)?2i5 zN$g>wb~0!F(Kx}9=vvCVL1UP86|^yRrq9$BJ9|kn=$R@2n}KE({bdoOuMcHGqun9) zK~}wWC#7}I2+|KCA6Izpa{(3JO~dnJAaVT)N=#vo8+AhgV)Pr2V{iI^V$G$~`1vXLszvypdPMlgS5(BYF9 zmxpt<7D-C(2i%g=FeP44M^o-ouQaDopkjMBt}o$R8rU^EA+DKMFR_-lbyzL( zu*r3>3!scsj=qVTO()SmU;ilJ6EJB8xM0E3jE;W}8^LjEg)6P+ZTa)dIJH<*NaXW1 zVoebyKw1FZm2%xYgQ%mdv-+&yjMtF*5cd;jx3VRlV^Lnd!K-n`tRqS&GEhO?xr^gzSnM2EI%~A+|&sd{kU&qwD&5uTSGh(<> z4W+n)y87f%7`CXtR=f6z{jg)lzfW%m$F}%{MjMJmxb&-6Qy?BOYkL}d*!86&L4Gfr z#GM1f_f0>BlHT+4iJFV5-)fRy7FG2$Brru^T%MjGZ>?6aqx91cuOO< z()nkgLs7@jDuU)CbfNTm%+6=nnCkMTRDUzqzzi>95QbKH7Eb(5-Js51>dTHgOzI-5H;@9M zRQ%JxaQ)}zRwW@&rYjaZTQTCVz~R$_mBq0>kdOpLEcwS-Kx6ZG9pk}@$O z3^nx#n_MI(2_@jrf51JuMT(?~oPFo%qU=Ek*_i-!ea?&97$&JcOjd0RQ$No>(Cr7( z5}Q-#C+Nnjupr4Z7~y_8rk*hYD6x(cT%g?2kjLrjafiEN@&W%pz6@&dU5BE%r46qK z0X*MLQWXl82>V<0ofph!=hj>mBTcP*v}SGn9n8)Y1t|GySBN7`@#3{jz}e1VLYwl| zgbUW946PGw@*S;;IhkCGhhmOPkE~x)i5wdCP5m_9Am)ZdwTOTw&a#9-M7GYF87i+Z zp}umNciARo3@6*B6a*~!ay+FQO-_+W(zICPvSaR4rS<7i5D^@=(J`w<9cS(A^O-)6 zhhH0azvZ~%UVu1Kr_8z~-VYyKmE+Mr4_ffsI_2ewLNGfi+&Q`~LcaNOgw zMp(40%Ud*vB>XY7_Rx#Q=lA5Mdp5F^&Ue9?D&uL!B*?AAyQz``uOFZ?u!{0`Ljll) z&A({R=~JjXnuI3RxjzmLNn}%x(>#Q>vVGl7Nkb!+-tKTdy6EeVa%lSW35_@#CQ6TH z%a5N=(p+k+t&KMjg1;f|5fUJAC;S@3?zH0IP{&9V<3d~D!$`ZKrdc_`J=MC^(!HRU z56h`qAQbI6&lWjp61($Z{Stg`;<0gvOaZklTom*YRa-v}*tLiiT=Lnb@{#^6_w13a#l|&nAx@3xb;-j!rG1gXj?Boh6MFTHm>nySD=>|)u5*7! zX8(ZMOj#X!xF&mK^8L~-9&3>Th#j64c$TbWUVi!4XR@%#>Ci};iOdG%b5MN?dL}WU z1LB3QMA6!2YwG${Nu*gB*u$zzRS^*_U(?l{C5d9<`-Xr}Aff3zb6(K?7&XSFwj<~@ zJ@d4D3)Bf-dvz=vQB}hrn^yf*Ds{;;g&76+P4*&Nd7*d9a=_1yeMrx69N@0O)r7pk z&~_Sr3!##V*)z$N4SveI$1Vi!gY!4fgFQs|I4eO0n+et+yFA5|73XD7ISYLm_^VCGCAb3)Mmr?m@5H31L}T*W7_z z-slB2 z1Z-}P7cl*_AgeH<6Vsaw$Df!So0Iy`i2dl&x}Nb9Ar2C*Ih0RQalfkbp4^LM`oaa< z2XcpAwge%G8@;fO|IJ9ZKM@=41v;;vWG5i{YIy%=1%7UPPyv63u0eb!O)4Y}F9_gp zHj+Lpy=KxySB=dh>e`%QlT{wrj=(X{tm#ANFw5`w{M={L%}QxWTHa1gKEtl|-V}fX z(LBl?^iKBs&ufJU*LCZTcDJ3%jNWVBmPm;!ShSFsHQ4eY1@uFgp8>>tdzzrmOR;tr z81uBkOept|5IG}-XBUNMR9$lkQie`u;hH`bdn;rMWepjBdd+@0PjK=8*=Tbkz{s%5 zH6iq^q@CpMf^>1Q@eTb@Ho}yk4wogn-tutA;49Xu5he8+q!O21JHL7C;ZBnXjce`X zbInh2H4+<5C)65+nd^Uw*P43DF6#}qPHB|aE2Ss4@!kbxJidtSMI7erYD3-HZ*I|# z>nAj8TqdnfrY${Apgh1$V70RE=V2AbwMQeqtG#^KU38EwekdfEoKRZKY2Np8v{VH) zPTY3MpCdTu57tG#VnUqzHJISnbwb4-&8MonnDq<{xigBa{3>95jJ+)U=tK*|TM^uB z%bu-8kW!i%EoisgqYPuGuZ-ncsDb>e=^$=@&{HGfovo1Ibp;O_;vgTbw3Q2f))}fF zKL~7=pXay97uaLtJnG&geQG;aTf>-d)vX{^Nnc-b-zjWa^15(;(`FqfjZ&Z4#M)rm`_P$HC*;=tkG%xpx10Yu_bZ?f=T!F< z!~gGqtnA!;ykiq+wCaPah^g0&BmTENh1dU`7$)x9xe>(w3zM5i;@f@|mRo0bA0zYe zJBV-ljECNQ#Qz#lh`ak0#AAGi|KEWJ((h~d|3UYD>0i_47l`{g@BYHlvvqMPW`@Fc zycu+g9o*EnOSX2jRolS+9#0ToIU7RbQD21b$neevM z6&00akK*`!w3FgrALpnN*OlLM8y2Pd&&*DL}%xHu5Xrv+Zm zXmJt5vBS)4&g=CC!&8PIlB15Wv2^InCiP3h1WkUI}|K!!8t>7>qQeS(e}~X8A6ztit-c{nU>5I^3EUqKH`35 zm`YMv#|;v-y)o#a`V&gZ6f(6E)x9^+5`8_879TlhY*Z*%aX+cvmvr3}x|1<+WPABL zk1-u+;GE7zNzxNiup*3hsM9E-+8D=cAb5!&?}=LSOhzh}24wZ)N3eKAEo^~2lI3)d z5@zvPzUPFthdy3%M=x!YRWuM-3PdniC}Ne`fW@P{ExT|JaTE5DasrcSgLs9!Dwdrx zZ{pT4JH9HJSAf$dgldv%XyVry78?}@78A)Tn_Vl;IiW>7!M|OcxMLZGG6d|s^#Vt| zk$lZkuV9+Mb-k8QquJXH>f?%e_vNx;bU) z{l&?ndECV0YW?JH3qW(PMKY!$S%#*klU9lcil*R;*jCVIaW+|W$1lk`1OBt*0FQ$i z*>+MVIv(M&NDv@g0cHz8w>53BezAo=$f}0JQzD7KGaW}(nSuaQ(wTe$KLHDFyg-49 zi9*q59?E;oFCV+0eW^g<7Lr_Xy@M4dHj`;c!t*SNH__daR59~Zn?Uy<1l(OcL|2zo zIgyJ@o+xcRCjuL);7BpQbURB#Q9o?~RV!a0?4Gx5Y@IgEK-S;y#5FiV28#rss-j%2 zqJmo*kT?yZfYPB<@RvRDmfuw5$--V}``-fr-Os^ZeS57BeS6BzA)HUpEo||u0Qh(( zHiX#8Tc)hX1DS|HTdH0H;GYDbVp;^mQ07%kx+RK#aus!WpGJg+U!`)! zri~xOct}AcHsajXKdU4Hay5U6)KyM8r=InjsQ-zd`j^Y%=Ezt!;S9XkGfB-jGr;GI z!x^$x>+Mi%KioU>uv+I2??Ac$En?OMbbug>5y#fjx)Q1?6QXO*{(b~ih8+Xs4FvT6 z-KegFt(Lgo+Ya_vE7yKoE5p$t^OUe+ZcwgYYc{E`WyKO0GOOU+l=pI9=w-t_I)6?* zSY68Wnt-yX@-%vHwM$5}F=1bAM?P{Ik{Vrq6rE!7_0FZHO72A2dM zR1_!y8_O2)ndl4V4ho>We7t3u*1V>^5)U-)uxA-1;3s98pCg zCyh2bA4idj&)c<-x(-{kS+x~(tz1LL51C%h(E62`dtbEVb6u?D@PW>M27JGmU9J67 zQ4&E*Rj_fH)IP9?5~*ryoV;Z6kerf__J&sc2KT5KmFVnZ902Y9rpFe1qHMId*1{#!t}OA0Q{o0Y(pUd7ZC`0@$F9j=JKP1+@9$7ND2_IRvYuaEhYV@oOa(ah^O~$&v>*8jk%}0TmLYkm zIk^q5fNwoT=P+&i*+*~yk_VF{1_FL%l|q))KAa4Q36+{aBVT)2^&w|@ke;83R}Osp zp=i8R`657)G7Po@=^tuhDgMy%SmEN*C)olwwVv<#>{^o9Mjo%S(i3^2G7qgSY*}c4 z^M^)Fn?`0;SlJ#%a8@q0=Fa@e$l_uSed6K|>fNe{KL(MiIY_0<{6S6xlpie1J~foi za}M=L3G2K_2_&HdH(5Pghco7c0Lz4<_{O@nBfoGovRu(}Gnj?+AjZqjzIWrHVm=b@ z_LsAAU1q8{e%r|C4 zB_E%~Q+xyt-LPvz3cf=yBZ{1?|J_N?fL;P5enSe>4F)WC5Aqc*Nc%8W6OkM>eG+jb z4g7f4phoN!a85rz+g^}{{HTvvNkxLw9$toG9$upPfYbkmURj6{r-^>)q{f!!$=}ym z&kt+OBT5nhqiTkvQT(7XDFNz$kn1whnv!g|nOQ!N!U3B!szqnfa!X%I^ts8YiIBym zxmnN+cquoIk!AO|OHa+EDABOyC1BZ0Mn|81KIq}b?*oPvY=5A(qOW8rEEg$i*PVljhpU$I2#HDj$4+^%2ALW>H|k=5|==PmK>oq z`Ti$=D!llb32hm5TNu@EFVQZjmNWxT9;wIn*J@`M3~f~(-z~=Z7)zl)7X{=)msKM{ z9n&-`L{DN9DWP1Y;!JHdis1PBQJnI{n~Fw0MJGA~B1zjMH; z^a>^Q9yd6X>ihY$l7{nXbBr;#aE>ToQL;2c$p1bl@sVVqd9PxTXJRgKjTN2yt}865 znxBYYD4lCDfm-rcLQm?E$%Q6sE#;PDr*kq>A-51my(2O2Fu1u%AO^$leNPf!=^Qju z*;yOl0j(4HG`1uFL4HwT#nlz!0*lT`5Lrdn z!F2IC2FhYh;^s`&7M4JY8m#s!R0XdH3k(|=4zJWpz-=WKj`L!WlP(Vg;UZX_ zL>n7rUX>c}O)y0m7hL+qLT4lk?{w@>NUxMS{>PqIh5BkTCly`~qGLYN9 ztFz1KYNoIyKx#uJr$$pFh~z#{)@I~7Nyn}27ymGq+0WcqncQePX4U`NjuZ@tYaqeLW^_UwHsUc9Bl~kxkp4R2TQ*6=WQ>wZ=xnTt3i|%`E_@T31#di)k9)cZS8obVXr- z6on8c$!S^f{*_$;5IQh&ol72i{G-f$eu#R#i=6x1F8=mit%xsSnKUcsq`n0N0Sh3r$L7ldHW(?MQwZ4&HTR3u?L?-$ia%k;9O2w* zZ=H_jf&Vzbx91ff27!f9M2!@#1dno1 zCw!3#Q!XSOA*7ihB0BlDf*50>E5UPmaEx(CBl1p%fQj5FXb(b$Sl84Z3>Gvb^kLL; zSF+glB>)1n`b0M`nF2X}cT`Ry6y*>D9|y<{WMHXf8BgnK&r4bK1aZiptg}^3-OI^# zzh{vqUelikCWEKdA_Iz7Xq0=OusQz0fT=3GruUyTP=9?;w--{=Ysyn`1>cr1G2*Zt zD{2JRL&Y6CAsX|KNobjL?58SK$(t*YjWMfQHH0_2))tsu141d>*;-Z~qOcH`4CnV5 zPFW6t+{Qc%WBvhJg#$p!e93GPw=wK=hB)4fkbD#L(5W~18V0)<@HB|s2Xa2zl86bQ zn~(n@WfXa&K;Q~bic16ju>6C3hy`*dj0H|6XX!vY7j@JkQA|>XH6yGCXhJl$NypPnwl#Mmv-zObH_F( zwmGqF@7T6&O`J^3iEU?siIa&YwvCfh_5F&k&c(XxS{Gfb-}QF)gWbxB1~Phv5WMHs zA<7T2;CBNMaRWvW@U2=T;D9bWkWb6>D|8I1_QzsM z)k6)si_r)SDlE|}ZS#6H4|8I%EW?N(BtU1ieHgL)npK4-%gCPgIa3yIP&8BTkJxkX z;fSW4%dl!MxDvk<9QiG>Int*&^umn=v>IIWbt;6I`N~Km=+wO9sEX2^FiU(adn8~C zTX#-3UVlqF*`$aRfpae3g9|JFE+h{XuJcL+?-SpEAS6}3{}|+y1u{}aT@F1 z2QM;H9;B36^rSE;jFCiipe@zS-^b@F`~IZox0voe=r7kBVfBD-viJ8$8tWB-T$Tpl z#mmz6Gk7G|T+{UNFBlMztjYDS^A=VC>)zvA?Zd2EYS#fU(r?35LFtN^UWNQ=S_$tv zsSYWugW)=0m=Kz)#_fxvI@(?{KYX%A5~TFPZdUjhyxC(aWlX$$&%-L43^<2tTGF_G z{EB5{ljL?_A)oKud69si8DSyPS&wd%{2_EOSr&FU!Ifw#Fhk4F^6PJGamQ4=v95zH zE+^fAz4Zd8&~D_@VQG zKP{Pb63ygE-Tu|0&$02Y&82uQEYEozYM5+r=$Zkq_l1`Ld~j7uk%WcVMI4+ zkecpHoxssu$OGq5f`v0H%HtGxM54mpWa!Kfg~b#jF+Jg5Z)G?~4j3VPu_Z(XDu)SL z*@>8sE|kH!)}S#6Vlp-rHYgRlR~U2VZINs^Cg3zsA`lhL6eUA)2Q|F=3&8D~aUr_8 z2@vD0Y8*Q20bOto_E1N2EL0ylFQON6w`zg~bSq$$Mpk}MnvP?z+6|Ao+G)6;=iKdQ z3obzVC>?TAW=i|`T^(wQGAaAe7U(E80*T8P*D{tXa3-Vf$bF7?_}tI>E)fdB$IgH1 zMjm2*KDpru><&K|H5aE0oEugQHellCa-4E5c8O%8TZNDz@X+fUx!mlOy?a4P^-)T}fkwol$@$ zH|luxQE%mtQ`MClRd_TZ9JQslx+-3+rW(k~2p^U?D~L_9Vr3CCbj=?9PC@u1e^7n# z*aXY#Gckt{0nnLv4@?qT*c&DvRWkZAAWC*&nU2V^p}Vn<*7P6&MBI=o7xFI2zc)9< zbSTta2STsDZh+Wz)MdGJ0EG!?BqQ+pk#kuILT&o@1^oy|=$`KEgqm^6+A<^?xmvRE zBVA(dmg4ViGUo8B)y^svcFF=GTWR0a)a`Iyim^BM2zTU$&Ux;L!3xHb40@ZgNGSzDwGS3dk1?z-8B;5%Lc zCE4`&B~6G*=xKsh-muu8g^wTTB%0h$kdoTQ>x^%q0(C*hi^|O}^9opG93bsgT8nRu z{AVT694CEF%qj6=OQg|L5-D-HnC?6~;$7#WgAT&)`>*t48jExj;Hifl2n9IHqP`v6 z;k-##AxN)3YpW9V-BSxMwm$nj4z-e0%jxmEt%#!1^v^5ug6gVSXqoSbU@9_tEmR`? zQj(zXy~Z%USepD^)g_@4tT-9YD)t_M9k*X1Lbj&KmS%yXaQ4@FqRa{*32Tc|m7x;) z2r zwIB4oFtwJ_ju?YuUE1!Y%PakCewq7&PsMf9D8d2Z87jg%_|cBX-s+NeDDWzPJH9su zL9LBc{K5SXaw3_$fllE9T?nFo^cKU4&@W?189p-K?f6gUluK#7pOBk%bhha{KgSAA zVau?%(Zshpr#q}NY`wg++q7ijd&usV+Yk2lR~Spr3q)hkL}9VyDYS${JPOZ)xS<_xZvX&$MjhqkJoul!1Z3K*cJw22&7u_#fBHQBFFth7{sVO#n@i| zSM`QTc1uT+$!1~Q^+xAqns(y$ZBb$QYqB&J*7iKR=iba@!@fDFiafCuF3h0zNQ{Os z7$hT~q#;?RcJ^15ihud^-%_OEQ<6dGD|yXplgOHMRYYjA_XNJlbpm#K-h358F#u!Id-MBO_} z1=nm_^bXsds`9519l_P2giG|#U$M-O_3GA?G#cN^HVmu|96VyhknkPH< zrCFZ`-Y~IOJtgls69x;flVWs2-|SN_l00Y_ogj#!dB(eriieOXk&zs}ZA*3V5p>e*^wp;mF7lwAvO;bcP(myTjDd zp^-+L%*yT8)H!|AbpNqm{1^touGKQC#?cx#`qvFKNjnv^WQ;RKG_fT#! z${%@C>317!rQ22cSDxa;N4Pxt&A{ZYS0*Jqa}K+luSfiFQ@1FfqN2y>cac z$ZjJO0@D&B?)p{VU>KaSXf4`wk*N1VsJR;Bc*TZn1R-RgEo7Tx8AOa`ErjYZWFY0>Q01EZa62=u-SgNjhbH_%c{Z_Z zjz+ln2Xj|Cc~OY|`pd_ zvRTf44LC2ED8(S|O#11U)w3dhuuC;~9tlRl7hRV+i|)4bt1XUdpDf5^{5I{zWoy_u zSR>^4$E>+#R4>(C6zrVyimM>V^rV(RibA7@3G_noGv};<&$$W7aHOOdY!?Py+@Nj! zo6>RvWY+qfC3|l}MqL3p+1_@nJzATDH9OwrQ3Uj+h^?Ynf&T$2PJ(P3c!-7@W=IP7 z9s*LM6eVRhG%n28!wSWCK`ssyTF~d}-qRG&?a9%@K zx3+r?jkbF9^n$0axn&FizL5w@@LG5L`Z@APKKpdJgXf*aeWr zSWPX(eRHQJfk$yg~e zH5Y)qy%%r#OA`g~@^`}xNC&#v{l##B(O&e@vS-3nWRyvwR|*^{m(T2ONDb0enM4vH zKr8ciG0jiS01TWQ04MJd{ZVio;vE5pbZrUx66#NLl~6egDf>qCVFPD2w9G0V$$h8T ziMyBy*^UwB%X!<4G&O5aeMWo~HFC~&EKw!*`oS@%>6zKmT}UBy+hAf3%E4ENe=!&R z*-PMYi)q(JZ4TfRtt9+A2PMIqa($Kg4Qd%4*5No$+_>*yZGgJ^oA(M}$O8op8Sv|0 zcS3CLLFSZlS(Ggo_&AVN=ll@!$cD3W)i$e6PQ!X+S`1R`VJ^1A)E9YhFaL9Tvu@$wOLXI#Ko%+!)p4I| zhotY-yQwne+YQg-;>=lZ=T-WCOEPa^vy=RMAQ4T;2X#n->K(btk+IV%ehZg8!d>(b zF9bdBOkJ4m8Z_&l2Y|)q*`L+?C?_Z1H zKZ8;M+pG)Ra8G{3OHn-ya;;6INra-jOgifGCGCc1nBTVjksuE1t2ES; znlY2r(-(a0t|a7z2?`qf$;zb&I5w;VqG%leB`T6I5tg^K#fW(I<;i9YnsB%cg6`*n zNMyDS(rsyG+&%FY2}*{Z&UYlU0_MG=6pU+KNphj9kWom$1A+;Pbt$CS?(B5KbjS89 zQu#`uB=t$}rb5?M7Z1PuBLWXNblh{q@uXO&NFZnGHUlEXH@E2aMQf!}dfz=*#&_hY zJ8{AJ@W5K>A*h+~OqN-*{5yd!A+=XtNLkiDJ8-Q#=tLp1I*9xNh@|9#M$>$;E}NLR3A2L19>PK zWIMrgLQM&lJB}9=+W4;+EhWl4Mw-PAk!0T=GC(uJ?wYIlMd}y}hAvPMa!%fra*nC1 z_4$+I;Ci2G%y+(d+Df^DBW>v(35XwpSwIHbBj@tN(^>BDw^jO{D0}y_KIF4wxr{$WBf&EIC{AcqtA7p+#S4P| zQSG;9*cQl;N*^szEB0i$>idv7;-mZ8nTwA2PYpw`o(u`fA`w8FMj)@Fea}{`U_}Qq zIkZTa#A>@N<5rF!dMB)@Qy_;53O6|9tDC;5N9`&IJ$dC;o6D2<&3Bh@(b|Vc3d9 zC@ruHjElAg?{^)NLhkiaP;7=EqW+ z;0Ybd*&R}PkBVXRWMRjJ(PsxP6|Kbe5C`rQnJQQHYGG5inhvYH)=K>9{WedKyBnlN z5DPncd)P?^Qofy~C6cyFB&p;jDO%&RXzBYsU0fc+Q+&;75&9A?U%`0nt8Gx!+Wl_d zU|iEx;D~Y`xi)+?FQAn$7F=RI{ZctFbaGNcr7xCZAO_O|j9-)&Vn#XlFV5gWT}fY3 z`jZ~I>ViP%Hz$GZijdAizv-8}!eWv!Kq`)26kys=Z47F{uELkwQdPs@>vlR~?iiA@ z{h9qa7C^ssz#YvO22)_I5Ez9WfITr{(clv9L3nzp%b*!4(MBqYay(Dy2CZsBl|!ul zPIMnr!>>F@?E9zpu)GePi!)OWBeV~XfePhJiq)E*-RxyS>vJr@#lAuKBGo=!V#mg0 zZ`p94+&jteBDUu;Ok7t@2Yr<41(uy4uH;iG~a~mg)d-1Btmigdx{{IOe$emn+zp#)PX) z*i1p-{LL>~pY>l|C?2xrNj$LG{sw(9hx<>?LNi~s#FUBi%>64}=q^o2N|PA-N{3Nl zmzk{e)bghX%I%*M{hAo<8H&ZV^5wSXSQ*}gaT$MGGkrQnms{L7Qfcd9 zu}%p+FS#KBoR?=lkeP)0qKagA6G75agE-hSzB2GJXzs|I@Q-%Kk8s>DU!Bn0OW5zU}fz`&!R`sFir@E$Eq*AEdCD!HD+@4IfxIw8+zVb324Nu-k z9{b=69Qg3F1rFs-0S&-mB~5w?9j{68UnWcID78`3{%Lpgn!Z^<=T`_IUN}8r0f_@V zcg+jPL3au`lq22F2j-60QIe<#s*{fDo+7LWRCb?Cvg|Fsk7i=4(Q}(18ye@bKTq^> zE1cM<nLB+)fDalE!lZkbou!+1(87AQf=0vtDY910&j z?S6pd4CZXry@*4xlDC4?!uptDD?L%$Iu(;X;`s!Xija<0E01+dZhUrSejYUS~$mXHajv?b{r3dS11=&zvh!wxt zoH<#m^oC0c2(vyO5P0ehQya5A;m@zNDJPH`7k4TPSF5)@pqK;tU>Ao`<{5m&)nlzM z5Yzl=XDF(3E(=lXv68r;Z|$X=J~3YD+l-kz|9-SY)-!^0spQs!{)I^k>9`>2=Ni%Q zn4I=#(E4t(kcKUj{Y%dL&N=2u2P>r`IA}?o$mwy(nTPq(#6Y9o!w_K)W1w2&Q$PZl zgIYHGz8i%}6d!TUOuliWAniF16W>MF#^{LhocZSRihrcfSAd(+{C~U*e<%F~D z7O{E+r}eO-Oypk{3F@tx=wV3qkFwL5$AjZr(b!&++r*R-EMOK4hD4W>?E- z1l)_H=!%HRH)ek~oG2#zX2>M>JTzo>6_s=_aFb4~mwIEcxK#4p0OCCv!+h%JQ;7^; z1&lr0J3_whlt^$p;;3WAF-IP%V#>6SPy0wXiQBHY(_)x3NFAJAy31w0uDEo-Z&aHi z(QiRErb@r@h@h`TWZ08?6IXH!-&PZ*oc#Xg)?5=i5`YHj?(m>spk#hVT<(Z0w>^gi zyTAblyl~LcnD;m~S0`i9x>F}xW0IODcQ<*-%H=+RPST2_#vPGJWS3?UC7e9@NOI{5 z0`^=Q0bn&!FqS)Y=%x#tg~%8Qbmi_Do+lHeed7Y!XHa@pAx3d(SX*=4?m(4Y@R56bN5uvwmgdt zgAZB2e(#)en>z3byzbK)LdN;{TqvkrSuXnc(7`P#?J*^${o>lg`OFPxhW?Hm zyAFzL4x!R^W6i~o*AdQtQ@Vi~3~DJAfH15TaKBF*%EA7_X?xe~cEcGE?%EvXch<*A6Jmnlve(zU9?t(iI+ zY!EiQQHj@TlOR(VOqn!_xy{T)gV)_de*JE((L9yH`Lrr=?cmQ3@c7NNPtd}89mBN5 z6$0`OcUH#g;kqF+3F%&ry?);hz2j`PrMvq;WSqsdSO`AiD{m4Uqy`z4fcTe*ya`^v zOZ~fwXgPHhtjfCr%*C(XSe{Y2Bv)cxv>4~AQ&!}nN zlQps?68k>1mY4I0QquvNkE>v}28|U~Yb{V22f1B|Ap6*|3hDS@bn96ubA}zq-Q7Ig zz*{AP=Q{6b+lN(E^#$4uVn?o5uYf_rr91hIbGOcs4_j;#`2T|SkT~+_?|KCd$>O*c z#8mAtxtX5W@ckfnqoD|AdJ0wvr%ib}Y=4rg>)&3BD={rPwt4-l{3Zv~8`Kw;d{q{O zngI?9o%@7N(iWyL{5e9}!x^ub7-N;)ABE-bRnoW6===PV-EX#;l8#>;hXSt<(QtiL|(Yi8eePZ=b`OS6*jfEi9yj7Oh7IJH={$0&Obg)mv zc8|XJ`6=+P99oJR4H?s>G%V9qvSwvmbDOaax??Gq}@ z!Daf7d@VHJ5Fve`%+ivSGD&_~Np^N9cpoBu*QFoT;&&WJXXi?=jcnlVLEI1;;p13{JfuIVF(=X>C=tK zVwW-HN-1QS)SL4ZLY>~Ze@gNl-?tJwOss}Q5PLAV!NpFoAi(7(e$p%6>!&bwwpmIb zXWJ$nG=46YOabFAWrQ5lvgw1BLo`#|*MeOYQa&7JXZc(D@*Vr*){uVuanr^}9=C>m5(mnB7(zsi%cq#5mGko{qKwP?A-LfBb0Vj8?^l9&Rj@5Y4l!Qi+?gO z(&>~wbk)}oFM;O_7a}!p-CE*dME%vyg!rkM;?Lq_l^j+$T`41EjidO36V^F9#&U)@ z#ecpw-zxK1*SN>6Ve%urdU5PssQ4Pg^fhYL*XNWxtPa-mNnZcaPoS^WVzAN%5CCzh zDE-Oj88E+>?<)K3P{4S$zW1@k{#@^n^67znkHJw*rAe}7%W!uOhP|wD(`{jDh*JN8 z59k%~U*$S~30K<>IA}a+YXlTdcW0h)1x6uoSl8I&K=1#QF(hcn#sRD19T%3s+hg}bC?5>T1X%uG{Xjm{vXl6{>6QoVu8>ZeU@wR_TUYZVDb zB@7UIwZd}%nYLl!OTg|+6i)MR?jD>c48FImB>$ojMWk(N;rqF(`Fo>;fIJQ=4B;d#~(hz?V+GgxHKzU3B?xR{+i{u-uGm6= z>>UZID}B?fIaJ42z0jSIT5r}J7dU;^#K3#UoDDRj)3?sDS@%Hx3?;FB8r!u=bBUWs zb1amVVrHYQ7QKBShR#hhfoVz#lwKf#PbonwsF!c79{y++NR=^^-7q4lGalNqa z4p@8$(Zmy5y24H@CH5=j`w<|hWXGic?;dA)Sbd~y3ExffOxaGye$Qd+R|yjC2zR)vBQLm7~e`*!<{AP#XUNJ_Ty?d}td9rh#^}xveLKmug zCyGA%kWQDsOJ*n(!=f`*ufDT%st#w3Z5)PsMc)K?7bAUM(ymzOGxo_{t)!H-&cUfgJ7VWGMJaX*`OId#i) zhh+Cb`|=gi!$oX#T=2N=h{Fm!3F>PUZx%%}+g-TdIF&E1nZ}>8w>Y-#cVuGaI*YzA zqk$WHP(zgH!ObE;VRVyK6(?Ye!zG`Qa{Q20-u1$1IXv?3B>b6P){L>#YP`aOH zu-Nkf92{wv#F9!xz1ez-Rj=&d0JH(InU?3+BUz-|NdfFD6uLFsO<_%@ z3IT0_aaI+#dGFy+pkdHbsbGrwVk7xEvFffTq|Z!v)vEYn`?Dh_er=4Y>E2XO-3ZMa z6~mrWO1|*ms5EDO&YjRXnjg(KnVL4i;DA`N0kW>0QLN||oYk0{0AVFK;0FMpUhL&s zz!~M>^lceUEd}t-V>^PdNV?Adkz}wL6ePG=w!JrgN6Z6FFP?_h<=#0sxsXo#>-n=_ zt}fy;=9%8@?D=gv46elIpJwH9=i!&u$Xoh@{VSb1Gk54^sjnQGOm>ZiIV4W`^qRQc zOK17|V(|gJy3AK1f7|Jdd`|W?U$*DvwHH@TcbD|M&LOPb`UL2gGI~8or7-{1EaICt z^;gq;+{&nMu{$Ww*;-CB-D7Glxzu^>jh%a$>&HskZPK6omZU$?&OQ8C$s5K=Lyz5j zOk6yDfXL5{8g;cH<1PE19jGaJlax$=K7e^-;*EYZIi~sXjG- zie=9z>4|Qx`x9X?tN3@o*4~dr%Sv*T(KX9RPTLpuKMTL;ix*HhvC)?^3zvj|#cXR1 zr+N=1stZ{i{X*zk&RhP?beo*7nz8IC?-Vi(i~Q!QT1VZeDlmgPLy^Ie0`IBf@ElDa{_h(_8&I|F{%JK4)fW9N-cHsBD@H&34cjix3j7+edkDKEkCCMt6VX z{K3o-RJmc*kzJljiqhxekj45==ND6Qo{ytnb))af_(u;%`9zU9TlZ&4YFN=|$_)E4 z1zXPX%!=V->8bNQjkEKfV^ndHHc?vg`*iZ;DRX{DbT{W;);iVx#)O}`L;XH6^a4)$ z(eJJFY1SQE7A3(XBHj+~!W_s)4}D=mbazidwoLC)zA%*FGT$|Kaf$Vf7xreh=|nGc zMuj^TA3_lWqLf(kj-=5G{x-B6x=&wb4stwv^Xt1sN762b9=R>;ov>+lo;=cd#xay0 zq)p9KW~NN}8l8Ry#ZO6Athv z$d5$b%6GZe8P%PTvHT~}vv1*XbkX|$4A7s_&jq^4W5*#_qZlLmE;=(-=GLVhV&2>> zOfrbXyE245LOR%6i%APj8<`4FEIgQv>iOeiin_6kpslf(LC!5qWKc+Xx;GV#nyblm z=d!vsrivEwC3y{A-zR_6Nj=n+|1{_e<0n!r5Y9k+H?SzVE~#*5qUzX;m!bSno^SAb z4#GCb4bgxhsVDv{y|RnHrhNqLxqU%G^04{Zd@^->pPMabMM)3{DrPI)tgN8Olr<20 zem%MvCyrEyypO1@V$AB~*-FsT#F{wZB~IRSlU!C$&5TtNon+5%+sj`?9|xOOPk_qy z3bdNJ=GgDAz%u_fod&hU2kw^Zk8j9Cm_M~t4|YuMOg5*OptS=W833b0;OPCQqSh-N znKzd(%z?ZZ`(&)2r8Et*)$tLDW}xXJguXDU`8=xQZH2qIh?(D`8j@px>H6-vI8jV3 zVVk+=p~rxWYC~mA8;}Om+!njXS4%Y~{k$Z7mlDy1?Xj;~`F$@qVZyPy=tx}@I@L&Z&aF56IC$nV;b?FvrJPhPO` zF_X&AnLIwh$0j`~l{?7tyAPMzXAkIqQdwo?x?@bd|00XT)h{cixLa3UTZPxIpOoAd zJ5Y)~DWF@l-qMc?!H7r;6t}m(foj@?GP61q_Nx1nBRvar0}BjjU|Gg^UZNxm;}d{i z_~lR5;qEQh1l*vdCQ(OOk<3=Z@m7Qe`zZb0hMX(-&)IgKsOfh@X6+hHA0V+ZK6_VaLP(k zB9p};JnZvn9e5F1eIxA*&F@Z$@4M<20vrdXQ@E4kIO*IjYj#B(ayf@tASI62)1J$& z_k>neP%T18{vA7r)5I&DkUbS_kM{8z_=90aQgpb-z%R2uOjDnSwKq5g7+)L5eYYJy z@6caF)T zg_&WEF}2Fzci|d#i}x(iqE%J)3J!MM*Qg_)Lv9#lA!f zVV>uU4%q4nJJC|FfyP|BfwiG~WVrQf#I=iVSff{ZQa0IZrZWpATDX@etlZmrXpEMB zN=icuS3dHR8&2~(QG<1X^QSu~?4gQH>BNFg+#_mRz6$s#YOlWU`eTn62W%jJB8~cI z_9<75q+IZ_qiQFEl$t~pD@-!1(VGZh3iY{(oK6}~b%2wMgNcD2S%Fc}suuQ2#IoPb z|AE#3qjV1V5YmwJT_-(tl7=4J(3G(1IP-(_)E*@rq5ZS-W=U@Rj~&wvm2xm z;6MLVdQ8a8&$c0YHro-*xdDPg?2tSa?HP}P zKhJA}yu)OySWmKVTavpxDPtIF(*i^qr|?iCQ4s<4yyo=HlU68TcOF89>KnsWphyRu1I4xPK$K6;?_ZYGf)RwdOHA&eFEFXS-TNZ!-W^Z#S z7bq)N^_O(@=n}iR>ff^B#D|6~Vwgw@r04X%MxfTsT{v=TpL+9K8@EB@rjb%%%(3Yq zFkW`gn9^a6Cu*Y1%RLz)()fpAfQ5-*%G-JZ_0)y`EUha;V!^1ut{6{y1=VU=L+I^y zbHFR(Rh^-+9NZ_E$bte=`zAf@`?my2zt$^P`)O6u%bK;Ns6l01mD+IE>%|s3?zxMc zhUj)PNZ&@+2!7LaAFM?hh^xtb1NlTPI#AXai?zj)d7>fLnI|_ohf_7&oRXr>-ISpp zn;Gb9!k|;y%*GsKeVv*O(Mg~fgpmnsotrgqRb4g!X6BGeW?De{9# z?;|(LV*&@Lf!~?69Q>toPcbZP@;AybWXwA7ZM@bhoVzUiP^sF*erjI+Yf_p9HE;0Z z<>bPv=k3PxDl6qfW;4SDM?*q%|5 z7Smrct2TW~R+WLkLVHd8OyWGikD5hvN6crDecL72D_{@;#;GKc zL@a?z=?())mkLND(A5w(91mCF6e5QosYydWL^%9px|-=lmk$40*~Me;!6;oSQXhc9 zT(R6FM9Qd9S5R>ZHAKLn3n|Z)R6RvbXE{hav9Mx715qQ->4bn_prZEvoJ2t#n?xQT z57jNPOFniR39CwOHtxLMMH8o72EDfkVIb*AD!#HAh)otd6P{HV0_xn`w|V*B={L#0 zyjl(^$j@HaZ7KsddcnN{VQQtleY4nq#FE&Q$_%qT{2F<1^b8{5BM%>(L%%owaEjZrumhbJ zY|!B5V0*#|f}>FJ7h`YeUZ(JvznG8j+t?|~bUP?{w#2{zk26BYZDj z#H&d5NOe|~FQJ$*u7ye8wkvQOU-2RzuSUrbXlK#Rv#uEptn!_92#j0+pje`ghIOA} zPS`vwswFstQLi4O7)?y~(kJuC1cvUde7JG5w7iL0JVn>t?`eLzS>e&y1+FW>ky&;3 z1XNU2;r^hkUm!^li#dJYxrB;uKcX3nIdw2NbC`tF)E5uuqad2mH#oij#j)O_Ltxc5 zqOx+tv8Zi#R_VljZVXM*P7$1A(lGRDe{uBL^+eWYE!UT9!0I-S4jJ47sKoBn1QssG zy0SKh3p9mG1`;^O|2rPUB8~DH%CyERk-cofXfgI7?C<1-DmC zkhktJMQlb@G#_v}PEQLaCIyH}PP%(W-?8S+N;k%j3`7q75;H^k5Joy`%EgcoH*)M& z(oM8$m%zpqG{Gm>PNK}I9`PsX#aEdemLIbvOU$H)lc$r9ii%?ob!jp^Fm6RE+?499 zJ6v0_=s!3upf?7skkunEc*+my!n@I@rtn1{ruIW&CQO`Zksbu!aI^BcR`)WA=aBnKNNBbMsTd-t1ll6hExs55nrc%H-g$g!t;l<$&viFe%6ASL$WKj>O8K3uRb*&Ay?GfHS5W&mexJJEO=hS2s6t zfLU11AO<2L=kNZIfw1X$U|tByL?{B9)cF_69QweKZr+vNVZ^G4XK-UqxLqrk_ZM*&|)d zBpgu>a`+I;fF{1BJt{^3Y|ZSfaOh2~03|mLIgQ1D2zg(50_{)ZUxEF}QKHp+C^jX{ z?Ojvjh9YQW@}Lb`#)2J+r@^1Mx__vAh1$jN*YzCVPRwa>#sF5k_U#touN`O~idf0+Sy*XTH zdbSLMDY|P=xcNbR69-7n4Nt$nnetMawkoy}GBeYNr0F~Oa2!GL9-Cbe#E2_8RmX=x zK{a7rYSV*6?|?v(&=v07Zpn`Fs%pYSv2ePFm1`z%Mn|%gIiJ-EK&AQKzRdlajwF?Z z4HY|U_!E!h6a*ymn5Qwqn3e%%K1WR!VBr3j<@a1hWau^b=*#5vrFWA>J;#Fod|PhI z52bPTH)Z#^u(8B`8T8cP7f1}z05_@?ma9R=w)_`$f4n z7-%Y@Ya7Rjn%n@VpN&XX3wcyhDWLZt!KVMVoUh0;hw6_^UPK3|kna%Bc$XbboUUNT zeJ;ujtwkO0$Z&?RN1Z|T%+c)296-f6*J!J5d&IE`TYk~pucDlpsWsWsvWn>0imzSz zrfi&vlXqMnrwC`-@F-zF9JamX&%Hgele_gJw5!%^a1)^2Mr0}ok2mrgJTIbMb`Xl% zgrOLpD*!lf%53irIzb^v%7vKpOLGb>k#}GYuVc;m(+qW+qf4xZ^Y_Pfq!F&*l9(2S zum`qu&Xj$S^%h8ebR&e5y0I9u6FM`cAqq{JcikZM_zPZG%1D>GjrGdP^REEwFtTdr z{wh_9`2o2YY7@B5``%PIXvN9c2VRcjKG zelJnM)Kad92?cy^co4cT!rfx2sPnzNDl)+XuM^e#Xl zpHUTNylW-q1t+JRh+>*Id<6ucTd9#C)EPHe`s*OZ2GO>|@Wu(O$MrY|oLwncD|VaC zil3li$z~+M{gUlr`y178IGa|AUn)}?vEXqTtmJuWFV-cmzc=3I zo~2`26*&Ak%GZqxu-{=&Xb#WXbgm6yBXX< zLicB*wv};~D3=%Sc9pDfHg^G@0E;xyQb`adN0fakZv8W$B4%8EA??g4V&L&5EXmVV zF#LtwJ7IB5R>P9vXSUZXoXD`qxm_(u%QH?c3JAPFr#zjUikS7 zXhD=bdTO2slhnZ4RY8I$XN{4eHw&V7{SlIsL--zr1$%BjiJ+#bqNPTX{R1-|;Ywkw zd-gu?$}2TV+LeBW9NYMzz|Xu#)i_KmH_(^d)$In2hi4vN+^Rl-Y~;%F_UHn=Q;u)E z-YfGlf)H7vQitjMoq;8%uJve~3=OGgR7mS>E`sf&F zg%Q@|4HJ?=$H;Wo#1!95-p z?*@JuNK~Tg5)lLCO#;#YB!X!`@C69l8DpT*;2)?7r`m%lY^FCS0h;3=YiIhO&v*f38a?gA;1ZVd_N3MpfpQgPhjnrFziDyPbc`gVM}J{J z^?_;XBA zotUNiLGoNm8&nnoiYJ>lsQ0LY>qNr)RENfIy6qJ|fI^9nJuBXYl}YL77{7Pq2U$Vg zh6VoSY|1Ve*|ByFRQi(R_!Pm={{d`3lfUkVBYJ`POAB182lFgshLAk5YoMRRmR|?m zK;xYEFW_=co0R*#FY<#8(JhhXkV^JGW=GiH6Iif3Ot{f!OYgFn=XH*PX+;599&Z zec4=E!+EfwZ=c>r>Pk{x8d~|d#4g^$dJ(iEdO$C;9lpfm8yzDFYds8!o%Ax>;Vz2n zL~Z(Hz-Q16WVuauN*T;w>wq+7y-!6dODkHY2-YLw!-UbUR3GxoAygMz?@9C*n2d4t zhw;#(x4g>JRTGcch)z#fa;OYEJK8=c>W;=`_M_xj#UV1)mr=Y)h(hrQ!p6cjU{M&$ zg|v@)L<7SZj^P52JvUDcIg(i@Nrh@o!#Om+n@~c_P8z7eXjcFR5l*D>y?}b!A8Ky8 z{Wq|WJoTjAk5)G@04&`X=o^J=<_9HDbVu zFpjaazmP<*0TdwH#eHlp+QBjS6E@=wHl{g^Bb_84Ra`ZA! zv*;8ovQV%6OdvC74}fN4(Q1=8XTBK9K8h$2N!X>haAuIar-ws6Oq0x|HJlF@fX4&B z(FkUB{ap#30!Hetyasv(B-m)h)MgFXuIT#&^wDtN>niW19x4kG2s{M@(a05Xh{S>P zFpjCc+p3Mvu=#jF@H0X~XZF^f53M8trK3B?S!@Ap&tRPQ-6 z;C(WVT-N@$PMIwOZC8Xs`oW;Ui8MQC@^IOj?Z!Mo7*ozig9K`H;V0?uQ`sU~`0?cNKl>)$Q~al@17v=2v1qY&;&; zG{vhmfgz=1X2(@pn+pS-Z00eQ6JRnirw9ZbLSje^i7W%lA8qPW!Z}!>0L}3J1La2-@U=3 z81faY!2Jd_v^~+G!l5;2+q@@O?Kx71CBjD4n02w{EB$Fwb;24Z4L0jbW-*x#8HxkH zzK`oghP=9fkBKJ4NcbPot078J5^411BE2p-yHC=mYH0GdU@}B?g|KT>p2~AJdEuSr ziMb9jP_csySm2N`u^=Al2}#;F;|jdQHUq9ky5x%l^Prax(LU?M9{?D2YFkJ+k}NL@hLX(H}E+Pgu4eb42Y7e|>_^Cc-vtc{fdp zVWYOP{%iM5XNwxcW5b>lavu(_A2nkWGcBI)Ow>y_iqB)*v$q(K;AF6>V(4s^#b0Uq zEQ338XvajZ+R0f0!)W7D#O@;GC5{X%Ir^AsuyS(J3d)Njj>jmn=~SR)?V7?qj{~(q z5}nqUy!WD%FsyLyplQu$UfUdnI*6iD$P>&Psn-sz_CM_96w#0MHH{(P3q_$HDnudI zH#$L`hX5g(Ib=FheHmBgjk?^>RMr^lmTS)2d#3S zKCf`R7d^*l&jrUA?U2~hyy!zvHV`Ij(WHf``m-GKyEAyRa=Ay)H6j$l;cZna`by;~ zx+q62G8$wnNmI2P4+fEaQhAHZEj;Ynj$jsBOLfvw=86`f*3H3K89KX05XP)2_@+K4 zvN49WacvKEv!%c(q=lL&p+oNr9~i532a~b_QWR4JrjH3CFRP^}qbO1UoQ}C`v9YCt z@jT<&ofnbZ7+S+}vmFCmL-H`<;7)PA$#IUT9W|`@GFT5jhPZ>MWZ#(H#rBqc`4M}a zkznOB9Igjp-$Xe_62wn$nKaDZ@(9xUA`>3+I|mFNlng{^jJl#J7m zOB9$XQ!g@NgEWjhjuh3!H?ab9l*yuKz0)AxHR_C;~_ph$zqVZyv2imgW^ zbx(pwTNto$se|z~`^u)!20kgc$g=30v>BwSiuOaK{P5vOiImZaf-SSD{0wrp&Rha) z4~_9O(~#5cSyMOxANA9INjT-y4{D;v*>gdIZ*0D2ZpQ3Sk9%{1(EqmH2|kGT`?`D{ zv;3oK#okxo)s zPn@ma*%ELC^Ce!6VssnKRksUuhC{2XfV1k9P>5Bx+%Y*%wMwKYYvl@6gOX{!!afB< zT2+paaGnS>e+H9!2eS+xV_aAutXW@kg-lK>nBw-A&O*0Ryf|1RigFhlR! zG&mdD(fOe{Gq`RW*>F!|W>6dJ9s6iuQ>7002P?%l%+AZ`HvX_lvd<^*o~eHswu(PA z<~LVWX_om7PxwtkMByC$8NLZ04uV&4c`mPYKZJb;vz#?o^x=GJHTO~0>5JIg%$eM4 zeJ-Vxvi%0 z8~QVpg`f2RxXWnOpMa=;z;B%f?-aEd9iF1LVs`w&4)7H}=FwVNovs19cnI*VUbF;J zxR=lyV}2v7X&WzU0R-RZUy8X8sg1jZiOM-pZ?%vevs|-l8{~p$u0F(vu~GFc=bBCdITAf>6@$VRKygG0=?GT$uK?DXgV~ zr|J;N^|eEIHr-f+ghuuxzT~|mR z6+&%XC!$bhz}F_snc;}CZ|$|sdWRIWfh;`cQ<)dB^JSxTg4f!V`FfN2YBcf3LcGOF z<~?gV+r9ioXEItwwb1YVYR_C*dQvT?Cx8;XSqKpkK401(Wb^f~_$xjW89?m(y$Aw_>ki6(yeJO_SXAe8A*#x^;3arUsc7m=ANTEL5=MRMt2 zb1>jHht9h5!l#0>qWvU@Z3F&3a^Xf^-r&*R;LfatdqW_2VzLM8>tyo+dxIlH4l(C! zU2eHFIYGZOvJq%vz zFbMZxg5RU6KbS}ihwlg*u~JXv#%k^1GaP=$!Y~IJ=3-e#QQ0#d*}4J{5_p9m`cPn) z1W>e_q$Kk4(6@Ufq!5~~293YG^C(!%&hc@{pj{Jfq?b_?c}%W#9KMSv7+NJNK%`(c z-Ot6BB~q|Ic6(sMWYe)lp|bXEXDG^JiWPgD1)}Q6Tm~$ptdHw0CpLpnDYG(Rj{1Fs zgJDGvz(gGC5|Qz&2*rk+kBc4RN6(DTy(99X@w10pI3(UYhK-Mylq(P^-e420O-5`j zkwK^GuN2pMyv9FwPRSc&xdFnU1P#^d5N)FQiU@echePR=vWIh!=wpUF<@Qf?gcO^H ze+lsHE2qvm(d_dE;g_^^0NC(-%3Q~WIiF~YlWLJVpg=N(Ar9G4fbUZ!vLZ$b5vEwq z?3qi0HHa^y8m2M%M`h230QV`hbKTz?DhMUYV{fkq0{ay)#U!Jc=#uS3=EI8IVkQ7H zOZ$)XHAJLm*?t%@J4xHMiO!-J-{-KxUg(HALa=3es7??pg+<7up~!?ZT8||gyC|ly zaLm0G%)dFS9?K08YW01-6v!h71E?EsAVT?Wc4B)L1?E78Od=q>Bi__B83Ei5+`H*z z9wtb(F}_j7Ei<))GKX-kOMvlUejbB6>al|@B9SgnTxb~I*A%jXS`c*Vj4c^dPAEtr%2Jo`;*LyzXPR#Oe z)Z&1PtP{ao>^4T*n-`)IEq11`VXOIU^%!3Tj?rE@Y^6QKc!Y;m+EO@!@yr$k?*ms$)acj&R*zu;nyA+B(v2(PW}#tT~=8!(7K` z<{IHJdQn7_LevPNdXA-gn3U__KTf0}o1jP^4$`HW*2tFfZ2_BJOWNVNiwavKn@LkZ z@rGWctZg;1tU=Z3k%RxVq8Z-TfWQtUv7uH_4|-{KNFkW1bEFRF;Y>8SO5GgGD4jMm zkQ&3hX?o_TM`|wqq0JhE?FCOtd~<|B5`Okcj(!G5L?Ov6wvysgRx+0`WsQnB)Q{qQ zy$x$fFN1%u_9^Mtu!BD%gm9y%M}h=!ih9U9yGU)NtHESWQDgwK7RZaLH??Ew5pi}(WI5_!KV3<6-irq_Z5b3n>pijzF zMBx-6(lUjT!Jv~Dtwmop=g3}C`b_kqTcPP)K?xb;*tPBDQ)weOmHAW(5Idj=Q)xxl zf)W(=m)d_+SfX}*Yp(A*@a8&6iwO8wYmZ-3?k z!VZgXH=oKgg_d*!AoQuc%n@a_tu}(!4*efLJ4t3~zD$96n{JRIDJT0l8H91w7c%2B z+5WQ0!emO&tAX(eEKpW!1BjI$xF zOPOjsF~~*mikQv%n)JKiHeJ;^)JsZmR_jh7BK?f2?kaGpBkFk#DgF!NjPK1a18Y2o z!YM7_6c2m1lX8l-0>bAZQKpei@uiN#4^$l6K?f%z9Q(a092%Q11{>8hh=minCn=2S zqTPhCs3Q%MG>?1)VZXemfQ#jXC=X<*saT13ashjS+De~5%I>@TDLUpJnjmtGqNMW5 zh`|oRYi*}mHkFf^suZ5!0F-DGA92(PV%r^U8VFeezVNBEosyFGq*3Gjx|p|Epu>wG zCR6SR4MREWCy{HD@>!M8@t~HrfJ0Jq7#Qu~i>sNG;jbN)EV=jagcPM#Ya4|52CRxM zD)rk8hs}^d`+S-#SD~{Q zny;;t|HhJR;Qa3;dC{AimN(Kv*@hITP7C0@cwQ z40_b1u!ABJs-sDtZf8NS?`kS-q2HeQkdRgy9yy;7Ds#K*QJ1QzSOFHwfLaUT8v?`F zp}pElw`QuxzjI77>BHlb4+O5U!v+Z0KWWejKn-L9c1?Xs$3b!UVUZmVJewZ%y5xBD zmi`Ku*XN=?6eg2nUXnOl^|qv&ju5`P;wU;Md)|Xrx0ED~J^kHu$2^`qQMW|`1Fe#% zLJl$v8}jWIj)0I)KTZ&zW`Br44g(A8urTvQ$p&1VhP*4o(@shgmA zD?&_?OGne1eepO`RUa!cvC9wl9c4Zhwtn5oM(iN{ONn2`#q+iW2^;z1YX(cs&2jA*A#q?0+Gs7Qa_8fZH4l>^UTY23lqM)ROV$}D zk#fDArx`vz)tB)E=7IIU$9h-i;R&lEh?Emlxg``C++n|ox#nPf{TCcxe#OY-u}`lu z>a~%!0JB#9`fp>C^^Uzh_a)}*bVXpfs?(Tc&5y555IqL`$E+EDvc5U+Ar8^nve(aF zZIu)z?`InyW4*ixcMHy!*Ase;^`2DA@pqt?6fp!)gzTMHSE=46i!^*}*d%Shu4R&K zI4v#!{dmD~9&m$*&G@TDkp8F##Emrb)jS7-br}~vcC{v!&t^xl18j~F10CQW|Mdtc zW)`!Ij~+pJ!HRVzaJOXyc+NUVywLOqSsMu`b!LOs2OnpE#|tI-DkXXz0Bh^eQYjO@AA+ zOF~A-D^$y*=m4aooHUdnM1DkgRRMCzLu3#~1#fA-3{ls?l^gNGD~*4`TK4o{NOO1* zYzVh}>=5<{{Dr^L-8YUlcP0xOx=s}`hR5Ep&#X~Kz1HTtpm!xrtW*){P)g4g5M>_> z-Xnjw*dl1|^&!vJV98^qIK)~o&T}v<$(UjXv+y4*S*>0BIuXJ?9ZnjeY%UzPkQK*> z?OnuIdk6$VBbetgVb!K`i26!TMH;yT_yft$BVS_qN2!enWB=Z7?UZb*KT6nPzuitt zpx_R!&TX#`(H{(%4LJKfqT#I8EBX*wDs+N)U?dGB!<=>aDt}>O;h*xWW=oqDt*D;E zPD-fDHpNzCFE+%iuw?tOapgt6WJ@$<&&2{Rn+DG;bcPeaIdo&c0*Bov|0nk%$QvXY zj&mXu#{Ao%P%ps~1S!2Qt~Bbk@(W;SZ!p9YSBEE{lf7FpRpU_|F>m8p zHA%E#;_F?=J!Y0US>o(rinBuw3$`x|@%kpC`PT$b{2Q(Mc1ep&HYsv7-Bdg~TB&r7vg(4I6txXS0y=(l>0!p*)Hi4)0^bb~PI#PC4<$ z>?dqkt;T?QGs|?b>S&xYgFW?Hr2AomMaJ;HPEk8Dr1vF=f<0=OQ5)4k!EGh=-NGcgxsNso;=u>zE3c)NmG+qp1e1>? zYmE0*+phlO#D_5Z1D!TFdTrvV#`tfU>5ZgkXdowPUP!h}vq1p31lkymPFc$o!+hm@QVSSBRKLNEE2e;w0{S1(%h>p+VsoymorYW zJ?!!VE^bU!e;oFxTA$K7TCgO4b^R9l;rYnBdBE9}P%`4|1hjtRCqHp)fH z4psX0Hu`aqB8JLDiagI0Y7Us}urGSQUh;-SkIBQ;K*Sp`tUudGpxNr61bx-fnVN^# zEiezcAhO>+E{q26h%0@*tVkvT$==sH6=Yv7JmQ4?7~h_Z>WJF&_2^ZShHAptd^5Q2 zTEHMykL+C-Lu}!I_@kbngbgv6AL_HLu%(*#Q~?!{xr;E5wY9+IYgvIL^BT~CR0W#ze-_V7PAY-A7=3m zrrR6>O<#%RbBfZmW<#FGkRC<1!9^C!qvOc{$X-~Mu#7Mevl7eUUdnATMe#$Us^MT( zCe@tvZdPB$Us+?koi+q~b{LcwVW@_Bzw=pcN?&cDdEZxV=wtqpzFvmrJq>={gF zu|tyq7Y|Fe@Fn|jn5ZY?@&;dX$p&<(Rz2y(nq^jq4~0X6a59)ZkWH~qrGA^)Hy(qD z)?fuj?WtqmP_UtVPg#MBA49^{Qh=jVi{;^o!iLS)J6J`o0KJa+Mvjdcko%rg#nFYO z4P(AN?IETos0u4!zDK-Uk**4;i3lT(hM-3&y~)?!;7RBk_p)RWcEKVwK-e&@yp5vw zzy^`Xs9E<4b;gxCB^OO=94T9NY8~XXaW-J=3)kWjj#q0iv|guGFCzfFSrN-5z_h+( zs?yb95H^V-**mnB@U*@@6z0=ELB9{#oMsuA5~t`ikR^aff;LwzpM2rK6Lgk7!xC`9 z2dC&_g_BvNGEe&z=$CD6!$ayq`UeoV=Rgv z0KF(?WU6`ECEK&CdL2P8dstNc9_qqqzB!n^7qTfvlr5vTAcV(21!(2ayws;G-EMMM70LwQBHz9JI<_~m=>Zxz-hB8y<2m);FW!{J$gWV27WtPm-QGlKzd-`b00 z(KxPuq#4SAKMUa5=wn#Gm}a#a@~xr(6}cd<4-AFm0XAVilW(W^D7|?joh?Ds>M9C^ zbd%7V3-d3SWf$f`My92B6EdMI=7vLSj#^B&QIKFQ>-mp@9s9rPE8R+hC@l2UGWB2+ z#MAI%2tzHAO&SeSQR?c!C7MdBr7L05=p6{ar&4}Y*)sqVtq)g9Mp-PUG^^|(U@BUl z7aLVpy>JTi45IK0UIc|;l6W&<9ui$k@P-DD5#~*S(Gh1
    JNE<^GUI9eNu#j42*WP#jYhHI0=y= zJ7b0@ogQU=upzoie`i~1K@gF*Cra7);J7}>Ii<}asfM3wltEh_?Cb!T=^S-vNuqQ} zQ&2XsjGR}2qBaunDQ9|EIOS`dJ?jaF@dCg^g5nZ}|Aw*oDVn%+J_JA(LxLJM@nw|s zZc$5HZj_LUzTQ%;5_81oA01|xUtO)|8*uBEG*;BQ%W0z)8vTba8^&=A6s9?RiCCVF z_|ncdAu2G;?3~^mC{z~|?eXJiF zSK?6?%fmvEJzBCE@*UowBA%+#xh$h|PW(g3ubL-=d}#x=?7?Qk^7gBrxA z{vDr`=NN_Z6-6eaCHQE&^aR}0eeI7Z9x@cTR z8(l!}alAfy_+-l@uis|t*X;9!u*- z0%G?*i`Ictx~V}+&xIDtD2=~tNM$cW!T~Vk9rWeT*uYg+@V58AtUc~t!9?#clQc7z1 zeCfxToR{lDP1Fh%t4!fkP`Pe?0ww-rr00Lt`Tt*vloTaXIPX?Bu~;f+2QgH(4ZKT@ zr5n-+yEY69*hx${G}7$EyCOW?a=SkEI3CLu{tUB+r!)VK_er@Dq$~AQ7UqeQfp)>! zEN69jb4)<8k}Ch|ZFL$S#(a`RmduG4>KmKNR>;GR2?ld9!Hv8L@@_Ea(+m%EJ!f zaNu4?q!&A)f?K%VtkD)bfIIMn?d={6$(+Q$-+pGHsO@Y6tQ;f(WX@n^d~33vzFSo<+ta{#_x=8-yLf#*u2HTv@8FQX5MoDx?x;ySDxU*F@#^$nm>b zq~T)i}y^h z`Jw}uaF|53ci;dvSVT%rcahQw9CZX+L@jXb&LPf3d0*JfLGjlD*KlY>JAp$79_`B} z#fn}8hf4UCyuZ&e$sBduEpM#!lTE|Rz&#BK;l;hh4z^^k!vF~a3p);}Y?c3I*2O6hHS~GwpIcF39ea*t zs_YYylAKJlE_3`INlGZ&2+D8qW2hUdS`qPuVZP*zn$1^VfIEiyDub{~m1^`u<7Uf_ z+H4TW$0)mh)WfBmc$%`;_ z^qW7$*tS-lB;evpL6kQ*&oT8U4EYZ>Bx15YpR;*lI&J99LUtZFd=ESRSmMZDPZ`J!$JH6R?w3Go;&YqHa;MEUyYke zd4zcSaJs(p ziQfugmIn#5(cQ=}Jh(~qaJ>tnzaYUQK|faZ{lC62d0OK)@zsLG^-ek@7ZFFNbx~$G z0t}Ma9ODe@n2U67{*&&7`K9m|z1MxXSONWT3)gPnV7ZyY3r}aF)B*wQ4q6Oru(>Xp zNwAq49WG!<>p=z1L?Xi?Gt2sjN={Q5a?r7_X0)7H+65n&@7t-CH7GM>6n{*E#q!YT zuzeT-MtDqP8gW*uCpc7ofLv!2$eEn)Os!cekHH_O?JJ5l483ouQmnsn+6XrD6Gdlf zLk8zkG>FOoh28axAPU(?bSzpE9nC%l8HI`99%mxCq?lnLjal08O}WQ$XblddL&u?L zvPfHw*-mjLg&=a`&7epHVM<67=8ui_-EYh@Hev3{%onL3{WuAWln^c_2QbH%xH(Ee z0UP$GUZGZh2tXFu{0X0jAQ$*6d;{?HTj-m=L7!sH@^R_QY-xLnsXB!Hva2HG?6#%N zlB_+TM#6#hIX-6Vf>%l&Y2ud-bA~+>x$q|)Vp@d9?(tgseeFXoIKtj~IO};q6p=BJ zw8iZt*>cUn%D>;fgRw)%nADRAeg_#vjv)NmHd8GF{#v%2TLX(j7;f>%`{E98u4R%_ zXm%W&7Zrc9(YA&mpDAA2@P{yYIC+sUPt0S${9djfwnSvKs8VZgb$mj#OdeVfhoHfF zU!m4~4Jp=XMUCa*M62wh`LbGTL!B2Qja=XO-lfe@AZ!f9q0ejoP*~fGIzt=lL2MY0 ziC=!ni&`;NuhXDn^~@IwW11-y&(L5iBm{BNWIxduGiY~1F1*d)IMv6C`(zQDVgA`b z?#@ISU#5L2Ts=zHqE#df>LVDlZTpKfr~svEM~3}x2>nLYqrrgTPdmr_I_fkU1{l7{ z+O(Y5d<(OZ580bhD7w~VMA(X2sZAGc#sseg4#_G+kW!U;H#%Gc8TB35a(O6xW{6VM zn6fWHhXf4a1EWBJ3>z~wgt$I*ScZ)cG};WCT=B!nBU5g&_frU9bHH$uPPn~pTQ!(U z3DTZOy8x_ar#tXJp<*4!OUX79obtC<6D3UKwU(e%)qQ%bw{AkfeCncd4dFQ+!MNZr z9Itqdv6Q9FjC!E=kHZC3nU@|)crKHYyk3E(aU^XS*O z$jostjs>`L7#xOA%vD=^k=IsYdBynRio;IIm}snItD(iVoOs;b?XwDUHOjV;t^#4TsW z=3IBu-Z6g!gsp&>nrO{g``T3kw>rZTW|mF@RbW2WB?M194yQm&^2Mr-SgzThe=Mw) z%rZtGKxwFsb6bkRiui&)g1h@dz&MauF5}9$N`(D{UgbfQB&mtl0msFUP42hQ#-~8& z&-p@cPV@Gy%MIZvTYS%jwKf9`rV+#L4Jxv6UBpz8-zFGm0v%dg%~{Po;ea%Z>{*Ff zY{Y!Bvtf%HyKrDFNLw>SZLS(_4hE-)D@{1eWhb?^O2Sd*%HH5&8fhvs@ue@*|5Akbhjcb}73)>eeDp5k@&-S5hUNF!L;3~MK87u) zeZ4z$>ObNC{Ew&9V3>4mrL+0sC_K8Kmp_E|7@L0y7zgok6-%MSMJkq6@L6tGXVv=< zFbI1WgoSRPhG!4>=RIWeMz`#3mi7SN@4$Jb&=%uX_jL32NzgZz@a^M}FuD!d_(>6C zIHXd#6Pg7fZ+MH{sXvU(+o_lMMfi{4TD*doCVL)GwX_qkki-tJh2Cn=w3PQzZl|I4 z5X8e)u(imhWT7vUY&EpC5koEu53ixEImMU<1e=s~K>Ae>wm+{6y~ae}fb>FTI!(j9Kkq{gra~!;>Y8i<>#kh3#9)&>EYkbI0qPuK>Wb>S9GrZIMe}W9SWI4#g zQ9wAJEeuE^UV2w=@C+d2GOQRsSi&JrbR1fP4Oo|Ot0UlL6PJfpG#YF;*<9ca=3w}N z+9zJ5cn7&OBL+C~!$~eo<$a)62LCAL)QnvBoWdAwScCGolPjEDEpYz3Q#dr*$oulC zl!c(k3+_2IBHR+`i*o^U6|h>npb>Fm#t9wMXrj`JPFObU-B3&biux&a6>u=rPA0Km zho-odtMyhW1z9DL3NuXh8~+9wMJ72YO(%L`?f(+?L~)(60#PgnAsgqB&N1SYWJWykf(|x+Gl+#_kgD5 zQ;==jfpQ;-RD0H?K_cQK{Chh-cu?UFXQJC6wry1sPkwQITOH08vsqt57S6++DZQ^a_Wfz8DZ7H`hcv#mr1=`ZX!7WE%& zp_hA!By1)6iPlcDan!zc(li-_t0Q(#qOLF5!yFr3wLr&rB0OAM7DHvV`Un2O)Q8{` zSQ)}^M`t5tl;ZW2yjeRAmLBW&C=f9Mb|7>W)lIZ61mf1YMw(m$LwB`QM_(iKe381%rxH-IhwNwStB^;3sI7Pq zS|xU6mQk|6+mCgYt$cgzwC+8%Yl5o!p%WXcM><5~R)Er?0=@?qyA3Ig~T zL^|1s{KFK`OE}rHWQ=Rr_JHuWz!=ejf+`=CI7}!3xZBzvZoi?)z$*aVgrdM+OM>^EOv=)%=s>e z@}H2Y=Ity0D$J@wY}X<@HvVLPOTf~{g~KE$W-AowJGUNgq0Q&JQTJFVW+%30FRpNy zW$hU3wVn?9FS2$J(0i!9F>JiENNvHsu@Ct#-=~oKC~7B1kMyTI4oSGLg%R7ru_Dss zaa57w#}G1|sl0_((UUD4`!gxW@bX1UJ!D*&|CVh#Gaa}xFLL3~*Ac~U;#FV=S8mPA zf*P`IMbSc7k6i%988LqLd=L6&2?0@8-5L1wW@{!tI>UYm`nG^wSh9&W-Oi7YYAfr% zA@ZLm-*QlU=E5Z3L%l@#v3D3EYB3Vs5GGkuuhbj-#QVw{B&nAybjjx4m!jQj7Y^c= zan^(@ZFRT=PP-W~@Qm(iBO<=1aA;H6fR@+g<%IpKOVPtY`aZnezQIAN>1=<|Rwq^> zO!rz4bLDoHxGp-HfV1MqX1O(@@>9XxyaRnvxLK->Nj>blDrxB(s@Q;*to7| zwUz-jyCw-&Hw`4~_(ay_;T{R>W~+Ct!6&tya*x0L1B%LD=#8Y zgE0GMnmnV8D`664QLVAl3Ra`P^of?dEC)JV-|0~Ccu~5)ei*#Ph;}#N--O)nqg9Lw++HK!+-y=-*S9f= z?m}j~tG&T{!1M1w=&l0Y)Szi814L};txz1-;7G_OI+@M1lx;L*QthFN7Lq?xXOI0voM~KFiI;4jI;nyZPy!O_QM9&IUrO&gnO? zQu?E)uiGGehj5XAH$)9)z6QdGWHH2s_^PX^@>i1{1y49oJ8L91F75hjVwpl~f|~lG zYT3Bn1&3i`sTpdGQ?Kdkl)G}vrr2ufYQ5@cQ_$w8Ls2M#T>Vg@rXtMA=degLZ~EbUp(S37jPeE_ag!!=Y!y5E6hy2Y1SOy_N zb1~?3{t|^|8j(!XQS=?^4D-eD2P=vGR90=l6R(SaMKIux#lmWAJ|5cWPlrxyuhk*8 zvCOicE{%Xs>pu9-aqDHBZ0Xrg*ivAQ_{1m0zTTDjLZm27T*AG#Mr>1|-e;MwPOXPn zfYN?0Dmw@2#Zo~U+*5=oBeonAvL$ixF|%L^B0dW2K5d zxxc`C`8n)W&gQzaqZT-wE}7=g*Rk;cQ`M4j<5Y|KSUdeu#Qq|>866wHWh~P21J1y> z5MJJi5jX+7;$S!qbQ4&u>#r^u%${>-@E9Z;p49|Hh0EqHromf~Y#d~8fABC(r4d`+ zpw}Y6#JFAHP0zC_R~;K|ya#YEYf@5j>9IP5NdHrX%#1q0 zI?n&(sxl@r>Xh?)O|<#T7bmRdFAFBaMjIeJlbLb3A;2<&q(S(TL}1Lqi-W-#f+?S` z<53b_vTav~m$%?ANEA1tfZnNUIaZ`{n&QFr&6D#BUkgIhH-?I|QcL|pxyvMkd1hG04yeQyvHPya${`%Spdj>dK zSyOG%!HDJYK3_U@Rc3Ovsw`pO7V34KR|saz`+`WprbiO7&()X7jV?i?cwf7^<`4ig z&uaQ2W33T@Rx4St07I;oOu7Bo92*QSei$(7bv-G0;-2n_u$0lp^N@R;acRnno&}Jw zrvkgB&U~!~w)Qx#V2388a5u8a>v9V)IlscBr_=v0AWJ$M}=V?O;Ll#do5!!@;T$9|c9Zj6z)4Ges5B z+o34GMpJ&mr4Jqic(gQhM4d!iFQ|FD;|T{Z1vX~SHMI4VC?&q^vBq}yVn|DS9JOYn zaUnN`zxGiMP(4fRu-k|;9B7pawa@1lC{sdV_Ba*H<@T~&>f>tRnuQY9@e}T<)DxGyM ztG{B7{4-a8UAYrAq@_7zpw4ZBkX+>)QNA$y3va1ra-2n3U6zcNiMQU-NBJ zMhe2;7_zeOXqf~|S9{j*A*W20q-bPHJCgKZ$Gj}AHSufg5Q+Zeu#giJd+Mp1vYzIvg5$5gM^B}?iFk6qqE^Y-GAA_p}S+Fwu=69QMsMtus4#8NZg170JzuR z;J_1`lwQMRaS8*9yEzQ(z2n2+FA_xIb-%ou2v(uibu5@bNJRXtYTeWW-2<*P_W<+w z;3_-x|HS+H9%=KS;El1PR9&}5GSK_t_t7PklX;rdNTv|*?P!fXBPJ~ExC?CTmtsR3 z*>l`U-3xP0y|ECejt}X#K)BSRXdmh=y~rGjms%9xjFMPZbe6CY%>un%G>Jc2sE01X zQ;JF$lY@(eW1z1oCqUC{0;O?;NdZJ7+Q1+?}dk6>UU(ad$JcwzF3 z*Ov_W9frKrqG&IM>_v+N7k@{Fv9I~$MRjl(wf-L#Rq;C>t8PiVy}`e=02wy+V+lAK zc5rOmU%uRsc9aBth|T;U-kRoo`P@A(vE;+HwCPqAWrAYJ#rlTnQH_xEx-+L*Hw3O^ zN3?9#ma)Rhc{_V_-d#&b5;HL3N2<$_o4&Y`-Tco~Xo7b0d`I6nir}FL4 zASqk87DS3p_Ow!kY!1+`3%CAS;BuvW4|^k3IS4}UeL*rj2fjO1y}@Hu%M|I_cJ-eu zfp$OaR6%H5xef%`1Vs6A^OQp1P=nRaFh4i!=)J>{*!Y>Du@AXJZi4-92$ZAavh`MU;UY0a(Pp{Qh zm`_K7d9OwAxk=HcOz>G?o*X8GmAx#1l~co z+h2a346E6bYZa#2!HJhYdNXD#D>xHZwNNT^Obr(ZGCN5^*G$cw{|LnNhiEEAD&ZgC zBH1iJLp{hG+U}1c?-yeSmhPL8d-qdY7m+$Je?)jXFE!fLtvNycDJO1%GJr#QR+RxA zwMNt3>}u(R%8 zcvVhO`xYqT5BC7+9YH!N?=l}1$FiOlbHu&&8m{iw(WKE&0U-!4LUyiaSxHg7#`pk- zGF24lbB1ziJc-axP<9P^h_s7|v@5E3EHRUtM2)M6*pH-G%3>sWbHkZyYAA(oZm7v= zYqdDrA$3BZ0H}P@=kGh2j_!b{4EfQ}M-b+ah_+PuAuho1McP7N*=5FjuKY;TN&yU% zC1C{ntTqP7xd8a`?F(%{SLy@G*g`L0j$9`Vnh)XJ@WPgPboOPxqw2i;U%yPnq3HsB2G_=N)KJbIzn zkO_VSU(HWWpF`ecllXrKUD-IdYhjA_jvmQKs&3JikeRj721BHKppGWP*dhU07;mA5 zQ#enu!3d53pXi?TEH_kzoV;ZR&nB*qN3k!&^X=#qDA%O z4eoV&`**i~a=tm42(Dn7I!8;llj0jk(^XsA_u-W|N#>1_^z!3V-j#b#nI!{L=1=%c z8rJ9_mmPgfOc2SsbSBq9sX1bIrpRPN)`_HQcC9km)Q*S~FSJ<85hSPW!1J%{MstL&v@O~!QR zeIW6|v@u4*D;SDoCA}E&OxFV1#NdZFj*DY4@fK_QHBA<=7S929VPf)lofN5C)I&j9 z14&WB+<~NvkJ^f#^90a3}b1No?$&T*?QGEbk|@#cS)ij#q$3IEdcDYbHXD59DN0 zYk~wLy5Z7}lIm68j&7y*lGP_P)HC&=@3+2;A)#an6`RUrht@;%akiUkGfPdY#`(?r zA|)`jM~HQ4iB%WhMUL2~j`l_8o5j?QQh8T)ye&y(Rsttq_jXY_Hv6CjH^F0yl8lyC zO1$eN{=vee58dzO{vxW}r)#&;GUX{8X$P*MouINHC0RQcTRcm1UpzAoW=E&sIo=Yk zF%P>`fOd~M)4_x=;a4aow2cW<%R7bFln4uKP1l^l`?E>Ty^c7d-jvN`sPSH>2X|2o z_nJ(~*&G#+$$*=IPhM^+`l*Fd)WSq#iY?Y~ap}`65?hOOovpr;)=*F~r+#PfZC9>R ziLxPUac<4pQQ7_;Rn zM5ZwM)z<9|>%jh?L8Ku#Vjh8F$U8V`K0#AerRQccVca@1I9`8aL<#E;VH`KKzw$S`0`N9J^}#*}o*j8goJsx_}0|BX^&gsI*pyXpWI!L{2G(H86h^JCFb) z(SfYQBea_&zV9simu#WA>C{X-ho+$!SY@e@GT5P_uf7m#|D3n-_v3fluRrI@hy zw{j*aFBuXQaM~h!c1giZI=B;@Wc7{Lg94p)75zuK$Oo(L)@c9Q9MU-yJE>ugRCT40 z(FVqxHKP4Hm(8fDokV@Wu9F#C5;GI;u#~#_6YdLj zmV${oi*Ytq+ga;BV2jF-q#4nvZX%gnBf5k*`?sM*Mw}aHIqkHW^aSF{pg~(T(sCgP z0kwbT6W3m-JteQ+)S%h@?` zS8SV7r_O_8(Xr8r)nGYfef1d@$A!aB5;eWhc^EvLLz6wri_)L^9UOT+ecQr4y=4ROCen8Ic@A;VZ|UGpx6o8`m1b_Gnle1kXeh{d^Y`V4yNgKlC+_-)5vMnr`+ zEE$nK=GAO(wHFkXvy<9RlAbYiRF;BBEb55&V80$yj>#J&%_?7v+pUluc+Oy1R|8xz z`#m|-n0e9fP#DhNq?=jLZp*zl0}KeznvGf~y(x%pV;zby6Asdos)Y5=C=l!Zq@tzl zpkMz{kO@WKDs$rU2rEqO91+Z^lCDomD8yOD{h@IkoHm!j-@;mRcB18>1?QwkADnW* ztUlBI4mi+{!$8ux$Pgjd)oDI1^9^<9C-8hW?m%(ows+Vd{W!AH$2S8?hVab^_EoM` zOE4#>4VoG3HOGRA%#h$dI0y80a>(Dc-~@L{MY7xk65SSJ1^v~>e|8?A{1<*vcIf`& zJ*eD;XW>`&sx!K6^`Po&Dl14H=g8u*SULS67Y;UAqT$sEA_sPYn8nTB6h(CPE|cXS zDY_q{@IId>1{RoZE--i9`29BH+>l8$k&vskRU)F4X8vn+Hf;3P6OPYxCu&80u7hd_ zrWLR!lW%(q3E;O5Js$dE@u17?&^vdel2==f?N3&O0rjU1a!OGL0&VQ@aSy zox-tNOnn)6I-l%$Bgnyw=Y~WY`Up5PL#D&t&AlV(FuT1TIv~E`y(6Bk6LF3^yaTXH z6|4Y8dxwf0`RP)%RK-r0)48FLrZua|>R$;G-N}42P;TetTZRTG?1*dB9Rj|5;inL` zT%N<+!5?K5k|)`ohq-C|`(@3{jL{!}=dXZOcO;20-^jiMhM@R;hft}#D0kD8=P0r7 zn0yo(nok)OVVu8WTLJoayv4%Y1D5u&M^l)tt~0WZk05-(KE>cykV+1LrjMc?S{s6VyBfWIUE;l2;UfNqr$>bWE|ml3$(F_VKP6RbT-ix1=y2yAHz*B!gPB zxpu@K2v~C4S)5NmaIv8qa$j*Nbfg$RuUVl<`F4_aN-|w}ay=_;#t56Wq$ajD3jE zkal=UKbUnt&vMHVEF`(s8<0l}^5cS92cT!6wme=C2vFP64e`$P$All&zC?Bt|3_LN zg!&@@ib@w2r|4*f`GW^~AKYP~6ek)K(WcL#l~ndbsZ?G6Adevp$*}hgJ3+4}>f;*v z>y(GMPOvp6T22uZ{Lmeuf;b*68o>zqa96falhow9r zgt1aKa8y}$I%&=t=xzPn8x}GwE=6I;g&x9NmDMZw#4=9eu;SrC_)bQbcDXgXXf2pq z?`Rx4jv&$1qC~G^ID(Du-UeRr3H3uJvQ(4jY9DNO7ix$uarz(eB0{Pg3E6sS`f*du zvf_2j2Cv43YXXbpl3k?9&;zF%$FjIM!m6}72u1&Jz~l%7;_$r(>i} zgQSMocWv#)B#^^ciTAS=i6d`x!mx_aeI;O&^VM9*Sxr@7=+p(;e2B=*Ys#$QJ~|}#@k!XfiOR$TR==Tp*6W3wVFbFf?68B^NO0OeKKZj6 zveUMqTYM(pWJvd3`e;zenn`fKRr-*m#65KSR<|!lDUB{*zU$2(9N0w!FvO;yXk98f zZ;SZKH6rLGm$=p0dou! z-R@n#hD^)?J4k;onrp5$j*^)q6a3CDrm3@c6MF3-Win=X-FPgglOoNWyfwtj=V03< z78RYcEF{|mAg{)!B$NLXar+0gVYLt2^2mjvlU|yRVZ)DPFQxR_sULnmu3&6xm z)@$`R|2&=uRr!6Eu= zxlXS9OnPxGZVdn6!AGk5Ljy5kuDW}jYWs}8mAi~rvODJeMv0*qc?dXwx;pL^1eR%w z{-GsW{cCdHzx+e#CwxEf`{4oCS7vE=1AP+gj2g!xlml8n#NWx9O#@~1-)dTzt2`iV z17>W*=)H>$%}onV+N7A7@ZEP=MO6h%fx9S^a)zJPK0p{MQS-iTOL)JFe)x4h$HD;e zOBCLW8&=Y71aV*tLKvQZ;a0dZG&rb@(>{IZJuD*{(l>BDWWe8ECJxcvgC1we+K0m3 zc!Rte%c8rTmAcXl!#M<`1jzr!Bfc3Es7w_sqnm;2`qryGjUhU6s%V@;Mjc07yCqPw zhIF6TxV6!XTrdGH%28GEaVn^IT<8E24(yZAm!&~Ih#+w<i@&2@A!NN*($?oK-dF&D^Y;lKAT6UAL@jEBz3z+&OELsn= ztgBkl-u$8v(>C; z9t~7F)lW!;%FJa_bO6AS7j|#m!{)%}u_(T|FXs9>)ZW+L>U?W>cN!swhH8sxY@roc z7+2n=Vxr^7U^E3P`A1mfWgJ$&S(`;eiKhe7Jv%T^B3u)Ji35ZFISDuTsyPz2bA9qh zV*PF@_B(M}=q>E>LvlXZqwzQvCHXtJ#|UxjxWaadD_Es(9Ghe6Bg@|S%bD|WE&Zxe zlQ6ZXw;4?BxDEv?x~i)_Hx4#F&!7{;;w)1`CbX|k^+LF)>@B?xZu9p_B*P3{2d0Ay zXUBC=6`du?Aitb#(g?0Mi+{+Gi&eAo-?1LosH1zDKWFGB5@lN$_H2~{=euy;E z;bFM;N%+{%Af8GJJ~cc^*O&IUZ-_R9UFC*dYwptnf$ik1!EAMmd_%{s{_NF%)E(vQ z-LamK<*}$T*S+}6q9kN)Mkg49hs9mY56YV1pKpPh+fjckbZ(FvH>~E7;Q^(*9!gt= zVf;@r2QX$Yh0#a9^Q(_%@VBgfx829wyf7G2+Fk@our&-&uk$AF)AUz*_JQu-5rmza z$gGJ6wQzLt;kLX#9~jws%SmLge}b%x^ub8q@ystX5+~9vah?lqu>sLEY|E@lQ-(AR zhCI7=+v(X@Z_+gw(>&Y)tqj!9Xj|=4e>B}w0(>#xlr!5WDcn-h%Yq-c6h*6^*YMae zj|!niFx8y`J$O#=v6d>A;kf-^#=A#K*uU_1zvLv>PWq3nzbJVm_xe9^Tw)>2~Q_~h3Qydjih4=GCO;4KUr36`C%QH<&GoP8+up7lS z=$iiEc632NZvA#+5c*%H_jg^4ie9- zH!sGTD}v;$u^s%Yi<;yWLI1c{>BbY_cbVQkTEmipS@8>+F?qns z8= zN%kokbdr*5#D&|D2|e-su|C$n>+{%})1-!&xJvfI6npo~y^JX%8*J!(#5JDi=ESHJ zDfH#F@1@i1h|Nd2SyOPtieW-UM+^@K;66Crv_)y`y8Hq?+RBua!&RQn7vl>omf{#O zY}<0A>gYl9bFN0Vc%UBPCDxdyb3AM#3T~SWe`P?XoO;69JXZ>`A-ah7s=cFF{09k> zj0;Fj%;rV>_^qCfzyZG}LeiYCRiN!A`8xM0oeFYi?M2R!MTK_7S)2>}Vz|6pu@_f} z2im>wSd@x98YunYbu2OACo@fG89qWyCaKu2e8KWUZf7`NSc*6Yw`MayxD);KJ;qF3 z05g^Jh`u0Aydu{uD{VjVU`pcGVfw;CCyV7-9y9UjtMPr!Np|AXp{M}CN89DyVABQt zwTycBTo^njj+?Bc>L)nc;n%y8pc35Q^B1W5oWI^q$cb_pqhV#N*Q42hL828ajpN#p`?f`UCAj#l|rt}sP*B;tuZA;!00v?*dXM2uEu_fUWj5%vsTz^MT8tHbhtkrxMfJs$L2-cgU z<3uT@;uqzvrp!RFYm<{BPU(aham#DAb}M2ZG8?h#P$1!TMeNvJcYl1XsBBw8nqYz1 z7wMNDQ5wj6y4l+nMXzbzp}d(B88NN>h~S6pY>ynpaoLv0=$Fw5#rBy(>ZciBI(3>7 zxlv;ku)<7rc9|bv=|urw37ZFaOZs)snEsUp^b5E)u>Q}v(R4FLWH1`gD(pyJMfab$ zK;K}8G{z;buj1M-*~nC5=j#AS)?UqL$)P{l4|0`9zuRF7dDd#vA3n{L$81@*)Kt?5 ze6eD|ea(42FNQ1Oh68>M*o*M)4t$x<_Uv36^unC5r+ZnA!U=YlA))_T5J}@fcm4Xx zj1VaWb^VsoQc|S-77sFKnb3P?c{9waPrS1JLO|>2AHkiBfYPfYLKn!vR&rqNp zlQ%%nsM~kn<)7ufs2>(R9-eF49No&-7istBGs-8cW>&IqQowao?E!IwHJHDTXHo|`%J^ZWHWz3*`B6jn0e z!tO{fVi1;Kt#Bp{8(=ZjO-BFlS6$k`H_fVKV^u@{xnUw%U+Y>M$)YzyF})*n3QFF2 zh~4B?s?z!wgbig8-dE?qF>YGbCyt-tWJtglDDfSW4>rayKuWNJdbmV*+dKMe2@FwY z0+n3#Ak}hGIy43!$88lKJsG^L@VM#(C#G^RTvz}h(X(kB?^dCQaI+VP-2yi+H%cM* zL;m*GO5t_6UUKti@@b+Og?;DcJAT*Fh8N6tswdr^mz@JRcB7MKppR92@FOoKsg64i)YYiD$K?W(a+GgWtCSQn}hQ3$0~syCRBguUkpQk%g)m0>6o2QhJLZF zP}ji@p#C^6`bxGpzRCIWJ$|?Y46U<7{U+9Ey^MYbwpi&(+||w+Y8=3Mq!MTSg4Be4 zFdm=;W_@2fTZCaHESH~`1lLNM9@-Zu`ixP?FH)QC?U_B}xLd`(0_Nr^CfGD1JJINE z#P>%4ehaMk;C0v|EKceGJaLtlAWe(X0}X$|MP8{C?uYS)7=M!7u2RhSig3Bt;by5H zGY6iYZX(;0majSy=}UqXtSXagVZ;ZT9)jMW*iwN?vPfdXv8v@O$}1^XvMfxU+K)*C zBN4&lq9MUVuA-%RDO!9@rH#gOJ~K5`%56-Io((vU$`8SeJc2MxfU%}{VM;> z8=?Hpbiu^IMXzW2DGYnyX+s%e_#!7!@I^m7j*Q)%B4cX1Ih6V^ z&NW{}f6Bp|`xvS#MK(*e_B4K13&B~mLwZL*_aQ2G)buL(Ki{*dQ9=e~&lAoY*n4ly zAR>6KYW6!41w|#=V_gqKx(I@&f$q}sCX#LN*ot00cxVQ?mT)>*a*%HR4eaY!CIcsO z$G_F=PP#qB9t!E4{g>de2)aa0b#`$gl7d+z=xx^r_bE;`r!tmEWH>CN1L3;v3jr;E z&c)yHX*PDp5?}TjxLa>&>Vqbi1&tG*^AvDHakiUKPsJNya2 zH^P{#THU^o@->UVbV-1nzsJ7!_ipYz&U)4IQprYY`szjD8JIrq3M3FEKKT9E)$KUnbHs z?m1DAE}T-2YcV5;0oKPeW+u-@wttC)@2zJ?#F|Rg)nEWamFaAtCXQ365rl=KSnm>r0Mrh9zsG|ilUckm~w z)_5UxC0yass}(x__G!psS?kc$y!0m3DdOsFIF_CH%|*6@kbo0R)D_<3szipxT!N;c za!;>;FB(_Fgec3uTRryNXcYy$qQa@ltd@7;;`Rzh< z%ZTCAyx9S<+@zdYFb7TU*kJ^-l8w?URJ$uwU9Qa;i6&h9FUCJ$e(l!QCXw+zjJ^=u zKcAPewmJ8c8r4%QHYujaySZK#x$VN(3~}{f*S%?|HkId~o4SRKXy}%?fRxXm@Ze&6 z0>z;GLaWk~;h4UB3LS>kTl^;U>Ubdv44=M4*o_l!dXw1W*LJcc5<*(el?zdWt0m(V z9oNzp#73ce!pctZqvYz(^}4vyELL~N2<~z>jvJC=#MH^#yC#aK_sr`oa9s*JSsnfd zABQ>jrr2jsSy5@hj;-gm!M21m@;TjPT)pK=?S=So|{ zQ*lKxe;UtkmhVQTvqN5#Q~#gaO$hU`E*|zm2ARhhwu~t^V%_p@^|{$18-3U>BiRBM zzs&{3^Y>{M8b4g9PtV=8nAM_eico_a!RseO1X6dS1@}-hFC2n2zjWER1xF|7h}8j9 zFw4EKJQdHGSEIWp zNEp>R{2j$R`_7&btVR&^CD3Jjl)zfEeu=SE{~S+fehGJnw|ufhXSkYCyJr4U=a zH2$k#f!Z_-X~)AffufM$NVxPIK}vvVotr2Ji?vlY+qN2a?$aX)9O6rXN zAz%Jd6aS7cmnc^^HC9+P(~ylvs%k#2))o^}v&dGdU4Eg-OX}u_L6lLQ{%xVkI%hqp zMCd=WI&dPVHdzyrex z@aA8l_OBu8`f^kcxjI1sKap`hPhx`*`t269Lr|Y_hjke0?F*MgB=Wsb5+&DdGd2!T z1cGnZBD)Dat1~v0r207rjG&X3TmHcpf@O|rD?iA-7YcX+t7j#A7HPF4+OTVm3kT4% zr)>2E2zFBGLhFVsJlJ~acSDY;ZdzoLRbS&VAi;Ki77gmfzj1LD;ZhN-6L)6VAwf`> zv=b%9%f3R{Uvss;Fj5H=>?UbB$yMrY)Knz-l!|d*%vJRCWX7w5U$OiU= zH@$*kqSg`c6Z&!AcZfDU=+>{NxEEDIQF<})d(oaCG_!+gWT7XKNP)rv zv6IeL+_076;RImE?(Eo@5K;~Mc)*oPiXQlfJGY;fcX7HUjikvzOM!dkE!4KhejtPm z@#q>L^*0D=C_T~g&$KR9a8ju?q07~7S2ohhzX9x>8}rilkQnI%xSZ1dFa?3a-@@js zw`lv6BmRHWgo4nFP4XIi&;E@5tW8lc`v@3F>afSpsrvS6ihP;j|0|m@)}qGm$rCLU zbAq1?Ob!Cxf*!M2ZNW?$t9RUe9+>c@w%D12{);diF>*}z1)54R^)ssj zDIS|SrWNj7QGns{cKwKS(@HrO@qna4N;B;aD&o^H3}@-E)AFNQUY-HBQ)jNBlW`%p zfKfMdU_|0b_02@8fAF*O&(&Y|?5lN?w!@S+s;@km_ z+>@o53s=|&P`izL_RC+awgjt#0|4sdy@*Gz$Ql}Qv}*ZJpyNCtqd3)QSnp0apf}#8 z`E-@zTHQ5i(fDI;FQzcW1Ca7iA}cC8U#xs}A%udhV(%a~R=?Z%{;REt`x`U+D?n?k zJ(?jB!}daS3Xl8QdR$;nM;|`5vK1^9O-LZoPJfytxRGI{9u<>9;86*sXyCf zsjY7K#9(<~V&C@4zKuUwkhD;SQY1&<7rNz(8sa@<*Ch1miH%77iL^ z423BiXfi;;A0>i}y1{$Y!$74(Ke_H;N7^#*#Ms){@+nQSqEaFu0BT(FIJO&C)x%$5d-o ze^B8QdkAZGCaPX8y)ypP`PzYTlr?idr5#9|FW4xWyW zUX5yeZWKAt^lqGPg{tQDu$6XWeGAWLUtjen!%W{sOJUp@?R|`>V*N-;Kc+T>lO}87 zK)h1e4F#OJ9OM=qCl4h=Z?A%9m{gXNb zrFh2$#vRT4$%i|Pg`*Q`^ERdz3cadp`O5frYo_K$!&K=y;r4zcur>&#^m3Rn_}^uR zhX=BvCi*h!psf(~_{!#o&EYkBfVds@#-9mC{5&BVtF27);^^)#thL!lKEGDykH`J+ z#Gyak!*hu5F+615NKeF|%YXh)U8W|DpK70g*I(OI*8R(WX;dgc$#~D4qMy$zwU;S0M@r{e zHkGUb+crlVVTk<@LDqB1VCkbN<#sAX;9O!{mcI>Z{;~#T_8mfqi>n_MRkjK zhecSGBZUg4wVj;dYrNfHr1|6k!>mNHJotki>2&jwHUvMHDAiz#{oImbwub#?U;y*Nq&ATpF#!xIk#ssL= zDeWc;fn&PCl$F7R8LNKR`y|A`O`v=O~mRTRF|ZX7W`qBM@s6*AZS95I@gd)C4f zUhJcK4)4AWTEtx=*Q&vTzR2Id7%xJ%pToXJ?QtQe@pp~nIVR4dDiTRf)?42z568MA zu}fXw)czih`(o15d@U+>Yr~=VrD*8F=^h&V{YqAg*7zAj_u28TQEaksEhD_BVa9(e zt|#}iYfm|ou@WZ=VtlPaW6N9XuTyI(SVi}1VUfq84vBSs`J1G-&imExkB_X+j|x9{ z*)eTVvdsscCXH!xs)wufmM^WYx15ctC)~+)PCWN1t1gA}tro4gT%V4-!hY7b2g{`% zgT{c@V&5fap~m@kWSo_%FX_k02%on+cbUcjaL-4;dX>R+F2CoaVhpIdi}Fgyu=hbrf=Ex0L!zH6`jzHb+3gfJqz|~ z2e7O{ZcF{g%NH!wS8epV=KQSVmHFHGR=r7B(4#F!-BbA&vX=oEgN9Ox9svT{w>3_9 z)ehfOX|OKlCyO*j9~W#W2ryg*MhUn&(GNqtQwtO6Pt6Pbo~(t1*R2zbf#3~X;DJB= zKyEmh{m+RZ#8R_gw(it6*W|bFFV;6(?6;rzrvF{uEjpcgKlsY}sFnVBbl(DF?$>{h z5$2zAD(TW$Evx}y88{E6{B4B^;SX!N1|8vmj-=b^@;Tw*{Q8*tM`2s35t}}jth-m8 z)trbOy65rat(4&H&*}Q_KksW+{YdC;D~@5X%9K2h@s(>j2PSLTe;duGb9kLU&mm>8 zJ0vkIjE_23_o#m|5QKpvb6NI`&X?o|QWar757n1uH~S^U;a&y4p{8aDonRVePmIhipup z%HsDMouF_RXl1&?`iXXXPQiLSq1Oq%cI`fs8)1nY&eb1!$*}wZTLUo!Thk(dV;;YK z7=RWh{opnF+t2EkG8o#nQcAcHV*7ZTD$326w&J?!f$>omemgal<^U#V@9%t_$qv8WSi_4)C~Vc3FzLc3>JXVmYLEEd0|jbh0O{S8k0 ze;X9eSW}qL&PP=g@I_B)KTmWz75PTG#htMjvUxk~mnOucVpFH|olHkR>ZChHe_7Wq z^OmQzn;z{d7rl~HXo~5>J&gr*Vl0a4Di7rdL2NIiU9%f1moXCjEMqKZMDzhpkOg}y z8YmlpLEkTq&vp>S&h!-d!S+cZoywld3I)CKISIrAamJMc~2xI+ZavJwur;-Pn6rCk9K z2y0AujDO+QApv@S2sD=mJP>a>W@sVi2c@X^4kY@|ixG$B%B!~@7E zu1H31e?L?PtO8UMbm0t8QL)`XvAsC1qF+0u8&Q)7xBpLuH-cv|r#AsjlS4pNsoxnI zZ2MlA!D!dP2+I;>##kZMa=`wmjG(Ra3rV+VKfIn}Y{)-UIvHPbqGG((@+IZr7i#R5 z4&#})YaO~bSAOYWle4V@M|%u}`tJe@1Zq=F5IxIH^%nO|L5t;1Dk zu4x;RDoATrAM>vzS8QGO_MOqR!JlN}goEH4um(=$rexOCf5911k{_;EUB~WQE?FOZ z=HE)5db9&|3luaT4{Y=ztlTY({Wr|wn+2Z&4#!~^T{YM{7<6bQF=I1Qkk8Q0)VZYz zVKc_aymzEU%H_%c5RnQs8o|1)B~DZb=vjx16t^QTj+l7 zK{0VYU%0H1Xt5@08Ww5Hbn?QY-T{S&6}Qm3io)(pEI4Vo0=%|fmk2M?<4!&SHs$vr zI9vRnnb@E{u22U1gJP$|PPY392)LB7ECJNuV1WdtfLAodUg32zxiVGkfq;bFR;pqC zV&Wz-tP$B;<)ew-;C4r-G50ej5&gupRB-$!7&2M{+*mIV)L5@z*o8EIFr@z!QcjJz zm{{D)w>Ru9ed9J!qN^d*(`Vsa+0(HbC@17*s z5$VF(TdU85IW+XJXHsIUazzJ}SkA8nc1@OcjY4nKa5L>)vpT9{49Q5(o%Be-h*N{Y ziAjIx!pT*V+=^5h4P+=1ib0^F(#5rZUYU;5^iq1>ZTb z;CM|jdllrj<2EWAA@VjG;f3%yx~>O&$pv(iGlOiUNOILLUe*jD(p}AZD{vSz*qK1d zodid_?GdJIGVLU_bco&YuX>Lj5w@a#%tv@Nbx1-_ZytFyyd(zMQ^>dg{dgRajX}C1 zOs=y}#J-{ryn<`QJ95do!XAsgLtzmad&)c5O3?5p&$1KLSajKzsj9@!3dtsz^uK#} zrlkZSg*|^vzK4Xm1#sN)?bOrHPeS(r?YJ=w_c6cQh0cFkG#tU}+Vo0pieGz^dv<2-r=fnDii0Jw{`+i z0I%?6N)UNi5a`X$s)heqcW`Q)5-ucFp7GRKMDI{tma#2UZA6$#%83y-C=m|f6tv;s zG;2i_tZF{8HHgQ$632%Q77e?f=vR+ueQ12ku8(TJCgb6_>a1V zvq|bnVtM^Xq70Hd_m7ork(ai=@E^4$0b%0x-gC03$TsGF9@~6?IOeDEyrj_N#lO#k zxv|X1R34FgUa)`Deb75}F_5+BqSY(J{S;+(;ldtoA*H<{_r`*!O(u*+yCU~q$rY3~ z1~}5PDe@u^Q!ExilKbNE1|>!ijmZw6>c348D==-sfZz>c4iP&PJQB|EnEzvQ(Mnmy zQ#WPoziI|IKe2rP!_bOuQ4=*;jS-;E+-}9PY2(ag6%o@>W_n;Vv26c46b^L<`24bx zZo+=|@?bo63dFut!>E-u*Xt0p10d`T8GR=!T~8{t^xh&#O%6&Lahvr1+Is-5bnKkP z_lVy(*vf9?9{;HjFOFVy<6VSC%1G>SVju>@9dPsK!k1~l{T?p38Q7NhrLo#2myWXW z6~}t{a>IJbBW?)Nc2t%8jLFCu(NF>ylYP}BCr_HA$jd(iCY~BRR|xHeNAl2uKe)(! zRawp^@e>3O;*5h{wb-FAurFx7H^>K)2a=+E>N4bVWXTGFstmfM2Uj#BDT(_b01c2Z z?v>hSv zRLi_4Y(q+EnPron-kp3z>RzL+QSrt!ZGqgZ z-toGb-a?TuxBI9g@L>#q=W`-Z&&q0HF@G{mt)R9T6!Dtv_8Q%sw)~atmowe<4F#J! zqCA3E$4+A~AgI3PJYrFTBjJq3O?m-|YUHD1g*$_-7PWa+VSVe)KXFkuEG^3)IV^kh zi`?rlhTjvI?5d^XkiN^NW*;h!)ghEM^Oz@}err@#c1*U2=H~PuE99sQ&_G4l8?q2*GZ3-xIB06F=*B%Nou<#x;dwtT%{VNi2 zxW8AV5V;qpMYBb>@N{7Ge;c_m36Y5In_MpVU#OddBsit9UKu5CeCvD{g=#;Zx?Bk+ z_a$2jD;KU~B5D%rG~J&vJ#K4Se@7Z$jboP9{ElAzREO44cM|31QT68G0L2=%X`@<|-xPn!E-ky z+eeqjcZc1D^ruJ(Emb1qE<_xjg_Dw0-ZdGei7nw=>yQLTE+sX~V31+fJ#Vb{-MUM0 zR!F7d*k*L(c(cICfBNV5|Bx1&>_^v}H-4MWYjD3iKXm!3kvqQH>pA8&gn!If2pYAx zaL(j)lBI4GR7pj%_ugZ+lg_rZy)?%QJ{T%}pB@!l#G4e^zo6{Wi#2eE&+sT0&Sq|De9*mLRAX29(7Dx2~n~eUX}j znFpcj3$z12L)+W#&rYH|bA<8)o3PdF@fGbA?S(AR@al`0)KRRJ){_7GH5wyP=NK-= zCHj*1#WDt5nzrz8lX}GX83~>=qBFUXFt{3N{04RFO}Cx_aGWDF@l75)i(X}qg4jws zZ#A2+)yjP`e|XouEn9S)J+fhe+ZuNZdu`G%XEsWUwVw>iAp1>yIAPk|n+~67VPPh9Db=-)6NemtQINzlzggOfKkV2Y^TT|(zRyGbBe@Ec7)ok(xB1A+!m{ylJeSQ zNlrz-pftG({}_^nVG_FRcI*?t{fe{O)-`gtmp!IjAG*PHm(cE*OYQqudG<>0&SB|q z$fF+_xc3s)t}4sM*%Iseiy1j?>YZp=|934=YFX-}H?xrZquA??Erg2*vXATJs$I$$;8?mT&smAmp-bk&;q57k1`fx<|P z-Jk+Z*=<4MnOh*V8Vv(~$zWo`U3>XCn}yNwMcz{5G-COI?~%bA-@YjbR?G2rBf%lw zL*|~RU0PTyP2MzHZ;V#%KdoFUH2T>W)TT4>dn=`hNj~Vb*sFlo%|kqDg$ZV3vIUT? zpqSP)&L3$qW7lha&gMlIFDU>Jj24f72W-=q8l@hu4SulhQU_Eu$G)hM=?y#)qCXmm zZ%ATrFWw{AVLw-iOIqo?EB~}n5Yw1Z{foNxLyGorTNhR6Im+mu99p=W9$;p8Re&)0 zLMQnwg)lLfYr77vu5I%*-Y9e`Z1Bn7+u{L(y?b^^qq4O7V)fRBPnCW}_~+;OgACqo zd|*+9b$#5`y>{`hJ!`NJs0`sCZi>F)FiL_QDkG3Khexb-_#G?Lnk4~iPX zBbvxBneVq~Te1^<|7H}a=9tY}*X&lr*^9PvBXg}Y?txC83+oH!pPBTmqz9d$&ZVV& zcTLt>x!fk|&&)}VoV1%`rw%Zvm>DX5Fu_9y_BiHk=}UbK`vm^neypX5Tv+6&y|rLv z)}GNLf~}GkTEU0a=~3c)us<2`hSa&}ra- zxE>W4vI4>xj)uKc5gy~YlK+c@0!$~6mD=FtgWAJp$7ss$(*&% z-fL#g%-)|frRk;PNPk0@I76J^QBTaEPss1g~$s(hOp~TI@d2BS`_%6 zGWTY~-0R@LLB)pAGsD9!dHKmu?D zF68XZ6#Ri|m6bJfU2#kX(^y@l)^Bwr=-YOi+UvFGb0@l39zt)Q8AHlA+?C#gJ2|y~?@%0kJ*F0rg*vJ~)sew+)!@Pfd;C#}eo>zYg zuiR9WA#d>1&q`-09?H~Nyq3G>Or*ieJQXj+C>Gm?WyLaf*FBWU@fF*=ck#O+Rd{yG z^VKkI7u^L}V{C4RRdiJ6nWx4q3Yb)D5QU&!?k`b7mY$J=5{D#Yp3r!1x9|63EzQL$ zF7s)^ytf*hzMfk#lF9BFAL|+cWz;saqnbJC*BEOJ#JW3pTBWY&L|jJr3DqF6OZJMB zSM=#cimX*HtIV)y@Fi7mZ;ls-?<*HA#4F{Tt2@nOJorn&nT`kw*Njtt@q*7=>8$$S z{u-~I*%ly%PRJ6)tKOC>3oP=KZ+RR~KwTub%eT-;_CPX9?|F%aUrK%Qor&p}R!01i z{1IYV85ei1Im>L<1~t7v$J7G74!(R5-6GL@8+EwV*hIlcw381*Bf7^GE_CoWuaIhI zBO>9yG@!9-E?%^z>ZUcw}hi z{N!wZ>Zsh)g0q%ex7K{|t&=Wav*3qQM;C+RO(UQc0L0&%pE1&7(HRr)=7RWA+C@{# zVRJglx9uR@N+6R?Ct~%VfVDJN1CLUEZ*H|%yGmEGwr~eC<4g&}rv)z3YV-f_b9Yim z66fNbT`q}zC`~+TLL(C^`h>uOy|OyqJ`RSED#^LDrzB5kZcNz*&iPwL7DpC=qWwsN$<|elu{aFwkJTlGfng+oq2gPt8(O@5n|KHH@{}EY^;iunz zHLTms(MEWjeMGqg|J)lOZ`u4-^>WB#%yB~E`BA5K59h(SbOk*3?I(z7sSQXV%&kO| zgK9Vp(yho5r#Z(q!Kh7xR8n4Ok8HNs)cLrfGC8Qged{g-l5Iz}_O>k>&?}~`jJ6Rn z;IwEHjAYqr9%<-VSfCScTr)Wr;tu+xB(yoU-u@%k%lmQQg6SZecGDHmARQP`q- zVelMzAoyWYr{)biI$|uUMV@~Xm~{|uPTI&+AcWlG&*CM?1Z+&jwqP*M(ryx_f+ZQ zZ!z>#LDs*v2q=()&3f;nC1pOpYZG8HGwu!7l^wvw3=QZ%~7g1Z)Ln2H?Cn@LN^OUPVl8t)U1;>}d^ z*db`vQW8>Oa?gWlTUMa&U8o_0<_Rl874N(PQG=g~&xW*^orw8cG`^i`{1OVG#Zdl1 zT_CvbR=hi_O|VJDOGdv33UBODwhG^W`Nl>2Z+lp*43Z#c_g>ybV&>4GQol^nWCNv* z(#O!+A;A~BGTOAcK`jF7q~Of6cg+Zj$0J7Vam|S67J)<;bW1GbLgkJG&SKIcd#rK* z-(pZ+xn}q5b*4ElI&wyA&f2Tq1 z%8Y*|JU@8b!nnP4PD}2_s_5d@Kb@R#gI${h3a9`D`}bi)=tV8I<^^GU&6O0fEw(6@ zg*hHpZq__-`qEq}s9?WfUw^6cN~3F`%$vLDDb(AF?>ERgt5gyFfhp|tB~!iVL#5n| zQ+S53*t9oH1+do+D#-Y(nRUgN?vHg8GdT=`r#cR>&H0VMPdW*gR$0M|?_5vpqZj#W zzpXC*^;R0mkl3g@TmRHE2w&|$%bvLPE>;!cY&4;!r}^zU-X`ot3kPSL2896D5*(^R zOG7?N0ibVP7-!ONe-NcPQ?xrVJTef;;;q7JUv*lCgXJ4w?9Y1s66Dg|nSK9)ZleN% z&Do~{W}nu=a8{5RS~csR0rp*N#kr~#4N!S6)$8zpCR~0wCSzo6org{w$HU;R=u{?>8IWn z-SS6(b;?5~_dqCtNW*v#UO)nK_PbL2#n$o`GYhp8oo?`%+3-Fq?WeUCmDj1qs}<1t z*3UHiDl67iTofa16Bu*2`|hJY>|?76Z()qRD|v&OcIBUMgJq`hi({E2AlLjt9rD-i z!rqoC>BW?EiuD;*!2o@1R8m{3Lure^=0-C54R+sJkEt=h1zg@~mb?5qpY0PgpZ!1G zp^sBxR)~0m2v`cH;!_%I+745hSqQQVD?Kn@$$tqYBqcdhUW z3#ME-gnnJxT~7+ITqAc?=iWK^rqdw9J(=6OflF2`Dv7mR5OP~xY)hD9K>pG$glj7-E0i%Ht7p&W;kho&fPhgG zs%5|>>o$*uR!^#xIQ9_18{Pae*8$k=zMOKj`CRamOX`x~d%QN3Mm-bFR4T zb352f9eN&sPsm&%;kjI+U-^9-{B#Z?xoP257*UiGM4g>AJU2}$ngQfCG#@*}-15nX z*RUn)2$3B&yJ;rex^cBIC4-_5U^moKt!CK>aS#0O(pe<%t?dNfl~8RIIWgUuMSn66 zOCCGpopt&XJPSZSjNe`7D2Cxd++O!^mf0T$>-ZX+&8v%coqfeJ7jY>jluh9BTpjgauExmX& zMQSk~tewo+^ZvoB>I}aZJA$h(5?xkbtdqLYxd&ojtOsAQqzXfKkH)_3A%xNy^UNs5 z#+|QLIzF79c+GCq{N84zIFJasdS5Iqp+WG}`VNH&f^dm@&2mh^^Y7+4)=AgIo{G~o z-dSR>ynNBA=&@!!yAc~wH$dniQsiAm1w!Vt%_y3M+CG0f{7u*h(aIO{eAaq3R$@2! z;-AxVisnU*#G80#ofhY|%SopNlz6Saxv4Al=@Zo4*Lk~1C?mnz(toT>bL$0H5%@8g zd(C5vhw|v(#hRGhOFdSpC)40x$dIQ!#u)P-v)}xhoEy3$uip1wj79!F?IMuC@{t>} zf<=)wObsJ4`Jm?%lf?GxFd+K(1AeBWuk*_i?CYc`0O3M1B$hGiyGOPkox7SJr5Zi( z>OJRD!ZQjoMYHI^vB2LxGGy40r^ef?!Smbqud84%JX~*A#x?z8bh2R0 z6q}o)C$<{VKzW>JE0_r`lB8(?W~R+Cmb!LKA#1J0b`JNP8neVdKu(8kGy}?m_u3{y zYNd6B`>p9WvYnEKXsFTFvqNAY;mo^2**6Ze{P)zmOnSO)Y0H+$Bnjxv zCK9vjX`OF;Oqla;w|^E_yyZD~^48f|@LhP6`Q-5uVbI0WA1>7Kb+6O>xOU#w*^tx3 z;XewG5}+VtcijP@RF?>)T1nmXC>p%m2}SmA%h5R&p%Z| zkp*8U!+KN%yYeaPK48-x5f}G8h?3yP0TU|~SH*VEVyzO-2o8aapge>US2ni}v{(=q zOb72xHVwJfLZ&xAhtq|*F`jyJB`r)Hun}fr>mN#1wBC-J;lXJ@D4Qjr>p;KO;{!9B zr8&-nR@+lptJ83!A!<%*k^7*9H28?+ng0=sdtSnh{TyxMiiE(fZZyGqt;PTO)$zDY z^nsHWHc?=AGj@zms5$x~ma)Bb3vkVH>RvWA5xseFX3%9nw>3g};H|?urQf-tt2BBw zs|{Z`9i6CzY*vH`ygnXEbziw-V&MTamk{{KgZbZHzWR|s>xX|)<2-sqLAe7=LRf*=y-}NWeEZl4zL8u$${lTuGQK!M zs2EC!5)&(92~5OO@nUg8gy#Bnemj5hBRqI<2|_uE{8x)?l7THzRyOwvo%Ef~zoon= zjyTyJ-&i`AUkw5HahIU56`2K=IO2@6l%>=RX5KB>D#jlQRTkakYi7~ilt<^e*Be*V zuSdmGL3;Xd?V`jy!(9!*J?kbDaa({3S#=diIbJbb5YX_whc5YLFw;%3P?BeI7^=7z zX>mkmU+_$ac%Ki36oiJ~&{u1gdPtYonOfzOE6en6-h8|U>OxH1Qc&hSpyo#DAHUg@ zKGzW{WaRM=;mTHM;d)x_McMk@DP~l!Te?pqccm;WB2i5jzX0O1o@A3{Gq*J%vDa^n z#+tJ$?+>HdmNi743(i90)-ih1K;-kVWMfc?{|042eSOJ|2_H`dX%RHs;mKJsZFwu=(qqqZPCR zW0@m79IZ96pp$UKYB+ZsmlqVJ~yVGx-!MC0nqm1@2URbXuA*5aPa2R>P+_l8A9o9E=yI5RZZQ@+32KvZa_ke z!fel<{NZStXW&Me$!`vpT3rE(*330q9L~lKIOPrF$wOi_e(aM<75ggTLz(xO?;OboexJi9zD;q%&&|Oe&zsTF~Tj4 zO{@9_V)?n(O1wDc*TC*jwC|}n)~uvAkXW>{RTZ@Glaqw(qvevBd%b?sQ$zoK168(W zl?t88@OMd%Z;#75-Vzuk`(hrt=9c|MJjx4zEc*w~_>g5xw{G8+xcehDh0S34^;=T> z2d3=FHNf9wQ+7i$g~%%&HvgOkYoA9Cb9UNDMc{enx5$bOOAK?=C4T>c@D4i3aV|T7 zG!)xa+GityHa_*t1nqtLisdUyL}am~!=wS^8TUz8f;Tt5#CXos>v{LO##A%z^5iV2 zmQMWi@*ApTk>uhLLW=r&F(}+Y#Y?>_r@7)sDt)LHzOVOP`MCVDOlwrEVIkE9We|TL z``{3i`lv1NXXFHPrs>}HEDE;+$fAaAiweW%9DCB#?F~el;AO@|d*g@*)&h!dN9qwhWtURA{k`tOX#SePwd0(R1 z&gA^!ub$)khOr5$)^7zk5uORU7cpgwxe0LtptMt$0!r6Fi}U7 zij!$y+;`m+0R}J-9mLVlykBTT;-qu-Y5FKEYHIHODV_6q3ud-^!1Z7Nqo_c1eXEKi z>oF{t8dPo}I)w$dHTAf!h$6_0(|1<1%o z$rw*`I-R{9I6aK{m#qU+_&k68{T$7Mxg@{N_Z*!4_VjYR$ zUyd1OAAMuvm_$=2NQN^pa1eG66_;-X#9wmNJ=zkpe0}NVCY8g*Y)`9TculNxk$mSA zXEA~>fx<5pZ(dQms`;UT4Z|W%Jd4$b*4IwD9Xj8z0qSNSbcJeA-ph!Cc;0JQ?U}pBEUn*WasxrW1b~n$P|u>MMta#*^%V=8KTX4gdTk8yW;Z(Bz^5e9vfVp zxA|+5W8;y-@5$o^Aat+T>kcaA6EcPGk%3+oDYxSc%MWS@PuA^AD^`DUi7-jmJT4_! z5^yG}54kwpJ<1{{X7|3GQ%*3{m-$0Lntz% zSboH~HEnl{)89sh9qa#O@?Vl^hs>2bC*esp$o8Wg&q#dFW<~Ag6^vLO`aWG6(3Ufz zEY4ouO_A|kQ55@X-1bbj@i3*D{1{#!z+d8} zlHr(f2+(lGAq5a?ZrQ6Z`qU&}W6Zwb9t>v9wb&UQk-Lc^hV>FDhA_eaYC4%srI8C0)kLH`26 zC95wRp8Ic%AJR*2DNaRBD51W^#YwNJ%qjf`w)aq)^dj-)04w-1krlkkw%oW~UX4n( z{PaN>w`F+hD)hvK6|AMH{cjwaefKx45{e#{fR_EsR4Uz!^go{u0tv>HPD1alX)HT@ zTR3~Cbg{R@($s}Gh(7xS#7L@UKF~fKDJ-@hiAPsqKb{QxeA7elN1b@DcZ%RomdF~XR=oDJ z2Bc)xvuN*;g9eUKo|&KvsUBS$2fJ4u6s@WV^q+zqWSHlVV?L9y%ugJEClElN9|`h- zW=<#Y?0`H8-1^BSc=}vAvZcu4BE@fn#AK-0KNTXvi=mJyE=$ zaU<$zQ;F=bA^}PHzwx_vM-cOW;L6t8IblBfU)ZKd%Q!FqJ)rEAZ=(46&(p{%V#YJS z3%Pgl*c|}GN?EHA2L%5H{~1tEYKkAOK+FMi7vGf}L>3zwp?5=}{sqyeP}f?Yw@;Vg z9cw{`%g32iU|Q97?%aKc5>F+)ODSdtx;@Myr|At=MXBLgcGu3zexug)sB`_RhaG!e z^oMii8B`sel}%6a2S@eflx*eZSjxR5a>8M9r_&YpH(~~nvi@2Dp=qPmdIi3M(6jE` zrqBBTd?55oU$D(}e@eYg2~H;Q%exr#P^|7u%YUS3R!h+9TAkpP&8~^>F{D&2p8j!O zPQGOK%PeGPxonF0=M%K4EZawf3cJ5g@hb7!2=aRRyx6w21tGMsNrF%LD)g?u9NRM2kw==pV zsl>0L#G*nCAEA!VRREeTBJ0pvgThfQ-uP9qrT1cu(+!T9c%_229x0 z`JvegH;m!g`IU+%^Cz$d-^2^zFCIxRiMV4@%0D6R2i-*LTAMI~fvR2KBMR9lr!26| z@dI)^1FIpf`o2NNu;Fu+tf2)|RTNdFWkq*JL}jniDpk#&=V9*U44ldF=i$Qg-*N^= z&CC2q7Gyg(Pmsl|CI5#1jf@jkdSWi29}>5if+WYX-m0vYO&6s)UwB#4BuGw8`REW% zvpSkl#S#2q{E^uCj|*{}Cc8!Gk#F}VkUdu*%Hd=Dl}AaA`FHXiJA7@AVJK=+HG(is zi^rqoF)B@w#zA%{M%jBT*$s~g2-nj(lz`si;gYb3EIyZ!(yHc|-O98tu~kB9zD3s) z-j7>>45L|yV5xP&=IUx1nq4vlU$DWU*x~{g8ttqGy%7=VAaBI^4B{Dgv1eG~A+Wk# zOHt8Jl7u4P{-@Qo)8QkkHzYT&ha731#Y(0C|tzIBw1Og@Uk1k_#avU zmaJ3~hgW%Lr6HT*5B!yC%#)t@5A^Ca4+N{e@A(k}k^aarzit$Q=Y{ zd`zSit63iF8<`h%CJIlsf>v7pnl*c&f~Q*}DkTL)hJ%G!djEN3Bw5SY>tm67C&Yiq z#wpiZ-Mc@IknDe3z8v_L`*3;39Toiv=!aWvt?E4M4?DQy?m+X%u(99#`T63VPT_{| zvLzEVoQlv`;@>E=cj_!X*1mpMBBh8l;RDBnLfZ>`C34N3N}MWBA6%6AJYg}8AnTPH z-m=c(ET=b&)Ej+ugUn5@6!!S;;!kOSn`Xzu$HKD=;*MfC>Wuyyl2$KuyW`kA>)8mOUjG}=^QDsZ zCKM^287p<-xqUFYl+z*a7ji{`XYQ=9%p#)6Xzw5gwEt|{x_BJspm47AgS1)R;u}I3 zW{vGXN&3S(VaT$F5MGS!Fw*exQ`4xw*Ce^L&o?GLxyR`dgIwQPP(&-@Jr$9A{Fu|w zma>9e4vXLEeBe41uIXbC-e&O4Z(^LfC=pKmLKZkjoND*&mm6f_WfPvVgakNBfZB->{4qp_jA2oGE8)LFwNYTVQFI7pdfxp^HYl&S9 zDuI-1j)x7@NtAj6SZlz#?EN$40jJ=*N~C417XX@cCM zppqZ#jDKIKMmiSLDr8XEE`K?mr7O~J{sJe`q$>h5cvQs!L%5>F0gGx@-ArV3Ytp{M zQYE*hv}-5CPDZw~>U9bFGdxSTE*i%rscbvx-GWo^_uOD2tD7L`>4Ow$*cq=z5^R1q zMwvjMFIQH2*n-c)-R_%347tg2){*w46J)v%3umAI_1|II=_77hn~>D%j~8+ESdFvvd7S}a4L*?KwmC;6Ok`Dm?=*Dti9goJ@Kka3icHC| zH%10Agw~q>podaRZfdl;_9*o`Dz(2&CT4r2*F{rdtPYmk|Lm0f69~Guq+PrvL}BJ} zV}`Z%t?MLR$Zd{i*{En22E-4>G$2ZP#+JuX5zgY8h_HsqixUn*jJ^d zlR~6LY_iQDy|vSUF3$th2!u@w!w*OAZM4Bb>T5z?cG5rJc>!p3#N4+|s8OEDcfuZa z^|CbUW@-N$QG}wgC${?!Btpi|@5$_O{9mwGMtERJC35VE9EQ#5u~VF z->9yph+xLpx)b|96XQh%nyOjJApZYJ$TZwNCH|A(`J^q#^!<|CZUM8+JoNf3%`}81RHGGE2yJs{K&p%}%EKs(1E6;$IT0jm!FlJeyJ)*>57Z zHtOBQ>GBb^ZNi)UVwfHG^6@Xoc4u^hoKY6ERUK&Ip1aw!n<<7-ONVOc)v5v;Yj%ZA zPItsGyT#vCfdhrBVLoM5t~ZT6($s;C!WhRS>w|jh4jrSZ0^j2aY^ZeA%6b&$7?0Gy35wV>{PFuJ& zT+^g8%xT*$XjXi`@O88{b1`!siPWO$8F{TuO80|`1TtcWY>J~>RisV+L)a%2mf!HqMSz!D{a$ex zDRmw>wdXGtT9-)HA7f1~+`77ZIA17;btBlgLXt~9j^=aY4Q)a$T)YxJ9A?5r35 z{30j{CHMGUt-E;${InhL73|2e_4h`qWAAyJ@%Fht3X~if!@o1-L*ZI*M-h&6(bGEl z$TCtin&8ClU_GN*$I)BsNSh59j#nPvEifBNZjq#JuFW1I-4(U(xTkj6{rRN!!_9ns zv~qRKc24%M;IrEmN!I~G%32#$7w{<0g7m-qTd->*b_tKDR8rA~JsB_O*<>{sM>$;q zOCe$j{}o#tc*}zN&2Al?h@yd-5UEtQ1uOitc!SrxM~Z-ZiI-nzG{pD;9}ED8DH>Ad7hp(>XdN_T3c)VYZY5UDs6><;p5c z8NGlxJ(nD4_2%08%BQYjPSdUaXCN+h^sAnWSlzbzPm_D>tzRYS)P92}cuev(x=)?W z-BuGm7HifO$tBNx{rq#c*kQSA<5NBncBYw_WOCYg(8z6jg+-jZuF#81Eg4RO>v|K< zPRyisgJ~c?M7o#0P{i6jX*YjcrQA^Bm5y!sV_GdCnWCeCJYJ`l9dD4_3%z3={u?;= bvn7<}O*0e6m%|@f2HCZa>HTi}Q(^xX@e4d- diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index d19197a..bfbad3b 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,39 +1,39 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618731921844 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618731921844 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618731921884 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618731921884 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618731921934 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618731921944 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731922034 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731922034 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731922034 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731922034 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731922034 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618731922034 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618731922114 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618731922114 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618731922114 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618731922114 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731922114 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731922114 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731922114 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731922114 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618731922114 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618731922124 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618731922124 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618731922124 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731922134 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618731922134 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618731922134 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731922134 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 369 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618731922134 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731922134 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618731922134 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618731922134 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618731922144 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618731922174 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618731922174 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618731922174 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618731922174 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731922224 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618731922294 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731922514 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618731922524 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618731923074 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731923074 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618731923104 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618731923314 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618731923314 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731924004 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618731924014 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731924014 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618731924054 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618731924104 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "547 " "Peak virtual memory: 547 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731924124 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:45:24 2021 " "Processing ended: Sun Apr 18 03:45:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731924124 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731924124 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731924124 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618731924124 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618739749924 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618739749924 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739749974 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739749974 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618739750014 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618739750024 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618739750114 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618739750204 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618739750204 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618739750204 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618739750204 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618739750204 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739750214 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739750214 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739750214 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739750224 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739750224 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 367 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618739750224 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618739750224 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618739750234 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618739750264 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618739750264 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618739750264 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618739750264 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739750314 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618739750384 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739750554 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618739750564 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618739751074 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751074 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618739751104 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618739751304 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618739751304 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751664 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.22 " "Total time spent on timing analysis during the Fitter is 0.22 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618739751674 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751674 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618739751714 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618739751754 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "545 " "Peak virtual memory: 545 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:51 2021 " "Processing ended: Sun Apr 18 05:55:51 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618739751784 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 5435e9b..3894490 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -96,21 +96,16 @@ C25M => nRESr.CLK C25M => nRESr0.CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK -C25M => IS~9.DATAIN +C25M => IS~7.DATAIN PHI0 => comb.IN1 PHI0 => nWEr.CLK PHI0 => RAMSpecSELr.CLK -PHI0 => ROMSpecSELr.CLK +PHI0 => ROMSpecRDr.CLK PHI0 => PHI0r1.DATAIN nRES => nRESr0.DATAIN nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE -SetFW[0] => Mux1.IN10 -SetFW[0] => Equal18.IN1 -SetFW[0] => Equal19.IN1 -SetFW[1] => MOSIout.DATAB -SetFW[1] => comb.IN1 -SetFW[1] => Equal18.IN0 -SetFW[1] => Equal19.IN0 +SetFW[0] => ~NO_FANOUT~ +SetFW[1] => ~NO_FANOUT~ RAdir <= INTin => INTout.DATAIN INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE @@ -131,57 +126,58 @@ nIOSTRB => comb.IN1 nIOSTRB => always5.IN1 RA[0] => DQML.DATAA RA[0] => Equal6.IN3 -RA[0] => Equal9.IN3 -RA[0] => Equal11.IN2 +RA[0] => Equal9.IN1 +RA[0] => Equal10.IN3 +RA[0] => Equal11.IN0 RA[0] => Equal12.IN3 -RA[0] => Equal13.IN3 -RA[0] => Equal14.IN10 +RA[0] => Equal13.IN10 RA[0] => DQMH.DATAA RA[1] => SA.DATAA RA[1] => Equal6.IN2 -RA[1] => Equal9.IN2 +RA[1] => Equal9.IN0 +RA[1] => Equal10.IN0 RA[1] => Equal11.IN3 RA[1] => Equal12.IN2 -RA[1] => Equal13.IN2 -RA[1] => Equal14.IN9 +RA[1] => Equal13.IN9 RA[2] => SA.DATAA RA[2] => Equal6.IN1 -RA[2] => Equal9.IN1 -RA[2] => Equal11.IN1 +RA[2] => Equal9.IN3 +RA[2] => Equal10.IN2 +RA[2] => Equal11.IN2 RA[2] => Equal12.IN1 -RA[2] => Equal13.IN1 -RA[2] => Equal14.IN8 +RA[2] => Equal13.IN8 RA[3] => SA.DATAA RA[3] => Equal6.IN0 -RA[3] => Equal9.IN0 -RA[3] => Equal11.IN0 +RA[3] => Equal9.IN2 +RA[3] => Equal10.IN1 +RA[3] => Equal11.IN1 RA[3] => Equal12.IN0 -RA[3] => Equal13.IN0 -RA[3] => Equal14.IN7 +RA[3] => Equal13.IN7 RA[4] => SA.DATAA -RA[4] => Equal14.IN6 +RA[4] => Equal13.IN6 RA[5] => SA.DATAA -RA[5] => Equal14.IN5 +RA[5] => Equal13.IN5 RA[6] => SA.DATAA -RA[6] => Equal14.IN4 +RA[6] => Equal13.IN4 RA[7] => comb.IN1 RA[7] => SA.DATAA -RA[7] => Equal14.IN3 +RA[7] => Equal13.IN3 RA[8] => SA.DATAA RA[8] => Equal8.IN3 -RA[8] => Equal14.IN2 +RA[8] => Equal13.IN2 RA[9] => SA.DATAA RA[9] => Equal8.IN2 -RA[9] => Equal14.IN1 +RA[9] => Equal13.IN1 RA[10] => SA.DATAA RA[10] => Equal8.IN1 -RA[10] => Equal14.IN0 +RA[10] => Equal13.IN0 RA[11] => SA.DATAA RA[11] => Equal8.IN0 -RA[12] => Equal7.IN1 -RA[13] => Equal7.IN0 -RA[14] => Equal7.IN3 -RA[15] => Equal7.IN2 +RA[12] => Equal7.IN3 +RA[13] => Equal7.IN2 +RA[14] => Equal7.IN1 +RA[15] => Equal7.IN0 +nWE => ROMSpecRD.IN1 nWE => comb.IN1 nWE => nWEr.DATAIN RD[0] <> RD[0] diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 916cc63bb735c22884a47abf1a4454fecb0e3982..84ea20bfa2c75a21969383a733ced560b1b9871d 100755 GIT binary patch literal 438 zcmV;n0ZIO>4*>uG0001ZoNZCTZlf?1yj$9Tu++=$0cs$j?vbX1up+n%gdQzoUJ`2w zbPYtR+Wq%6kZmg^0%VwZkC`{`S6`^EZ*dr6cV;}u4&|U)+0@u_Ok!I2p_f&OZO6vM zy|wIHn^+D`L7k)k{8WhF*uv>g{0v*{m$K8HXg)*Kb#26!%g-ksZ~eB+`EW#Vg33nZ zeC0{H=DH$ZO(#KyfzwW#!;##oLcMCS?$Bbx7#P1Uui{fjzIu$#w1lrMm&6T*x|7{2 z3KE)lYyI7JjVktl%I*7vncv9|Q`EaF$PKQVy%fB883xC1$lGL$>k6Lu3l_v-7EXiz z)up0nfwxe%I;9f~N=>f>@SUsx?G;tU(L5obl-}J@hYF|I*)DN_S}+steYq>|1)+%Q zPFZ)L>Z1A-)`RhI(DNd`^kTNjcoeR~Y>a$z<)>_uEPS4>yd;c+FU+Oi31=607{n~` zdG04+#DWjS1&h-x*(|bj{Of;uguPf>VeIMbXHE$DD2Q2nwaA{6F|vaMy()1Smi30- gN9k literal 439 zcmV;o0Z9I=4*>uG0001ZoNZCjZksR^eYdoKu+*3B0cuDf^pR$SFcI7VVULyrH;FX@ zoiUNBcK<#DSz9R)Aj3KLa?U;XXV0bTI~+yWog0rrp#o$l+6G%Qlb9BM>_uH+duC(e z-dpy)O{^KtK%PYj_=%Ulv4yiA_!sPOPzj|wkuyi0>)ME}Rv%9?-ui7-u;GZ|47H8O zTEOR)YvK+=ql9`v zVd|y+Mt^szmHYsx+PzJf`IUS#%VvKAxx;mP5G8A$hr#hH^0zrl?N7LYc zb!o{vU>!7_PU#GTQrpWC*k06t4$_nSWS)_0bJ&AR9_IT6w<>N~?!qb;Gmlgcb7$uu zPj`w&foypFA*=^u(V*wYY~?3(o3l9DMEMx`c`rz4aL39G3Np h-bU=iOWEoi|Mbw5fW{40L%i+jxdsL3%RhQ$(o1cp+rIz+ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index b9e18f158dd8a9bb5a755bd006a2227f976fe583..55cc1fe3bb3b558c940d7766c4c35d75110bcf05 100755 GIT binary patch literal 20788 zcmeFW_dDC&A3xqIZB?zPRV21*5u^618Lgt|jT*74_NK(FO^r~yR#B_=ULiI`jZ)O! zu_K8c2j5>lSFS7Pm7MFGbG^=aKA(?qsBhi6RZe!B@a<0cC=yydD>rvr zXD3!65dlE~Ay!3CTZi|o!Xm<~f?{AHVX&~EkO-@;mD@*KODonl=9c!XLae&4SoN(e zSXJKJdJsm|>)atsbL&=)=6}ttHRAuZ&$a*8df(nNAx+~{Nj|W3A|`o6qRenN!RUpP z_Dh);%1KHP5?acRJL9xq;~-m%;`AStH`H&&Y2&H2DCm=DsWx1U*Y7spjW?oBR=-<# zdLyz_>XXa}=J5H^;*%M5#{H~D_{Ogv1coZBewdDd!{J&?jEw2vhJ+UJLX!y< z#HFe#-JiO(_m8yCrgQMdIL6gj#tqV}|I#}?FWwIJk;~z7#Gk*%9rx<<)T`iRcEbnB zEv$w&57e8r@A?wc)A`*tik`ZNRDJVkF3~l^OV2c^d6?Wcsy;GYF4jfIQ5nB z1M_#tgga?!O)+Gm@^y~!q<=k(8~=kk8|RW574<%0PuSgk~-VyA$)1+ee&#b|)77X_#>Fv)aW? z#XHnIggm=icQ6`qsjIl{DP>u>xng7T_^X^4*F#GAuf?veyj1V4 z5{wH>rB8RV?$l=;Y5SiEA*38w+NVOk{izYo+>;lTjWEnHe%;4hLBSlPG2}8!JJiJS ztn}4n$e109d)S|^?U~QCxuH+xL`A07FHw|ty=u zuGmj|+HTIqyZu9XO!?0p$@gBa7TzUahITByg%DXkeYz)R8x#d$jIO_U&AsjXei@eb zWg~0`A6kcd#?-7Oy%f$udHB3Wy>AoIg=Xd3*5q%~%-%1K5dUo(tqYr)bqwx2&g_j^ ziQqPGC_Jqiv=kBll@2HuD-uu}eb-rLAWI$uk>Bq#c)Qf<%D6bu3@TYX;=W!kDTVG za&PvI=P9XkzfV@@qFvdWmR$?S9iphB++*J>))#CO$Dk!5*9t7}LJy`$IYs~&j^)EvabQOqgRqXjbcIHTjiJFE@u@bZt<%B^`L8%kr4L|ADWua?-en??=z@aY5X% z9JMkpqoGl{BOYU8);OayocH}c^-$-Cf@=2wL$cnupzvnmw>W#^Eib4&9OK*OJwA5) z)i>fhq+9aSoJ|@}bI@nD!C&NKZ#JoVqi+B6e0XL2b7ZNnVI5#CyLAi%H z5!7J!lX9o2p(UWG`y`F)#py)k76-d9^|!Aaoo}gg$KSw-uPPG09e;=Pu)6hNwcc(D zLu6`{4dVusKk@u7cwa^=T_BrzoI8MKl|Q9{D201?n$9Nm81nP>?T^$0(hK1 z$&ztd|H`LeXmJwA7CfdvBh*4ftG`Q)J8z|oenzb>FwM0Z1);NK*ITSr?=cOmW1tbL z@k)(M011pJ8=FVYI6RH28U=Cu{4%D%GZej{VHo##PJT+0m^TBlg8TGCWpMO9b*Le` zcdUQYgd5W$u1V{CQt1pO6l%9QQG-bqbLPp@>@q`9?=bF1Q7II6Z6C32QsY41R_)H1 zO<+GFmwU`SpMW(wA))sb?`ibxo$3uTQi~vGHHj0Bi;F9qZeC}+`(d3#%a#YEMtonY z5JIUW>8SMRA)Wr4xV29znQg?u&{_K%e9M@C2^#UlfwEIMlM zo7{ZKf6w^-KXKCMZYFvB?*P$5>X`$@U%1+oc@w#oI2B6bJmg7gUMvLbs43%jZ`EzR z^(4nrvwsXpQ17s39V(ET<7X}Kh(S59NqRDm;+hsWEkkpA}!%a}*4cRC%g zlwMMAW{o}X_4ks>`xL2 zwVqF3>ikbul4+@nGWTc{Vm!sx1%+}~e=)U~L!v8aYoFxOk#8&sGW`UFW(`pYKJH5? z4^72|L)JKpc|%wgtp53BCsCaEVtHPmWnNbz|MmwjRWfFHH>t=o ziWYKC)DBF6f=3_9=|M8aar_x#pU|P?es2D`E16iTvItfNRg|#szPw$#RWmq9OLn}Q zObl!^$&16aYe|v5jakg~igx0hbiLFByid=~R5hIhknYG3aN=7%u$BQhp$ITYV|EOl z>?_MND-B(jDF2*F!?s6ltZ~~TFZ)Obj`5j!9(|Um)fbU;=foL)*YmA?n}DA0_}IR0 z-IiN`T8U?rrW-Sew=y^D8O0Mxowe?z(j#H;>$wRYwsi@3)E_I_u0v(R=YA5hkDbEC zB03mtE!EwCpFRhQ>sD#X_U*1HF_KHY#a(ESdSj6RKD%sqJ?~*gbMQuUfMw-cHE9 z1;)oSm&S>>@h9ivtL_P9iVpu{UVIY~hw(Xk!`**}a&I{|b^Y4d$#f!xAKw*n{krw$C2G?4py&};;Ui-aL?5g4nVwwOb(Ws| z)Y|c2ewKM|>=B2r`^B;XBs zg06f>W&)6W>CS>znhisbOM1&up>_w|wAz><{M|#it@-n+N$0X3`Iqlm55pW~b(pxk zXDCubu>hx#H1Rjrq?s{lwoC$lUV1{2yY-IsTwSYD0ja=!XU#v6#a?8JFNw&a#+*9Q z63|0~wnGMs3Xw7ZkMIKvuuN3WpTr+Y@*gV4{uF)5>z8rkqmFsdTMACr_ev8HWoIC_ zQXcq4n~O-x04U^=Nd@J_ki1NqluY=N@&viG!Iko4`Y!cunsQWSR!X5cRC&^MM;RJH zOamh#0nlZWP9AJ4Z5|6=(j8?hY=%v;C7P(we4CO<(fN(-`nwG?zvFRu{2DrzKm80%q5{zS(D42Ym@x|#ilsg4VTx!t`);QJpm zm#ed&IXb?a9+g&p?h`s3K@q4O$r9QTr`(Z*TiGX@4?Qz2`umzvuQ!dt4)!U0hD&*} zh>837{?TNY0qjUXmC9sV_)HIMe1GvwqION#Lvw*(u#Kgj_MuxH%{X7ZTCrBX^R0EM ze+x$NU(la7h2v8%xn9`MenagG0j)8S#Ut26pvlv}QA_s9hi_o=oh*+OVNrl_w3)iYZ2RuWKFwekC8WHHY`WAJ@{PaO^-efk#ILRW@(CTHc9>!Kh{(9gU= z8`*cG;D7#0_&3jo_w$Bi!%o$SD&@DauOwc28+9!~0p;eE^*|hZ&n{pGqSBRUQ#6>O znp%tsU;EVhKwtM()>fnXo4#Pp0#{qNm%9LUTvTk5TB^6Wp`-iH5)Y!*jm+CUkCHkp zj{#l0oB5#f69#h2+QT41xoI#_g#T3=vxM#^jP=&OYql*(=;(Go&YXNuyd8 zl6o>%vVB}(6!3~KEU*#=KV9Bl{BmDPC~`%(UVSE@GU1!(YsL;2SanqQW9qkN z5$cLBg8}2tPTwwNPnNC!(LwX@@%lI#?R!Q$-Q+{mzoWvhUWB&4E~UOr9p(gWJPaSo zx-J(EG~fv1imuQP^Sx1H;3dBHoJ97EB4Q{a@)W*hJq@L~GiaRG_?Oed?k2#ZAk>Dc zaq*62qY#HmTQU!TyeICa9`G7aSRP85L=jSB33-q~xg&vYo#txs7K?ta0TYa8+Wa`a zk=>=v^SHjwjuKIe+Ss(AIDLesdvnw{UZ7@_?hki4RbSl$(JwT47o1Zhg zBDfpaYitw{UMV}w4#FVMZ15f__+V&z?ME0_8Acqhz1RIYMgYxp1U@S|1k zoEa}88)}uT{z@gl>k=&5LT%!mT&c2K$+{$F_#PwQ91ao>;Q6M?O6u-dDuA!(?LOX2`K2#_LZ< z!wgFO-mB)9?Uk#`w+7bEoM{wOQ?6SGnucUcn3 z47U5RVD+I)**KEc0yhbGf0eyT_aeZlrH57Z^Q1uTLeK}h)c*?rgh>LzB&MI)DIdDP zwAfIjR#1kwPTvgLik7b@<;wnY%8Dasl($;H@8PcE9(4y8tRW=>-x0#_A+!91M|6@l z+jAemuN|mRG_T8FqF6dxj^2~)aTmd|z;Z@jn=}>@1fl${d8MGUh=ZPFihq+F)XCZo zps-e$a(Scm<++v9bhew;%GF0wsQfiD+2xC%BTo)-#^rM%I}K8wMPMl>e)I`L!apmE zDg@Mh_UYz*hl#}sBk#=pF7+q>#dq@>-43pVu&>I=r;kcF!Wtwr{^(8r58Yle32$dX zsTqYyS)NmuRNe{CtWz!~%bf+7k9u7SWSrQz|4{9DB_WzxWF@8XB+85z-&kko`CY0I zZ%7lNlazyH6CSkW$$HE3J}&YKJ?IAG0d1Wc?8nkA?AlNlMHmt`?a){@;zI8sxpQ$W zoeVi>(8$4c)_7b!ZuLH^9)##ihig^=$|7Vua;$~G6N?heB<^oSG>dLOD5AM~{muJo zulkiYBWdj`ieS!}Lwx|@=c}`cU4Y4R*^3H!Ln?_>kj`14Q5HAfjNWu}h-~5XjHOF$ z3Xot6@`HG%XIZEq1|&VOBK=qUlPy%czxXCGzosS)4>5Q? z_C74a5SGVzX>l$*S9{YGoXiCrh-NQo4cCLCWWvTuTsWna_&X3~`8kx|6216g+28!PWi@Swh28X@nK`7_%a`9-eNhzX6wwv=SU~;!9sIe_`+ljWmzE4 z*A%=*OZNGH8l#t)6G=BHP`#Qo!uZ|1zXTMa~LSdoQ*UBd#6C^_toTfEzp)M zuy_(&o6LGb>~>MmKK_;*f7SVWf}`$Eu+(S~?71M%+R>6~_wLAfa4IZ@pd zu3Cy8F!}72`WD3l5HTs44+pLLe6|)xF8tt4&V5+IoBnaNe|d`jMraa!`|}3|`uctH zJXxUuH2Ig#d{!1oHxC+D=3QEpKnY`DQp&Fx#mRKj8O6{68_|cy&ux1tz!|2 ztttqSqmjqm-m0Cigz;?bUl<@k@_Ow3+^W#e-70)MPc)U2&h8D%_B`c3rP^tlN=p99 zltynL;a_pGlT&A->n=!;*sIFv^A4%sk{GBtqb1aPF3)9jxCX{;tzJBjDTjo95i($} zc>Y}FUtJpHa^Q>cyyP`uFT@0L{twGu*7$Nef*rpb=vd#TddwDlBfq=yJ8|Zss@YZP zA94Orj_`in)YYg)?fA!}2!U8)M<|q?f^?4G1+j`^O#Ti)_tX^m zn;;9P-UF^Z)y#YgQeL7dj!Rq_S4DTd%i=gf`w6>7pQC6mS3LH|S{58CsO+O;)p*AR zefMbZl~cZST5=)4Ra&m!g&dquGfXOD9>|ljG^C=jmDc7v%j;#ahyZr03Rl=#V zyN`E|TItD6RO)Ov0z(C#X%r0Co2?fO-ye5&d;5#?w#86hpFIn^!f3%HkaWTRWiWwC zxCH;K2JSd4_^eb*#W4UuOlwetI#oXPH&J*&(n}umAM8QO->F^?>UE)maL0y zwgbZ5y4Yz+;TdWMQUKELVI#X!1ttE@za!LsG}5p+*Szh=NLQ~(yH$SW(~w?5Q?T{x z<_B?B)q(3VUTV*b*RKEL#*^soJ#4pdH(ypf{$5yJ{F^7?^^s4|pbr_M{WJK{SK(87 zALasN9!D;NWNo5eXTeFVO{o{RJdm}0mwZH=Pp@9b8P>_xeQ=wcs+|68TRPFw1K2jP zkSYs68jPrY!uW$*t_M7#+6+@xwzq=gJ9bx1f;E3li**KkS05&^?&l*m2_7j(c@B7Z zPY6(Kuo83ka6Ia6HV*KfrD&#F=s;sH<*Ti#l)rSk8i5T*!{6s8G#jk|Gl#QIHnN1~+tPovIQ%CU*Mcs=<~;7~@C_yTA{c3o#czJtiRBm9-SKX7D*7gs zp-TLP)lCkFG0XU#q2z5JK`!+ zHC!e;0(EB7U$5x>%KB$VCfF{L=FY3jJ;`LZ5snW|FD+X(i{IsLi;lu@ciS}W;gNAm z8zvLm4q9xkuF#beVIqNWsDc5+kl+i7Pt}-Gv%q}zDBXjk7XEtN+b!4R&C?^6lYf;=(En*eM{W{wJ0hK zXWE&tSe}xID*Qg6FOr(yO2uKP>}umuHcQ9UsOw3p(zThCa#E+`78Mvc5?u z=-Qf5ENjq9MjoLZ#J?h$?j=BnxiRfI;I*?_6meKB*RJ`23c<|bi2Ah<^g0H^;S~`U z8k&*N@JjbEA)bMD#HE5qrc!#+^(|zN!Rt-anQ(aQzaUhGBomd4!oX&SawUR@!@!1| zTWJt1joKT6DXDff{@`oj|E)YAmK}3ViybayIw6_trHs3zk^o`Sf4l|~;yKtLib1ST zAQAH{bXC&vFGgq$ijvM`f)Z8E*#>pYy57HBVMbNA|9;13Yot}YRvbMI9>{P>6nXcX zmz7_gRbeIfB^CUS`hCblI6VEdpxHs2DVIo#Wws8;vFDls`Zn&SaJ~)^OzDjamApi5YO8hW z?WQpE1#p)2EWHE(fPS-tVt7B0LcNd3a9ft?=&A2{m6CUpq z!P?hvSI5`L5R1k$(I}41_^RCmeFq}R*XwHYT#Jcb8hMCVnhleTC(ilGuxYNrIYI5k z<0!0{T9TJi9^#m0<4Q<-5vQEp7d+iA{uzNwDPHY}rthP(+P_df7W|!9)MN@-XXCWq zzxA&AEF@b8T|fdKBS(hbUcuNk}SYZz4CZ@r?!Y)CB0sEnrUBJWY~t4 z@uo^%KHY<84sgHdg;8wMj{RS-sd-iY+0FYjUq@05{a|ZT;d0Zcy(F}@uq+j7HrULfVLrC0B{WY)aS)!74jQoDlXnGQ?+SL7M#JUa!65tEvf`p83}nf{xd z4j>Phr$a0*4F5Sc8=)U%a;AM4EWD*O0N-{4?mi@8EudtRZ|A&#QjO!a6KZ2(P}%-R zVHbxzZ4Ql)6<+!l@7H8P;)+W@@)G-nZk~wus+nX8q@{uK<6nZZ0o8Dc!9|nx@XK%h znUqt+Iw{|R*7i(SOjmjU@qd893ZgSh@$DEcvWd@o_lM2Jg4I3`C$eNsA zVW=gMIg>!}VY%RR^Zs4k!H)*-4uOHjN@w?G_x-6e|NOiXyKcXmjt0O&meKNTXi4_b zHMFi9bpPngvJ8L=C5-jSi9q%Vz=+Wt^L7Qr{$8;3xny0a$bINxj@+=%kFym_@C|)i ze;)%_#PCAWj~^I5Qc4B>;YEcokPq)2YUCam8li?B+y=|1WDcb<5QF2j&B~DuNQJ{L zneSMH_xU0*EVq&mDz5{Z&5@axHZ$TXxj1s6>-GmzUIDOM;1o@=)w`XbO*jh-7>A5fp!=6h6v0L}{z>&w^lJ^;s|Af~v9Qa;l-G&AB6=H<%U+b)*5z5y)0Wqr` zPme=H-^u)fkzPEN^L;grBR5?}6L@8^g_uMqqQ0B#NHhPykk@Vra?pFZy!aJbXO)Y) zv+*~&6ldRl#H8=N2d(nAboFEqoT3L`^M&J~#{Tr!!aP%`u-SDV>*&P_2Ah5xSA-GX zLNufvuJta_-q}Q3#-HlCxW9dG{0ILg77VwRt*oaHydlZT>5wSjZQWbT4XALdbIMqv zaWAT??FZ$M{R*nw{fva(6!>SXU4Oxm4jx}FD9nb8lFYiyJpyZk(aXp>AV=%$&ds`RbMW>A&<#*FBGCR9VObmUm16Z(5 zYKNx_V?TX)+m^7GR;??iLiqtz3uQ8+lydSdfcbL96|JkuS)A8h2O|nhBlS99ce?;N zeY>?`dG@l6rO6tymyh(yHEoy5OLg^o_-rSWmB|a&R zL|EEmWdfUlA45BRn%)L7j;5OXmJb}QvwXt&-to#=MxbuTXvt|ci?gHON>+aK@i|6J zL0e^G0zS$e?X-mQI0tA{(igQX7W>G;B%n{iJs+OV54f{Ei^gXo10#@LW3Z?u z{3bO%vX!U_rRqY132Bzxyw`6tI8WR1&UgPK%}6bO9DMyJ__jLuwHtcht~S-B!suXSsaVg_FHGwjn|?* ztI(qzTX>>u|MjnKda;K^5*F_ieO#N=2y3OG@b@m{KA&b@*>*XXJOV-6f8z{&(V$E6 z%qr);K8^~AYpmKd8U7Dh>!5FcApFREJ!+L|#=Cl1rY$Cwo~UT8avJz7D)S#63Hkf= z_vZHbmqVGCo}ue}V6pat!$PfVk5^^!%cicPF4dlo8~W3!VRdjcqY&Cop7Q**`)A51 z69g*HA2=$7o{+eO?nH?|lop4^fH#ktCz*WY+&^a`Av=HA;Qy8LPLx2$5dzn1PjxyG zKatyJG!VUrlvld!y)3~6E_fvTbF<;I5UAYez6jp?r>P-#KU(tDsHy*N`oXm;rt1S|Iy?;=hK=0FRCYas_C2i}SA2Lpi^xUUd8Xe; zEXDrnPNBgc`DlT2m|UP`=vZ%zU-4N9>{m2OT#3%JUF{Gmlk*9;r#?qE5^deKq|R#fetpkTzB3uFn z1HQZN)X(uKQ{cEZVEcXU4WWJFnP9otSo_nANaF@@qakkvwZD%HCp>_eY#v-K1dTfO z<_5(~EY-z0C-uuUlVjHl+2QFA=GSVAlCJi-&61H+qfL3=OzNDi6HqIIez)36Rrm11 z?_D$hs$z3e#pMJpChfG)XyV4(9yGfv4MCccrrr|9MlS8wWDX)6C;ntPoq-N1RIW{04_)!wk&<)5ZcpzT4pB8AFL z-yQN^G8DBuv6E@Sv@-%hQ)8x6OAJs%Bf+8FniU}d*y z-|R|7(g#L}UoC$nH`t?V051hekNeh*Fo5$uml|=u z{DW73({JKSKh5c`9}kh?Gu*mn*TQgjuz9Zg=lFXGUf*X>D*?|?j1iN&y|sgE;bE7q zrOB)0@$T{ssL#ge^ZxM;7lGVUWHz+np65fmc^~ohgw}eM3e-K6#FRJ~IscFue{Qdm zooXu94QmIxxTpu1Q(UkLYH`OHL89gA$JfyP9ge{W>TcKhylXM$QTOal$L4`6CU1U; z>xQ4*&B5*l{?>^GqwwtxEFy&}?u6w&41tP^HRAb

    =~-P6h$fs<8-}Y*R=x9=9^M+ywAYx%F08vlw+WkRM>@|^U}Qz zT2@hXr3O!Zvo~w*Oh+wGb+R5jsOQbJI{;`oifqk6J!yW(kqWMuMkSZ(o*WH)%J~hr zRweqv#tPEEbncuLl0RqOo-0H@Z`LbUh?E?+}{{R30|NnRabW=NP6j2mD*Tlp%ug&Ix zXuvJRLM^i5BWj{MGkYg9use6XbC)F5AP9;{rG=eXSOhE#DRjZc-pWQq7Gn@BYId_r@G}A-WEP8_Q9fe#r)x>Q?ULY$;q$+aOtGG!# z?-*&X$4-={r!O*?a*#2a!Fu*op`SI~{Aw7R|MQt4sEGCC&ZQfCn}Vd_wDeRI8{P0s zA|s=h7(#oj9KbsG>CQ{m9D@9t6-K^dgeCRRB2Jt4f;p~r9FmfPP-;heK^mf$Rsp;6 zsQ^+oZ-|Oi(-VH zK=*Lr)`dFup^QCLB2Q~jozL&gaZX%mnt7;Dq%VdnWO*&Qc@^etgo^Kreb#>D?)LFn z*hexhFb!AirzVuQzkPIz*t0PZz?WJ~*eafV4juu~<%1uE=%?#2ymHON&o-= literal 29316 zcmX`S2RK`A_&45f6;(yiqBWw_s9Dq=DN2i?cJ11=8hb}-Z)z(lEwx9~-mzm8MNu<$ z>=h)DNdEo(-v9ev=X%cloN=$`JlB2hbDz&cf8)lDYU=;~?Y;kPD*vdlgO|56=p(0? zgvc`yF-{ddF2Ojr96IG~mC3uaw`|)hI-(8~<>iBq zS1?wqYAa9Bj+Y0!-X#Zat(7J)E5&+~5>f?6rQ-YM7VmD*>}le|ilzJXAmeM=5qXgr zsKBzR5ajr3D z#=bVC3z~k~IhTKRx|hH)JKIqDx{*cJd(%5Sbt*sI#a$>aSoG5l-;wa;3{=HBf@sz= zXVkhem02I2eOyU4k<%tO%Tnz7$SC-Djc!-OL5~#tRv}|Ztbec8gGF*bjGCwWN%7FC z{8U{~a33E-ZAT@<>VaZOiKwmQ{YlVs$JhIordbW?pydF$p}dh3Rw*IL0Z~~+Uny#* z3ar)vg`*&kM?m0T!*G)1VA$>})sZ9h<3t0SDftI9jkhFc)kTb8n=(JlkOd_oU%gy| zgqu{4Q9qZRO$NGiR>@HnS?k?A-=k)IPAyudllBg2kb~1T#6Y$4cP1gO*)>?t@%_oB zKZi1h-m@Nq)($zMG|1Ixr{W%i5P4`;dK4(6?F?=g&ytd)TS21WUMI<2QgfU0jYFhw zkIUR)v(8mmz4<`QWK>{vhby2PE<3JjQSr(3a1Dn#iAw%8jx9PnPPR59q(l>QruQ4? z7yIdUsQV8k?aRn1kr>n$hiCaTt%E~EV6?& z2~#(HD45^HS!3$gK;euTLbNobDTdCo`nA$47Gj&oxbGUUL@*%4^wxGN5HW}HC7Y5= zuDsNRxem#TtXXKOY`VRn&c$?&z&-#O&Vb=Y$wNq;XT_C}rWc6>@p*82vi% z+c^7=pvK}M;~L$2s^|ZS{eJX`_7{b^+TD+8gpGikoK)+T6t5{txw>9bHn-@6Q@u7a zO^>HYG1x8{yj(nN2~jo6gMS z2_hdyM(YTKp?Udwyh{Ltmsq2`E{PUj+mbI-88DAO6lzxKK%7LQt*3|OjrQ&W$-VnvR^OcNTvx)E?2V3|J4);&=?Se zepWxiKTi9KUQmj&F#L6Q74ol@(co0Y$1GX98SDG*Irq~|+_SSO4qz3dWqtHvLaVG3 zVK91%y&Rd`kRRPB9qrAZo*Gn%zkJziIWMzMH5DB5A|s1sEYfUq_7RCmg!{+rqq|;P zwi_1zy`4`p0n+&Yf#l|_wiKiLSGDAZp6a#~*7`#BkVbw6P02wB`;5{_uT6#1RFRCD zdnvW=Ey$bel21=qGCEyk+-k%tgv~m95ChbP&OZ>hl5Zly00K{hCRnb%CYF>^!N*2L z-vq2~mBu38ilQQ&Izmzd$mc8j-wii=jNF{pWl&1rtI^u7j5`diF+D2x&fQ<=gyG8N zh6Y{fOKVZ@bQ(lSjxjfXD9BCRwl>jGMQi9S^9PrmsGMEt zKw47=rdWyLHWd&NiD+}s5Iy9DO2zk+ivQA}(9|mO#A$r(e}97Q%Uneu?e7AEKt9O< z?2j>)er0?{%LbyXyt%%)rI`M9r`Y{My2~GNJ1B=TS7Uhar=4Z-e&0z!ir5uNRZB+j z`He}loK92r6bzt98kIqOVUa&hzd*86_FG&@`Q3U&` zV`mvml1hOeQok}ds`I=MvRtqiW#`RHippPpYLjq)p!?iupK}0h7}B9Kw+G3+xU7#J z?ozH(v*tm*Z?}(~3>&vpAGH*0t6MLZ)R*Qm>b)8p`-|FDJe836XPP^+m4{`<_nNq- ziDN~QgbP0(z{XRZWh12A|*j3hst{Rz`nALT2TitHvo?{ax=|C+Jp;aA|UrgpYR)Wj5mF+q(ji3(0X{ z&$JOm!-A@_c>#FYR1SOO2RGWd2yY*$(%h2vj}_&zI~?R++U9MGZ`f5bIQk_sXxG-4 z=YAu1(uld8d1k2BPc-(ZlE2x=``W^c(T#H}Wfgfz>(_1*>5%DUp|C%y7b-G(>8k$BA;JLfE?H7Tc@HAV_PU zD7xb?0+=)-^=iS2Yl4rUF>C7OYQ{40X|WidzjZYl$bNo$-AoNZLK?Xxb}w_e+pe7O z^w)hlOixbW4G~0_={qFf-Z?hY7uI8$Y%NcqhS(M8?t1_0zfzCCPYkf1+enX`G*)pK zbgs4tdv>b0#6i0&`}s+AG4|LmL_w*G^ZPN!ht+l$DIJ}wuX0y@DQQ*@j^DX4jXW`> z*ib1o)sgp5adNEz_ z^C#Ge-Bm!7!(C+avHfmenolkySRrK(z?v--y196h)O5kz^zwBy=(J?R&6X6w7!7mj z`Pu!S&N3eo046jfHMM_9@TGQfAn=@AeBz06qWljL6Z$f3cEade}l}^OF zjBmO|>?>-;hzb?+ev}ou;dHm|)WLG)Q4H`XL{)ukClF9Zl5v24e6_N(>qtLeO0-In-iJ zWc~;${&85wZmmV`B^}#l^hgw}=y>opZeg~o7 zE#3Zcq4ri^A7NN_n)2V&L!wVl*Z_>LsUSc&jA&|oB&DZo|Hg_`{e#&^*ie9)As}jo z-pbGLARhlVEDT4}g3;xX3~R=|(TLkT~9L9k{2P4{DSvn*?`4 zl<4u6Bk;|4#0%_f@>3;`_lz@6xsa2jkF)YAnXvse~B8r&WmPVJ_mkj*4u}DU|e;>sruO+ivo5kB)a+f ze4l3yjnjR|J7a!UG7)eJ)50z;uC!&DftwSFOQPtY+>n!bz)w5Duti15_x|uYg+4SZ zM=02$Gz>Y2By_jfOGshY-}P4&`rJE_>(a?Ae`|v=<1U*+V;{VI0MmWiEN5OOm5~Ce zTsaSmz|{93`T$lx;`U6v%JDXZS8uW`4dN!OpPS?;f$pGFk~6r34&*btgf^a8<=yA0 zB4z)TR#GZR(nVr;05uLY@QLENBH;Gwp0y<5IV~s|{dM5`VA!Ls;TIDYkdDe}q{q|w zBJ|bY3!#nDn8h{~zvM{hne@E-wTZVKA8~C+ znfbQAf(I${CV?ykkdSTpie!Ans7fInd@O7+qk8E@dUQyBS@d`Cw@(k;<0Sz=+ugix zO&AVUtDy6M!7*q>hdE?_UQ-j;@KXLRAlMD;dPqxh{i<}%yc1_Rj+6Og@$fx_scYan zij3{ylU0E`;vt67PgZOS#4RR}3zKLkI`v4kUtyFGH?~jBr#Ct9n%`YMyAlbF*qw*j zs}pb;#p6j7`$^**Bg6I4f2jzXajPrx4m;Ww!s*o~5T8D{qjP&B4gM_iBqcuF;tJY# z<|^k)xIv5DFszPky|o8xr2bT;S2l{fwr5o@PdHmw zdq~J+(nLR?KUt62Oh*nAg_dRmeK00^MU z3Ii&)*%#)=ed7SfBtb4fLZ$^FAY@Q+ScRiilW_(y$O!z{w=_$a%@>sW-s-W_o3((( z{giURUFgq1$9|~Z0)vik4_uWpWLB+Y!jfHSzm1L`#6REF`8&!E4c{%VxJOGPe#431(V8Z$AC(8&wVKmcBl0qib!y z`+5JOS?Nv}xcc|3o}RU$v_9?AAxVOhY-^Q7kEHb`R@C<@K&e|BGVH!(rxcLf2NYdj zlog$O&q0E+V~^#yASp1JhZQ8W`sVQ|X(fzQM~@2*X%C%fEW)92+-DeUQ;JwDso)`z z$$J*&gm|~8)zaVAvunP3w0slE7Y5NrAOzw3VSO=oL0uN#gI=Gg`=g_JjF>j>1D5no zUTx7SwN@$_kRwxqG1)|{I}kTNh&C_;)l-{W=R3nhJo2QSWTB7ewzUTX^Q6NnwN<%v z44tvKU0Of$^VBPxYrCu&Y~;|p-~1Z+XcPk~jQS-Vm})_W^bNZ%ex|N8_zT!b#KU;B=P(aAN| z5xud$<&+0VFTR~!x02tS;3wTK<8(z(_gyWwbb6_T;0d|(_4EA50$hMZU~O2I5NqVAm|+3;{6J7f-U2z~JyiRA_fE5?=((U8m`^k_}J=7bR{c!0y$GK9#e@ig(_vf8VglaD0mpG*=k~aas8)Dy^-t|JG zm)8}~pik_qjhe{k$FL#D;sMR8z{l(L6Pq~erEvI{lid_+jT1HV9LoaTJD5Y)>(k10 zhwD_ad8~r50y}Iq2D;bLB{}(t^wPse>r|B90=X(s1svC3Ubx(sbGkmwu9yZ$|Hf4s zJ%ZX?r=o8EP2eCWF>|jMnX^KUb@x+{epvTwHG&G{$;x%WC?a_$<@77D00p|99RF^> zN|H{Ph+bFi2qsF9+jS9bsPkLK*BIflST$jfb>%x2%khEY^tr=;G&PI4^$@(4F{FWWyK<4cS}>0Y~ih!;{iEkc7m$XuUnB1i^#4maBw4M`pV|&URu2TDCp9r?bG3*=wu@ zSea}oH69RBPff7#8wOT-`+1VKn`-yxT>pyH06^630R>LlO>^!J%-0JCT(e%Dud7lR zVph#bXMPV;-bMe_MM!_$zl8}hBk3bSI~vGLTAG#%c~(FO@FuBnrZ_8+Zz&JeDyv!F zGx!ket@}8t4=N^z04P0$VBf!@g$7KlkwFJV5fQ^@DM=HLA}T!}0Pz7u*B@ZdXa_F3 z15V>uZFvwRreTeZJFTR{8_24DsyQah6R?8rM)sV&Ebv`0J1l)xD#QP+(s7o!$OrDm zrxR?HY==M3u~w{@xBd;*rTU$k_n;D=So*{Iopd9yql}N0T)Fz4{CJ2zmdcHEV9oKs zt>d8l$LrpIyifehFRQ;zwltU59m(|r6!eNy{Y=_RfIH_=|GBup`9t0*H0+Z}xD;@$ zBPQ6i$0PofzT&yyF^WIi)!vg>D8T|U>acS;`~RP}QH!DqyF zj>e8gWH!F2weHoYS$en@e68<&(P-!Jd0N3)B1A!8BhbaWx^#><)0VR*yo~t-#wZ

    2C|Mr*b zo#n^wc-~{#nOD9A&e%uJFRyU|qHGL7tgn-!R_>uX#WyG3z@WPGt)Iv1mtG6wNLRwZo8Qkhrf=Im*(|O>m<*9bL8TRm@-U zLH`8fBglC^a57phw;dwv{+*j;UetvCCAIx%MV7Hyv9Vc&cd!5>5uMo>&M#%SZApGM z8YNMd`I%ldq_T(oZQonrBwYb9P-%_Tq$bCJ}XN- z3)K~^9|;lr28C!TL!67O?$^4JsfO8xtLwp$KwY+~0vs^`+NZ0D$kC`)Jno3hcSyfmlzKM3 z5{D?2c#|q{X3Plis_y59*i*GHa#nnj#f`xNOcrdH2 z`|tE9_-X_$8gH~(F|>@W$}(E^-?$vKwkCcRX?7OTI;Xsg+mHR&@$$Lb`aw&;@VXjx zLHVO_*Ikv6owT^N*|@8S$~>TrPnA$u2GioJkhg)T;!#J}x6c1+r?-Aevg9!&zBs5b z-`0tpiIRV2zq+-Il{uByco@vg=7l{Y+jd)4Rey~|Hf8bu7pj=QOR}l`Yf(fP9$C>` z99R1!LUwgi3M%ogn^t9G?J1+vYsUZIH$h@@DgBR(ag#F_YwVE7bvn+8yR z@h`)*T*UL<1^?-oZ#+*{#vg0(u^CA1zb^&R{iOCjP(6y8f*KsBhenH;JixX=Ckskd z!v`py?YDe}JfC(nPw5VX%Ez zKk;4A>7uK;?;P{8aZ=u|ypFFpv`hTI8@tJZmsU@_rYwC$oa7%ZwRiAWM5{jktIQ>M zVO{>|WU``B_at~uYGQ4fv&Y@jvG3L?<{>Ui{|xb$iTw{Z#aBk>9pPi+IGeKxxAzV= zZ50Qy^A%NPrJX~Yi%+feK^=gehz0=yP4y?$F7C52i2|o@)e68@v0*|~`Nz#eIyX@< z#Y53VVwwl;^5PeT>mDOr za}MxYO~=k}yjoYt4oYob>pIJWJb)_C)(bdzK`^NNw-en6CP41`3$*d!vf0V)vrGP zc>@yd#pE(cwm#}t(?W?_eVfGHGN-E%kX__D+w~{8^OI;TeW?&oJ~fvWbIgk zD{RWxSt$N97dQ3jt)*`^4WqJ=^dCkumwWAX@V%S1IL>7e^=V|{P6Q}6n%BDSeGDN! zK(}K%O%^Y}H#9ef`+WGli{h!6r_TK!4^HzB;@`<%+XUKoH5Je9nmtI6vd<&(e_1*B zJ5H8Y>86=zR@m^-k-XSB1;Ni4U{l^WvluybJmoLivB%;AdsZAr-RxF@EJ{O|(MWigD{;@O%2Zyib_4xbYdPO?pDr$$s#OLp` zE;`* zKatGDOQtpV zKXE6jo$D1Tyo9fejG-ryk^9=PszrITE?bq}l!BZSGTtW^*-eZ;Lr7FqKu6nNRE6l4 zdNH+a!}PM(yuY$~aWGZ4Oq=a+c=5vaP?d*YLcZI1^RD7nXw8!ZyT&~mo{So|TX!mR z1pCv8r4J6Xx<_vHTl1TJtq0v#`zVPfsWEJ-XCJow$jT875l{H}yXw+6 zLb>Fz`(3k;_6TwJALL`(^WVsn%w^M~s*p~HB(*5@6&y>uvLFq)|hwgh` z3GN#=T2uW#kH?Skr4ze8PNjZMOX0k(DKNgEFLpguq~G<=%kg2#DCmnVaI%{!rFWkL zZ<;;QlRKshx}q;ePES`T75X?TP1wBLjfqu|t_vBt2m}_sTlVKsXW|%)(S64j0InKu z%GQ-K2n~IyjL@|C@P#GIor71)r@Xky6ql|u%V)97S}cqd%i*c_$|1U{$Y9@y3o>d9 zy1m7jQeo;Fpx+&kuQ5<2O-Bn(Pig5AW$GvH!LD|%A;y_Qv-gX}d4oPmCSL#IHFt7K z*N(Dzq?h}Im0>X?Lw!zyTR5qvT_9)a!@2hp>d+>Yy#*$BIX3piSQ|yl{1Su;Rf17Q zyn7GR`!^8_wy#n*7uLx{6q;ivv;(va=)Q`MetA7lKZ@8BePCZgU)(rArIVKZ{=$%9 z;@i3W`%rv!HCa$4q2tKG{qylBbI0s~yatww6r#*z5s;&WRK1BE`}|Juk-Wm zzc!H$913cvA0b!EiT7zMI6rz(jr#>&@cP*DD>6sVom-9NgR4bqppB)6ywKVyDocU5PnS6|y>Tw)I|{Qj5Zw%xJjJuGCP02ei9bsiFTUaSu|4NEC+ns_ z-U1o@H*A~s)gHd0u8gP`%#3Tc($3jd$G@41E+?KzKh9v;vQxTeVrcqCURv_miN-DFeD_$=Gg*gT%63W?RJr4&7k^UC0jb5#V`(!7@THQ zxRCnpef>u|vuKOu&ByzmxX(j~6?yZ-Af4z|s_!q~n!k?pt7yH`Tr6Eb2A(+J+%*}0 z(xg{m!v#@1cl{n|J(`&E^Y!FPFaKaOMZ5G>kXk^E=TqwMnf13OMN;+4`hgKUTficu zSNOU4o6n(Ws;Pa&HPfDT&jsM(&aoiHUDz)9+&Fvgf^OM?+$H4%K>@Szqgtq{>6ml> zr$|+UfmxEXjb@qJjX{MdpvadWrT(8c5zoO|Er4#EyPx8mIU}gBU_m*- zSPl36Kl=btp+Z$l0NaW+BBT9V56JUhQoA3*Db+D(4R@V9{Ji9C(8~@jRhJeF{VPyy z)gjSj)b-;XG1H;+$EAMjHi}lj5-zun4lSOq!V2aR!6%(L$G1hBx4atdOS}kT;^60y zH%W6T+k}#YmD38IgzeE+8CylNaA1$!j#Y+6TUo>p%9am<>jJr|h=)&e;pS2n$6X@1 zfkIXB+vX)NoiuNCEyg^4Jbe3%BJjJ= z&UtthHHzRjdDV*^4nJ_`9ywdfN1Cp&*X941^Z$JQf_ePcPa~Na*Mv)tgbFRhyzLFuQDfP-jdxKr+QekFnQK5|Wr} z?OEMbl2miaw=_`sCHI2Hrh+PVF+XnQMrSM2E&IdghXqY4+FcPhAC@z2dQpXGM7s~Oxj zI3##hJOv$O=8l`Y0I1yf+w(X{wkn>B<;<^?pLJLrN2$EDqyt2|KgT?X)}{7g9*3># z%IX$Qt8wpXj^46tX8pD=qN3@0-8F5LZI@XiE#=!;p*DA%RJI>fvOi^MS9RxeNvY<$ z-;xFIAD_~cR+0ll9cb?uEOn@9F(0tqW}@aGJQ^BLBgA(-Jiu?B5cp9@P}< zWgmw;cPNJ*n+v7qRYU0|VUZ$7$`kPQQwy>5WhYXlQ+tV$k8?bK{@!eeRrkVC=f=HP zAWJ#WywqXW8)(aOXAnT|(cFUG0^?$FW9u0WwF77cNorT%M zsgqB-yoV1qvP`eikzpW(Pfp|ebRrXrVgCG*89_f%_UjsAkjqcU6 z?dFSM)=2cL61>T+V2rZ*;q8~7^=wdBdw(me%4Gpktr4bb+_*9D>G)a+rq5t8HOa?HkOs-;O7lG_Hl#WR?RWar^$PTUYL6__NUOz z$lX7#@%XxHx!i#Uqa?Oq?Q!wEUG$mq3LxwU200Lt=^Y)zJw?MB=~xRaeWoeWdRD&~SABDhoW4`#M?!&f9~@pPv` zvA%MSqIwr!1O%5UXl(tvnalGATb&g? zrDHG~oRXQ7Kj$~uYTz^8k&#tY>1SvHvlQt!*h-={8rR3&nm;!x$4g~S=;H!2SrK-Y zCCm$HY>O&eT$vNb^EJ6#&*W=Pdze9-{{)&_$A&m#yoIfT+s47Z`kj|+HCFiid%CGX zK>fJf`mH3i15E0*0L;D6&iycP_i>Ev8y+BETm6WoQB!cWyHw8HjH@mq7xeG7SHfJ= zpVnjTihqT=J;_1XghnTzG9+?D_AJ_pOkm~vl&!k~J6sJB)Z83Ut+_12@26khx#k(* z&ZzOd&vfv3{++qV%dTpC64O6r-oUShFtD=DvqpY%qu}&=x>-TY7Gjd*LeoaL z4flFW-<5d*GK+!_D+>>PEQoH>`b}0}XbU-vxzUDDE^e(1I&1`e5D*t|s=r)w+`Y-wL z&?obxeP^-ZTl*{i-8>ST$PqiP!|#1o##BrFKW8i6E%30Wk8s^6PFv@%3<`$Zt9^P% z2n{!Q71O2$(+`*u|3FykZ<9)xHTdzUxlPToVPcJ?d4x-0rEfzaF{_A$B3-ew^Wr~V zmbFxY8Os;1R`>gI+RA^8{EWI^(N+F-860b?ZuI3A=kuwEmsIf3p~BT)zwS{Os{t%} zi4?kdd*9yQ`$o3klXk+rUebQlcq^Dc%+u5j#lO~O(F&OLz$J@^-EgX_RY_iMl50ZC zFUQ+dQ-;^I>zI^n2?dD7s>9qVC*PX4IFf$>#os%WnpJt{{ruBvo+x$Yu29#8qsl|& zGJ}$bEwcN>YQ^nYR7aG#pIfcF;KBt)U)juzmOFUnU9Kejwg4%d@P1HzDw1i*7sxj zW&u-+Npq|YTF*2RvbfMwc3G$Sj!i+HiXL$5@Nq;(jD~UiVT!pbzZ27`Q_uzL9Wg*M zjqm$}LUkkQQNgrIR-;Zn&J-tI@B0+4l9el5_5FKs!*X6Pbp| zEO4>(vHz~fEHnvEXL28f@CJN_h?AV zzY|8ID-UaHHT8K05?R>u~Ru5uihmb2+(|3FhYCR zQoq4#1Sip|Y0P8IcQjyPCEd*Z)Pz`iZy#fWWuD8nC;<;n6Mx8?^sFMaw=FnF%~pO<_XX2XRUg}SH_>I?_Y zvx=q!b+St3U)JNevNAywefUsf6WY5~(~Nb!2}U|DA}-N*NEy&oz73Y6Kpzfu(q%W}@qv9*Yv8@2+5 zDthnuR zp}Oqv0IcW};4n19{i(g8Y-S`hVe>DYfcI6Z?G)bNy+cAP%NT%|>tW*is0VXv)7PD6 zv1o1bqO@);NF9-&ZxO>*d4XD}qcuew1_uaAd*b^>i^c$YfzG3$ue%TWvxA&|q>jqMdqZ)nIw!8ZtPxav^Fzxv~%+XAT$j1iM*#WL7>mnw!|MergJP zb8jU@mq50U+9Sp?{zvj)N4+;p;NFmV3$TtTFeOk&uTE4gzT@0zT0(XY`PO8hGN-Vu z25i!IIX<5w5Hsa<7zW&#s6eTiMK$WEK18aCS}NdrjCW-6LiCz<>gLrO0r6)qs5%mL z3BQK_w{LJ~#RvlI0zY5qmhnSJ7iUV4PdhaYDpj>CaOZ>2-f-*ej3l^0KhXWk) zC(F{^3xDc}CMRMJZ8z*&VMcozc-n}FR*krEBPfVb~tW%su z^g0!56F|rZAo}`dL|Xk~szI;J$@cIqX3|+I2u3;uxxjq;vxbA0*pnR|F-bk8tKXh1 zPF^9g*G*X6>pr_>zSn@U$JKuG*l1*z2tl4dyP%55bWRgs^tp~<6+YH&njPYJONQW$ zjZ=^ps!Gc+xVggfk@OfDVmZ-CO4{s1pOg}E-Ew>b_jOpCwz8}Px7#wk{C#T#5c zxQHxYQR1LQS!-07^h@N;-V6B?+U$6E=ILvrWx@UwUQc=D?En=0D~&x_*-X+hGJiq@ z%zy%w_FKR=5~QDjfwtgElxV`f;r49t)2`FC`Io`TsV&!aJ3We8zQ;~|y11n$AuG$q z1pl;zf?ptiy`wUxZl|w{h9gs+F0YEo&Dzq^@(|VAJS^5-M;<`*n*LF z62V^#?5uqtyH~VZMm<}I8cs;?Scp?*0nqx-$SF!GD4AqLi`<`(VK6YElgov zbs_p1ubk`b1n{_Y*Ep!C6?c%?=*41fU|`KUJ+Z~dMLdQYCFgo*=SPSh@-KB)W>=G zT>rZs3Wc1VS5*mBn3cWhBEmk#F?Qu@Lh@k>ZBW7LxmcpVKc*VH@&|B#G!&p=rScK` zl)V5c=6-kloy0>AY%dz_>69P`U$s38QE-EK(-{#V1|7)G8nypXP%#jJ^p{gnlCtb1 z;R>ZrI~vanXGwg*L{ve2s9wV=-p|04RB3+REcN}M5E!yEjoP!k`O5rX^xE@u5cn7L zzOE{T5-|HAgeA2u_)ED{#a@vszM#K0g%g`Q$I#&C${7+%!8@VWD#t64{Q)zVOW{Xr zOo^t)x$CEc=(@>#s%pm2$4ck0h|DCYruE#~Wj-$|0mdSB3Ly)Tq6w8pXHmX*dokjWKV zK1=;@j_hBV>S&4z+_nQ!NUK}7?B#n!TEZ*s2Cbr}*#EYd%#mrNNA~@&6v+6Wpy~{K zga3Zs-2m7OYr5#(Ohkn$ogou0(Ua=AF^;=@UrMfm-q#&vpLQYKe{%dSbWrS;pmqJH znhiH5_wm8kscTM$S+e6CE4rNE5K}Cf2{bx;Jm<2n0ia3u&#xqW4~#NkeCF2GMK{Hx z324}MXYeGOaNT7*dGTN_NpLv~i#JG_l$|95 zO$T)&p;um7!D9@>@p$P1-GeEsq0t|Ow3WvER2{v0w=maH!O`l6i=*!|EYDF7DQBT6 zmH66|klFt0r?WWTzJ1c|9$2iL?<6wdiNip9q;4{)eTfd7an850lGe|PR2FCN?4&3< zusw$MJ5dhsT~AG3wRNXE9Pe=!&cg+U2f8L#q3Tg-6xTsgr=O?dBH_Ao!Y_QdLRBQV?v6 z2%QBsSj|MwX8nA#dS?8Ep(PCe^R2QtHk7a#Y!%%uHAq@e3f0*M6vAp*-i+M(7oFfu zcv99bQETXl=S}_}Tv?t5;#F?tzT<6N*8I>FxZ zgnT|DZ{Ya%9&1A-u7(?nr$TlmV5Qm795s+^7T++mND)~6ESe^QUJ;89MA-2@ZN0`D#DA3NJxKYgiHlZK;QGK6e)J)UGF z=G`*E1Rc-HBD-ATZw_{t*drOGh)Wx%gtemk3mY&hK$jN95%8;MEa3ExQbmRdxy;1% zM<$0w+0}+5NK>45x6v))=y{Sv3cPvs@7-Tr+;^0a)1hgrTFaf3tE-__3I&;A5ap8r zDmDN_??mk();aumb5&a1eBxfn_thZjXHSm8JW`Hsch<+I)F5jkH*~uY1CyyoVD*cl zpRhZ~Bk+R%_drbUKBh7QE=tJbg68>jB#bM*dT14$(uu`YJiJ;dSOk!dE0npHts6bW z?vs-|Z(h3ht$*ulpmb` z;Ru#Y{sM{BEs%JL0LfYP-$uHVjUO9Z8dM9zJRa zr*R^1Oz~tEy*~QN|Opscu?zMpvqE@0G6eL>(UdB zhk+;Uvc-v<&2`Kz^I8^4rr2}$z9fg=kHP)WsKyv}5Njnlr?9{wp(BXD5KlDR6Me6b*!HMa7}12Wv48nJUI z{4rJJP)+GX48{*Utb`X)^3nOUdwMwY+f@;~OqIRuAiE*+WwwfCq+%A;K0Mj3+ z{6u6hbgN8!fqTNwZ%!uGn>}tVg~1i_?E1(6XwNCH&^!mJ4}%hu&dpgnL#i&)^e{nI zf#~mz)K?Z2Oa9#ltDjVFQSWCMRq*RIOMP=2Juwh5yw%wVTQ&gf37d9&*zLg|b^7#- z$9M^715(**pSOrS2ns38=Sl2-6mOC;>G)?jSTk}aWzI8CPF6<1jB)3*Y=wE?Hv0c! zZsw=c8sA{G!7hys--0G!`e}BzQ@rAThH6HY+>{)w;&qJZuBIHtORJphROpNRJb5(y z_xWHr`D1Yo7~55E+Tm^0sXj*E$FCIMz3{TNFxH#%>1|js7Y9w&Xc=AxJ-Vl9XA;%- z``cT%h<+aPcgM7s*cD3l7L)rrZdV1LVEF#FemZ79!=pm(-}@?zU%&T?^)-Zd(Phb``OK~ z=c-sUv!W=pmTVA6$-TNNn6du*lW~*cQ5kQ&$+A)RyniX-i?fJ+iE3FB-Z#7FERp`^ z(wE+r;Zje4e{9$YvNp$p8_xaJBp8m7hWK5{$I6-JNAQ{u6iS1tBD(k;x z!1n!yO-pziDUky_hc(po(@VnX%|S)4Tt(*af0E3<+lT^->PJD>Y^l;xeg*vYn7#F^ zgxh(pc^>Hw=()0$7=XO;KPo&z?77V7W>9-ca5=QgDE|TRRmDTldKyO>_szYV=P37H z{Gn#g^PsmJRikE6jsL@!j@J0Tm+C%F`1@eUyjkF>O7-@T*GP)T5T$eUUs)paa(@b6 zdOS<{t8?B;^Cp*Eg5|f<>30!n=f}ezE|kT@9!((GwySr3%DDZBDygRP*1Txj{QdJ8 z7bx!uJwj>d&@VeFS9s3Fg4`P5ro{57A4tuyOIRz$%$Ky2KOEZSOgtK3oy3#6;=iS) zPaK(5A}=swPtHI$N+oM^EevYB95E|J`lIC=zSUdP3;hkX0T_!5cN~j)A*4* z)ZEy==t3k=c5Xz2ymsoG~`r7<0Hr!P`^2~L@cSy7%Dp z+@k9r-|rEtm!MvIQcmqpMHyhm>o%`r_sFqaTe>GKNp~!wuUotiZ*?@}-Vd(1i&c^0 z385odv$=caMqh~||9Rbsz6gkKfPy?^~0!8wnS@3 z`IE4^k&rgLHaE4h#F(-k_vonBgGw>IU%DOaKc*+C#gku;c9heaA24O_?Qr?d6uAAq zJf6R)Tb3(R>{ESR=^I_f>mo`qd#jY(w&xltwG@)0H^(mMsrr;nWII2*po^sQ&JRvh z8ve)>7R**$iAOM(Gu8FmAh=L%*7_tgkl65}tA+hgDnO50gtnots=<3CB-iaYj1owW zFJk|@N^3nzz~fzG>JMi8k>0ot@--~GHtTv9MdtSxYG&WTM>%2ygB^VY#kfCO?8eXI z*l@4qVPI#!G|hM>@<3IJvJJH&NRFN)p1tc2uD*Xz} z{d&aEG~%p~;wEqcpbU?`Qfuc;X_2dwW*xpu!E17-S}oE9RPxa> zKd(f3DS{pw^EI=5ytK4fSEd9f)i6T;-ni~14~I{SYgKmS*udT-m9hWC|Dt3YvMe3= zFXE43rx`|38RpJp00Ygrt*-{g;G!4;bDDl1=aio_wz)d|1dr5w^rDW)K{9ew*F#yA zqa`oI3wwmV`*@zG4}t=A;8QFZy;&A8KhbJ7ctLE+=26QD5-TJ8rOaw@pwyJ+f1P8* zu%J5nKmcTDg=_y{bKzj~G=d{-$zHK(y^cPQqDviY-)on{odzwaeGRERB?laK-1d7| zu%(@0e>G@TUHkyuau5g13gy z?-dOm--#I)<;HvL&mThfbRUmga!{#ro*U^ayX9EjBqiWz-d&NiAv3>(z#3})K-Zx9 zpF;o~pR@u(>U655vJm+iFYvLXqySIe{3t76Pm~|qeS}j-xblAlluhXqC~1N+h!hi% zO9)sJd%=IF-dPU;XK2ORp)4zGSK_GJwZyjTTI$JQu_~ME_1X{Y#tN;-gU=&*iO_7k zvKmmjggL}YvJAEk8?B6D4#d2@Wta8NjRncz73mVpRXUyk>Sang1tVt{{}LopHh* zI0xc3d@>0?ut8n;#HRkL(4meJDs{BtFuZu#UVkNSYo!?A^zw6#JQ9ECGN2tq_d=;$ zYsTK|jCX^upyN6(ZSN1GrFm*%ro(|~0OR0&=l$@z6>|B+O_%l8Yt6EeoUd2bmHY_x z-t5#StImxAZ{dv;`SCp+-I=4?Uu~C9Ji!SwM#9Ln@WEm0r=e${hemK8i;Ap*P3y!# zPt}4jY|QVIM+@JG6#Dq}33y@f0=^-O+|Bg|ib%W+{3m=TGXNLrpC@DRHbdmF3|wuJ zXh(e)!j%)m*lwxO(&m=%L{mLBQK0Q=T3?sy^!td$4UG$pqsZ#PcMzX@bu_HTUQcL+ zpPKY@os|sa4iSMI@pfaA)4C><6HQ$5<%~TKrC)n1Z-2jqf+t<$GtqlIvq;QS4|YVV zi1%KDrgV`3?%SEw_nLrQqT>SmU$wmZ#X8amn!YRztW9@WLXqSClvkOo)srVGTE97t zqM`Y=8$Od81qu$ppzZQi5%!3!MamIKOpF-`rMUMF@FfBrLN}N@lqU|L1jfwl;CWa> zGBb5Qq7&8pe1>00i<0W3WY#JBIjlUoKl3I(!rX_zVYv8DfE)B@-A~Sn<;poV?IsNd zIU84L#LY)Q2@h_IlS?(+EG$S&DE>iK*yp{*^BL1eD=TBlB${2!Bt&L-VmfsGdVzxE zi-GFDm}^cPm3#L?`kv0|+4LKfjfy=ac={7DGi#(L17>w^gTh5;!!XX@{lMTGsKBa8 zC$&s@ht#>*XKHO3drec5x}J)Xu=I$cF~?ThWd;3=h2lW0^VM3B^DcQ~Laur=;6P=F zi6`6|!N>aSm$(UKjiPOu&@p4pi3-EHO>QkaoTb3;{a|yBfl&};JfwaE;obqc55mp4DKxuaibXCc6m9KR6i(y;(c}wEPfc&z6|88 z%?$%8rMBvJ4Rfd~MFg}I@_+7t|Jy`0HN^UfrMA3W=1kw+m`+GU!lo~Jp0-{S0;Wlt z6GZP~co&~tJuws{${#SyzePfT6YEV&Mv*T}f-s4`Il|bu-zC5fzF=F^6KbIOh7l|I z5PNvluuWWl6cX_`P#Pl^pAd$lWoP}9c|subo|)%0@dt-=1(W91DxF?!*Z*^>Jw75i z4te=C3LD7pO(f!VY4(T8^2>q#>f@pg;SdeXGXi!&>*^?0)L2+B!A*WLj-+o8E`VyA z1(uf)gf$0$frT~bR6BE6(A={lfLFfL&UB-X8Gom|oiE;qCBy~EuNEkp+^NGdMq06= zaa1VCwEM?+BTh!ePts39O&`qmu7dAtcvv;0zEr}!MV<2$&&!akCtrF9_JyrUR&Z~HMPD5KP*4U#0=GS}yC;HgS z-*KhpF}*&o8BeLJ*I9Qark&2wh?(d$B&#uAq)r$)U<-^+%$3vQEgBT1zma8)v z-*|Q0vF?haa=CM}yypr4TUr}{+jVA|6lUpv>sknXIXf&@Jw0nSEeJ0B4F7s{^#+|M zcBVuUG4tbDH{l2$36Y&>_wzHido4wq_=NjZM&q&}(~n3$RxlE#C7p?v`#x)+3NsUo zlzsj#;g*0Je%%rR=}Qw@o>1@$e#Z>zu0htbf{i z_!8!|>0dP}Fhd@DkxQhp*r@nNxV4a=UfxDNz1O(nAy_&chWt0xf8x>HrQSbxJR3ff zij(BQUQI>8+vSAOgIfdBM%{(nB>P5XxE{3Tzfn2%sp3`(7j_BL-EUF~iryXB9&N`I8FNHIexnWiarJ50lD* zouQ_EJ4g!3w>}Mt2JppxE4=bCQtScNr&A6Gl94D=;9SRF7No~Q3&S_gX`msdc_EA! z>r-!>t(Nt9i#!EiJwS{&T>E${%3Arh78$bvt~CM^S@xITaR;gR9;7uguq{<Dk&JkCEX%rSCtT33oZ3+gRJ_Sei*+Uf3tbsDOJSYkcZ)95A( z5eUz+bC&Yr#_(d9X!|eztA9eP5JCGf2nFj%zdCc`E|2?GHBLK&FJ3=nP-PGI{1l3y z4rodsuZ+1;_KfZ*lD~!XWWv;M8xEazDIFKTo=qm>!Zv(|%=ywe=t2^|W#jl+mZqkS z_0n*3JlMa*Pa&243b3u}V8Go;v=*=G`UTUK9ePaeV({QgaBvbI;$YujgwFS;pO z&K2^UBHlPX40;ISIi2&Bqy>BK<-thuCM{yXhX&c0{3~(`R&E?*0R9wR)W1sIM#K9M z7=OAP3g0ERqa1KOfxA~LQ(#FH0;}u}K@`~Izg-j@Xz)sW6U9JsMkf(FEebLz0|hbP zwAm!iaJCJd;)dn9ydO{#sk^8G#*^1z93iD!v!2?D1i^ectmx(LYg~!^ zNldgt^)tZ0L$2f~z7xPE1{ik_L%%IE6#K3@iv;%|2x55$?RiIv(ePY@)=7U->`@i3H#MH}`D6^;I?R0(%FWoU^eRm(HrV zDp{PR-=O-9^o2~2Ap>&4wdhBOJ9IMJ#gL5Z>x~u1_gP2O-tgF|dA#lo`dTfB|7SUr z8fbTbjYxVxjWZZ{mmegj5ejD0j=A^gny%^wAIr=>Pw=;F`zkeVz`C1M`raprUr#Xcyabp$a z*Pxuz_f9dtKZ0qRb2gM;?Sg)`oI(@+0?%$wSO1`nc?L2O(DA$OWlF|DG7q96m9267 zcaW%WcaW&N9&ces-JBvS4x|TC22h)u>-#&GLtVZoQ<9)|Ag(H`V-ZL86?F%uU|^_B zWZ1qMW9ysyZ zaT(~UC$jveGUO2JrOKs*W}tQUYWVCVxg_qecHYYS>Y;`r+G0sfq1EdOP85TnT%5= zX{(A?X7v1VEEfHH4K)1@vpl{h2_*nm!GH>DOF`Tha<9$ylPyvZFguwD(|YcMa0H}< z!!^kt>(6k1b!&F(`grm=0EQc&xgLb?-k|{}NnsH9C0+D#W;^zv)z^jR1T%L~4c@(m z+rGf2dqu+n`Z=Cs0$YZmlhD=8N+fjg5aj%kK>UJyV#3#hoJm)`2j@tF@p*waf1|p7 z;P(q(Z^_r|(;-wc!UuQ+Q54zGXi{^z?Wuw{e#W}8!ViNb(5O?%N;?)T5(D0?1TUcg z97bWdj;A??=9SNTHyh>uu2o6B`VxXuZo)bRbPkD-;0h^k`!`{`k30P^x`{(@I7~{} znHPQDo;03vH_L&_dDuIu2>8Dd!>yT>?6%n?=` zT{VR|fxl5lWe8>b-4!2f#nxt{XKigU7_lA$5c|eJiqeYz&JIJM2A~sGu-Vg1Y&7Q| zsH7?_9n)bo01oI(zOpK8TxnG1kj9iqz0zER>0|no3TJQJ=bEqeVLe9Z!PAy*IG&Rz zNOu0${`cPW_|%TDhlz-opH>*3p5rcD=1}z2hS|)K4Yq&@2FpGp8CE47uc_O>P72a;$aE|M%Tl+f~QnC_|9H$_-8f-kruU4g8 zoqXmRE3>JqSWb#<>-SZ~rH{x2l)cz%yu{Q@a$mp)798E_bxqWM0+$X~{_fSaT`UMU znk=E*vZn3$8>+6MZ#YZhN)xZauN$iRxiD=Ppn%{Xr`2TG=aa!X^!uUWom^hq;vE`2 zfK=!o1*^+b*l3VW(5dD^eCQ71SNYBPg(-hdqA@1R)Y_wQ0C>Fq48Id;w}kFHe0`ez zpkcpT6yvD*@9lpKDfmWF8MrVLYw#K(bp%V5xZIZ>np7DNU5Q{@L`{_DPVErsh8Rgzd z7WC6ryA@7Pi_GIW#(#ErOo3&fI#JfY2?%%*w1@)F?bT)MOpk8f#&SMAA0*7f4X~ep zn6I_RnNT)nUB*X|6$6xdnxL=SQj^vJ@WvzTuQ|wVmv%nZh6)!61g&5Ay&(x&WxMRQ zzHXeCIZKR@K>^lLcT6F&tOlegDXt^x1njqkd%b{Or^LWBPe97yxbl%5akz%G}l-20m<6-!#aT7VS$~&U6Yi1^M+zQoHAAy+Z^XU++80jVJ* zn-vJa(&(09L6GZqlOB^c5mjy=U} zDo%X@nCu7^0apcjzW0U81rh`|QKm&FbKJi0`pC!Vi3GVkzIN{Q)cNuj6j zz~;CqbjPf9GPt*5wiC6JF%IdynDk>Mi;Y$&XJQ6P%(g&(!P3?H<|d?QaZ({*$UHX+ z=QuK-yPP?r&ZXW3Z0hy(QrYT5H;$sGXIa-A|B1cOX`G3M+zd(r)5LFm9SQCCkanJ; zpe+5yka%FXv~IrZBH?^VPupUH2^*LN%_MpN&KCLay>=7x*R(5i4x+U4-mmZrT9;sU zKDoAcy6kSxv0khfjBxfJ97KYHnGtZ0zH~?8T;jkz5|>^eby#MVkYx)`2k zgyg{>Bp$A`7&?2|aOv^$%Ox9b`bBNvz)|gG?$FwZeo2(O$OVcbz*&i) z69iV@!JnIcJ_)=)9{oh$tzmS-%v8*-`4o3TlktwZX-U!$63k_#!8U-Rmtu8-1#r?f zmdF9Bv5F>YkqQ#XdAG9M4-}6_#R2WU@>%zqVq~0TpDY&mwGDvYx(VZ!U|P$ZDE;l$ z--VKHi`B$4-I<{lK)b*@_#K_-t8EtL;Jr{+pkxnMTHd3o$ODnDk_#RYy(K0-(DxtO3tBjg3qp_a4%ED@lY=S>C6>9Q4C;LXa5e ze~*9M>Tq2tbDbq}tKu!+{28whMEOs`>ct&pQtWt7GI)BHQ1#tQCHAa^fgV5x!> zw%}#4pK`v0%T$(eGHrZ(YZJ_;yo4TQ-Y=5FP3TszoAoXviCo3W{gt)Vrr(jf(h?mn z4+o3obi;;~3{b(#lFH@!9w_I)FRtGwHO@;`Kzj>J;c?UXRvg4xEl=zi57x4B6iDGq zjzExG`3YT@Rf8EVWP!O&AKB#VBvIy3U0qd!D&P{onu@b34Fh}Zi=Eo zqL_sdMP1tP{ltNk(x8UM;$R>uy%hHhT{nA8t^XuIM2pTMnFsymXLa@CX=;cL7EG)3`XHs(#%^urQSj z;ktjU>C$v<9Q!50-ZwW-zk909yWDas?!q=6vz9NP9@#85c*Rq6Tt@yArF!9exUEp%9lMET;UcTxuI$A7zLW+OcD;|spWs;Rx`ma{qa|6t>u z9--jS_;s(-_-lcyFKYv*vf&@KB$13Jr>k?}Oo0|}r|{V*g(VmJ~JL+x6w$Xs_#ag=>4UJTVYlPQEKG8UD+Ug{ zX3tI)Sp{T(m|nem&tS5_XqI$z$~e6nznqTf`Jt?A!`Ll4Bs?in>av6Po2FUKLFl(< zU|gitxe5<&#aeJ$Wg+i&vxOA6u#ei=LO9&&=;VVJCGm>x~a_%mqAAe)4Nr z%`}?t(N%T6ylCvxK2%=~^Nw8Z$E}%Ke0GweG~P=nPuV+)0+6SG2EA8_o%llIlTCdG z!i$ChoBQefJw{kZ8))t2lk_*C6rIu2#;-YN|2|`yRg!qaoN7^ZZ^5-Ye_5ulIyqYR zYafV}Ns8JTxcMn=_rc%@pQQA@0)-(kypI-<|Q%8;@3jsI#`~QChh;EvJIOCgO9HO`3zJ z2C(;gP048EM9CZUXnvJiRY;JhzoYPElsAV_XFip)5*~VDmF^dnv+|wsZvy@(UqEy+ z*ct0{71bE^5hz8=hG{15a8;21Cph9c&U;7Mf2Nk<@`?q(z0J+5E*NbhvQP<@!~3S| z+@~r26%nsv`Eu2TtxuEUTYBrZnMp%SLbxxsj^U_0@0Hcp*UmKJPZw7q^8OYC9#TXt z2jWTs2HMV8b!k=Po7Zn$5UQ^nLuMnEt=i(EmIZ+i+F4ZA@6)%F4LqI=x?!8U9o8C92s=h|ZCm$HkXW$JRq?Cxi_OP@ zl}ucCkQ+qrNsH;zKrL;20xAT#`mhlfDHiO8@nY-#(2rHWD~zFsnj}uBEBUiptQ!_N zs`O=7wXf9NO;IESzTL9I!-gA-{`dnso4*EIZC-EM+dN&Vi+0{!ytlhFDoK`qg0Ogy zzXt9gz*6cP9LBekJ##+tYMF*_YDmZTEGfjp-E^A;@MCbd3wK^!++Qa8H!;WdK`VuK zey*mN@{LK58qxgg9X4>ValQ7J;pHn*ozl`wW*` zgSy@WQSrF){g)S2j^TF{Z+AA`;*WHw8eImnaKigy2Y~4);UCXQF_PKJ%iH7YOa8Qh zD?^nRuyubX6pO`!?jcn=)YQ92*w%63z8{KDyP>1#38oO>olBN!EZzU#6I5(07uDPi z1-IzZjmE#_INGt2P+;2F(NI9S0^^VGni1=7%!TD{d-lXI`AXTR$uvoqMP%U1_z^}& zd>lVJ3N9g5Gr3}uuAGY~Q4R3>S^fH$w{|E7suyIQz@`~U(O^H_>PkDki#8i2- zA9XOCH0aZ9e73MtI1zwt8DrYImNrI^>1+T@R#-kvT^|@wc_*wuIe(t(_^iGi^;YwY z1bZh+(_!Pt6rL7)p3QN!vsHNVQ~L0{21}XUK}CXHs0?b0gZSqae_M%!^UZk<0IeZX z6ivw0q*1!nbU|11I-Yq$OCjGNWx@4}<0bqA(8M*GLYu0_L^=jOaU)Y1)B#y{9Au#C znm>N*YjIP0O!)9*(_est?9G448XOSk_X=|nP=H0-+T4$qjx)hD6N>SHu_FIbu@r-nl&yfGcZzH0o! zLdNq}|2sn}_$O9y6HgYK7ywfd+hmqioR=IueYv!XYU-YDl)(%~!qp!9rlLQ3q)d==KcLiFP5+qJ)(D5omlhR#f4VrEn^`OMIs)@#eOTCXZaz4IdB zhIrof?wYT9K5Spx=dunHc=XA<29t{;S~oRkRF2fSEdoyRimQ8z4O$FSndBm(^dSyz z9Y~rD2G-RI%*MQK^oV7v;)X2=QXUzLlCykUufU6H6<55FW|`sGhNS<=&#!f zqAFF4x6^#PypnSw1w1uf;_$jO{Y&LfCY($@ks928;qv;wM0&1o7SoFfu89Os+WMFa zKS>$$t2ZEZlbJWe5oI6k>qQY2@IP!DKATd)#{X7mAlD?ci_-tH8Bc%YB?p3`N`1Y> zM@#fz66#c^?U4t`e-Xc4iOgfKHw}SW*E%C)lyZUBcphaCMlwg1jW#d`Neek8m;6gUL+ta!&?x6S0 z!&)PG!E90veu7H3w&hb=^J>ySCq}szsf^9m4WDgrYj|DR*4*feht?C9 z_MdFu*U_nLorpPD2H%=Q@u~k!;*>o5WcInUhTACnBTqub?fJ zf1hXJ@Tq9|Q-Z_!k$-OS3z`Db(|}rbTe1w>+J7|_t4@XY9tCRBiu^v)1h2dOTHNZ~ z7;@<(4ws0>Cz5y`T$S)usq@UELFcz!VW4ngTa|+vKK2gk=*dDw0aIVY}imx|= zR9EWLb7?tH%8~B$sqObm!Gszjp$2~ri^6a0O;LRw>7@x)qp3<%Wfm>jC-F_#a<<9I5G@;SK}(E=Wc zPe&Wt7eC4{j@gKn&I6-Wi)~h;PL*u~L#+Asu+U}BCDSFc1k0CdQC7ct=`Ime?z#Pv z#Q2l_SDhXTTXx%!#eAgv-la`<;^gVM;S?aP3{$JMIvMz5pUQ;qDw-rmURM7}r$DQf zA-Hi(LkMPY`&S5%8yBqDffD%ZS9-}cQfG+EuKlDzCzes-wp~K zmyS&N>z~}{-ai2%16R2wit4%#gA6E+u;Z zUVmOi5|v3pJ737n4Tf}&YVFWDH9AR8xXy^=-f7q(sYE}I!Kn-&Hcpsdi(;uHl`s^< z_An@Xmucyuq3oGY>Bz0;7#o^vyJ&xYknuESlZxC*0Lm{vFb@=xO!x^5Y?19j(j^4< zJH>5+iu;Inlol^zYqzgU1LQ$=S=cx?#j!aV`a~bWb8Ue0X-APXex`YYArE6`1K+VfC zqbXw?BujF@p6PyGu`r8RH|Zw(@=9lh|!_oKJB_kg29a>PyC2A^m&3zt97)&ewDs}bXLU8Lc!tt@Ao$kq%%-wNDX@G@(EH@|z^mjC1_A2&dbKzputdHcenAf(;kQ7~4<57xCJ;JKNKoqNm=w;OFn_ID;0tw48N?`jO< zaK3n+21=hEK7SZ&oA+`IzI_(`1Eqf4r#wlI3ug zt0s9AW;Hhqk-ZoEYWOneOv{B5is{`;_WJ1Q zQHyTHIeGk-FP{rE}e| zj|Bj<>fWjYU7lfLW)YQGj@l%hLx2QZVap0@_lg4o$Kc@_$}B&!RKQ{Kz5n za4$BP%*;%?(mGU*HFxVKZ)uU=#f4ptOaVAGLsYmP(_t8OhHgUsBkWvYy1%9^s_smm z2qZ>+`E=e5jM&ZNWy)CvH(lPl9rreUH$cBb1IvqwkzEnwfTL!X63BlJam+}3#1-tp Y5-T4gk6&f#O1fv8#=!3?LgH}$1HJpW$^ZZW diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index aa0263e3c38b0e0a96fd330e0ff4f10807d73a48..dbec63ea50ed90be9c7ed0b567f3ab732b534ae6 100755 GIT binary patch literal 21791 zcmXte1zc0#7dRmxIS~=*P((z!YXgx`6hx$vkZz=N1CbC35fup;DGef>qd{sklA{NV z-hi>O?a%l3|Nr0nym#JxpL5TR6Zf2ZE;AV!Sp_}$)!X;#etZRu9ejLUygd0NrNtz~ zB>5iuySUr)Nl8obNyv#yN{LHJNJ{e=I`{;**g5dMw6$~NljJje#%JR2mQT~(#qX-- zcQ(o^GGt^(gZ}|CMe6?xd5!)r3?koqLz5#YJKc_Vo+yaFE->_mVj@(W-0W5-h3RK2 z)VwaYwe5xDZ>234UdBDImw;NP8(UcZm3 zQ|jYACF2;Y-~Ievm%9UAMDN|Lj&=eLE*K0e_fy+C2-{K!y=b~UFInL@TfOv=n^yFx zqN6ivFSC^R$s=z68A7hi(2>3;4X4CvR*+O+&a^gAH*oj#-Z!78RAMDlEa}(8O1{>F z|JCJK@9};khWQ)&!~^YrvX|CyEKNbWCvnc4*5~CurxkI&&91M-VBr!fExxY8;>rsC+2KQ4Y60@Q+{wa{CMa{_@{ao z+UyA8xwR@=nWXf6$T*8UB9?Rc8T;vBvY%V`Em{(AQuHoHtMqh3{>ue2;BLw~-0 zd)yE{A(9v)<-V=MeB9k#%JgfnU-x_w;G38JYB`WI?Po4)1b7Uq~Pd7xwC zQ!zQeuKiHZL&#(3mxz0pXZ_>57n#qTw7%>!DciYiJ?;4=$cmnF5m{hzYSmKJqYd)< z-9WiWBbq)Ks<9jh!Go5X&2x-gYkgJ@kFDse7j zdfgL9r!yY&i3l*^$O-1}TQTzENl*iHKBRT>;j-NoXa8jp$Y<->kWX_n+rqF*|C5a( zZNrXQ*JAJrk4})@3)=1^b-gYR<e_T_z!qR_9~|8ED#k zZ+apkKZu{3cGb8(yKZ1P@U&5b=4My$`P4JW71>l>!PXmi?s)5#7Z2rMhd49|O2Z0Y z+BAcfB`0S`98=1N=fXQk5()t{u5zJjswOEqXqua1lch#8w5ztc8~h{YjNg9zH8`F% zdPc`8EHgJb`OR@}>(~7HOA7>FW_5GC%-`GW{?5jdT+5DyQLdxiP1SgR1N&`GguRiQ z3+1xQuwQwcw>`vS_)c?m8qnC{>DT1M;?!uK7yQ5SGw1HG`Lj*Ner!wW$h;H0^fCf8 zPZr-BODnQX9XVb?F+=a?!x9p2@KUeHL&ng@Qtpm8ys3GG z+Jp0RnQx-`H9@UIpJ=><1Z~50H-@Hif0`~BElc#dweoD4BpKJlKdOm*{r1_&L!7Hv z6WMY$w_xt1-cbFM_$cSw&$IoCWbHgfY(MB&1b%F4@k8oR0+;BAzBCVqo6RO#i@4mM zzCO|s`tOsolh{#AZHR)DbX}0Sw&i^F)VQ3e#M36B$DB!bI|A+o3+C1=It=hPa?d5( zYk$9a7yjh&++=f&0ouQgdBXibL%H2BPO>-F>;0ov&tDGT7t|i=;#%)jn6s*6t{FaV z8Y?$?c%Ls&hRIC0K{OKOC>k^KV~)3a+Q4(of9OG9TqE+8_qB#?kJ$$Sy=ld@1~RFE ziYMZ>3>C)Fj;xd%vSFOy4B=;=>+-IrGT+<$QqQ4k+oJK%?^p4(MAz=acqV}ll-|H% zZuuH|+=Q_eAhgpzjVo8y^~cN&vVo~x4&xu}GjQdm55|H1r9_kW!Gv3G`GR>Z;V6A@m{bEmW?b1n0yIC5on8%1#w6eEphGcHB>>hj+HWxp9 zg^J7D`UTM3es18~kXx*&X=<)ur@a1rSI+o}UUJQR-~*2HMbXyuAGrnYMk?ts%%fw2 znXFdgsOLlYrkO6U%a>+!Z*NEY3#ZkxF4$c&m>B(M)yBB4@I2#fhzU*hi&?Klr5SdA zvH(O)BC6VHf%7KSyB|B{`t!%`{kvypds8+0phA^QZ$x@tKs<&!S&`lC%O6>GFOK_` zwH&@pPI2W^>cK_6|Vu~9L3}@z0=aiH7k}nS zyEI7G^SXCS-2VLQM}YmE@((Spo@Yiwd8W*#^Hn;=&|b>50F!g4>bwtzy!H#Fr^@Dw z0zGnqg8WSmZa-wkcI&ylP6L7#cowvr0{iK?J|&?xvu7il(~6fywKS_}(ECfa_%JS2 zu%U;a38N@e3~Bm>fVG=*wZO-iF?{@w@+n7ImD2k#L}$?}OlbI5&E%(BJB}C)*|v&_ zAUb$UsLN2$*~Y!4jJ>;ljzhYue{+^$n~E^ggXY<4a8MlI2ThE8C|SiH;7vv4smC#7 z+!;nwK|f6_LE66*%g&$4{~n#jQOu5*zA=`c(E9|LO{|@Kd!VSFAX$aS$?T zTkl?(Zv7~E5}rC}uw&ZYWWlX--|l6|zG)e^NtiK$vV)eX|2v09dSoqSnaus$Vd^Cj zbB=N;sWO4%d2cHd^&j_U-HpYxaU&a>kX!Jj)}5G2d-?2ww8chGN0n7{Six9|kicnX zwHzNg?TC4nZkeq^KqTGB!}bS3Pnz2%Z;yv~7#FH%p3q;Is}G}&^+tw9_yd#hFCI~1 zL;@$ZS!J1j&R!EfNwAH(4WA?2#?!0O=1$60GRzC}7{8$@V+gmb9F<}^EOHFf3|~6o zmKx-=|K(hyjb2yc+PJ<=yxZB#6tN3fg?yfTo$dsaWToUgnG*;-@c%L=X=tQW4FRd2 zhsd8~uxy?4E8qOCNq_B`O?v{N;@KR`i|#ih`}d&VPR5>>!61>+FoIB>L2z*W1A8Hi zZ${|Ul^(ut+j{QxXAHw&G!522@~MNXi+VIJ`sOUjd~#QhxrR>TkLeH}+a{kI%mwnf zzlj);YlFTP?3aASFVsIBNuE|xs%m~!jl`G{(okrqow>l5`aO)ptJZ&B>wp_yocM-6 zHehSyt3IQ_f!f=KMMA~JH9P$hoy;tHZ4f1^{dE?+t;oEw)=fTjB(0mV!R|jy>PyLl z0P*0Z7W2Sc(={&pkAB}`qN4rD^ogZcAo}w&MIkRI(@S0F@zMF}I>7=1%iAK^ zAD~viZ%U@m1H7xOF*sUOMOXcUs+w3A?X`v5US&e%{tN`KiF=b~zZsmaOPu90`9E;I z?E7A}A;y{LQ8YEizW9m4S3|@Dz#Y%y_IvKfP|0pB58x+w=e)D+!5Au+WjSJD-mR)8 zw}xaBJ6gr_p7*wnlPTypyn?gtOS@B1IGAA9DCPz?m3Vc`~3ZOew_Jz&OL~u z9r7B5Xw^!Dr5H|h4sw1!y4N$7zKVYC^(Hu&dR9&|SiGTWuV^kyoTRCdyN0UI@T{r6 z5%f;=t+=RLVcaT{ECI|(KMC2s@3d3xAbPuYU;3xn45!DyP_TouzegBbC8vchd#{?3 zeUf%m2&5|gGzQ5i(;g(=X4X5#A+0Fg=U)+DE<;xypus)nTBwz+rEJbUat8Qoc)%%p zzE=A4&5*bKxAY`AUbe1I%PGd(bTMBqmkloF(uOb6ftSD5d9pIJV_!Q@yS}`EYK>@+ zZ5}7+emH=up4Pb^zc>kKCUFQpx08KD*W9@RkQsjfBd=Vrl?Z%`Yrl`B{2RuY zrb~X%Sjbw5URGeeCsSzbuAN;!{zE}hVpS@GgWtpOQCKeN;c%5-OV;3acwDK9Vv60v zz5X(Re6j~0hd1^#*T zp%Dh!Vb!J?;hU`XN$Rc8XL0>CeLP?31Eji@VKjVd_rnD5=w|W+RcAI*_uxb|is9oU z!nhi&;~uxEj*r>HXPT~3&i)7T+3IVMRmz2!3L(F>jIMjfDMFRK$;TTZjq9I!nZC8I zeD*e$-h{wMLzftX5#<8ygjiLNR z4MX|iwbU%cO(2#2D-aF8H8t|)FpSx(zJl+`PW!Xv{UX;N{X)vPIrY{ss+|6Dvju> zQ|sQS7|6ttLxrgcNyOw|)J*Q^Cuo%}3El4V<}zSMC~Qf&_fqHuh^F4fOJlzZ=!rQF z9(hHwuH~Xh`Sxz;Mknt4L>{V{~^ zmD~KOFueH__97U{vvmTld=X5NzAERx2)1V5IvHL3)#}CQ8yrlw@aZLr_=zA#t0nbZ z-7$W+3a3KK^1>>{Zi-~yb`jgR)~83aBtrWKD={Iy4~|Z8l}rR6oZ}sc78??7qP^TA zvd3>|G1D*{`HRv2H28BKNn*y0P^+Ga!x^%n$At^=@^4%Qtg%lnJIsW`|E+m*n zpSPD<^4bAh|KsV`%Q>sT&_{dwR;1Q)SJ0|C{9QS;6loayknkuL=|)pG28RP3am)d4 zgEjg!(<#5TYCnd?)_p$Laapl@3+U2&6MSxP!b2CF?8z?~z5VVD$lApP(E%ackv zNKn;ztkF8*iHpsTvqQ0G%bWa%AoBq{%2w(PE8@iKuf_-d-LzP2TzZv95xzL*Nh28Yd9@4m^$Hr4CE_^O6E zpCV9w*F_6nTp;SSAZ_~}Q;035sNn=zfcjfxyTU!F!6meEkI~xi-XRrnQ(rxxi&RfVQ6 z?jWh;jT5>a{)3AQF2}s*4gQu+Fp7ny#s1(8W0;L;z8nyV?ct>(WR+th^`#r_zrNhA z5)G%lNUx6rcmq``F->~RpyULRoCUe3qz2g|yb9IYp=md;P<;(*7}ehA#BC{E&(O0} zPYo&yde#GKRR9UsMoFitgJ=}3J?V7lj{KuZqQf56r)Y~>6OLLSvh(sNIN3U$A*YX* z>QZRF!}=DMQvq)VS^(CyfgBQixPgLbV8fCu=cLzO9 z&g;T{0rL~tyl-QlCMP$&Bu^^<7!p3YlPnH+gfY=s$h`4?14tc`;1QTENMHc2LFche zsAi9VWEFv`idcju_;wBx@pxJu>y?qCa|$5-hl12$;<0uJ+0Nu`;?Ak~dFLHcC>?}j z8+}LqK4-F08|%Nd*}G=Z7y$W!eL-vGTC4*@50n~v7#c<+O58opBPeEwZd=XXCs-?( zCC2n$(8fdBt-U`Y`>UepJlr>FKNFw)o0lbCUZ9hhIxf7^@&IPT)xn`6W28TMFdqGWif=Ph1r;xPRnsgr#*! zX68b~F{i(8+d$birm+vbtY^cWB8*OK(;42L2=cYROaaGaBSDoSvqi$;2W5NxlLi|F zF}emeOdq@y{z9v|+uB|DfHN-%YEn;nT8;{vbFjmxj;}A&aOykB!Lhauh zN=NVXCin3}EB033;vk1m)W2^1#eY)nvBZL5p4$}bz&n2>1VKx`W7E}QFvU=daciMw zSyRg9Q=7DpkdKfXeR=0*O(rL@l~~&~HwCCg-2@U{9EHA7%ijW|N_@q6;y02}$=K~S zZ;=i>ZvT4iF8eRF!+0Q^ntdX_75n8@usqRRaJc#Rr!Eq+xBl_T#D5bpS0|IZ|+EWDAy~&+_blgt{ z@jnEVK>tJ>-@=Y>`d=rOY-xa`K8;JD3iXwuj7r$@=i zI^%iQ26-5M}*)D z_A%mI4>ypne?&4O29)y8kSYd&b*;k<&9GJmGdAaSqH;-0TLrM^WR3HF=Q2-VxWnmT z=7@Rn*GP&Q!M=mTpUG~o=aOIF1s?1ajF>hd2BfIhyy$THq4;Bzwn;G*X=~e5zGv{#a z-Nj^{a$Ar!&)Z=AmnT*`LGoXxOIOtUvO;IkJKk1ksBV3G%kX^oQZ=e|}v#L*0PxL9vQu~+_dV+jJL+%ne;u>l@4$-V1Ns3R#VYMQD zf~uOUs0-VMJ@t8h5KD)$!~HAg#%vuz-k2P6sRR$aO Jreq|1aZ#{}HWSF^WZx}F zA|CHe46h%f{!xzJ!_b4jEmP?c0D)nUnZB3z8&h9F1lE&IMn7HzD4!+6WFF5ayg|bY zdey*pQXJgbiJV8gmDScVdP~;dy+eh575YS|5irwZNXvL4%5wqq*~&r?=V*;+ z7E=4)scB-LaXgXhhY0r}JTsVZ3k%X8@1N80&4gMTsah{T9!FlJqE*9TpqDuy<8dVT zbVzjDD}UST`lYJ**enjjjl1~R51{)fg$qxOj)bK5i$9o{ZFznSXp@!G*l0d3>2 zwqSb}%$XnbYm757}sJ z=N>?uCj4z^0rXZdh~oG?__dqX=04be?jq64k!$P7tbr4+h<```l^wACr>rB7P979* zLYRy(vb1NRWrXT!TT&XHAb%j8Gx7^(sWWFWP5d{U{^b5v5ht$aV$BAg0Yq1v#Wu11 z3F5?edzBE^!ha8g+vR#rcF}oo#fAT;w>fkVB&x2|uJl0~_i#`a8(iLj6pv=9otpyR zetj0@`Dhv$DE%?P@$1yYQ7)Y!6{`68g~TJ5J_oW*!vG~0QC@OxOTLmn zj_VZq*k*(X_l%svY(;G%2LBavSX(%9nX9ZxjR9M7p}fL`1m5?@r_!2;cL(6V;4=Ku z;2rBK|KPCjfVk7(W4=B%xUS0HY}Dc*RoM#yx_Lb0_a3-m=f+S={w`w3^&i}(FU`d_ zZ0FQv8e59X=G?F0Tz6A0gd%3gk`tKyGHrWg?HsU8c~vO`;IiYoTYa;`p2>e^F3w!0 zi1t_FkJfO%ig(_Iy#NGPdDw-CqQa7Mt;)7iEdbT67A3?}#GgNxSjU=~zxUqPHyqlv zVnd(j!&%wDWuq*wmW|KQcP@>T&z0MthO#_?qxYmT%;tUN`_=(p2?-UFJg4Bt!&gh6 z`}8kZS32F`u&E;NKaaT6ny3jtyJmM&!e-InGUhzk8!k}Ut359hkv&fQO&2War#uu_ z_0E~80t2(l#8ufrLm8@xo1vax&l_a-d?l;C>S54Pa;Lu4N{6py!4Rb$+?>{&lXc=n z>+_sq=ubZJson?b@te)L=Oi;4gs#*h%v*VmvcbNS?s%4T1` zxJb$XwXSbpdGV25GysCWQs#Fw4;2pAIzy48pUFq7->637=>;JYgL>9OLys!hSWfiu zO>+wt%-!m>z&et44b`$NSE4KCD z?_Vw~07k!kr49$5+?VB%gu9l&15Rr+7zqOkdEn7#62|vdb;B$6>-XBBoE%mrbKP22 zVrwk=6vq}z2s0_b~Q z-Z(h%RL;DF1joAe^m8OOOI}L}|MhMRDQQXrXJvTzS)4J0IQxz8dYnzEfKaqXXsBhR z_=u=fh3Fp}YGU6Ml5`g~Vv(WhX;&j6RcVk;+N#=b%f`WC(2Oy~S=Qf-m|-u%vgWoS z15lw=uz?v_He(OXUob@L*(l)F{Mb6T({ILy((#1ritl|QF$?=ulCn7ZLtQhTr?4In z8+H~YdqSytmOB2h?-cD&PySjfC2h`f>@e`_fQ9of`0Ra;4Ms6`k#6t)esC0{hTvh4 z17LsG*K?Cpresr$AqiLA2_{K-A{MO3;0ojEk5=Kf3CU`cWcMUkoa$0Sl(v>Vo%w%sNvFB_M684VppAR}xp(dM!W+(3;#?K-oi>yA;rV{u zF94+C4iwB(T?}09angcfKN;olJxtF-&ZqWU-cff=s`N>!==l4 z5fyieQa?Ow=<;qp!gyetk86K1|#@-Fc- z1XOk%J+QUa%AvRDLQt{pR!oCUL8G|JTfrmqcgkDQ@8N%sgv^&UEii|FAH-Vbz0_#a zA+vn){cue7>;r*8Yk48JA#ru6zq1HnY;`7n4ykQLU2WzRWSmT7H^h_|fJ}p<;klm! zGjE@)c@<9YBJlj;+3Tm`WC%&qU}#@s&T`D-C?NuU36Iwi z^mRc|Dd&9KiRT}3znmjI26bBs+m743L=Tytfud5_5PJJacGo?{%+51$mN+a zog>7A_#6`kWQ6@}^4eAgd`fs=vw4K4P{wjL-VyN%AzI%M`3LBX31AM3j9- zy%nD|@2-UfRD4F=8jxiUh;wr79$;E}P=xp=DrD05ZrNuL)jZ#f2~oRy z7qK5oARet6a;r`QvW4c=2vz&|EVBKy+mVS7Uf;8Yyl{V$ zNy1FJ2_j0a5z*)x6yt>Ac%faPa5t!-(@!eF+{<;@Pnlb_*v;hJF7q1sbaJ~Kn~gj7?PEA{eQ`mM(0oY`idrS{ z9}(4QN$Z_>6u2_yqF?#Wmdp{vuLlH$=+hD}&hI&*eYr=FBP*nFI%1QFkNBVrvEDF_ zq}@q+C3uOTAXb&FKtoMQaahD#x?@me{W_Av92yuwN?VCqKi0*w8wwnrlfkH@80!eu zH0>DdCW3dK@r(=oH!y&wcm+~%lPFJ33XFuzzgaqNxjnxH3|l(JYmeQBW{{i=pc2#- zq;{3XB|g^Sb=_}^iXR>lg=oLUjUqizXZ@qGm&&}1NB;@E3nmOfWHil|oIdYF;N`^L zSIwTQ1G`n2Le|6m8y9Ib10F1dZEuDQ&l|riwq-xw*i%7&P+eWMKJjJiVjjlDz3PwT z%0iD{gmE)M$=cd>;nL1nijEQJyLWy;)|TZTn9iGxA4WoM|JHoAk|nPCnAet4L5mNO zoJVS>0|!|>2xW-#iVfkI#Y^7DVuXgZbDz^Zk@UsM!B%&e2Lk>Iq2+}Y#71c29yz7c zZ9S~TPu^_n++u9c^t>fjb7nC%l-8j*iWHATFNvj?jY|c$Mc+h;Yf&ks1FNEeA7v{x z{nZ}+kmPC5ub>e|3VQ7NGF8EaG#2!r{TFT*oXYLCXp1otPtcsoZa+-~9KDO~Hla(^ z89C_?veq{X6JlHaka(>!DwacbRS!_`P6!L7_j7!_>~o+q7octDuKM}VP8YJ1v})EO z|L<%M@#;wn|22y!ae(*9M;P~8?BblQV@MDiZoD!3%PP7D7Sry(pEo>#P{F*@iuI7d z;l7xfQp2$@=0@>N|8AvU`r~7GPWh1KBCQ351~1B;_~dkhIm(@K%3!Y#*_)g$m0I14 zE5RhK_I;^k&VpnwxpcN?+gX%KHIXsPP@5J9WDqUs?zSa;cypkl8ha!LLameqAa$L5 zo0VRMo%=e+>MNDkP|o{1T|;BToR*dkr`m5o|JI1&oGauH!u4o=zO(A_;T=A3PPH>{ zrxNf!5I)JYtYPK~3;lQ8XElKx8F zFb_|{M#wdv5pqj;9!gm}5senS9D@#XW71cL8rCSUu@9a)^Z;%^&q7W&x9BY4pY9B? zzM)sF5qEY^uIEkK=#qcx0r|=Kw-?U=-|6+)r%?K&HcLXHXhA~jIG3^H(Jx!0B6QMU zKOysh569t>Ig)t=Fah9)uWg9cmb--ToI*S*2#)Yfu_RH1b>3`RsU3MO7=ZO1%Vtnc z*!<$9eEsfoVYbtR!-Nc{yM(=Dx60@|qGU=qy`rz&wl{b{n%BINQgz8o(?^v7`&Q8B zC#6!$dp#AgdJ5v-6`}8+&I4^9zZ%p;NO*h%GhJg$nlu$_Lp<>NobfKsBByF5N9-#C z8=2--ty39GW?lKDa=jCtAb7dQ*zENU!*-UHxYq3`JbMwRowkh>aSpUT`BBBT^@dqg znnUL7=&XD*gj*)Ah4zfS7Sz*_iZc;Oaibl}1+Ni9$oxiQJ=X#hVTm>~Ot6hrwvC|? zM(4C}-f2nfj9Mg<_wdOIa#qksb#>ER?h8t&LufzLJK%c>V2fd;#`;>vR~+K+y7-P^ zCv1FDD5=+vDh6%j$Q{=4I2{>eaeExr;JJ#e#+LC-d#{PdogGjC!?XBUNVCr8Z@(_3 z&!()NpWezI`NGssAHEtS!pSH01K6RjaamoFcKp|$88%)0;-2_1Tyud&fK{M?_rgqA z>QO5FH*<&&4ek@_VI)f$y3FX^cQYHHtbER%PQqPAAtLC8V&JUz#t3J-wM-UP#8gps zYAX~M_RL%eWCW*y52Kch`GM{Gtf&|V$Ho;1bE~=S325tTZPfogB2vEid5Y?8`h&!k zQO`)0PK}D|%VLCwN^?O?)djGZLkDHPhxW#9E&KJ#o0}o@QtnI;@Y@ZD^QTZ7Fj!>; z2b5B^52thR?L_Q`7XUl3dmY8UK#%vIZCBvULOaK05VLCTqa~E3L_l5x$Jo4clpYJ^3-R<`m+lX57_u zW;du7hP!YEL})r19TA7d?n^MoK|}=3jUTD0_6u>-xXEA$n_^V6;2^;ptTXO70BP%iV`%5h;#L#NGHn(^)j zm_rs<53R5Ruf?liyxL7q&GL810?!e`JTxFcH>}TKrvG~B3&vSxLvneX#jmp_w)1QH zRH6i;IAUQfn*&~912uiWq`%D2YPmN5h1~91q;Sv;t&#nXQ0hNEqL}4~2+kO{9(n^U z94>4qJR+PX#jiBm4$N0Uap;|_d+DN$o=sFfkmrg06Mf=#Iv8%A)cNKwZ?)vyM6*cg2*@;sLZ4<2M#R);^0mc1^yEDXcZ}1#Iw!l`q!1^yk^_zjtu&q_$D#=O4xKoc$5A&mT1ays4b`8O_Z>spJ;CQsuXIoOo6U z+};a*xj0s3cs4D*{(TfI@P?k$57d#*KmKyZZOz-%Z z?6gf-Y-u$g*JwHggpvoY#*MP6ENjV8QC*t|rk0U=tisu9o`bJONWl9(7*ZZzWW^wL zS;cBrz3N>Rj$Paqhs+f6lD%d)6H(|huCBwENS-N!%^BWGvJehE91#y=Y)2LyBf4b? z)7!;M>Ej1xf*8g6g7*5<{z$z4*b3e@gzNaQIq^v4J%H9xw4>oYV^TCU^5?Zi`yZ~# zs|q+WOp%82Z+|?Nc@jzP&e>n792grWpYZofF-+i6 zbjJ7~HlTEl--k2`ZdIOep3NP4qYB9UInEiaU0ieu4|mL# z!Fzu zy1f1PiW$=U3dstNw86k=w|&HPaONZ-kzfqrr(2IS^GB$^a(3nlPO7I#RsU-`&UH5V zSn*J!SQ}>!z}Puq1L}C%=~R#A6yf5pcgVdj8#=ito6-5B?~3#LnF)$;>6$mHN!$Ge zOG@k8_?-0xIwYYumS%j}^s7f~>lO*+oC+7buxu71Wp)9$ST7=bUy@Aqt&vf>bR>3!q*pUto;hEeT?vH90R)>R@8 zl|Q$i0We-uRIC1%-OC-P9-Dh-93Ar78;Ha&J_Tn@#3>!*$1Q_N$}<&rB>!+C^;FOX z&Qt%ZM`H152Xd<=f6ubkKpRkStbzSoBLHfW*oDSjaTl!J1)(J0G_LCcHGR`Tw%j2<1XN7F! zVQM?6zSk<-+GBhw^bfwZZ#fiCIQ=}9583AhGX{a?6V65c!4QwyJGgtGrV?=)=L;v7``dZ-HkfJ|_c><`21_2|h4=&6D2y3JnH)FEJ?W|PM zyP&Y!oxaK~0!FOPoH4W7kL4JlOh>$wpmyHzt5lv&;~BCX!qc~SJ;7rqa7-E#r~>zW zxEldr9xkpg7Qd2c_eiA{yGtLBe0(6kC2Va6Mcl-CCH5c8X5Bi|_k4ZjaGJRF= zL5FzRJ}B%x_?xBm=&CaDso_@H5YA_u5^60CdfNF>Jj{}1Zb9|IdIQMwOs=!J=M4`b zh&^xYE?1}b3;1xe9Mye_V*t(4h?*0PuFxbpd)w>4!;5#^n!FaFoiLibKu{VDwKfR* zLJU*|oiwz%cSocW1>(Yl7P}vT3g9)FlB7HIF>W_ih3*obcED~LIA165lAr01&57s1 z7%Q8^8x(d+mCl;}Y6yA*d4;2D5Ymm4LfdAcqbs>o_=aMspkGc0p^irk&@)TwqNRGu zBGt52xV3uGGqHK!=dm~#TT1&sRqerzHQ!=}?48&kc9m|Y7Iu|MN`eM^7BQDWFZ_FF z30z9ybdD(t_o%xFIJ6SnB>i%HBSyVE|3%Il&FD1cy5wg|)C>rk29J@S(8T58Q{4 z4_+`mGp((r4ao)g_qMP)$w9$Nj7ePmHW zF?#C44n!$5)<7nj=#L+-RCx{k3DBlIgG^9ft_dIiRa-Hclxi*01+ zK@#7vhi9uhLfyGmeL+GYztmqj`mR_dZqFp=B&gwv1d_CBBlh}%Fgr!KqP?w&%)tiDE3n?92bpxG*5T&N0cJn4?&UT(?lFO3I<2vKRl0gjamWGW8>+P9^>0p zQVw2vuMM>$kuUpiz5{K+AK0~IFdf6F@4%^g2@35LkbSzac6kFW=z4Pka8`Q{FZfa{ z>vvuy&-i;`GiFKt%SMn z`$ScJ@fGd;=aP-vkpa2(nQt%4senW_$$E56uJ!?b+}aiB;?=sl`<7qGgTYg@8~*@i{p`{lrjPmZ#d_$JeLz;|420;z8=8v& z)1Wk=p<(Su?^1RB^jdz>UZQcgR48vKOh|w~=I@WZQO>ODgD4bf+yW#4e+}K_wYPJOT}zC+0^~@ zQBm*dzXwpW{dO~4nO_DU>{L<_5msa4{GdyorQ~BK8JJTJ-a)?h;?~+g98{28nXPfb zl(2CTOc#1_R~JXnTmjFZ^wHMThm?dRHKBUmQGh4aVVT7nKwO7007I$&^$6|JL2_Pw ze{hxaTA?uw(u&0#k`au)@@k0cf})T+uREKPz<&ysUXa0RuZ{Y9)>7B+J02zIpP5m^ z(RaP~LFd^@0uT6^Q6GyDLOBQoz)mI~4tC-^Cd%On{7(+31}<6bE5dz5 z!4=Upf}D@SR6CqvE9h^OM8o3i?G*4oz2&QzWcSdm+Ajk{2d`2lW`NqF#7l`_=!fbB zuI#_MEdoSJ9lNIQS}j$kLC$k0Aet5F??-4oD`Vq7*bU*A-su@vqnU8l)IP^swT}dj z9ZuB$%5{+hu}d*0mz)7u+OlZo4(;qO$MnEd!40+lr=9cgW`qC!IAYe`wW>w&)GCT1 z!>nq}QmRHuYqbb9W3N)J*YKaXA;J#c4MM(l)9YF8-Mq~HHEEW&Sl{Ce4K2aBNL$s>8hKHKY5he6W9*b zC7+G&{JiZ-W&sn~UqV!p?;91KG9qItl_?k+8H(vAnUpsat(RNGi&VxmYCwG2@LHiP zuQ0gbbX$b>hiYslFJC&Ccpt1Ih5VAb*y;z|JIT}SwZocrY1+PAbkJ9NjCYJG{=EZF z)89ObuoQ{8AQQy5-dz7hf4<4flk~CA%4` z=FTY7`p{sqCw1toPp*5K<@RGpZ`dW|B*E`U)g5ksv$vv?$wVAc{Q~m<0<(8xf>~i| zd>`=8ZF@2uzwxR{pOwLsU;eQ@ERY0=#$DYfI+f&rbYwy^Jr>LSqc;I`##o-d+&6~9i!M9vv3BWXPCu} zXWP`@aX~|G5k`^w(>V_Ckmgr=Ba06e|9s4RCWPvDcdUy`^g)#qI1v)yHl?ij>VW-c zEt&Bq@*;@mE!YghmgDxq=j;_o$x%z1Z?J6b?^ne94SJlK$vb0Zc5eXe@i-OivG?4X_UM7%eg3T zl8)-JpcT$ESWQUW4S`e=dL#B{f#>M^r0dvWW>Vp&dA@%xuuff^6ir_D&&`u#37$|q z!H73cp4XIbsoZ0`1q)P%DS*>IkmzM3dn2+yHy2&P84#rqPa>bPt{4%gGEPPMk<}{ z=fAvU90e&%4v9+2gGZcQy~&?@5RJU zTa-PxVD7oxCs=wlFRaTUZErR%A+47nQiSIit_Mw0<#FezvUEoB8G~{+{w+fq6rUeh z7^7fwi+W*?9IY3rkX`Q|(+$|s>XGGI)SN1z8@t?!^gv6FYv77ZaPEFxVAP@2u*`bW ztI=KEEOrpnIlTN<3Z0vrCKZGHF>%}K0f8V2S058+ECiD zJ#Bn`rpCKK&Sh^}^M2Rpj)(Bi9<(kb2CSFnkhuPe7n{+e$jV(XSd&iCxpHdH;} ztJ-$Rrl9O0R(<8ZvoEV71npDewZq*j7ma+pGZznF++0wmB`6~~r%1f18n;d}Xi?~( zE#|%99$-U5<}ol$JU);;mvf>LC{6g#F%nke(~9<<$9-YcID^AQl|g&3FnhuL^wEIk z7K`H;6?{i}8?6}?Lg!b1t)kr}QOW2ih+$Do$-T+f5lzl7P2# z&NJ2DhBP+8O2t{KAHx!5;q~o6BEb>&^*P83^H$=C%F55vp~29c2Oo*0w|WpibVRa> z!>^xumD%%{T#|OXS94eQ^&W%hvbHL{nkxGtMf8yCtV;es%oVHm;yAe-EK#j}>aD(G zw~mGOt%6nYrH?2y9i|NA4NC+8$g(%jC74KQC}^RY2#57ZCzKX6(P1j|-SOa=#B^3( zCSF`3rnQu^c0_X1tPYl6szn{bf!k0Pnf%YnHa_3Z7%niE9sJXmLeq545s}(bZKGQ& z#3KEhR2!o;#C2Q3p3ruuK>`xAvYPECmV!Q@y&E&Y*f_cf=%#eA4R@U~Kf9ie&IhnF z9U#}j>rZ7p#9UobD@*O%XxOM-CfZ`Klc@nwq7ZPb$ahZb5}OeOcYZ{pe!);HpMU1# zgwJ9-G@Ze5W<*2bdVt*t`?Vd*@6{`}TI??1qZV*by_WONjL(l{b&F9)S^ut$ zt1X{kG(=_~FHOoHNr=v|S#wifYRl{E`lNXC6!3{f3hM;60EA7%x2P;ZVw)v~A8Q2z zDE&Og23_t}z7rLYGI8y$IlyJb2Ov=fC-tO5ov=1Om2L4^PV~KVS-s#rx5Vx5;I2ac z8rCX`%BiNn@!(~%_tRhd(VNgYZU)tJ*vN^xi#m$l~v>+M-SSzW?p9fqi15zDac z&Ctl3HcheaO+p77r_p;8&pufQlQ#+6R2f}FgQfYd#+x6?{G`RE&ptY{!WrO`_T_6c zfL(0y{S{-J@eH4O&~p+tSosoD=av#l%S{&=<@!2pj=AicAmXGQ27@Xd%WHFZV;*n+ z(x;Wo+okQtC`jdDs(<x8<}P1OnKWUpUK{(01vPgZR( z9r{Stq<;XO?et{(^nKX(^FD;K44-FB-=@3x-jB$!+UjO(BhZl^~@V!xTa9^H_D&WftjYHJ@=m{!F-AD6@vov!}b2S z(6KmK*;qpb(5p|N!^;KbvCRCT++R91hTKGW{T8-!;k#jwgTM0|(p7y%%sF)!BCTX% zw6wMN6N97=Q?&pWarebiqxPL6dWrt0QkNFqf>aqVb?6lPrYB0s55!pYs$1nrdp{Mf z9pJZffB4E=<->wWnx}`}tLP8$f&?-_=pEltPcjw$S+dhbk4^*FuwKq03(3l>QIKLO zT7vF&8h6>NGJcC6^8RS)V>$1ZFq~6tpqu5yUdE^XEK5@*_UZ`dz4e}#0>M>+93|%S zQAK4naeBdq^}d%g{C?l}&NndT=WZ;EPwBvM6WR85kPcGfWc^&DKpke&o$k0}Nv$z{2YHUP@Ox zr6fDk5p;Z-y%e})5e0I$uT!-DT_UPVbY;oNREc$e{ZqSG3xXe7mS5LgTAv>|oY zo{KrwFQh)__^|1X3<1N@@}r7BY0&I0xoofA4*2L?J4K0fRbn{z7J*(8uHOvqyuVgU z5vH+XS&=Roe0z_-g|&7i2s45Jb_g_;^AscVn{NsOA{f%2p-UzrYne+jHuJw}EoATe zs6F|5yPQs?4W+~ut)&rHQ*zr?599AzM^#?W_cYGpzr+9T+7OTIT4y9%3HA3yE}i0! z0T#dahiD_)GpwuPX}qMs_|Bs%LV?d{@1|J~p0O$@1ssmQN}t@~FppRl-gH zE<8}}Xp)TWo`TgA0LA9-g@GvR5X_R6?F~DA$!t$153jqQ+9};vh@ZE(PHZc^6iVeP z7@e;&^1Ae^5z{vKgd+ZS9`eSl>RjX%E+6^z4`0&Hs}xphg%Nh|WxlR;Pzl_k@sqXO sgt*7fPXC7IXmp-I;HM9#Tybv#UJrUUxH|Khn~4N1TPpq%|6ktxA1GeY3jhEB literal 22468 zcmXWC2UHW!`#nriklsXku^=iA|MEn z8X!P`03p<;*=J{WX3x&-Gk5NNW`>P|f})mz^6Kn!b!c8$GY4-UXAgJb zCo+G47nYWh7Je)z^+Z}q`tcJPVG{>$e`k9K;a7I{F2YZQP4tA#9sU#6 zdGG9dRWeYR`sy_l6bWzsKSQBN^M7{0{Qqnq<(>^~k%;Uplu-6S*p$t})9&GPIo$(^ z=NO9*`WzNH5AFz1BJSusoX!<)3-{Ie{6P4*)bzEoUq+Vc%%7Ud`w1|qk&(QS@g?PR zDBu|O@8 z9*<$uzsnqF1*!cdYX{$6qwvh7O1!y3{h`glIGh*!^*zk>U6GK#T;xc};(y=ApFFpU z{BqZQk!g7)8nV3YHqgNjD_yNYY zGw)~(2nK`tyB-g+h8!;a3N1wo_~on3|9qHxwypPe{WVlb9rVRyV&^OB9*3b)$NPLF z&F=AQxE%Z2U7rp^ zE0qEU?;BlJOp_*1vp`Lm43hOz;aXNPq|xJfWY|y*K`KUGSu{+r^~WcM0!d4_<$~VB1{Z!CI8wXc z(rJ;~%wPQJ`*Bv?cj;QYuj!K->?7*aJ60QShFR=-^@6h58oStVqVdh}@Zlra1LLg8 z)yarow@){76g*9}R1=!Acp!g&+9N|nPNE^>?37g<$dB+!9{=6s@e$>NfPb~J6%Jj#=~Z^OSs{o}9XUJ|nsf z6xAPpRhz5-=WwvZrsWV6n5Wj~q9SNq^^seD4yUR8qiRi%Epj4Bi&@FkD-p}C3%`Db zSIA2`w%*V)W$qye?mx&^?r~L=p6&mba|7a&>_vXF!;LhcO6IsDV;-+0DL2u^~NNB1if&l{uU3ll|vyd<47&ly9BROdZZl2@pO8*mu{B4YI-f`!(-D z#_Ew%#%-AIWA*mNz_`d+)EyaFGPUKXq%?PNh`OgT@{%EOc(YJtu&&SZ;Xr|wb(6Oa0|7Fx6v zt)-WGxFP?|=~Ra$h1DbNrK|vAhnEReSreirX~;LpwfJ2JJJk~nMMr|dAI3er0=4Uh zvoW31gadyAr>4m`+5v{|tglYKdug$VenM@_KGSvhKFFL|^+!xosf3tE?~>FLyY_Wvr%RN%6yG?-ql&X~J8z&5dwk-=PCh;X~MJ9sL%4#~9PyKe&b=S6Du_aOaRjTC7 z?`}z6n671*?05<7Vjf~@J78omwVB@-A6?+l1oTVOfQB=87ks7Q(ueqE&76 z#*dvpUUPZeY#;a2MHpl~KfYl%tgf6#12>vk9bIqo0ap(o)m3fp+CCRD{$bfqU7VsL zG7ZBrzKqQ^PQoJ=h+VV+s+#3&llQQdU9 z4P!v%`R3;kXX6ZiQar*kmYWE^GoCI^HVJiaJKBz}4epKXTxw!r)B#)1hzL&V`Zg^! zKI?~?sJb(1C&)}zm#Qy5OyP_a9P|>TEjA1a*QoaeX#AJm+E>uHs)xDvEKap!|vQ<7o+!bhZfnS$DXr2L}?DhN6iz9e9& z?fFA($pijl9i8j5hEb)T+JEz8u8u-R=5nNe_DcUVEpd{l88e~H*to}%^_XpRde7wW z_vgJf6IpNM@rL2-zakS^$zB!h>ObS2?W{jx^2rwAF`t=+e9-!?xUP2%OFWk6+?f34 z`SQBolX%5nx3O+8&u~4PJH-MIU)$yHvX3;s6<-)$8vl%J{+q5|>BvMa$mjM+{+x>9 z+X9nREW(jx&Dy3$LWC!7W=7C1O_ERTRGhSZ6Rm!)_@2W{<5myX-2&SO+%MB>MOrex z`~{l-=6h?;?_6(D`M`>CeezD&7oUm7KeQ9J%Ejp;r5)y;#Jya>wICPaRk=lN{$V$J&PE1?Wxo%=)lLL~yt!nWw>Wf z4)4U9sx`F0hhGYh26$DcJUeoDV;;KJu>kkg`WTF)z1NL_{TCPXpSZ^stL(VPSnP*NhrJqO-uIc`j&?>m z6>mNIT{Dnv`n-Lc@k3(_Y0xhAsgv;9qu;zVZ$1PaQ=-NtLF!d;Rb1*e&?8 z`9)-r6CkF5kiSNiW=z!XKXYRLy8rU55Gcur9(ixH;SaHL<(kgJGU2}bv|Ike_x)#s z{85qi!x7Xc) zVE^dUzOF)Db}}IW@{Q{=qr`^nN`vJ zk+aj=9j8FlNpr2>LHSSy0Bat_6wo&Dm@CaxPanN|Q(r6&teVHd2~GBc-P9w>Bl!;(9XX$8c@A6YHT0SFwvmn* zAl`9tNs(?C6N&+%*s!LOBe2_)-ct5AzFO;f-Tbd5Nh*V@28DR9DQ*ZYGZCFHQ6R zZ?_r5?)h#*8U->|oC`-|BkZuOF=HjX^(38&#>M z{~FwB^F(J5_9S+@Rtw#Hx6}Y0{b9+h0v6E43PMy1#Ga`%GqYoM@Kxg>1NZZMo{ueZ zjIyu?`YcZFPI)gDhv3FD43fX^b0~thdSBvZ#B<{L^j_4lk33EEtT6ktXUjcjhlk}` zW}A!UuLq^(CFtDzv)PqiJ78s+PGB1(nw z{bGehoNLILLc{)|fcZz!hD*)8$)i)lyNjJ^j~e1n@)a?LU%HV`MC}dqywk81c6W5D zpTvd`$8F}n%hZ$OI)Ax$x%gH75?Eej4P|hjuGn7FxePzZa-5gz`xBuN_C{^*T}k<( zd@yS=^MRKF{zU+Oqeu>auf}Yq1>(n>OcUdzkn=?PO|`R;g;hyCPN5=mrU2|etSFi6 z>+bL5D|4ED6S$u%n=aSlTDf*sa*t17sjsh31Sl}qZa_q|81nfO)7w$=+Uuf$w>u$}y!KT1i~=ZJWX9{mm9yk!Z&5=Ab%GYwx|p$I##Gt_@nJb6|JVo8oJZ8VfofA;$c`->QOR zu4r^%67>n?Zf!+yyH17HpkBdqnL7Os&-T5$b!9%rqQB;d`OW;uZsUbCiYY#vT2h+b z!X<4#43!sf@^O1<*yp=@zclZR9Fl05GQ@AMH?Lm7bJC;wb}?75*u;&+?#Ap7|9)#o zc;A#f|1+fIL2^e}RY=LPF(8pqHc@;3-ermsh&R6pgb zQ#A0Q{jgYLm#jO?6#K>K<${+W=HAYhj=Zl(=Mz$PxT9KX$YrYlWXvXBjcI}d{ckZr z`(Ro91e>Zj>D->|Ca5m?x45BHK^<<*RXO%sK#MjlUXHy zv4@*+bg=|EI%Yw=2F-9IU*9pI{cV>{c=Y0SssiCJQ}u3+uxWu@n}^rk-FOQUJ>#pL z^x?r?rHkL6%fhT_mD90#PtwG8(HSZ5A0AWL>^1S_*E7EE);yc?zO72f>H9IaC-lp; z-$dB~huu_;ej)wga}FCY5e4d>;0(Yk3{7Q*JD z*g=hpG$_$()svt@cfR6(v54%xK_yaed!NKyzE0-tqzREAIp29Sw*T=npydrwMl=FP z)YN}&@A6sbOnW0w_nhyA*{KcpgZ8<#5I)5IevU2|0r(f z0|+vn2Uab%WMqageeGHMX)XmX0YA!DXxm@v1`&f}<0PJ8T^5`V_Kbs>7@du96? znpBu&wj39u6wBsc>HQdcl@kB;Zfsy4Ws6jBly2HvLPkE%f1#o&_Z6FvYb)b_x})m; zJW=&O@mhb36czR#W5SXMtEc7S#R%<9DPnKmxYO|SF6`+K z{xHvC48v4$YceP}Mc|TkS%2@x#HW3!JJMR+T>JOe)5Q2f*V$3E%RKEzA{$|2tg4|fUJ!&$+yO4B)Xzc)g zP!6~|J>Ki{mUMSMN6mmyW&V3;J40=L!IX6FeEt_?gOTH{8#trU@=u)XQBnx(fSG?dDB8D7IR!4v;MFS z$8voyo=$YZ)zR~HMYBy__jr*n34#cfXdF0aiiB|8XAgeW+<+Rp!#MV@pq5uFd7Qm^ z9h-80t_mwKon>u5@!OR*D_z!gQ1*Xx>*JfwCyE|Q+(MsEYk#-D+-s@4W!`tP@!$u~ zd0s|9shuu%js59gqnx+#<89fEe_E_vb=ki#u#7KO{2OMien?`)=uJDL@{wqte+ zKGA;O&$mydTwxUm)s z)cI26=Xm{&4`H-;f>+{(BU|ktcCAbY)|O>%Q5YW z9baZHM}SCP1H`c{E&oWp>^IXNezCl20>k&*4@%ZHQ$I%Kxmmnd_?oM)D11y`5^yH` z9yJgjSVS&3r@?!D2uzNm-LjV`j8dN9`^z*TZC+u-M>6jc*?X-d$N^dYkz$+lpAdCQyR^aPBx^v>Mkk(MEm=P;>h8LIi|Z|4>2K@o4}9au z{SSG!1QJ8~c!WpUD=>0PY-Gy~SR1#U{WBgl|LO&8qNxU1DMbhvSyl@URBvZ2sLY+A z%~M&V*L*W-nvUZ$Sb6NCAmo-;+5h7swMuRrn8|lgD$OE{Q?ITHTR%I;C_(~lSIhTA zQbnnzD>@%t$_l)P^%1n9ZZS6#(UGJuw^a;19(;22<|48JMsp~H#c70pFTrwMBfWK7 zMY`-j`7Xr8+g-$j9ki0bFgVy|pH&3#EBnHpONt~S4JuCq{6k4njGwM8Xux8}E z^xxz;!1XT|9I5{6APF;Y`(YQ8Job}yRmn9n(1mZbDM5Xw9n6>Is;_Mbzx>K1p-`w$ zI2t|(g5C=rlpcL{cN$^W5M$Xl>0z&;SYSRvrmU+>Y0XL*!OQPVR@PP8N`;ScV4pb2 zD2y#hvw=q+@@?S*mP22_N=x{R_7&NI{q+;SK;q-h6S@>ffkUnJ`_CPBbuW2L6R{_h z()6wvsrwl@!U=`UCx;)n=&5FsbUi{Y| zLyHEC847}4FiasJ*TXJDeVmsFE1U4eW3%z(CRKS#l8)P|2NSXJ?Wz#Q@=rkB!0|(V z>9J$+_}XjpzC}ku+wK(aGv0WXG7x#Dfyl@Rl6?I$^;BK)DLB@S+DShFbJ?X{*p&4g zHaYU2c+sHHIyj0%#p~msq_dMC!A=u5{+0g79$XbY`)wF)`WBQ#1`93Jf6&fqc#S;g z+?0BHQMP737V!40>yL{zLBJS&c#9$MTRTAlWPby$E9pY61ww;TS>B0yDm$#Rvo^I4|t6;{;awfigUV>+FOu z8b^J=_O*F~AOsU0^?%Pr)bCG%8fSsMQ%b3K{{4Rs4%d zQlm%V$X={jPTlJxmFkTj3uIyK2a`4Qz*Vn@5C(QI=LTQ5is{i<@C__bhep*gJ~o#wHv_k>@cMK@T`yYY5I?>WAWDD483}G{){0o?KPD?xERG@mF27 zL_@sLty77AP7gik){$b^ONFQZ@uyQ{imuO`iw2T^EU?_Ijfd<@A+08cMX&!Ni&^me#^ji2BdgF#8epH*5IwLY%q-^RfTj*lU}h zG6}3*f6HF!rNQ=_Z)FHcwZ(8jpE2#F@{hkDmqE?zp`AUtY7f-$jk9gA6^o0-bc7V+b<+jSondw7lI-y= zArw1g{x;=6BHe`h_4*S$@u0`%7Y0%HRTuY#)PER#p$hFmvcs)&;~)?iYvrnPhXmHi z59<6>r4F#O!%9|9JePRI?!+8xv0DE%auIzuv>zv169W{b%?_PDD-0(oEiE>NZ|9DP z8Ku+OBl2?3CqJolz~ru7>R*yX0FzP;#&|;-AgQ&ITu2|XE;xFBwEvvVvnLTDBi2gx z{;Y<*N2&7IbOdx;F=aZG5=#7xmLYD}pPqh#@&af1x(;Bfuexd^^DTQmgwA2r-b8?6 z4o(sm2ZnXU!mtg#fC;|!K&8+8#Yr)tXbREslf%~5F6edbSV_=_OE<<)6nl!)@tqX2 z2hWAhziJ3(a}CDrarMOkIEl2TTN&}VFlKm@HVqXP;18X<36>B^snAz^dolUp7r@X4 z*wZ)#`&^+oIVdQ`f;7!03m3d-2=rDD>R1D$MKU^1tF@NE&V0hA!rfH=nXbbI0F-AZ zPmJq;Ppq^MEW2r4zz+^adz?>92!Vq^&SD|!)XOv<#=jxi&V|1wzOk6jTmFf9A*>bL z!~&mrB}=m4tm%jfcuz%|=uz!Oi@^nY6ZEHbg?M_h#UL=1VQnJjE_rlDcX7I`&}rww z$Fz&{P8kqIa`7mKG&#_D>Vw<3REYc?yC11x`zJzeIhgT$`#=0DkKi4HFeH@2j@VEoL&_m-RKg z#S507bWe`BUj*uioet7PD&F&wP#dj?$N8_+lQIN&BLh}D=RG8o-D0}a$OJ~q%8CcWG z3zO<4>$b2#Dm?4wca6(2^jb9#L70&SDI^SR`Wwvrid3=Re_

    AX2u>S0>^7!#}f_ zV)wgW-f+=Xj8d>^FA!vuE*rs7zdbGeNUVQk@mqAAMJJRH|5ewgtfO|F4>dX5Vn@En zuZsz-+5^n95>Og?K)k4S{ZU{GPXPT7_I>iP;6N+KLYzk-&82wINWe%=YMR7O=C1x&mK}|__4dM{7iS~|sla^*8>r&Ks+(fMa%oLqn8A3IH zu-vb#4PNP1S&A}I$9mA$$L;hwAb5 zo($6kl>EfAp~6QJQvti=c~X#OYZ1f&w$HLJ2) z_a!Mi;rp#SleOC{yw^hjR>|iVk3qmwWImSZ>E6F7-#5$OgEvF{>{ziQ&vi!K6VEf> zsF10AGf>|^VdBVx>;jbj0*Y$;^JQF25mm|X4B9#1t{QoHnEZ$+5~@56Tb`e0eZyIZ zF-CrIS#t^rs;ZOv2XNuoK~!w}kXDVp-5PKjda;$^{QXeg9Pcf4XlWQbijqz3zrwvs zovheXc@A5oJw(5)z^wTXu8~S;vQ{E2Vt9WFAZJ`~{fk!*|CwT=ZARQ=qR+SlhnG;K zILOF!^Bf(;ip9DvhtABPhz745O|KiSiTPc~K z<8D}V4GL+K1?uHeG8Nfj2_W+QJ!Wom`T^E7%KeV{6xX_s1DqN1J#`fPihZ7~p40Ad z=fHCq_Ttq1TOYgAceK3ANoL~Rb)T+qNuOOQUX5}?BC3z~(yv%3pbVynXAsYRdw>00 z(Rzl_66WD;$`oXKIPe_2%zN|!51%cPYWNDuM_KN#8!_~~ECV?GQH$mdsBa*zPX)MC zYHgDIVJQ>SfSYJe;2CBSk4`|j>-+>?Qx7T_A^<-X+HJUOn_TH1u>%RS)5%QLE@9Zv z@IQ>My%v=k^No;CuG3gbdj!R=C235_l~QQbPKrXiq^}P>5M=>)yJe zNd~+K^9TyV^LG_VoyA%e3?S%EoP5sM{P}g5-~mR8 z{sfyidwz$|CLis-Nt5J}>1FxTIbz3T+xn;pKa5>28RI^tXtzT6F) zotJvDFu#&&G>dN;Fe@tOTRDN43cus-lAWS0R2(A=IbCFfNUdmDeZanLzGM)!yGa=lvtP1|)*VJpsV z7u*|4lO}}9r%m0cOJ6>u?S^5)W`U+>Y%2;LSzhDT*+UNrF89tns$6YX5+N7q zDyB%63i^=O1@8Zjk2Dgc>YB%whHyvq^HX(KPa?1IgYcN|Vuq{02kP_gphUwr6_YbgTz_p2dH&gQ*t48d=I28n~K(aW6Nz;oZA zP`i}wdv`fAo;u0zT`XQzYeLkckGoXGZ!67yX$ip!+YN5rWJPyI?;;FbaFg$b;6L1w zA=f`9Lh@t(spUQ-)ByX{_Vn+^nWFK2StS^&4j4si7sw_Gd-|FWsSi%+{-~xH9Je1d zBs>IXun19TO~PJBJpOs#XA{S(Jb-sE!=Ep@yN!(g4-_BXn{J{fzfR-|h4r)As^4RE zHa52aADh1I8JD<>#a88`^KBrTupH8CJ$VZ_qdWX8JW@S3~97h2%jPH1)eQ_JOPd2^m47mTnu)zI-oKXcf zH#zE$*?H0Jve2yG?|RpI5?F6eG5AqyVn$G+g0})A!mN3*zv6E zGL0+zZ0+0?7;w&g78aV<02PsLuwE2mEv(ll01{4pl0KpX9+3xRj*A^??c}HIB43Ym6$%T;~KKtRShfh#m zFxat&N$k7sNF}%TTV9;{hZxH6Y_e#B_<29=p1^I2$RS3B=P3>^&>=sjGm+(tKro2ev^pdF=qFDpF2e03d`lxp9r3#k;UG11j~Yb7og`{Z$?0` z;I2BjrnOQ<^pV>&;e9fngV5R{V<9Of%r8d4^yqoWbnI^e{1j(;;s?GgHwgMgP0YH& zS4~H1?f%dhtPZSJ2k?I1B+HM^56o3fmd4IGF^p8k~tgX{S*ZO*gSXu zD_rC)sM!Uo0^r(lh+X`1!MnuE=lQ6+x@|5$zQrP|T}fSG6XMN?SGXu7{kolc9?5nF8+f-?W z(3k3;eZ1bP3{`t|^uem5?(|Y+BYS32UIF$<-wO2oAK3#SbPP}UG?6-ge-wg3t*B|% z^*kDq64s_zM_+jQc6dGy-CjxIsPJsKHWjY|k~GUDQPibSuN3^(%5E$kck}`Ly~9>N zWSv|c1Nfx|Ue6rp*Cg+vA8z*gX3Ev_OLegvp_qb-Caif_|Dj}>U}L}gdb6zBPbXu zuh~X}gRUb0xS%XncBN7=oL=564tJ{y7Z)Cx=YZRwRL%)c{Crs32l)a_%-x$7uaqcs;LhQ76hVuHo zY0K*ukHM;GZ&mx)e3EotgKrGyotj)fKfdh{EiSkfu22+~#nENgV(K3_HgUhkbex|X zn1<}|zp+(Mo%Q_UiY~Kb_WsAwFLswAUNP5s-U(E^{7Ce?uj{lsEC4){0Ezu6SiD(2 z=R&hBE59Xx@&+j|o(5m36*Y$Lp>>`G9}D@p;I&*S$9o60Dd7`^O{8frR|la>{IL}Dm1OjieVR&$pg838;n?WxhcJ9k z%OI5>6m&j0%u?0@yjQ#7Hm455g{`SL-#1r@JP9WRwTn=+G2XMMB(bbc4?vFK|4YHENth!K#@?~A9pP*K}LPOIqZ_OOnw=DJ@tB-fl?-au6xp0TZI zygV~~+rgVGn@)lS1%Kp z@{~97&$;MNJ_wywIVOS=?+z@MI>Y~K8$NGqhQ>Z3_HHZlZ2L?~pC>Z5fPts}GsxEB zmCFdC1oG>?I=PZw2>E1Z2paE>kqz5O9li=`6#jPPOJD z1MZ#7;EyhScHT&UP04i>VZa{QP@@66q)Ci6Lw@fG23UltkDG+s)iy4ZW+!##Oub$p z;7g424^iCX2B$>ed#Cs(!XwY4H$~S#Zho^sD~L-G7qoN+a2Icu6hK z5!aamV`JNw9l!U{wjml)L;XRXAl!-hE%~eYvK4scfz)WLHXb?Lz; zHlHpCRG#07v$mw=2V^) z&K7fRB}78W?A;1N46o1BrRHT`7Fa<{g=r_5&Y<3}cgoL?K6HC(@XiHagvHM3G}!`$ zwt{!Mt*jvBvF)}EPRfEneP*9-oT?={3Rg;Ssh8Z=}{`1 zbFsBJRYP?2sRy&%9Ac& zo(}64#QJmx9f}*En=nM zt~Z)}(L|p*^njxXqxR`^37d}2tZ8R)3A|_DeIoWa}x$!-mv5w zDwK*xHajkpA{TZID*w*>!s6la>(NU_ydND7W#pPm$p#-ka6aULQN&*bbTjQuGv>o9 zf0q=XWJlf0B0VCllv;z-3NnJ2aVnUU^2eE!WQAW3DS%drnc}^ubG3{|5yxuoZ|L&y z2-ZE0wtt|Ff-e+aoP>jkZHm}|=jpkG6AQ4!QT3+Efq0uCR)qr#UanB(!b+=g1S%`V zULdRAfFq(6c~06Pdx44e-7A3&!*BVqLKvob?I5%3Vbn|CT)~Rn&z)XOtr7l(pa?x@ zvtN1y81q6gC&6Bb?}8~C1cNEqKyvm=!Im`{S6U%YdWwu#hBki5(DrjYwFe8LZ+Gk% zt?e(oXY?~761CGBqpbJ>8Su;XvZ`5BSkm%BC`R0U+zFSc8-+f1n9^RZrlUi#99biw z&!ysB(lxApN@9T9eh;U~xA6}2xSG(#>DDKrCBR!TdmMN0XzD_kY$di9+QS8^YQnUq z8aAO8Paa-8B{3C|A8&YJSd}`zkVr*F!1nL*Vvx3_pE?6WNj}Od)PRC-%WeB{R z6Ic3li7VL7*v#jFr>^eh7-=1I?fcp5W{f%PKL{OFLwPohn>TB~y9tU=yQu}hH2Q`V zBDYAEP3ZZ5cYvW|6<~*LP8){WLK7!l{~pdBAj8FCY9aK2`gA*c8xJ(^A+&l_W&m{= zbTch*boH%D1E$LQR>+(Oj!$mU{pxZXtEs+!tEX^wqQqyjGndx`)&n*N)=Q0 z##E7Ll#^mnOHH*~;`wA#|uEJOqUQoh$WceL%R#g=9&SYfh-R&-c`B)sw zr=fT~!*vf7HaYoZGjvrILtb$A2vIzs6xe6+CZFr0nO-BXiE350-^>ezT+atnlBHc}-*vplC_8H9TGoQ7 zZ|NyjB5^!Tb}#=NCy9lJu^g{ncN|y_bs1o5av{gMQ$@;6fspy-Ly(%)OI+HwX&mx+H(LWY{~uJ93Y0q-8!~ z*gv3{^TS?Abp!8SVpA`hOJ2qt^V@Q*qopxM7m|a6zmj8T&abbI);}^R?3?jUrXmLY z>d2iP8+j2VcqHA6cVcbgX?tObYy#S;+mh z<>OI9N3DN8dN?|WTX5F{ew*vbhSc8g%GkfL@vEX=U*qyDhHYv`VQ|w%bwgRV?wRJu z7I6)ScN#foV#?s?3i@udlVAyF$XLG-B=e8c*d|0`PcB6DKX8ZI_{p7@t9Z3Anv;FA zpS_!bMrM%ybIL+^jE*t$upjQNDa@riLklJp`$UOm)AKL-jJ_Mn97YQF>B4X)2QM2J z!yBet?uB}`?Vh&McV|S{9t#5!j{D1DCtYaS6FLy=7g3^P<;jqELfgiwB>fBPDh5%e zx+mb-FdydMjp!S2G>Hz1Xz@}uDny7ZzMOxHv!zfLvZO+(?gRB#%Q`4rXoSn2ym5w@DT{c;b1eV{;7Vy zcp{Vb6cQzTIo3bk-RAW9y0#~i*9E(ReMmO za%8jC7NDu$WooR~YvXIP6HNeaU=u%S(*v`)0OVK@zi-ECj*dtB$uY%Y+g8() zZCEwbUPkC$=y1V_lc^WxNe|9VbovMWYBNb6XCQ5$^}12SyMApx>uY2$IiJU5_(Brq z-=%SLfBRBL`A0j26#xbFlO^7S`s{`@NX($H@x(|gSLlJl=2~00fWe8u%Y;eU+ucS{ zIBO4UwsXti<(R9Lq@X{x2@k$x%`d}{0ap0dCJ=jBGi>nCW)<++ACK=lEk&m;;|oi* zpw<{D?WSdh?&BsK4;A{!C`i*GV*ilZ0@4M@>6J z?0N^l{qp8dJ}<-7CKgOLDIzq#B=$JQ%lZ21dTUeSDu-I-Fd;)irqfHpU*J8N&^J9W zPOCzyXr)$=NtG(pLU;Q@HE?b>Zud-&(peg6=GIb4B-lAljEVl<<6Sw@GY9vn0q%4! zYUrm1jXRC0kV2qI7u>_-fgp0{;q<`EtG>~rIB&jg6os%|t3TtYQ^nkv7fL3+zqw81 zLn$Dl_`mMqA0q|bt^w#gfBgIl>N|%s(_GGIFo4z>lUjI%kbUeWPy#jiz^pct5lHqjDSG$@1O&vDIc%B6)nj7aWV~WE>s^{{?huJkiSDsvS00n zBvl)}BsPAr0w<@b=S0C0l85tOeN@;vo@d|#6yey(5BZJg z5Q!LC(a2?xg=V2wu5VTod5LmKEpL&{5`X=HqvF(szyuaV>Fw(Zwl%j^zaQoXUie2J z3Bcn?Dyx-uIRN(P0&D?O5Dnk3B=vqkm&yWjqJ8KJ*Wz04PwI1-rEnipe~SM#v}m{- zh~-uT3dxPq0iK4QD&$aP9oEVudk6=;ZZ`aVMG$b-iE3z!C2Ow1H!uts2x`I=mMCKVk|!q6c-0LEj~ zTkIbFdDxXm8+czOzBL|iwr~G(z%gn zl*%B(@av-!N>CdX+|aMLn?XDW@&t*aJ5>UkWSKoE6?XshSJfaO<|wwb=D%}YY^u)> z#=PJ1&rMcqjuk0W+A&wOr2i5buy-n-SAX2aXE({oDX`AxS2KJnQnVQB(?vUXhPZJA z*!L4iyv(GuStvYdz6@IC{NWec$#9sEI!pyX`!7kY;aPqf^DD+1;R~D(lc_br1 zSFP%Sh>(C%=PWS4rNHEd!@DuctoxhU?kYyB&2cAwp;_Tb{a<_z`M949o;u+DRo z;!*tBlP)5jEBoT{1n~^0o29l~^|;o)%! zvr7QN5`rb7)Mjv0D8(eetJ^G|j9%GK)f}YKfWwwalOXZ_$}kCRoEk*S0Vgf_@7WBw zhzbaq{193P$ox~-pjmLPqIU7|ii36MKwBC~Ah=QiY5&l9`e@_^G=0D4G?1*a(Iuer ztRF5i5t*ULi;P0hh}ax*v*MvmI{9b@w=>fXH+6j=(4Fe#haDVI2jC844w7zhCqKg4 zhqZ$n7}ee~C!0w|6E3qRjJ$Crbp%Nxp37`+oFZS$DaK+p zL=6@Sr?K<4sqB8(<9%`dD`JyL7{+`j_5R2qWnfh&rzLjv1zA>Yoq)3PcU(CRkt+T* z-7MZmHxM%6=+*S;`(j2klgA>~Df&%6(Gji}22xz;jWGouiH2k`1qaw-Pe=n<+j}~b zZ1HIl74w#g{OtzVIK>F`C>ujP;bA0HR%enve1I5IZ1*^J+atNv!3RC@V4ghC*It;GJz`vJSvm&kzlL+_RmQn*#aLXVRtfWczc~E(G=uYgFH>rO`?bqZfYRQ8;VlZ zNnN!^pJo8(n#lPT9<2;32ZIDJhJSsgsXhztZ7!2;gThZy5^6i`NJ?i% zL}UlIig_bS8X8I(ldW>8QQ=WL7bDU>R>j=4SqDa`%uTZ$t)08`S;M&=U>yXNSEe&% z4lcOhORe#T&a_8K5SK3S#!~vZmS*%x`*1NM*|8_6d*+;J07&^$n)p_Q)N1?@KSoWq zc^`2x?MlfFAL0*t_3X}NS}Mx;=`{pE>IrysMmntLlJaPTEw5?r8L2Va>9S8z%1t{s zqZCEpTs4L?i>vg5Zw_0>Zh&q{C45W6O$tlZt}1v8U&r1u@f_7u=9o~k-$@H&$qSVg zSoa~SF$XTCKq$;&CcUg;CNCo#aMclpJf!BHN{INU*HL9E)n=>UR!zaW+%)JEYuHFD z3-+TUC++jX`bT}6#XHsEjRriRPEQ^nn*<;29d4FL72w!03yzKaf`+S8H3t*<;{K#w zDw1;J-{fSJ0E9Yp_E$k*jKhaRXU@EqO~QXsfSWsm&GPu%3N4~yYT-2%LESuL`dUg& z4~*(ins};SnW%QY|7{I|#{P)Zi#e=JMd>OFWOB3o=#N&Y#PY!AbfD6oOi%`?$iU~| zcX__P4Y(HB1u4q5P7h6&d(#Ru*ubpfE zXTtyf?w8z>+;U6qrCf8JElDo9B$Bseab9g>r!J0+!aYPz=bt=P8WL;d?l6RFj7u{*21tK@y z`yeu{L<0eZZ$J*p<0Ygn*A)#A>ulw)`OUWCV`l8}VwchbYZ9 zRb&mWgC)vK5p#YP0q*M3+`4}LXLe|OOm1>m{X;Dm}@2^5=F>pnV7p)LG4i&qW?)A-OgUwIfbrglU`0F{*Y{cot7>Pd)JdUj zx|jP0K=ytO&mxM4K;hyGgxy=qQX4)jPu<{!8B`xw^XC_}6oyF=c+T*JyLiP((!Co% z>B#R~CvcVMP}C(6m5tgEwv;sSI$j5|2T?7_SXvDt-_qu86oaelpQ#B0vW{-6f8Dng z)|}4fUetI9maH;R2R^y7l}9w41tLDejID`J7fJ>ipY)*#^P#X^*kcDYzf>eTDJ8zP zHDpijwE=PVL1VIX%AA4FF4R>9$X6pdKKTt*X%V_!_Km+u*)O-wZsehlc_(mh-!1-| zWIXA*-d#*^2pay(pl%?Mld>G*-x-H|f9CGe(ymp&l!}LPHA^QIS)p^8ui|~>^>25{ zi)6WwlX#2-j<`VW+8rFpgG&V?E;rnt6@dAQ;fA`SNk}=p0ps|UiccxtHe|W!*Us!N1!cHp}~~|=BMjIxW(aaJF#%#Zv@;}Fszop z;%_oW>K^Ne(EuarBIX}VC?~J^pok2ONmjC}NTFLF!Nv*AOv$tCVac@}7mheKIYI)r z`2IoN{*9l07&*p1du0dG)udaEky>+C71I#b^B^aXZEVnj&eOfn)hME^VqHbP5INO7 zP&~{k9ibR_;$b6EG=7KhJfodUIwrbfB&Zvfhovew9O`|WLGyzoyf$DzW(rT5{re^8 z)`c|h2AT;c*?4kxU;!c`)=Ft_OWugRkiav z&fl)|A%$=5SV!NOORVvuq=c;KxOZ|Ozd3?}CBsKjY?K20wL$AHPh)n&gYZs6{GUFP zV3;aMTnEl{a$#|C+1^i$RNepz`4*I8U-cafnS&JYf%&TF^PZox+Usm;JG3se^qb8jUgB&o#nU>i}`dyh-T({;PYu+k|VN6%k8?DKOH z-3?!ZxfjwIoJ!Fuvp*u>ybTwa%)YRHF8N1j+RiDSuYY;>fxp zzay9;Rmr2U!;tn5Ma;c%PHqQ9yW_tcy}!KER%@DK>u_pF(PNsawX*5IND|=&cJ3;v zr>}D6IZj>Xeb9QPgi%P z+l2(1on$;D1tLjBc!Oh3HA}V$2dTYV#^JkNgjgl{w*cWF_c0i+;RlILpD83xb+^hm z55i%YF{!&psqfZ2`_NxFTkb)l1WsF7f%{&@R&M&~FY>30$^89|5_I-i?9~DO|2F1C zYY0(cXrC4l#!bSXfX{$sqK6shx>o6gC!LLzn-2Rl(-bv+74Js2mO>ebdt!^s{ssx9 zt3M(k9OKZX{Ti2l3#$Yy`V>7RkJo$ssCtHbpfUmDK`tN7sAJy}2;!QtZn^ervd^<> zo&p1cx*Rlci?O58*qPoF=*;jGRRgfLcrx?!CVm?+JY;86{tLkaD0{+Q<#uw4&rYcd z?wM=w+b)zgvZ>?V-a2|zFtP(7xh=m{x|1SAwhDT_-W}T&i_f7q!CTJYvzZ9P70@G> zy~MV)w7^FJg`2NfXxc(>IK8ejH7EhpCbE_JOc3BPY;kDAV2&A8$cFPK$7eXSVibr$ z623bGfz9KHdGkL8RUw1xD)@yA9LoSHIUO2mG^3`()o}pBiL_1fuv;Fv#@{U)$8?7# zoE~R?XL1ej{;K3paAxF4$8gm3-$-DS$(DUSC|BqSL}Qe&{TxL6FYxY;*RofOM4z@@ zh;w(qR+}Q|Ov)4^h>$&w;G-`k$vo9rjcb;)6uR$dH$_(IWU`DtDXZ^9lUGK_!5Kj8ZA1v` zpQ$kdn<23IL4X}Q^4bYew3nF!dY6j5xbon^C01F1>oOecEIOU7j^mqyHe<}hDmkpP zbY4;`W(7w{-5v~kw{D^q4enp_JgKv$p>2%df$!0RpS@Z)k-BM~CU-DZz@vlVZ!+O+ z%n$9#VF-U=I>R3_vSWr1g`Wvm)6wP}+Zb4)$WI61vV55m0(jEb@`&p7?xg(g)U52D zFA4oVOtql-2OkbM^rah3l;I*I_Tqs2d%w|8@a@dGT)Nm2LMXvJmGUAVaEWJIbFs$t z-6HRQkw2L)%AYy#;aT;57M1LGfQ#hWyK1WbHJAifTH7I7*#t>rA%6+ryjNwjOc4xY zzRg4SsgVQk|Jre@c;x$Djfa(~OO_;lY#bNI*<>=1&`Wa|p6P&$yY~q%#?GxC{?&(q z+;4e}{SEw>v0Vt9yQe0&6GMu3AnD5wcJ$FO)*-{uTn7Bu0X(jzNctPx8;e;G0{w(y<}bu-MOU$pES4#^W|q=X ztEpVMXl~>}=D!)l@c!L;&nzZ_hqJLciK^uWF^O1`HMWOqv&j{Mqd#p~SXqScmP)$!|J~DN?l%V{!?r+=rJbC zh@U>O+FIg^i7>ru2N++C8g2GVnplnUCA$CKtMI?Jm~57Rb@e+`WBnUhvfJJu#@wQ0 zQh{yTzCqVob=+?49TYjck%<8ITBC16I9)Vgnb9Hll%CMyz^mn+=a7938(6ED-DIm( z4`Xs7?8Ehb%lWZ7|MQ%pZ1g=LN_8q$GM{(|$Qqs<5{yu$DKakF&u+(e_zS=%-3QHH zHi|{}z3?*iISW_Seg%qRpp=aNQVI(tBX?o`ahF0XD)r9*4kOx{yM4XQ&-oc^zz~(; zWOYT3*$Uw+f2}y09e*T@J_(UjdpUgjnfqiQyqYpC*b&Ny-p<`YZTZ3#=vEd);gD;a z*Oll{(CGsnP5@sf8_wV7?v|j<&GFyfZ%^*XUYEy~T|BYMH1Q|>i5aArMI4%H13j6~ z{e@(6jE%_xQ^illh{u<$5`N}J6n<0D>Po0KcHz zo}_X-`yq~+vAn65D8`KE<-EmUnUI71jN1W- zI*9h>1atgsB0_J@L~?WPC@7w)vqsAzrc$o9EJI5Vmh)*^Db~L~53T8KKd%!5Izt5L zmWq+e9gQYSx0C}h%ITFiwyAKdm88&Tq)jKXBYxK;+P#^X!~ZARpW*8Sn8Z*0Gtl)G zk*k%)=jLnl^rQJvP%Loml((Vx5A{46Ve{S9@zyhc3=JOEcX;`GL(XMZ_SlHucN0d5 zUA_i*KZ6y{Hu9)^ZbP^LzM;aihB4`+dMUaN2&K()$@>5Gm=dIXPBKOG$n)zBwY7PgU4*xsEctNSY zzo6w9w9b{PRLayRsC;TpYTrqzZ18p~e`)uX`rE?9_!e+7iaeD1vPm37pW*e`LQ zTNeW}7>7Q~9$($>6lz26L#wLPQSdwh72avq!?ho<=+b*<5Tn{iPR+akOU_-5#m}0& za7QR0X+1LghrzgRFWeNoYK+7>Vdm!C+!9+VObZ;@$}mv8WX0|40Hc3Mm{{(lS8B{P z;}-i;Cc<;HqdN-ge0*4tx$wRC)qe?p{kg8=fJ5tR6r&i`f5SfB$=QkfiJ7=yHS9t3 zWjO#OdSm%u4YUu9>Rf^E4@~1;uxYIgPM@SiOV3PFs4;bkF62PG3UatzGVRIeprs^y zse^!Gl)x^zkj!*(3(x8mH+L(p|E+9St5hK`?ft<`uBs4HywA(QMoEm3^@$_@o?idz ztf)B_Za^Vk|1~<`WYxyGhxmFOJh%znaIMTDpxm%iGYuy;&O95kjKMIbj;A)^WKy^) z7W3pDGTaE0+e5MHZ#j1=i_7CIj9ZCD{Q6vcF{M60?p(4iZtVB2-6eBmIQ0^2uxtNl zq>Wk#qIPZH8HaR#K*8~L1V&4!p4qAOt&@R+4%nKXxSq>#+>O)}s+9$dKFHcln8o_^!x5#E)exk*0*VxFC(ac7uZz>KYMB5R+{VhAl{fNqP|m$13X5w^}JvDLu{$Ljrj<#SCr zUQbRovLL|zv8geTh6zE+lo8w#X7w&G{R|XYZIjniWUwHFY|aMC#?Xji=Tq++2M9uDH$g#1gqc z=Ck4vk&_(8c12p7R^;LAimqiV8M(&DyJ2ZccLZYJ#PT@DGy-)V@fPWW9G{EH_|7)@ zTRj5Y-nT92k~}n1gda`i3;gu%x0P$bNzK9IHzKk61Nq-u#MVL}6t)swjKk>#K{Lwv13??A^&Dj_7v7M>qcY zD{@#eF|RlB1~c&D@t(Ax{B|PXjp!*mx~)PFcS9`u3LnGKttH~Lh;Chz@UpboZU95f$ym!#r+GERw5b-KO03cGy_RolU9ezz zSkwV-wzHs6=F+WMR(40+cIcKW=3rJgbs3_JBY$PUi&!p6wV$U-dYYH4Fa%*w_}%*@He!pg+T%)&;j zYU<=>X>3ZY^xN2)n1xtXhFHVYh*-kJ(&hWkC2X*77!Z(szW)i3apeCaBmP7GJ^-K> zKCqnx64`cCdH#|0w5^VyBG?og99)jNZ%1312P1lY0$i{xMk7wi!mR=EBMM;A)H|)w z+}APpx_fpB=|8 zk3HQ$ZD9Ggao+v;YO{l=pQ`W4#5+ZfJ`EdN0KG^rFVvU%P}3W!_gML6+y9d+;-Pgb zih9|x^gf`gYAUFgAAtQ5c9R~pBM>eiME%qGX7pz@wD6>=5`hqfrR~G_fKU=QB$`$0L`-=n7T^sa^n_xR*Y8Hx3I{h78yS_TRbB(dfrdMI*S~%yI z`rGVTHwKQG&C^odX~Q@YT2D!=p4+6GfOAs!RgCWDI&boJ{(F~gOPudvA~Gks*s5AC zibpYi_Ht!KT*bcra7oh(KE`HD^j4eovs;b;4`K{bbU}x3N5j{IHp1AR&d-J>(98(e zgEApkgfsk7=e(%^Ug$IY+GCLE^hb5cyjWp^0A4Uxh%=ZKvKg)xcRQCIRgjh1tA`k@ z8X@g7Np zn70i&9mKpfQ(A^G|6*hbOo1$?Jr5CIokhIBb@}oL6E|X z+@y>dCYooj0b?m{nZOZC?v{j4mfu?{{)&;{jkc95J?b&ako-M$_ti~X%S__>-DVIZXp5iL^4vbrb(Wooj^i;wE~zPhsTEr1V;$ zmp!*-S8TNTwa8;-WdCY`L*%#ZuJ7hl#rU^6EoFMSR$t^`r|wFAJBpgRwy82m7uFwT z;j&L(`rB4ENS1V*i=Qim^BIcGsgBiI*{x}9bw1BmOe;r0qd4oVR6hdOD%foQC8#%DTX(Wd=yeJ zX4QB9Vy4xYj zmwxj2l3J%(CDcGyb-2F9K91}*ePWu`KFvPdt&XRu4hf>)Q^iq<`u9^V za#g~CQ+`uZ)3B_cm>)J|cB9?gJ#(*mR1>kn7Kja7^G2n>If+S4RncotRZ57eHn<-%D@6WEfAfZAbr@D>nZ2ONu>Y5hLXBEW1f79?N$EK zFb09v{3%HF+5t+Qzh~HfYLu@et!$pyNH9$`Ijp^Vx6n=pN;E zpxi!4e<<1E&3JYF=*?-(2$e`}M01T7SKaONtT+kdk8duOQeQ|Vs`p{ljiU3+t2GN6 zp)*nA{p;>Fq9BOi5jk>O&_q`&;?6^ndZC|HTao2_ z0P}vG3;2?2fZ(E=cTjYA1`S(XinWOG*eXDN90dDgn?<(!X?u+l_-T8GJipS}D=O&L118?vpTDg?8gT3E5*aIF^5F-xXKoqFVTTRP6V--}k7JJDguG+kIVMoP|ywZx}qbnoykuJ8`yN@RF5K!)~o-uJvDLVrSY zDkRda%KR#$Z4!ckbgYZG?x=_*|I~G~b_BvQfD0TOoY}AGy z|Gvkk%z(Fc!UjSk6*`kqzRtC+DY?$IWoT(0SI6Gk#>bBHR)`+wrw!{@0O}vz0MKu` zjn@s>jn^fdozc;Dnsgto4X)^+sD@;4WN>k&(1`V;Q~^eTU` z%H(85gM!}Y! z!H`|uyEt|lX%lcd-hjW#f2RQ)WH(mid>&Exfr6|@q08`qp}8Vz0IC7+1NmRV!Q&x0 z!J^TD(!aWw4YXu*QsQ+gLD#->K*|+AWuxN9Xwygq^a&#^XWWHMHFk*Gty{R+>ga)K zX^Hnb)$i18mgja3(nTHKboMaqGh&8pxx9*Gq`A3Kh<5GM|0Tx@gVLxHj~12&>73^y z$!)RlY{#1w7_lEPkHO_dMNbe&jS=MZ6mp88p)=Y?+2yy5KK)Inl8VDZHQ2-Y8GX^Z zml&r@u#lS#O^j_UPb@QxdtHn>DYkn#dFrV7Q(_|6#3s?}@bPSe?;@abVQg-K%aWne zu(cO6Li!mRp9UNnC`>1le`6u@^U3o8d_$8Nd*@<;UPEoMbRD$Nvy*?Al3X!Uho}{p zpv)@Q`S!A|TTC--aCa1*3YE0?0XeX$H(5ElI9Sp`OLTBU4aQbxivkewnoYzGHY}!C zz<`+EiTr%I?{_tpu^}?vH2o|6k{Rh*07v%a+=3G)Z}ojNGt3#5v<1wHz-l`!eF8S} zNWp+OmBoK5a^)LFYBPg!2q?KWwY*w<9f-p+axYu5mr4q@PGvrj3#@gM)9?_J6e*}_ z*tCi7$ffzb#mLWZ#}N1(g$omsG;MP4SPmJ*>O}9Y{#uNevYd@D6hCK6ZbYiz)3h-I z?jbrRb>;M0R3pCYQSu-?%FY^2!hVAHKW0Xt!Gf{Wk~Ung%$lJOVv^46LFGW#dE!YC zY8lrW5+P?JZPOj@th~RVmRW;H_^<7(fiR%mxXaI~=PY|C1rXXdC%5f z5<;~lWm4MSsQiCiaQQT)%}P*rdb6P7{Jy0F4rt zXC9`u9~|NS)Npv56yda$vKcXEWSU(BV{L1AY{u<;eCEk8CI8Y^C$zal8)q%&)w^28 zl|jGInX{vPeL4l<+FU-gWxY@*ch^IZfe2UTRu3Nh79Q-@mnUUy`!LlMXNzf?wbP*3 z^riP{@CQKi1AH9|{!Q?XM+EKlbh(peqsCRW)nLEap{H4X*H=EX?^ByI`}iG8jYFKs6l}Px zdB*B{IzkMB9&%NS9{g`Y|0V=g3$MUabI<1adb2?jDIprE+FXJkHe6!%#JDk0#?zJ* zep4BFHCwEYy!;9$g1I!1PdWLSh3GChdS(910(uVT6-EFiYLpWhNY9%PXv16h(i`Et zAPk6L7&v^AIRV3;;T=KEQ1fI1SkUmbEuSi#p9pHCz9O#Rshajeg~{Q={`;AEbDlk* zs!-72W_f^jLvIU$^b;+I|x2*@T==Ma6vIEB1WJ;Yrx^$>i&hil*mQVLy-EI;F~7i?spMc+aylsty(DQt*ZEvw zR|Nmd3DWyk7MK6o*mFi2pwTuO;C&MrZDSXP<2hy5^$;DaE8nJDV1BOE_>J2-&leqk zq8dNO1(|N(WrC|Lc`Gk1ZVGJx~+c&+d7Dbc{3PWZUR-K zPli(d=h`>nD(8P{e^Z8X{^e!3M#EnXp1q%}ghwqB!F8(r9LGuCH`{gbr42LKf=@j~ z>yn_e{aCg-9izNO%ckRaL;9L-b(Z`rwG<=K)x<7oQ38VXr+WoW^T+Q-9)(-b<2r6H zzax>8Y{94rn{Gs?0=sPT49(_WYU6g;IXr!4bUE{F6f0DBeFXbn`GpJTBtQm+j&yon z16>7GUR4t!ZfB1|RL`kGy|GmakD>G5gJ$5pXR=fk5Sa2$0r6vDWKBN#$l4=BDEYTZ z(@$97(y7ZQy^mCkp^S(QB&xd{GSnDV9=jZk)EHy|`VRiL(RAy!U<|vAV{}6MdtMeNu-+p@UQY`6c%yN!eGa)Ybt}0VqDRN%rNU$N=06$TCL+(g zVHU;oh|Gf(6=ubNqNs;CIt})QuF$=Sq%o+3Ntzreg&&*e;-ce{EqZ4haBQ|L^Ea8u zQvdW3r{fXitF}9TTScXks5EQH{utjbd^HK@9t78Es|WWu4bJQ+La9oF0Ap0`7uFn z*aamT@km%k+~P#7EKPA38cn7@uS~OSZ8gdQ{q!b}){s9pZq}E|k*Tw6ciZk#u*#k6 z!69uHp&_1>s$Wm!@@>rH>KG~rLRWzV06bAC?%pMMZfkO0Wgk8;Q5PKmX zxyvRA!xq8Z-ljeSV|DG~UO#5;y_KiO0R~#g)dQSNB+{Qv9P*Bad09?AfmB3+M)(I!ucGtOItQIC zuKY2zfS2ii1V!|fPO0oz26E5ddhTD6^(T`!J4gZ;Ol)C2bR4Kmq3&2WC&i#GN37Z z^k9*lGEoCBT~0s7l+~jt1NAiE)-8e5tHZJSC1H+$D7( z+*Cx4@a~MJ2u=$7aE=Pb@QwkO$>d)qKcjVe$3IiSVBFD>R zUk3zItrALfKRIyt)dfa@8q$roDQ$p(RRj5)!E%Z}x!%rGblR}yp4YwUa_aKB8R|Mq zKS|2rbk+4_ke_L{NHP!zD{>Mz`^5YB-0EYQF`Gy>~4(mhjsAE z$%9k>%b5%rQm79eWE5A#NYUfkcm51 zz3SHky|v5q#y?`B7OYxbdlRa*R+zY~KvqDd=R}2ex2!bxK^N52sP))k3$yS(J7x{M zYtZmne7ffXnF{p^NjgCA88pd}1jp_Mf`|N${oArhf)wIHb{JoQmfRFCb!ix85(eW) z-H6QML$0U((vX##*WHOpk2>1aYSi|A&$$WCleN59psy`9mAtSF4ZMxUr)u<% z|LpMMqw3)0gTCF+X_oFWRv7`uYq{qFn(v&d&k*gHumt8EVv3dfD=zgyg9D?vkd#649NQS2%1*$ZG^^1<^@hs9*;dG-VNE7 zcK-5>Vs^Lp$}6gzmvo+t5?;Hsfg0+k3HbZBPy?YYV+ZP-c`^jd?aLF zo%HcMf(km_(br*wG^~*Zr82>mrPMhKv8AN@S`|dKzmpLh+vSk~pnsb+FUDuEC*emMz@63FYGZR>ty?!16LN^8opP#vPu~H z*t7dd<94u3eC%|VGEO;*?cvv8jE}yeoo}sFSat!Cw|vVEZ&#>HGKLW?lF3|lx{)Pf zir&Ca^*Y9!C1!@U2;AzWVvZ9*BQy@1p~fS8{92g>hh%}OI$51u7+Jtb>3=iYDGR z8T_8G@*rr>0;ct|W`U+(0=@dHw8cC01%=i4^rv(4q2ZdgvD*AG;7g9?pWv6XYwx_g z6BB@N+UNFpcSDT26Pu`>EqZw089n+{cNw_5+ju$_KtP!zF zXcbK!GyE1-G2HnsCFq+&^_&}AIj{VM^mNdHLUbh*yw7)|6~^k;cFD%wF0&WjMKHXv zE~sm~c;1cFlM9Xu%Ec3 zrV|eNlzpq|w?pr0{!SbVAK9Cvzk21;6GhgKCCe&rMIvL>;|$OL#lHw zS%&$gx2m5_wOXU8m~P6QIJ6?Nc!hV%gPhCf=#BQoe_wG~NbL>h@+2~~!d>nIPo%0o z!m|D>t1@)zG`;ZxJ9ae0fo}(lPz;YnBT*do!y3L0ir(JqFN3%bLd)+!0a4J5*ra)m ztdTwIT+Hi{n(Hy2QA~Is!g<&y|2&5J)RgYo%p;;X?cq3?AXzJRl3DeL|LcsP#$K1| zvN$~X39UT+h;FoqUGQQrtgG1#VGud=={=LaJuJ2AsVDUc9iKmxPDXqVho1=aOfm@l z^u#~8s}9&AfVz~K*)p7A1ikzj)C}3!g4+l$+_9RM4ZpUH-T0W-HJq1S`Kmy4B)bke zzN+iy4G!Rea-R4=9qT0fxj`(*pIVnwr?gKu?Yv?4FN}LJbfDs%_|#tF6Z678nR}^+ z$n?U68#nN!!c z5LsRg%ZRScDC$#)XJ$IgO;$~_(2|c_NWs?f|C}*95tyJ;o1{4g+u>fbLX*>2^O`8m zR-S+1{+`K>M&?{-jav`$s}ZzWKi((p$vhn#E?VT2$7+tZnY<3I2^|7XMTSGHmve=h z@{KQ37_6E2Oy`GA%VMuyKg)(qWLkvc*M}CiGmT|Z=PS}Yy*!=dPWAk)iaRO02Xt%# zBdkp+1RoDQ0EeW_v^gOj`*W@EgfhL;wtv$OJncB+l2fCt-*ghvfU2T;0$ITsxjO)Z z_rD~f0d$t5nQd&exITQRqdKi@yfS^k##_{nePrlU?MoTURO}0 zK)l$HDG7TYw7b`uM7j1_K`ih}U_{P8Kl`%6*-%o5ml|bWEu8euRGsB??m?pFQxeLY z++`=tbup$VF$$h&5iNH0@eL5kFvH4&=GlQg^eLule*s zV0GEn<2{xg;4(DioUbjOu9@h%{iYGW(44yv+gG}(9uW!eEFXNw<6vua-QBLLsUtu?yxh7>CV}prz zt3R9FtTcoK$|GfsmgC?r|J-KlTEH)@Uf7mYk4=#ucdJ^Nt4L11t|TP9aMdh?(fUOA z0hMXzhf?h;Tp_bx-wL_}AFFlC%H5mf)$naE+RyYjS09@D&8>Unj`(EP1?o&y z^Yunk4_5u?ZVuNUI>GF_a}o;D44lx8wRAdK0p=Y!OX`F5i|yo7%WM!VJqMIVx8N+X z`pV(POKyYMtVmxxo@k4M9|@V9%N{;uTk4;cdlh5vwB7C1D_>PdWfj0i+Ej1pcNHsX$!Z3!Nj5WCwR!y*m6{E=%5VuQ|N~f?+Y@C^#oH}#Qn*0 z@Fml_E1|DuF>USgnT3QNm9gru#DQ!!8Y_|b_6{KVp^%r+RaYG1%&~xwYG6g_Ic)V3 zd6d7^d&9PgG5tDZT<+-Kp|=`q#aX#`>7MnsjV$$DNo~1YFoMv z@1dhK!F1=lV)hB_XzJ0n-yNo*u72u^#&QIV1xv-gN)B4jR!k0F>hh&53*R}5*VH}d z`^2k~>tv7nS-0kyfsw3kYp8j}B>~^~y{SPx1&>hhy4D~Uo~s&*wVFZlM7!Pgbi~tI zSBVRPw8@=gb2^@oPSgBk7+dn*6lO; zJRHPO)!d(RR1xW_mRnuEJ}=n%7y&J1j1=lrQR6(Y_WL#M!fxF_VkcUSxz3(0=ZYiS ziLYX<>&(}(!d2RUr`?4iznqA|)jVa0zKqWAYM7ycpYa{bppZ0Y57tpOCtDkLn%sT- znDeTW&`d_>AvumDLQb$<|DeJu?AvZi>Aegw7(zIoGLX{&U-w(UmC_T~>42DZ4AlOY z%MTSQW| zugBM|eGeVMmw^!7SI1jP&u12c#P`(4Ox&C9N&ohL9hlt!lly^p$LxBq$9FxOZxg_! zz5RNjL?OQY9@(CXEmH%%n(ExK^_tC>);05^GmYByq5A7cRyM&z;J>-A%*E9A>vDT8 zLB_AHs%_KU3Say6>$z>au5qB-Iotb7`LMSyn{lFRvMQW4nU_Cr9q;0H2glM1QY#($6ESA-LC`LkN0U&g2J?>LCX+V`lXijB@VyG zw(z!`gZCDhb*c)cW`=dDOxZ{DJ5qz|J*FDJf))eJ?Yoyoo$E;ZC82G-5oB%gFKTVw zla5N+pnMYoj&S&P&{=q3 z(U}2a5$j7RlO0WdZ=Xhzqbw?=SEe!N&m*I#6_wyP2EsKNHn{wY7H%AP#QcvakmD)_su|>*k<3#(V$8< zmo+bx{Rk^+SF`yjKuut7CyGeNx>iVEjw@1WMm!6Ly^@X4#Yhqzzf{L zp4mdf->ple1HX|Aw{cw9D^a8ay%7&#jUsQ;Vn!c%Eg9;PNw{MyPY-%+5$aNVrWvo% zT6q2UjGMn(j7Wc`^1e0V+G3ub`Ajv~B@@D0avp)nzyryEOG&^v^c75y8?uEYPUE)! zlU$G+mc=l3BcHHWv&cJ##WaUSO8_QbqmHnS$&4WK8XKa}RUlCqUgLIvTlK&9?SFih zGlCFn!6NT47UKwO!@^!wB3@V)-z@`z*k+4(AzK_{H$DeE;RZeV&gk3Dd{J4{uv+*b zuW3PD5{c;io)H9DOA~pAupooFOw5~Pv3Q{}zXgS|#j;RjwTSa~ix=^NX%s_P1OLCh zCx*D@lPA!h_et3JT^*iC$3~tW@>&&C6?`LE%*G3Y)4nCtWn5m@{Eh%oXBbYaR{&pM z-X{2(GSnqkp1@|_CurmUAbiGW*1@~B*)9G<+xZj#sb>3_&&xLwf2e6}_ltE-&dqSuM;wP(}OPqqeq z#C~$UhB(8>czS;Rda?Xsp7(iPYk7w%(sWuz zm5z}}{*XcK!fnDQS)k=a)U%OMAL1Z&lAPFNw8P;L{i~fZ%7z-(u4g7#%r?u|6rFC5 z#UWC7pc$TOU>R5L6%;Oo8%L#%DX2Fdp-Fcgcl_x?kr+3(w_+u?cm^erJg(#{%V#ug zQmWS`?Jrl+|pr#`Ha}|i&9AD$ccxaa>HY+1o9TQcAnglRioPDYKQLQx`|uww3iS4()|z83hEad_#Z;Gj3Ya1b`Bh#^YRi zGz2CXjP#la#RDij@(wM!C4_SK6%cPvi&9_wqnMGF8z%C1#j5sXK55_0kPSmE_EuUI zWbkgxmbSOFinG=EUb6O{N#lgR+4{qdFdE;i<*R<^Y5p$8iRaYNS z%V-7(*3zvhLwT+td7eBu1^O{dYq_j4ia#hEoNF^ueM1owLk9q6`}={JaB1thHv&wnAqP8)2^1Z%h31#(=e979VJibQXe^G$0ZL9u6QJ?1~^mc%7^ z%g5mtmup_+*<7{Y-@KLd&fGhb-5wbq{w!{u2AySGhuqb7w^YbrqD3++ES{QcR+A(f zUOm=6z2tXJT;IY;#A!G`1B#|Zg?<(NM0!H7HYG+ctq(viWrfBlm28sInxj)P6~-7D z=c^HR^>~bWO;#^+GzlOopL_Y0lKKeWS!r;x=z*!u*;sG=+f=rMnyI6`lXO-!om0lR zN5lQ{Iq?vq=GB+s0AmqSUs+FS)nH!g#Wdf5yi>b$o9@S_?~<2M7M;G2e%0pjq9}Zqx9-W|zBdz*SC@8ahe`^sGa!BOa&bjKUwY+y zQFv3ozP(FSXj}HbA{|}rZZ#Tv4*(A1+}xkmqW4`~og-=d&bO=_+b?OHMO2s6hJfrm zc{+?=mvK9cMUc|N)#8o({Cb87^keh7| zHTbqAh6AV)lrQZK)E3E&`2N}76)^&$Po53KLnw?bs-VAtnw{R{+iLcsc9d>ygAY2y z8A;X*D5kJa%b+Tkv%{OBZtW!7w99Db#UIyB;!xps43ZW|et0l$DGvvKTYDIwkFP-- z$it2I(F(!I2!i$t$8pKP6A=p)ha#CGuOKD0_AIoo8-!U&X2Jb`g{8Ztp3VkOIEv*^ zk&x}vaSZ9+zWTR@9`F0_{t|*!jn(5jaaeaIC4PyTYp5S$jHK!?rFRg+1XCf{N^K4K z;31amEj^svjSVmx9=J(3Bm+kyKs=YeC^7`757pWjd5;eCo>&;$NJC=^$|)}1j`vbv zYEwZEg+ft=qHk{WY@j{E?chhSfx!5U*4pH)+Eij+Ss*F0L){t275kvhd=Q-V?)=5$ z$0qlr7JqPvKN%D`p&$vRNBpq~Bih3XGudJPg~$WeNXGUP)B|^|onksXe^P`2 z&1sOOg?;PPnL5is3^3nIV)`TAIK5yp_VzGwJVntBL7rW`cAp^~+dz;riA|SJ^9r*I zEdDItgaSome?TiAp+Xc#A7iYRHwpCluCOp6oNEaCW;9~Ra&k0a^tW}b0oqhO z#h7?U0)g;b|VFoY>enT17l*bX!*`?qPU=SaTho1Y2BJfg6{h zJBYK4Gyvr$_`qj%K33}%9T*DkpBW`!efo>5ER!MrbC;IAt(l)nG-XyCCpdONNX}wN z?qabnVmaV2!vPVy0e^lr7~un|b(Zco;*UE>hTBL8>_%?wMquqmBJ4)sH{uh&ug!R@ zc9N1-k`l1Mo=CN}K|2!Jjd%?IEChkH`V6$v*yNbeA_BTF=&2LUP>%fhloIPPvz~PX zl9vi*w+gfXzn0zjTQg$({eGP+(XBJ_&dI8yOS=(+w(pNNu^S;gT}EIwULbH`Cn3-; za3^pB8Rm-?7YC^KkK#Z?xOL3mx_vi-<$X}_%OePT;M-^PGqoHzW?ZcIz^uewBff+O z{nCSilt~2H_vhD37#b2u9O%FKIU^fLG73=Om?%I2feSBoiCGkOEH-mLA`>3}m*l5< z3^YK77lr!+!w%dchhLhOZHa+nAaPR^sUA*wya*b6H$#_bFTf)b`pA+nO-z7U@wu13 zAKW<&`pCi1+vx;GapO-;Yazd*BzRa)xtu5ES$gx6++NKafoQCwSgfm9&SfY+GzyaV zl5EW}n6UfB@yxzIH)QzU+H9}p@Lkbpaq25b~uUU9^9$W|Tb|VaSBUqqiR|E!5 z@UQiFcNkm;6?P-bAZ5)@+uzFwSRZ_zOThF5xa$XEOQp_cJP}AAl>^gO_Vw&zE*8(nrW!+X(BCgEpiIm_+|Ws(F!>(CGQ{^k3hy2K}&D}dp>p^@4I zyQ^GiY=j!z5=Eyo-c^Q!5WA5mko>{KiWCm0%lo1EtfPs#_)@_;t zTRRf_)OdyWjIC{uJN|(X_e;kT69*%J3&6(7&?IJ9;K03&;Y9~tykqji9#aaT;^2u# zxE$^7{t!lf^0ZZL(lmQEpn(?`kwWXjActU(8=mh<+=s?1D_?O;m$eSWU5br{dT@0% z>Nnok3-x?tbmZJIn>3aP6OcpHxYKyG_h8Np+OEKDC&k*><<(;Npguo1V}A*$*?;|y zqN2#hi#@1=z1T*ML{Iht`9b6|_w^YO6NcM5+BpQ*!B628a&R&_Xmt)}N(*Qp$T&`S zQ4EDFhg09=Re}uq!3knZ82&e31Vnb4tgPKI$UW>~R8)@R3mJ;`P+9V=7I{3;dZ$mI zN^t`vJj}F&8Q6M)ffVyechs6>vWf^flPW-YBG299FBAW}{J zLN4&H>3Da}Tn7rm7BZ=icc+Y0dv;~TeD6)@)NPl(_&lQT{&;uscz3mU_3-g$Cqiae z1t}ujbKLlQ*L!1+)FjReGuFr(y29K~7#WhI+`W+8O0+a>vZW^YMq$EDD@aYkMp|(M zes3eHbF#ynii(!^VDXJ2SK@g9R=oh|*q=kGl_GNosVK(3#xBVXdDf~ldD-iWt#|uA zU}ezW;6P+W3Ft)9RFlY9h1o%Z3nj2X`*v$;=C=%kRODJd+dW4t#~pY??*WeA%p4Yl zz4?q$r%+cWE5gH+hZJ0#Oaa1xXD9q34YI(4-!9-gOzC_5b`hflafGafm6PtXY?f=s zsB#cf=Y7mN6t8q5)j#AkX$p>}Z&C0uK!ow)B=p4B77QN_2&MYjDzt4of$T64&D^|w)i)DuoIknUhmVL-hk#rDUn0rzh@ zh8lNLM$o`x?~43X8Prwey<~@`9=XU9H3(H;oW@^t#c8r<@l)POS*coBOtSx z+2K7dq+5I9oft67c|f<4BzF*Hx8nI9k4H`<+EQDVs0D)uH4G^7)6iL-f+v`F%JAY1 zPl=e}W@9DvD3ke$9VEGb;v8t#@EJlVs#e+O6~`OJgO;=c)Dh8Lf7_&ap- zvvwK*2G*8=@YHYY*Dms&c0WIjqi7Ly8X%u*;92(;#A5B#CQBVx>y=k z8EGEe%!kUC70w0vPbabv@gq7xXjG3)(9G`xXseR*`E=Kjg$rDYcxe@1(U=e-L47(l z`+|f)fPJFttTCK9&KOu>_O;`+0Y0uV`B&J58t|gF@Syg9fnjP0MBP|(9k`X`e53Pa zhj(O!cVvfebEEdSl3qEI`W}mKoQr>KMZ5%|ITE47E`1iNj^t*Azh{R-Z-nxnpx@P^ z-G-yxmZH_c!$?l($2PT|ReM0Sqw{%aOm|Mj9@T%?qFkDBGGV^VFIDHHKDc%LZD}Yp zb}=+^u$0u;j^bJ=i(`!>%whe@>UWt$df(Av$hNh8Q8L zJ6YJ!!H$faXfpNStT0?d(F20;MLKtsxb@&|tnn}P0Q+C@aLdufnL){hcF!YsCf%9E z4#VH}&z;q;dhl;vm`~47?oY0dMRbfnC_F#XOOu6L%Jre0n~Xv5!k9m5B_eIDR!o9# z5Y+a0cUob$rin4i>lJk8qf^>JasG{)~+tUgRw=FnOC8)ws)ZyJt%Ke{KIEQq#YzJj$&{jk506`Dvw zNHoRX*W~%BWbE!0`sb}Q^|t?X9N}gc!3uy<=t0>hN!L}kStBjJOE$LLeRRRGyz+@t zU!yB#`ezA$*OY6evCr7$8l5xykf51hxxiP|@ly?H*v!hydP6SoamB9N(J=0O6U$|* zo$mhgK`Z<-jN?_aEKZ0q?skpv8qkBq59pDud}?m(Qk*YhPD_Ik0Q`$<5ga`4=#f4f z6lW^|r+e4~WEa1a^(yS8IU4fI5fFh9)PP3{(V6azT0AA6AabkwNL;R(E2-JDVS`2o z%+k%+ZH(VC1AuIevV0$5vY-6!sz}Em8x_V)!#tWRWwAP&`+kG)uK+)#scT@o%LJm+ zgZlm*G|hBaE|x7a7?Ad4=t1!4HqOzz)9u3!zek&pO)&S*-EqK>F-9CTIl(=F2(Xb~ z{jnzL!7sr@ZUe&Z&&D?Gsrz_WeeP$R=Yk{s?L}_8@7nZ!82J~LlV8@~iWlcfQY2Yg zBcRXD$um=(hNG~ZxI4wiK;y@5mdcr>*3Wd`ST$3ZImYtRhTDaQrFB5F(J+M&(JFUK z5w||V>kUfb{Viill;ZF`ulQJA)|={Z9y>HZe&WO7FhvXa(UoaXHVGsG0RaNPgNZb} zY|tgJa~Wzma|!-7v>kN-{Rrj#>CUvTVat%vP1xn(@o-ixJ>BFKOZ(B7a|u4%aTm^b8dGY8(`*Vi zf1bVh>XBq!Bc0w+U7h|j*J3MMvf-_qy)EOZt?)3u;F@D~&y!bg20GA!Ortc%F1<@u zAcWx;wvPMKD%3>XEJ@h&$!gMAc9OkAqIKUt0d)vlbnBqqyOw+3KvkO`?42?5rrnpX z?XbRPfgG;=Q+;+fY7H1S{oCvz#bkNIs+3$H=EV%#Gl6&xUL+kvZ{PF(dTQdlTpQ;n@}$0O;z;j{L=uT3blua_KaV{yWpQE5uM z8RBglI=8SiL3coN+1Z;3M;1W!{gV@wx_#vz5EJs#Gs1#Qa8Mw&!-k@fG{ygGr;^u& zT^$M$S(8(H(tz=ouBmxmK+Zdho6)8?XwQnc1uO9Pltlf_N+z%OCn& zKQVVP5y?HnJM+9w4-56IJ6UIY0Ik0d&1y*{^{pJkx;`yCr0bE7%NtD0WZI4gEOiyc zf}#@bEtT)+b51Y8A9^6ZU%^zdw}#FD!FCv<40hd#KdZrV23IOY;#~48if%4Q1+0H# zI=#Iln%q9^W7=9$5nR+WXgxR8!YY8kDN5w-LV(ggej_Walpvfd}N! z?TnI7D04y|jeiQ-WDg(Ig(OW4n&ZGfqi`aJG%_!3!|<*_Ry9cgw(aIBgYh5;E#IOO z$Uj!%4FqZ`n5kbsxTNA*empg3P}wpCVps~o$s+vj`>i4K<_i?HWvkZ#MWleA#4tJzVEG$VOIAyO7O+%$F3c5DEvLWV< z+zm>;n{of`f$2;Y5gQydhY1-lPYflZ_Gv*){rOWEMn;P0?tm!$A*EmlZ$M!Q zLcv1Ta76_D!K!O>C46)jT=J9zpJ^(>haiWlnt6R-hK{`zY6<7}KEPn0Qwhl?VpTpZi+7iM}^p1;pwQ&`j>DVi-3JRnDILb1 zf?xGJ=ifUBMhVPXnywO1$xxC#+Oqx%9+5>2?^ATTepu;-jkq-!8eZaXQ=^Q6i>#6C zva7NhYXwU|TjqhAsJ@$p{92C(qAXfv!^{<0@H!Rns^gxh$Hl-}nxEvZXh_6=BbJgm zDz~>`Vx-JR)RT>1SzqPwF1y(qvU&Vtdg#G_H|t}@x^XFtJSj|hN1+rqeNTeYVa<%) z#ppV+yc@ sN=|>891{Q3+?S#pfkz6^$}N;h#x(y)8pU8nl%AhCi(vVG00030{{cm+9{>OV literal 16393 zcmZ8|18`tPMBZjqygCY;4=MZQHhOQevM*wWtgh!1}djtjX7Od;&$LFH*=HjBZw(=EcVtQPQ z&%CWF?}KlQ$7%WL>8T;;aJY2V#mvp`MGez z!;YDWQWu}WMTNsa6f?%t?mUqzN^!6=s$A~+7^9E@ak#ymtQINxV`IhiUbsxXg^Bro38_l-m5RCUZj(M zC|*kaXi-cp-_H(jKjRQINPIxV1o*#X#UX~6N>JlehZZx=Jo8n{%3d4%dZsxsrHb`fptUUmpIWMxMuzX8kQkNC%Fx5oURS^{|!G-*lu<2WJw>@U|2%d zX^^xcsODu??*+;rJ`MGrUz`z4a1b85$!2bWh0%ZelGlBy8DeR{T9Qkr~hk4A6 z7_VF)Wcw?> zHK98x{<917TOBYVnNOdG|5>*PHfXLaN+?KbB>YC#-6Y8LAASkOuLkN)Q z;IBtN?V6X|2To1)fwiN5gOHW>L>rL)#rn(bFMsiu(zBP z0dmOuGKF}xW{a$X9?N#qen&MH6 zIc8bntgTr9ORQbM1;Uu+eg+mAZ>NHZ1Sr&L*A#0c)}pd#X#aQApMR}NVtqG`vMR3d z2#0L6$JeFE=@}sLA+q!sU&T|!S*At=_X8gnxChpmW_^7%Ox%Xo*CctYm!U!K9ZQnCMA5PL>PI)ZARZl zUNSE{8(4iAJkrZ@EgFn`%Cdl)3cL57T-tGHkTiky_C#!eFVf>VlT>D09W&7 z<{E3NseqS8EZ>q3+O7Jmzq#^>KaP5o4>WKa=%RfyqL6)0qpHXzpI$myeXf~SX=R00 zo*x{1lu8JE#!s(Hy^C0UOxJkDJtKAXw-FkfzBkau6l^yC&V!n09XCLL6*zp@^>KAq z-zb=*_O4F35b3RaNxyI?d#dHDL(X!{PNGcmbp91K#tx1w_n1qT#Q7biBl&LvQ(OcG zGm7T;V5=2Xrs8Ty^_s#^OHWeMp`~5Zf=Klp_iBah)mHTq6Bq}u4 zY%ffsSTIei?7sQ{TWW(?AQ%(b>xhizIhn?wE$zCytGe$sWndiDqkA%)iZ_nnoI4sH zb@TzUcb~9pR9ez)@!!j>~TKMc%oam@n`HDCUa_Id%+E* zG@~P|Lz`O#A*4mn^lyrsJW(O^_xl7+&`0k*s)VpQ#DwP+A7!L&KS1hiOCm==;tg$R zk*S6jc9zj}jImpoKzQPuVckC&bGJr_f6X%$o*TEyq^lGYJoA`KeM`=^XMu;1QSk8wABUu*zAVKDu4b7n2HKmE$| z&fYGTK6y=AKLVMxJ~d5SKT4a_KQ&EOJ~T2xzUCnpSGMyXfXUkT2iA+8uk6SijKbO8 z_R&`ZkxY=OWvKwFuffMYR|4;Na*OAO|EryK;(SV?tY*cyX-2MXu<9yB1kLGV0PAz& zS1Sz0T&6*Yl{f12~h2=fX=qrfD-M)mYd}dg;1{)~4jThvwm>WxVybr4_8!)z%sj z0F(G9tcQ>TG{;wZ5p;lV7j$rU6SOrSYnr(jK(7&|v!}BOw+zpe^yQ>3Uml)XzyHXF z;sfEEuKpV7GwuAm_drd=5ruI|Vc$(Lc0w$gwQQ!FrSdhrMBpL3#-)&G)d;`z|6MKg z*qfnJ;Hf7~Gs|6Dl6*|4HZS3XQgst%^8jF9bs^n_5%?)%R}IhIz_gO_tf*Rl4)Vek zYL25RoPS~&noI{@v^sHuu2GRuH9|nt%{dr7MCF(%bDH@caUVej_&e|?+DZ!&@Wk?8-vm!RHmF=lz=rqF@iwQJRzpbmddM4 zMxL7+D{3trexYD~sd)@|Vsu@~DNJ+tP4P~*Ra7pTMalKS zvDnWfS(Ya^y+8`7YL?!o-qR)8s+O6xy$2J?@r=rTdO|ms45#ajnkjWKZ04zG&S~z) z0!og=5s!$D`=9#=l|p001%(Fhe(ue)-I}d8modEYK6&m<(fBRK0|ec@9>0)cw}PVg zPgbv+m+39ysZ?-{aXioLm`$;k6AF$EtgI>+8vJ7ViWI7t+S?D@ovkh?+aWR)oLL+h z%bA9#3nOuXXt}@sFssA9qQxYqU3aCf`Dd-|*FP`HO%T(q%h)Z25aJL~CcXl`FR~*V z+W>yw=}6GG@gU1=D#7^sR2?NUrJAZiPpUcA?n_pO7~#$DTjeKH8H4@y1G0t22j z!3NQD54^7C?7UY^U%R|YUYw{K5D<^wL_*$x>YBB>xjn{jKz8&a>#wTpJhk3+5H|RB z69=%(fTcU{eMdsT?mW<4u~ql1#I9#pddJ5)v;RGVHTbp33jWb@1@m&bhH3+-uGre8 z%zjudAL_29u3x=P0fV_VeFlGVTlG^k$$(ip(QScA(ehUKv4O)X>CR5#dSpg4{chO)%=^&%u>LK$e1J>p-;cYg-XLBjwPC5rY%T z&XyZ4w*?2(#%>)KTrD(QnW9`fXFs(9E)tw2f3>brh2Xm6CW+O=SP42f8{z*u9cArZ zjJNiy{J9RMD%uReoRd&m?VTZ)|GxH>@Z|H~HNH}YeE!8n*z}KmawD%{A5GvC#eI$s zQ(110<0jUbmyYNJXS85!D#Dw;{s=Z7`zg+QnEzOq|9D8*Y;9U-*=oh(@`bu8!bX1j zrC#N&6D(b*ovP>Qt+lJZv_`9}(deIEj0kyUrsxATea8N0(BQ z=_SnfC$^C6Er6FjJGKh%G5lN8D9=8X){b|y{FA=y^LbnJVP{UxI2?r7FOh+EI-r*8 ztP2p04uKH3!xZQ#X&a@VR}uT-ro*D>olcZC07rOy%fV?MWZd{`z?dx3j?{;V*8?f7 zLLNU6U&O0|T6Qp5x&o$e@+7|m5jt)6x0_LkTQ-gnT^9_}RA4eiqXDFQdcRIk>Ytmc ze4wm|i_WpLg5H9_t=gt21Hq`HcN&3?z5pz;)mp9dUWv7iON0Fra!Tx~mtexm_yLaI z+&B1O*_cPqsbtEm72+K;0$`XlVmUO90s?c8tifx0oZ6L!iFdVwhVlqJOpu<|o z+~*cTofO~?wPZaPQG-B@Sliv#5SY5H{&}65v)^TC-JPo&bvBuge`24TRT+#^+>k~p zyaRf51o4p6o80$G7<=q_0zK3FajcM^a;MNGI}uHdezRHkHRNf&OD*GeMybj?;)!Ff zR6aB{SjLg*7{=BQT3*ZR0cS=1yF!Sdk#T+B+KZDm@ORsFfN2T|f*PI6l|$@?`$|(l zn(KJW&dYsf81k8U@4WLUFY5v92^uq^s#ao&<=E!u+M?8aoxNHCSI;K52;}%pZEyZ6 z8B+z9mhEtb{J`_eOHh3#BqO#G_tA%zaJ=1B1KAX|WwQv1@-Xden!?3QIr$Xu$~ z?i>I_A!z9|QQ9S|n6lB%<&OSA9C0&J;PG4JWvzFz8WJLe5c-Ig>Co{c>lgQf=_ z($=eMD=4r(Uu(D>C=BO~n`@CI&#wqjQ{D;)gD=k-HmUwG-9ya?+*;@? zBBHPZ7(>%n(!bX&B_W{fH|I&(t2>u-*iQ@R?a%7^O2fn|%if~UqFZaBVorO%R*p5O zHT}9x)cxxrOtT|(wJAoPsEl!iRhHBwA65a8s+>AE&~@t?Mjkj)QCbwu5PuEIw)#0{ zuvoB6?(7;ym^fBZ-We^RWNeM8Kyz?~`X#?fhRizXSV$5Xij;TbMjEYn?k+c3%h{gAzM+LS@(ov#3a0Hgr{pJO$62{;@f3#b;A3&`qdBF^4pimz zE3I}3uh4Rvva4T`X;%XGMct0()Q{R>6_c*?+GO3D=$D*h=!YGs+~rqV8ROpA1=M%h zlBwO~X$}v~PVWV+RfM$5-a{~IGO-G=DP7iI-Ug3x&^p&#Uwz6*)n6yIV|r#XZu z%NZh65 zyQ*=A6=lp_uO%~xnSeLs;h(eF_76+jR#NU#2@e(Ta9fuzd4e-qTWkV8F%AztQq=vL zJVICWeiplWyA02{y!6?~nog*4m4bKI+oSImw0sHdKDw?N2E^FpKb(lXDuhzKs*INS z8b?fvk}J8uZsQ7~vD#B0m#`wQ=-wPm_dmJeztEZ{GYxq?`BZpqTjEmK`2Q`Xi8iy0 z5iXI*?9v4X(@e?{O^t4t~wyn34yn7l~V6^O@+=Dj2bg zfQVQ1WE?w>h9+CYRWKnHQ-rJ>dq0a3rtNG@8R;JG9LiH2H`&jnLqvJ6GE&9Hl-$$6 z?Nh9k2QK;0Xv1MMyT5(=_)?iS60w-QJfD4?9`$>i@)JJR7^dTud~g=ZFW|~h+YPZQ z(**Kmg0xt}ZmIe3kOoh7FxRfBwJ4vA zJ#%OiY&}4FB~`^Wzl`7eKbK9|JEvK8ZuZ#2qE%VFwHIqlO9VKPi5tsE30ock2am=! zUCg7Z;Y@&egd@Y>btQS5Hg+E6__|ox1(c9`NC`!iuyhtE@}KCsPm+@Kzi`8=ZqA-) z66G@bpMU{rYNPCmR9XjE|#9hU^!z@rS`C{C@=|(<9@?j6Jkmd5_t_@iIOBO`54WSxmFGyNXC#hw5| zOwk{yZ9N#CFQ48k4M<}1Y`+f^OU74aEcOJLC)^qm4m!h!4Rc=ICPN|qSPWEky#dZ; z`-X3QhZ%X}>b|?5OU#Gz9Wc?{Z1_YNCIiFuQ=&P&W{+zAFiWB^mjc%A7be}7|*6-Z?W;bDu+F>Z<`7qXmOvM=|{gnKIy)g zx6`Rl2ywpOS(_K>R(vrsPqD2y4@`R#Q{|z8`G-L_gJ2t$Q!^C)OsMcIh#KjfK zo{be(i&g)P{K};tWV4>^q_qQZpG)@it%UzmQT#K9pI2kf*Jvg->7EnmML*$#Ch)^e z{4hMG2I-1OC)*>*~kkEY@@1B{@lskvS8GU{n zWIZ-=^P$W%y|Tv+#u@)dQN_GzQ0v!Y)XlunmguJ?aTtQmIStp|R)irJ3Ypc&NZcTM zaO}!Wl<4Y)Tep5Y48HUAHk1QzR5S)k%H5N~IbblyJ9Q*Wp$EbJ+`vj|cWY^zoA%?u z22n~%<2WZEE{HY@(v^{jT5xA9Qu9(4h3U)gH1+JkpDNA{l2ptmfhrfeJ?z#&HY3sRT?)w0s9lC#j(sltUl z<_ip?5F@>j)2kgHc*Bf*r|N7tctH~wAPjnjZ9)lOz&`~WZtD`r*rP(#zB9;* zf7?b8i+TQ;IP7ZWZ%fa2FS(mZlt^|MD`nsPW(Mo)?yYg-sbedDsg&f4{cxC~)rEaF z#aiE_*zuxXNZs?x#on0GR(06bE1yoyq@g9do|-c;?Ny^ZTO9W{_F3G5t&TZC#XZ?W zN0U-XG+o(_kV+ zpd$eg-p~WMK3Km$fZpDu*@?u>OFL&ha7FWsTHa*J5-eBld5_ zN*T4}nmm^X{=^E_%N<7~tFgCbV((cet>kcbrsS)Lf{0Rg4z8}dx+fzA;Y&=p5_vU> z>dBt=zk+P#qoIoW3XazG^6|e0>fJ~K6JzqmiVCtf3(is$V@1yoY_C=Cl?D~#N85Fi zecH~z1a)PVgK26WP2BaT^)kp7N)9LF7{f)>m67LL1KOjBE4oJ2ZmrHAawMN9$Ua+b zlk1|qcinE7U1rmFHx}UFOyPed#?6FFtM*cjs`D{0vOkDl18}hG8H@H(V|0UHIgXI+ zWiIYRy=RFM-0j+cUnV;A++j*|w`2d>y#J4`N;gd!DU%Ck*4Y|E zA4b?C9KzJ3oAZXxr1W=^@>e!D1O|G5IZIh7txD(Y92dIqs>z|1H6H;Mp+VLnx?JiZ=$g`>N!!|ADrQ@Zv=RJ!~d5UO!q*RKh2VQyTvnA#wmACXH zf>-r@oo@PcIm1^AWO_UC&bj$^G48s$O{Kc5dT?=e8(ZmJQsZT8{yDYpo7ebha&YkA znA~x?ZSyR3w!MAf`V8E>b$Q?0oj%`Ne!4xP5V5MSaWK%g{zXF*^Qx}CsG)STX?r`n zRsWc)ZM>ZU(=Bx9D)}zZBRu;pm`9c~k?AnvL+bP~S^&2zt!YR+*qJt^W366sYpX4& zE@$vnRbrij2GC5mPLV13@O_t5_i`5i;GJ1#yR&WcU88e-W;@IMEJY=1411GjQ9JJV znv_9CNC}?`2aIEPMe#3x^bzHx$_p%3U{MFDJ;@?pG+e10`SiS)R?$wkD~iGbijOEr znQ%{u%2w4ELcJ7(^66~`;KQ&bIfc9%y-d=Wx(I?^Mtv+^;uVx7_ zy)K}bihuGnpP8&xyA1V0t-=VZEIVS!mjA-au+B3(*Au&#f!(A;??vNv@Vo-&(#Ghd! zd0h-CH0UNe)FfVbfpYE?@-S_%es5OmzBvd<{buJBmKHs8iP|MrVa&!KdzjQ}s2Jr@ zMBFE*6Hh%@2DJ{7bA(bE;L+|2Z##9aNAwHD3Z@E-+xK!Pg3-|FojmGw9FM zfLRabd1E#T!(5om{ADmBf~Yp1;elI&4+MeF3?r^7=SAZ+#`?L0@q2^EZT&E<069%V35@^}Y z+221Xs}3%BFXk+4;UfF{g>M?mx)h-Tm$*xcdob}jYQ-4z*Xlll27Ihe8iN*m&SL{k zf_v$R;e-0;qSnW3w|xV3m5_PFWPwB|yi=9F(%Iv7K<^G)xd<}X&05n`4t3Fg9(25d z?x9xg3$+4$5 zFk$Fi4ZcXZX-m%tv0=cB=K3{=mL8ZC9Dt&iAAC#kQin&n>kO$ICsXX-sWON(AIdd0 z^U54>Eo{t1rGjNQnHCg+H<2HlVhMJZc12p>cbXTfjkgEW+C_5AW82<$L|VBIc|_Yh z1J?~NDV;Pq24VgaDZ89+C|@T6EIt{~5s?lMoY`=eK>QT5SL4PRqNL>dWa zENtzTU78dl+he8lDqUJdshaqVmUo-?kc?8ORMO#i#P;^s4>__OAlat9$2N}jYK*P5d~e$?eEn6GAB3rz`av(;RaG7| z$M`sMoSJ7mc|>hsg0hc~^f>xC_^K}d8x^m^hH6HD({#O*!>mWlVkEsRr$YNfsB)mS zj(g6VEom)bVCZCU_bVrKKQ)Y00;(_W&zxBd6_y1Kt|e=&WV9B~!m$dv*}j{#^y4Z# zUp(WoC|~YTe_C`=%9oExiUJu0Ct83R(jA7xqdkRN~?S3 zE&S%6Eng390)%SFjP+lAW#hegh18IiQ5A?)j1^3^!~ai#SqMC*ia9vHz`T_nY7`7P z8FLOlpTw4jf&)E7zTfCFN|@I>-xmw|zf93T?sqbSvw40%9!_&c_2Lgo(x|nxYG|xN zRufBb{RI(QI-g(V|A{42)7dd;m;ef`>wA_4Wt=p8QuQ73y6e?tMMHv=aHPm#Uo$Jt z5X20f;QI1BIJ1AR;xHfhF)?kO%2 zn-O3Yjr}}!d%G;qgLQj*Y5)Mz*PH{(Dc%ZZrZIHr8b>EEBHyYWZwCc{Pa69Nuh2Tx z+}jfv2%TO%y)-J=PwH0(z^}5d-pb$_tYU}}{PU>R^f*E&-f)1{;B*{%BTYixTEywOX3hpx76@r?Gv{~UgAKh?4pQ(RE> zUUTqgs!*4$5rM-29`Tc>ns@KC>R4<)Gh!Jbq1$qVbc&URwY)1kv~|mwHN&BEZE#Z!U z^5!bND4=tOql$Rcar&@2HAvW! zCX5wEe$a#!RuA>s15wm2qS_X`aUSATtRviy05=MIm?ba&nOnOJBk>9nRPIQ`d8jzyf+GxoIrVNj*C?hYi?_z7a28 zau3n%L;L-JDO6!O({3_ZcJp41*jaN^9q>T+B*Do^VWcG@(qz@a$2E_lKkM%gRabO= zBee?;UJ743=Ck(Vo1J({s=nUWcv*H0kejN`_qq$(0UWcTB>Sjv!l+W z2kTqgd6_2O)jd0AOb1D)`M)?O@KJfhS(D|Ji0}g%@^+YEe45ppl<3%M9D-5Y8iFdj zNS$wD4|4VJVR`nulX4-8`G}^$OjX~Ed%NC_slrF_3!R<@-S&zOg{%u~oI$yv4OK|L z&x-RA&-$Y^h$;*rar&nbj{GLjKmb=k9dI${CmVaxzs{BEPVlRjSoH%)t0xPN;HWhx zlQd){J*+y*B7s^U0lg;@fKF44o`@L%EC3?zVu5*@qul*F@nW4v)QH&KAHJ2!_73tkRJja7l&Fr?Tw*fiY8dV@>zTyOIKj=h zfz3Emuzq{JP91z37Mfvy({^L-2_*J4B==_gxr2}&dm%s0Lbyhdo)y{l|FG@5vF$^# z?F+H(xB2}88l(icq#UL}@6Cc*GxlJ}#Sr_@%e4i02Zb_;!Ob9h+sm~I=}5`I z!|0SoacL*Jp~z(~3KrT^A?86nj@t|p+tqwWCJ}8X5p5%pbrsA3hl3?OD_&*>*K6&A(I}< z5%h<3I9WuG-{hPAzW499%wVyNAkRgJ*Ai0O*ZUW#Z40RlJnnSshP@>Ye={y~BW}8b zL>H`RZ4J;KynzS@@SB6?VMboKp|er{GV?Y}knBI3?RxtQcFtv*ox=}KKl>u>7RQk# z>J8fsyx4x+3)HaFlkAp%e-vXmeA-ctalw>dU(@+&T?!4g1EY7^6KpPXp>Ys_c%`w9 zryN^!|M?%>{bqK<7bo!FLGF9vGLDDhLcoWUl*H^X8{!{6>c^P3DlKs>;$kh{(pTDk zTnIip+MXL!Cq%&51yKr%0ZZ;nlAd+zK2KWI3)VYR+Bh7Qv3qsC3dq|vhoF)}qm7Eg z?|otylQklO;#jYW4TU(S`Xkw8)5fvPv~f(S(STusIVpGtdzX8!l@MN@@kXXXQdev8 z6R%@$R1nuDhBd=qS&0+*c>OGBd}vE{*A|DcS$CFExRbu`)7;Lj&pa64PUjU3^g z?3rKt^}Z*amA+9*E0!o#hMRFc;6c&~_&Um(o0I8z1aG`*GLJ~VQ;^K}wLm;-Qn2^; zpo8PJKKx{E@1>YmAv1?od!C}C=Him_=@4*jvdSNwB1H^54oi?)bIb;0KOs z{Ng)EaTC^$-W*Q)<-5!vY<3t;b{Goy&h_ep&8W1^XbX6G&9&=>fQ_r5&vD2GG@SHF zoet0YMtG}c#mUrG3Iti|WncV2(_=fyV+RRJD~TsqIp|}+g~S3k=x21HfES=fD;Awe z6sgN)WC}XSP&eN_DJoFQFR(Zvp(99Q*zg>+4C~|@mKg0s-CkuZ7g?8`)7d_`wGLPU z>nJxYWsa2Uu7BZ9ov|ev%QM*^PB0SzEUjoqvLNJurDqE5SZ*Fmekr)x?y1_h{;Yx@ z@!$n`s4-aD@Nl6$(3>Ebvs43*i{(W~8O_rJxa#4+FTyk7msh9kx{7z8uq!ngIe~^t zjORl7GzK1ODoTf1J#2^-W-{);wvWhW^aC|@FQYdJD$8UdNOM+#%_v!MI$dVaf?}7p zg~algkz26y=_@+lO2f^}UT=gC7qSu&(d>_eiJS|p$0M6j4YvJan^6wF))>EUa@LL8 zAJ=mpvKuh68zQnB(nFenHs54SeciC`m2kHvjGGJxp)7SraduKjAzLTXt$m@+)o?ci)EfRz zYZ)qA*n)GZQs`68@nAE0_fp}o!^EBjJ{Im&&Ihkvx_!YfyIhRWqE02nY7%P%*SHAs zkdS-_OmD)8J*YoRVsUE(+tl$j!h5em%u?UyVuh6)pZVE!b7|?S6E%Y#J|QBUGhFM* zx!DRoa)gsZK5hB5X5S&hsibW7q-HKyrC`E+D5n_L#qYLde+_p2nq}Gia+r!+=4b^c zZ3w8UO}^%6g{!wTD2zQYC4)LsY13U5p~!u> zC4+j>qlNyI7zO+(9VDi$B&uyBM0I>DKrJLO@!&?Rry$`lm3+&MIN5fRT$ms>DV5(a zzh?S)3 zkL?UW;W5b&OiiC|Xs+K8Eh8OK2T8N<*9br7d~6E#CmVbFqcv&?s$ zvMUA&l)p{hXfiAWjeKrXAFSE}de+NtxMc>F(e-s1Kf{Dpaxub|BgRTe0%CLHCv`xE2rNEdnlB&O<6?HP-F&1|+pPn5(TEgQYRogA) z?xG^0KdjH3DH9xhTQ?IO6+9yn6`FyD!#Ag!*{YoG;4Vq5$4T8zBcH3zoM7%q?1u;1 z6ZN^x7UI{uf2MkSkHy*d9Nk9i$3P%KRWwV8YA)2lZbjGAF-Bge|F|i^aEdI8$c&sN z?8xwP#DnW?AO6tQpZH+l^4`$=&7$RNs73Uw)W~tf?w+$zp8C^28(-_Z%L&p;D5)yK z8buC~O0(J}+KqO3(6XqdWGIQp(|yy^y|{Oy?!r1#Z~V90&bjPCg&0$GH(6SJSa=@Q zX>Oa(qie#Ctc#~AgyzJ(2B@PetrqK%zZaQoE#AHQCplf7t_1$vcgL4E^NC%fcTeRS zxSmD*Hw7W?PO;itGz_uKAM`PZ)YCG++p4B`{@txf0Dxq*(o5?xr>yB9Tva-!y!z`67z>(lgE!V39 zG>#Kp7mFKf5$k)_c6Jv=!ovCix&U3FTpeJ;g4(uL^X{6t)i-5xV77hb5@VBJo~YfU zra^A6uS9);SDAwrws-5VEZXpa;o&oZC~t0Oik;oB6Gb{wERGzt0)Uuh%XT1?3&hAh z{&Azp4_v(Ij+>qDh` z?gXU9ax$i8PkAgbZqk!ac0(!ZKKGNusup*jUpFH^Ki$BKR4uJAl`*>&b?Bfa+>>0j z<>Ce610+rY`!`oRIuu<9|4x!lpokU=^cC^Nsi9+j;}2j&!z>sUWtg3ViV_hPPtps3 z_=b0VBRGJ9{`-lKjQoQ^xbP?|opXK_f=)ld)AT6kD#vr{sAlNWC=k~W-*@#Ex>JoH z%8L5LIB4hFPoU??k7<_5_5*f$*E?joC7a8YMi=Rk0qgK4g4pJNtR9C$f9Qgmy0h%a z$WkmT%&df#miJV#_m-WcePYsEly{1oHo(&U#xiQJ5h*S%(9NTUtoO7c7-ZoC$I>}t zQW)>SZ#rp)8$K$y+A=_`*<(LNr|HyoJy^Ru!4PLfW8}1_SYDPn`mm^?syR(AmnEOTWX#5#docqtl*${k!w5_NSxuWOwa}GQ*!0k=^*nV%0gD?7C z>eKuSZ87KYj`7EJ#npn%if85K-plpd4?YJ^du-zALFuW`BgP7ZgqTJbk-(`S|M6>1 zQMOa|H1h6=9S>&rx9Ajn_Q)R;6Ij2O{^xDc{z!4E)eguhb|zThIr^=LS478;ax1bZ^c276nGJG878P(vwlpk{DNTAM zEn`!Czie1W7mH0y@SYV+9Js-#OG{^BrX`l7I`MPLHxF;s3)~GQm_SzJ-;(JfDrpb% z%cb#3gmG_KDtKxTjl3+Sf}sy$(L9&RcOF3OPufLa*ieKlZsQL3B~6>W|potuD^8(_<}0XS|>w#DGfIndN!K=9c^Vi zF%gGAG^aypclw<3wvrC~Bx4Be>dbE&$8mUQ?9t`)!9kr{lmRa!g)@m#Dh{waJQbKf z@=K&=mC^)2sMOPfo!=iA0((8t5gViF?~7_9^9sX{bhQPM^Wc}oDH8M;gUEyi>bfqj zBLw`UH>1B@Fv)#qK_Cnd?^`9v~gR;G2b+qTR_0yrRrVgXAx_s|1 zM8z0Y)wTZ3x|4uOMT;9WaBrsHpvTksX@Ej6{(G-PO+dJz>HyaOz>Pg1mn(#PuOyGu zemmPNt2*##gi~fZ%zD>7sJUJMFovw7H&_wSW|j#{SEXp3Js^8(%!e9(-Lc z2JClMmOS^}x~K>KnS}_sx;@^w2xN18<*hkOHtp_^W)eFluW^S*EgGY{&%!j{zur{} zKNJdfdMh2+hhIzrXloN18$))FxgVk3`nA&uIX~_SrdEL2TZWmz1VwREK4iqln{J{R$l-;Hr$7H!Y<3EJ-5>{O>Oe8VsX*w)G|r6hrlU} zvH8tmtb0Kx9w!Y|NlX4m(K?tqsLAo!E1n=#N>RIIxXM4Ip>^2$HKx3i1AwG(>R?|Q zd8Jcm3YWKV<1FRja+y)M@Wk@2R6?&)CZDm>AHqpT0-bQ!;R~1wgD;~t4?k+eJYOSV z)P&=@t&>rlNkxV+e!Gg=X-;`e2q|@npo&^6PpRMMDFxG8@P8Ag|GCP^0Ia#(Vnp03 zV-fDQzJt&{)$6kzG_S}XSzrF7QAG_qPeQaOQtsCOnGiC-moOC_rHgI<2ddQO~Y~FvB6yDlmUAz5Tj2q)Gjkr{+?7C{jpQgV5F6HII nD)%+{kktRyODAkroB=PayiQ~!FJ&QQe$%f8G4TocALsKw4kC^J diff --git a/cpld/db/GR8RAM.smp_dump.txt b/cpld/db/GR8RAM.smp_dump.txt index 365c18b..8062576 100755 --- a/cpld/db/GR8RAM.smp_dump.txt +++ b/cpld/db/GR8RAM.smp_dump.txt @@ -3,8 +3,6 @@ State Machine - |GR8RAM|IS Name IS.state_bit_2 IS.state_bit_1 IS.state_bit_0 IS.000 0 0 0 IS.001 0 0 1 -IS.010 1 1 0 -IS.011 1 1 1 IS.100 1 0 0 IS.101 1 0 1 IS.110 0 1 0 diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 4a67a64..34bd00c 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731926284 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:45:26 2021 " "Processing started: Sun Apr 18 03:45:26 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731926284 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618731926354 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731926464 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731926504 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731926504 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618731926554 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618731926884 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618731926924 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618731926924 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926934 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926934 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926934 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618731926934 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618731926944 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.691 " "Worst-case setup slack is -9.691" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.691 -732.295 C25M " " -9.691 -732.295 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.358 -1.358 PHI0 " " -1.358 -1.358 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.092 " "Worst-case hold slack is 1.092" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.092 0.000 PHI0 " " 1.092 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.418 0.000 C25M " " 1.418 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -5.009 " "Worst-case recovery slack is -5.009" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.009 -150.270 C25M " " -5.009 -150.270 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926944 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 5.455 " "Worst-case removal slack is 5.455" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.455 0.000 C25M " " 5.455 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731926954 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618731926994 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731927004 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731927004 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731927044 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:45:27 2021 " "Processing ended: Sun Apr 18 03:45:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731927044 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739753974 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:55:53 2021 " "Processing started: Sun Apr 18 05:55:53 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618739754034 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739754144 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739754194 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739754194 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618739754234 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618739754544 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618739754584 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618739754584 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618739754594 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.431 " "Worst-case setup slack is -9.431" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.431 -683.489 C25M " " -9.431 -683.489 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.421 -1.421 PHI0 " " -1.421 -1.421 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.384 " "Worst-case hold slack is 1.384" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.384 0.000 C25M " " 1.384 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.867 0.000 PHI0 " " 1.867 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.406 " "Worst-case recovery slack is -4.406" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.406 -132.180 C25M " " -4.406 -132.180 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.852 " "Worst-case removal slack is 4.852" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.852 0.000 C25M " " 4.852 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618739754644 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739754654 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739754654 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:54 2021 " "Processing ended: Sun Apr 18 05:55:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 852f1d02b98df0fc254021bec0742bda91b90002..e2eaba9c21268a366524975489d19d67bbc83e35 100755 GIT binary patch literal 14132 zcmY+r1yr2B6E=#wySuwXaV_p{i@Q_YrN!Od9Ts;j(&BE#o#JjqzwPhe``vrbp0k-G z^E{c!B$MoW_C*H+0|O$0gTB9jKH{KK%fj`Gjguo8J0}Yp3p<&(yN$g$83!i^85<8P zI|nNV8#^bNx`nHUjhO|Riiw#W89SM}9GSL-DVdbHjT;C_ga-kl0Rx-l{;vR2{a+P~ zi}!zZFYq&ey9D!5cddA;P$8UFCSX0dnGsNEsWzh)_$Wa)H1(k1E4{jzdro3Q4O|9h zovaC!0&8s-%`Byh6YYb|nJ8CGzJZ(7vt^_QlX<d8w?)$ zx->ZW=?|Yb$LXg2N}J>T)Sac&#GTON;hR!kGOe;@p5Jq>jT}ZzQ7mD zbLH>()o+7O$GU=k-cX-Zfx1|o(mWLxw4bTu*=sr4cG27W!l+qKt}DN;tMbSuU|3t zn1XX~o!r9Pie~M7xUxULyom`$J`5L2ctLnRQW~S3$N#+UhjR~jD15Ky%{bq=2lzp9 zp%ceS!|q)a(j}qqmj?0?!huu&8Vnm=xiIHAx$m0yVqGP?w+z2_W>6pSeIs}NltLAS z(dWz+N1NOawC3n~$ZO|~ol=sf;4K&#j2!WD;#xnIcc{o=;5JJ1j>-!{LJ=FZ^0Zv0 z>2djh!<5EF`RNifF>Tl5BsMEPJ2YFSR_C2e9cCcs?-?Hl^9FcaC5zjx+n(XhC@H+1 zUCDksc2{Ah5;&9Boeo9XuyK>e4Io`W{$6o73I`T%X-qyxH|4rGR+P-2FB!hEW8b%y zJ@vC-+^(&eNarYs!ZBB~Q*-+2zB(;^p0(|H3F+QF>37KB^XJmxYaJ_{Er9 zZrCa_f+}m|=-r;l-jOxW<0Vw~xTXRjUYyvQ*q~Hv&f3bu>lg7BM&)9l5oDn69^RRW zCqn8=k4}dtEzJh$x8GI;O29V~4arw+Ch%yH}wwRINpnRcUUkur}-ccP3PwJt637++C6Wq|? z9W9=!M1LDAI8upEw*GQDARy@<=`^&bpE$$##HTu4Y9xYcgW9<#*-Y^(S&KU#6W^v_>*Lkh3(aC%c3F?yyQK zH;h13crk1o^AtBi_q+;P6rTkpMJU$M(mIV}r^LWQJvUA-Lj%Fe=}E;xB?DOaBMM#6 z9Syr-rqQrhS)40+C}=qV2xJ8 zqe7RjH^I4dMjYo(#f4%zL($hi_c10+N!-qMp|}Q5OYJ;Zs;g`ONi-C#$0%0Pg1k21 z7LaQFR)r!+<3xwUH-ypN$Po$zkNLs`#kv}J6TNiSxojX zhO)=5#a!#pI0KR1FNWq<675!<1J6~Tto*pF*UhatHU`Ta{3$jMSoT_>X*uZpVBgC* z!vd$7y1`istUHAVsP~^(mVOL3WXfA>Y$8qLm_PCN+8(5bV&`pe)`c)Rgr37GCnOw9 z{?PqAk6EX`;vp7Gzprto9hE~8=O`-8OJ0oY&%vKNcBB}9oL=F z^~;I$0borqlJJW=yKzS}k7t9xxl--$HP4C$mE+LG51*L9 zl2go_N!htxKQ;Lta)Z6JlDBx0&E*{MkVQ5&>R!URG7CJmhGDWv_DVel7EC;(sP>UN3& z^r<@7_W=6B0{aNx=@}tH%BbUl4SEl3hgd!@( z0|~!4|L(B%r+Z0Cb^WB59x8YwKKl!ql5_y|+C%U-3*!xikNOoC0~#BO5e}RDDP$W( zA{ve0#|$f409pIsHcF@f(gj=l;K2s@P>V~Lyma(N-xb^-6V_!I`E}|rYr3}38IGV@ z_{Njlb-n)3Bl~MQSJdHSci2Wyla4Z$#d0E~S9AbrC?hR@Ve!c@l9KU};TIWAwFt!u zcxMNQeto7(=I$yv88_YvvI?+6+t5>Z1~@G=1_-1@aVfa}i70}htXJybNEx{C9X}Y< zxhe7x6|sa5dzB$Emwfn%`3pv%4E(nIoI~pJ1uTQaSsUkb(vZ~rgv{ekkmA?dms99s z?gw>@rqI526tQVH?;D_d<^PzRF*ImvC61QrT0QS4e)fl`%{jW%Df^k~Km1UKlfMmE zG|;IyD{N%dU6H^YsB;43B96E>4|)vq_U~-uxs(r|5IhlMc+W{YC4pzvIH$0)QFF`vA9Sx0)4}0S zI@__wWZapJA7O#@L-mYzDcEKsqb^ZO#%A@K<(Y7B|LBwY)zjB+q{>P>= z{hU{g;?Z?g9=u=|E&hV`?6=(&f)RodgI&PA{zaI{+}gd=v<>ws7w!pZT=h{jz-QZ3 z@JP?-W8{udVYhdSvcctbBdj zr6K7>ESJ&T6o@FE?kL)yL^}MH{n9iXw&u517dNlNcXsET`7Z{w7hyN-*aOtw#GZcf z`{m*FAwCzpKKQjQ6Habdw?2{Ik_4>c_R@Eq(4?IvxRuQgpm;-P6 zbm>+@F~XA1y>;Wwof0pM>+xQ_#QKe&N?2x}c+7JgZsE5srZavdevx$eTJ#nS!ameW|5pUIqEx!`HM-zr=^Z(l{?bq%F?#2j&|@Vf?gD%^)9&B z=vpd@Uc(-nIB_{{t4dwtQOf8!|M?Fz>%^I`2A?b!C?-0PBTllX2&Q~+MHQU=K- z(6y&e8MW%B-^3Fl-$~nOI4!dVv1HVm=OU2~dn9@!rn^U=6Ljr_Jx|@8)A*FD=yd+z zcn>4DStiQgiE>^r;VZq4cYdk7U3{CQ|7Shh#k`R{ZfE``(Z1LdW@Gbqc8~1mk@33F zP+j8V(Cr4i@Jdf@zGNf(rWBA>{Me_EF0$aLfXOPnYx#LlRwEQO^zVI2lr73p;7>G( z+K;y@VnG#C{pMeIsv%App|bwO>tCkgN-q<~S#$QrcFW^-P0V`;49`4ai$jkZW)Ypj zp{#9e*e14*ijVI!ztCP@yLsGwuJlVtn(B$Qa0q(sRolmntB5Vt-44LpNvQXNQ8}Bb zMCXP*P2_{trr!7HtKf>FpC{ipZm=jxqu1FvqZ1RFy+*(jsk2H|XgC-};9wps6Lis& zUZkGQb)~vie}PEoch&1d+3IUb%}bewPCa?z??dQ#sFhIoJ-Wh8FuZr3`m-`LMgEjT zbpC)#B+gf*dbrL0y!@c^3gam)hdLqGK^^1Bx{_)Lea%tof%D@B-=4*|*x{6|hFku0 zL+*h5j$wy9xzKzW(#(COmI5qc-8OvhET>lpYcq>iNswzA=-#jehUN4JW7A}E+xM(S z;>DI2)o+deyLQW{XWn4iI?;{Yv0!j6I?=mUQ={F2 zRq$vCi>pz`n`&!UNn_pF%ch3YCLx6u}hQKib`a zO@0=XecVJ|!Lbmk%NAOh*9CCUp=ekviR6TU4ezDGz=gCBK}q_ zx!5Fux*IZ9xS3?CLkx;rinOrYRE37+4L&d>{J}FZiUnVdfL?Mhe}6&oL-R*04F8cD zy>i|?u1~L9!?q@KZeWB|AngWjxd=Q!FHRve9R>Y7{D?8+_8ok>F*0`qy6Pd^JSDQ{lIM_TG+CQd}c45w($v{ur+Ewp^+7tVMq0zn{;)en=+e84xbPw+carX z#xtV)$(orVwTD*-E!xN7@yb*nwryqQK*_9w z2{s?y55@x(h@yOC^Bo_}R+`?fBUi4%A4ZXRBQ#(y_c*}pk3H-bx}WqFv1xaMGomYA z=}{uK7uC}a{Nho%>2M->z5+i!V$P!Al55d1I>S+5}Bes?n&k2iV#f$pdWLzJs8+Ip}vcS!mKv;4?#J{{Z@FDWm?P&mV>uLS6z6dWtG$ zKmGbs6Sl;*YtiJ%_xk8+tZiCwawhah$s3xmT#s!TiU3r@bB{m_5eSK~U?gTb$**2( z^}fYx;qxgla>8GOl%?->N1BPBvEf#()i>Al<~(W4P3Y z7kUo4kR-jUJ$=zspixlCx3i<0``prSFI7ZRY+ypeSnArrlm|3H`Cc_v>e_t&^s+Cc z0Kw~qA~X0@Nc{@8n^3ne2iM!X)U^7SYV{djCIef+UQhECiK_D5Q2 zv-dB5F*Ob?XxQbuAHhV2Vp*dipRyPv)Gc&uH5Bn_Hs&qK@d$U+0M<>YwR%qe&3N+%FhLw5Fa2b2q2pxd-KwLMWGaGgzVzj5SeKQhoI$LcvYM z%CrCC%@%>W9U)`_tXk<`64S-*8j^4%V*$p8%t^1C_ukU}-s}(*%u?*X8CJii;4ki+ zW9|D{I?N+*Tg7K8+Vg=Rvs^WF7^bpxL6A!2tMQ7Vo z2BWevji=|fpK$? z!j7r7ogM1yw#Wxac}ZkfA6xWs`YlyN;4bPkuS_m4u+Xm6bhI@ci*`wqoI~fNIHQUy zye{gEhSZKa0tZgVuGMJ{D^V(xfBs-L4Y*NwxAphepGb1x@H<^mtwjqpq-(6!onHb7t10T(zbFDZBJl#UB$I zZGraeoc`eomg4G*NtzwmrCN&gN`f$s*o&7>HW)w$7tSflWc?!R`!ozGPDaM)S19%u z-RKpyB5wV4inUTL^+jQ`4-pMA-i?0sMsC6?yuMU(LY!fss%F-g4u4jc>|C(6OA5z% z%#P~nN$Rt)MA8ggjncSIJ-Vdvgn9KtnRRO>C-toN7g z5nkSWJ>NC2);xPCThi*?7fF&-j9%kV>Zp;H8cSVR9aDTqXm}zWT6*}yb1>hYdfKf# z$Fi6e^^Rit@aERyR;g*{;j2Eccnl7I#~Zb8$y>@TWX;p_m6R?i+l*3i(Rr9^jW&9} z%%^Hhri$4j5zjxpk8~ba32eXpbI1mxMQ~Oo&iPiN=to{{8c&kkB)X#KMQ^UxtDxb^ zz^?_<7ueaHDML-p7n-@vKz$)jqU7-{*1A015^tct&;0~IdLqE)eu9L`vaj98a!Yg~ zz~p|SH!1;j9YilHMK5}(l`5Rh%NkOxR zYomGI%XdHdk&uyF&Pcdf)}8T5BFj~g{iAPacR4CqftR@oQg(ub(o&>X+|qXDn#S~j z^>|DxN71{o!5$WN+~;eP?9J=xBInDOp)(_3-b(S%8Ljs883g-Fn@U<9(ZwcI&SFm~<>w%6yWhhVq}^6(tNm*zK;L5M|0! z{NJ@IH&oB@m0-rVYI}8jf@ZC69KqS_=vVJ{q6o{m@31NuI88m`_Wvlj*eaNX{T>}R za=A{>80`hz>M^pAB#kjqSmpzI2(-~rWmE03L0y*B5#bZ;^KnlYsVlfqjGV*hZTGwB z<&i5}O&QGW!LxKNV}3&T?Pxs}d*Hhdm~IvVKG`=eFYd&Dv{=3#<|v-p3~!|4b1r6g z%da!EoXE{7Cp{qP>*HkKB-KnDT+D>8#N_Q{rxe`Ze_ z)k{93*^+%V$uw?6W=B1?l{&z-nZ{F}+SC%~{uRrmX~%&9my?+39ghBz$B_J0&9Tn} zSOz)E)rOiZdMN z@wA=52(D|6&PK*QLv2ih;HC$ZrQ){i$3}{h95u96CTCF(QCl6pZuc}ke)H0W1u?~N z+hqxh)th)gpD6bphY23;AuM(rb;>2SaMp zQmaju>*DeRImJ*-Tbd2H%2^LijutO$%?eDQwB^T3(>ZJbRozIKzNyXEay(Xk8_^77xrs@FKV`PX7ya}}z3C>HaTe;hCiV#(neiG$O z`LE+15oA`niw;feixJk*rs~2Wi&Yc2l&lA&lak|)Xqtoi=x4@bK@ z;;}Y8Io@{z9Cc<=nIjbs606Gy*zBp+^J5*=0o`}+1M7m2&JoFmKsv^jT~AlT%I>qqyvGt)uH3=y;k#%_ryn_n1R)S!7AqDXzZ_r40Wv=EOr3K>su67VonY_ znArQZq+coV2FN4@;X7X>eh^J4@Z{-G2J&<&dSBb>`?q!i$Sfg>^J$`+LH>kmtJhuj z4{5^P&~+fOGB4h|ZKhoq(*d$xDP+~jSkLLOScUrDSR>LKF!b$s4vGiU;&$l)dZm35 z-4hklpWEnJ0X~H_`-=vpPpyO?vtB%hfK`@a%bPn73PV}x>go$Q4S&MRN}0HxJ3Y36Tv7S zPeg7dR9(CFy+GwTRo7z%6T z`T#5yntcGY+V;>^_w4182;ZV0n*Yf1FDSvPVry~iQmV2sefXj!$fl9jC+mW1V2o8?E!{Kl%Q zw84x`w@ZiX`{alkaXw`pTe1TDkfOUa?7frs8*#}9?ucBEA=F%LvIA4Ru<3Byyc6Va zpLt6pF#8**8|Yby%dR+jJwaPUg5N->4l_}{!*uU=bV~FeKr^ybR*wnv%}M<=$JJc+ z_5_h>VzEir>phNOHeiF*kze$v{i|z+m_}VXw)3%4_eHtrwMpf zCKPslJy@yB>lUw6*^*}TU_g3@QN8S+EdHXl3=F)V*c6)xaK4|YMd$Rryp$U|%N?qz z*l5Qm8ES3`^t`wA!qo2@=?gXan_7~t zbtQ55#%1__oKOppSq8d;C^4J*8htGNO%Fx(61xU(NlYIU-7MNBd0I5&-)>6;KQinc zM+4$AdQ9$<-e^tBwEV#2^<8)lDu2G1tx>(~&P*qeOnEbIWOlmCEG-nx;nfN5F~Y8s z&wC%>13qn|WKJ%|$n*!wlV!FEh;S z^qZ7rPqrMdW-D(!mlu=$%jF97+kzpt?b12JcFNVaaPCYC4ZbCD(CSEKcnU5p|k z+bQ?hqyj+X=9O5_F}Vq%W|uLj7hUU-67D>X{)x|j)M+wSfZk(JoV08C9Q{f_`aHe< z0DOW*^<(TwwKkRE`k>3VpyNuQS-DpI7K&lji#^KoLY65SlHaR;3lT-iuQx-}$3Jsg zU+$1t+_>fS`*14;(p$^eUw$)Mi_Uum8=QF7ptw9hBy)kTnlGi-bEepxt~9YL<$xiK zrrs2uwy~@!xG`;0%Bx|o2t6k^F9)t{xl%d#>;3Fc12XfpH@a~S!Y&PmJI|+^qUZiX z_^P)}6SOo-gRg>L(yas1{tON2W#(J4o9^O7MQ_;f4)|j^Ba1XC53V=q6PECFLAt}_ z$vQo_8y^lW#ajz(#t&cD<5D)SpZ2+X|E8D7M8>k7Xi?J-WSS-a-DJEuWTmfsZlELq zOxGiRNTvVGBHkhtv=fmTiYk-Jm{clZS!gu2ebNcEkqiNQ+?PLa?$pGRrkw zh3&|Th$-TgCw^l_3cu@jFyC%vI8&#X!~kI?HP&&6uBYVUKr&F$pma9t9WPY=g{*Bp zaVJR1f2>2)vKiHtmiUuZ&tM$bQkR_T5A{s@6SEgXe#UvIT!Y6H%eBs=_GVl+t@~5A zjKI^Bh&za>iogT44K>)}o`Ph?0<=D)@SG~lQo~h5{tgs|in4c-5P2)HV#;5=8M{}d z=>-z?#2kn?O=#ebjf>n9kj08^yd$h1IPjGI&dHOC@Q(Sv z*Jevl9UFnK_X7Qab3=U{nPW~dyqc`3Y-;`v!uVR<)J1{15FDO3KNnb9ed;H*P5 z&+v(XA0+}Si$#E135q9K9f~GnsTQ#HJ6ly&_pusg3lOPKi}m;4ym&02vF59#iWjUo zIL-cRu1IIVw$QFH`ux0YsTo~XYlM+5L;4pQsw6ni3Q}*Xk^&Cg>9e9Ahh}Rsj~K_B z^!?gL1>G)Qrb=mNZZ_s;(JGO*>`k#l6QelA*7Maj9p<|}Q*3vz;QC|;LcgVnmPmIlR7!HT=12Wn3795lF3$|F$KcU)f zrT`m+o;Z}z+w>T;7uokvjzh;c;$ro|x!DdtizK~^n6M2dzt5~k1Z2Bwo7rASACTh| zuu=8| z%`*d4ZC#>`OK_b#D;MRF-@)xZ`+%3P5#-nnjK?JczIVqqxg+^=yiI~Fu!HoyDXkzS z>VkP)Vsr=^t*;mX;W6-j)OeCF2W73-SXu{{$ z(ySA-;$I!9uNOH7f_Uf9xvH2ZEZ?hGW2QoIE<~7FD2BqlX^Dqjj+u-VvPsx9WE9`w z$L?(-tx5Nh1_uSdgb)9fxaoy8OaaV!}$ zs<|yB4;#|`m?#JmUFn1W+{ed;{w(rLSOk#?nhQ|H6jBkOiU%5BRh6u4Q>7oG6!|YZ z!&p*lqN-{EZ*8A9>&=99zMz!`))Do|ik@bOq!l?U96bWvm4lD{<(%$J29HohMZ%H# zAWQDIZn7G>0s^+eLd!Zsenf)&QfM4aoNS-mukuzqG)U_cPby%kieDE?e+Qp?ZUkq) zx%H@3osPo(7mAAnqJ8Sy3F4$sB+|mxpTjW^qnw^HC>-rpy`RB4J3v9bW#9{6cOw!3 zneJDyOBR|vGjCV~^>2|sKw?X7x|AFJwFSuQnCgZE!ruE^;ouw5f z!ur_~<$#XRCYLp|g9e2d8B&9b4TE*t@KpUSNl;?&bYO8bZ1Zo#1Hlz94k*z$nLv5? zfEbCl51TkX=?^srB5tyy(fVop4(lshWw6v1X{znwPIhv?rV>>v72IElmXH{DnFw%FQFB5vD)5}fC6!x!eZUsd32 z0*cSuH&flw`t!ulHmH)8J5jZQ3vDUXV;GgS;R-0n&^elW)(D@$PZ5ql;(@K^I90?1 z#OLLvTb)+<>J?&PiYDjMC~&@wa(B6}U$g!uxwNQk;-z1EV;EAF;ASLhd*zK4HBu<` zQwpclh+5ANHP9I|;r0Gdprom5Td|~pr)HTCIu{fq=3}#lfHojY$J=<_Bn@{lK*z$x z+FGekHuIS|$eA>!y4x1|plVstb*3%A^44mi57~d($^D=SXmY+@ao!U@X_PpUyS=xI zF^K5wNQ^>wgYDJIPefF0mkaHDrG&x7L-gb5-IVKNIia{JVmjWpuDD_)HBppvwqRbmcrlvhVRq7_tk{#oJ zac#>orQ+!O8+P|P4 ztvKQ|KWChG4B;|Ipd)m9b4{t{rXubdn$S4l$&*`ldde-; zUk=B24j)?YRCayl4V)TZvQLDyMxym`pCvMpas?8w9+K``R#oOp4n5@2re2Ig z+c67EL2rv}ZduN~=i3xnCL=HMoL{MHlKkDb_#6>6U25l3XsMCS#&YL#?svTXAsW}3yF9)GkD|~0M zmCQ-$rLtrB7F$ff(bNu%KV~*bS4?Y`Hpo#OH~md#onV6FW#gqDzN+cpN@Ww4TYpFC zzM7SAX^R8WXkwHm;B{mdKgB2&h;+4DB7x>j-INk<#}V_huhzZgF>+GJ&5!0PFR=_Q zZdSPYsuL5pBn#PSSV1j;pGkzb?AikfIs_${VHR5IS_-rq9FL}C%yz6Wa~uz*WW@UA ziQ)8EU#O#KW0YoE%I?%`rehoT+4x6YN$i^w%aq4=b$!fI4&{z=N@WM#6!Jckz>FHu zCzo^4XLvZp#R?)Nbzo#LDT-evEJa2)L*Km*9zoeTd`^#uMN1kRbUkDO(rbR99*ZfJ z9cVhsD&?j-9D|*1wBfm7qdSz_Zs3Cd({!E*qKWFj@M4;jW##?xYIWx8Fo3hsab>Y2 zNhdtMdV^r}GXoXM3cQM3?x(bnsISkLkXbt%suMxeWR8p_fS<0-_qdY|8!A$TbH=El zg`V#e?C!j5=uN=sBfdOgcaeG-g>wN=$9pkIkicMzcgVNC$y^IJj;MVcB`W@^Hf<;# z095O!OuHdPWeK;VCG+8^Zh|Sw<$9PGu<<9}SaO3TXIu=cxh?L0D363@DO=n($;J7z@^YVZ_nM9s@jYw5_v`a)(v9*8x33@-hi5XUlKv z>GM^m4ioMdn|BjrC#I-@{a7iGnGI|iCKV}s#Mw($OA?*`H#qy}-{{?D1>}H||KAve z_m_)>6{?*yW`3=Y+*l6AwDnID%t&`5-ZQ~`vB^-!V19D(X*W@dKQtQzV;9slRxPnHSAAn3JS0X;R<@;SSXIKD9Ajh)ntur`}sJF?M*4`?$n?h=5+L`uOky-?cI} zgEKZaZufZ_p!oy?1EWfY;_4EG>hchY>f(0e68q?Rk4K=U%?Pz z6(T{j2PycrYv^y_Z}>(QmqCu|nhl|{aR|HSm;$*$6%Tcb8<4&avw z$IBW+Yv)(0@BBrKYGeUPjBtxHfi0F7aN%6)XN+j4l$HXiC;>&!cUOpFVQ#?&_WUVk zat>F99j-_RF_QeVB@BE4QGW5BxjD6P?M0k$eSrxqF(*h_FilE>D@Io=L;AZSQR2T=;{jkh=J}J<}R+*jt-=(>`W|7tfXS@)^=v3Z0u~L zEL_a2Y|Lydtn8$!<}M!Arskwd#-_HUtfZ>4q?+a?q>^UVZXnBVMsz>p`sGReRg*adX|Egd+ zy|wn^g4*TUN;q7 z@Ro4`W61rLlcGiLxPbQK#%QN|g=aB0y-D$9EBB@6O}2oyqQ!>aTBrZ(!(~rbV`F2) z*5*o?s;wbnAk!o24z?{BMywjl^*p1}VBlOebb4=iH z%*Q*E-mb9x=jYa@<3{JZ=x}!h*u}J07ynOU0)^KhRVowy>O0%C9^>rCXvU` zEEz>IZVBfw-t{+6udnzM#SsnGz(tb&Br8V&z8}<1p3D$1(;HTVm+?x6C6|||z9Gv@ z2A6}2A{f)#UO?ds;-BPrq6ROp4MAv1!GcC!*%yjbg$7;xv7UwN%8njrs3mNa9g8Fo zX^=7nG}qA)yIyQ~Yd@(P=r5yvXOHgOC|n?;1k!)TJbvq2@0<%CEmOIr%qTfh9^OLZ z@bmHYFrOiKL}hh$^9Upy8h%4MekaFd#8e=(r@PPOuIf*aE4#ed-p=1VHNflt@`gp& z2BT_wB=7d(6X=7bhB$)qqO!nFD(;EDp5J>J(guz@FMtQWwT;9efj?la+gN{8^p|>C z)RSlR^r5(c_2_04IS~?(NU6VSRu-pJw>IPg$%XBri^P31Pk!i~zTwzPfL3xEAi4$} zzUzg`AaVQzq%6QzFmrR{!scow_}>2BQ{<+j78a2o*8yLxLT?QeDQO>*VFA270)#yq#baznUKCt zpt1aw@vHWvKy|JHlaa;}}_nM$y7H3*M zVa!3uc@-C+@^7eoB}=7-C4D6&cvtZ*&EBvQp*?z*v3lc-KiGg zp6(FlbJ2mGQ!h^v2<-Z-yEY}%!V4p)=IKj>B6c((A5BrFt5%w|3p<4J_UVvv_}>hP zbx{4M-|{V-_Gd2w-bA4IgpMA9j!>1s_GN}x_uzL1FC=!1?JrTPJsssGaMJ+LtneN5 zoeZYQQBxrfm)`b-S|+$Fi&DPbcv-ATA4O`ghz%9ne~Hrxp2amN9aqA=5Dj$2nY|IJ z(rlpL6*|fYNhn~)gzi(WJo$6~qUg_tzuKbq2=5k(?ox>-9W#YD-A8&Ydf;*6We?|H z5j~NZBYo!TctIM7OqUAvQtmx*EeuGpj8j6TH1{4-8Gl7P@^>h>8vXXWy~Jf>bh?2>B1RTGM)NUmTN0SgvPR=BB@TybrZWFulHc1 zfMM!(a<~%%e;%fZzF=(k!U;k-v{C3_jo9mZMpRl9Bw0fUQ~c8O=6AU!L-6>bwdwuX zSo{3DNfX}ld#bJ8WO`rY1z3AlPA#;=eaRo*c2LIdIBj6Kfz6YSIs9FWVIdkqp~gFldpzT+$1DAmG4HSExu^oVwero8RE`t>eh>kYgs4f9OJT5EX^2hVO8U z-l$i$*YiXC6CM}{_CY%@dI*=rM>X{@lteTQW0sn^BO`PL{v|eYsLINpFY)~CDC1p= z``OV1#X*pu3e{YsN;dijVq`EvFji<3nxCjs z1Q~f~KJE&1FDjHL?wYYvL=O>+XG;fvsI&cBuVmrCg`rnyAA?T^L0f+sajTMnd$aD9 z^ts3G?7+GMrrcv`lFy9Wcj~s)Gk7RHJrAEf;j$wk6turT;bZSeoV%ffVbLO#oJP4z zIMq536#azMZE^@s=sLEbBIbe-A@yyzpaT>|x}2L^quLjS!eTa2=-}vnpnmq;Lt#PD z!(oBzko+e9ujxzPgY#6@T`@UvKs0lQ>ZSS_09Tz2j^UfT{RLLRijCY^r~dOjHi6e3 zg`+LyPLmp8eQ7EQH3ZLCpd^8e@k)reZX+m^0&~WA`B{JQ!q3PfHQ)xN><86&Zz8<^W_AQrlVluVB*r5P#?0cO&CEa zL8YATt{&oGK`7q@F0?zeJEPSf{zPwkbuThU5EG8uwW;-?ByD=GsxF#yjfK{&o%;N| z$~f&FElolV;=v248C&Ki!Cil(QK_keO!sSxNi^ejqXJrS5UNQm<@!lNrEKh;Xb4yY zplWh@e`Bl`E-!AA;pg_h8n?Rk_DTF9)V4(zB}>aURY5)d?JwXd50u! ziH5);6LWYeU1f{Hi^2~gOyO(H<>!MjYT9*yOSit0*u}lItd(_?TIoZdVZnbf(zGNM zZ5C~w!GMIsGm{>))Cgb>$Uh0XI*frR77!o(`m;AI|haRjl5=Du+5((H%96OpA z^BE%ttF62a7$I+==djOv5xP82#oW@E5;ANyQz4Eg#oX%-%pSfgB;-|d0?M`HcVkj( zOlF?0EkKcb*q~xKzmYW{Ff2NTXH8w&ZQ+&NTII?ZqnV86vJkZ@E+NYg3upFxmgG`$|&xZg< z3>1-D2?xtYK2#?U#q2yUgsl<~{^&$*juM(XRxE8Ou$13xJR%aFoH)Wm^5hnNj|X4= z{i0Ys7*g@Bi`fOO-up4M0VLUSKM?0x#(m6oiT_q_?G@Aar6#<~MPHtVWdE1SYoQcf z@Y#*_Eo0uI*z;6w`z>`v7vTw-1ATY0b=ssJuKO6A!9yiHPpriG4IXBd6u{2BL1p;& zQ4Kh9-sbuDue{N&rX1Nnn9os504nhBQ$SyT>hQyxwHsmSGC=dDP&4>nd_@|Pi`aP)9Y#~=2#o6Z*Q9JI9J(PKLw(-O}@ zZlxP8=$UQxpCN3QM9!wbX70$68%2QM=4zc~J!@S1EKee_E752C7yygl8X=-f5fxoh92dsxvQ2EmH~z_9-aDVj;dBmMvbo0V1$5_7w|U zH^i0874Ys$@02`1lZNaA1F-(`@5z22Jr0(2cgryh*YjN@lRF$)=ENT=giIcEhontg z5d2X*W*tEIm|q{~=yYDP-*$!mWXdZ?%D-AnF*SXXm_U$sNcVGqXV-j8^dJrz%jT9> zo2dhHBdZ;AhTVP?Og}Hmgk#AI-cu?rcX0g|S=$y2+^9A&p+O+MlE??DPqY?iBy2Sh)_0sUt5em$dXZ%-e zjM8b`o&uF=kv>dv-BZDStU@r&o#T7l74Rp!u0Z5!s@V%Kx^OHLTpb=lQF`|qR-@iJ z_3`+H$HA{Ql44`1E4g2>-tt?i^UA{`?1&f4@I7kc9xE6A{*i^P7sOb}XIoT#=tW~j*&x{`LfVRK0IQJclp0jh{5!XWd~&G4ZgOUv9aSsi1)MA};o zJ4E%6bHp44(DiIvapwxu@<>VXJxrs7#j32m}dX8(xXBg*Wvj~32Jz#wvpS4be-{tlR?kCO!FIauIR6} z_I8$hYCh9MM+@&9Xg8oD1N&_p9Zw591I{W9*LJqNwXr%`FfgDjmtI9rea$LAq?&1e zg#ANoGK~qlqa1LHT6g3Kc-^_9?vWeIwZ59^k%MJE|2Dq`aK}|ZQn-zKVtSY%2|L;Y z0wg2yc53mP)mf#Ln3Ia{)UlcyfEYS6@Cu((i2-2v`4#pu!pZZcW>`Gy67QMAAeSL; zm!le>f7V1d$2~OdNGY1RQ zzP{}F99>3i9Rktssk5fy25SKD;xn%JvW}%!T2!;5EExXiQBF4wxGCY&TI?jV#L}pR zGbimcYnq`f8`o_3mrq`aHK`;XAr%2a6Y8$e(BFx^3HDP+y{{_-oFf+!|GG84p)r&* zOjM44%^$3IMqcO$549%SfOk$z;)m?dDJ?ia-GwzG>rvg^Sy1YPx_j?rrFE^x=A6>9c z^{bto#k@jvMCO6!A5`0R{A$0g)V>qI7#x$V=%zz4pJ;6#rzl6xfV}7nRm$8+_;e92 zFNT$;8(1_WRZFh@hrLaxZ0t4yf^Qu5xHSfbP&P|Q7}T?OVc3y;jkk#T=-L6sh1OqU z*&*%hKUPH4C+f~NVZ-KuxRJ3&WPzm;iMaZUOb%$T7Aa^B50naz38U4sKj+ z%v6?zuLViS(nndypdl_N0GN%bxIDD4%Tn}^s-BZ8Brv#LE>Rg$#IX%X9Hi^DA{H%nM+1B{8@Xbd={UcaiOf9c( zWNv`FN8Hz^%6m3b{S|Yv#@qK;0Ji2!g-1HBze$A~3*GQd8YNN3V0KBMws$PG7b8Ut zStow2V}@t=tXHDtq6hw%Iqy@<7JIGtFuuTV0JyJ6DHcFu&x4Na8R^~n80C)OQs$9h z%#!~pZi_$o674-UOfEs385#!J$=eT40R7Dg^@`e}cFBP&l1cApZq!iH2i#&>-=*|Adz9DQw2P7 z*sGk~z~T!Wu^`MUHfiS?!5)$Bc~t`rW$egbQ8uvEGvYf~?mhc8vAvyTPjMK^Sh;(3 zwvaHvh1)bHjnn-x()DlRRFV>np_k;9KDhFrnOS}f!e=`S&`&ZVxT!xS$h|Qla+-|g z$X|)uR;oTI0?^u$A$fuMXkT_lj30HHQQK*ell|bprKIk5MxatvAi#w&59*eCQgAhA zdr>uWDdo~KI@zjKJq|g-`==N>A3|vH;r$OZz1r6N5XSP3 z^xMx5nVwAR`7>5a9U&jo+Ct&6m%vf|eVuTKd3?wCyET!ah_*RIk&=}RS%_=xxg;>i zFmz8al!uz@{AV={oZ6^lqj^MUWLsBgmg(>!3w3;S6)Qz7%b~Gw{E_m~h1iCe!_ESi z!#>&NJNT2UG2B?2u_27Nlvd=@V&BxN2|ub#An#i%gAkRxQl70X&CIt(=WDr4AFV?K zc5T{}vP>hC?($K9i`(_D$LKrTbSMWUbQ!MEec!2req(aBb=qg@$3M&g*x-puO0e8t zG0ED@CED(W!*2AM(4)}S#p=vy?TOtClamQ6f@5u8OvF)cNhkJ52oxA!&wz3hZM0%Q z&O>axFf&VF+yH%fvfqy)t$HRIhMQX!FdukLI;k|0RiQARm0mM)j;VXavSsB)%xK3y z>J_QwMEg}&l*#L|hP3ne_Gz8(&>gP*3^HhcA;`MN`A3^ctur_l!{l{qO4oR-e=&#& zBbO=@WvKtolP%OMZyJ@8jd7OBlGCm&9`qWaUG~BF7I3Id^(^IAj<)$hu7xREufRodwT$>3 zo*rzpvIt}=^xO=o-jlZ#@C6+H$n%fIi09?MEnhMc`4fm*WXq*SX$&s{FBRw(1D@dov+i=)}6gsKO#`CAF4^*!v*@7A4T zuC}WVO{z8t(Jb}v?+~r?DJC*aQRTVuvR}48yYxw$>&q3QbpKSg2>))~j=+A_)k#vP zqaLVQP+C({fa3bBQJ;i^mk^K|k#_cWF>?W09-1s@s%*yu(gZjkn+#hWT(}wo#3_l36zzC!8g( zn4A0dSC&g~&Yx4`vCkrmnNe+(Qw}Ml()!RinA`LS!e{*I%A9;$-#2$&|uV!ma4J>T+7v8l%pi@nX99Xbh5o*;x0VbCYQoFtyM&Y@R49l~Ax-%~O zI492Ahd^0y?tiMYV`+UD8IQYdVLrf(m&?X>0VpdlhsU`RJjxW-a{>Vw>d~g(IOSv( z9OxSHS3ltg?IL~F85(I4zBFgL>q@qo zK&i2|-{G2t@V~=t(VtxtazR?FwsqL_L|QYLTkCwpZ7JIzzk0i3by5{K={^@9>OL=C z*n6yu)2%36p(dGH>ueBlu)p}^Y(}+l*mOo(>lAl=-C}imRh&3qjSpJ&w03BY1Kn&L zNO)izHbLYcK~P`ox^LSxMigQxJH+Dk-oSR7>=a& zx~s!6i>gJ`jB4$0DGakLRN1=zk7GsaA1{y&-(A}Skk*6>TJ`y197yKZEa>+ZG~Nw& zn*ua$_v4~eg&ZnoYGJ?rZkuiij+`|#Dqx(&EmQMe?NW3=x@8RX>WJ>xsdpNctB)F! zpMGkU`M65J^B&md-5(nN5Q4I)EMyb9`bnK4fU`Fj%Yo40V`vWu6ZH|tkb>XCBUDn`c15(E>N!U09q zA`N9ClnLW=p^4P7-m-y2vWCo1^+a|4^3zZZvb{^sXw!u~{Sq79`36Hu16#>P5>d8? zoO)yo3CxDBlKp4viB$SHRRbHhjOmD^MJtO<15$RW*tun{LTJf*i>uYJG5OY-z^Z+3 zrLkeGAzEfm4ey_2D(pR4yTXfhyaFX}>CT&40L6lX+J}h&84c%j<;7!RTWrIMXBTar zeaehk_wtz`rpmpWh+Dh8_&(Rs2<@yF^F7fc)k~a4Gbd%~5LjUcUKkY(4#nwTU4})` zlwEM(4cN@=f;c@KD$XuxZ_WeGqjIqdOxj^Wamp*b-#rcy|nE7)~-7H3>^P=CpRJDiFf5KTEa!k}SslB`*ecQdV_3e3=`2SiUTF zPMSnY;ZxojHkD>fWtYr+QOqh}i;{6lxA9x+0na`_3P0}aw3WpVYn+Z&5evoNUmCb= zMuf_0T0qM-Y23Uxvp=(MMHeAChC7m;<8WJM;yePcVV|KN54L75rTNV>E0c%;|3j()!Vk^EYpLLF37iE#p7Z5HbTL44pxuQET_f zpEVWF=D|4khsS!3kL%dtf0O{9EErOwuo8!d?XE6=4kZ{x_xiF&1rCA!0#oqh(@4~I95G?N zijB&+#=ab*J3EUBL%Ofq1;({IY=?yq>DKJGGFelLM9r*kjRCr^l#E-wAF+L7WEB8} zny)_fhs{<7By-~}6xp%~wz--i^Ft_QbADZ8Q53~@9bVHV=4E{-jY$(;`PjDJL^En$ z1JN&B!bR>@_&$2J**A&N!O1(4s;U9n(S7GrR_8|@IN1TLBHbU zdTE7QTQ*DSQvxcCf7kHvm4HYq)g?xkcMBVY*-t%{_1UV*nKFU&xk-}qw;?x(dYeue z2E>S77i>>Z$MC#FfgqS$t=!hP!wQ)$;tO5raF{tCJ}N4v$ovbGT)sSKRV#_O%JA%e z)y#phqNt%e|9iu0CER-UKfdPEg)3*^5SX|;0||3f4LXLEXxL^?iAIK|~AZieJv&L@Le$wsF0t)8+$P&<$*qRE`t8s`^nzz|olpe6Y?pe8f2| z2n{NJ(Pu1PRu*+6dJ~oC^ z-*#@5wScE%5Gn?G$^WK$q6f;P6(yF3`^=w?}^x z`Dod99vwm<;K(QQp0{RMiE(^uOHC*QZ9|6&FSyf)7+etI8|9b5vhZESLi#*-@O~HAfor?3z!`~rB7>l42UwV z%e|(b1}pAu*!}B(_D5d~2BPJm`&B-s^qi}lN2MZ&T+ToXTqr$bGVD{ub^j<^XS_nA zTrK_bT|2*@58hT%n?NxJ^D9FIf*rA%P4F zCTC9}H^^KYi$Wkgm!uq{Cwa5O_1zc8UuBV5)n)C5XWu;H?q26OVc`dAqtWG@CTMvG zb(GIdQ5w*>Wk!A(IIfj#U`oI_^Hg}poP}Z0{GT;${;2u`=Uz2HCk^Kltvx2beB~W8 zIxW($;?Vq{uO@e~ZWy4K8kr(FYCdnyz$}^he7sp)6{<^I@^+@=Eo6S%e~QByLB7A! zG5nZ$i2{97@6UXcaG;fb9ZT+geM7!!E1>$TqXosfes~o)#Q0Zg{%nLiUmPLF2)}$n zgMwL#Nivi5mSi5?h5j%(eqzz8M&0}t7=8$=NruDNMP+dEdc$mOAgq$Fr{N2kNisL# zr+CY!N?4Q0Sq$4@Re5vB!Q7&x(JS{;)nMN|ULArNKatbDRm1@Jp_aOMeWSwl>7g$> z7~%xVxm*M=RBuFb{V*_Ju1thzCN3VOCM7v-;`DFUxXt;5y}jKhkZH^J9*bE;@i%dU zXN!GuCXll>J=2o)l~cdhPyDzN+uXszqOCV?;orGJN4CB~$6L}KBJ1~{B}YS7qt8ea zM+1qjAiF?~?#I*Ld3x3a`<=#zG)?B^hRo%l&Yg#$GC<`uh7NIqDQ?8!oXR+pt@lUb zzdG26+2A-kK5hq(GFb*l&u9z^biPkKw;K6)Imr+NWMsgb={bWO#!gyW_>DcJsM%ml ze)OKqFU=>u&(E{_Hd{P=t+4cvWPgnW_2Mla4mBq$OETV{PBQ*zvcI}kSTPw;O` zXF%?dK##g%ZckafB09JBH%0v@0meO6Y&S~1ylNK8HS|z;4D9!%gfDMS6A2{uWG&m0 zUKbXgO6@{lmTvy?+Q&E}-T}m=J*pF4ifTD9LL~AyHa13%Csn=!}o!1KMaJLzGk&KzM5zZ{VAO2zV{mAW^$^9hJ=cjxK1Dtm|bvSnekn| zGUD0$fNA=T;Wjd|kxh8gq`#jM^RR8!A@r1{NFl==|A078Jbcc=lf`K^%bh5lw{^jk zej|G5x)x`w|LJ4^aW$S`lp$XP6}5u@DK{=NdotKtHD9Q+>Wy3F0Iy&{j?p(lC|WOc z^bes_7^L|5pLGT{M6BBe_lJz;a;#B-h8E&th*hX5swbu#=oss-B23ieUspZK#HoMq z6rnm$Vl}IlPgn2!wEZR2GB2S==G{8SP;pM9zXIEu8iy37ZY^rCO`X69>gN7r2wUVI z;s;rM#cEc!StW4S0kzq&n)Pj_;PGatIF3VBW&73ft1xQiUP+s0e;J>4xAEOdlj;Id zU8srh2qEoXnG+cK5b?4gv~KA})W3@anO3aASWX*EjWou)Xjj0grZyq9Qmr8J6+&=r zX{onE=m2rtz9EO|HO+ezP(c5isIDZk@0Q<&n`HZY)I8!Zugh_zp6UDwU)s*yweg?1 z;)yjPHbm?OG;oLdbuu(UZ~MF?BM`TdZ`96N586hYOY5rYG9+te(XLRQ(xAI*(zE?6 zxCzQ}NS2)~TL>qg)-0L7QxdX*MQ!h6|00NCTYF7fxmg!mSLR)hg2y95sm(bnirvrg z-U06BhH^=aGufPyAJ&X)h#G2$-4m7A3j1tdaLS=UPPOL?RG1-2-zci7{GDbi!YI8^ z1dB8g-Fg>N*RYS1Ht%e}5ddgKcXA*v7LBD^7U8-->1SZM|LBk=+!&Ux{RBCz!EOZo zeeO81B@^V(zO;Gw_+XY6TwH=!tJhFny${e(Ti1spbG3J}9o!0}_VOVLx(M>$OOvz^ zHFYA+<^P;}@YWkd6_y`=H6`K!6XC2=TE@2?(At5O*g8lEC=_;J1LblS0^U`vdplj`9(PTruTY^vBicjtd?jOYVM1)VXxwQi|w z7SEG2OMW8y3-I}Xj-gKW?7J-IGCC z07U@G&#NT91v6|X7t;M<_bc1fL{PeTDPvrY5MrXW)%(c>f6v?Q^;8EnIbc&CUjHt5uV!j$pi!z9QYVJ)`R5KS903;`?tlhdTmVLI9= zHkTE!P3uGt_%?x$uhV?QpvOQ?ZNlG;CVte-L;0h);RkCKLBnB!ty^-r*a8r>-hR<_ zm@nS@#*SYVwpICLYS|cKxxoL%&KJ~$hNUg{Vfy#rEqj!pxD?J67b2#lmI-i`-R{i@4SUE9jWmv zfS+SV@$dQLtrOf8S=>G|!)k>BO8SagF7)yt3)5OD_=-|%ieuXRQK6kbra5gTFxVR5 zR5nTZ-CO!?V-1=4YHw5;&z?i=tJy_3j+cu+MWu^6vgr8wFa(YhBqmR}`^86xe&a6E)Jlz<_1^Emmi<;-jC`QdWV@!cq!R-0?VOFp?(# zpm5U{p(xlofo#X4X7a!2jj~UJ9AW|BO$$7LjaDRkF;T(xsH;Z|AU_QSz+*yn0J6d> zsij8pH`V8WnSZl0u*_*I#!6{e?le{VcF8BPb5aiX3>s#iN<~Wsn8c(1_9`B8PX=*U z*i&@nF;c*U*mO(5gngy9E{l)#E@om~}i3t2Gl8z4%e^Y@ZSY!A+(`K>74ZD8W z?S&NhqxYJw{ndI}d+Le79#_Y_PQB?}sR1rb=;=n(ULY+O_TaK@UFXBi zU&d_ph|ugwL^0$B6wP^(<*EYzFs@~5=D+N>Tf;8D(3Rh0U#BE@Mf)@nVFb1r&^In$ z1xdc{Enf`t4jY*e+y4F#`3c{xS$LY__{~RCsVvTWjIhJix@&I~3zo?(4rRAigBVs?ze%N*v7kq2(Gljbys5>p2}{|HyG+%A~m z=H7t*>|>^FU}SU3&{nVr+GzkfyThw~72ZjRR%+(ja5pgHBcg{!xS0&Nv^7NqXE zxl;@rU(SR~1`0s?*ZNrxCc()5UWlEhs3~oE_8IRF`=_Up%tWCU52&q{9F_SGm4Ah= z={2vfaRSLH@~)@RUwA02?b{dKa>*pn7rTcoG%YqkWYv-{TU3uoWownpNm>q3pm|w_ z0$pGdrUFsOzqDJ@-A>mW0+9l@BV>>(S(Yry>`~n{8GOE6c^m}8>A@IrI{z-V;zQJ$ z;}vNtfl6nPn_it0^#GNhJ^YfB>c781_0n>_HB%4~mg@T#P$e=rTmc}cvz5Vv_C||& zUO_p99h-l3;cugHa2aPtA87k*IMM5?9;@XG2BB2)X_k!A-{;Ga=(YFtF}A4NC^f)3 zGydC3FP!w0X#9zjpXWh)>Cu@;tZ5DrPKzY$skD)T4>C8$@T$t$v> zD3+w%t##f^qUdVN)~Dcr4yrpTbTC^CHO0ROg$%m2>8hL4qc}k&#Cw>~6E@JmdKpoR z0mSX)vC?`2?br&xZ7vj4?iw(a0ng*;njjUmi!}f#3J7VSNEt3S4t%CS<*wdS)7ic( zaqQ;Ohfpeo5c%|Jk7vEBPk>E@cIV%*A~mo^O-olHNxS9AuEp7d;G-Lrc2PUEZ2N0t z{zzD97C~7l3u|UEG+DVMlf?SysBKQC@@R*R3#*NbL0DMNu_JJJ0np$yt*~)XhExO>qNv?PPX8@eu@vsP43St}TF2%G75&kvGX^D?`W=H@K4A&0 zzwH{-KjFCrk02A)0S*6BoX-rsxJ&i#fI)nte8mzIY})L;fZue)0Bx#Wgi^RAUg3C@ zpk3P=&_{}J6wmR?V7HevN-xJaHd7k)3yg9RaW5&t+}Epn!5)~q=4!4$niQVe;2}VrEp_7 zN~GVVUX620@+)Wv4nR+1FPPx3`2Xf`Xfe<9`RVGgnrY?=`0#XyxzOzEK=cNa*rY5_8$5N3#(x<_(SBG-S72XfMk zy1u{S9IR`YQLX3$rT`gQ=b?qhJWi1VSuO3%UhF=W>PCSb7%y~Vo91Qx&S4*lSx8vRF}Hl1Fbm`_3FnJue26(8|y zND38r{3<(xRKESVeD!$Ba9#@4o#MEGtIRy_onpRVdy@syupYc>{oU6!dojm&bw~Pt zDd|kFjKT>WDfe!~^r6lesLmSJGJ_`~#_q5D8$)aB3Xt{xLJ@5`AX2ZSfmCFAp+obv zy7dNg?SG}z6+}(qcBOQ&{--1Mf(_;REzAEYDNwI1hzd#qR8a~Q;J(CiF6vHWvX3-_ zo?8LG*z_z6SWILKQjYbXa=!l>Phu6APf>|TKYEvHc zE+2L?oOeffsb#|!c+=8qrI*NwuBexgO1Gb2LRo9(1e2bZtD+U@yCVbxD^McNx(~!% z4b7)gCwc~?Gt!2Co|X6IMIF1?q==;2vODf`-vhn*ljVG&nGVx*e6d9jSneegc;)@S zn4zc|LS^*L;r#y%5vzXhL&_-#Hjaz zNd9ELGhiA!Kn(^-sdmAB_l$Pm6cCerwumz3;Bn)VXj0eo7xH1k;!e1kIP~KFY2umo zckA?Ae=>QExZ=X2Umq{N+xP)q6}^tzdM*FnA@Oqy@Dv`Du(hoT3cT6S=)j5JHU2IL zmlw5WkxtXImgjCK+^uV!vXMd#3Z!&lRA zndUc#vu91aM4IMDSgrQ38^xR}=qa#1>Bp%7dy}kC84ky-WanO%X5DlFX2VnTy;0ac zlD+E;^PUYAan_-X(AJtnD0n`S)t0(*-S>^5rhL8kZ^3yavrxtvA0Ls# zUhz*Jc)6iPqVo4@S8iX&q)A=mR8<|Rc>1`T%-H>1UtY$}M3=W>o!&4aqh)%^Oh zDirwIwAcIjxnue3fGNjRpwRH?dbQb6j-#b^3JGR1V)`o|*E5w_pO30|jZ92FZ!^P% z(1d>o)9Gf5+^COp+@~<;HN4MHFYp~pBU3mdGou!tr(P;v7#J93QWO{GC{*W%NK|LH z8|TqYbdj9(Fq;ctFs4iI$O6v!( zs}4ZOb&8;Zq1nmlMXchGUpSR26J`c{(h<0sqi8LBinVP^M5u;>FnpK?jOp~j0`F_v zvd`Vv3)u{`u$kd-Do#h@M5Du_MqqcYxLE*Z5G9E^Y;^IMaU^sRULmQWkzrXsy>%jg zBhfw-4VSxBb}6Od5OP>T@9HmPELifONY@929HtpWw6*Tqo?ly9V0z}R*qy-U<=ecI SVN0QitCTeVz3lk;`TqdXdVS#l diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb index 66a297df7ab2501325fac56036247a99529d7f17..4c295404f666a33202d540b50b62e6330bfaf838 100755 GIT binary patch literal 53983 zcmeFYi#t?Z_%~i5O^PB^Vk)77Oi0c%k90&lJsu&4Ns`K8NX~P}DHYNQ$zhbDQZq@; z#yEr`hQ!2R1~HhJVTL)+ez)iQyMFKWUhlu~Uao8JwXQvD?X}lh_x<_YpL^N5X3d%p zN^8Mu1o(Fxyk7{o8F3vIy4%>)=!lW=?&FcygRks1F*VtJZq}^X*8AUW&5*+X-0465=N_~64+%2j z<|>e3&iv!>>Y+o@`V)^$PVK4K8)5SF<(bFnkjw)W4<8!uc$F1 z-XkVOvEZRBpG*@87T`;9J)+Ne5;fXKe35@Ket!H9iE;o>VN!dhZi;p4`d`F<{_yq3 zSnB7H!G_s!npsGldz!}YtW(+DQ`pmQr_}pwrr%0Rx2Kt3%%%|EN!X&iF?7g6EfME7 zk|SQ}*boqd9aA`S zsMr(h1}`g1!vx#rbxZ-r0HpA_%XO}WbAOvn50PNx3+u_t?4De*0FbQ9fCXxK$i^s> zyV?WzB&Q1d-c`olmFiD_ibp8t!3TF_GRuv6I^w!I>d1NK(7XYm+n9u{Fo5@u<&t;4FOLf!jdP6fT+|kiBdC1q;p0>Oe;>;oMLSSntd% z+DNBkUQS7x5YbJGJC-@QKLhX@MJ)S_E>8#y0T*f}HH|wBrT7;t0d0bNta7wBei3H{ z>xNC2b$UsENHym(S*EzWoC`W!3lys(qJL<0oWLmh4^e_#&JlL7z)vlyi1n5sr@9e^ zL;`tGTo#pw`V%-ZeL;tr(ZMB`nUcDJ56A{=KI}uGhbA-RzZrHLlS~TVNnQi6YX02M zYAdQ^xyy(VI9~(ZG|@5S=(0n9Zf3V*e^z_t7=W*`zdpY|!;Ac@;pphx5)gtP_<)Lx zEW1%E{K9qnQ2p*EH!bq@qKEs%vBB!t>vhkFgt1$THY;Kx_W~WUT)7<5SO>?sqZ+Gx zZ8EzKli$T&hrj+1DEiUq-WGz%BRZg_aP9z#TCoh2P7mtj0WJgFXv|@-FuLq7eTTl_ zrXy|>x_yA=05r5WFgHCJH@W<8VI5pRjPXWZ7rM1wXMG^_@PJZg*?m4en;0s-;Fc+r zxQ@;d0+)ZGBdKEItumJX;t*K$@+lCua|e8Ik&(bpgfAO+2yme-BRlxflLGu8K%GC- zP5d17#ipkN`#KBo(Ge34BA)~A2EE*b02K{fw*hY1vhV{wKb8(a8C8Q7Dt*so~ z&uPrpm59MMAwZ*6thCR2yNwN2#J)$WS1%0|050N=@HdU*Vc2ET6fheH42Xb1CU8pv zRAGb2-9Qk6E3M{Q5PmGZ>liDmT)f5~u!Ws7K+d|GWl~|&zz7>~mF_{(;KPj7l83vaFG%OAwPosS$Zps-GGqM*E6lBY4(J#{QG5711BbC1J8d1<+aWaK6H_-qAf zM^NfY%R$q(r0+K~a)3UB)bqa2aV4s%C$L`##>&Fb! zQ_1&B;i4UO09>3lK-&WEMsNQ$ft%{TyX2CDP38`%!p%5INtr7h`e8I*^^p1s($kV7 z=kvvVRo0^7{Km)qxWuTNy#Rw(Zy}9gK_!oV(^W*HAvn8wctQPB;LoGB_bTt9RR%z4 zZy;v(+Sv%3ppT8&wkSJUfd}$&SHZgK75JZt+~la^y-@$fBKCb*L{0l!Y8i~~G()*1 zD=q*|e4b3iBDtr%QdfG;evXdr^i_(Z69zf%a{S3K7r`N8*taRG*2s~!E$EMFr$csX z^Ga)CR_x3Vjz`#xh~}+n>|YO-uzO~x(cA$XN*A zMbxMX$L<#yBsSj3i1;<`l&Ob)kc@cD&}Ou*gSCFDO8Dn=iK3cllEF8KF%UdVcF`1! zLa-*12l8xXvh6rs4!-{dSrL1g0sFO*xO`>jcEQ@vc(;Hfhm|KehFS*K;*CeQ@yu(& ze`vvXb6PA6zfBn>(=29Da6cT`Xa;r*?*3^>cyAG>45!Lt{3MeIdD}AP^?w!|gEVFR zoSK6(W%W^;?WhMh+MZSOzjCHz2`mf02B>7I2~)3g$E)7x*8Gq1mB&SF_HgBnq^m}U zJ`Up_IOaSgTg)iUC;GJC@?)gL`MDqQdB|{Yp}26$#+mwpN=}6udRGT0dsq4anQK1v z30sW^?^(+kgW&TRBvtfYS+|-@u8;2|h_**qynrVAQlq}hwlRsu~JUtq*t9{GGW;WH4Gox*tbzOs92lENy1g zi`!z$(zs_enI&Ihuk}KwC(!pJRUjjL%7&QwXE|YnBrl0#ogi|P#gQ3g*dp$%jh4X# zP6sbdYTSYd-Y2SpNYkFq=k+2ou|Tp+j~8#iW4Bf-Nj495i#D&A^!p~T@8B1WIkxLy zJeRXZ<_ZrC#gZSx%SnXXXcZCF2N6tBER7ca-FCyXU>flN-BrYwepD9W)kKRk(Pw84 zy#(Mda7t2Vh?K_BUI+WEbnMaF*hI1-Dx2|yan9fOahF+sT^qh8LYrN5!kLG;MX#Dif2zgfk5APDF)wSqdm6!JUfRlcG#P=lewIT ztt;c7n;W$}&)$We+c&43*yws3p((uzk;=_vo||D76LWiUYw1VB$QaEQ^Lw!n`~k)w zrSj#<1tn1n^V(`|T}6F|@ucw@mjDF5Zj6IC@ScQ-XPsYNCLd zLwYyFhe`fK+w0#+$tKS8oEU9AwF_N7;a_!2o6Ntl{I-cc_VV1vNECXMz zot_goURvWj=%FdIPjpX&IdVEVC^fX4z}y%%p6@~)iuyD4z?8CuMzurqJ}{lhoA4Lm zM}^^gq#UR5j)1^0+yHqHgNwpOv8;^7P3wzu!#JcrX$YVB|~5sPLQ ziG~&ADs8U+l5ltfTuJ2rp0t705~v6_{LCk!=U(8|c_H~O7(sdyvI^Tu-YV&DigYr_ zdwtmR+0L4_+(Y9#heC29d=QzJ>YX5>ARh$(1mcLXthyO@v$xE-EZR#0e&%QWp^+-K zp*!r{H?k&gvp1=`&m|r6CQwm8gEXp1K0NCm3-XS)_Qc(6bdB#&l>W_f;fZT3N>7A0 z>hR8a=YQzU%wXyD*fRW8(J(=map_pScRse>#vvc3fbpv@La`_Ex3>w8_p)au3|(lr zmj%h$A%`wdeylaL(d1ojN9Y%RP^C$C%TxC8Kv%a8mToRlPC%%0ReN&7v{Z3r}W6%7tw=rm8pXCrZ z^{SF90&Qc1Lep^#O8j7-ww)*#Y@z%2EN}=lM|peJ}xfKI=MxxR|XKbCQWumOO959mRDLlkn;>Zlwqn ztQ*5IztKusvAYj}>Eo-!X}GU|b{P%b;p3_r7y8aR^n0?84ljkNGy}CGis$o+$kfW{ zgMUCTI5!**5v9rT#a#g$B{j*$n!?SO@LbWMai)P_fD@r6xhNQdU~L;*5>;;Voxy$h z?av9^SaPE~IQs1hbdJMZ#)V%bAOVru*#2F$w_7fj| zfBlQutv!<%i>MvY@5if)piOlX0T&}&9;Fy1c;N`!pHS#)5tf|8AZqrkdPUsC90`Po z-^GjM>NM!tJ+jHWFI;;yEvD?h%~&P1AwrNoPj+c>RTrkzj+Wl}6XD&QDy!9ra%#a@ zyewV^^EO}<2U-nM0&By|Sd`Wz>}{=Taj!u!xXci=^0}X7@cie!h!okwWUPFH52MQG zrvar&<-X-2dA27{b1145+W0y`d4s5{H7bXqB>1B#ZaUq}Kg-D(k5}-WG3WU+;`j0V z$3Lh_A_buknR3HP2JNCmKwe8X<9sucnU7nahT|e_g&LxQ#6|)ar`2fBh{y*{#Bj;u zfRSiEsqq09zmaYdW_wLP?=|1^ml3Bn-FT6FAE%mFVuw?gYeB=Wyg19KgEbz+f7?(6 z5ycuh5|5^&v?rk2y(Pp6;r8YWcJ!7uE(D#{WN;_uH$u}0c^LI|AMW*a!=szL`6XX~ zcVn{~cFJSiq9yqdx($c8gIl(gn^NT4>mCJI2Gb{?qlyz?Zhh9{eUR!cueKfx`XnVfM~362R`yLbfWfIV_h=#d~ozr zp{@+sOVs9#T7G=e{ev`Ptw?&dNcZqKG-FFvkwPF+_T53J8Pt_c`OPaA0^o&zu4uHm zmY7BUP$8#qD?>We{qN>D!8z*(5i!{b#0}^>Ec_=9QWx(H=@9jlL#RLedA&qM#dGhovvdBdDB$xvyV;p%(LVTdL>JxdJ%3ET7ZIhk+ zZ66U)$>6RF^iXd<8zKmVV0;9BK;U$~O|zg6SLseltS>*?!Al#73cihvW59dlwx?23 z!mo9lZ5!GkEwy83mMOl#>A#?>N`DsdX;K9NHo+ybqr9DSAi-R@!#IN$G7gpRxjcwC z)c%|6^+dRz!@Oq~x!)`S2lAmW0SyPx|NJfvr2^|oZil>Z|YE#8HvjmvpL}q{OCAQFD6J(1_DB^>IeZ5T_p?5 zzxJm=m4{E38NBz_;uXtL&EBX!dCZ-^|*@f?ualDd8{c(%Gr9lp-XR zFDF`rNI^QN6c_u<1sMZ01$xx)M{JNeF*f_uE8jtA*A$jNcZnA~NvQB(q&|r?jR-65Rzp39M0@GQPY3 zlOPsYx3bR(ZY9tQ7zWL3Cr-ZdjfAp)%J@fl)$+ddWiN99qbbl5yHQe=j8hR5$+IEQ z)lIjsw`MH<{a+pi^^>pKSI*f1$=U{eSx4AjiF5W^wE!^q_P&E#Vb z6s5JCGBpWWkOjf6mE4ynZ^3#d<}^93qXyzwfaAEP0``s;DyLPfhZePp^=sg|`8f<~ z69wYUK6;TvZKiC@H-ha_94tlU{K!00!8bpLlM|UHHP&(8CSuPX$LWep6Mc22BTOZ{ zCQn0-*Vp`PMs(mew+qynq#V18)pf99GBc zMqwUgj_F=8=D)Y5lOABJi%_hdXvaPxzL%VUr6yw`V)v|%I9s-aeu5m)3!RY|G)1RR z81_Gpwq?*xquZXLWg!CP1oO}H&R+U0d5ZAS41mw*-V4nDx*ThJ6gCP-|y<`jIdwWmAl_$)$LAni|l< zs}-W|Ue%*8BUQYpZpqKQT5Ki_DR!;~<485Uke9-9ubGs1^YRohh%(LYt24~?7+fhn zv+-)Ln5lqlT6WHosHe46Y#|sQ?wS97JiqS3$o?=5B@yat@D{-(mP;o&iKrO^rQ+!= zhZLP_6o3GZ0|YQzloTwhXO0b2Tj2g8hxW3Dsu%H$DK&W4_>`)|J!VKAxfb?>5$AU! zLmruA!QJD$FU6v($$g)ojssoCXAXgGOb)R59F#G*z3z%kXe^Wr&)^_&86m9HNCrZY zFn_gSous3w`}CmtIK87GnH|>Dd?RYXheo&T38G;%c^^h(jd0QH1o5J)LgT)bj*5fm z@I;h6aFAm(V<-dNojz}1TxlItRg^Js(~N;P;DMe_wc(hgLY}CE*RC1ERL2}krEJQ- zp(Gty1GgRT-yplrKv+n#Id35tf5B6E`g*u!^J;t?6R63I;_&@35T;d4LC5Kvo4yUV ztqe$0qmvppY0-vh>!j8RX*=j!;d`2+?~r%Y0QoQd*;)xS3vd@i=%a(bxPzPCtEEFh zR@Zh`THm@{cqpxQ)F=PLIPny`R<&>_`{vk=Z*@d@63Sf*eX0Zgvl1q0YjS@`5v>L6 z8H`}u(0!pp<4s&Fd?qsEY51V{5jQd=tZ`*o=PsqfjvebI_}cV={_&gkCY)6>treec z8LlIzNKAhc0A)_v5&7MRdvtqkcGl2|nTaYYBOU!~;fBrDEznKg?0tDaxgp|VFMbUf z# z@sh(&wzppAc&WlmTT#v&Q-*na{(StNUHKu%g2_*DL&O1hb9oX1mY*W41AC-LFsSki zL}*VEQoV-0fEky9!TT#PdQFyHt|8>jJ|FQV;BIAL!jI#2NLreZ5z;6HNn*0hK_G-+ z%_Jl8WDRT-LuP@q^`;u%e{w@GM!6;7IAU6*Bx9y76E?A#W{X`zv*mypX-FYl7 zY2NP1&A4czAa@9UpqCbYwOk8tb?4vBaANIzjXWl+NwZdZ?EqTZB#jTEH&>#{e95H7 zRQF9IP$D+j{Vp%yA~zS(7zG-{xMTwi8l$b1`m=JEuTdB8US8Dqe=u!~2N}|LhRlZ^9s+rT{wyWduZ5bB+OTYCI13t8gsP zN1y`ng)|yY>!lyC7!MS)2SV$E3}>`;g~+J~j#@>a(1!CK-a_D;zT$VhzQH*ePP%sN z4sJ&c!pJQZc6V9tPkmTgghqp6cSn(Pp3w1kRjl zRkWr+An%UPOpAr^Z;e;^h$@0AWKkz-Kw1a@JVK>Ki!bmoX)GC|A_9By6?CGlj^TziI#9$GmC@raSy3U%ZZGg{?P z4%&mL(=AYl#NOQb!5u_C$5ID=Gz|Vu18vou`bDH#INlUuJ?Al3JvnMeb_72{NW zo|KWJNTBB)S>+mXe7rd&6`9MaU4uQDh}=LnY=+%IK4#=J!JIi=3{8E3I!EcUZ%g2X znh+$${8*4?hl_SAMMb0^D)!BgjWj)a|3?u$c#GN5B0eaX@nj9z!BDJf*5a&MhrMu3 z3|pQI89UUh*b=WV*d3E8Pu`4mNL0BKtu(@>Mz^>)%&_l7LnO8=#R;wh`lQYvX1doJ;4*sN`jb6 zb>-M!whe!f;FTYDAt3w)a?o_oyUs$nUokQ3rFP{i&zTIxeF7zpR297qjhBaRMm=E= zoA^!~_wf&E60@2G$Aeg$?{-C0E@N`MexJaLQviOq*}4(YIg025{nluueJ_$psMmjq z(y@5l5DXG--SP1)I%Ln}O=w>=4Z$0K7EmPwqeP0+3BUVQj zH%XW0FUJhj_zpM9`|5>}5k_EO(+f*--`HTr^1{j1Ak9I?vWs%-y$`EyvX+qAr6`q` zKSb*aghh`Gk}#}P;tv0*L~O%sIgl0CwSEB+O}x#jUH2FfLbpNx2lmTY^m~{ba%&Bu z2ei`Xy{ysMsf5hv$x<6hX)^YI+bCK&(F<3|78!_lZR6FcgwO)ycyxJZ3x&o#64oz# zI~ccY9ARf_wUV7?%33w|#cUQZA-+m*SQs5&IgZ!ki3nf*G+}U|%J|$~^V=-x?HKE0 ziMZzsua?sGeC*iTQ0aU2zrz;)B3vMG7?lB0tQJp%y*sxoE^c&OvSVOzBg!(YH;fgk zf-?taqUttTjdd>qS<^P8zf%PinLlZ%)EDCphaq$>wjwj6_X0t68RQ$i>)}=}=!)=z z&HN1Utm{5H{&eA=qepePzS*-CEV`Sa%-wSuYh5% zDmfvrg^a0V-5D}r-#3o6s%U{j195QvU%>~6bQMe`w*=h~?0QLi6E$$xFAIbk)}C>> zosx*mVKAGBkP#@JvI?N&&{-umo*`w3WZT_jvoTnRWCDZ%(k84Q0~_zNmb`mBLQf)* zC-20DCL)y(*3JJ(DzQ`qwN<>2C&wVk@!6JidA52DFk-c3%#_pFgWKXw|CTOh9upi$ z5M#G*VrTc#A&3Lbpmm+)C^9(s2TN=N~)+*34HPA(vWh zY;<8DYq?9;V)BwHhd8!s4f<3^uI2eRK66?uynA1@avTLezB~T!7--R6g%aFm>4F_l zO!Kwxjouh1J@#O>;{|F5FRxC(Qlq4wu$k;*KfcQZ4Xdf%0)TL|<)9N1dP4Pv5vciC z3JT8v^uGHXpgI{?%VhTOn}FRpIr` zNEglpPC2Ae5$%-7R-m$N+oWW3$!mGKrtI=}$C~T}8qv z8Z_$HqvO>EJkjCGymjT*^s~BRDEkqndfm`ez7n%0l&1 zc@h~Ke?XLW89G?MYYf&b27_^d8;7eZ*(tDuU{}LyVueL6QiZK1s3kzxH^m#ELlgN9 zoCHoG1Z&+8&k)zgDK^K;%U+i8L8FD>n>nEntbyc}JXvc@ubG_^?aUD~4E70HImL4H z_BI?sQqxpgBLHF1Nw6s?`V42BQ>-e12wo((M=YVOzMzzI3IYd{ z9MgK<{w?!@3$5*YI?P`QyOVtJo97#jX<%9)hEs{K+wLwL*tnK~ zU~f#NJg~FTFA*n4-`z}3VMV)L>%j1H;*2gWOm zB&qU5d1N+2M84j5j`NPw2JV53%?vsi-IyOs#uaehu17v)tYTQ06ltTR+OS^2l_x6T za~P`-76a;S^7LJB(`K!vQiB*>K`EqB4}Bxi{Eo|6P6*?P8rn;s2x-)oNI`MMTLtAZ zJ=$cM|K6tXDJK}(6A+b61wK5~4z!S6gYTJ;>hG% zNh->cn;SpHy^V+#1SKGUFPK-|A@8gK(B%e_EO~^g>tL?{G-H%#@q`+t;U4~`)!f->h`H%dXZ3GBedrZi=Q|(*>Ml+C76>(K(PUd$Tj4>&9G!} z1vFb>XE}}xO#{KI#NU8uKrtGjcYdgJ7>LFX@|X%Fc4`oVxlaOOUlzl>iQ>f38V}qj z_$!9egvobN4HTcqPwM4wh=VdiIIgO)r14QziL>A=1Ph8&i7E-v8%H|c0`X<%_V&D( z$y5P<28M-dGL`1cvtiN|b{|BxLGo1|w~GKM>gfP3Ei?n3+Fe}735iQF!eRy^S;adz zrA5Vw!yFfbf<}C5SUmxoeaS5qi@!jJjTy|)YA1nfypX+klMj~6Ca?dIjzD8d@OQ0& zL*?kYKg#CHITt{&Ye-Y-AFd%vupqWYR2RX*&|^)3)L)7+eKb=ZzlO?A*A-4$xVBWv zjm$|4OjuAKrK2jMPXr(K3zUZV!YD*9&DyN{djtz$gC-vbQ3O z%@mA=7EKZQctBpNVV4P}mz@tR+}prLizDm%eAP8tZ?T8Kfu;Cyd3; z1hv86^ZzeXe5S^{S<@yA+ZLEr1SY4v@B0F5?H`DCNS6q)H0a1-kE^RmPRFFkQ-K}j z&fgtlvZvZ{Blv)%F+Ou6y7LSCOKvLh5hI8}%u6{V`$;h2s6%`;WI^BWMYNLda+yW^ z@C$zd{(tN@fl85qAdbUUMPmd%!0t$&_Dz5BR1BrrE>KR|u_s5iOLNLq!Y`!4&Tw{e zC~DpSzq>)6xEU~<{_+-h@^u28ERtZ49-6VPS@eWT(?J)rv!zt8M#g^OqKZCLBctnH zkoL#?+9^Aqh*AP}hv9q+SMwV<^cYAa6Ui!cqvq;VavsBUT!h1^m-10-aR$xN$u1j4 z9;9B34iw};8nwIuO7>AL;{Yk8K@aT>A|i8vLsf;xw<0%!{O1)wV+}Orsy}FrZ$X|F zd``%8=3p4bs%S)wjC{0>O^aV6sg+;Vr4y@@GS6^U!lbyHOMHH+3Yg2QVdL$`UG|}^ zno<=O@MIS7G2So?kVwx6bRqcSaTNoBF(*}3;vtZOU_q59F*;G@ECkCpmM8w zeqhToaOdE9XsIl-kF}R{6lAAoIb4RjUJMePwR0c9(`g976a>3f^5gCy8K^p+^j<`6 zBJT@>0(zV@UDD;6O$31cZx_7q5JPjHAdACQliU#0La>J=f8@zJV+Ip9sOLDoTixqJ zZ{v5=pr{!f)4inOn;k&51 z_}kFu43}nbp0;wLwz^9w%_?_mCZG_MR!t@Vve!qxiwG4uq8XXY&RgZM&a2roJ;8Q? z4g{_-cCZWx+Ix9advP-5V(>rteM%w+9&W9T!p})zNXD&Fo(5B z7TMIV!7LXsvq)Lg#NcTBEp5{J-IOTgUF?%0=A(a0kQHWB0VhL_*y1gs_u;@qSJ?G0 z)ZlJt&ga6KAA64uB>Kj3JvR||)*PMYnssTdCoDUWzv{TUTuwU=@v$M4agoA9v>cKL zpW-gpqW`P5QX$?u`(c5#-m6t|6R1P&><2n;4mDL4&f=1Y>NQ78kj*!9|Eq%0CRn8e z{r8^y#uHm(O7_%W4f@QnG0**am6eMR|yY!O>sz$q?9CGiWLu z<{;OBLz(jM)mg1taqz1noAQ+XH_(k7=xUYqNn*b`6wrMrf&)EN-e9=;8Osd%>Q^1A zkc6y0Wwqw42dn0ngn6qYD{IPG{VMY-Le_uP7R}YRW#tX~SKDSVkgILYuL`?XpPDDY zHh~}M9vEx4+Erc)c>`FbUJ`Owp9ZxsSK9_vNId_0+RR+Y)Ke!OevW!>*5DGeLM|1T6?IYp7c0ycbPIsYuyyerY-z4g$ zslCUcJE-0OJwb2s2I?IiI7glZ{CToLl&m@nVqA-HgCX6%4tvE!Z!8g;%t1#{<#dy) z#-()bKfny8w%emBZrOVGoEC|=K#unZP#KLx`}1}HYS;_5RKC*lQaLTP&8@0Bf!nwJ zEL0Hc+ zr>owZcn$9IoSw%yp7CDXf9^gy7#DmhmtQXJ0@mN}3;}NF%zi*fQTxu~hsiwbyA>FT z{O-!~JKWK8SC_oWw8z8b&xIdY%a=W_=+s+fTt1KZd?_v|z{}m=Gk~2OnI4q)#)(dJ zHzE9TE1{c(Ox1MGTUchB^al~5jJxKWuXsCkY?B(zY%g{9ayyoAuPxD+l{Khhb@gn0 zb$<}zr{H=kPqpg;aSY))bgcwa;7hv@5N|@7;aR1=`J=9{p|m*T@$!?L{Ml(@dC@24 zGv!;}9XGS)TX}|EB~%aBq5a@b;0LS2w?}BDDq2e=4Epw!&s-|Is?2G!NBn&^@l&_L zSq&GtZ07l6rayw>Sr#=FU-tC}dCgh~uD+tHWN6C`Ta&7?s{E}>3_OoPGZeBiE?EuF zM!t6)s&Z1XCQS?qm*iH*k-mpGkA1ZpT=dc^v942Lkk(LmQ$z@=;B=%NL-hEfeQT={O!gcTK z4I>l0$ZtX3q%DKSq?Ll3Z(}sBOq#B4FP> zAD3DxO<2{`d=H;>Y_&K55D)8xGo*+m%X@xR>~eA1U@s0 z^k#ZjmYMaRG|a=sFWsP)IptIYqm5%L%M@J^rPT7%0ZGq13}@JSL=!F6bi`h#04t4n zQm5P1Z@lMpwa?U#!4e}6_a%4Jg4R!qPrjD@@h)NI`-)$5-ZHZ6nw@%KvTUBFfD^v` z{#Y1fgj>|gwLy43ymg1`F4VTenRfZ2#DlYs##TOf?Io??UiX7nAWIJ?ps(+j(P7&> zNIR>C0hkJFI~9Jd!~Vl%@3CR`^-7P^yH1N8s=uCQFAr~T=$u8Ja>q;7lyE(cL1S5h zk-WF${xXosfE!fexb*#|p3`)3?E}C;?~$);!ammtv9W|=fXRIjEy8?banAxDAIw(b zSuydNPacOaCq1b4(Its|mnEz8yamc`O$BFe_*{!L=_z?q>*FRlJ&`zO*B58v9I3fh7o5Z5 zrVc$OCtg3DeU6?X;s1tRitCt-B)y?%<``Foi6+0X3LYrsjzz`^XB#trtT@96F<(Z>#@WQzktLgp%$;GFbFR!k6hs)YON4_FMGcweO)VQk9@8w@7 z@@J3!IOzPk`#^Q1S@oi2UI698Sly$sc4nY6F~}=}QvK|PH6~7NeIg?kX|8sH9 zwd5kWI3Mxf%r9Rq-;&v*ZKdp_xz96y&ivfwy`%>uD`gK8(*Ngp)86+Gcj4E%lDh+k z?*{an?qeL_`*&crtrF9gb0jx&+6uPc?Hcy3^ zepcPZ$@!p?Pv9tTWK?g?y<5qeX!n)$X>ecg^!BgAJo;H`1NjwGDy>YIuS zzbm>N@<%_xN>zkSRxpUBwi<)RGDzLw#HzZq)6A|62H)gx*rJm@ zk&6v1x#0{$6!5b&EJ-yz;t8)4qGg4%_!FYncI2#ns7hI4mFge=QZlqE`BT7=*thW4 z?H@PiqPE`-&v3EL6I%VC*m-4rdpsKnA6Po|$R%X^Ngb%Srees{Gag~nJ-d#s}+TKPz>UQ}}S#ZY5J4rvv z{V^-WZ7RXWXbld}dK&Ut%ba0_bEy|&-aw-s-QIRJ1-m^nm05S}%yQCTMI^~L{h&!E z=G(JV5tWX!ZTp?Afdw}21E*IQ?emN44D57b(xI0WtuR5)hTU{l-uGvwTo=paR|jhL zY`D@q?)8x_ksUK7XRkE#+O5W_Do!hz%02Funm9?(8~*17 zQpvxsfXx}Ap~tgcMFUHIk1~6rw@pDim=>K*L@q%zzM)BKR&)z+x8ezJmV{X&Ae40hw$9Oz<0 z!{fq3=Zk@-NgZfrsOuY_VZS`=skl-1pJo%9GmiUBp_rbZkwr3~QnfRka(mV)Y|Ed2N~ug^ zA4MG%E_wFjniBCr`#v%K_Af|@SjXLDIYOrPwG2-QtothLvKO~m9s#sAF8w`Z7W2{L zF4@W{prH3qisy>(Z>l?(wwshh691yQ+xjtUVy-sd!oasgW%YNt(9X}=lxn&IX>%goVSfR+rqIr$YgBc1 z*~`_T^rQ%tD7#QfHFl%MJCs`4{`eD9|LJ#T%qw@#@5`P}0omK|k9&yQ0z}q6q=VH` z{yukN&1f}M)Oo1;RIzwP1hBHwss4UzWjU@3LigY13c-eFOi1v_H{a)u5NbOOhh9#L zBx>B*BR+f;$npN5^qD%ct4Bu-K^JGPHr-zOqU@~f#hUc4KzP6LqfA0>kRBRjzh##} z3Y}*tJN+#tREm?2j0x?abQH+!ZEi{aC|G}=txkFSr(}Q6+23x1`?u$jCxA85(x-^- zPvPBpCI__tNZJfi1gEV?gz>!dRgm`|`dL;UnCdMnuliYn_mjWXKdv|vxsM(^(QMjp z^re_}bDr9Iz zpk1%G(gRxJ?s1I38rHu;2pI04UAAUGA0zT+-Li!_dZWd?9_WO(mHkBMQE@X`V%~q@ zLw&C7VT)nBk@I=1ktp2HCyTZHQb$5|5Ax#VkKD{>1p6=T)=*p1pD|@hv^HV>+Wy!R z9Z3*p7_`1|xNr86K5O7t^>z|>-xN|i_ERB4hd!kq}OpEZ5b({1KW5=n|FPFCiy zu($#m?TP!l1zsJWyDxSTRv?SuiALUhF7Ib~ZwqIIyg6;h%D4r62}ZpkD zk+q5=y(J&O0xjw7%YHJ*9Y{i!E>IFgiT%(ptU>kUy)N{p+h=*wmcT<9*)f4RBAZLiW6PC zRJ-3<+jTh@8~ZN`cktaqPV8D}2ywG6Ug|gOD?%`C{Bt#YPL@;UZuSdJ9jiJLLOl7g zJ0Ghbc_Th#O&*K4`L0hPz3Jz%!-w|3(*33~D&od#&QpYC-T@9W;MG=x{O|Qxa5~#J zX=d`GMy_q2ov$%I40=a@rBZjps1d=J*#V<0Plrm!fc8k06Cx8oy-DWBqNoo|@mihf zL7^Q9-w3|p80Wxjy?*??dvIpxPNB+QMU(BCG1nAUtq>p4bvmv-wU~l+D5o@S4#(0OCSbV;EaFJgy+jI);sS$Qvl6NIkla-=zwRB zwYIX@_C=KFIXOy5{+p0_Z=3gp%cTpOGr|^s*`6F#v-vWsUVr)TKQ5M}_C`VBdD70* zZBt0Q_&3}>;lDq81l(k9dHjn>zipbL=7kiUtwI*|r388s_lip;ojdEb#N5in>BNQb z!#@@m`0|haJWY3%ee7q+bcfI*~?sDh+Q`DKi4XkmtjyaTRNo}i;81F>{dl&XcFs{-wORGl2mW)Wdj0-SmeZy+ z>tkLGz=@6IFKxx#v=t#&7g~ViZ5nr&1^3Rl6?Nr~&mZ(Hq7QYW+@%~#srnJ0L%dg# z$I2CzlnFJG%jICXC>p zA56&LF%|nObfsB#I8r~<38Ps=t(lqR>2lnL4)_&Z${p=+9fHK{-$6ZY&Et=UKj9*q zMY???yI2^LtRbwLiGGbP>hEIj*zqLJid0ird{-qbF6I2oW(s3;7 z-hmxd%-UG9KIr4{(I>C=1AE4zYvSBOJ5rzckS5~b`&9I}Ltq@Ns(DK0>W4W{ze$CN zTH9ayI`$Qn=^|5yD_!^eHvL#7GW^|#gZ1B*g;^tR>HhxyIgpPv$JNe1cufqZ#c*)!~YU>}rQk=$M+NTGrdlR22$cf+5{=U~a zDCgPZqYm#23o<`To^f%{)&DKIRl`Lj+qeaextxe&72E6D4mkl&`w{Z}i#W$$d%#zf ze0qBXjHr!X{*yJjEAf-S{PMURue2D~E@X**d+@58lyA!F;IV6@*FQ4zeQC=Uyy_NZ za6MXIe{%H$1KsQC|+3 zo1XUuaq(cqm9*!Sjl=E*`mZl3ip=`#-+*uAaaD$ zS_Te<(%QuTHl3hNjeP|SF7zH_r>BCvjmXU8pA@O|l_~q+5B|3hE>Zt2gfuxJcstk?l=66&rgXe zduOp}RWBaTh8Q(GLu6M|25(e63(s?8*TR_xiNDH@IyzTe7^%PNwGh_9YiDulo}nA(PDT?ES2i z^X1DJ;F*YjqXM^OE+F0oN4p*W^y}DO98^Tz53~tk`gDj-(63>`JYT+fY7tI-C9j`l zF}Ooo{joCNx1&71&;5>cfmhjwzbo_p_1qbuNm@ATy;(do8|gy`p(|89=;tRMsH*q- zzH$Ee1&@_odGGOUPd^hL^FVzysIR=eqxsetZdWrZ_}|I;=Fb@_{;zL3<8+*8HHxAs zXPlW61*iA|#^%)#1Nk}Fn{hs+o<4>e*^e|YbG#SJoZdg?lA$G^JSCpflFZcW-UGUY zoz6tI)x++?Cx8$AIZZzid7RX?<2Dgr%W#eV=)Lpw z^)nnWmo_N>fBhF}V;3rGMs&?nU?uMqrh-P~iXHzre>~H>-l$0kV&W8N9JllSF2#+0 zZk#-{mYQB6A!DuoA%Bl&q+{5Q0S5$`0gGNTMp(S{q(9}xqdXZf{cHcba{Y^J_+U&#mr~30J`zPQrPF_u6hgyB4bkL;Z{0YjJjs}5+ z2Ts+jHD~eh=Oob; z4+kA(MZ|Fs(L=`N00W9ZM4#R+jVp+UO@!sxP&VO5>J5Q59&(~7hunX1Sv{d)1czv| z6G@aaH;J#$YW_IcBg}<*$*&l-O-I%3aXyy5H5YA~S5niV2%)n1S1b)FsgEkWIc+J= z#+&_qNjL8uiJ;24{Npzc&_~CQ4KeofAA}b7-p-`vS$YV(2g~#_^B=A@9t)ZiKg2?n z@aIc93wm?W##e;3N0O)!@Ay>DiVHR9Dpo%!L*yCjAU=NaC~4wR)YKptJY=T0zMynV zQ1#WC?)VC$A`E`@M!aR#3A#WOzB<@AH>&i?@zwynE#^!Ra3c^wIVS=;PK~XH$9}_@ zU{(+!ZpSa;UnXOgjk+Uuo;bP8zNa^I^7YGH(Zg*1=iN(oSaR^i>Watu+Fx+Qce4^t zF4vXUNgl9T_Zuvj6}WfGVz!Sy=ojzFo)ycCbkU#*-%ydF-H-S>o=IYl8}?W-@|xiC z);w6RV)9krhLqN-R5RC<69?$a0{bT=_oGKQQ8AiVR`n7%!F(f6pW0A7sWJYBxImSr zBMSI$zw<-#(DvCq>kd_bCcgVhZ_Lao=fGur`elU5!oJwkm1xq9gYqPkkWG5s*xtUI7qh43|jTdzB!T3rpTP^!K982cp3u?EBfb7R% zaqsz<;E1pAjj^-+aLU26;*eZIn?Wh}hfjz{G1(yOL#;aA+?&urFQ#UZeTpvS#vY%``A$6 z?hq~ALXVhdkOdV~s3nCowirtqC5R>Eo7|8^Fn}iw+N5z=RQ{-ta z?_E_*)sDO6Hk1>48_GYgm{eTax;I$>zvfr-2>TU-SuX;`iY|Ts0VkG)$}}mz!v`>s zlE)+SX#QAoOH9B!f^t|D$*+Ji81`)TAV`3KI+t7vVg{XGukD8u-TsPYm(Edx7)X`< z>j(Nz5aD{J;>iK;0_w=yH zy1MyQs4x+#k0zJQPKwsV_TI^y`1^orAc60$i({1}H{QPeI!BSF^Km|7s-Z8^4x@AI z9{p<79Xrh4j+Z~Vv&17<&<;}AnB2%O8X%jsv~KpU9wFQ$4mSKd8H zLqM%2{#M(-fkfP*hs04<^=JOV0R=4eWT%^5kpfoyX44910ci5R(J=~EBl z48IWCNcK+>Du3u8_{SC`&nADkTnt>+KboONzXBhoDO@jEDm)_A|)y-x~dzy13CwErbtYON%JB%H2*n0^f;=((-+9t|HrhT(jwR}u%!Hpmlg z%5LZjb|5UrJZrE;{1;y$GyAjd$Nj2o(IxiHN)7#QO*#S42H&3u^dNp( zD}&I0TiH&2HaqCIH~L9I99o$Si?$>C@xE7md*z1b=ZZ}EL0%K4!i@z^O6XxBD~4ob ziSsK4T3bw*;2T`E+3-RPg;tMuYH;{ffMQttW-_nUAVYHnio(-oH;pm)UXtSEZUH4& z)L2*$+XfKhxO-JFi8P=20g5>WkDdp`H8RP=($ zTEg6qz<%*=_6hg%0vuSJz1aGPtyxG$lq(f}e*iW-Y~v9SC=+`c@`i)> z!P_Q{qa4Kd@Le)sT(`QF-V7K6_mpl{?jwqP*$csJ`}@E{fV!hjTdB&>MK1@%=L7H@ z;O!;_e2gw8KMaLuU2;Gh@UZ|#lsJ+z5d*b16~-;dO-!7+lVA*fuK>NJopDl>cwUfR z2<==C*R<)z`IV)ciXBb~FJA2S^n?tZ@So~f=RMNdXr-AK9J47^ojofwo_({OqR5cA zW!4P8mX2&o8E-M66E9-U{er3XE}k|AVBPQNy(n83y&>n7aLwkmv6mD)C8j$jBlH$6jnl(!mwoS(XaDcZQ%?*)^0iNO&5O403m zk}W;!3oYqM{whmmSlkxJD+V`cC$QojAD7(=pg=B|q5S;kS*kq-8zfB%-+rtUNUITb zPDNAhC{+AG+K+aCKei&prK@Qa2B zs_`Cx|6w=VRXsSN=YFy6yReMFBBMGWWb%4)nfPyXCaGZik(>?HjtD!9c|;~{>chw& z|8jHCyBa^sqdf@9JL&PQT7>>}jE!i=1)?MRHH-4PwT;w7_?{>79^J&&EB#GV?y4g! z@cBwu@`i^}%Y%a#sK)py#&vjl%35ztIWB+-yLU#??KQdxqPh{-Y1!U1RYGc@snQT; zuX?7e49(@o`0<&9$?lRzSDV}DE3;?t-&Y=@mK(X(!;R`R!NSun1Kg6zlvy`vq4@&Q zIx>qjh{(!M%}hifAk8a7<{t|@xxkDs2h2ZecxjpnTTZP*w-lA07pquU{)Os=p3DBL z=4~OWKMqk~uG8s`&bsq|s4 z#|yC5bBj&E?!|Bkq4OEuD;wN$Bt+FzeTY8#&d+vvApOIS#bGMg++Ar$fYqDeWpOi> zw0W(W1doStL;Dk#=h<0>ge}6%DkDIQXaw=4yjo17q3lxnX}}kmeU;KjrdfGG-vaSs z5|XL4ydXdj+~=$Xd4ML3wJO#WToG528oT1}uiX4E@u(pQo1@+z-2liT?XKXr-L(l5 zp~b?t`4-1#w`@^*3diz(et zmCzU(d=tLdOn1bRvxmXmi*Xn2Fv?42F3m(ip&_Xs3PYQr%z^YwCQTi;O>Z+4ZtVTY9^3wT3i=U>WWD7Vfq8&yt zru05YR6fVHzZS!fkX=&1!k<3lM;yBU0E@G;e*TmDN4h(~n1e1JZ*ap^-l$v0F>NZVxaBDMB62vR?VLE7Rq_PJT{5&Hq>(_Wz^JE4JVr|_f^G+@u(XY% zHQA;+2nixijHI&-r(Rz~brJ<- z#zOh*PuiNRe$Pml%S@%9tIr6fB)V!?&1anw08Id+;`Ng9V$b2Qqxgc-)z{9Qzni9L zz8ry)15o9rl-hXK>Dj!t-&kb)%@OMTqjQF?pyANq+aAV@AK}39xu^1A9>^KaSwx>A z17%Xbkl8ppb+>5eXYjegW2@v~h$-W)nbVV>!4M^`sU}9M4B$!-V@2U^fu9LJU-~-% z{R@i9KPomkyf4|BSo;T_`0q)-*mgDx<1u=M3eV%!uiVcL%Hrf?zgYKnFm8MBS0G&s z$<#R_O3xFAl-oF<56n`=X?`7_Ol?6X!ufrj#M}P+X_jOvB!zuOhh&66d01!s)baXo z2`H&Je*T;5Gd?T$${9#mz|j}=N3_F<;8AY?h{tYRha%WhCBf4$r|_vSuS=2onuKHS5XQA zh1dJAeEl_gstZWwo%9I-y$1o=sRup}vW^XgMI67MC4cjo&%_4}iyD+j6#!A(ul3U8 zr|gX)vVLXSmm>hKZ}=(FwJWb({vhN;C}1DJRgLzBqMRG$u0%7-N%Zx`w&W4xBx`~P zmht|o#l*<^-Bwy8LkDnv=@5I>B(%zsCzi4qpFdn76**3$SWwi2(m<+`0On%{Tw~2? zY+Ml;yt&Wwq&y#c;QmfjH0~>F!}G+(6Nq`|IvrB@{goO*)NGI3f?5UAm}roNKe8AK zSpXYHn=H0~qR)<=!ip1k?EBs_u}A#thMze1Lw^zcmlYGR!siJAi)EfC~uL zWD~GI_J~_cm^wO-JarrBj~NBC!m-B3uEgaL)fNy_pI_5tv6zGSesJw^!cQ6pFPj&> z=m0FZTzWs)y>o{Ne%3@%=#-satG%R4vz(R}PoB!W>KnQCNMssVdWCk3ii=eA7)a)( zvANrXk8Low2e`p}xF`2LR6Yz(%8K*HL~IIcAkm{8mi1oxQ|j#q+It2?HG71G+2tN6 zX~;wtli4aw55OFZO{B&R24GC|hv?vA{L~(TQjkKkaRs`(=Eh0Ai4dA&J?FXt&?}@~ zHGJ235@oaFn0sBzmvzim$2XPMmvimqM!&tPh!b7Miq*n2eW~rbkNB5QrM?XC%mND; z<+$LX=fP7%THm#To^N#vW{>2AAA^S!q>m0fxMao5cXRj4Wc{Z9xZ=D@P|9Y7Q9;W&2OI$08 z8C)9*KUW9xNKJgfA^NfjYegZe%v%)bAUgCxF?6fYjQyj=2VzrOyo^jwei^8j%e4;; z9_O3xl@3OE7>sN694XxZvf79v-hklN;<4I+Z2W4RblV3DUQQL!0o)^xb zL*XkLO7FN)ndKhMdf1vA;Kq%BlXoQZfph@%zX6`k)%~%-{)h)ThH0XR2;oP{Yf~*? z_fL+my}n<4_@)kAt=mNPsdF1BxNTwfemra_qbk}Xmoz~Ce0|~*EQ0xT={-hmF#S|o&O8KSj!AY~c?=ThQaOgOvXd?z^n0+bH6AIgT>45S<#Gu)}CnUwcJj z_%Bbh`FTLjQ=)q40)Qhcm57&r0ywy3C2Jwk{VCO|70}_ojelU+tt5-?m>n|_D-Wih zr>KtnTIXIQNb@JWK&JRLYp~1NVAOJYWFivs2qio=yD&g>2jlbOZrq3ThnyEf;}fam z{n9S$-b~(?b%tEe`i;d=z^54Pa2h%B#Rs7!o9R|#6#?iI>T|a%R%LQ$7Udc#kINa0 zLhXXdSmM%GzgwTmSMKo_#0A&PLNq`dfvb1QXhjUguYPY?W0FD9z0(M#(Sh|Vhc0F= z%X6^#)n(-}YL`cXP(795aggpgZ9peP;g!#1S>OTuUVVY^q4{7hwc8FyHDR2rZpwrp^j@scuve3}tlXD-ENc7(yC{cpU)xUE~7hsGks7g0fs$yk3C^eBxkJM{c zxGyv;M2ffwmO|P_WzsoP%1CI`P(wmJr`Dd~XY>zgTJ@MZ4zC>5H+-&Q9Jg;!Z(|eV^aWhFQ+0eI(ietTIugz8)~q1r?nD8>u!Hb{O9 zX@`Gnnk`90y9@eEG12)26+7C|2vOrZoHmC27f5a3O@>~+2IJErQB)1>>2%<}sl;Ml zx?>TN?J>AKNJ$bm_rvFy=4@=CxMQ7eu8UZZe-$t&kksre!)b#-nc7#($)b_6oO6`Xeiar4Ma;k3HQ8r`pB#dHyhVTC$Ps>R7DnCJiY;h2#3%}78_1!6 zHOyES1^rmN_lHeRSIxefPJr>_WyqUef=Ut{qB;3SD5e3`{}9tQbBi%BfbAW$8?=4a#8u()Oc$pK z5Mh^knArqjS6H|vKgBQHbJLD6iP!`k!!W6*yH1389}Wv|glvrEamB*CH|BoC--!O^ ztiEW4=vt`C$d7SC{aTWTfnWcv%T=sf{V|4WmxVPMYMroZ$5Cqy^JWIN=@}s^o*eB^ zq6V7kl7Vrpe5nOYmlP(h<7J-ejJe_rH31vU1)J?P0COTwq_$k@=#3KUy3VacGAGrA z0guT|^esV7#c%?As3}rm>K^bF-?~JsshzluKVIU`sCcqLikCPdJf3X2|F-f(2?g;~ ztSvN~r#B{jy0>(pT`Wh-vR~A+k0EFb=@rO|kGA6u%y3$Ec8mR(jaEpZi;Qz>p46Pp z+HsMz!qOn$#(D1Fc7gQ5rNYxtmcHOgaP<9W>y(e^$rK%B>#)k#{CeG1x(1kGD{PjV z`X~eA|0RzzD^r<6dW674g+{RRBKgU*H=0TB*&-q!AG9nUPjGMT(pv{(;SKUssH{6 zYvr7B!w}}xbn@(Dt<)|lHB)jS)(BxC-o~sWk8PQ< z%E;@K3PBW3=7-){MZm8fT^OWX>ip5uHQ4B0OxTJ{O}4D&w%QQ#Uyd{xr+F^P%z(RI zE=qJ19GJnxU`4g+JiNNVCi{DzO>DGFJUQfZ&4ZtvO}ss=JQVt}Rqu&BW9#TSpI;0G zT7g9Vy90${whaLdRo}pz7jKq9n#EVFCg}l;0W8F^r%~M}4 zpPo@fcB`#AZGr1M<{^a|!kgQi8%$_vd;QBQN`4^H*JeL$+W%|8I0>3+5(pu>DFP`=>-QFd|qh(7IDu zaVGKIg<$tr;X@CBqfG3Jt+WbX`v5uemfZnAXl zru3-`n-$7L4<%axI52fe(rPY^shiFm$DGJlXrD0bq(_Ev5VkZxY=OfcI$TDig8Uw2^zZEHPI`pFUsvCsJ8=w+EKl7MCnmhgkq|wy;k$An z@$$4@+KcWETtZr;hEQT)!C+dV-Cbu0qhzPEqfM{XK?XMG!61T;~^ZC?jsg5U#GL_>!xFbU9Hv{0=p7n zc!#@TC;Ys74Q3BA-5QCey`$B<>=Q{FItJjY~8N ziZ4j-c|}j1>0X9dMSUR)D)#f^(9+LJFLHW~G=xeaXFRk*F7o|rPOCYuhQ+(KIdONM zueC=0l_D!~TA1M)z-_^jdkf#Ow((>JG`Mk972@O@mbL{K-FIo>n&cY2k>zxDO^a6+ zF0~W&EdKar!WrbUe)!2B)J5qWuZ_Kr)H}B=chmTRWfdxM0szBk=h@{nLb4qX^(}B``ana;*#v!LDh| zEg19Ff-{}a-RNx%_isHFJ1`A<7gF!SkB(xFNRY3EZ(Rf6zN=7P>r+TEyu7T#wihSU zCS@3C^>=cCAv;6q>Y15#wH7mEV8VJZZ@7x!9gmR}oMLk2mk2WJ6&;wTd*#Lo)iIUZ zpa!O)YP|3`%9EGrQoOV6Ku@NgEwD$m+h=UjZ!lsK&xHESsU9-$!noRr&WRZ()ndf@ zYlN+BTlrusULp<3-#Dw`FD3&=sEEcOmp{YjX$T%L=Qlx>1VkCM2QtW^@icNwc6^ut z<^1SI(z-3CgPyhKf4+OcI)xx7xb@gnmO10G4WMCBh@1zP)SCMJ0W57IWlppCOBEOB0 zLa0hk|IR?;lf9!CpTuI!hj0`F%5F56$-2lpJZ-c|thz=}nu&}dND6#LK@&jX_crK3 z)7xzVyo?}x`-YwbL58Qbi?`ffa3QKqYx*o&`r_*S?X5kGXVa9egu6cCg9{8P^j;+K z;u@X1{Jp-i%jx`-gTtz|xA!+2=|ycT)BF^6ZYuhlt`pz{_c*~eX?MIMNH3pAm-Cf@ zbH3Xi->g^K`jl|XC!Lp6`&}c$d{(=E7PoJP(N&n*VQ(`9N@A8BerV2vf8DqYSbffe zEuxc)pr=gK)RO69=p^BCylYF&$F<*}nQnq%y|p3j)?c65kC9e=?<|ip<%}k^QM*<3 z34~b}i(}&Lt)KF_Qb*?lRt{i(e(iVlcO|A4eDU+~p++rG_C|pq;w0u!ctoiN<|&6syr9=h9we-EM@$%~ zImZ$LNcp#oo~|}I6pQ7Vd|e-}|Ga*E+g%37jCWPNbzWi_@3I}^5lfdwoX=L}{|?j7H^l59sJ9mCs|oqwXlePSU$u28?hoaJ zh#SPA#r*DDDY#_~A-;p2-;JG?u;T0Oz=eOjB8~0^LdGDw|CM7k_r-t1(4P^TM4U9{ z5H7$jS_0z`pCwBBRnd<1ixx zB2wkf`f$tDIvLtt$h0-FVEJJy$4jd=KD zR>w5ZmRn63XolRTz$>FAT3_Hbu3lm-=QGpNm?54;^Aoi|2>IiG~-Pi2y7A&VY1Qr?Ue8Ii$mlw|8ldOm2T zpgVtiN5PID@=k){TI)_U2KnzW?*sSr$!+LEylh+(eiNFqMTA;;Dn{lIfSc+?Rm!JgJt%NM(l6RmqABp?Vw0Z4eS(~H>69T zx3Gg0W;El-Hj_aM1ockn6Ld5;Os4gLx}fH|beoacSvkS@?)AOXdYeHkxkhL^<3>B> ziE4Ur@Y7ZM82Gc_1&#x?N8U*+Xh**DyWoQzhaYVHekUVumL8<^^gn}|YEb4~szSU3 zK;=`1U&6-~Mi+P$)xpj)GY@2E5(nF(JG)x6I_W}0Sqx}zP~1*{`u&zdYa?s4zFcK& z;cN2B01DQ9m5?#<{xNyOg{}PgT5P_-V9}iQ4Fv#sleIqGyOoBR>Hli?+m&1Ls$LzO zuqVoShxu$trTV_rpwbx1zTJgo(~Q*d%r;NaJ%^TAgJ0Ub7WLLC`sABtDAPN2Csgrh zu3=a^V^F3|k2+2X+#U3HCr15W5{<)DOJR<8-NTgC+>R%!xgaukiKK#W$O%hZR8>#J z=uM7ODpS+4aop~B%A@WmPV_h3n_tQQPC1ZxC)ZKxH6eS(o%hN=Zgld&u8_3)_2kBf z!D+J8-rZo@Y-*n@)4nJX<0gq#O;uA(Ke;f-d9`%wfH~1R%r5EC*k@DLdS^!7ctBr& z%cXzGGO*DBkbDYrsG|8=tof|h^`kv{)~L0|CD$O^f2a+G+dd z@5HrzY_2=e$9S>eI$2wCt;#yWx;5BA^zzCyJRO(V| zvPiE0tC{bi4kjPDn?%JLbI|}O(UK)vPybY!@r%K>>PavtGB8GY5$y?iMhK55Y&&+< zsDBTmXs2}RKj9YjJqA|DZWv;=Y#T-)wxqdnuy8*wDd*p5Fc7>dBOUMVB|uR}HeeP+ zn*Z-RmPCb&JaN`qm)e(QP(~hT|CR3L(@NqKor%<4Q}IU5@nmjF(nKz^4LH10=YuyC zr(|VB|IP#&bZ+Q&Y8z%>*LrEiyBVAVPMx4GT+`}#a5MBv6u$9z!aP$+;f1i~o%P(7 zmrB@+L|jH5AL1cgc0}d@P9eH^*3i6_q7fAyTO=A$pqc`t4qV=}=TJZ9B2Qe53CQu!ia-1~BM?tD$26zYtwEHJmhRUfJc%^3gK zSfE)G@-sAd<-E$rWG0GHz;~BG766=!YcE`uMjBk;VLk-ss7z_fi2Bb2QaW?AI(vgR zj(3uiCY=0#f4rD@Sw9f|USpo_;qIUHedet05%2?x^qz#-H4{|x#jqzL!=tU?>R?OE zhSHRZjA-AEtXadusRX$h4)?xnBYdGC#0t3_a<|#*4l?Kh&%ysUB;LqAK7DTOW;{;L z2;XuAQCn#FL9vlLAOp1E{dZv0ZL>A1vrTShOTq6Jah6( zv6*{&`sLMRYo70Fz}YHRXQXK-^y2T8?cx)yM>SffggkquZAawk&HxHhnx{Ekvb+z3 zr`$vID{RB<=d~*_=2^ElL-m8*HDczOD2m-t`GobWj0uaYb9eb&yE5{UW690T17YT} zV_L23EAbxp&h?&97%B;k^0g4_9?uX}3=%FEV>UB~pqm833{jTXy-AuBdUz*}dgWI^ z&JVS$Xuw_hJ`LDc$vU`Am&Y7ejhCx_n%n@Gq;MSn?j39-^HZ#2M) z&zUNXoPKbjm65`xPuhyNVYaeH$7R~2mnWsr;R3vQC%tXr-ZZhBg3yTiJM~%V!*8V- zPJWta&SGbEB^B|zVxQP<+h$H`(Ez~*D*#&XFFdM`X2sqAQE5Vn@a zY}O3liWv9vkOkD4Kn>=177(|Tkw&oud7+;*PkTn|V$S=5u9JcPZ1r~?{c_-L^6bM) zNt8YAPOiH%x_m)_Ji85oO&_*Jnr$TOZC)gsB8 zm{wJpF^UT}=S5HFDbC;$u*LekC$wF)cAXDjqI3wR3SV(B}`(PjH%u-p;vGEL(~P zyl4IGGyq?7W}Kv%8XX0)G}qijm*=fr$A_frtb!p&yFt!(xraiv`-Owis9!6GkV&jB z%(dk4$<46vMRa`l<#@7^ac=X0X;sCU`W^RvbK=5X;^BMqdnTVT*OHj&of9$5aR8?3 zwhmT2a`EQ*-;W!P@9q7-i>WKy&sOQFo~_bbhAEDj?987%rO}$F0hUW#{sg$<2pQ4+ z`J%p(i7ZirdpvpL*_pH*LloUqrgkUE*i?CHuZ-w0@@?N*ypeII-VXbavCmAD70++x zf&JtP)A)zr`tKdd|G$<@S&YnoYuO8|CFkR$S4Lh|r{nMWc(Qp-h&3{)E9XB&h-E<3 z-dolMNJ50ztw~*z%*tm>+fGN7C+!nvozU$;0gI^47Q;@WM35rFa^`{33_O9H+`K#; zoW1^`X^)JCP6zy*PSS*h7TWl~xv&q*n;uxTG+qe1r|R(gPD~@r2RkCuJ+l>QbdeXb z5R}wKJHVWbh^M?1eKcOO#g}`-ZrVFV05Bx;Or=qb(do|c1f$$$<>`upGn52u z(}Qj4T|aQTOxecBb|3;$=9)ASfYk>Ti}(FQA4CvBZx<-jpfTIno1ll4v4E%(aqzqK z2lsf1@%OC@pUr8_^`6wv%vV{6LE*JuD+$18eWqexeT$S?_p&dt2slp1h954j#5O*P z$0$!-TO4`(AIFKt4aTuBRh1?2O?y5L_O)X=n%6EA!x^fJl7aBq20*I@`nf5YJZ~}w z-1nrb9uxN%uHK2;0`o>dm`;Anfl_Ge{sJH*R8e;^7mJdM=04jHrikw#{3ESa>OvH> zt9v>to_ju?9En54QB*JrNle?JbiFN@J)$;1M)er$pDsvfJQq(6_pQM=Af5ce+8(3F z2>yrjrHbHd@MIAgxN9GqC3KH1&>SMDAjdFrJR^01cZpE1D@VIiPfB!nab9nfrQa%7 z;tsf{cx3-JhQ*@VVG#ppYoPWa^OO6Q$mWau@Hi}&3P~_$0CCPc#CNedwm_$oZhp0> zBvL{kAtMT(Pj&v(A|p5n@NS8KTlaTD8XV1{&r7Zy5dBaX-YzPsE15fVfQOPULMnOd zt8ulFfN~3?yosO7hD+h7dcj)S7HdPl^5H(GjxxhL)l}&wlx(P3cQSTA(;dr;cmGRA zK|F5@j-6%NliSP0?kHGk4E)m$5nYK_5-^P^k143z0W*rx0fT?F_hRM_r4iHFMz%y* zwtX^gb)rJBiERZqnKu0+JXK zLrj6iC8w|nmLBSI7t((Ps5;MI8J>CYH$Y`o-ulBwN7cmlEOotK&z|rJra~}p|G$5( z#O|isVt9ppO5d8O@d3%KF;sEiz}R~0&s&BPY@LN%|4Fb4{nV9jKk_qKpJ(v>tJepZ zocC>ZQD-U=ogThT`~0Xv1q=SZ6kPJow%OYZoB2ph%(!TkJ)}St`1eB-?WBh1#z2;S zv1r*D_Sd_u^FWmYW+zUn&2Q=6Zx>*eP(v~ zJ2fgTJO=js-aj!u%{b7`{K=|cKA5BYlVW%nCjy}w=pKby< zr8_^Z=#^mKjY-1-FQWUCONyUJ&x?KA|;D z(y*tyS6nPoTij~?mZf1#$WQmNbjaCIKvw5JPL7c3h}!%naKJ%k`RBS-M&*rTkR2{s z@{Ei3*c`uI-)!1@SU$LNLlec^@7wQx+DldLXxe<`UE;P)P61`(!zu@>wM|UQ+okyN z$*lc<`0-m8PSdLAPyh7io5b;7^3(WtB#sw5K>bE#OM|<-TwZ}vvr;-Ne%~QC#m>Py z?x{RQ-*|rDOoKVZWipemcfwr*bjoY@muSc@EA=t2>Plq(=AyWp*~wDq2-)TebLZ)9Ev zrenoC<$8bm^yfx45_lm;9r+U(W}rJB-eYQ+*DJ-j88mm@OuFf@Kkf4$vx8CxSo8CL zIA;t2&X?Dn+k=qvBO2JX<&2`zVGo#JXuJN>9R&U`=}a*%BMS?2KJJQeNJ_Rg8Tq&2`>l$F=MX zPN_&d0O{GWe$L%dQ?(jX-T$z>_f}{1LQ51c%&7O}o?xwfVy;Wi#;p3s{HRFs)x^!H z`p&umVj(K86YH>h_VbT_WnYttb92*v847HB`FgFT@RG;#A1_HEC|HF05Or9}Rf?pt zezRt1LM39x>7@DUZ}*g|QEDJt@)!RrTY!!yyd=NrZl~T6>(lxxxKOo;(z&XF$%1d6 zrKrt%I;GI7S}0Y}@@9@wXkLIGQ#Vw}a2K@NLQ(H8Tv99t86qbT>!UW0?kUAzRDcj! zgXWE}ZSLIlF9)I}a~H0K>g@7}FoO}d1RAoFaFN6BJ+7D1AI-E`1Re^-n0B$5Y|Vmr z(v%I`eCfb&nNIUL!loitYR8^AWVI7v@e5fvU{%NuN!wZ&^Z~`e7CPb9Jwg+m7#+OB zn6na3DMvqGim)=>*Wrq6w3g zPHQgdqJ?xKmPZe`v&unD1YVnTY{^T9m9Y=7Z6T#_#nO#_{u_ybp4IiIVV!{v_|Efe zqow`JKQQ{@CRwcUGYm3GN^l5zIIIM=^%k4>Y7t%9uW94o4l0=CybT-==i! z@GETq_SGBC&v=L(2(psCI;X$}z0MD}W9?%e*ennEQi3TJ$IB{=OD`t~42zq*Wikab zw1}^c&!za_V$R{charV`OthyG;-1%$%g0 zA8HOoJ#sF-`PNzNOJ+Q?9#W6|_Z5{fU`F1rGgKKJF?60edCvmD|5aLaBK5_!8Z%JV zkvpYDElwh~*Kq%d>y+(BGxl9S`YgUb)V`tA3USHht$KIKcl~W7SEr}Ak$`Ws?;l{& zm)_?D1zidGrJ~~;e-)G)h?~2 z=C*E?4X2cR(1Lp1=8mEff61w|t9}1WeW|@s|DvnjN|e68zgVtRd+?bXOGr{HHN_k= zxfc=ib7D*|Qs#Zr5$>fz$FcG?gXN7M&Q+C8gA|5rUnbW!tIms^JYZpXkcn~vkIF8_#}h$_n| znD`T!Hz4&Qd%OE$z0tAg@GF9VpEpYG81S+hO;IdI&=S`Ui5Ko z9-;cRDd*J{PJ1l3G=p;vu@E!m_c)Ih%KML_Ov{xFDqhw1up7R*>647FYe%HN2>WYE zF4rBsUQtwfM-M6CzSvzmj{9)5`s5!yfKZ2zd3QTTW!^Kx{V9*I`Q|+L`K*ik^0(8O zt-ix+PJZ9E_x;l^qi$s1sBroBdN?_%UbAnpn7a)ez}YuU)d}#IN}BFQ@Tqpc+$$0x z4yn5B$4RDr8-e}~f*k&|pYN@kzHjF&@#S?^xB!oWyAilPzaNX=7WGiCt47S4G&wHP z8M$@TjTdJ-ed{3+KJ^&Giaz(rA(aHkXjt`LZ@?C(2&jy^CApI@R*{o7165h)G9`V; zyZ&Eg%2}es;R>6tYaegEWn`Q+%qb!z#V=j+$2ShWUe%|r`)vsqY+qQx+AX5@7w&m`D4^TX zUV8&99hv{>Lv2ak&w`f~zn|QoMhCUDfx|-17)E-0wS?^F{PP@6sD48p5+L~|yUb5h z`pSfk!q6*`9)OK(kmV!3ZupJu=U)f@N>4<&xJOilxZB&>*6ug^zLC$x!H)cU?sk2E**m-;i(Ub=A9uEH z&mL8Oqn{!5`fuc;sl?X2_594NJ`L7-?Vn%Gm+@;SbvhP%Xf}Xjr6tnx}7fT4ks0plLF7p<9|lJ`VqC+t^UL7{#I#={voYmw89Hq-^A^8 z&CeLWjgxsa?{&?#%g)$*3$>SP>@R_^{+lvp?l(!OVTp)eDEH#O7)!r}?U&%Y%xgZh z*;bAdWBV^8hqdv2{VKrgxN8N(Y|I{a9Dbm=9w&u(jj3_;U8I(6)kmy?^R%Q*me$I1TTd7_Qk=bV~oyFcB zqr3v=@pFb3RWj(b%9-(-(Ux9=Do=ayubDe={ZZBm1%b}3_7`())91EmlwBf6le7ww z-CA57T+;|rxRl=GXD&_?4f$TgyS<;a}RxR&h_{?^XeH}UUXNzn-2eE!6lI> zw>#y=R_m4K^Buhk4^;oS`u;$X>UN5UgKPW#KIQqpY#PNqVCv0p)2_mMhmX({QY%%c zgKd@9>{i@QSCu+eZPg~tznLZS?6Zx@b>oX-G>UItZm!Lvz|5*`Mg}sZx;&!$d(KR%ex;eSt@`vEGA3ey&^AM za`L~@6Pg#(95cIeq}y8rmuui>ZA_&j|a`k*T2Mf9p!FdJfxOrO1pHFr1bY z;!d9BKOIiHyZ1$J{>v-A+!P(v-qU}RD|59lGoxRp=OpS$+P&G*f4JF2BC zbrHYWlowgJH>p4WQGy+Svl#5T# zO}~3TTQqS0cR6cEbmu9Da8sR~+%vJ$E6PP5pt?IRhO(uL+mpVpC~Lpl|5PF1-80Xp zV{czy*4H^!VP0h0lB1`CDY&Gkb>>K!`Mejw^zOyW!MY(ARnU&E^Ow)MD61r{6mt$Q zHJZnkXS17f0}hg~$(OJNBiolU6;iOm>`x&%xcAC0+GhJT(dE2^LjB*re7g$uF6?9~ zRTbCtsGvQ~ZPC5ymY>`Lma1O`8Q-WW42a)Dl0XAna&-4tG9YCmnzK!?P}?Z*cna7_5#=Josh-46jD#MBF_4K$3-x2J=lY~0hTv^U?wiZr_p4px4dyO&rTl&Tw!mT+F%| zc=km8rNRK)n%Sodi1OY;P|L+sjzWfn8~Wgq>V?z}v)K(%9`mNOFzt*ISDl^jCHs`n zt+Rug8Q#}f-tvKgl)rtMdET@mX_jqXJNRW+ItIEbTge%k=$L>a{hUJQb=sPloUF^8 z-cD%G6w~RxubRVY+3&PCDo-~7z?fYTtM-2WLGx*Szq#x1ekAV%z3=O#~7_?}Od>W%*Uz zOIN1wZb{K5Bl#alXA;(2VGVAJ8R=Dtj!m$6wwP7n4fpXLR(cp;Q2d$HA#FSvHD@lH zE@IJd@aEIBc|h_-53WKisSB?>GCN%muZvE!#I5 zU%{`jm{rcUWJ^73HH$sJ-KVpX#5r)TU$|zZ{E;izu;^URCv$?q@;QAo-Pw1Kwxzz? zu&<`W;ev>X>)!lZ%Z0aY%--GktTL-iTOZiYw0lQV`K6)*SH!q}$|{cTU9+yt8-4bB z51oHSeX^&u%Z12mUQB>m7UJ*oQ;n;bI=~S_}j34!?8%O;vFgL zJ?%E!Pq=(%jg!3S{e<*2fsdrt=v-;@vRi+4&5WIr=#M~+HFpc2t)Drp@V~!$Uv0Lp z(YdwmW5PjjUizA^=XM@Ud+?uy=O$6{>nCY9f9#N1({|VP#}A(JRk>q^8|9w0m0xSL zv_28ArD3;eCw{zVt5lHg;+<0&d1>K2vKp~Qc}bP$ue@PeZG6-k#t{H-kJQLVcX&97c3ob-Aasj{ZRFYd#T+{i({V)D$@dAfAHpF<%n52 zAC+QEQn1@vt~;Nab;(NTbT|4T@?)J`q{u+7>0>ub8H2UEj)|!?oR^l4=(pN%@!pNw z$*^kaLf6L5o?QlQ$)}#W4;K~h{v5F}Lb=go-KPYxQ`U~EY@88&6x$%oa-+>A5eVcZC+3vkROZnlcA&bZX^HM!xoRxKG@{@DR z$HE>IWgAv-4yV0c*HU4<<(39_!|iU7&1paHy@=`bdKT!F(7WehlWp6un)~g;)x#o# zvk^J6|21@2w_Fsvv}i5nesMBF;mx(6tn5JXfZoW7Xfm1tT-LwDp6Thr4rXM~$ z{Ske*W7u=JQX}y6&tPtz>!OYS8`HJNRMR>ishPfgwc}vetGh;PZx@&EirS#AMLB=5 z6PEVcJmMUq;;Mf63@UGradT9R^1e+wKY3qj8|#m@@e?{^tTZL5kDvVEH|H#+z}$Hu zIat}I@7P(@h*N3m-u}M3pP%9636-Bdu=ToBK|Y^jsPfD+TgRrd<7MY7m$<^Mq%*!z z6p|nQO5M8I*l#~vzDeC%gG%WTTT7XeI<|oqdExn%JaxIV4!h(cnl+ysJ)D;1u*pT+ zQzl%Y+J4JgEZE6*;){ysAZ6{{ic?Ct0q*Y}oYg)Uk;19}VxqLZK%?6FG)g)&Qu$(9 z*7qNkp4*;3v&id{TK_fA6@Bgk|G?#MMCDKwuY#RttFm;&|0<(fcc6_7>wuvvpEFt` zayaw+)?MbC6s$GdN(Y>ObY1U1 zyE*d5x6`V9W`0|?w0OI1G+=+(W~CGx`Km_eqNn}SPpEulMdK5y#p@zptn=N@kk-W% z`Behn9Ck9l;5lM`;R$|CbWH9uujeh&7p{3-b~+l}_#w;u5KC`!r=D{3vnlL8^oGOQ z%Q4aanLZ09D@b0cdYyGx%dExYLaAl$s(58wt3k|?JHip!}Di*>TsE<@M^%} zG}8}pH0iYlnCkseljr_kRqD;oxpC^Kp^d;`&$)BBg}iAIqd1p03inigULZ;p_Qw+s zj}&jRSI1OWhs@fzJ5(eqeYtn=l}o_ZPr3KKuh=B6eLThgOV#x&es&yFN2Oh=)HJa5 zw2hJ!OjUk3#ftJZR_mLdH9Qr5BPpQ-{rIB&)`ag-cIR?#ifLB1diC$P{J49sLv2o; z)9wvh)?^g8N?QA?pE{Mn-YDBKS$Q~X+v6^&N(Y-=DOb(5A9)}u?fb&j^V6n*+Kyi0 z8nN#ui>kA~C)Fy1xtBeEBje4MA*IUL6VR218-+^LbOk{iMWMsa(r^$eCNLKeC1yrF<)bnlUWw|!AVcKi< z8D|}nj)>hEgz{G2NV?dUEo+!HY4Xvq>4=)e^|y+rICDx~G4yXCFPe`Y@>1NBz$iOr zDW-5tHt*iy+MI_k)@`GJQSd--;{qo zVnx!5b}{Q^!lYuudF6u^T8-kJq9d;EJO2^$W!D}Unckc7>Pe%^iQt;E_w z`1$MHx}$P_Z?naJcLPRlf?v_YCAMy%B|_*QvC`Tcggj4$hedudyny)A$LZ0+we)-Ja)O+&4eKGQc@w7m<+ zRv4%sFKn`EN==f~%EDPhCfbzPTpbgMV`x8)6E&*HnWk?JTbFfCt8(L6J?V#^JDZq> zEb)hjHt;ra9J(zdR!(~S*st?E@x1%nQ$$7Chp)yYcbvnXi8JJBn_4D+y|bzLn}R&0 z2svn4n`l>9enx5UmkO{Pi<`gf6b<2mF~^9gPF$HJoDRn zk9!;1mTlkUu-@*jt-)b!)Pqw1l6($u>Q9lLYX$E%QSO(rE4(76vG3&G4oTmo$^?f^ z8RuKn?OF;7G_5sq1DxyM4c4CD_$=omWk=|{S(`iS784pg&n9oioXOd=g%$C8|ss9=RX0=535>=3$lWWYNDExbE#QiwcgGeaG>3M~6s9~Dvv3@|F_}MobI%&1S2sLXF5Hy5hJWkz zzGU<5gRkwYvWztA!RVypan^ zxr_h48W_K%#nkQfx|%6z(|6Ke=ez%I4-0@FeXmS5XFc&er>UW=DRv{0qe;5|s1WXB#dE zKWCu@f8z;Nxx}c#FD5Nc-1_##qn4KMtQWu16t-GM-xIw}aJYM9tU;invzwr`Rr*20 z7JbnVE#3rL#etSjgZC6x?jA(St?>6!zy28iKS2<|0%S* znK}G#>vP=35|tEQ!h1(=#+n5F`xbB9+w*si4fTJXwL|^wGifnV@K+Pn$$U2TD2o?i z0@lduggYBi1RJq^GJhR^Ha)cQOzO8fzM>en-EGDFJ>N|7oUJ}bS z*2$~7dRRzo%_T?}yv=4Asr6;v%+&SO1%*pW`4IEWJCz~1-tR9Ty~*v~xMUr6nQ-Qc z+31J9o!p(LR)~b9-cm85*oCZ}R~}s46E}JE(5LKyj(1ND*QZ$J$py_kZ8-GnaGmtU z>!Ybko(ihz#|qau$O{_2?4?668B@lm+|lT;0P7#}c7W{?$l< zeiTZYxwL$Mm-`vP|D$F<+{3SQMMnz6S{*B^xOI`F`zfhO7+-N)8qGVX;!%w!X()fe zJQtF0tdJrmlfBxA#v}nVV5w%WaLRrK6GMm%;ZgRpm|G)*|5kJT`cp|x9_iFa(HdgR`(vidyz3A;22Cz$r{8;s>FuSQ>$k(WY=Rg z5xc&03dqiPzb+(k+%oRhwlJWuAPTz%NTJN&7xaTGvR&$^5d_BAnHaDf(cn z&wt!olIkk4bil9N^UG`H+{|#=b?WR;kQU3n&k;esa7OQQ#?J`UX1TO^tUjOl^E!Ug zUvjZ5ZxH%pbn7!EK0aJFSDHD8JX}P!E(h8E!N-OyBfViVT2jl6CLI#ezVt=pWd*jo zD9$OG!Tr{j?TnmE{%Bx?IK;tj-PJ67a0`~f`!WhuSHZVS>e@bA$~tN;D8EDC)34DZ z1B*-^MXazSBaI5VXRh9L#dEZiQ)2+zS60#SjxD)W^nzPe;mxqS&g3;~Ji#H8-;k6h|)Mn#>=X*h?bXp%!Ov@`YPTmSex97$q8$SQ0KyvgUB; zQ!w828W{*5rWb?nErqxe%Xz@0$fB zeimDnr-j8tjy=1I!nPT-X8YJ-X+IA@R1JtjI>A{1IQe@t? z0NYD7yhUIUF%?LqC#m`}n{0p$U2ZHdOizW{(nrC**)ll1VE+CC!b+Lct`^`L{=1hc zX&uB+O~|3Ls0HW4)+)*%Gpqh!I;c__kYisglG!@n$kBhe=wpo+NU|O;OyhTP!C;Xq zTB-Tdj5Ry#D?4yP$-qPO!SjVUiPEk1eeI}U_!(n(V=^{2gkMr};Rlh49Q@-44u}y$ z;r}g(?#El>5#}0UklVD0BKRznl?c{X5!#76V0kcaF^EAG)xh_uhD`tTTdFw5Et{c+ zhta>#@qcm2y=1l7PD<+jn(fQW>%R?WPjCjNtQ^%FqBI-O4qX&x2qsaf8&CbsD;A5W z1g)$~BYL=Osc+Hqu*N_kdZt*NPaA?`L%6+^>;yL`Y!}}p79MY*ip7zmpHQv70r!PB zN+LU-LfiiLD_+(5L9Ns~88^V$yonI~r64hG1uzbB*Brh0D~v9qW=}9%-pAFY)M7PX z@inEHEE&bt(S}0odRZ{MESacnh*T0Is@|9+G{*j!=MPbf&+h?VM>b7@Uho}b??COq zP?5?+%+e9$*v1V`+b<of78wmkmY7@ za@}M#o#MpA1Wq`b-kwv4k!Cixz$=XLMdYfWCQk-gXhn5$PCi(?ZjFJh6d`Hus2CQU z(L!Dyh%*sr?SnzHOZc{R+mYpHvM~v)LlmfgLvByvSAV|`IWoNqkgEIZ|Y6 zV2p?f76%`3rd*^mUVZFSmAI&lT@00dZA@?bP+T-fbemvb)4UNxR)DPC$&GgWavDh84-J9 zL&y}yLLAcYjeTbY9}AcV9J(lsW~3;)Bg=|>6ksAG&cYY}_g2@D?L&BQmqD_MNBC?L z@7T-WvFr)K=as&rQhave$x;wWoVx-V0}D|`X&wM65PQ!c_YtIYBa=tHR9G?)&cBVN zE<>C6jYjswMEcE36r|wk=rek5!IOu8!^m8x>PhlxL_k=4-plPA-|~E=@284{)Fzl-!kEqFvu85;PU?wvp;{b1WvP z)4orR48DIh?Y4%?FoV&vPSAL(z)N3CCNsA{CKOO0xT_9cYG$9Cydwr>hv>5mfitr! zTdi7!k8ndOj(u{}RLzDOmoSNbJ&z0cFvi)qBKF=$_P%?pAQ$JbWc8COQ+>dSqe_pA zwF|0u6YTovNJ056aTn-EhA;w*GeaNMJwm003`(#y7fO`y>CaXX44e9KK0=_~3IZS5 zIkkEXebnrDo|b{5E;*6DyewB}p{3D+Z`HYGT>|YrkBr5_!P3HB0N^WsXaf@{Ibsd_vl7fx*x90Y-@^P0l}rM%iSMr7i_6s&))lo-PSIc_yAV%NCrH0RIrh=XY$;oMknk59 zo`7#{;)ccu+qMr~2T|SN95NmwtjKIE&(k6V8Np#A)D++e+!ERZ<{dO|4&oKqOqnk? zSVr*u%5$@(K&0}4kXwi$Fu+Viss~GO3!nX_ciox-jMaC?B4ZT*xsWoQojx{A^w&ub zflI#BbN^#&(G&sX2?kNeUq%UAd{&HrO;gW|C78h5rqK@g1)B^lh!I7g2ho19XEF&4 z7}2_l9jL=Dbe4!oVd=F${?+HDB4)d=1NBmW6fp=xbK!*t9P*M2VkoS8+liwxm^$Sj z?q!WZf_iR2#hAp?iNN*4H$%4XuV6PpGr3oU1?G}fU`ZffVxtt*nFD@`jeSN$6tLn; ztBHcVU&d=em>EHB_IFDi%xcDACjAdCzlBR57>|Y`Q{%`|CidS_kTRQXH7VQ_{xQ)P z5Q9$;cq&p95E%^Ql!nXkkmpx!WEM-*TnpDuW(ME~Y-6k0%wINFQ4PjOPe6h(J>CLX zxL|UeEH_vpG0J1}oe|%827|=pOARmxD@3UothD?fO@#p`YGE@v1a~(sw;ObTc=jhZ zJdLPUn?-3ascE>!C;F7}34}A`|DcNA>`X*PLv)V?oTvc8?;i<_0nfjX{Fbc919PE; zq0?SvKx_voZyubI=JIZ(%n}U79&Sl(mBj z*@P%|L`|TO8&5TvK=3?D#|dTwb|gu#Ws(=f@2ba9vz!qx29p-db0gi^8D8ToR8A1M zB?x4@;Nejm;ej8uO!Q?OBS>vzgzrz+VYdu4!sGuI^(L=%hm>6!59|^u3E_&&twPz? zA#PX`c$bMYl#-tbvz$>RI-S)34L3#;7u5S>G3`F%U!PyHg{4%;w(7UGo@&eQz=3|U z+dm%BPi49V4afkZJ-U5}yiWbz6J}HP1W#XbPzkvvL>@2!N#lLgrS}AnL8I{jJ%D9n zwozkWLLpKnARHzFqVbfxeKkxbp1Fk{7}Q;C1orgeOtIn;c`z%p9xncVWQ<&QKez`F z?2z6sDwEt|q8$wc0mj7gqsB%0sRiQe)7Yv!8LDj?C5*%V54P`%rC5Ax`BWmi5Huh^ zW)m`qA#0LX+=aDI0>N0&lIy|K=Fso}Ki!~9aDH~SE0LFclX>U141X~FFP7d50hDHh zzO)Tl5>9Vhr~uvz;nYhkJB4)^umHxo1PLCroq%T<1O(vZ&?8z@;w0}Qb}$X(Q?Pz9 z6}!Y@ObD3Ar-HD5N_x}CY9kYTGX`85gf!7{RDU5?pGvj(&JF_eOt#GsI{B2~2VDt! zy5QRdU3z4%A0hs^vm>ElYQL|N_32lMA$)p57w;E|nqLKxe~R3w*EYD?$N>oonb`WN zax)oKn-JWUJ)eOsfr6LY9Xl{B+lh@&R$UM3n;0Y(jib;Db0sT5n`ecD&!faRcW5HY zFmQW_+N=|{u>k8vHhGG^`}tT-w#DyHT!FbdL?vn-mz~P@|r|Ms1iq-0zQJc<;V{!(SngIWZ?{9 zCG%2jb)cYz4NsR4!UqP}sU#W>^^51di-HZH835+8Sh036$jU#w<|G|tXp8g~R zG@LCbZ0FS_|6*8I2f|FAi4S^5EgxF!Gg>uueg!$TF?Fg9x0BGSv+op_VLk zvEP9s9R8)*0h=Y}dSEs~7&=Ak`kskoe6Gkir z%GV^}c>a1229Rfdq^(Oe`|#Z%wYC{S!lfW`1N#qgU;!WG0fmb2W8V(rKm*w|qdiF1 zH1`>4xCKOU?7Th%;50o5aoNYpEhxv6G2L+`2xsac2v(YANbkUp9PIX?^$wx<&t+@J~xe!AvMQMAKCBHiR;6{F@~1F~z7 zL9Ar5Z6|m=BSK$MRt>70oRKCh0tpXyxDNTLkL^ngr$}_*laG?^`_gf`N3oPv9$TFb z)FN)#`sFU-9Ms~0@&tl|U@aHg9vS+tF?#}LIo z;(VQ04;*_uuZB<XsDV%`@ZkBrl;kEpt;wT75`X636rx7Uwt@j57qLMGMqEFH zWW>A$R#vm2(%=_$isNDJ5fX$nJYo|rW1#iH3-ld2ixC|t5VQxQXwH^rVFfUhzwy<( zg~}@`3~p?#T@C6dJav8ys+{>~fOO6jA`D%5S7j4;w@HKOVAQ2M$b!!T6{r>DAf&S$ zlKDfDeTf+48T`Nbq~M| zLwrIliHKq~;FOOAu*nXWW`6^KLrTa{T@}AQRMvJ`libiD@CH7GBMCbn#L@fj87w>h z*$hmvJ!MXUUrHc;v=0(pk}lFZVMB|TV8GoUVL@aK zvdEIis3nA?3e99#7-?SyJU+n)(s=?qmi+UEKat7o=9aNJ?8HxaJwY|R=c}hF4AC5cZZMgFV^Hu3&6L!|0s~)fgloa zZaQ^>!^Kfioh_VCiHlW-rvRqvH;jZr)B336;=(&V3;3&TLUAA@NyV2W?!sxRH>}$H zrClh+U8wZct8pe$=sAu>qD?3UwusUCh40qJ)sVq;WcO(Mj zjZz)L`nC%Q+8}~;Qk?8i?mzJzVHY2U@DVbP0Xc>uGw4jY%t*E<{F%QVJu)!`$fPHo zW=j%wd&H%?)IxW5v8_PUiThUgMzX-50O5=$iY1Mvuqe6VAT6gUOatrfKR+hA%E{|# zxMn6Zw`z*ErJ3>~j##h@3#NXtcYutO`)=^o*^5D|aZINc4dK8XlwtT{vDHBY61O{) zz~_dvz3q~tLTuWo!zkoDNeVJM;)NR^6@%mx*976sg5&bbz`|*^?!CfGBj7D&tBDMT;JAGD zrIj9%+eDxO`;k%c-;(3pj}%P zrxceo?lTK8tByk@hcbxdXN=#xEabq7V_z@yVp%ZO$RVxcp-!(V1EV_2%O+vaHImGU zddxsCIkMMq!hJ&LG4t>3=4jB%&hPM@w~4#u@GrY_COA5qkjG`n-!6F7@I#_MVx9^+|UmjnSU776A6wT>dWUwnp92zF54~^br@~%$Jiu zx}v7rK?y*Dj0^^8Gvcrlk-4#@;j>^hQlWO>N2|bnJg|U3o5ZC!bnk zsL#h_3*Uak4iNb+t4hBVck2)$%5vQT!94=wIgB+RXf1^` z-Rqc=4xboCRkN4(N&G-cz95~8KzI_YOuS2!{Czni zV@SmghzCVNex2@5J0M89F3jeCGW#5ufEEP+>uODN>&ho&d&< z&gjJ(A+--+7tp8tA&Ah)Q&*b$1_-3TCf=e`z;pwrV8vj395OfsCWDXLj~AOnVgc|| z;M!6?Y6vkFpB;v@`EOhPV$EElz%|EPVIPS}Xe$u^JjgW&djVT?8m6bjm-<|3AcqnC zZdg;WPRJ3fFqASd;-yfOpHsYG!i<0(8R6XH%AX~m_L1AKM**%%d=HhFfba(Ug7lif zNDhG+iQ^I#5N@xongfRYVYyf$sIdg{3l9RXJdtKDP_`&HsFow4Nx>aJf&RUArQPW- ziq?x&o1Lcs{ORC_H^`8Gmy$6;pEbd&oH=_gwD`Jk{55khdqS9RP)H<7k-egcfYNX} zjZO&e3FGVLY%hfgMP7>7{f>&4?CZjwb~7GtkCHxB)WPhC|2<58*~7eQYzWEjoQ}= zMb`r>WD;JdmO%tC5FStvuP6YgH-=%)5!cm)_8`nX`M?NCU4n|;%Pl0%ssKZ8MRL9| z96)h^h6GpYif}Xk*P7$S80l%=q;W9@XgMXc7RaPQadntcF^GOCqOm&6WGz?^p)GWT zuWcZHe8^u}odnUklK05X^GF#WX+BiUED77T3?1OsJ)+k!KPIf2RpWHHSTVWy53e0e zYoixgcbR<@Xvr7^I*Js27S=`0n5>TIw%VeX(Bsv@Wd%ZwBikn-YyLO7j25?$e8+me zR`2ye;}yyT*b^XxjoRHxPp`+iSvJtBL5<^=$Yh1={~fPE6#gHnX;BYF*hGnPtSn++ z??Kp6Io$eM2J-}qk{|>V>>+xBqq)S+!2Z$R08%0Uy-O?|nZN$4{t9!qOu1+cXcd7v zjerq7wquNlJodW;Y(6^DI1g6bjHfp_$qg z`C%09E4+G%#r;$$;14&Jm0IJJEui!YKNoOD>}{#574 zGUt$v56ak*vIby2 zOfuj4s1%p~L;#A)h{8L;^ z+l2-ANI}iWpt`XhKv*Qfemj>bMjcqyqhNmND4GJaZl3)XxV6wliiI82G|&R11bpFu zbiEUjyjJPQ>j-*HRW1B6gDwH&h_LD)MDICVdu+LRYDEe(C70E2q6sHn1LX-iPH_hH z_AgF#wc^RdIJJl?ki*M>Fok%}3)9;F;yilcOn^Z6u&!p9cZ)?UsKC~MN+CrBO58`@ zvI=z`s3n3v3m+$3%@eo=Y%GvpI_X5b-*{iEux{}q5Rx!htt9G(87ih5D1*OgSHSqP z(e)AwkUrM2n~|W@5G4t+W^UT!#pO*xNSg=BVuGumIb{oGk^G$qojV){>)z+ElvbnL zTSn>&1+vxC)f0x30(1YsjMOCCnIBZq$u$esimh$t9IwfP%wXUTF{dM^*)#BNq9u(CVQ*F{I4EzKaWW?Bm7QjYmaUN>;$gxqr_tk+;la&+>r) zyv#nv<=+={o`yl(Vcc9m3Y$2ek{8{8&OFsiup%J(k$&EQ<5CH6?T=~u}K^wn1BTfovP5SaEF8IZQc z5jAB2t}U@O=+w4pKpa3JqKm3j2+@1sqDp)>ITQ|b6)>BSwSZ0mnfxBXuRoC+-oE98 zu#QII!yJP@QTSR!UwcpzkQ;Jor552d!ChhXlKzr|84?u@Y82iLYX)rUQOxi#gx61_ zc}JMc^P+;9=?4v<=CS@`V37yTWR4iYh%)%a2ke821neteWc_zSC{QvF$1;zwgFf}Zy8jF1wrSYVeb`+96JU%XQp^_Q(~yjBc#{!w|(;u4LHUL&TETYcv2N;uI z8Ncf}j8m}5cJgt+xAYN=@hy|dT=p73evorKM7|QitN`HySu+i}2&_)+0erTEJs^JL z*+eEC1KL8h5`0<|%pLq+Ob_RbC(;;J*Y*N5hk}}^l^sucx{d(OiO*=t%D;Ks&|)Hb zcAU7hfLX4Hd3>ESC=V#wIPL{ROQPzxu9nXCJQ{mi2$_@vk-D7{^NktQ9Awg51HKq* zf_d(&L3#zb+S6w$6tl=p<|J5!f#PP6#xzy2p<;Crl8~m`EDZa`-Z|+5&?Dp)Kk!dt z6$nWftlTfQN-O0)Sn41sY-Z9P5SRJqSzn}meF>Hx1m{hB=g$TJ8ETURH_w6`3AG9< zksR|!0$adP+7kyJ)s;fxfQY0LtGp1^>D<$xh(^e9aUTDV`)ifMke|{g?K$B+qH#v6 z>8g(rR~Ak7DXg!aNi$S8Y^;tG$ui_&-Q%dzy~ormo&z{v$*ZrD8H0 zbzHkyU5N`g!Fhtt)76f5Q=yYjnY3$@H^GH?b>X?&sq3GVfPuSv=9cL+vCxntxEYBQ z1(TOfREA=yn4+)o>wqN?JHr=AAF)BwY#LDXg;OV4T6L1t<$s_8NqFH-kSEepu|5|{ zpz#(#nLOWLm~jMH;rL5ooA?SPe#Qe3G2COWhaO8M{y0c@d1Yj71WV-&2v~4pGejp{ z{1tv_mBiKPa^=nf%;Ay`5Q4rTRG$kJgtHD+bSV z?;I4#)>3OZ3-SVic%hGK1ti}I_k#n;EyD1>*!69o1kD9Sv43P50qzl{ukLk@!VA6Bp{kCN~W z*}nQYGe@q7>?jRqrm0YT(;pB1Mf`ga^lTgB6rTwjRH{JRmW2Nh5wPS$1#2+)f(a9n zRS+dMyn97ncKHctC?*Ld!95ES6a8QkioStIJ-y1^mhjivHXWeMgV^^aBjPpWx5740 zYIZ>dxxn_w`<@U?_&pqH5Wd|^d3AU4X&f-4`9x(Fc}ZxfvbsiOo@y*e{fsz}iFve8 zd)u>Fai?S>G}gI^eBbn3@}S~{|NoEwm&XIC-E_0c+32BxxXBeKRAS?zPP|Ibj~_3S zZl54L@*@6D65m|@rRRo*nE3DKJ7<^9PABDfC)@uR4nuPXmOX;e0jDo`>X#@%FJ^Bx zl)sjY&nXwVSaYQ0y?9sitt)pno-hi~RCu)KJ-V;mh-63GvgHH)kmiQ%?%Po8u8z`I z9S8zt6@|^N*PYbgj^23ZRsX(ej|qC0M!@dFd3$xo zi!4K#>+DOLV$SFYNH>M-RgmAK7kd?Y{w_|+oMC)A&)+lP1@<}TI4QwgiOvmrq)zU z4DRi$(d@V1<{7wmNqJ}GvC!L9g9dlz;+oy-(j;EUbKO;a3=7jUI;CeihP~=~=G<4` z(c#}YaJ*)?Xt4icuKwuk3C2aPdrKcjy0t1;!SR||#@%B$GlK_QO-HkImHt~N7Ej=> z!G)P)b{`5-;gA3qrOUynr3M;hsa5)Q{7%#Z^!$i5JZh;$CT-hWV5EgJTdRF#yX}uO zdS!J#cSlWxWMD?`{D`wvi6J*1R9AY~5~6|r{7&G!2w zPbzzPW{L#6y=Oj-Pp(Lpsx{a=ooSgeka}JrU8%$DneW$@{EVGv8pSr-ANG0!^-PRM zkLAj%ro<{w5|z*g2*+QiDFm6`H6ZrsXB-^WmHem>F_-x|{OKl3#HVSrM5-Tupjs`H zbM&NFAmvP!*;m0)Yhn2Qq<_1e&8J_OS`M0t^n4N~KMu4|ASx^CzxMo_`7+dHo$$D6 zm#t~(6$=MQEn@E36DXy1{MdEl&K#_5912tR8-8~yZTe=+nV&C2w1cyGLkC3I2Xs&t z3RtE2`J~rQ$;U#&9$Y=%))*cAIm1*8tFkUxS;xvWDSW$Ds(Bcsy_tSEnqw3#={0F- zx?AUnvGVr@)91eF$5seEKlbJss6-q;7+QBbY~NjvnTa2%Pe#){Llc)9PeRX^>ylr{ zz59D7?C%B(so{UB){YWm=QvM!ZI>vupHNWaHwDlJ|{QpTu1Rbka zyy#pO5B;Rdn8qBw+lh;_Z*61_k%a<%pS}K-!eIN17^j3`# z>-788sooMvK32DMYi(PV9_oMIQCjz_2IVArH-B2!halr;JDG7!tMmOEv9l`J!THwr z5nrz9?0UERKm#exa^}S|3C*Ri+JhG#96c6#N@yBz5a-l2y~X2BM8}1O?bZHN=e~RC z6SV!#U++mIHm-Q(hX*FpPg~xjIhY@PF?OW1pvm~DoJld-Bdy6^`q%F$+qFZV?Lyat z{S&s|{;0&hF#-zj*Zex6PBr>FWGHBFi3e6}#5gnDZ-@yY?Mvs?gxcT?=Ro z-5KU7>$Celfi#Qh_1HxGbwxOKL|ww|2!Xx}t08f{LDqTi(Xa2f;rdUe-P=a+>7F>C zwaXxSEZ|11*7j(;=j&5p@%K)0Extvvi?`_w%)EL%z5l^t$VQW2>5W8@^amEf%B{(# z_JZC0aC#${;h^l0ZyF4j*TgBWsgvGaQ}w$V8fN)P-E2k{3s2Ft zCNh6Rb#thj%(+%#UY~P3&3Q_VbDbfmYkAJ4W(7l@m6OzSKJy5To!SND!mV5`WE0EJ z(aXMPF-j`1_bBx8eC@~Hhg-gLFh0n>lXVRV%zLS32{r2&IWE2O&^5NaoHeebW(p&U zegi!;qWu(&+$+PZ{X0Gm_TtRtSw6zUD-K~aMoH?kk@?%ImEU-zPnOFb^3gT&@LUTw z$b(ytpZKP_cv5!nT1hn0!^089;M3RIe=NB^R_+T5-Yv%~o;v5A>uuFL{Ty6DFiQOz zb9Q-UJQtJjmG9AFm;Dyt)4*ES7PRu*n#boq*y5c2Ubq}$bQv{Y<2#a3=(3j6)YkW? zmEXVmG3KzQ5Ht?cRMs7J?xoGab1i9NGk9p%V?!A2YMg}i$GV=I7tp>_(+Lggl zunCw8o6 z^S5%?{O{`~zSRuh66RHn`7P#EjJaFGN}R!;#uju&Ris|D@?Pt(%bMDf&vMB(BA@S)uV`P<-_QF0(Deu6D{sIaC3oG}QxN%d z?H5d(rg3}X1Ldrv6B>O3R_^kiN4uvSKgXmC+T9-7u5i0NxR>DceVJqRFO*lX+pXFd z6n*uc{fRh~^NH4del)=)&N9K}doK*`qI?c6oYaqHT!4@F;6*c@aUPAndzI9^Ya-D(xHymc zaQL(E8$I|*@Mqv-J$R?l2L#3FV#?7u`m%5WK36#3nw;-turj!U`*b{c9v{9);&9>x zUgq(Tm_s zC<-1FM6f7$5xf;aJcuAv3Lb>wMMO(M1QDTv3ijm1izh+ffAX=3O`!vCCOh-{znOXO zO%l{aV?-2NT^GPI=}|lDkxcd^M*Hxn$wT9txi9Pl=jIh zOUs<=YhOCTTn0|*L<><<6^=KDuv-U5dZ~wTXa3Ju$dC?rtU8Ny8z&$26O)5|ypF@# zIIxmgowoAnEzxM9SIiZ=*1zyT<7}m9q})6ro^|a&YDaihQRk%ebT$Q3GG6XD? y?XQ!e4B>j4-RklZ`bg~5$Cg}$J|c9kiOVy;@EEs2;o%(R8pf3Qcm4+e0RR7$|D$C9 literal 56017 zcmeFYdsLEJ^fp?%i>0QOc_CABx656crk1EFY-^X56_uHl7rH5#8JUr&TuKdhd0}_+ zk{2p>npskrcL9~e$^^}f@`gx;%2q%?Ub&vv{X5?o-*^5y|DWL)z5@qqt@*AspE;lT z%;k!C^X9!YnGgPs2Y+^e&ts8S;-fK_*1CDPY;kd0y8|12@!VQ>5BIfOJYC(~UER01 zd8`eJymBr2Y~Z*55AnYFvlMPCI+y4WrPrID9}lZL^!vYG zi1L~K$(r8+x7YN?mK^Z>mdsk_`Hhhn|23F#^4TF^+0z`+H!ZEQ{Tim{P9IHn;586J z_=hq%2rFu&apRTV)Cp}6b>iv}@+39JfSjJiXc7nDNS&z75TcY%IA&>Rl_4Ukx{f32 z?d7`C4u%vNniNA*{NgfQsdxzWu}3*^wO965hYF{nP`qH60i)7jW|OssTHR;8p9{52 zNx}{i@Oosw!IH|nqPxtW_$jwgp~hX6a$<$Bx3^4vb+CUHAEB(2&yoVEYJI=h-atx* zBjQBto9z#35~k!66G8eAo@i8}9QU1c459W%RA=}q_lgbuj9#TGByn{at*l?{6M6B*9K0@596it4fZBj(jYZHnqw< z2~oZssZlCtW2qBObZ(FODuIZNZoI0KsGkiEaMtzC`lu3DbDO>zFx3Toq9{a#i4|#Q zV9bWutPUL>K4&tGQ|1GAMbK0;@B}zhJ{v1)xC&?LwBbc66?jD9R8k+OU*kKNJ%PhE zFrOm{KNAKuvRQ3S{Gg$sNTuOF)XV@{+KfD*U)M|g86uGZpmn<7L4-0yGk^v7KS&~M z9JW8$&VUldM(BdA(O6$)0V=$2id3z~w5c$fPc%OBX$5FU@f4L5s^ZVkT!tn9R2JxE z+MXHGwxOd242>(9`BcF-@bEt^CEBlso=J7tWJN}m4}W&_b8td9Cmd-g$6)(q%#q$U zi7q79oJPA8Q6%9j12ubfA|5O@SKc{kII05Ori|~!_*v~IpAHmO90c7{sM81W6RTCk z&xs91hO2|RAgG9hjVMB5wKR1|nE|7jb04jt8uuJr5YCiwX)co@NlhR@A0oaDD~45s zhWP5eqj{P{*XfC?#kq-{Ju?rK2$7EYzYcyH=pk~z0`^%Fr0tUf{)Ql*o%$^2RrK*$_=UV za!E!TKk@OF`xBIBp*Lo;GPI8o3x67T!W9VpW2Sjgowh_j?;Cgj@QyG1k3O9lCXVWL zr$0*;wwI!Aw1{Q2rPOoy#YPY0)x#E98e)Edp>K@8RVSN5wdJK~^q<{Ar_rSHCeEMO z(~ndcdY`@q{kJ#B*SKvDLk^H)yK0x{m41k8L>r9SRja*zEx_}qo8IHN6$xQg{YNxs zD@Sp=TmH(S*tI9(;d|Iw-OZz@;NRCQQUPT-6#kjR^@$usR!2ML@vG1-(i(-&ZmOGZ zpFe3w7tgrAA}o8GF5z@C@=P-A(?v`mzIY2^pfa_Ke?jeZL4POx*jPXT!^C!M_WOMJ zN3R1Ni7}niB^zm*R+*(G6B+wz64J(Vm6N;r$>V}d?&%g$$8bqJH?@>zi=X*}#EkQ- zSR*QS=I5$eHBk$wBe>9%;I-kzJ}P+T=GU)jT4G2*?9nvt1HF~RR{9z>s! zCa#Wk?E&8~dtHrw>!TAJuThvrV!s2>TJc37F`D^m(*X$4LVHLkiRX{%MJ-%U#%kCO zPI7DVIlSkOlQZtT@aKkAXxFdYEriS}SW_}vlvFqMG|$q0Nqbw{iv$lwfS1e6BfiE% z7jc^rQ_6=+UGj;v>(oL)fxxhX&2&{;c3SfOIIk(blpizPeh$D&OWg5m zn}W#vc?e&Y5o>!CupDrJ5KWqPlKEzcW}L6<;8H$}B_T_SWcAiVaF!%paz}RJFAnjw zaOBfvE&MtW)`QwZh#oj*X=kwH*wceSb&0E4{>>^BUY18qTD3uOSocnZ!- z6XrUcxEQMzw#qcdx=W|x*fNAM)owp@_B^g)Kl9#BEi?@%6Ape>RFHx8k*Wi1(SzlN zQ3v7aT_&+SOL26dBV5)rq@NQ_QsLJX*G*Su~@05fj4!5zO-k~?~Y8Qiqt6r|uYZVWOlwY=!pL$#Q`Y~O$7+6HsX za8>sBS^EvGJogBD#H+pgW1@iV(q0d(AFk(qh^28s4@9tPZ-BrJfxMCeHIBKcE^1A@ zf*4`0voIX(gSitj4Qmy(QHq`}*r%~FR)~AV(9rW80+Tw??ESydW{o4pr4rj|-)v$5 zTCH0}P0A-C(s`W91xW(8b*2a zNRq}-9b*q(Epk^c`YXWv{4yr^iH21D-Av+M1wTqr_K4|QLc2-4wi{&&@4$UR+5w;LSJ9nX3CRh| z`YVKE@ja9!{f(Q|j#-!%_^Iln6R)M^adhHl8MqG-BMAn9Kz-$xvvP}`TDjOn-Ftpa z{nf!f+^DQTFI$e>sp!dUpNw5deJH@JKvwOC+m=u-CRug#Z(B{M$YgAMqB`OylNh6W z_KCPJcWUpQ#uVtkFDH2@Fc6B2wv6P#;@xBx3)1iQ7r3j^#U-R(g>z1Ag@Ypy{oCrJ zHWQkgqsvy%FRB;BGM&4QJV)GaKd~mr`BEA&W!%V!dSKWUg189BKP-Ww)zk7L4Fjh7 z6d8+9*j$^2hza9uIu`hJkqOdP0@S=d%(h3i)7tV0sf*XCAB7#932PDx<)i&J@_`xyN zIYr1nU|^BLAw!nLXmUa-s_@E4c}lV`|0eG0L3)>>dWSFi33IIy41>cWG~g|b4?4)q zO(UYo#0TkB2T(9YzqwAv&iw}gZlj}#UnU6|DoQ;ub{-#{y+TAk?!^LNg_wO!x6!Md zx0v2eJ92!(JGs@1#&M>ZtdLzt|J~z~E4!>oERHKGbZZ+Kl+v@zfBf>{Lis?)j$~oL$~^gL=eL1)<6z%Z zb6H^MXA1-6T~p(DN1@v2M0A0=8A`6*>#aAjc*}QvK#=mPW{N!Y6o`XZHNTO5d9{+&Xi=!Aj=+~#xu-N zJm7{yF-#DH#t)^y0)c_4j17-bJ&FZ8n3CoY7_m^f9Y#&)rbVgsEodM7dZFJ=t%Oxz zLv8tXs(K5|@L|d70%GK~e-xX7PMkl7_i3+{M>}ubI;A08(nFu1zAMU%43yzznJQ01 z{VYC{xT}X^3a=Wj&VsHQDV$Hkgh_6`_Tl;BZ$By?w@sJ-5J?-@WtWG!9U_y39r+A@ zY5r2$S*>tZI%}+RFJ-R%S49&9+DhD@LbRv)WGT&hWOgnza-HobRT4pltxD&lUx)8D zH9#1b$xeq&Y`dyy`%OchZgBr%7aLZCOot4||MFVW)fj{H-BWp)x zP0O{p?*e4b#)fX(fvMq}S4DEV@U4S7nr&ocI4S+k zRuXTjLcy5ml`)FTIXh}1M3Uu`Z=|kSwIld{;aCB+4E~O9I;Fy_+o4S|=z@A`m&$0@ z_4mG{*R5_we7sx~3jZVx9)_L+o=Z0_rG38}@1Pw_<3d+NHUE8T}EsJXt+}8&F%O7wAvTR9$)e*-ZE9U4SMA0}L+Z>H92*R9R%J*MJF;B0F(< z%@i03W!?JEF@gd8#Ge-v^59dn1+w~1oG<^{b^cu$VsS*cJvk5l^YJ=$%Pq=u*HZWv z!AI6C#(iSRq{MR44b_l< z;GsPSSZ;I#!<#)f0uJ}izTW{ag<7!DA&T790Y~gZLOf=&tL3*ixqXWtTpDxrBy9d# zgv!v5WiqxW4I0X0Kkc;hByPBI+jT@(IO@@*a@V;6^(1o~Qs|tc@hf;BcW*L|hzz6N zxlEN0qtOcMRwRrV(xpmMZGjhSR;k7pA=U|dY=`_tgZ~Y!qlg0W$=_XlDjW0lZ(q@K zpGY18U_gSWQwycuXK%N44419OtYFJWr9qhp3$`4LjVqy9XulYxtR!}GtZUpvgkoWj zp(T+`p@?h4rRMaS>Z);+xr)krgkS@(^ZWFQs*P^U^FqPStsL6f+24C$XQ#qcpU{1{ zAQZ>wiR7Gmy)W_SfANPoUneNdP1l%`6Si{BOPi%3>VIuv_8>S5I z!08tl*^m&@?ZcX<@ZhlcVK}kDQHX~2pw_Uteq*YA_zN{ZAl`Ti==VX+LnasuzGMrL z+|myrd1q1u(m@Mo7B#B^f)H7RhW51kB5osNgh{Ub^4TSLYhe=UTYZG>)cu*j#`a;5 z${q(i*~*A2jjtJhsBVaM4ZfHW&KB(jY9RVmc(EyI1*2RRxr51Vu65UA$5h^c6@&^> z$Vk8oCpRT6V-(9C>|hE7wzdW@g~lDv%H&o^l^$9w(6Esqrtf6d)r@yg z<$p5gt>)2e6!)(CaF)`ghwBc~vka@*qvINJIB+4__id3W=3*H4Z8*Ym=sn;qz{8gf zZ4r9dF^-T)rYJWSx>;;XL#`Q;prxZ(7DR8o#rGM;#j=`&sA1G26i3mqh{`Y-N$F zs{6I{Rh(ac+>n+26dRoYNKG+D&>Vq=mA;yn@MeJNr;QLoPcTj1{<-{iI|}5ZQ#bYY zJ!1P$gqa)s$S_p`N0rJyJwP}|n5Uh&9}?$kYLv_FUyhiv;Wqpnx}fF=>bBeHI@v2IRed@`riR88&loX{o=Bz_F*Q&1OEpZYA(qYIJPQ% z4;RN37Me0!y#{mS1B-?ng*}$Ua+xHBVXGYhLswG*nL1zcK;Sp*m(?!s{VtUsAy`tK zuBQfS5^lUADf^y$RG8n?CEKg3vSO$JaeS%dj(|RlwxLjAW6LC$e6v{@fcY%r!;?1v_jfH?M9Z3v6f zDUA^XlofiVH@7_X!oGMg9UW&Mt=^uWT#PmIZN>RAE~P=EeA)39+AdQjg!n41=-!~g zDrfsSMJ>yGi#qcVvyJ(I8e+MiXUwkXjW5bsZ;+?VVmC49|^3JhG)WN z;#%=u_yl1P3x``iFrY>+*Df1juMeR?bu)3 zoeFz@C!x$ov#{x@td8H_TI*)t{-H-|1<}PzH)q!VgQ18P0Z|a07cO4NO>4?Z#}sxm zP5E0`sGBsZfX3o&v**@gT6vxaYe$>ti!eE|#5sC~V-TzvCwCj*O?%168?=W4Xmf}g zWAFR^bm@a2f+cNyc=$68cQbht)Vv`i`jq-CN#zI~$&6MYK(*#} zN@>F#=KyD1tWa%M-Y(yUAJ5d=0!P@;C7RLgz)FbDT-xTYbp(Ee=uPmCO{oiL`B$c! zaCOM9G5fjk<7*<-Ndm_C`HF_}(?N!-1HEZnH+xFs&0(eurG4;MDK)cQc*zYghv@D~ zFbI46`yv;OE^lLbOp`OSlZ37x1 zUgr$D!GVfrIB=Ave9=NR`U^`1b);_mZK6q~4;iaxy&9q{($;&&|}`H&)v5Fc@$;{U@loCX$TvU)4x zRWiz)m$+q8wHOevVUIq`J(pBUKJ77_{6>Mbns)#L#)$V`6yFXwh~YiTV0@0%l;*Ig zp$@9rMz6HB=MJ8q@dV+bYVEg0`6#xV4sme481r;6+}H;+TzlI&1{PNzq${LF9@@jW z7~%GG-0qfy_hZx)Oe{X^z5F~5gerE#LYf1izLv(iYol=)rX(vyBpG4O_hq5dFb@T; zEwH`b8Wo{Vf}($w>MG*@-CfFGc?0~k`&+ZuUA{Nmn#}DV`~F7rFWVdM*OO7twFO?> zWAD`c37mw$molQr%mpYv7C#l%Dg7X2L*R3X|EB{dT1tlKt^)hmLYdwT-W4|)Idqk&cskyg z*3`SpP#^c(sIb;v+w+AweC#^ujBhc<7OY;zLdc{%K}9p-y);-5l-aK7-5^zYsC$Pc zt0}6Am}Wj_34GL1BRp0?-1&WXy14Ji}jbuwKoxYf<{)lEoN^r zf9RU@G=z{0(AiY8_O>BuqlcOxNQeUT(#IeG16uv4?PTBcg#%IQ?K|v!9x>Z+-qefj z4pN75MAJI_D;ciP-i+x`B3TH{69lx-I;3ZZc{Z56q+%{dIv=6~>j(B(I5JJuIff*o z?g+w%3GPi!+Rvtv#XQl6B{GT}Z%KxaT9c&^OMruwP5;$5D|EDp*l7g8e-m@&@l#0kz8oP zQ};Ip3^$%rtP`lG(x;cp4L108Q_>m+flRr9$(`OrjQ?dyc!+QJm+*3E55l6D<$IGD zBmAtMfiK{1Vkz^VZq961g`%!wqI@s$<_I{{obSa#rP9!aZC89TuihNojab1rMn6VH4ErPBSS7&2sDaO)d}`+|%X(tGLpVSXe4 z@?>{>G{9Smdi3;(rUd8P=GIiR6VahaLuE6~@IO(~6IQxh2(>}VHKcH-#}FOBmvnJL zR<)(QVW1|Ene{?)Y%jF7VtyF4F$;e3(k~=F&h{$-;hBc?fV=+y`$`wsWp4N@WY|q+ zuAp-m1EGm|acY#EK3nF>!Zvw_@nJ!q0?1yxG;wm$Na~Klm=?#?s;0eFi?rUt&f}`d za3eigR-4LAlJeZ)w#}#y(yU<%cf17<2=3!odY+(wMdeC23%F6hS7})$rgQh~wd(7L zSZ)4gL(OLGUg1B?7ksly$%>63ZW#44!C?p?1}K5(IF|`7zRGUQB1EAeR$v|lOi2Zh zAv3r$tDhz5loEy$AgE0jY49Fmtqf;BWKBe~MW+CezN$*V?6*yS$Od85is=BZg4MeK zR4&f}E2RGH1Y=o3nz^U`_?YT4una;ycv%;b*nrATLFEeCn`s}Ub6~6F9|Soqh_KA6 zF6ZKn5B#W6|tVUKcdM?XBh87HYu;!p72$nuKMVJ$>k-_Tvy);7V;obyxR>-Ku zh+bg98XQp@VJeC8CFr1FX@vuOZgjFO52y)OACoq6J55M?$^yV?sNtd{KgGNB&Z&q74)A2I(?zr z^Nbek(ZBcTK$c)mCnYu-s-&Ae;184_3UU)Fr46 zV7CJ&L?E^$tygG#@PnD$0%^5}b~COD6qEDq>L}@7hiHD>6D&mus1-gzZB{-W)JQi# z;EO8xkUyu(G->9;73Tnut$Kv30HH*=Bc&aN^fNIX|kYa`dA^bKu z3%{W$TXx3*0jh%@@G3#IyEYWK3(+sYyMfpp1F@Oq;RJOBb6+PghLE0U2KpTIRDp>t z$qCRJqqYd;woSXq)?QP_5XN$?^>+JO6W!PAhA8caE=h_Ml@*`#JG9J%MdC=G4)bj& zn#jWdg&nZi0E&EQd`=pvKu|qg4v(ny7ZiqJ^v|dAn_E$4x6KKp+G8xu^o>i z*QT0xNOi*rHWY48A4_S#xVNAzt%k*P~01p-GF?fw7WBoG^x z_IR?zI}&gbQ^bNHCsuNEaufj8kaJSk0|A)GI8vwCf$_afOUu24geauK4=nv$0SJUT zB%Oi~-I_wlC}YV(0bw}YU26<{fDoNz(EGRMdYT8ILWvOqF7%i;3w4#06TZDb!SQet^^;s5!GlyIi=&XH-1BS!xDKH*4mJBKPc7-C&b;^xR5o|+z9nm#( zHPn2yBdR5LZyo!?f7vq5-6F!VyCdGJ=^B|!=6#g@mngw{F|*w_)6v`(JuS6R@7fQQ zOHIO<_bR`Q%uJa4YVuoHzs3pgFN{kjrSkSDrk$4~9au5Vq$)2u>VtKfIN?Y2n%Pz< z)dLTfjqJ1bIoCkeP{G00gl85Pg-Prv6(}EN`E5oGrYzEe#o{ zxcwMRpJW&r$&r>yRql8!upPqKfImW}Eds;4xLIj1+f?I4RFGCNas<#8TN}#k!2g1# zEE*)H1M(L(3R0X)f$Y1fen)FHswM?-4~Su2G$Re+23$j1m4-LZXm#|>!$=TE=!whB zh}_y-v9doedmABN_BRV(tl$ ztk847T)NdJ0zV=8U-3Prq@@f{&$*7dFPM{jhBD8xxVE%r*p|xVr6O7juLEeBk8)%= zwt`UGE8XUywF4#~di$nmGLj7ANaxt@oPNv#FByE4QUp#>fGJLAYA|Ks$)x!x7Z$oz zJg4+bno^h19tilYxu2w9TMP|Qq`N${XrL9Mcfz-r(mr5gv%`5K!0a-@vYvKX&>yJx zz!xxC!ev_KS}o5MRDFwN!L4=SHu|bKT}}z5f0qCeg||v4Q3Zjm%uXpN@IWGL;ZCfO z8>CZ(ZPT*g^auHDl5w1ik>OWim9MtSlx9x7BXDX(aHJ20dpw#P@F&Tz6i_N)OFuw{ zR_PD>8?pF?z>gzz0%xwgp;k*EiPG_i|x}k!V4nxvCC}^=Fwp^ ztm_5GVkd(W!|%1AMEM7WQh|ZXC3Ay1>0I#fkO4*abj~_Up+AaxGel!M>Nloz`&uP5F8b@ znkV@%OG0>Rh%m`8A#bE~5i$(Yc|7o^l&#a3?GB8{V7a=xAXMXvnco0X3Nj%l*$DbF`EaPE%eto{;)j|y6ND4-ON(|@ zq8&Fqr-Vr!-)=`cJ?CvZ(8fR8`^F2g?a*hzv&b zENatO24%k!qLXl;rDKVI@o#)teyB*XX{uz+vxAa?3a=2ex?QfK_w_$3vy$5GW!$4> zJeVnBpr4Px`)ZF`DjQW!i|q*`hTyfa9Mpc*pP!7K*29ar<6n}Q@p2iyawVSe=*D!p zXqOB&pqqV2L}WdmzP8a`;xt{a6bm0mpRaIZaWCKhnC#qG#yBhLZ(Q8L>7`*L{r;$M zrtI5M$GKN13yV0jsWShUdm;3dx>Rwpd!GxzXv;*w>k;9hj;WGw%J9n%YR?P9;!($n zTw}6d{IElKY@TW#OncWZUqfmPO5%oUUqa##u5J7$D^>C%TIaet3e>72ZbE+Fhw;_= zpFL$yv|~WhgS}#oQsY%G3{+O$N^*1FXCG>mRVQlUYZTXt(_>R3UjJ@h;MT_dxyh=R zeu{uI?Mr{&Bl;0s7!w*?#%PJ#hw4~>$Ae*q=ySu_QS`v?Y^py?NoSyuf{w3 zJo!tX7cUGO@hh+XNR!>Y_#!A)|2;q2rh}6k8J=k0@&!KjAaFF%yfis=2)r2lik=!l zgb$4*Ty2ZzwL6}t`PN1rk>T#cMrZv?-#?XWCH9Kxh#N}J{j3w$pp)^veucm@MuYf` zy0qcwK!9*3Pq<*E%S+D7+E81blc-^8A94A;ct6Bv^p;S!HvU7*`-X|#j_^MIbMgCs zM&J_(t9yq3q!C1-*~yfM$<aBaK{rP`k+Y%|&arEg5 zFUq+iaZ_;-)G;M}DtO;VzM(DxJh&|I;C5}~SXfMno-)1!(5blx4=RX6#;@ICV8_X^ zm5O_(d=Lpy6Bdfj(w&i18X}}yGOZKi2YT(GEiaHeKf&dUeg_5nsKDCtm@y@ ziB9HaTf*PJiBGJUx)LJ{;~NeSuGqhc13P!3UAwll>X#5YlqU)jC3Rx)Zcc@)AKKk{ z*)dsd@u~XDUxP)eHI@JEJ99oAnBC8zrDD&7t2Uxv{~LDpIF@(`TUkHR^k=1PGtnXF zNBcuSF-%ie93UO;Ql;CqC%KmPq(lh+z@oQ=uT=0}Rr(Y)8Y~nOL0E*x>%1(-QG4#_ ztq9q(!j)P}=Ew@>-4C@P8tuZb$sR?k6n&!S;!Ck%h+=H-xZ&2JxXNUQtn|=4wNYhE zKBpG#Vb}gfjJ4BdE9H5WW=Tax+lYGB)r(yiZUe`VyCvLK(SYchO@x+~q!I(aZkv&u zY1lM|g;s*sILFf-@d))3&-0EuV|bA-Dk>>!+k}#jZ>GLCZW*+}VZLIKq>VkNof{+C z|9$!*A@a=Ye@kqtUzROB5=I+1AL_bK;p3^Zxt&y0!kIVl>z-S1`ZMw0zO z9p8PP|NQ)SW;Q!%9<=i_r#P~`%$-K1a_%%95)L=GqF3EW;n7Q`e z$83MsDd9w1&LH~t)kPKdXZqk{=BL6%&%^VNJBzUgBhr)s3pY-c_-fz14Sd4JwVYL0p7g5jB5c{Ji4?&e5Q-P}ecQm@@oLfT@qhh3@&*ed<1-&LvyK93Ax-c|T z>6quaOnvZ$xT)`RUtNS~MNvq*JLs)LS0e^DbfYM{MZvC>yn)b6B@YzCF+VNt?vCNoQk(`hZuJ04nN8oQvf9e%lS|?cL7dNA&u3C775l;7`Om z?~5a@sjGDAll0^rJNI2Vc!DSU+|}oWNW&JvO6QPR=!1E2e@wDd*dFqwqNw(Ox7AZG zrs?WvU0p;@5~iXa$^*OMN4HL>mX$`P5QD#B!)Po8wyPsR1bgr_H6ly|lVS4SR$ejN zFRDQ1=NKo2yOPe)?LpVk4ZVnIB7m*~9X%j?dFctSK3p{af7`zaxhO4p$f^`R;fG-^ z*8RElWBQX&TArEBSY!=uAP4D^v^4wm{))3`wt{6Oyc z!U=;gK2iwIaX^85JlmKUx-eeF8<5ZRU`X#aP9=sqcWb;14&55;xm%BTRq*hDx3Bq& zbWz}uRL|=<;!j#5{up}T8_b_3FPZi^bbV4|4*LRD3l?n2iu)l(I zxFN=pxZBA?$DP|tVk)PPwg2+=#Kwc8u~93_I0E?46Yk#gy)$65${O2PR`+?sqbx_sw3LP5AN0dIY~RcqN^ZZl1!#<9%_-ovzB2eOfM?Z9CgZ^f@x&U$Vjt^+EFFUEN$Nt3LcQqtzJJI6G7 z&UyLwwX*L+_=tQYwf$2-r!Ubi;pGWbM^hm(1@ZA8dCV8F`gL|R&ser5vvPhI&0_-@ zcjFK5Q$F*5C+fPG}!0dEZ{;o{&{!QGQ zxOeC$)+oPjSI0exj@@EqHE_+JrmJgO8@a!0-OFnT&KByV1=W&RyAiBk;Lv=^!|3Fr zSN$SxB?c|vUjJYUpMd?XleORf1s^w7zAlQ|h^JF!_= zpWG!L@>iYR-b^;4b4DAWwu@q{6+XFRO>ZqO9`6!|J&}LjV*_4-yGrNH)+|f1EO@{P zY+xV?$9lh^pu6aOiT(G_22I2x7FKo-aBCCNyxMg5)A+3qN8xb*tunpWz;FZto)+e)WBZ$+Q#qMQv+481r0>`^Y0jWqg( z^>-y@QA1BT=jbf|nI7o&Q4q0VzjIS9=u@Zfqg^X8*~dBpY%c3pW6Nb{{As+tkF2L#zzE-99c5fK5Jos0TlGsG0j?wv5E9vaV>ZY~bn#A!>f70TgC^p$srtV!e;Irq8KBjW& z)rN&747Zd4UDewQ$q^&#UQTch;xDj{CL}%K#RU7McN-kPrTbL4Y)#wjU!-R$UA}l5 zmX{vav{o)rSDi{StMdH!$0Nzop7=c2X+mlQcei4V(5BQPHG)hp$#7nIF@< zc6<@j#Uqzj8fCU!Da>FUEi-Trw?*BA9xvWCxM&QsM4x2!+7yd!F*DbDoc?H-eRad% z)qIp`{UWHVV<#5feB4>3c`(b*girgtcs6xPQM-`z;b_@s2OvX3=!*ptRFBzy1(E8x zbqqTt)4bKC79V;}M+Oy*96r2elMmy^Xxr?x^P%9+d<%6joeG^X*4-u*%6KvJ2btl{ z^Fd3LC%SZ@u!(gfDt3@LOD_=@ym{1sd|@tnSi#9~y-?})aC+&ML8v+Gv3T3=p~sgJ zK)$6i%IN>N{3mCdO7m&wd5ij~{vKlc;}eU#TGJ{+n9wbgg^GS-|8=m_Q{aA`=w-a{ z_Iyfgf3>n+LYutnrNisbb&+oF7RhZ-_b;Xwn~dR!Hvg7CLchlQ4f>3=VGZmTN8eUH z(QF!|_+4tQpME4~&wL3Kg{c}{Z8Nk%-*Uc$U)sSF`vA_^vMqFw2Kt$N7FRmudq#e* zpLrRPQ1ni*vV)_%7@+zG<`%nBQGJ}}H~x6P#qpo0@=vG2nRMOOp56Y;C($RH&uDeu zk5vyIBK_{^M2NWY{7KF1wwMZa#c7{|up2L}wcA<8H#6Q*VJQ(O`4aI$dQ*IRV;+j; zxB*UNzWs^^o*^IRX1I3nbA!h_;xpRYMah4K8-8;eW9(^<=vj^oT-f0H`890)STBuF zeX&{*FXl;h!uQ~{*ms-c0y+OZ%W<#o$JCF;@)eZn;dUYV7KKmQjG)3BP)C@k4RenZ z*WiT3B^r7gR1?BoX~yDZxK1QpE*WPo)g9bTs=JkAtn&o%6+J!cGB)~{=hIMo{MWHj zx4*FA8q$)amkDRWBVRw_RS#Y+=j37u-P5=Q99Y!+iV^k~L+cy)hZiw?g^}|rZNV$f z1(}!r)6%5D#^{UTiz*4(#%EN zN${_zNzT8a2{S8sjx*PNSsGWoBq%re*Fwn1zeYj*n!8?DGYgdatsv2sUP8gUavtJ& z?0t@TMl0M*9S$a*FO*jg zQuNM>BtPtCWlt)@QQUCQ?tpIEpL=E&{-f$qz{yFk5WCKOi~5h$8>12jW144gf#ABx zrGgjEdtTF$i#ZHtoC~8yg>1a=xDC{_f zJrlD&?qu%GTYC%pT*i5O6+}Yfy6%|5KxV`fl_rh1l8#F7+@m1+5!QFdG<_hIfB?}p z5O;K~(*8Ws38!Ax||hg1@i$EY~E>pF8wRd*e)I{p%$OawXxIl}ErkmD)} znvheziFlh>JM5aj*Tj4wDY_^C>ehR!ypCBs`|MJD%#Sb{@@+U*I9Ti>dZ_Vgf+?J) z+O0w2NX#1pF5Cgcz9m9&wC@wWL#9hvR^Dw@h1(NRo|7Yd^}3|&SqHdLn%A}{>?CT< zwdB8o&i+lhDY-|auCtO2S{OE;K5Cg>g75+YqdvEGwxCXm_I#9Olpox`i%>q6RN6p) zaYudwJmDrI39CH5vR-5$chPVz2A@k047!yhuE7p>D13(W%etb1cSoD}@u#fcTsbuo zZ~yPriAyZex8<{XIG&^G*x17SaeAa9mFe|$y(Gwjjw*8rR1k~Q$R{fC zm-V+mVIbO{hCbRynLK5^h#o8!m!R{z<`a(;*`bpXIZ|2whyJCEuO7ERUFQ!j<^hzwZM2${1EHIbt{IYac$0m)( z#$tytS7ZI%{I{1+N^`%~_cc=TCf*$_l$`6wrfs{T|J|go(jTXK%&GrbEOr6C78=rx z;$Gfbne>~UNF2Kr@CDhjNBA*ARq>5*0?SxaTR~b`hCSisax18RJ*C2e4n?(ZNJ20w z4=9LuyP`2abDoiIQH~Kk+uMCC`IUnYBfB;a->CQ$kXZN8v$cM@R488P`*s=U0W1qU z`bS&6#3{D_@8#y5fwM=U>1jCaw!(<*gDVwD*M3E|4LOYIg5z1pqn8>27 z#NZfnRLble@m^80Q;JwZ9~n1sGEhTpYTn@N{rQ{5+8?2->r6<+Am6Bb{2H3qXP1QU9LSkXf zUyaoT<9~=|S*I@u-9DWT7ris;uJGa!dGh?4TM^vHSHllcH&uqXR9DVwzIf*zYtK7_ zY5fr%$q3VwiA-Tm1ed+pA+TA8M-~%6(2DI{7k;^{$T$ zs|LkiTrIRPG~Hf}EjRd#jjHl9HwGHfae*159gh%8DX))K8i#t0{a++qdpy(s_wV9T zA(bSA`UoMH5+W=WaxX$9TcT7#r7^=6E0T~~qc9P2$+}5pvqtV^?o(oOYh&2VW*58s z-hF?6?e%z=_w{wo^E{vDvb8cYp1-pIHw2zl7=fBeOyR0DIJlH&7U0eSy{+eQdc8Oj z56LJcqT6A~Kwu_XhPE8c2*yXf-B!{o-aY)`C1AoUp)OPX4%OM<>GRo z3a7I>BL6?$+0h!6z@z*>TYZbWNkrQ5k>M(SSz5`kkKj|oM@!jt65o? zX+F%Y+@3yrlq+x_{`slYPEvf~jOopnSXGhEN#t(f1$vmgbc7yt3Zj{~SW1>S>=8H8 z!({PDNod#p66}S5AYFacTkaKBi(El&wMUOEa(IpA-MmRMB@yJ#q7b{68HJ?|=;aH2 z46)oWzWdeIVX#y5aNM%fPci!!t$U%H^Ii=4o-GBXX+;$wS^ifXs)^(p)%3yDkQA93ebKmXV`p zzS0q1oZpa}wbOxZi3z5H=li_s+&j+d@?UMM`n9X_EWsZDI!E@vZp?1Z(n-JS?~-!{ zpS@W9hh93=Pm(l=Rk|L~j{RfCAV5t<{)FNlMQsy7K{21xAe$v$b()AAO<8VO9KG}p)5n;5TloD|ubrIAkyhmRWkn2Nd{t0eut%hTl%-??)QER5VYxT%-A1wjs|MD4Kw{%r`AU#FDG3Kt{K}M6Ud5^A4I4t zGk`9S4Hryq{lo+&OODz4Axe_Z24yaqmag`Ex_N4O^kdNJbZ>n)zN%Doz*&{N_8#PW zyZ+D4xsWqcW3%8O}p^ipzsv4T%k z>H)Hxxcx1p+V^m#5^#l*Uk_?gVBQ9$F2fH#%ZPxK#Ln)Gn=xB`$0Pa0+e9w!>uvLE zlcl|N*ieaq9(-K4=29UY8fAoV>jNnd;^#a*G1;Y*4WIslLPsZjQD8!0DaolgN%Fo_ z=ONZXZP?wW?EI#Onit67oL1JOOH3}^QkVfdg)k6$_hYl^V=vKRjGP`F$%OLoLyMC| z4=(&Bf0etmZ7R>piCpjjkgZ+7-9&*S)8S_Mjy2!nQ5&KQC5r3NtK=X=7{3S{z`USe zFv*YJVPAb zScUG!0H!rv5FBOq+LRV-4!6&>*X?JBEgJkNMoZJtv-J1d!hm@IP~=2y#Nv*rtmi`* zbN55w?V@~lg?G2_vd)_N8Dup(f|83XzFw4pU+H6>=&0KjHh4P1&I_+r8G#?Qy)%)& z+8u4J;Uu=C=hNI(@DuVrWA$m;>Fh7Y!UI_~Dov)}bvh=`?votdDlaEioH~0Tm0jiA zhdnNTPBqGH>dUs*qDya_cT&J0sm=>J7hJ$D-|csa*)u1g@YaC-x+T5gRpED+#r#y= zeu`W18pxxl`)v5A6mH7~#=5Q>t)w4@gY572BgkN9u(BX1#) z0S`h0&=m}L5Zu&uq;7w_9b>=H+Y@w%zV=`Nlyu zc$0+p?Z`yTQ_#lt>7orMh4Gt(?b}Y6M1*IY!Y)^`H8-{=zN(6IC0y>Kpwikq&)W(t z={)_@8I2J;mD>`3myuy>1Ic%n$i-Jx#N~YYS0J(cS^JXFV`$p8th;+N>W=~K^>*@b zEyB4z<6U#AYu1igb-2ZI(rUjzKLaWf|(vp3Q#nw9~KK zHBs4*cBEja4UiG4_joBe_V7mtyj*HWCnUeSxKJ{4fyp?amR_y$n05hU-zWa2eB^OL zEa$fw4+hpx%r$Z-u#U!$KYjvsFtWNmk8mU zQ>8q7qr#!ByI>+ZUT&Q%vEyXpNjHkj zS`dGX>D-CRcEPT<@yTFj6@6_7i3s@GVuM&`g2O_6DLKwZf^E5evDZ7kKr~jKbqTYa zE5*~5H%Sa~j|#kX;~M3WiQ4A{oUp!&{`*96+Oa_QdkX!X*}-*9Hhko!L5IQAtgTAI z&3h?f*0SPu`ewq%H>rAXfA`t;Q_PO>?ZDgDw_Vzmq_hQ&_X=*{s~|)Fjy2iAwPGXc zRA>my%Iz}DLsB#UfIPrTww`-%Y^CGN-BR0en&PHq*w%ZP=!CvnaiYg#Jfu(e1r1?y zh)<6{qU}qemNWYg=wEx7i$W-rUb(0pb5V@B+$<6Z6C2VxC0mpy{_n}=PRda4lxsCn;B#6^+tNuMP;68@U0GHlDtVsrU zw4J3}qx@vW`t)J40>JNKPthTd_N23+FT+?MRs8&?WbP(O;$;nG(lxQu81uQYdH7S01omp+bXQ0pPLRocD}Kc%#43~aZfA89n}niYgPUH^{5^&cKA3i zQ}+OLY0U}qW&l5TV^>~u(89eRL4amumIlUVczbgwgP7mo0yP18v-AbJ5=cV}F@_*{@v_d2rjD*|NH#x93 zC+DfDpUP&sh_J-0mNn`&Qt`dd+RK;Fx0t+-NG)-G*Xq0-!F13yty#MZc~UEI`Dk|U z%I7BCTg=;>Zld8^@rk1NZ@{RG)NxhLS769nBnH62rq!mFF9!dtFZJ-{GSzzTrcRK@l0@=;C;*=t*3PE z7kRDN06pM|-eeG}XB-^r_v>`&(7G$0K_wK!?{i;<1Z(d~d9=sf#7sx*w3kDy{xbb@ zdCh0D-yJBDk$Kz4eOeJZ36;d1gFUw>1*HVd%7Wh12sO^yw~t6tvb{Q|k$B#us0R~) zlI$&*a0mTMfhrq(<0#fX(`#QTr#()k_s3CEeSMW5|tX^bXf<{SX_SVWKf3@iIt{&i(aOp>zDf ze(l6hOCB7}mtu4N!3~Uuh;6rKsVf!>iu0NG6L)fiTgM*`aZ@cf!4rGg_vSNEi90yP z-#Epu7{SIeV#R_fn;p*AXGI4$`P%9H^He4QcE)8=J3eFW(&I4w%{7`LZk*W1p*qW< zyXvzVq`fRbhwsPIIT1hnh9tQJ%nfrpx!4-;OA3(}|Nj2y<89+xbETL^oBi5Qm*>aU zhM^iaChf&9kCLI!YOV~PA}Ia+s%`i`qSIb)7QRH%RP#)DTN0*?s8r@L)4*|`H9y@R zt^aVjyLI9%1clh)5qIW-IsL`Edb>x`Xa6XH2Joc=es3qWvnDp4(@v=c0LlKie|pnO zm2)4{^XXSpNT$Tv^!rjdGkxxB!WKApQncCDZ>hPlw1{Ex+Lm;%gx>Lz9Cz(*8D(dp z!Ig+2I@DDC)p-?sub#{Gu|6~HDe~F}>r%`^$r^ysYVg&In&KQsq^VG|Kg&rP(HHO% zq$swchs%l!>GHtD81X_WVH^GvUKW0BRvi=S3-S<}p|d;- zJM1UXoE~|6^Vq9%&FvUcGMJ(_z`u~iXUL1M0XIkIc0VaaZnqzpnz~n3kU#)E zN^2+mck|SpW=+Qd9N^{u(+Qaldv}VU3UBbt^5ywvYCJsp&UE$yZLNBr7pY=tP(vQy zUN=$ezf~VN3#yb?LpYOjV1m+Z<$w+;e<6n%fn87NJuU^a;uI^FFX)Kp0XtC8z+he? z4e01^km=|+PPiPt-d6+D)1nU~WPMXhr8{;_UwR^jS_nxdx3eG=^wHgkLG$Fz65mI( zU7T9t1R&%QAQ2Q#kv(bNw@v)+Ko(6YSqjXm`TFY?)0ikt(D3oAYg>)n$;l1A7X(BO z&6^*v+{eDUmNf?X!3_I9+|UzOI%1ij?=JG6Ih)Ceu`;d)+VlkFy@^en!q5y_{_vxz zKbYynM=mp|D!BG%ceepxR(8H|E5HrdLr0ND-=}T*Z0?Pf1{@qPUNt~z|FR=ljLGW6 z!8}5evp!$B;y>y@=N6l{A=HuD%J4)3k2r^X+~RHy>0$}RQF^{F>S`R=zH5d1jP{0g z21r)AWN&(|hMCM7DQ0|_?OriTL+Ee@j^r0i!2z3Wh-i9v)DP=Q%aA`&R39*|4HO7` zR2j3{vnU31uJno8$o%i+G?=Z+6X&KdR{z=+TbEm#n#}n0#CKXir}~&NUHKGfi+BKt z9V9Uv;RK)jHZd6y#mOp%$N|KSk`5l@s6P3HVe2lnq2C?QAibt9TW0moPan0lqFc6G zPUN9#QIb1T{jfk#T9`cW8Lzr{hZv?>&_1T|78qir;W51!G5RlapsEoi-%`H?9#Pdk z7V$PW8L?scI?fUmq|VV)A;r)s$^9RTFxhm>aipEFGuD~1BN4=@l9PI0_AVpyAZ7{@ zrOVyifdwgjI?l9k#b8N!I1TfUAcWOz(QGx{B8eS%S~CS3opY2rJUQb&+X6&csb}0J zVft{dhZT1n%M4WY#1|Yv>YX=(6(mJEoUf+gb|CrO!YH&uRsHfG*Ng+bVp~h|e#nC? zgu+RiNATI>PVZJ<^(N+R9G(-Ab^mQ5XiY$0E&guRC}|TsbOq$r1yP^Cm~A-sn;qGk@K&xVsZw%R|xe($@!^HT0uv!8K?nx4wC2Oeys|YPl6;V!3>`bSe zB7lHYJ>dzMv?-+}a+A=rpP^1{e%p!yW|(>QQ#OaZD@y%qo=C%%08qKI!vl(W|MO%r zp(GdBTTiK2+Ke!9+j8Izd~+?3)bD3B!KWH!#d``6-mi2Qz5%p{U{w}re(QQ4qsiaQ zmuI?$l$Mg$^x(`e%l333YjGgj7SD@T)y`Hf70c1RzvaRunh{_mAB-$%|ipU)hv#4;-+(sByxssZ{!Cj!2Ex5^lfV)bd+S zC$TXy>?68G8z?zrG~VVE{52#p>IEdQ66G)0V+rM=a^5u~hiu`V(KCYPYm@ z*XIuG+Ll=eY0_C%x}Kgdb-8>@MmpVJyJ-5B&WzjTdk1;2n|H%o200r9H8KIxgYKIv zX6&u{2cgxsa)EgJ71EYYkeL_7$=5mcnYmQmx_AdP`T+=so`p4>!8ixX;hTA7D>OyP z>u8E;VyrW{A%5u5l*lUiRoopj$*Fqgo*TEu(e$;K7_U0G&i@;j=cYT(^;aS2#TZU) z#JKr)q>8vX)fN4UlF1p%L#&l1S^^0~frHQ>m?5uIZ1AF#vTGF%1Pw29`x`?qS_AUI z$&mSy%Ww-iHvzc?YS1#WRXF=7%>83x@IAkYdXvkfmr++W0o1kgfR_J?ijtRhnGe6| z`RL4wYWa$&nuAuxq9i9rAf-z-qc2M>EKbfLzSUn<%FGyOm z+vqzkzC|$zjyGsI$J4&`@GU;P^;22B;lg04foST(Q1y@kJR)-y%clXAf&mpkg`GrI zdYDd0xgqsRG126Nw|qouwl;4OrhG3KukBq6FD#XAgDg@$bx8(dD?cKuCSOho`gsY! zx2Cqo#g7pNRm6*Q?zq9ViYbTl=*PXDpa(}?;|0yv-)*aEBn#M8r2(-)1$ii^WQqS& zzr|n;5G^$Z5eVlVuA-?QHs0C^A7>ognZSABQ8S3o+_1_AM$G^@dp-k!i08OZZRZNN z4qgA(|2|Rrwggi1`4m&B#*5kGgi!Z@E~+F3oTo`!CobIob@pIZMym`+-SE4HrgX;|LtAoKJkfSnU&K{$syJG(d)HjBmpRj zwrGj!y^bPR8jxTX6C~v3=Z9 zANA5aXZ4y{$M(A53_bBvkmpIwmfVrt4-xud&vW)9qFv`|`}4{fM<=Vyy85k^@*Q+M zZQ$)6eadb!;e2;efoWk^_i5Bp;M|&x_cf@2q=_FyA-NhZa}mjzQs2ikYhsT}_`uQ! zb+OKRGfeYV#H)S?kNf)l9c|VJO(tD-pe|U^6wDj5X_r{wLu{Lfd6%e`X>+VQslk}Jnv;NkkwR~W3OfIfN(iG~d#Ty|gk`QM*{8(=g?Mz6&3*4I zXn#3b~BARUF25gqLOl)&wnB_bc)>XB;OXj!N~ z11a6DcOiS}*+5W~osi7qy*18K&2J2?@4c)g3t`k2Fmo@^xDQ1Iy!f*TJg1EcctXDr zy-Yp=!GGYGeiy9QiV8oV$*mh zK|!E`(%BM~h$0{R4gXYr3^%3-W{!KxAa>)Fl)!5OLQ=rjHtJyPS0fugaRbDp810hc zGXPl|esnWIMqO&o#-tc5M}KP~c9NqBMr)>ar%kK0gz1Eft`a8QOu$QK-k4zso!I32 z*55nHT!k8|2_-C=$doCmOMy~@B3Npz-|aJEzm0WMZGLt%8Y_VlZGZI!BkGtx9uo`? z=k56Gw{_bv%>M8v3pTokLs>U*)mai!C~0gNBT^wwDIARQgq|D-WQU*T8rcOhb6EOi z-<+u?5Jo+ut4UpC>fGEumgcv9Zi6nQjeDyM7LsFueThSfkbZ)}vIeDIwvWm7Pb=^v&I{H-~ugQ3CsK;Tds<`6r)B*$SL z8iH1$**c&hC{5A--dIPqiC0`p7p*T`+#WnC}FXD^`UhimHTGhqKdXWY2F3| zTU1%vGQoz(ViRjth|1>d`1@oBKO{{2L=;S&#Yk9k8lqdkqIFU$m<3k@OKdbI_5%BW z%O)lMV5a7?9%uL!?yW1)pnQj{Q-4N~F?igDetHL&jg8vAw|Vk9OkPs}2l*dD9K{jk z%ye>x-)iF=fJF~kni9q+J|XnI9DK;tu*4E`a|u~u>vf1NeZV^$_x0H*sS~rVBmuAD zR(y>$0BHuxiJIlGv};_gROUBKFsmkjcLMykv609Sd(6I39GMqb*x{9>$GhrD^Z?LP zGphbzHl=-&iiiOAfo7RC#Min*RAal`?bbBnixlG3S4ogqD3PWF7r6wj9LLJ$pTt z^^B6A`|BGh>Ph&|hLfvB^E>4u_xTt2Dp@w=Pa&|V~q;|FNs5(H;c zm{(a>uHI7Z;*$BV4=e0DY1q({a5Sk#6LP#A>MVId)eGAWd!a6fMxmt`R4j_<-Yg=> z;*h&>Gv$bBOV%wsoOeM2QpU|1*WV7Bj?@#i+*j9N=W&pR9~i=%B3Ytt!!hg$fmK4B|oCU_sN3-d9W(*->pzHJPv`0gDOai+y={pbf{ zE;`Qbt`9O!%Z8_JKNcpQJprrLu;(_vUib~nc91i}hoT3%<;CG zFK6^b4|dmnPTUsfu5K?~w-;3R0PL+U!kEvd8k$FLMq$?BL@cdthY%KgLbYGX+xPk* z6k$8=ULSYYezMD2&=jN?>ZWCUgWxXY{U?>xC8*drT03DLJ`rWJUP-z1l^mkfEp84= z+q=u0pSJx!G4li_SPs*y9KD|1q$%3i`82X=uTBml>yf^=&DTxn?lPOk`)JAf`0BUwc&ez6UXAw=oSXi0(Aj%2 zzh)fcg3SUPY3~lP9Ij9iyar;A{b4yA;-_!_kDx`wY?UKeuq$ZCXHMRYj}H|xnhR%@ z0XMfpOfY9RG#ZHWD=q%_*(L=xT3BvcWI;O>nuz`_)&#EoDO%#@tB#vc&XE{age-oU zhwTJBrql=Un4{a(LAwQED6|CA`r=0?kSR7gW^ZR4*6Sfb>j|R5*j2L9^la>TcS+%B zXTb6dhN6f#3KP)cfsR+iRv4Q!!;+Zuvsp73?4;*q)`!+yiEk@v2Eo_bH-(e|yLPxO zp4o9W!@^x|j^rdZrkW@_pp|9bo-vFeJj7J_N?OqIA5MDz}uAtXu8 zjhh?d%$|tis9hjX%L^jatXpJZi6bc@Xg@%ZR>ox(=BlJ{+k}A}miHdG{Yl5WQrrfx zNS$b`rxQafsB8BolCI1!#-Ia8I85iFz`R0AV_ma=C03nV9@#!|8pSN018tdDki)d+ z7ES^%d&5q!Nqg$9eWCsz{wtU^CMY8zG5xqun#xb;=p?Vz43 zj=#;;;+ti^d!3DC9`x9ZSPvs<2vUh_&v0m}NhFIcJvReI@jeoJq&ZS607t6|fdJEl za8e(+n_rP3a-`}>y+qOnfbT!{I%1?<+M|IRA>DkjMg(1BUDQeNoG_xIt@(|8NDX%7 z1KuMdDdR4CvXNC+vhnFY$z9+`pu^jS`3Ly<86sUGptIiR-OtAIQdLRb#K2+dpmg!# zmWf0;j3kPD23D&nx*fW=CRiKB+b!C|awuIQPhPNBPO{dNjWlcf&@6i>2M1jy{dShb zcQQ{+B(Ro_u$%VYli>$Uz6l2)7o#OQ!HTrz3%(JQC9*Jvrd^dMWqWRBqk6&{!3olM zm$dxjQN6kIuB7pOHScsc_O?4q_`hJUd9hK4*~16eQmq+jE49(7xjol98;K%9*85I( zUFxL#pdM>!{gV<*#ai&asc*9CmMWm^OqL|0u3_iSSFfactb5AmDaP|xXTk}L7`D~J z*oJJZxE|Woq&?v;=e=S%;aD<|FNfu}+fv&o?Nn|;Z*h%gV!b)+4Wwd>(C>0Jr(`Zr z2X5wOxGX;{QxiGF|BA{s(+R7zx^!j6-D%df9l$RBxe^KQhZC^KlQu*(rW1MV&7`p} z`()*?QCXKSPP2aeeMM`gs@m$hFC%SX?=`|&lR{}Rb7O|dlJk!nLx`BH6S>cR^J3=5 zlu%IQdBfo*Z5l0!QY6A>NMi3cALBziL9KLb^v|EMPYGBeL(=f~Z}B7m)$?ZXl<5<& zHNfN0hAs9$%9w_7g#B!a49H~lg1z-|$sa1&NIok6%BTD61EC}rHi80t(mAy z5t-=irmJ&{6@Qk3qsFGj;sAVKBm?-$+=dFU`zen=0&x!RFJt;?;$gd5X;J4mrH42vXFy^6Yx5vcsE#L`rm!5uxBh( zUzi?CB~KK6RA>hjgmY{r=vYQmKG9oFa=Bbu!qVQ$lxLxoz!aCh4;e14j1bn~e^LZ1 zwe?sRHOZ>32J~v9BDiktoAp(e0qO{+Wn$RIMo!%=4XLy`u~Bj+^n7zO^n+aKG;l`9 zapql)$z((2pvAAiXH$^yudPIglL&K_L)j?W%j!v@4AQYo_8vLOSr%=#=ovL@J@No< zLJpYLc#^2&JK(~6r=uX@j-A#v0{^% z%95=t^i#A5XUbns4L~(+j7!5ZeK{MEX1E?jFiBTi7NH6oQ^%D+&i&Hj8|v9Sx_%S% z{Qa_aP`P^Eq^IMTSvlpg5Ar0ztSOzMEucH4i=C~pGd;u+%}tiz2uK&AC&gjO{_gBVJDS9eJ>8v4KZ<&>RA#; zBwf@KH(+1mpzcCOynOdD!ULpCQ|L-PRmT#(>KJRUl6ZLf$6aTVN`DaPzyjoAd91c!^blVFaMM_Gp!yob+GPbxls_34{)|IW z73am?`8)KA(Cku<$7y9tQ?d!Vu*F&XO^8Tc=?>QKW8lrAK)^9%-eB_2vZ6IaTq<{A z=q{CV2$rbq&OD(b-&{*%ytvkQISRrGr6Edma1yod+|IWNZTVNOcIDuO&S%J5KHf)< z3z12NdZ0(m5B4mB%;8{Xyh0Ksl~U(STFjPi;13ECLs`tStmZlH23(<1v+-SweA71E zSvf2MzX?{6DVJ4nNJBm)jPLUFP2R$DR7gf`kM5d+FSdm6vnHaTcc}J|Yj|uIe~3ys znKH73ZGF*CZMq-7LuAJ~r$0QVPB4*0oO0D^AfI6syYWfORqYjdIeYqF;}J*T;3B|B z3>OU1zxa-T;r`P=&?6lNyDchdTXu9xv7vl3_tl$(a}vz1U&sQur9tShmB;1zAnm_{ zGp-njlEfzTpyPxAOaCz6iCB%debjOMxkx{82uw+inwc_LuE_cw?#8OoUFQBg&_L!< zO+XD~Ah`ABfAE#P_>GeC4sL}^&nZ%ReVX(af+|{d_8tZSK{qC&WD5CI5bT2rh=+Ew zKpJ*`Gtb7)9jndZhPis%M^&$C=-5{s<~FC8UT9FF6u@aHL1ZOuM$SykHEkT=$(c!x zsUvJr$Q)am3}_$DOc7zoib|4dm4sH~2KIj>U!odpz~=F9Vy%hNi!F?=?knzq3Fy3v z8ZtCn-frP6NjT8z9W)T87ut=1l#_0?Ph|BSiuP_j9fG|=OaB3~&=hry9cYaj<=py*h64-)dI8F01v=MC?;64(+yFDwQo2+*?0Gx-mmo4_=w8 zrBV*FY3s3qdk(B=;%_SG>@rdIwcQmS7`+dBgiGLNqgRD$Pn zzkHb7?2F~Y+hqsdJ|GfY;!ToDUi1MpBth*r!;ob?0PfKgH4S~)&yQqjAL7?{Chic8 zvHXwlHHl`jhqykBmvqzD`T zHHXXvt|z|R``Y-mc~wwQ7B+p8t1EUM!ZDej9 zD^OFklkdo@pJ-Q>9_BMG5y{LHopbs;<(T;i+TYGIZV3ViuLSFqGHaGX7-&>E2$8t* z4jk0kZYeGooE{y|kTmuGeV*mzERD{P&<26!s*BVffY7~u$5xYLff#u0<;{-T$;Akk zN>aeFB}Jwp;N^B(>I7}dTKJ=EHl_2^r71b!y`-NzF3=Ob=U0uu!92x(fYSikCrNVl zUT%<<-Ye_(O;`jyLY~kxX7%h-r{o23$PQ)pN|zpp z{^CY8;k?aU+$+z{MiIwuAY*8dM7OanKJl(WgTLOH)Og0@>$t*1cVQ~RMDpg>?raWn zx3T5bMTVVd`p-0Ut0DsV;OQ{TWEomVjFMNm z-Wt2p_B+)~o?yZ^eCi#u6o%iJC`(hz7nR4BHm)bAtZubpH-rhySa6rJtZd{4ZiEt; zdAcZ+b<6AsxO$7L{BFCaDQ`Meq&rdMqqp-5UWpaKA;;No*aOSA7s$)Q>VQwe*_Ua= z2UFCYC4nAQxS4+xhoKaKo?{X{=dRzypwXdrgxDr{1Xw=@O5nVTC3(2R!(f1 zOfDQi{bQlROJS^>JL+-Mtz$o^dLdD4$%BsI`l=G|W4S8=cdB!{&BCua^DMh)gT{MPiH*tsBY)a9kOm@(Pi&b;0? zmXWZC-)y@cIbz9fK%?d}koO6L)M1^SH=~JxW7M@l#N&MHNpS~N4>OqZE4#6h=q{92R?!COs&S1_ILnMb*2P@8^3bb|it+C%XL| zgdAA{2$!k|j-FnoN|mCVP-Ga?JdQz(|4y||jolE(YYm*BVo_CVv9;f(&B+kTNhV1E zWH`;*F=ApAO5(j+0IyG6#}A>JM9g3_B;s#?9_5N6|B2tpcbPP~!MdoTD&MymsP z8Q9kNekvD#?v&*pr6@-f2$0T5mQ?&L7Th7JE@g=Y-;FEr-mQq(Z3ncyBAukDqaY0& zAPX~Jm8_H8W?|I@3?CqoXZpYzn~jur)>1}BKMmiITpp8+HD6)p&D0>%*_l#&E zveG_LGEfBQe;Y~qSVI&D07vf+2DB(ZXIbXj(JstDPqyFIg-|*v>A2`g=pi;YLu5f@ zZe{+{te?lDK0vbT^R4>p{m6o$-E z)I}Z6l4pgI4&sY0Ko`*;AeiC26(tKE(v?Z5Ip5ww9Q%-0NUSBC27Yhn=Vjn9YPr@H zc3Y$Eb(|$$MVQx)*?Anuc7a+F=&78zyORmr+-)(@J7h+A9daLELM0sHH&PcjAa>%a z6%nQ|wWs9m6R~pG@JB&iYda=(%3>Q71dPojc-&%b{MHlTe)z`myNQuuI29=daKe%yUg&lT z%;>PhoQ;*uVrWd9#B6>dDxq4I+;i6PJnov=9N<2z6qT$)qLO932aoj1BJ6AfTf&u3 zPIoaoug|m?EjOgToZg(hzH8Y!Oenno82l0EglRr?OkEIgO~^pKB&Z7l!-NdX1K<)G zLxow&Of?kcz@XF{mJ7Vrl>y`l7_do3R>W|#78tw z7q=DAg+1fr23yv?Q!SfsU>DtCL5g7BOrvg;8?03cSXnkFDgfaS34e#)TjaeJY$%Xk znp!-;qM-gIt9Dl{ZitM3g6Qcas3XDaBt9aWMq;?)2tQ_%Ga;CDjCf2IvL|pp3;k_O z2XfDJ?t?Baw<}&*9Z+{3>m+)|`NOcg|F;rs1jHT0h6Velb`@wPiTA>=wdFr`C+a>~ zUgSWRmJu2Fj&k+4US@MhQt5!am{g)^<1V?+iJaEy?>U~9e1Gz`;ffy`T}~Fomo?KB}qf$loBX0oEJGoX7jpO zo|jP|6|uejVk7#^p0&lRJ)rWGgtd%2=>c{y?oGR8zVgU7tj|U%Oi6Utk|hKa0gl2)IDtd#@kX-N_JCRMF23He11k*&d3yGcb?C+S?=NN`fZNsuqY z$n#Gy+7JpZ^gy_V`>MtC;$!L{Kkl)56gR@cyVs~tB(_|av*T})hoq~M9x}s_?$HyV zx@z;>oYokF6>f& zR7PHH`ji5ZHges$6}uaTexq+cBl~w~7^wB^T$D?E5mUTTX!_BZQ(RX}`(ZxCxV;$x ze-If-*X%p8)53^$a`~{j+(6z(&zBobUfSHU9Wf_88O%HA{bav!SF_?o%>8rDHw+Oc zZKO)Sx7NII9j_tpDwF&?+2WejVFS?+%ACuRJzWW;LI*++nUKlzP&dN3p!mh?ol*0r zlxtEPjL(D_b{?^kte)=vR7yMA9vK7;ijqF zq?=>nRBL*=d`9nuuh<|v_Wq=Md$uSu8EvB5cGFGq#Y34_eGc8BVP%Fle)J`^t5yv0 zhN^tOJn*CqbUh1ctaMFj?>^_yg&NN5woQu*(W?zs={7iK;opkdQX$=cuRHBo<=wOZ2k!*; z4jJE)p8ZwexEEnrzT)y8cmAoK*>2kAu({F8K82^=;MmKfS8#W)f(3s@-dH}c50H9> z=X^Iqd(+bNiz>M69raHPF$P5y+U@LtJ7u44y$Mkc{QGO=WAl(G$0sg9jq>ar?J6QC z_|UTe2UD~e2E9Cod*c4@pIuDa>-&4or~85hxF_DUtCwuOk4zqXgEqKiLr93cS}}cC z|L4Z5h!%s3y=EALOJyNBn77ZXA_j`^yA_Z1Ry7p!gT(G2&+a=VK6Kj?peU()!L5_k352ez+Kj~d~}pk;P_ zYF@wy1b4W3mv=%%e_LRYef{YhrUosi1PwEA+rSH}qpfgU|MK*A*L|ta7zZIuqoR-4 z-0!1y%hzVB#M)ZumHFjs`z+oteYA=_o+LU;6pOO%SK96eX$98(UCg`oqnmyy-qUa{ z+jjUy?tJ56-;#Wjl6z;2Lc%9^_zw*RBy=t8f4tQey>O9jhkud(Gc^BdXO^8--#PhI z&+2N^OrPThVi^JjAO1V{}{&ZE=;1HB?{&`svfjYhgSEz$$Cs>7s`vFUU5KR$>n zbxY3<-H5ZOOjZ}?iQYe9e=)&4Mjr}+J5(ah;H$ST=D%9?vKvFR%xicanMG$oBcXi) z?<4Q88?EGJXTzS&kFH%%-zANu25LcZ*^;M`q3aeEhoQ@EBFW4Y*N4uNaS5Zh9dw={ zMEpALGe-B*TW&fDndZrK*h&jED~uf*NagszL@USmr^SLa#6!hN(2w5XtnXx+(l`B)g|AMdP&G+4>I*MBOt$GyE>x zOkzQoyW}%kl;25)d-D}al}`jXPlVI!@Gk$(uM0E2lVBK=#?vC83_qx{AG+Udx?Q)@ z))lzv>zTipiNcZ(VFIC=f6E-C>t0F?VJ<pbAoK2#GHKd;OdDRUsu$i|6$ZIsXj7Ngl z-Mn9-4#~=yOGx(Uoh(eSchhgyc2vpUKB z(aE2CNfOfSe&sIo8LV$JV~m+jmq)fuJkgI=@#N|w^=vvq5q$*hT+y;1ttQbaH>kQ~ zhA^Ydb(zc=ccr{Sb_ZNis6yuJKNsc9GtmO$xqOZXT&cU8+p}=&V6An&_hR;fr^L?G z7%j5fYm8a&5bl?170(sUd&Yd~;a8XJL{>{ey$3*(a@S&%esnV?9xQmT?oV6``+Uw+ zU^bUK<&)dcZ6MuG&?8jExXo7A8{XLS>iQslwEFqc_vdePR}$uKJ#M)$+jc(Rx2CRf z`_Pf-cAx8Il{2ochmCo95Ay!}_rA|hZg6`cudMM4VnM&F277TLJb)DFqO9d!@T3q$_Bdw zAJOPnunE7#NB6E;nZ>#(+2@jK;2Vzge}F87?}HjNzjkjZTIvlLJ58T2yi$F>p8mn; zZa*&O*X>xa>4hVeCdCWJpSla&TpQFXc9ti2Ty?XscRj;7)$i`d+;C+3;Tsl&CvQwY zxZfV!q-R@cZx9!ID;zr-z=;g+^swBSXkTga>=g{`c2O;`4vZVhxw~oc%+Lo7m9M&* zSt>W`Yfig{4LekZ9j<}@_kPH_Htv1RMasLq_+9RnFm6|vpP`eP9@2kt6_l?=CYDk$z*tw@~jB-u=m^F34q=%DNtIUf1%JvQiMBL~y z`F&>Cw;|xw>pzJ>rg+u*s=yFAbcJjsiA$G%vMjQVi{0e9K!|&SAlTMXUt@H*=i9>BgL&?ti zss?hDi$P3B#ohc)H{Fx({OllEZxSEB`f5Kbhuz>1rTA)^6~mAj1ptG#HJ{-e9_X5R|x z9b_nLf8%TS3VqkP7VP=|WA8f~n%KH<#iMd;pkf0AEEGWzm8Mc6A|Rkdnn;bJ6hTBO zB7tO7Z1gSyLX;{s(xt|NbO@m;2vI^05Gg4nnatgs_x^1dw z_`{DWfl|`rRq>IT7BB);=u9^_1UbS z(-nTcqJl*AELMSGmRf;fX;;|%RHxbZy^=Vxk?IBC;qZnNv$hrQ8l3jCtoFJ4S39-T&h2nl})jXh{4|aGCe$HK%VAwEQcRj3Sh?uaeEAs2oK1Jd)z4+C@dbi{S8A)3QI6Kw)FN{{v8P!e>rVz%{2C$ zlVf>#v-L1qVsDUxIobIlRyj=@L;QvL+2c_}D*i4o>9iYCG7ycz7xb0gT(K{_Hm7vK zPUUd#C#&-Mbc24U{+g842Lsrm9&Y+yWhLyWq&VM)W+It47-**e?KNVNEIg?yx9H@t zOInrYPKEVH%hd0xU>mLnJ#ZtQ1Ft|0-(#PBz4&OEof)IYs(q#?r7ijJ`Mkq&Y1Ua; zWnsJ1bV3p`T&6+P_b&`G;(6S+#d#nFY<-$whc9wT0x@44*F>g&18wZh3ORv3C4 zOQa4p=Qu3cDi_%wE^`mD3CW7SvR&y&XSjNVQ@PSWQ_im+)5&#O*c1cxMoRoFk6Mau zNEk5a3>(<%ugLmK%5gYVs#MV~W>Vn+e)cYI&&csH@H{5b7G%PlT<2Z%=JwwCW7vl2 zB$Ih%=JWEGbi2K7$-r@ zcN)toUpi`d&AhDbdhSr79qabvtc&Ewk918dn>AX>OftRokE{N4icR+TFqK3}vPGK! zUm&Rs8q{3#KnH&J*^>-*>WZBtnH8=oX_YAwm)<6hNB*`EueWrvrrR<1xNOO0Thdpv zl`Or9dp%XK8AFv=!-h9D<&}1XbPZzH`Le6UO3&jd2^j${1=xX7$KdP?*L0#-sk@go z+E~1on-b(?0qoW*nr@1{R+pOb&Y3zrnAG;285z~#Y-)oWiK{H)xU4WQw}hQwmhbi1 zK*~?|NId2B(ixX$J@)WYMYI#ngWy4qO;0Df6pc0)_=EjhmyC$np8YX%;n}<4xGp8U zvD^2`q7|iy)~F0jzWIsmVS(RS$GF)bnOIPGT-aKYe|EO2t#xk@eL zI_0b9=FivH(G&D~ikVqgjA*@Ag(uv&I}*}S82Fm>15`G>~-t+SKek>Eb6w$u}Pn9v?O6gwXEJf zlgGa5X&gI0vT9wfd&;OyMfmHyc}szNk#@h+*?6#aN3%UX%fV!FAiQ-G%GaR1|a(}^Ly%}sye*5W-$+zW( zWAo~^g}P#AYkYEE7uyg<*A)*{o!1L%*koREDPXnY9x;7J`r^c8^}=gMbJ@ma+MF71 z%YK7-8!z^hPu7qgX13_jh0*>h>@kbou_xnpcP+G&trl*aNtDpw z1kxO1(BtYy+op0Ia<&GJY+>S(2fL%RnJ)pDO6Goj)ZgIZo2+D0L@Z5VF%wSo>&lZZ zzowr)WRX9gdubp^xU_O(MWB<+=bW<*LrT6A7UN%>tG$+e@)>nse~MJkw^^#$3_DWU z#RCnN>6$zH$sM*u54$yQ7EJ6Vx9{Ojp@;b?sX0dnquaN7l>f5@Vwy$4yct{#g#y{8A31V~# z#g*!|gt_)$*R@=hx9CHk7LC(9o|FfYwUwE=RZLszKUp?}7~Yyu62Kh5zb0(4|#jXscar1>B0-Spg&^99egZN@x^O?x7H zY~o3uP2Vx~7TpSBKu$f?BYjruR8k)I{{6V*Lj@J_Ui`y~){f)vK^Pr>eBkV6_287L zypF^`C-Z%Ysj2+1f$#W&GF!!@ihoYabj2!Ftq)8bYW2t`9yVabx-6A1EC_GX+HnGotef;QCrqq3xhB_ zy9aO5%^qT#PdBi0w9-KdsjnEh zUW=*|3Y>52eKTQfPT~ts z^}dShBv+WQNgOU^r_!bVTuSZff>s5S#696%`Mxi^CJWz(dvFpk207&;8*hKtoe5Na z+hI35T=yTohudJFRMoFNVZEU z+kCdbU0ww+AU<65rexQ@sP^S&ef;06mdtsPQVTQOL|XptrJ9MrB_`+jkml3)BJJ~| zZ<8!>Z3X3TxNq=@61Vd#3_QcsVbWgmU>i@(D4#Vuom01Q)jIC(nYeHH+uiz0u36M^ zZ`bmZZ4+8qcds%&9U`I!t_3A7^@;RraMe-q>HQSLi3A%;N?}|D&uV!K)0li^S-Z8c zUotf~&8R^B%8YFunigE-bEV~1!x%sJ;q`D#Hmr7J?(GqmShWy63#WclrQEA5ZKBU$ zjN@XR_9rE`M2W0+TtY#4h~Ljd%=b-^64Z&k51c)&R$sY!`xH6{dn?-k?3$O5KJ~b; zPr)(&K@Mb&%6c)7PaJBNU8Wv9W|5G~DV(i7>XtsQq^UM|*=bk)kfEKvO;^@W!-EBT zN8U^shY7q*z8_|480SwPR@pNwbboSlYu~Ty1|H;03&Pmkn+v#p@YbsBZFI|m;1=;` zR&xxGD}hxW-=q&7bNj9x8PcykqoSijP%O4~oAmVfbe5=Rk?$0D!ekHWa#!s5HSOyi z@ku9Si5bcKyq_5s`azujgt_)$L&JWzp4qIrw_OQ!+_(f2Ts~|Olc*ZlfHf%K6ei|+ z{x}qP_D;QlQ_y!`{CuoJ7gB%|0v-`d2SK9-FAEM7ejm$6uL*g*ZQnNx+PiEDp|^=)(4 zde#1bEfz|~%z4ZQbYS8&b7KDF9v73g*0UBCA}!gwV>2On&x&;7kK7?q3FYJ-mo05B zJ1#au3jtco5dcF3=C60vQ`swR=>pn$0KHbp$K=t#lM~Z>w&7JFd z9JWduKPZ}xaoO_jR`yd7i8t{giF!2~{*}tUdB*s|wpO{#z55?WC2l$A{tms%4?L@{ zUynA@?rUJV+M9FIvOaGCQ}`^ao@ntCK^>IojP(Pe zn+rWs6;I?UrtETWn!A1jPBBQyBBwvGc)wvUMlm4#bC{Y??QOLusRr*pFYk}pBKMJF zQhj@-$W|pU#$74KwefUr)fins0{7}#-%jXV6zksfNENlU(7XKg_TIpW;Uc+K zyKg^c<4x{_4qT4h@UhSOn}qw9&TUpnOP@O*pEe_9d1u6(Xt2=3oOP;cb&)W)K7XT1 z@0{D?4JKB>*-yvM&T!Xvd?rh<-2<_4PI;pFkGry?w#+!>9ZbG?S|(#-53EFSJt9_@ zvu$v-JvL9?$~A=}>mrRexni9!NXVmQS!SHQx=k_tsln7{*`W?X+)&ip4VSH=MPsZh zt}C=1$oa=&VN2Rk{RRt<*CpQ1soMIPKJT9;{9I>i96FBQi#B*?vbpc>8#D2^tiH?1 zu6A2~irMBBU-Y-8nY?jwuu!FRcI-5<)1XT$X8x>Gba~j35PR4-*)?Nh!s0G(XmI$$ zwL2TXHN46AKp~sx#QSFd+Vx~`GD+5~{ejXC;X`58*%zKLZ)UB}$k%&5Iey#tgT?#D z&X%8L&q|?9w{M+&mawlo^pOqyao_RGWY61;=DUrZ{fqZr_0L_3$D35kGPRXeEuLg0 zeUO?@Q8wuN_o3oZA+F>f*IV*6_VjcoBwG~~ zrHhTfb&XUsT(T=QnljmlHg4WVvQWCTr}tVB zZF{>=&fY7VA6|Q^G_f&(5<)o7^`FR1 z_{S=7VYj>@_QjRvA-ShkPkMOfJ_*TqJFT2sGw?PAJFoEEgux84Q%t{Pi}XWDMUUg! ztfux}A>B@p_sp(~IeoXk^YzEmCR|zL(6HPD(_L3}l|#N;Dy^G%B5Q2*{4O$He*JT} zFw96<-`y0Fm>y+qYJ(?y-GNrLF@0|7c6sCR#9ZB%hX%ozTyEIu{akhqm``~2@wr}A=g+tpjK1#w-8hQo}c zgLco0?S>^Rvz{kM?}U+GT_usMs%CWAAG9{sqOuc2D_yccDT5 z{;j76|NW4Ek)YbFa?)wxbG3z2{mQ^M?Q6|TCEDd@IA7F)A6eLb$i zpe-ZoRy8}M5JEYfC_J;Y1v#Y=F6*C>)P1TTD?h`-IPy*3F0V>|g?|R$J=yia=BH^~ zmVM6p4>h|jn;#S=1R2DoxBBh;^wTM-&@9{1|Fy|y9N)EDNHNKw-Q`%4zxDK%yV*In z`U^!xwNvw2ZdPpi?i)5^_3pCV`OKdN{L|YD)+gBRJsBw*DhhE;ggbl`JLPti2o{fj3?nA2WWnVY+m2 zA3nLJ|7rdo$4{+e7aea1_pa``YG2jz!Nkf~(y2I0G)<}2c$;ECtY5E+b>fF@mTgbc zRWMz%oq9gSQ>K4%z7fhyWffK4<;RO!_Gh3>w&bl_S$UhOo!l39Ccm)Y@x(}C+|M?4EG^9@H z^grL`-xvC5Uw@ms#@p;&+hnYdmG)f8NJ)&@L#EjUYQk1G!j~>wk$bshnZq7F>lyA4 zWPI)YX-?15j~oy3Gese8-sg&m*^S&I?U#2kCLI)s7VoHv$?GzF@2WMSUAIH|`FeSZ~Zd;({^RBUuzz zNO01S{MTCP!{Ph+PFAceU!C@rJ0*`pRm+EQE^w#*h0vaV0zCJ!XZ=IySemL-E_uc{f5lE@>oe z-ILzB>(b4FDNg)FN_C^Gx^d!$8AU`sCb4F7aJIw7C;l#n59OWDu!}#o=ku16dCrj1 z)xTAz6BA0Voz+OFmSE<1q*rcwm3Mw|rS-lwZbP4nv58-^kAih#shUvn_`5D)XFvV?aQudC%Hxg1@I${3;(=O+n2xi_n~&$4eXzP8 znPnFfHIlEQWPAMGVUVXE1nhSS#iibmduPK`baC4{E;LemLHeq8_R}coZuK0gLrxm5 zJf9z?b>{>S|9q7Q6I|l9N>}%TsnRBrsttHqU9)b9CgfuGtfJ5l-1eKw1)ZqT~bcLSNJwE7nV!CkDL1e$#z?1w) z@3y6+35QZSqt(qM6~5|O+fDgyZ`0TNo>@@~`I%g2dWI2u)9`kny>D^V+ZU2^+x|9m zbZP#%?#;$Et=>L>yj{#rZFsq;|FF0zW!CJ+V^_7O)_TFv@IUS-B)m!3B8b3Jcq{-^5gw00t;1m7lh z4R*7|4?p0`k?dw9PI6}>+U|9Ed*KJ~$Bo;r&ho|-(%reX!DFGtFLeXN12?-($X08h z;aZglo9=llJod-M8Kdz#4Gzh5r%9V{2qF|VKBVRDuomM;&a`2Xj@;c>8zqv z_ljvCO=MoCp!EIag7}C)e6$?^_^37@pKjxqDceb$I(=x8u`g)8N z#pm2O5fo)F7k*#r59OReYUzp1<0KQXo#E?+kThu>t&hl^uS>f!?g;aLQ@-fI;Fl~y zTt-`YrV)}@2DgX++lE7ML- zpcRY2`W20N%~vd~Z76Jc_I$w3he7jkLv-mS)FEB19R%s3+}b5G(J@cw@r4&i*EdKS zJ~)k#{vanJe7;qOExL~*s;|W~Xn4N#KmG4viR3 z>t1u;yJ;)y7FAWe{z^QU{!bEiwGh0!v3a{*upy21?+`l>zm=vC4USEZOUoO)lv&I) z%3Lft_5imJ4pQb;8681Lxh`gD<*M^o74q-mrixS}`fjf_k9n5Y3}544PR_NqMTrq$)Owa(k{ZnS4m)Cyj$#n!kvQWQb3lPrHl<@ z_Eib@4o7KA6cJrr_)cl7Q__>0qYb$g5Bb}-H$@x$rC%;5HU-~D@ zXiHQu^v}5OhALd3Q~C zkJM5Sr+3K1+ptDh;E&8AFM{qR8=@%x1zg*MpX$X+dwIg?zqxb411hzp_(gX$R8bR$ zts!8%Ky-$NSQUPPJ2Tw(Qnat09pvTHs35gjYOffA-hr5kA<{+r?AyYJrcSQZ#<51i zSj_29qq-p_=H%4+R_z&zJ0E_`;U}~1pus3=1ZUzFCp-s)Ez5NOy8FQoH!v|@)8|E2 zRHHTKY5jM`6_TN(3}|~c6sVR$vXh{Gqs)s=MsI#)8EweK=<}~Ug;6(2KpvStB}Z@b z`?lYUHiSKOR&<{WDrDgHObUN>8Pa}rp|v8+Y+K3$d5x@LwlyeFY;z+%B$ja5&gAVbuO zyIMm>C+E{Pu4$A}ra=8E=lT;4_6=tVsF7q>(-7>mEIH4XnxCS$<9s&IQ@pPe(BHYg zfT*<<@JJ|=DO*U?k?17v6Vue9kW@0DAT>L}g0tAxOq zKfqBrFt!J;A48)cl`d=AH-67WBBXuyiKU*N0G(!Y7y<~}Mnn^tp)q|LVe(EE9z7$z8Pz@_k2-b}v zt8T**rf83xMl)mit513HqM3;L3PE`q1#ZA7zTsUwy5H~L`Je+l1eg3045L|4NFYvd zJ$#Fa+7gb0?OCHo0xdX47czlg>e|2j#E)C*wE%lPaLT25uDQEp5LsPqJ90o<_!#44 zdixK7FDq&FaS4cNug1L3aAltJkHj)4m+-qGKy?AsoL-|YI_@| zdK#p??(kUeaRJ|vDH;@QxZE2wt@(HfUXuk5?=izEhPYX7JD7%LK;{+um%sK$pz8NS z+7hcINm|pAHj4T0{PddGbZnEnR&8(5tdEcDNHC*kN`j8kYqNo8Jq@b@E@Y+A=cgBGGh^T z4%{#$po~Z8OG^#HC~++2Ic)C?A~wSOERYPPg6&YE4F$Z!2X2~e1f#&{D7s+yNnrnQ zl_@XKoG)^lKmT3O+uv9ME>QXU3H5AAM-gZjA2l?kUMyqwTR_os5MDD)863q|su)`M zOqPx;M!MXB_ZuS9X2@Un@&=hE{>QzvVaEt>>Bs?g`{`nxE>6QX>?1WhD)l@`qJz`- z62Cxc!Pl2oAEk%8g{npyvQTELor;h$i2O5%!hF@X37OnFAVvQJ^9_nH4a6POURSP?@#7r-nRHZHX0skm|kVtxFcf8RFSMS?V%xo_Y4zf zN|Xt33)YTVT)fz11RmZVmw@bpZ+U__WG-fF(t5}V-sr&S%1R{Z22|@5v1@d@mMY%q zRl0QKBuXb~s-7J?DjYgVFmKFeJE5^ug%qeb+Y#MF;?aQWmJyW*d)$7EuxbMq1ng5y zQ|WcobI;iJIubuoudt%Id_OX={Pjtx89ZllRP_FwcLz=Qqb?|CnOA z%c8KP057l^Mj2`_1U?H)V&tX&ym%p+os*_5F|%)#?K6i;MQTf%BXlFjjRbJyDtleA z4B9!3PMPLys(&g#HY}3F1=S+RBefnB7KIx*v3+|$U8hcE@qZVP-h4VE0|yuYKUo6M zqxsXL)62~Q3AY0hk)pnq3&I0Q@u#{$45be4$4q68+Qfpm6zq_|xzh|wevbu`S`=y< zxybjr%nwv6m0_!QG;(bQH%mvZ5cbvPe#O@d{{b4h^&mC0sK)&W8)fu)_(J>po*UUJ*vH~&*kD@EwtJ~bG zEA2&RQj#K~VG0q&UGuTBA5{tyv=?zF7w0KQ1r6^V@y%iD1vS=hajC75iWVnAhSo^4)$mk%zGF5>J0U8Mxp`(B)NloR#c)5dHRe$zZAs@ z4KBe;?mE9k`qfM*3nC|w?&qdFVjOH@$S~~UN;n*NK z8tqy{G>9geBM3ii(M_UBCc2WzQQe4#2u#XcVf23kp=sJ-irf8-ZH5r3q1H?a_r82v zq%(iMg+r&jfAY`J&VAv#WXJ>WD9rkr!SqJN_5c9hq?eZXz&6x{KoGJSSCF&ui+5C- zfElqM17W9BI9aPgx!wQ{jJMWWn8sVOsDEG&y#<&C4M3&umRr%0UxQEu`u=? z3peKdaI6I!d!9sfgixJ~q5$fQ&ieJekrCBMTswBg^+nSQgd8v+1YnTTEJ*=WyUCi@ zXG&pGIEB%pH;|k6xYb7V)@x4Xx~oyE4`jH}Yg6I>Jv8623r6&~BqRHv;6Bo5-c*R* zmt{Gk@G8J{3Yif9JjhhL3GKfLJGH5Up;J6yFmC8s_NeD&hL+*tPsyoAR2?)`KYGj? z#i!v0(ep}pdm<{6Cqr2-G3V(taz5_ik!(s4@Q!yl{nkj?(FsB}NLVboJ`eSk^GTcb z7NDTP8%-5nACN7x07J9MB%a{wKOJbzQzWm;D3<$flsyG6dJ13I!ubPk0y#U`CgWM_ z;Lc3sAjv6A09{Z+)qUk)4>M&hftApMJg6MCuYxe@9UQ@9xBDQY0A3S9mogurN{^6@ zv1%U3tx$sfG$Pr9=zt=u!I?kvQt(grny{>CJ`Rc@pD;+ODRk4fC#z7HXnTgY;UG$Mrxugn&T<%O?8&{iS{A}=7V5AkJ%D?o=rSPR zebX*X9ev0DwU*UTcO#$l`rr_`<&Q?0t534+GuhC_9z^oh3g+o5@6Ov#k3c+L{-(bY zq@$k$!b^)_qn~3JpL1{-6vOb~G#t8fx?&qWzWI(D$avN9tDaJ$-k(C)lE5R}B*wh& zu`r__^yr&3lPMfTnbzB|^1jzyIJ$CW^^1G(9XgyiE!h0tHX!)X@fL>RuflJF5vjs& z+7e8%?azIl2L&mD+)w(MoS?`>7u}{L8*w1S@0fByILn6BjH(YM`o2;Dj>sSEffLGCPAFs`0uzMkej8HZYaZa$-T-)vr}dkiK1baC=UgMO zw{Ua(?g=dbzhhx8Qowiz*{ra^>E#Ut!>g?k1CV7IYDtY9u+L43PI=8jD$}XWOnR#2 zv?O!rV-dkSEt&a*J(9hmlk)*NuJ;RSWOjBqP#>wyq9l8vdpXG6X9uDy%aM!AG%75x z^h3_tkpuw{36I>JVN$dlWTZN|EBEE?qAUIQk;MdiEUxSPp2r}LR5dm2&l0XK1MD?aamBQG z3V6S@=@fFL8dWOHW|MOWwQBn@_FR}hy;?LdN(x(05pm{opTX}%Izpr)n~>s&TGC0u zl@1Q5RrxwetGSwVn(uX)ATch4|bcvOPU1Aj0XJ2=Hml zg=(o1#CZ-#9qF8g4hR_GASnDq6nSDszBu1AgPPz9b_>t4Ye$4b)gB z^*bmyA{(HLsZWedem-#4-6$HDsppB3*;`Mj5~j61qpE2Lx_AsQxuk4yf?mXo7ljji>DZ6kQ@%26cVFZJ528P7*1k0W=UjXt8j60ODn?0rpcv(Al>w%^39#NI4^IG{A3<6WFGwF$zz zI^f0jTtVaR2m-YXpZpdC4e$@%YTC#mS!g<77l6byc*k&m>gC4f=Ej#sA%E%**&!FH zNaPUl*fLAS0du*7`5b7cKE`J>z_OQZmB|X)L1IlD)@RP8*t?gy5^cn zsF%O+QHTGHNe)>W+&Gcs!naG{1i$920K*v~V4f|J%|V9aYj=LC-7rA_AUu;(9Z#}B(vh<=fE_%$%&6!fNpJrpql@|^H6L__My9NYfzS>2xg&W+ z1evG>Z(2$X-3=zkV7+z}F+?v4kO{ci9S_(*H7=s)rK4*^&+L7ZR5umYyWB+KoROrr z8xADVPIU#UNf2ZqjmhTNX;5_pW5XZZl5E511x63hCELQe%~Y7zK;bIdK^-kzn%scQ z){la}0J;X)lc;+gq0TQ{U!6%Rq>5nGW-*bo`{tm?+friyLn>c@M*|Fc*oGMb<{3H< z&O>eksE~!!6`~qwf}gt^fw6OqN}$S}Jd9=;G68}mLq8dLcq6X<*@MPRWk)E2PG(2a z>4X71bf^Z|+bPQ1g$mk0CjU+;>wc+?;H(8#{-}y2Y3I%wbR} zrIAdysgUo!@0aw z#1YfazHdr^qFG5zOlCh7hj*Xh(w(^z=Q>0KPNE*Ike2mff$zFOBB=x_Ms7_2#-f|e z^v>Wnt%ViTW3bsQK6*f=evH8bzea#D463Ow zW=VcjX7mmFB|unkZ4p)FZZk|9)y4#i0J8rBa?kh|>N3ChJRcYkN^FVWF4KV$I|&N! zSyZnD;;=%}^z3#Q)JBRtJVGPON1&v=W|qGd=|EQ+x!xP-U_Cej^s^|{iMcD)UyrIc zIJwU3JipG2*Qw771g#D>gbS`C@Klx;@$&_BZht71QH07-OidcoxZY2mPN?_+)rZs9 zfr2vCXa_QUTHx3PveEzxTH*Vwz>RzSVe=^grW{rA?{bq*BQ>)T^a@s|PK{M5@GSNB z3j;zG#j|86CJg%i1qp>v^+vPmrl1$u$#Pdirr~zNM`+i|U-xm+U>bFTk_a_C`8D5-oL*tO%R&t|@Ywwb zCWNmX!=Qxk-&e;&g!jq{rUkIKYbe#FG zhO>1bI6e^!zguIMUOGedjBf^9#+L@(*>be^P&3F!r1!|uH1aPOsGETG#^IY@+Pw0O zuIv+h5F}lfalFKv2c)f9J`^-b3Q{CV04i%0xj2?9Iw5QckPsr80n6m@w*Y&zf{qMo z(tHsby2%!VG9&V!(F9v|A$?*s-Jm*pj53Yb>of@*>KDD}#e8ah6$h~%36?05u?ym- zykyyj2z)i+waULfYO&xHe#G=ZAOQc(uc#BBS|07z0l=NWvL+fJos>0S8&1O3Pf7PK z$Hc-iRa4cXW9&O)gCNC^gpzEoQ#;7qCh);goHgXP;Rkngt_&so^Wg#u)_E z&L}Ct@D{`|6agR^ahj#3vq%XnfGkLpNLrxr^o6EF0CAu%^G|e0%&tnPl|o`Gggr0{ zxRW85WX$Wl!mHNrD%8V#B{D7SQG^vS`c%kI%(-+}4x~l^gVKkuLq+5>Yk|LLhOI2#dGuxr_hCv%EaxQwTYV=o8ojYPhu40Z0 zm^Z5qqKJQ#=*UfNuVAwf~hIPd646O9P!fnZUV`PyKO1reG~@&$msW8{i4O(<5~hotLy*drNDGkTbEK+q&4VBjuIN|f7tf11MBTW|p1aZbJ4n;A-AL9+g8!d;kxN=uWIPeB6N+<~2_-8UO1% zN6ryMkSkxAy=Vv28Z|vx>kj*=g!nxGUUwb(W3H}uDkoK$h zPVw2>0OHL;$`eu(V4h618Hl_HdND|N7TItXOc+|vV}BD^egp^{4mrx+Ofz~3vfUgt zo7&dTM+G<1o<#8==8$FgLu>-JWw8@%Th5#a1jqzz;#8_q(dy~Q(#nc-ZQYuJTi9y z-nZ6h=0zJoj2cBpv;ntD4R18Y{t_XBjqY8m58fdvRmiKOP$yfe6k15SKo4~jN^3yyyQ#BGUA)$bdenS7S7^bVefc|X} z7~Mf86oIjVL6F2BM#~CTq>ck?A(9)e?OTu$nG(DQ&r7;ize4!A*4mmwMCXa#??#?W ztsU2i4c0Rrz*@EFJLxrwLnzyga2-9)uYT7&X4H7zx2J(?_6E3Z`#y0Qg=`!?3$)|r zF~y$+ZZ_O0E#MlUjEoQMBaxVw*&xK$70X+}cTe*$!g;TBx0NyNQxicX3Z*X&@ zHWfgN1t=CFHw#1}WC$lgpLYqdPGAr0xl_M$6_V$(PYUdX{_AgzbTDkd+p6@}`cnwl zS!4s7@Y)r$g2$1Eg$0;fv8pPx4uWKkCt$&G`T|SX8MEvLaHMJ@}Pq?5Z*jKcUq$ie2(P>>J#a zg!+%UVb9KKz5TZAS-%MSd7RNC&W~_7T=l;`MRE#O(-rqnj1CAi267RhUKJoOIH_Tr zWx%s5sepGSlb)`ogF3AS&FG#M4AqxAdgG_M!P12J#Y17l*Tpi@nbe2xaH#iyQ|n>j z!Ub$ydd*L9H&7uWRiVQ+uaMQX#ue;l(1y9Vng(oZ;2ufqdQ!h>{BMG2C}R5x1R*%+ z;UxTjp$5~t-eyG!cGg|%GObRn8&KBg;Bn{%F;K7wk~h~InL|di?CEZ7*AZ{i^mbel zv-bcfW3D=CQMwlzvMJ1PKW9V1)7ykNLI~h*#(H_J@q>-z#xJKTSWZ(TpU^2w5)w=k*7jMx@ z%T~*?Y4oogx05tanI;=R?9o;tP~NBU9R{FnZ^}d4H=|9bjBunS53ZXEHy4Q9_RZpq zT~l+XxD0^B^CV?D(Xxa=_%Q`K(mV>v@M?qDgbp_#nh^Bq1V5JEZd}~}3VXrFib2ly z!GA4FM1Z-YWKNOz=izvBFlq@Dg{vijI^q25=bKfA{Nzs1wdjqkCr*e{Wo`zq5f;P0 zsqg2Y$yZQHm5Yf0}&RpNf+D%r#Vl3 z&Ke9T2fQn3)5&7|;>}w$KUE%}(MDQ0b)r-i?KH+dEcocv%)ft@9^z#SBEB6|^qo8J zS&a4r=mlZGx!KF?9mU@JTyVVObo=N^f5h|m=$E7p(caUjM+pnIng*~bV&k=irAf*p zfX10hjsG{p2%@HH!+)#<<^|4DRS?|yUw=R71+%x0Gv&z#kQb+sQC$&(Vz!edmYP>I z__C^0_ih#vZKMT2Dgks%n8AK$mq$;}MeB44Ks4j5ZbNWakhdv<8IYiC-eXXcRYD!! zYwj%iHISK34Cg8k2Q;F-Hbeo%w~&ypDAUu3wlQkST@9apzIt#!Vag?#1u%vt7IA|n zo2j<6%PqQ30Q=6&YNVna2$#Q}Ys#cB^|U4@MmbXlfJmmfH$3^ggIwY>0RVFheTTP~ zR{sHA?)S`dDuKe8h(5^Ahe1FDyczOpNp%gq!@kZ4I)U(PE$0zlaiL78{F~_7@nbHi zu(cgS44G^>e3SxSL>{j#(GSVeMn^iRo+lxI1d!q%)O6+_GFX)c0@m}9Z-1cx{#vq_ z3gsDm(#1v5!Ga)`=y`@#9^?hE*lpzG9J?XtO~cFmKS_sKBz@TNKM1M}>pt}6zGFLF z!#W)+h2;38b&Kp>VBt-RpuoK<UIj6YX$w= z7J>RlM0yS*wFaRui7ey^ix2^dq&`8~G)j_!*IdiDbEtK-FHa(@+y9MQs}a&1Lz80x zfP>WM5NV!5Gk}m>dTp}NZ{!JBi5Dxt^x}K33XTD<>Ole3`Kg z#P1ftXarJaj>my>N)h8RH^nh%8u{oee_!)j-~p)I`;CVyH!G(+B2Gir_-Lt|g!vt^ z#jd!MVH$gsyzFwXSSXsdA5Dl!8}w|oczybPj7j)~q0!a!pe}WC;N-6KqP3x6g&67a&N4({VF6+rtG z7pO8Ti`3`5!6R=;gT8{A?q=lV0#&pGsx5^ar`rLWMM`0!BA5a1RUlz9uQr<5*||7I_yd8VsBI6&G}p#P}4Z>##PUdu8XS z(8L2N|Mx^h$$`ZBEr5MDtuTqB!}%r1(og8b3X|kaJow=o^VaD>Auwu{Zvt!51LaNu zxB{XIm@phO#oE8k#c@n`b`_F^tJXv*z!UdOA!2nT$7WvYR-6ELo!vD@HTp?9v9ek~ zozRXzwgX8`q4xPi-9TKpf{rP2&%V2jq;3A6x~CvzUarg_!D;T+J}%$>BSiSauTNPX ze6EW;oEzpnf?zHB^aTQPoyoT6!=}=M=HN8X-=H*;U%Myi7{4~%zL#94i%b?GzJ(b3 z0rGQQ=pN|{mM{913hpAf`;Mi3QO5vCo6pWbDt?jLOGF)}msQAu)Mi1!SAp+)G9%63<(IVQm^{IY@2^x`YQeIaUJYmO`E-KsU<-vf%7n zxGW5&f%6p?f+RU`^OMxj}x$ zC%#ugAI9y3o`CQmA!8R$OLf_$G z(7max^A2y{Rwnn}VEMSW23pA5G^H_O&nnoqhQGRXSl%z|-!!6?!^vA2U*_+T=gYsu zX}-Q86erw{6`GqkYN)7}daibPLl~~DEH%n_T*T{F_>=J;!PVnK$w#YubS>j~dtPQc znm)MHTF~V@XdBd>{Ql#YILjD=A?F`+57TV>;@ZW&oH%^=yMy@M_QG)T(EIC4;H<8z z{8aM)2{jJN@y=%mae{3bk&0uDw%2BNcDv(mjks-7vUB!ku%*P6IaejJ>kBX#OlY^B{;aY#wFR8DxY8%vTl@q!m4 zFX^h2Z=#%3o!hiK?fT`UvAErnUI*8?DO)(Osk6zl=L#*A&=Qx>`EKpALUWdrOHgd~ z?X@bAA`+*yx1udYViuOH#I+PQpIvoYD)x<;PNaFsqWEGbB#T-KyQr3*&4ewvYbo}{ zai`T)+XdQEoL`RS;+8*d&Z0awwb;GeQf@Nj<{OuEWhJ_8vLHm3(fQM%I0n;8Y@ecW z3!W2_-D!0Zapgwla#BkqX3Y7XqpD*v&V?h+=9oITgW+g5jt30@g+OA9je|D zHgw67Y-hJAx95sqva{P#lI$gOR@s%As&lHegY68GlO?;c1SYn_|FdMDE4C!OM821@ z3E6)8tcW+8B3|8!=`)oaTs1DXiD^JlG{;50Kq)k@qxxKaJDy^)qvwx(s>&U^`+Wku{UZPvM+bpV{ zE6`2vsY^Ob*SS!~tfEcEYGqk1?W$|Z*G1f^rFTrX+T>sj$7{Gv=Q~d)GV9(}JFL*| z{Y`f5&>7{UI6V)x2A>1lf`h;|I#_T6<1s|sNEu(Ct4q9z^rtrN6i}_uFy@zOK2rT` z=FckVmuen@I~c!;%~EV`XFQzo62=vYu>_kwCWhfO=!!fJn_1*6O{raw2aS8mIj$T9G0{!dY`QTe%Q?My^Z((;X__nEqsbDTgRp!~l2D(A$+MxRfc&(Xxig9~0o`PL%>|Q~4F}fk(6=v=Q##fs0 zB<#+_?oD)mLpK7v02~fpXlyVE)OEKWcB9cZLH`Ky^~mpm^+6!@8OMAB=GD2}7$?eGT+8Bl>#i zCZJnD?30MS5IhllnP8Vv%Lm9OBiG07y@+o*pAV15_Y-{o0Egef;jK8lfo`$sWg@b< zDW^hR&)g#>*JAP?kKGCA7NJ{?Tmktb@Hpc?jqw>~9ImAf`pF^vS|-nd_YdHG4P&() zWtpGEu@1Qj@;tMaUl@5V@_I8ajh(vnZ9r~>Z9a2fnYr1FzhvALeIDbl8LRlS7&F}V zQFqYyh_Ow<;6{3z2{$uCwhy512LIL3=fRn>SGW0hjqm-)-9vjt&8I)NGk9I`Wo$0%JQI`NEJbV(Rk@e_n0$Z;-n=_WM)+x71&j z`pt7t%Jw|w)&8i3&6_5!n&`#R&&24NB6Y%FG=CcWXj>Pt7%g$9K%WQ}SilPUiS5WcM`s zYeKn{o$FJM-q)J*c^~3#Chp$g7I0s1Qz(z}Z%rO`Z_k6@BSZ0*F?WoS?_vHXYTv>- z>}}Q|om)LekurGJ^nEq*GZ7B1LH9JeZ?IG6sd++8h4_#^D~0kZ<+a$~%TYFxE9H>k zkB-=@K6>HPJ`ykN(ixl0@betU{_yc_gpW@#J|g1Z1)oPa)Si6U^wt&mp-7)MqI)o+ z`-phQMdGFF>5l#F9Hq19vnTfI9^4b3Ycs^XnR)dbus*bZ(m53_;i#Cw_g4IF;uuN% zD>K-r7^-fy?sr7`?SuaIh)&suKTjfb$PoKp=7te?o{8}a^2NsXZepuvo^=`eT8izl z8R8CR?y3xP_YqS)Bdv(UeFC5BGWal+`lz?p8zcQbgsy8u_dM3EJ+U6eFTKw?Mf^>= zZSXjwjwW7t_*!Ys`E3TF!IQ-8#PM6CzqHA61{ET;J%hb^?tYnl&?YjM_REBiG0`c; zXE1Tqb>f!{^?Z!~Uo-f>grB-5523EM#^x{d|5>E}q1dSRi0>mg9wm0?46#)|!|+jk zZ;kk=IraI3KRG(Wi)G?4?U2>3X0y&y-Y|4&%fy?Aeakm9YKL*ml8g7It|K zI~7NLPQZQ<_FWmz#CBH5_9W&Ogz|R7W(GDhLpCag>r)xu`61t`$a9fwJkWX;Tb)7@(Q^}hTRstVm-MgXZk{1kM z+>`OW;7uU^{nx?kXrR6ze;YiP{WS_~27UmZ49)}9*YNpZH82mX4$cH?fYZU6;8d^{ zI2o)1z7L)SjtAA>0*nJ2fbW2fz%iiu>x&a9Y9aY6gY&_v;9T%Da28k>oCux`jt7qi z7lUoUK_Fp*){LJw<9`DH0RR7Z0gRMQXcIvc$6wOV)VB89YEx4yf_f0_#afHF-JK?D zHal@MY0YUwFM>CrD0om1!J^>Ba}mUY6og8_gHXJPXeo#wB2-Ypp1gSRBg6|^n=oC+-0S2|<)R#F6@D9YAu zghwu&IE0xIHVCtxr)^K#Y3=&6vQ8AEVFvHq*os4h-w>U3l@dW?#V(p;>hasVm!TLc zDFUHWGOJnp|FL${BWA8#Ej;9b`q{8UiLZuRc&UT3+^;T2mw2E9Y=Eu2lGQhFc$26( z{aE|j^m3%QPfl4{W?f(V(h=q|a7w3IiJB^~(;UKX4IJsE9>$&dKVKn3I^eP5EYfY9 zeAG`(4)*an4r}AUN@jG*%BAX}(MqqFD|Bsq;ep24iqS~AIYd0;+JV%L@T`K)O6loL zGE8s_o#7a*U%Y=D+Hxeff&0ux>nnx#fCt#HQ5B3JV#%~lbCrpkKd<4lTn1chb6j=W zvy`0@Iqk`$p;2x9hI;+^)=^Bw*x+9{Nr7+E1`IO3`5gsQK@h*+OkS{MA=Nkr7~Fdch7-C$D$rPyT|sT%*X0=lW^Q z)g(FE87!JMWO#e^H(pIc-mT&Hn97(x{Nq_QkIVBp9C2Pa+Od>ne4`sS{NGP6pI7sx zN@~D2^J6A@@nLZddX+t%W7N-=Wo;9?u(qb1x<<^42AWKP&h2Gj5W z?P)NkjqX&2fQ7RCH8PYTTyL{mOrBJ+=6AUD+s4nX_zP8lLQXXMEsK@qb~;{O_i>k)n5LdYeVP zOS69#_9OnC+1Gg0laCz#|31I9Y<|Ulaee;v{HDAeW&ba1zvk#K#`lJAjfH;W{bl>Z z_0FWH$Ms9*c_(|>?(rD7KCj{9e8K)3^=HyGk)3}0{M{ISAN6=;c%5_qkMM|hq5p%w z^Ygt{|DDALFMpmN$3ODFmOq(4{mS`#vU*{$U%v?uMz$aI$6CCNA8t7RD=b>q^y|~| z(I3pOxc6Jsb0Ocr7xuf22a(;De#`q`{T&wX2Z+d@=-1b#r{_8ACBtifM|`^!U?|fb~{2tE!c;4zmD)5{S$1}kQV}GIlg8wML{{3>}Vxjk$`akq? zJkd{iKid6A{%!psUHR@m_zV3s>X*>V{MJAGvHn^;wvT?!{w6rV$a6m59A9}KDfb!c zF&_L}Z~sf>E_?m;#vrdc@GrK1KjQCs<@aXna%?HT8K@cg3{;Dsc()UotF3k>y( z`z_Dk1CaXu%>KP!>i$*S^|*ec_qk;L{QVn`eBZP<;^ll;UmH(H?Z Q_GJq(d3{9wpYHy7M}EQA@-^P4^oO?=Usr!;`*|imEHU1R@oe+{ z?%K=uAbme3>VN&t`979S_MRe!gV9rJmQ)FZK6K_*y^Z*ZqR&NPlF% zrGG5a|4zT(FrNlL_ICz^D?S&#?XQ;vm)!4y+y9B;FX%7KM~uhQ9{b(+8h_3==JT2M z`~OGDzW+V2dQ9|J=JT9-CI0L$=9}Yb%zNwmqW0&K-+w4R#Z}K&dM*D?DjYwvePaE@XMc?LG z^=lmYWPhZ=Vk#gZMuP-~6A^ z3-BAkO@9UO{(i^Xbe_WRR=?A{dJw{;`pMoCE%TqAm0#}sU#W*vv*L)M->Nf6I&2RPhU*m(nW7`MG py?MVYUhofz7xpujaQSc}pAGl-{`n>0bKv0e;coGP{RNZt0y6S@i-G_E diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 85e389e29e4b9f6133f9fff5156a7aecf0b60574..5df7f72a397b010a3115fb4d854601aae4e4bc7a 100755 GIT binary patch literal 13200 zcmb8t_xBG}9LMp`*WO#Uuf1mysg#yVrKK&j?9Pd_REV^fHd@+CdzZ$sqNp@TLkn3) zsnFsdcsR%F{`ff0bI%>`LSgq;(e9^(57XqWv}J>~T+o&e+6qBiF=#6V zZRMb?60}u=wp!3u584_*TQg{D1#Ru1-6Lr01Z~}*trxWQgSJ7?HVoQELEAWJi-WdF z&^8U)W2d9vQU#g7&DOJ=$$=@iD?(SpQwP_*h|~z%v}@mU=wZc!HUFBGov+Og)KeJlRY= zg=#$2Og)WiJl#w^gK8XTrk+VP4l+{*Q;kE+)U&9@v(40VsK#^6)bpsup=RnZs&TlP zI)Z8(X{L^%8b_O{=TnUrn5ko^#<6DVg;e7>GxZ{>@nSRe5~}f1Gxaj6alDy&In{WD znR+GFIKfPvNHtC}Q?H^LC!48LsK%?!)TvbCHD>CyRO5AK>h)CP4QA?%RO3x%>djQ+ zEoSPiRO4-C>NKkHb~E)3s_{-U^)9OMZZq{Bs_|Ykbvo5J!%Uq?HQr~Y-cL0?V5UAu zH9lmf&Y~J0Hd7y=8Xq-NAEO!{H&dUW8lN;%pQ0L{HdCLW8lN>&XH$*OnW@iHjW3v~ zFH(&!nW-;Rjjx!guTqV#nW?W+jc=H#Z&HnKnW=A6jqjMLbEw95&D8g(#`n$C52(hu zX6ihualV)sUK5~OU%@zRO2UR>ZerWXJ+a$s_}C(^$V); zOEdK=s&ToQx`Jx_+Du(ZHGX5JeoHleXQqBnHLfyKf1nzFG*f?~8hNcuzyP5ho z)%cH@`Y+Y^pPBkU)wsiKEiy}sg0@7^mJHfbL0dX#%LHxNpe+})<%70@+ur)Oif)w_ zDg|xjpsfW4F9p zv0L7)NzgV8+Gau9JZM|EAf^7%3J=kBtb^zNEY$vdt z!FB=L6>K-K-NE(%`y1GvV0(e>4Ym*1zF_--?GJVU*nwaNfgKEX2-u-uhk+dqb_Cdw zU`K%+4R#FJv0%r69S?Q_*oj~#ft?I?3fQS&r-7Xgb_Up)U}u4y4R#LLxnO?>`v=&0 zVCREf0CpkRMPL_$T>^F~*kxdsgIxi3CD>J9SA$&xb}iU-VAq4)0CpqTO<*^J-2!$i z*gwH;1G^pUUto8D-3j(@u)DzS2D=CBUa_M=Hz#ayB1ng0;$G{#3djjl9 zu&2PD273nVS+M88o(FpY>_xDbz+MJ>1?)dy{{{OW*sEZ#fxQm)2H2ZmZ-KoH_72#) zVDEvw5B34rhhQIpeGK*q*r#Bhfqf44f3PpWz6ARU>}#-Zz`h0h4(xldAHaSD`w8r4 zuwTG_KeB#ngc1pCWUzr?qkxSHHX7Io*EU>Y`#sM1_Y%th(VB>=g0h<79 zLa>R!rU07~Y$~v!VAFsN1Dh6XIK)J*}>)jn-gp0ur@_V*mgE diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index cf8b012..945e82f 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,100 +1,99 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731317099 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:16 2021 " "Processing started: Sun Apr 18 03:35:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731317099 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731317329 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731317379 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(273) " "Verilog HDL warning at GR8RAM.v(273): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 273 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731317379 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618731317379 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618731317379 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618731317409 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(324) " "Verilog HDL assignment warning at GR8RAM.v(324): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 324 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731317409 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618731317749 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731317889 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618731317889 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618731318139 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "322 " "Implemented 322 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618731318149 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618731318149 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618731318149 ""} { "Info" "ICUT_CUT_TM_LCELLS" "242 " "Implemented 242 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618731318149 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618731318149 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618731318179 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731318199 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:18 2021 " "Processing ended: Sun Apr 18 03:35:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731318199 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731319149 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319149 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:18 2021 " "Processing started: Sun Apr 18 03:35:18 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731319149 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618731319149 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618731319149 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618731319209 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618731319209 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618731319209 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618731319249 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618731319249 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618731319289 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618731319289 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618731319339 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618731319349 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618731319439 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618731319439 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "2 80 " "No exact pin location assignment(s) for 2 pins of 80 total pins" { { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "DMAout " "Pin DMAout not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { DMAout } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 19 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { DMAout } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 374 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1618731319459 ""} { "Info" "IFIOMGR_PIN_MISSING_LOCATION_INFO" "RDdir " "Pin RDdir not assigned to an exact location on the device" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { RDdir } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 96 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { RDdir } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 385 9224 9983 0} } } } } 0 169086 "Pin %1!s! not assigned to an exact location on the device" 0 0 "Quartus II" 0 -1 1618731319459 ""} } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins" 0 0 "Fitter" 0 -1 1618731319459 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618731319519 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618731319519 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618731319529 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618731319529 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618731319529 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618731319529 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618731319529 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618731319529 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618731319529 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618731319539 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618731319539 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 368 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618731319539 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618731319539 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618731319539 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618731319559 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618731319579 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618731319579 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618731319579 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618731319579 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "2 unused 3.3V 0 2 0 " "Number of I/O pins in group: 2 (unused VREF, 3.3V VCCIO, 0 input, 2 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1618731319599 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 36 2 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 36 total pin(s) used -- 2 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618731319599 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 42 0 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 42 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Quartus II" 0 -1 1618731319599 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1618731319599 ""} -{ "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "Ddir " "Node \"Ddir\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "Ddir" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1618731319629 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1618731319629 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731319629 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618731319699 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731319919 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618731319929 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618731320523 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731320523 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618731320553 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "34 " "Router estimated average interconnect usage is 34% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "34 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618731320763 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618731320763 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731321393 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618731321393 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618731321403 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1618731321443 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618731321443 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618731321483 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 8 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 8 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "545 " "Peak virtual memory: 545 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731321513 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:21 2021 " "Processing ended: Sun Apr 18 03:35:21 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731321513 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731321513 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731321513 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618731321513 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618731322373 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731322373 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:22 2021 " "Processing started: Sun Apr 18 03:35:22 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731322373 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618731322373 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618731322373 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618731322563 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618731322573 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731322703 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:22 2021 " "Processing ended: Sun Apr 18 03:35:22 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731322703 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731322703 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731322703 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618731322703 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618731323273 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618731323653 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:35:23 2021 " "Processing started: Sun Apr 18 03:35:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731323653 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618731323723 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731323823 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731323873 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618731323873 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618731323923 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618731324243 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618731324283 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324283 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618731324283 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618731324293 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -10.039 " "Worst-case setup slack is -10.039" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.039 -703.074 C25M " " -10.039 -703.074 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.227 -1.227 PHI0 " " -1.227 -1.227 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.063 " "Worst-case hold slack is 1.063" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.063 0.000 PHI0 " " 1.063 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.544 0.000 C25M " " 1.544 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.399 " "Worst-case recovery slack is -4.399" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.399 -131.970 C25M " " -4.399 -131.970 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.845 " "Worst-case removal slack is 4.845" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.845 0.000 C25M " " 4.845 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618731324303 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618731324353 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731324363 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618731324363 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731324393 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:35:24 2021 " "Processing ended: Sun Apr 18 03:35:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731324393 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 25 s " "Quartus II Full Compilation was successful. 0 errors, 25 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731324988 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739560494 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:40 2021 " "Processing started: Sun Apr 18 05:52:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739560724 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739560774 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(274) " "Verilog HDL warning at GR8RAM.v(274): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 274 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739560774 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618739560774 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618739560774 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618739560804 ""} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetRF GR8RAM.v(269) " "Verilog HDL or VHDL warning at GR8RAM.v(269): object \"SetRF\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim1M GR8RAM.v(270) " "Verilog HDL or VHDL warning at GR8RAM.v(270): object \"SetLim1M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 270 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim8M GR8RAM.v(271) " "Verilog HDL or VHDL warning at GR8RAM.v(271): object \"SetLim8M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 271 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560814 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(325) " "Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 325 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560814 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618739561164 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618739561274 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618739561494 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739561504 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739561504 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618739561504 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "308 " "Implemented 308 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618739561504 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618739561504 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618739561504 ""} { "Info" "ICUT_CUT_TM_LCELLS" "228 " "Implemented 228 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618739561504 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618739561504 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618739561534 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 20 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739561554 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:41 2021 " "Processing ended: Sun Apr 18 05:52:41 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739562534 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562534 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:42 2021 " "Processing started: Sun Apr 18 05:52:42 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739562534 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618739562534 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618739562534 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618739562594 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618739562594 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618739562604 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618739562634 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618739562644 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739562684 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739562684 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618739562724 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618739562734 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618739562824 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618739562904 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618739562904 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618739562914 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618739562914 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618739562914 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739562914 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739562914 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739562914 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739562924 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739562924 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 367 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618739562924 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618739562924 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618739562944 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618739562964 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618739562964 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618739562964 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618739562964 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739563014 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618739563084 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739563264 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618739563274 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618739563754 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739563754 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618739563784 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618739563994 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618739563994 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739564304 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.19 " "Total time spent on timing analysis during the Fitter is 0.19 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618739564314 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739564314 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618739564354 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618739564394 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "546 " "Peak virtual memory: 546 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739564424 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:44 2021 " "Processing ended: Sun Apr 18 05:52:44 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739564424 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739564424 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739564424 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618739564424 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618739565276 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739565276 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:45 2021 " "Processing started: Sun Apr 18 05:52:45 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739565276 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618739565276 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618739565276 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618739565478 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618739565478 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739565618 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:45 2021 " "Processing ended: Sun Apr 18 05:52:45 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739565618 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739565618 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739565618 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618739565618 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618739566178 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618739566556 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:46 2021 " "Processing started: Sun Apr 18 05:52:46 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618739566616 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739566731 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739566776 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739566776 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618739566821 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618739567110 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618739567150 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618739567150 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618739567160 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.149 " "Worst-case setup slack is -9.149" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.149 -686.968 C25M " " -9.149 -686.968 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.511 0.000 PHI0 " " 0.511 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.065 " "Worst-case hold slack is -0.065" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.065 -0.065 PHI0 " " -0.065 -0.065 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.402 0.000 C25M " " 1.402 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.389 " "Worst-case recovery slack is -4.389" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.389 -131.670 C25M " " -4.389 -131.670 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.835 " "Worst-case removal slack is 4.835" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.835 0.000 C25M " " 4.835 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618739567220 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739567230 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739567230 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739567260 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:47 2021 " "Processing ended: Sun Apr 18 05:52:47 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 27 s " "Quartus II Full Compilation was successful. 0 errors, 27 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739567855 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 0052843db64903b86a38c777b990e3b54c874a3b..18e82cef8a8bb0e73810e4db4d0e4950ad756449 100755 GIT binary patch literal 3096 zcmV+z4CnK#4*>uG0001Zob6rPPUFZHeV?ze@;=1dxl4e=B|VeV(9m(~@X#7X0n#%O z5OQ*9O-rNs_G2fI7-F(&?YOIKi8qLy?eea@YTs5>_V32C-tDzF>OTw)O22iR-J|op zhyJ*CeO>b6Yu^Wx>F{xMP?9|1* z9nXjNw_Z>QJilI+pd9#8@xsC6`|xJqUG{J8Jn_Q+cvpjKuYEh5Mc*tP{^EK2cY`0j z@#AnboA#!InK%0}j>b0`d>c##qnkm=`)fFuL|4WKrSjqn$**|B+i1L{)tCMpPG^J3 z({0g>@yi~bgg;x}|#Rxc6ZjcB$%LRj+AOxTA zh!q(i^h6>_i3mK4HxEdkgYXlBoLhYZgr5lHq(p?D4<{clD9a2GdZICM3wnUSbH=V3 z0YXo-4plgbCfMnWZYbv%Ane2o5f@`r5Ozja?Fl8&1Uk`+pHo5+bQX9d-`pf*iw1f| z2}SUUOjEdJqhb`caVW1K1T9x~G6Y5-iq|&|mlcGfD8mWIgPX8@#u=#zkT{iA5s;QH zgIh(a2u!g`Ij3GV@j_&tf{+yXU-G>Xj7HsdIPocw25yLp;i?EkmuyyAMF{G!j;)FS z)S<^{5WjKAsUhT?vB`K1!6rODVnrg@L~+sMd`5{xuo;~kg_J-e)KC%;XyV0!R3t)8 z9RG7BSu@IgIN;RGavyH(t(mwTPGlW{Cl<-Qdfmk7aO-&;p(lbk=X2@?K8LfSx>4nT zlxb2q;P6v7NPb8YLhy-HNlHZE`EWulY;}a2B^bFZvSCm)AS2+0LCuY~ICNra_U9v!bR+m4XzB02FDGY}=UCD7YR*6X7SG9uhxIgrD$~ zoNzOD$7QQ6BlvLIY?=5w4v{Tbp14?4e7dJpBGZD6rr$gMB%nkh5S@NLr)q~S1ffxv z%LiKsLEZLmT%WRKlxdOaTMOZ5)aAm(7Q)YBvW08Vnw9s+UYL&n)L}~>;v)dXwGXmO z>l-9nWdGAg=!rybU5pa{E?6at&t87?^nlrOs% zQH>yhv=*KjWkPDH{J{?uGF#}>Paw60FBppvvxT&LNNrKH`~|XG;t*RgO=i_2Rs%46 zr#rO*i7qNiml4^8HdK=elCJ<2q`dG|T7j&WxR<9GDh%f1z*`{~wjRT)wnD5yP~XrD z*Pysm)5tX`(2@uy1=3{rTChN(jAn0*K(35p$AuYLhTJFs*)qDSy+FbY-(V2Pn9*=w1(IeIHD}10 zi8p}pOA4gU&=h}x+?mA=48>3ptk}I<0_igfmXAON4NpiA$f416DHZBoqiF zx+u11h#A*~416)!h2$v+B)e#~!a};s+YLA@8~Uk5bCkC&NPpppo&pIlZ#VI|QF%-y|;djIZkTXNG{sTyuq1o>NB+T%8e<5Fn-$4P^M3od) z%FEkU55F6yp6l~`{ppU_^?Sq7?cnc&lApM^xcubipI>l1dHnlF=`b4WI3cC{EN1oO z^77H?BS~^>__3^8rK-W~m(!H>vo*M`L*_t(l_F|z?Fe(A!F5%!KpJf13B~o@2IEG9 z_1%Dztp-~~MDdzElmiV`c3V;$*tEjwp5%NVPGB_tW^8#?`l1dP_Vbx@rC}N(@xik6&I}KYZi+`-%78CiB-VLN`S0mlN-` z430OABGpcim291t=UG|vrBWv4^_0At5NI1lBS;hi$syR)RMWkt*4(CCYpT9&)v=T2osSHaqC^wF`jd6@6PsXUC>m+R3u4scq?l z9Zdz=$+EnnySFJR2Ks8w*C|n(wOviEcj35;Jd0sd73YzsY)!fIqp7<46}_r8Ys=`< zrV`m{s;&)wE&D!gBA+4picw2YrxF=zsvzidpZBTD8J1&o_r6cPR9e=Q`*q4MNaX2U zQPpC&zKZ)Gp;nCYRMUOhr|x-J4ibF#wFI?Ov8$@8drdWp0lzBa`%+{|s-ZHNP7xZU z4OH8g$k0hMR%NU!Chj)WRpOj7*7!D?>i3V2ck^+{`!;>(#SG4aQYTz?*cbOs7eBZc z|GTolr`CIA`Ef$us|!yLex-76`S9n5?D_tB8dAIW-~0FT&z?6_6r+&TB}{)VetyMyo{vgjsq-z18@WOQ!eE)8{W6GUGNd-Y|fIH|aAI@gO)P7d7TM4c>K)|C4) z4ORberz!Qqmz}0s#b^*V+}l)9$j0t_6hSeHq%HSNXEm4NJ@VNZCppLvim~=OXB;Gs zPRO;Q)C8^!gXv1nE>#o!MC~E7;29|tOG5Y8^z@9qnd3WZ!rku`Uk~rNd*Dy)^ zzMMN|PBGkh)qR9mDTtSHpPe^~LA6vDJG0YNP>jAr&Ap}?1&Mr|hdzOt!)V+2Igb+( zhu7v>RqFLLJ58zmujMkL`-Muq$<}h1;5_XQ^b|_FOS*gde4`kgaPuVOHn4sQ=o1LZ#(i%{w64_~L>sg=q(Udwp%}!J5#<1le!Fgj&)J;^& z>y-1rQ`A|4<;I+QO{uqzS`HH2`@U8|q6FuGr>M`tu^c71*OZ#(YI&VwE8u?;0YypMCXFiI!Uft`!wfTgF}XP@Jc-fqDp*Rh0ux6(jK3`ok5L+<7rH zr9MhHJ58zgR$8{H9B8T-Nx%C{wpk2CwcJR%T0Or z`MLU}63Z)!`xHTaR)S^E>pt*R^8###bMB3*QjlJ=b46|aVf6ecN`3Y~cA8RWzP8cl zexXwTDyikJy!)*X>U_?!^K%bBburVjO}W=pqZna=`gen~)08@&ww%tmU(waSWnei> maBoxUy-=3hjqYtK$Zkb{{mFEBYy72I@Amrem;VDwNpeoIVF-r+ literal 3078 zcmV+h4Egh{4*>uG0001Zob6rPZrex_eV?x&^gb4=b)g8z27JqmHg@8e!R8@Y2(qp0 z3btjfF2Mv?%(pKo+OllRI(^C%TMhUv7Nuf$u})o2Gwtiqw0m_C412fz-O3;5t@HiU zo!j21dvQ?-vTHy4^~TfLz2AbMeck`n z9o-Fv(@A&Ip9a%kqjY`a{*V5+KfLT$g8vNqUgf9JoiE(EL;Xn?@8Ri@tBd< zK=MAHCZqmka(pso#5S$A-k`P~0hvc%PXA=&wQSZHQ%j72$b;VSnvo|V5ZL*gKA_`I zluQJi^P{8hO={bMpp#;;#Ysfi`F4Ip!dnn@QhXauA_C9cf=E>&~w~gRCKH%>|~CJ%Ux;+JHv0sRF+Y*flj*d zQ%a~++D7giVds9KCzMbGpVTyka~n0Qyp2P74IwD^>||<NK}bsDFS&07qv82+G7c$`7Ga3X;pzxPXKb}w zM+oY$fvt`J)M3}yu*lyyaR=n=~)Fn@uT^2sXpR{e%)|g&ImC z0!`*DNJS#lWcfd7l2)}32b_jo?Zf%rrcKx(MI!KIBDt+MZGsNx&zlH6Da5&$)3k^= zoE0^#ItQdoo6Z4;pQc6eLz)nRPpV2%A_C8cL&~v52sb$xxhgV3$mt}1i&>VKUg%Ay z684_O;vj^tF3@(mCxWU-!RuD|??lw5y9RE`(NBN`>o( zL2jST;3Er|lD(P8rph9{Ndz0@M{FZ9vTKf$>qJn^&`*j)Sj|E_nTJGHq0Vro)Us*w zaT2WxEK;TghPb%YLJ&IroOHMbTFauz$5~O!rb|JJL;y-P$@%A&U5|pBVYCo_vd2T> zr-ks79B~gkwhMP$wHjN&htpk?%_Gc#?8%iX?&(W7ts>v7QI*TjZVpwJ! z|G^CuV>|G0K{Cd{hs*0?gq-=q7H&Fg1s+3A6+@gXlA%XJn?AvrX>Z!^UJRz)0uuR;XUTDv z#3#k&@Dd{AKiPzA=_Zh~d9sOc8#X~2<&V4ZZU4&3DmT!Jk`VbxMb;va$D%2D1TtC3 z(qABtg>Gt!6_JH>laR^655EL*S?B?SKq`x7N+poZl4V$br`M>7jX+KdPho{^W3uY;l9iP}h6_JZ707YXaIXaNTom0n zfmD}#qQgHeNO$4ek%IXyhZ@6zte1J>t&oermGJ}>Vl9&TNV8moulaCTA=hS(LLv-u zVEEalKnjei_=GGNn&l^u0K<0x1u|grfdv2bAq$4@qYI?L&>TX6G#L7@fa1z1+rr_TuOli8NL@R zkRzix1tX9rqc|D?i82~SKcvd=9ec5)%8*haS4PnrhGd!Sq!tf-NSL8H^8yJo^CJz4 zr6Netr=d1Mn-R#H;V1k8i8Gopw?Og?&kGbtpUFr&qOc<8_ySoluMh07Y*=3% z=n5=LRt$T@)uL$;YgTJ@f|Px5kR3x4MFg^AG)G+pQe+flJ%Kctd-9{=X;~afsB5gi zhHgf>a!8ut&#oCrnxXmm1~O)7p1OgI8UB(R^7G(nZH#j`*UHf-OIb1$^2bd*~d#yVR2z` z^Jfnt$Z!8}o1HLRemL#L9iD9cXYXdV{4i6WH~;AMFNw{U%&IT7t1H&fUfQC=m91Ds zyZZE5KQqpk>K2#kmaSFys+Fn*{$@lD^dGFIKS73$uUbz5z!XzMOc z-9=b;{e`+kel$~&3ed*u^?esF&;U0*x>!t8dq z?DrmSnqPUi<+ER|a#k;8{r-xuXFq=CQc89xk8O5mkH6*zPTafRrq-vuP9ale!=Nq~$(CiT$vt*gg7UZI~=OoV!<5OS^=UjY@0##c9fDQ;PR^{$}Uv zK1@aH#?0G;lgNGEYy8lZabHT+{RrrhHbW~=Q|el(+<@*{QAV9nl%4swkLN8vl0ddEHqtZ4e3ygi*M5SC!HQd)7H9s^JySJ(4I*RD_Xe!zQP5EI{O>Kf)oTg$w zG^H-N3-nX!X;-;6<=&&WJgH{30DXQW>9sA$(f#mKYSS-HQ*}SGgr;+kzI3K&t2HI= zyDs8KCr>?VEl^W_3^B!hAHAmTy1Y+O-s*dudlaMQFO?k6-DlIWA06*l8%&GSl<}kP zP`3sP%n|)SU(I=rxbz;zt=81~L$D>4XnrJ#k$Xo~_oM7L&Zn45Z*AOaO{wdn0v(kf z4HDUcA*LVbQ_ot9)0Fx!&U=aW=2HvqeXsa|6Lr>KV3hKs>7(wr6sIXQ*RJHU-+ep} z{TMeo?<6h#ClOn%DED3}@}rT|a&J=VzC+15g8SyAnh{)b!`pq$uWmb(-AHl|zm^~H zQxg}9(^SKgB*8r+aP7+>w@6d&U3%S*MpEQnQ@-#=+_w^pA8CTwf;7R8rc2X(Iip@e z$#Ze{it;0!Qnwombm)F`r_|?a3)7TQR|#cTGwwZl&5z8pu?3p)!=tZ#Ietl5f**CC z`o&iTW}bdDJ=LW|ahg*1T}$>;?rlo_YK@ZH!_ISbqdweUa*poarqpI#a_n%9G5~In~9eOV5&R%02p8e%MsQdD}%oKY9u3 zcg+{4DfMd*N^a%3M_=qmHKSf;ahg&enti83zd1+Tf~W6ElyY97iTWsL$rYM=O{w1{ zUb63XUw6cwbSItH9io1zSjn9v*NQTpB-84*lNJa*KQyIgt`(Rh_|foI&!h{~lqY3} zdrhhTYnJ!2ZHFoO{tr{B?t5^(3B@t zzjH;kJW-T;H&yq;O{w2DUSR0+qmiV3?^tm*r9NR*vQ4>fPO8rhl{{>8Z&S76Jo@ub UCiz#hA5FVg7kj_`A6ttzOI70<$^ZZW diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index 042a03c..f10fb9f 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Sun Apr 18 03:45:25 2021 +Sun Apr 18 05:55:52 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Apr 18 03:45:25 2021 ; +; Assembler Status ; Successful - Sun Apr 18 05:55:52 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -90,8 +90,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+----------------------------------------------------------------------------+ ; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x0015FC20 ; -; Checksum ; 0x00160180 ; +; JTAG usercode ; 0x00164957 ; +; Checksum ; 0x00164C57 ; +----------------+----------------------------------------------------------------------------+ @@ -101,14 +101,14 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 03:45:24 2021 + Info: Processing started: Sun Apr 18 05:55:52 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings Info: Peak virtual memory: 381 megabytes - Info: Processing ended: Sun Apr 18 03:45:25 2021 - Info: Elapsed time: 00:00:01 + Info: Processing ended: Sun Apr 18 05:55:52 2021 + Info: Elapsed time: 00:00:00 Info: Total CPU time (on all processors): 00:00:00 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf index fb7cb58..1622d9c 100644 --- a/cpld/output_files/GR8RAM.cdf +++ b/cpld/output_files/GR8RAM.cdf @@ -3,8 +3,8 @@ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); - P ActionCode(Vfy) - Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(2) SEC_Device(EPM240T100) Child_OpMask(2 2 2)); + P ActionCode(Cfg) + Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(3) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); ChainEnd; diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 3bdf1c8..3e3e291 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Sun Apr 18 03:45:27 2021 +Sun Apr 18 05:55:55 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index d075299..cf490b5 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Sun Apr 18 03:45:24 2021 +Sun Apr 18 05:55:51 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -30,10 +30,8 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 22. LAB Signals Sourced Out 23. LAB Distinct Inputs 24. Fitter Device Options - 25. Estimated Delay Added for Hold Timing Summary - 26. Estimated Delay Added for Hold Timing Details - 27. Fitter Messages - 28. Fitter Suppressed Messages + 25. Fitter Messages + 26. Fitter Suppressed Messages @@ -59,14 +57,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Sun Apr 18 03:45:24 2021 ; +; Fitter Status ; Successful - Sun Apr 18 05:55:51 2021 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 229 / 240 ( 95 % ) ; +; Total logic elements ; 220 / 240 ( 92 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -144,24 +142,24 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 229 / 240 ( 95 % ) ; -; -- Combinational with no register ; 126 ; +; Total logic elements ; 220 / 240 ( 92 % ) ; +; -- Combinational with no register ; 117 ; ; -- Register only ; 1 ; ; -- Combinational with a register ; 102 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 135 ; -; -- 3 input functions ; 30 ; -; -- 2 input functions ; 63 ; +; -- 4 input functions ; 114 ; +; -- 3 input functions ; 46 ; +; -- 2 input functions ; 59 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 196 ; +; -- normal mode ; 187 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 4 ; +; -- qfbk mode ; 3 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 47 ; +; -- synchronous clear/load mode ; 49 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; ; Total registers ; 103 / 240 ( 43 % ) ; @@ -175,12 +173,12 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 51% / 57% / 44% ; -; Peak interconnect usage (total/H/V) ; 51% / 57% / 44% ; +; Average interconnect usage (total/H/V) ; 44% / 49% / 37% ; +; Peak interconnect usage (total/H/V) ; 44% / 49% / 37% ; ; Maximum fan-out ; 100 ; -; Highest non-global fan-out ; 50 ; -; Total fan-out ; 1064 ; -; Average fan-out ; 3.44 ; +; Highest non-global fan-out ; 55 ; +; Total fan-out ; 1024 ; +; Average fan-out ; 3.41 ; +---------------------------------------------+--------------------+ @@ -194,14 +192,14 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 10 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -210,13 +208,13 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+ @@ -249,17 +247,17 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -270,8 +268,8 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; MOSIOE ; - ; ; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; comb~1 ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; @@ -283,7 +281,7 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -428,7 +426,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 229 (229) ; 103 ; 0 ; 80 ; 0 ; 126 (126) ; 1 (1) ; 102 (102) ; 37 (37) ; 12 (12) ; |GR8RAM ; work ; +; |GR8RAM ; 220 (220) ; 103 ; 0 ; 80 ; 0 ; 117 (117) ; 1 (1) ; 102 (102) ; 37 (37) ; 5 (5) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -438,6 +436,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------+----------+---------------+ ; Name ; Pin Type ; Pad to Core 0 ; +----------+----------+---------------+ +; SetFW[0] ; Input ; (0) ; +; SetFW[1] ; Input ; (0) ; ; INTin ; Input ; (1) ; ; DMAin ; Input ; (1) ; ; nIOSTRB ; Input ; (1) ; @@ -457,8 +457,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[8] ; Input ; (1) ; ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; -; SetFW[0] ; Input ; (1) ; -; SetFW[1] ; Input ; (1) ; ; RA[11] ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; @@ -521,27 +519,26 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------+----------+---------------+ -+-----------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 100 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X6_Y3_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal0~0 ; LC_X3_Y4_N6 ; 18 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X2_Y1_N9 ; 2 ; Output enable ; no ; -- ; -- ; -; MOSIOE ; LC_X3_Y1_N7 ; 1 ; Output enable ; no ; -- ; -- ; -; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X4_Y1_N2 ; 50 ; Clock enable ; no ; -- ; -- ; -; PS[2] ; LC_X4_Y1_N0 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X6_Y1_N7 ; 8 ; Output enable ; no ; -- ; -- ; -; WRD[0]~0 ; LC_X3_Y1_N6 ; 6 ; Clock enable ; no ; -- ; -- ; -; always6~4 ; LC_X3_Y4_N7 ; 8 ; Sync. load ; no ; -- ; -- ; -; always6~5 ; LC_X5_Y1_N8 ; 9 ; Sync. load ; no ; -- ; -- ; -; always6~6 ; LC_X5_Y2_N9 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X4_Y1_N4 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X2_Y3_N0 ; 30 ; Async. clear ; yes ; Global Clock ; GCLK2 ; -+------------+-------------+---------+-------------------------+--------+----------------------+------------------+ ++-------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +; C25M ; PIN_64 ; 100 ; Clock ; yes ; Global Clock ; GCLK3 ; +; Decoder1~0 ; LC_X5_Y4_N7 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal0~0 ; LC_X7_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y3_N6 ; 2 ; Output enable ; no ; -- ; -- ; +; MOSIOE ; LC_X7_Y2_N6 ; 1 ; Output enable ; no ; -- ; -- ; +; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; +; PS[0] ; LC_X3_Y3_N9 ; 54 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X3_Y3_N5 ; 26 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X6_Y2_N2 ; 8 ; Output enable ; no ; -- ; -- ; +; always6~4 ; LC_X3_Y1_N9 ; 8 ; Sync. load ; no ; -- ; -- ; +; always6~5 ; LC_X3_Y1_N3 ; 9 ; Sync. load ; no ; -- ; -- ; +; always6~6 ; LC_X3_Y1_N7 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X2_Y3_N7 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X2_Y3_N3 ; 30 ; Async. clear ; yes ; Global Clock ; GCLK2 ; ++------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ +-------------------------------------------------------------------------+ @@ -551,7 +548,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+-------------+---------+----------------------+------------------+ ; C25M ; PIN_64 ; 100 ; Global Clock ; GCLK3 ; ; PHI0 ; PIN_41 ; 5 ; Global Clock ; GCLK1 ; -; nRESr ; LC_X2_Y3_N0 ; 30 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X2_Y3_N3 ; 30 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -560,44 +557,40 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------+-----------+ ; Name ; Fan-Out ; +---------------------+-----------+ -; PS[0] ; 50 ; -; PS[1] ; 34 ; -; PS[2] ; 29 ; -; PS[3] ; 28 ; -; IS.110~0 ; 21 ; -; Equal0~0 ; 18 ; -; RAMSpecSELr ; 18 ; -; IS.state_bit_0 ; 16 ; -; IS.state_bit_1 ; 13 ; -; IS.state_bit_2 ; 12 ; -; LS[0] ; 11 ; -; RA[1] ; 10 ; -; RA[0] ; 10 ; +; PS[0] ; 55 ; +; PS[1] ; 32 ; +; PS[2] ; 26 ; +; PS[3] ; 26 ; +; IS.state_bit_0 ; 20 ; +; Equal0~0 ; 19 ; +; IS.110~0 ; 17 ; +; IS.state_bit_1 ; 17 ; +; LS[0] ; 13 ; +; RDD[1]~0 ; 12 ; +; AddrMSpecSEL ; 12 ; +; RAMSpecSELr ; 10 ; ; always6~6 ; 9 ; ; always6~5 ; 9 ; +; Mux15~0 ; 9 ; ; comb~1 ; 9 ; -; RDD[2]~21 ; 8 ; +; RA[0] ; 8 ; ; Decoder1~0 ; 8 ; -; RDD[2]~2 ; 8 ; ; SDOE ; 8 ; ; always6~4 ; 8 ; -; LS[1] ; 8 ; -; LS[2] ; 7 ; -; Equal15~0 ; 7 ; +; IS.state_bit_2 ; 8 ; +; LS[2] ; 8 ; +; RA[1] ; 7 ; +; SA[8]~15 ; 7 ; +; SA[8]~10 ; 7 ; +; Equal16~0 ; 7 ; ; RD[7]~7 ; 6 ; -; SetFW[1] ; 6 ; -; SetFW[0] ; 6 ; ; SA[8]~16 ; 6 ; -; WRD[0]~0 ; 6 ; -; SA[8]~12 ; 6 ; -; SA[8]~11 ; 6 ; -; Addr[23] ; 6 ; +; LS[1] ; 6 ; +; Mux14~6 ; 6 ; ; RD[0]~0 ; 5 ; -; always6~3 ; 5 ; -; LS[13] ; 5 ; ; Addr[0] ; 5 ; +; Equal17~0 ; 5 ; ; LS[6]~17 ; 5 ; -; IS.110~1 ; 5 ; ; LS[1]~3 ; 5 ; ; RD[6]~6 ; 4 ; ; RD[5]~5 ; 4 ; @@ -606,12 +599,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 4 ; ; RD[1]~1 ; 4 ; ; nDEVSEL ; 4 ; -; RDD[7]~12 ; 4 ; -; RDD[7]~11 ; 4 ; -; nRCS~4 ; 4 ; -; Addr[22] ; 4 ; -; Addr[21] ; 4 ; -; Addr[20] ; 4 ; +; Equal8~0 ; 4 ; +; ROMSpecRD~0 ; 4 ; +; LS[13] ; 4 ; +; Equal1~2 ; 4 ; +; nRCS~0 ; 4 ; ; Addr[9] ; 4 ; ; Addr[8] ; 4 ; ; Addr[7] ; 4 ; @@ -624,35 +616,38 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[4] ; 4 ; ; Addr[13] ; 4 ; ; Addr[3] ; 4 ; -; LS[12] ; 4 ; ; Addr[12] ; 4 ; ; Addr[2] ; 4 ; ; Addr[11] ; 4 ; -; SA[2]~10 ; 4 ; ; Addr[1] ; 4 ; ; Addr[10] ; 4 ; -; Mux14~6 ; 4 ; -; Equal18~0 ; 4 ; +; Addr[23] ; 4 ; ; RA[10] ; 3 ; ; RA[9] ; 3 ; ; RA[8] ; 3 ; ; RA[7] ; 3 ; ; RA[3] ; 3 ; ; RA[2] ; 3 ; +; nWE ; 3 ; ; nIOSEL ; 3 ; ; always6~8 ; 3 ; -; nRCS~8 ; 3 ; +; SA[2]~14 ; 3 ; +; WRD[5] ; 3 ; +; WRD[4] ; 3 ; +; WRD[3] ; 3 ; +; WRD[2] ; 3 ; +; WRD[1] ; 3 ; +; WRD[0] ; 3 ; +; always6~2 ; 3 ; ; REGEN ; 3 ; -; ROMSpecSEL~0 ; 3 ; -; Equal8~0 ; 3 ; -; Equal3~2 ; 3 ; -; Equal3~1 ; 3 ; +; Equal2~0 ; 3 ; +; Equal3~0 ; 3 ; ; Equal1~3 ; 3 ; -; Equal15~1 ; 3 ; ; nWEr ; 3 ; +; Addr[22] ; 3 ; +; Addr[21] ; 3 ; ; Addr[20]~41 ; 3 ; -; SA~14 ; 3 ; -; Equal20~0 ; 3 ; +; Addr[20] ; 3 ; ; Addr[19] ; 3 ; ; LS[9] ; 3 ; ; Addr[18] ; 3 ; @@ -663,9 +658,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[6] ; 3 ; ; Addr[4]~17 ; 3 ; ; LS[3] ; 3 ; +; LS[12] ; 3 ; ; Addr[12]~11 ; 3 ; ; LS[11] ; 3 ; -; SA[2]~8 ; 3 ; +; SA[2]~9 ; 3 ; ; SA[2]~7 ; 3 ; ; LS[10] ; 3 ; ; SA[2]~6 ; 3 ; @@ -673,36 +669,30 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[6] ; 2 ; ; RA[5] ; 2 ; ; RA[4] ; 2 ; -; nWE ; 2 ; ; nIOSTRB ; 2 ; -; Mux2~9 ; 2 ; -; Mux2~6 ; 2 ; -; Mux2~4 ; 2 ; -; Mux2~3 ; 2 ; +; WRD[7] ; 2 ; +; WRD[6] ; 2 ; ; AddrIncL ; 2 ; ; AddrIncM ; 2 ; -; always6~2 ; 2 ; -; Equal4~1 ; 2 ; -; Equal2~0 ; 2 ; -; IS.state_bit_2~0 ; 2 ; -; Equal4~0 ; 2 ; -; Equal1~1 ; 2 ; -; Equal1~0 ; 2 ; +; always6~3 ; 2 ; +; IS.state_bit_1~3 ; 2 ; +; IS.state_bit_1~0 ; 2 ; +; Equal3~1 ; 2 ; ; FCKOE ; 2 ; -; PS~0 ; 2 ; +; PHI0r1 ; 2 ; +; Selector1~1 ; 2 ; ; DQMH~0 ; 2 ; -; Mux12~2 ; 2 ; -; nRCS~6 ; 2 ; -; always7~1 ; 2 ; -; nRCS~3 ; 2 ; +; IS.001~0 ; 2 ; ; nRCS~2 ; 2 ; -; ROMSpecSELr ; 2 ; +; nRCS~1 ; 2 ; +; always7~1 ; 2 ; ; Bank ; 2 ; ; LS[11]~5 ; 2 ; -; SA[2]~9 ; 2 ; -; Mux14~3 ; 2 ; +; SA[2]~8 ; 2 ; +; Mux14~4 ; 2 ; ; always5~2 ; 2 ; ; always7~0 ; 2 ; +; PHI0r2 ; 2 ; ; IOROMEN ; 2 ; ; nRESout~reg0 ; 2 ; ; MOSI~0 ; 1 ; @@ -722,19 +712,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[14] ; 1 ; ; DMAin ; 1 ; ; INTin ; 1 ; -; Mux11~7 ; 1 ; ; Mux14~7 ; 1 ; -; Mux2~7 ; 1 ; -; Mux2~5 ; 1 ; -; Mux2~2 ; 1 ; -; RDD~19 ; 1 ; -; RDD~17 ; 1 ; +; Mux2~1 ; 1 ; +; Mux2~0 ; 1 ; +; Decoder0~1 ; 1 ; ; RDD~15 ; 1 ; ; RDD~13 ; 1 ; +; RDD~11 ; 1 ; ; RDD~9 ; 1 ; ; RDD~7 ; 1 ; ; RDD~5 ; 1 ; ; RDD~3 ; 1 ; +; RDD~1 ; 1 ; ; AddrIncM~2 ; 1 ; ; AddrIncM~1 ; 1 ; ; AddrIncM~0 ; 1 ; @@ -742,8 +731,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; AddrIncH~1 ; 1 ; ; AddrIncH~0 ; 1 ; ; MOSIout ; 1 ; -; WRD[7] ; 1 ; -; WRD[6] ; 1 ; ; RDD[7] ; 1 ; ; RDD[6] ; 1 ; ; RDD[5] ; 1 ; @@ -754,40 +741,41 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RDD[0] ; 1 ; ; MOSIOE ; 1 ; ; IS.101~0 ; 1 ; +; IS.state_bit_2~1 ; 1 ; +; IS.state_bit_2~0 ; 1 ; +; Equal1~4 ; 1 ; ; AddrIncH ; 1 ; ; always7~2 ; 1 ; -; RAMSpecSEL~1 ; 1 ; -; RAMSpecSEL~0 ; 1 ; -; IS.state_bit_2~2 ; 1 ; -; IS.state_bit_2~1 ; 1 ; +; IS.state_bit_1~2 ; 1 ; ; IS.state_bit_1~1 ; 1 ; -; IS.state_bit_1~0 ; 1 ; -; IS.state_bit_0~1 ; 1 ; -; IS.state_bit_0~0 ; 1 ; -; Equal3~0 ; 1 ; -; Equal1~2 ; 1 ; +; IS.state_bit_0~5 ; 1 ; +; IS.state_bit_0~4 ; 1 ; +; Equal4~0 ; 1 ; +; Equal1~1 ; 1 ; +; Equal1~0 ; 1 ; +; IS.111~0 ; 1 ; ; FCKout ; 1 ; ; FCS ; 1 ; -; Mux11~5 ; 1 ; ; Mux11~4 ; 1 ; -; PHI0r1 ; 1 ; ; Mux11~3 ; 1 ; ; Mux11~2 ; 1 ; +; Mux11~1 ; 1 ; +; Mux11~0 ; 1 ; +; Selector2~0 ; 1 ; ; Selector1~0 ; 1 ; -; Selector0~0 ; 1 ; ; Addr[0]~47COUT1_92 ; 1 ; ; Addr[0]~47 ; 1 ; -; Mux12~3 ; 1 ; -; nRCS~5 ; 1 ; +; Selector0~0 ; 1 ; +; Mux12~2 ; 1 ; ; Mux12~1 ; 1 ; +; ROMSpecRDr ; 1 ; ; Mux12~0 ; 1 ; +; Decoder0~0 ; 1 ; ; Addr[22]~45COUT1_78 ; 1 ; ; Addr[22]~45 ; 1 ; ; Addr[21]~43COUT1_76 ; 1 ; ; Addr[21]~43 ; 1 ; ; Mux15~1 ; 1 ; -; Mux15~0 ; 1 ; -; SA[2]~13 ; 1 ; ; Addr[19]~39COUT1_74 ; 1 ; ; Addr[19]~39 ; 1 ; ; Mux16~2 ; 1 ; @@ -862,22 +850,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux24~3 ; 1 ; ; Mux24~2 ; 1 ; ; Mux24~1 ; 1 ; -; WRD[5] ; 1 ; ; Mux24~0 ; 1 ; ; LS[10]~1COUT1_52 ; 1 ; ; LS[10]~1 ; 1 ; -; WRD[4] ; 1 ; ; Addr[10]~3COUT1_84 ; 1 ; ; Addr[10]~3 ; 1 ; ; Mux13~0 ; 1 ; -; WRD[3] ; 1 ; -; WRD[2] ; 1 ; -; Mux14~5 ; 1 ; -; Mux14~4 ; 1 ; -; WRD[1] ; 1 ; -; WRD[0] ; 1 ; ; nRESr0 ; 1 ; -; Mux14~2 ; 1 ; +; Mux14~5 ; 1 ; ; always5~1 ; 1 ; ; always5~0 ; 1 ; ; RCKE~reg0 ; 1 ; @@ -902,7 +882,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; SA[0]~reg0 ; 1 ; ; SBA[1]~reg0 ; 1 ; ; SBA[0]~reg0 ; 1 ; -; PHI0r2 ; 1 ; ; comb~0 ; 1 ; +---------------------+-----------+ @@ -912,94 +891,94 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 281 / 784 ( 36 % ) ; -; Direct links ; 63 / 888 ( 7 % ) ; +; C4s ; 234 / 784 ( 30 % ) ; +; Direct links ; 57 / 888 ( 6 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 11 / 32 ( 34 % ) ; -; LUT chains ; 34 / 216 ( 16 % ) ; -; Local interconnects ; 483 / 888 ( 54 % ) ; -; R4s ; 341 / 704 ( 48 % ) ; +; LAB clocks ; 13 / 32 ( 41 % ) ; +; LUT chains ; 33 / 216 ( 15 % ) ; +; Local interconnects ; 433 / 888 ( 49 % ) ; +; R4s ; 294 / 704 ( 42 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.54) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.17) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; -; 2 ; 0 ; +; 2 ; 1 ; ; 3 ; 0 ; ; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 2 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 20 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 4 ; +; 10 ; 17 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.75) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 2.00) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Async. clear ; 6 ; +; 1 Async. clear ; 7 ; ; 1 Clock ; 22 ; -; 1 Clock enable ; 3 ; -; 1 Sync. clear ; 6 ; -; 1 Sync. load ; 2 ; -; 2 Clock enables ; 1 ; +; 1 Clock enable ; 4 ; +; 1 Sync. clear ; 7 ; +; 1 Sync. load ; 6 ; ; 2 Clocks ; 2 ; +------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.08) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 2 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 1 ; -; 10 ; 14 ; -; 11 ; 3 ; -; 12 ; 4 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++---------------------------------------------+------------------------------+ +; Number of Signals Sourced (Average = 9.42) ; Number of LABs (Total = 24) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 1 ; +; 3 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 0 ; +; 9 ; 4 ; +; 10 ; 14 ; +; 11 ; 2 ; +; 12 ; 0 ; +; 13 ; 0 ; +; 14 ; 1 ; ++---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.83) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.54) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 3 ; -; 4 ; 2 ; -; 5 ; 2 ; -; 6 ; 4 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 7 ; -; 10 ; 3 ; -; 11 ; 1 ; +; 1 ; 1 ; +; 2 ; 0 ; +; 3 ; 2 ; +; 4 ; 3 ; +; 5 ; 4 ; +; 6 ; 1 ; +; 7 ; 4 ; +; 8 ; 1 ; +; 9 ; 4 ; +; 10 ; 4 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 17.04) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 15.13) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -1008,25 +987,21 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 1 ; +; 7 ; 2 ; ; 8 ; 0 ; ; 9 ; 1 ; ; 10 ; 1 ; ; 11 ; 1 ; -; 12 ; 1 ; -; 13 ; 1 ; +; 12 ; 2 ; +; 13 ; 3 ; ; 14 ; 2 ; -; 15 ; 3 ; -; 16 ; 1 ; +; 15 ; 0 ; +; 16 ; 3 ; ; 17 ; 1 ; ; 18 ; 0 ; -; 19 ; 1 ; -; 20 ; 3 ; -; 21 ; 1 ; -; 22 ; 2 ; -; 23 ; 1 ; -; 24 ; 1 ; -; 25 ; 2 ; +; 19 ; 2 ; +; 20 ; 1 ; +; 21 ; 5 ; +----------------------------------------------+------------------------------+ @@ -1045,25 +1020,6 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+--------------------------+ -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+-----------------+----------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. -This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. - - -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+-----------------+----------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; PHI0 ; PHI0r1 ; 0.507 ; -+-----------------+----------------------+-------------------+ -Note: This table only shows the top 1 path(s) that have the largest delay added for hold. - - +-----------------+ ; Fitter Messages ; +-----------------+ @@ -1107,18 +1063,18 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 34% of the available device resources - Info (170196): Router estimated peak interconnect usage is 34% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 32% of the available device resources + Info (170196): Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.22 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 547 megabytes - Info: Processing ended: Sun Apr 18 03:45:24 2021 - Info: Elapsed time: 00:00:03 - Info: Total CPU time (on all processors): 00:00:03 + Info: Peak virtual memory: 545 megabytes + Info: Processing ended: Sun Apr 18 05:55:51 2021 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 +----------------------------+ diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 9f627aa..346b115 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sun Apr 18 03:45:24 2021 +Fitter Status : Successful - Sun Apr 18 05:55:51 2021 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 229 / 240 ( 95 % ) +Total logic elements : 220 / 240 ( 92 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index 6492a6e..dab8a8c 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Sun Apr 18 03:45:27 2021 +Sun Apr 18 05:55:54 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Sun Apr 18 03:45:25 2021 ; +; Flow Status ; Successful - Sun Apr 18 05:55:52 2021 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 229 / 240 ( 95 % ) ; +; Total logic elements ; 220 / 240 ( 92 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -59,7 +59,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/18/2021 03:45:19 ; +; Start date & time ; 04/18/2021 05:55:48 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -75,7 +75,7 @@ applicable agreement for further details. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 136298148942.161873191903592 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 136298148942.161873974705152 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; @@ -103,10 +103,10 @@ applicable agreement for further details. ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; -; Fitter ; 00:00:03 ; 1.0 ; 547 MB ; 00:00:03 ; -; Assembler ; 00:00:01 ; 1.0 ; 381 MB ; 00:00:00 ; +; Fitter ; 00:00:02 ; 1.0 ; 545 MB ; 00:00:02 ; +; Assembler ; 00:00:00 ; 1.0 ; 381 MB ; 00:00:00 ; ; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 368 MB ; 00:00:01 ; -; Total ; 00:00:06 ; -- ; -- ; 00:00:05 ; +; Total ; 00:00:04 ; -- ; -- ; 00:00:04 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index 3f1d964..2459804 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 8db2d70..2ab9922 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Sun Apr 18 03:45:20 2021 +Sun Apr 18 05:55:48 2021 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Apr 18 03:45:20 2021 ; +; Analysis & Synthesis Status ; Successful - Sun Apr 18 05:55:48 2021 ; ; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 242 ; +; Total logic elements ; 226 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -155,24 +155,24 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 242 ; -; -- Combinational with no register ; 139 ; -; -- Register only ; 14 ; -; -- Combinational with a register ; 89 ; +; Total logic elements ; 226 ; +; -- Combinational with no register ; 123 ; +; -- Register only ; 7 ; +; -- Combinational with a register ; 96 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 135 ; -; -- 3 input functions ; 30 ; -; -- 2 input functions ; 63 ; +; -- 4 input functions ; 114 ; +; -- 3 input functions ; 46 ; +; -- 2 input functions ; 59 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 209 ; +; -- normal mode ; 193 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 35 ; +; -- synchronous clear/load mode ; 44 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; ; Total registers ; 103 ; @@ -180,8 +180,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; ; Maximum fan-out ; 100 ; -; Total fan-out ; 1055 ; -; Average fan-out ; 3.28 ; +; Total fan-out ; 1013 ; +; Average fan-out ; 3.31 ; +---------------------------------------------+-------+ @@ -190,7 +190,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 242 (242) ; 103 ; 0 ; 80 ; 0 ; 139 (139) ; 14 (14) ; 89 (89) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 226 (226) ; 103 ; 0 ; 80 ; 0 ; 123 (123) ; 7 (7) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -203,8 +203,6 @@ Encoding Type: Minimal Bits +--------+----------------+----------------+----------------+ ; IS.000 ; 0 ; 0 ; 0 ; ; IS.001 ; 0 ; 0 ; 1 ; -; IS.010 ; 1 ; 1 ; 0 ; -; IS.011 ; 1 ; 1 ; 1 ; ; IS.100 ; 1 ; 0 ; 0 ; ; IS.101 ; 1 ; 0 ; 1 ; ; IS.110 ; 0 ; 1 ; 0 ; @@ -217,7 +215,7 @@ Encoding Type: Minimal Bits +---------------------------------------+--------------------+ ; Register name ; Reason for Removal ; +---------------------------------------+--------------------+ -; IS~12 ; Lost fanout ; +; IS~10 ; Lost fanout ; ; Total Number of Removed Registers = 1 ; ; +---------------------------------------+--------------------+ @@ -228,11 +226,11 @@ Encoding Type: Minimal Bits ; Statistic ; Value ; +----------------------------------------------+-------+ ; Total registers ; 103 ; -; Number of registers using Synchronous Clear ; 10 ; -; Number of registers using Synchronous Load ; 25 ; +; Number of registers using Synchronous Clear ; 11 ; +; Number of registers using Synchronous Load ; 33 ; ; Number of registers using Asynchronous Clear ; 30 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 29 ; +; Number of registers using Clock Enable ; 22 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -258,16 +256,14 @@ Encoding Type: Minimal Bits +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; -; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[1] ; +; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; ; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[8]~reg0 ; ; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[2]~reg0 ; -; 3:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |GR8RAM|WRD[0] ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[2] ; -; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[7] ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; +; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; ; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; -; 8:1 ; 7 bits ; 35 LEs ; 28 LEs ; 7 LEs ; No ; |GR8RAM|IS ; +; 8:1 ; 5 bits ; 25 LEs ; 20 LEs ; 5 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -277,17 +273,20 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 03:45:19 2021 + Info: Processing started: Sun Apr 18 05:55:47 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy +Warning (10036): Verilog HDL or VHDL warning at GR8RAM.v(269): object "SetRF" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at GR8RAM.v(270): object "SetLim1M" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at GR8RAM.v(271): object "SetLim8M" assigned a value but never read Warning (10230): Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14) Warning (10230): Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8) Warning (10230): Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8) Warning (10230): Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(324): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4) Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "RAdir" is stuck at VCC @@ -298,15 +297,18 @@ Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "nINHout" is stuck at VCC Warning (13410): Pin "RWout" is stuck at VCC Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 322 device resources after synthesis - the final resource count might be different +Warning (21074): Design contains 2 input pin(s) that do not drive logic + Warning (15610): No output dependent on input pin "SetFW[0]" + Warning (15610): No output dependent on input pin "SetFW[1]" +Info (21057): Implemented 306 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 242 logic cells + Info (21061): Implemented 226 logic cells Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 20 warnings Info: Peak virtual memory: 421 megabytes - Info: Processing ended: Sun Apr 18 03:45:20 2021 + Info: Processing ended: Sun Apr 18 05:55:48 2021 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 35952bc..26e8737 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ Warning (10273): Verilog HDL warning at GR8RAM.v(93): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(273): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(274): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 6acbb30..7f2b4cf 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sun Apr 18 03:45:20 2021 +Analysis & Synthesis Status : Successful - Sun Apr 18 05:55:48 2021 Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 242 +Total logic elements : 226 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index a0b09c86924f8435b6745b07593c8a2e8a956817..6d0ab1d17300f2b57a95ecec57565d37d2283d3b 100755 GIT binary patch literal 7879 zcmeHMYj9jeb{-RiS=O>QWej-1w%DXdQixzhm3BP1~Dp-?V+l*3OQmm8+MnShlk1hFx2Kba&IL)vKCTtXaNt z)$&yMRPY2&6ln{K>&>rQYcE=V*&$c?w%vg+Cu zf4*|XimzUm_>IKpFtnj#=hmG++H`lK0dwrnZzkq^G5TUZUb9LPGaLN&Ms1R#eum9Y@QD4>D>Hep!<41gVZ4UaFS~eFuN2d5GGxa-@#6)KGq!UDR@P{ldo^L8VhBhCM zI}tv<+qPm`<8V3Zn@F!2y5bQ0?E#1XdAyEqm;^_-#2eXTW$|c6{|L|WO<;TyE#_Ms z$<7Quvw~Sdn=+9Pu2);-!L#!>mF5l{}(2wLsGa`Or$C*7@P}I)(m>#eP zM&ERYMvq5yD>qQ=l!>H&_%!&t1vzZYjNM^PKt*;@WWYW^ebCQwVtyfIFhH_m%Bo9_ zv#YzgKm#>Xabr4oh?AjajM6e=GHgh3ujUC) za&|B1Mobh)C@O2_5dl8{U%DKEVY_0yKn4x?74Xd_IGr|-&#@ZvkucN zIe3Ykeolo2iwpbZZOg(T6RlU|)p%gqGzSI4Y0!WNdPa~+3eS*3PFfj_b&}+ky8ecj zIi$|lD#7b~;3_s{v$45XaIGg5+s|v!`JhkjNl#F+Fqz9<2MZIGl^GP)4S+vZ@+X@s z!%(n`znAichV%#;1_Qk`TAJLhcB)6o_%_-@LONH!QKCGeSMxT zOm;hFzX=2FC{u#J`aYG7U#D-RfQ{}KKIi3xOmjt23Fn0qufMFYyEc9#{4e7;o^DqK z>SiOR_ouzj+R?)<+w~$2N39>Xz)PZjr3U;7;|lmqenPo~eJLV-WW4{_XM)R#XZ?^1 z;eFP>oD9Ty;I_EN*`|p*jg3#AN@(8*DZ_{sC!&{?cpLGUFO$jAD1|O3IS>xJVLo1; zQ$SpV-L5S0?Yy5VW>V!nuEBj`d=5h5?g5jw{!8|p%Th*S9kvJg?ZQw<8sVnMwd*wO zmj*(k1mXyEVR49ENF%{vg{A04OnDwbZ_s5|73b2MrjI4e#(=qJ4UpV8@`8>{?sTh3-V;K&$nZTm)y}u@44whp^IU@p+SQ=j# zv?AhfJrsr{a@rjrMV$7^6sD+K8ROUY2X@8!s9XRpjN-Cm@=9yZo3;;m#N|rGk2p4 zSFHWQq4R1um|AykQQ|MMQT%oP%Q>32?kO{Gs`H|MoU`J=^J>x9U#c( zZUgV|U71UBI;1SdL5t`j3p~MQK#Dw{vw2)(prSG92;a@J4w4Se^C(Y&K29A1{=Y-! z1B=f+l9WuF?t&N@FXW)tNm35=#X;PFiu{7{ zllZK!PoN&Ka%6!Ir>6PQw&Pr}5`V)~M!4zp}$&AhF3|i3^Shv40^|bL=@B@f$*Y!D(^G zg9?#lF5h?me$17xPtDHJCj=oqJ6K_xz%s|5_}4-=3D; zuO&MkUkv`v?;k_{>v_4J|33QK(PPf$z|m>0@qsCp+fbNL zDQ7$cMMS3q@Gn0Z??*fAV%mKe|I_*``;qk<6NbnyHvUkD;=dc}*nUszCOgBtOX?#^?bJ%vF_&*h;hTEquA+Jk*+fyzTK8>ftQPE=rl!Zsj} zyy-;ol^?+Ta=iY2K2sqb%JPH^sSD%yM+Hf+Z+t#mdvSNSF^Es-g!q7bwDtJyuV@v} z+nvxGOqNeD<&f`Q3S)kU$NeN{%bgO2W!`1&f>Rc9qWseeEDReLb}I;yMus(dPLP|0 z)>e-2@}#nycB1{Ue1I{CV|$8%?wmdqr1)&l~|2li| zg}*wzw0dM#@WzR$;T`YB>&sm{yLfrxfrf^cKYy8}pZdzXbLY?b=hh3}jre9m+rR$c z!LRlY#^+C(S&r-owJZ8cd7Q!6UAqmqheUQqKjFCVH#?k?u_=h&oVyguO|ezZjXf!qPa&!o+ZpVp5;AU;!$3#`0=A8U#8 z#o{_~UcxxRtIEz;Uw|siP=$RlP&EpE0h%kK{H1%qkw={gee%}xaQ=SEZ{zC_t3Yov zE;38Lvy%~BxYuD^0N=LwJTU5GT;v0B0DV;M7*E@L1aq_wTK!#=*P1gDayb*>AF8pi z`{)_MP1v@m4>MY)*+l+0DjON^FuqY6LOUiQer-gNAmdzdtPdl0o}9vI6aQO zW97dhP=DM)Z69%SYcKA9ZZehw9PB@j-s_Y0^{8>pxS;d6{}C68k=(e>aZ{eW>~K-U)z$%&#N_dAV>AwdwjI5jV^t#+E~rt{aVvl(YB0!TSAgn}q!|{6qJZa8AK)((~>8@6-He?Z>BBI{r4LJ;uePbT65X*zQ%l z4UiJT6l%&YsKRSQ9}YEGw@V z68y=A+VnnBxrRVzBMf^(c#kkuU9XQE2RJAgoiiMyHwG(Xf7Tve5iGwE@Nblc)jq_( zF2S!fPG-B_IKHbaqIsvQV=8dchx%E*N>T@dDH)CTBj*v1JHX*!bOYAK$lnMU2X!63 zBaWC-j;MZwRT>Lj7jrhh=1^s>Q*t$KSzugZOx!`LO$fT`%RM zbBxqmpr5Xx9)^#eYS&>NB#Vpp95zW;6!85X7Rc`zoIBi(eYAD`3wGS%So}&2ts2t? zvolIi)#QkT)ibU?p9O!O**T@Ppo8sw!!&x*@2moUt+e_BN0VfUdP5e#W#B8BXuO`^ zu}o6`_OTrYF6vO8f+t{RoWCLG90}82c~T{7FBN)+YgZ2TCM|w4T0iJ&NDh^UQUCOg zBkyr|%$Js5)4xNG;iN}fZu%$FeeP8%CAS^|Lk+cskw+tboG%p`-_>4`W2K>8gDY;C zT71cR@RgTb`j%b)Ax^$hyYbSO&GJw5-Y*Q^wBWuaSFD$?%aY5eX6My7AX#oZt&a3v z|6VT9K=XSp0o^Q_pSAJt>f|xH>5(Ib&+;YjbFJ2w}Hi_4xUcH~$0JoDwppUxfplm7ktBK^~$ z(5OdpMv8EVv9J6N`wsL1uhk!Uf@;deyGWtEP;NtCP2wqpO>Tm%{`Oro5SXuU|05Q= zOpeY;T{U-bgs*7OrFJ!>>@$q6>&Fu$Fh9#YMD~4Fb3qK?{#1`YcuXzH-K`EgK83iSnxG9n z=kZh>pC#00Q>t;H(_{=uuJv&+UB6+w;Y}6b+N26OXmP*8`^vO^tUn0cN+rfais?3g zscC$iH}+mP)!-m@ndeitvAl=lZ#$ub2B)YKUj4qXYg%YVcw(|VU}e)zSUTb+Qad` z;6oSSgZ|&flYOP9N7<>|dp+ilmWJ)$2V%Xa^`GhgZ{ySS&)R6;|A*cFukCV0V$Ov# fe-Uc3e-XmQe)jX31wOOD|5FQG9R02Mp0E4|q>ykF literal 7879 zcmeHMeQ;dWbzftQO@i$()B{OlOC`aXOvhkb1``}1CM{nfV25u)C53?GI3R7twzOdJ z_NMLdk!dKIX>Fo7vXV|ohEQuK^;n9%yuBs?H(j9{!wJF-oAV8Irp63`Fu}XHs6p+r52@X-n;F=j>atyJos?qy2d-c*mzIJ*BY;XXxmP3rp`$%#Ua;kxpn=9wRf&t zyY{cHOf5{EkEOf1cW&GHNXJ8|Mc8A1E=*nUiTI2C^_o@E5Rt}bUwmz$_{j{9YucfTLTk5e2b+ z%rnG{8~KTRNDgzx=(G^#r#(#TeAL@UkygrB{9Vbc{pOUl`==44t6Z4FI<$%X!~DrG zL!RD4GNc!|t6Nl7^Qx}sgr73lXBeO*EZlXFc)B30p18*c*NTZIjW#65t`NA_+^@mT z;^aKt%6U^4uz!Cx_Rrl$VqY$%yELMV9Aj4Au$k=eh>x3MmUKRmzrQA7w~RD1vS89& zn;BWqM(epKx5u8(DQhN)SBen@Ju2{scG>6pJ2 z0b}GG^pUV%=^hd-!65vIxV8K`wqL5&Oof>m6E55UJV<9-V*Q~vJOMm4&IQt1nHuy_ zNu0#^p8)LQ=sSbF~8T`4f`wU)(2|iZE{=Lq1>@-{{8n`!&7zn zkuf8r-NHB@Qi46BcI_~2kjvUEuGBTWr=_&;WZx6RV~b07T>a+$M^mX~5589^UwG$` z#m8mO{j#+58T)EjnOJw7vG$b@-~6(9>XJpTe#_fjTDPzFuj~Kx(@zGg|K-)O?upLsLVn<1ugUK_aNw3_>h?Q4 zpErtc^2nPiM3kvSbBH#I%AQx}A4Z<=9B^mGut87j&^KIZ6V&N6Wa7N@WUz5MtPsck zn%0!ytI2IMSkp28w4T5h;HDhpptaxA3h_lFF1`nXxu4$#1v$#bf=-d|%PDgWX4b<6KP$VV8`X>6_P| zLKzj@OfYB;oHkyqFEb=Zuumj(Rg;EVMxZu@--d%4D^{Kx;$;;o~R8U?*lpC@C@AoyCGK<+efKAZ8#LB-^KB@zs4M-&KLld zX3`YP#LA)Si}Yla+Xo(vj5fUHXjudfsB1b$K1m1iSOIk8FAr%38ru9&9JmW(q}DU} z%PoJLe=U4Y8%2JRrja+i0PD5p3I5a*0O!pvYzPq<6^gn|jAPyZTqu+tY6Z=xoU#50 zfVAc+v7cWm0`c-k2ZE^GY@_WH#G`<^js9IVraPgYMLn!^K>krt0}kcAA?1~JLfrFXuy_{npwUR&O^y?H3Shsq6p z&gM_KC3T&7V!>Az-Fc??^JpZ)BP{r!KQ zoR81|^zb7^VTMIc^d<_bp|a3(R=%c5_>Tck)Wgx-P({zEP%zcXM`C_>%13@2a8FDy z!<6y}`RE=8pW+EWIpEK`kX4}eZCKxA1S6NGWByiMO!{vg@o`Ymew~rlla5I8$D^D? z|9Za*!n(=PTD4H;kaf>|9#G9NDJs)`yOC2x$R9T(6w*45U;Pc2f=Eh)FEisd|FAxk zCY{!(i$~ zptQh!F+N{r^XQN1I=zZGkUFyR1LU3yR^OW2|5M-wK8ZYy#(O#Xb2$=8{1g@Z3V8eL*V@!`T06Cvzg)Wy{qL40ch2gobj5SFKonwrkZ# z;{0*0Nu^4QQkY_28O%0ceN*$J(?`sTCEs=0J`(eLEeqc=Q|DcM{g?imb>9BHU{UoC zr%mtXGb@**;{I2@_V16Fsf({$bipfZ)$RMrt?J}#_haXsS-C0&ypezIE2qb{-WHA? z`+@AuwB+?!zISX#(^~_FzT0l`D?6u4!|xvZeOKqQ>sMcTI6v_G&+~rMKYe-4Mfv!A z&Edj`!LK@s@l?j*Zsz^1sV^6>k?lGI{|E|OiPGIc4)O9*!cU@x`pgH2a_FCfh;uUe z8a3x7>Z0`z>j{W$az`S&AAO5h_MlxaB>WonI6V@_Ux5>ArbznoZsp}G!t#3A#z*vC+xWoY1TGA2@2l2X}p zRME^s+*m!_fk05PoI4@Hjb%sE2oE&)?c55wJ6R2JmGyWsngq3RW+OeuzwD;TWPT@* z?=qA^mI-++pwE2%lJpIFIqa5pT|+mY<64i;uICRyKb6yy$UiB)GWE%<#QA-R{H~hP zA-mt!4fG$Jt4P7_NEh;Pd}$`78KrUPjXtfFXA~=-fhBVz^q?C2U~z%@bAm(v5mV*l zIh54*T}*_4$jY|`NuyokLQX{W_}3PP1Gvw@&z|kGMrf-?f-eo$>;!^*=ROJBXTdM9 zqfT!@H5gZO$Zr%nsT?`+9_;t^V~8j0@l?-Ds1NR~j8M8jdqsu%cy<=^M1k&3{QD2b zOiTBaL%fi!kn3qqp~x#YO6K!+T0?ELqawJw`kv84feB7bVHE-rEQz1@HI(zBf%U%&kcI{BSts~6GA zmoJI)PqPIJOM@T(?(Y9Ovi!Ub|Mr!$fBVSMHCLW-UVbvx->HlqJIZ=P&-2DRce0H8 z&IvEbWd1{+@apkd3ibr0&S##!Y4_39*Ic^dM|;10!>2C&!oc3AvE@aqp1=msvlcx~*C$k`EyASjV zWiU^Tc#afQaFy(VAB-qKZc^VT5N5zR4ZjOR9C0urvnY+tke=Z0}Q&rAlr z5bH(;`*99q&mljH6B*25>4|v0Gilh9S9SZ$i{T_?bBODowhmU4#DH&b0TNEHr@pN#qT+;dp z1zjGNgY?JxW#gac_S<+>L!BOx2r_t}ArEm2`ELlwH;?O9L$^&Tn+vsqtH%kek9|_p(j;|D#QIfPff~<3E8bw>3U0s$MskCvuMR(fK=r+&n-UJY%nvEm#n<#^^L1Ox#YF` zX3t42JCmaFf>pyl=oM<(@NUOzpE!T*ua-Z#;3H>leRScG^FXgGSQXoGU+usJ{uJvk zUml2{>*{;;?8O(Jcea75-)tlPiJ~Ve??g!&&p?~!GpYQea zn{Iibe-kD05h*(|9QijDGT0RbI*1}$#}fSLKJ=5y>21b{EXy5VLsTpPHRs`kp5R?jdSh{9<$_VtQOhuaP3_XVY7($G>h{c$W z*uz|?s@!ikJSsS(j~gEXrfpt9K63<~@1xx+qT|~gyq^&0R!SdYHja_+BLw~Y8MvH= z#ZUMl5@I-NhobZNpl(DD(|un-U>fD4T}$g1PyS`h|A#owhtz(YR(Rabujt>%#Sj(zeRs4Q4UfL#{8AT-4wQyskRdH!>+(lz|Rx#fbBO#KOzVsIc@7& zpo|&?UIy~3@j41;jS6swXhjt>oLCj-`yvPb@`B|*#aU=J6P?UrLGyjBBvI^$!8~E@ zsG`ndzO3mYzF`I`ld3@uRUy=Xj(s_!gwS~O#0!l`7kTuq;?QqRoxYXKI3uU+6upr# zBhMU?(*g9m5@uWe{oHll9T`-+bBapOe)cBE6cI=E`FWHuvcSK!Jz-yPLHVp%dhM)@ zmn;9dcIP1UYl`JpYHNR0T5zwg*S~t>+bfS<=ghA9=2fX2Ev4qWt$h_b_g8N(y6%QW zYiDjN^;bXE{QBarUiInr!IgCWAXyApz2Y%g@$NQJhHCuj%l;`i@9AQ1RE0aci zyeSN3__CcNt$fy9s8L&s?hxe9akxevHyuTT5}DvbG#%!o($@dEKzjJ{pWt(&X~eCy zpK`%QTwf4!7h?kc8aMZo@#^tW;rnVey27&2&~K#il-%W;DcFU#IPv*I!i-1aE`|4D zFFF$*`2YP>ftoWmZms<`KU7U)o;wV_9^xk8cK*u8_-T#_OsW=7d1S(^Q_yEJi?dvr zuj|i>M&Nl5?|6JqnO16NwHHud7@L>R0ur!~WDPaL=8t_&vi8@{kL6%Kqby!qAr9?& z

    3}`hsun2S>o9G+Aca~qn~9V zpH4+TyBK!eCFge~VI*0yI(xoq2Hw;C5GlW!1_^w)7r>7#PCQ>_ADBSw#u_aZB0^p5 zjRsojFg0)A&#(IVahM%ncMpv+HwxU-oc`zH*J!5J7jb@QfE+{9TvhMp+ES2z(sAg( zF&1~?w%GTNjm*u{^((p=>u6&*eVmc;l6UO##fDYN4E@>$_p*ewr3}?>ugEmDY2^Md zeoVyBkZ1Ibs`0+%s#Q|3hIyuif13diD@N6}DC6s!S#+?#zN;~&eR*p%uEDMv?z2Sl zPNb?ta&d`p4`Og#{>#Imr)zN;cdMcwE2q`3UWv?NcY7Y_?W$tZ5Q?Q5p?B`;!#|3p zcQZz%OH@qvt|!nhPP+}im6yTK1oDDYa>OIbaxC%D%eF?vfiA#K-{K^MD~pSCBq9Lc zk7A1su)$#a3Of8BWypa~F7BZODA^B?Y$rhz!l|WxB}1Y|cVe6<`z2>e*>=VGu`^C2 z4b!*rucCY_K|wdGGBc<6lKiSy6yrM5y5!ZPh{mrXoc@`^x&j0wx80kuzmUA~J!|nC5ROu|Lmv-%nZh4XK z1h$_$`b@yl*0h0uX8RwmQ5*6*t)xsShi^@yHw*XEjZ3*lh63dia6@YQ8}x%!y+eVS z(;^^*!MYFyL5g7f&GxF}Ww2Q8dM@(|7i{=dhClCme`Qe zXNueJyL7wLFyr6*F3%ZQHMv`l4wpa*G;H)x_Ps4$@XqPK#4;!B)-$0zjo}h%E>{h6 z(`?Zv_Lx3?w|?KCIhD$3Cz26&$DL1Vty#B+QR7aJi=eGSlv(%o>hr`yEn8(DJj{u% zHQNWD?*Iw6~T@D=_o;7_2Hu8|5@Wo zix@K<^8CuvBL~i2F|B5PAMgw?dP6dQ5c%ww zdFxbj#>;&Ds)h2;8QIVLk<>e#_ZO{&qS5VxDd9scaq|re!V^Y;0nJ;1|B65fdIsO& zp}^K#{hnWEWcJv{i!i9(?Z@%G)rTeka2-G4__J>SGIQ6iTFY`OgykZy16C;uA1#GA zib8|W;rsQVD)H#WhRep=E^`pGssYbV`ez+U z1`NsOY7#!;xz{nkD@pcgrp7dJ2`9&AO#yR`V($y;=4?A$+jQ}SGam-W;P-pvDrK8A zy8_yM)$uj5Q1W5zfzylZlvL9XMA0|*8Z1qb=NrP+fp5;O><8AaBCx9)^l>cpC->V& z4=t8;utSf#&{_p2*yxd6C^<#Rl6@mr?&(cc7WX9;DmH|9LKKSdmZNoRr=0gyfy!fs zy(MXCn&`@4f0*ud2EveI4I>YxKXX)FF=yJe!VrSUs+)!t8&kW0W@dvec!ib#NmhpW z1ZJ9vUh@-zV+G=1Ja(BEa5Mp2*IN7BUgdoXTMDA2`3X*bF0Uybbns8L3Fs%d^3&p6 z^CB=EiJ+)Clk0vsIk}KxDglrDWm*A{3>gF;rJiq)v!}Xtln`qvLmn<{IO> z^>gB^=u*+PTo@0I4BxMshg|xISFvdIXK50e@hYynmu(tL$RQ%#jd#NvOVoJ8$%~uH z*+!E7ldqKTa8>(HCC~w1eQx@fXO94l8qv_Rw-*?i<&VytAF9e!Jpk<88h%}^S#~FRCU&W%LW!So%Az$5d^y)p@r&cEr*THIDbCu z@@#WfoYG`(j7`PGg0HY$qO=>K_5DNcpYRX%CQid;p<@TVq)j=JZ?Gj1wNfh1E|~#D zKJXxf<9mO{cb}U7ymEyfHYA?W41$O6`9)a39K1*uhxCnk=I=G-kP2{8iam-LfQ{g6 z6C?1P?^*6&zjeM3!8eWgNQ?R3^bYBJ5&3ejZ!cw;Wz$}o+_`QeLrKbio`K9cViu)k z05u=O7AH8fQ~Oj1DLDhWh`aYOny&5W^l!&ufW1;D+x27DTYs~b!gOV!#@dAz1`*zU zD_DP6w)~4jpeD*6-le)K%RKsb6(g>{{$y%R>*@?0x!CQRjU&HmcXgm2{amz))BOm`4fI`?tIstCi0e)n zWk1rc2zqd-8uLw!3RnO=ppzai-&L;ndDiRH>tj>)FYCXhQHu={P1DPYh!@u9#%qSl z9t*?W{>DcoS!~SynI!KTEhg|BV2U&z)4TH^WhXV@zBB{VG|#c@6Us(oeN6=>C5hSo zSX90D3CrCqzxK*=E>23}SFk`LO`OwxNLD8L`qrFW-jY$JB_ijuBe)ZGI*}9sGe`OJ zj#BOvg65)Sz}Im-=k7tiVaqb|diTQZU$&Bt>_RTQs4jdjpaestW`>S_0Ie8$^aa(- zAWfl^BdvD9;<|V-d)#4%Jla$;MxoG-PCVF)bjpM^JlpQWVet_f*y6?Ge(?sT0}NRc zRg5mPz>8O%82%}+Jo)efK^0QE=d21W3n*)}K@rQ@-g>Y~K8Z@%nLtT_J%-LJa)yeb zjO%w7ubJw`dD(@!Ipf(!+Mn|Y3 z{+fjeo!`!LHNO_Ne@M3;`l__jOJ(^{H-Vho-&%LaIIB)I1BnkrI(|_#XZu5Vb~dCr z#LXuHw*Q_c6T{Y>83$Iq>q?f}Fl$bksF`o4w-?V8plLj`sK5OTRZZG1zy6C6FJK?O zyuaE!s}|8WG2}OTUAVt@uHbY{F+ahMjq8CmU<9a*7vNN*lg(1^vm#}=x}~dnUH0fFI3#AhDE$1T#F9}P&-#*2TrFhr50)$7TfG|_Xr#?+7}jPPiMUEdjYF;W3Ci-;-1TREF@fRG%-W#2ck5wC ziYDjn@~AV%Q&1h;K8n)3`@+mNXBsSN>O(BSvLw@%&mK`@4vD8{7KrQ)EuR3g!ICgA zGr3?xls&<72|JbDfv5qGHT(O{MeO}3XCZCI5o;}<7xlgORa=X_PU&E8bjM5=wHjB7 zQ*rc*`eR~rT9tjeVGr;@?pA!YIX65f1f~C%p5dA$dUKJWOE9tz7AZ%lU{K$!D`NEM z>`^-jN)q{bAvKHr;z@LGEgYTaE{hM2^v~=MxZm{3&;U3>>ma@eBAf|R&yS^S|D>N{ zi`1f4K9?BivF?mFNYPjgNRfJ@-=7*J{X95dQ%>A5LrW2I?kgfse9-*GtL@A(u$A^4 zHXXUREivk+0y0nxc!QQ1SZ(<$Gp=rBPWOhGR zB9MBss2WLg4RGLyA~xdriicS~G9PT(A$uBC9Cdu~>@0hVq)>L=`!-Kz zs|!>UmhDfeF!fk9JHisb)7H!bmphc5E3%NLVseEdsCpj7Zav!wb4lu5`tEz1 zz@XkW9%B0hEa-6w1N_Y^?YtY(5q>G&67O*mmtgS&`&{T=>iOG#rO_pm+|;$0RlQ*Qv1GN|6G3yGWR&?Zyu^Qm zfSzS8{(W_MZ+Ihn)p}@ev^+tS9LQU6j6@@~RxaIR5%GkC+09eWoBBvr?)*YSD1zxy zg5!4}<=wcCn1F0gH82HzelSOPZ+8}nWTm3aE?xsz@#Xi`Lrg?%Z>b7;#R9a)u=0Tn zw&G0bdi55DnUW49+k4lsa|}h;K-UcEtFu8Z&^)Znyg=2y&Y@dF3<#*XVY83H${&V| zzL;DJfUPw70QaQDh1*ST%|mkaQ3u(e<@z@u1K*};g(=hARQJCG?|?uKgpKx?9)YKo z7JpZ15n>xlv3w9t8M3)&el1jtw z^$xYN?UIbO9)I%A1wqK;Q;i1H6%h!=rdGfzS8^(4*S%|#uE%#)H^{2sr)43ZQzzJ* zeP);YN{XTe*ipDUTIKL~*nXxTCNwRCrfwr#u~o7tA>Rh-tg#-= z!}nXbJ%sQ5@F!?YrB1xBug7$g}1_ov#+jZSQ)r5!s_6NI(;>u^fv`20WM*B>}fm2K77%zUm z6%j6H5Nyb%rvS%-{971ZtSVwVG(8+LH8B3_pnVocrEbw zvR_oB0#uA#JgdIfv?g8i8P`X7vP2FzTg$|WMQIN*_nav#NaYZkPEXEcR4fuKE~Fwa zbG>ZZi8GYB-jO(a{y#G4wJ3QJlZSPc8WFM<@Otb4>P+KwltZ{eg9DHUaO7x8S)>JHX}{>22d ztP(965W3!(iEW%Z_q~WvK4{G$D%*EvgVi}xc({?95^`o^iHL7W9AD65P9T@Q&%}~@ zXS=n%9zuq}=5rZ~adh>d?M37BV!D1tDf3@s0*u^)G6KHK^K%iMc(s+RW1o$)M4LIX{mk2eZK@ zf1=)_a`j{#PpB)K;$AdN8vbu(QozAq$ev^s=9s$854rC#FoCS4AXIMz zNJg4~btfiqWIjmr-+mOV0R;0ojX?ZUT_Qv2Wyl_Q87R0_8yAx8Z+hp0CC9{sFO>tH z<^2yCO7Xb?3z`TQ3BBRhb!gElxV;5HKmq2^m}9YK0IWqvM4e!+`NQPfEB#y?uOT`1 z1r!!l8H^ z)%E$;q|pO>vNLi1m;%D(+gDhm@Ohx!!BP?oRx`|>AuLan-v8X{+O%f4{HrjMEd65c zpFXckFPVCs8Fb%id4}+4#IU~Der6({dq&}~G^=}C;p^A+_Pw?4bA`j_@g+#4jUSTl z-KLyIy7Y@pbjHW*uYB%Hg~Pw7wGL~JhG$@}YKH%02wMf1q{6gnUL)2`;pbf49~ZOu zaDRUaqhF;of2etV8KYR9w6`ThgByLBa$fX4-JWX*!SQZ$_NTD3R*GaBFOK7ngkg=< zDz4#uI?r>f1EYoANvqXS9=ihNEujfjA2quf9hYu>CyqYNzZ=@TVFy)CsXsl3hrS9+ z@|~u11vsXj6OEX!Ge%a^aQ+3}gVDrGQu37Km&WpdiJz%u#wU#m7{_SZ&` zssE?F;j=H9=fd~Nka>QyAN6JDPUuIs4p=7dZJ!vh%%vgI{@yzRh)va(?-!zt^f%B4 z)$YIl7m@iw+$UTt_ke@){hw$fv`K-jY#gb0+tgbM`?WnUp@E4muNah_j!fRxS;IuD z9vZ%#vW8IiowuF6rLbSy?K~>X=gL+>xjzA!jccm|ye&4TgmrVIvnw~L`n?4yAuv!R z)Y;OaebGa5Ny3yC-??y+hOEuOT4Zt&#FQ3fy3CC1FuDlv$t5pST0EMJ%rLbwxvZDw zI~V`bkRZ?&^0_-^zxw8q4Ardgkk%F=xsK1x9>@NfP3=hNL~+BCBR|WP$Mt*FQzp0L zWi3d~vcjXmx<}h#X2oBjsf6*fl!=$?Y@xihD$NCt8<~eRWfBb#H(LTZ#6bo!n1f80 znUS5gAeaB*eD-9pX^cnDqtTAr<<2623}i57hG)wfi}CjWvp<4A#{udttemXgMB}#?nV9u@H%uCAwsc&~1WI!h0BRH`sa~*RPcB%b(UGd0d#oD?z zW&R8@_1AEW#(|dr(=7aEP8ZG?*p z3NSLSZOUXMf46C8FR+Y|x53VNNysIERPD4tN3|gLg%>3TQtL$lpk7%4t>~?&T9liVsxJBMS;M+P~B*6yM7KA&XZK z*{*#QD7BMlDZL^~=1+&6I9n*hN8+7mqPW=-kjb|<9%?dwK-2dZg_-|muh7zW!&1BN zME|@Q$y+qF9~RbrML;_|Iz)VL*Un#`=G!%vBJB5yESbkg@tY<~_%EZX5bQ`O4h-9Q zYp+oHeG}}||7%Dd93W!X|nXjrbiA- zvzs2BVSI1*h@Aek8B6%@&gy)g5^kfpP3_mgqmPJ3rj4H2l=+LW%s)3}UJsf2wEuz0q!>?tgoQQuFO#sc5qFl%~u(!z?}8 zl*!+#!Vi`fbf=xAXC===-Ur_|6q%xt)st9Erpz|Ku1LgX~79h!Xd*BNo9;MJy$@UX-d%DfYNuG4HIzV2k9Ku!zG9Bumk z9)oQ6eGJ~bqRIR}dYPx4*BR!;G$h3Fm73a#hUwgF>2{3E>{K}>-cEJ8BzLzK2qCS#sg@OV3){Ga#~NwgGk&U`H} zfh(41GJj9gPP_!uk!fNHKQLnp?R=F%w%bWGuI6S-z|NCk=goqY)2udX#^*~7OUBOY zdxhd#`McMjfn2IKZFGOH??hg2a)^n#)tbKl2=esr+eIU*TY6>UNO84c$=LbarcAsZ z*I|B8e4YtAFKY4`m@n-c=5wxiNAgg}5=X;xnta~Y^!;7NBjfuzP2c4=F$?Nw_x(a> zMkALIE+5X0;#H)XukLH)?ACc&|`u=l!P2q+BVzz*0M= zq=`tpNLN4(H(OwoE!2(&Wd(o9)*i=xKsyppv5U03oMwerkSy~!QoP>SY2tII(HR|O5K*I8lb_4A-vy|!F?FNuft>V2f^0=F> zJ%ALy6J-9~FmEgf$b6mgT~4!FsTs+P5F8~_w%||1Y{A6^Hyq<;%azC@<~vbm7^LZ& zOZE~<DLJH9i1}8w5m$6^tgy$i4=vjg_?|zG6y2lcUcgSGagLiU0ptvz_*uRX`h|7%Utx3yH>0h#&7QOjY(73M%-|Sn=OGhN=NP)?wbLbXwt-Y zBz})E?%rl*2*~^-W*0{%d2|<0@%zpH2LJ&7|9Am}R8448K@gtTG_}^Y>7V@t5fqdf zp(=%1gZPqcUbk-EOWxbG&1n(Zn;wdYxwL{HNCgo*inoGL4}vET_SB;k#e;}=5O0bI z5rk5-I5W*l6B6*jWOir1`F3V@He=8a698~qzdeNtPN3u+H9ej2d=cmbuM0AL;e7mH zd6|&>wL>fFxsyvSZR04Zbkd||WX_-#uJ(&YENJ1owlWzzD^=0VNilC^Hm4{AT2Kx% zi?h78M3&|(()}Mx6ec17iHEIy#4?1^m`mNUov?tQ$lmr33-xFvAUE$DEtN2V&|12V zk#5FA-~M+9+HtY9Vn_kCtnrX$1rwTUZDRuO<*{F6X-?3rRzfp@1y^VlE6S9A}$Mo@^<)?StyYjjX>aW0J9@9`AUb-@>eeQ!H{ zkwOM)Lz6Mthch5Fp5=htZ) RHnG}2le7b2{R031|NklBLm&VE literal 21573 zcmeGDbyJ(~^F9u@#l1j_6t_|eMT=XJ7K#*icbDKnTUr;3BP|yXJ109A zt)#n+y*Vv64>v6*9|spV2RA1d53QPoi-(Pw1+B7)nH?<`t(qLImW3(pS92S;=Wm+W zp**X3@uFJgf6I#v)c;$*s{h~W_44pHR_3R#?oT_S02GxkfSB{2g_uOBNvlLQ*HJ3! zuh_}nqRnE4uY)Lf08HO-t65$JVn!;(h5z{@4PcIpjARSliYRP+8CXhx@#NQXFkH51 zP|&ioEbO`3Rt$5~S}8Wl657!!_@Tjp96fw~#1|D6ElNJ}yI?y`cTTBNY2TJ$JC1wQ z)MwO+YQE(Uy+_{)Wrab~b%(^16pLKgb@uds;V%ppwqUdbF4H>Xc4s*WT#LB5S^4SC z<4IWZiMTQ0+88G%78&BYDZX`VLL@KVZ{#-kE@{SAqeK2q5ZG<-d|-t&VX6#@m{V8N zC^%ATvkhh{fi5UFT%w0I$^_vqY_=}(W?w;X1vM4?^*6OY14ms;hpO12&D)JbRfPlJ z|C4NLbKL;q%*HnbVgE@e57IZ-p*ioF|C7X-^=?AHg1#o~i2NsUDYXN&P)4O^{wH}> zn?OSAfl=KmjQku02Q74ToZRae@8A^|HsVaNJp-{rw=bD%0`V64a@UJlkEzaInd`PiEqHh5aEbt(a(bupHB2Y>O%K*D?JT{D!3jw= z8tJab>`{-^>C!!SgEKzr2HMBWJUgw14!sA>1GUWpDuJ3$_32)d-1E2W4$1E)E08L# z2f7J^(DCBsCTTVReTjU-;Jhbq_<3^~&TR3X z)JBPS34lg%bY{tRYYu0pyM(ygv%H@TnQ*aImQ%>zq`7z;%9hD5YOX?NNU67|$%z9! zU7t(WGuRbq72?X287C|Ft*eK8{VE5Fk(^)GD)0rk~cX_F4=dook#^XCZ zjO}}XMkcsiHmHtdQO)vilW~LY8&>}$QPVTe^s$DB4lCjsDbcLoxoBxDkQ(P5p_@}4 z)OWpGIAJh+0--Qf>)AQAh89=DJrZqPiaW^V-6fV!Qe3qM)7ws5vz@*eYw+;aol3tx z6{D!5BqprYHd#O|G}wxX3Ws6b(7RvhRQ`Fxt3xe`T69dPVS}WOJ#G7SQDsTRqX?yv z)-U3n(Oo#4@>XIfhkPj6_@^w^f+my1&n7s_+=*E@HVvu1uJK$FWvhI`==_-H26p~U z%a1xL$I!JHk|}pCtE?f>mQR~QNivjcQe`((xvJ05?UFE?5^+p< z{&ODc`th^$;q9)!G#Qrrt3y{NKqWGqjCM>`cNDK0Wb*PenF{_dJ=>a}{A~MGbnn+Q zD;)#Fq53Pan7EwWhXSL5AT@FN^+j$f=@&5(WMMLy#JyqA+8zv^CGTZ1GiQAgVq@q- zI9Vry<|q2i2EQ=$vBe;nRQ@T^PO%JT?e{`V|+X#%Ea2E%@LL>65>m*L_cUzUb2V1J-Nl#anQBL&|BF8;?cCzz0f-3C3U$+Wdx^Kv zlF8f0_4$|*{uCJAG10EN+)4Uq$fVgzU@a8>LdcOmIW(j1HGranBD+>VdhQ`W_k{M< zZexUYP=i+z?fMLb9JOx5ix$qB&=7UqUD{XH3aB+N3yMmOFD&sgEb&Oq6R(|({xZ^^ zj>$AzAj3vU7$x%guRjrCRj(-#p?JXIDdwMz ztMHc46BZ%{M=@ir2uz&xYNP+#(-of4`>^mJc6|l?)3w4A?2<$}2 z7KQeiwSUDyaW78)-b(uG8-=#(pzyPC#9Sa_AmY5(FSM`u6Tjt`vS@y1YS#5DBz@(+ zyJb2^IDD1-=y$ClNwvOCADosYzeJ6cxit@z3vr=H0{?rUBXS4mUZ+T{<6!6>)4mK> zN#-7xrBP0;0=2`tg}fBN*utlaJ$gvH3SSm zaRv~lhrjzhA%Uvw3hAZq66rpd^+8tB5N1W)mjq${;Ue77=1~|?za?f|G^9kapys3@ z5+IhRCS9K0O3HWf%9TK=Tu`n}r3V8p(SqZ^uhu+qjo-f7CyV6;Ww`OZ+WqcB@eS|ot#)!8lqCcQ`^{9_ zD%#t3PS;ad*MTQ^RaGoO8y*{GPO`X5_%WlnwgAhRaCi0Ez;m!62NUVWv}rnjcMR@i z5i3%bEHmtZ5W}75MY%C4Q_y4rqF+fzG~e0ygE*f8p;s66>;}d%L^)*z0qpz^9(CnG z9rR+H0))2h7Z0M!YC9PmB^Jkb!UJ=GKete%@5TcYlsn9?WD7TxDGo8ZQ7mM=7=-hi zzk^>>jB=3;9SQU)TVfH(_Q1W?%&86VLVkOrLYb!j2KPtIfh3s-kORO`E$uz5$$ zocYHf?)0i;dB}@UlslhpCZby_9UKR;uoBh{jflWjC-R*k z_ymQ0@#ej7MxSrE>L5kV{%E&9{M2#^yQrIjFZt@=EBq&yP)p?`UCw`11NS0aMqS3M z+n-9_`oOPZR?$-Q*@df>;_Jo}YFqZ7HQ4tAHQ>jxg)#v!;(Y<{m-Z_swt@T`p9)Hq zbe)w7Dfo?u{C({$(7R2Bmh04tDKulTN+_{dFQ{-Bu(%IXTOa55+2HjRr0{vOP|Uau zk?)T|rkEzdhWtvE=qw42F~&L^8@_}8I?j_kuBN8GQQ(0#azoBTUq)G9ih0lhd4ey| z&tz=^o~%PT=^3+)GhpB^@T-&fFHE65GoL!SsL^K*k{O`;8{C86A6iYI)bQ7uu~7Nl z6Z22CCeB$&rr6M%YM=aB9Lw6COF6@zlvklV%B+kqimrVCzs=J>F7Iv$n$m?{ikY@p z54pdQTW8?PYV`^|ga4l!+7Hn7L>#&p`>2TADR)+xhPns9l_h+tAJT_RiH30;wHTv` zNNKZAygQ@A$Qk~*utsqu>g(6GdHY6wK?ZKm54v{3{AaMs*7$q z{8M6!O%6Z>>FA7_mfGDr6^)qi+sYT%Sd38wxB91PnbqDo3S#{HWq~-{*p(|H$$nlG z^;=A1iE9YBk_niKcuhRZE9`{OOKOjB4V(DGw@PwCp-itoc_TM@{=d$AhhRH0f1{6qE11C zNHbN#J5|hWRQ5#N;9}{Duzqg2#wi?mPF8^GZD2peHv|4Jx+`0?z*ntl%-W6?T%p|Z za5o!7QUJ&KtNn`GDl#HDgx}8tauH7Z>;4Ru(6HXEhp^s~yh=fEFUIdSRUWir$Lomr zpz~(aze1F|B=!*}U(DBc2!fo$PYxVW@ke4jSOK-cTWs>pyk^ zW@3s3$Nsos*Y(d20k;?AEonjJ zv!vm#e5(71v4}iO3jV7*B_deR$3||FJEWKTfJ8j%#f!0Rv}8S<=%PGLpZ^PA--pMS z=M3?848LPuc)LtB{)}FPsooa9MYULZX+F^b zvh}Yq#=*3^Mb>$|QY&aNb);ZpWW;i{}#_4vpdBvu|*kKFzbl=1K51=9RgMd2MUUxQb<;j6d5s zFBCQ@;>20H#q!(~{~2Ykp)&<^eDC%QW}6OAy$DtANJ*@lrQ^(L|BzD(Q5E1!gc%xS z)0qqiEwE@X1^M}%eJ8=5Hn4ugv-vK9njJ|@qa1tD6e zJ-2YEp9?h@*_hA$lIYQ8Cq9S~%d&AR^KDCjz9Dlj1yN8rN33@#eTfREd#dhr=;+#K zQxqvlXbAq0beh5*+lH_6s+0JM+=`8jjdasRXz=AycJC??XM29%KAT2Op>21uqUDT_W|?m=5q)2 zjP!D7!Y!50F?X!$U94sQWv3`bZoVLzh40JQ(GJNHp3Cus^pP$6CvzhFb!cz)?Y)M( zMF#(+jxwPAI&=1JLde28pG+xbs*cHNnbXSCW_&@kl@2#bOa86^G(e#&B3@7Yj08{* z4~&rVJu;#v=Kj5LFd0;VYXzsotB#Bys*zG{eY1=ngA9F-e``lml_7AQQ!NqmPiB`T z&reWPHFqM{cFUju4du={ zKUDSwxIUgg^7MA*JZ@TRU#7iPH4Ng9fGy7R_J%&Rt?^Dg3YzKji#*sQsns~nA%F`k z)5}Ya{kk`ep}_+s=z<1jEQA!A+6Mi2)GZxu90end!#|>iaAl~rENK~yDF;StmN+e2 zw=`Fhd;liS)Ff#diK7X@Dl@oXPN@tzFsGX8*bm7NY6xBF@X%e{>zGh6MlwoL6R1oAY+T&8+?K*K9GfA!pyJmmf>(J0kg+6lbRNC}IHJ@m|PT=*2Uz zOQ`Nnnq7t7!0mqi0TBKw{kXOQAf0M`soWZfI>@r|j->zO?)7Z+4&?=?G1`Tr_l&L& zSDzs2@y(6t|7zVJOP&C&ZQih5GcGsw=KXu??|3mIY=rH4DxG6XryT)E8ZM`X&usZ>dcrD?E#E5@K}Qg~hi?{ztW}scEb3dH!(EL^fqHr)tO+^`b^4vFnOQAn)A^mu zU0@iMb$jE#20bfE*6Rmst#UBV+3qvtj5Rqv8NShT-T&1+6TS8&QY%^`;)s0p1gC^% zP{_24x{HP^FfiuHOIABAg1Lb^ilzrdn-fj9BW)J~tu1{^0tpA57*~XsR-YI{(Lr)O zWpp#$o$uI+lXBholIBGM`HM^ejYa6jw7V(J+(R-Y&zbaH3%rbAJbN;e8-STApm zo=fuZh`YcgmA%;iX3a!EkKy*uaMJcK!ui*}AkvTQxbGx$5r_LZ98% z_(jq?lIi)QJ2V2&eq-NJU9%jS9`skX$VzBf1i8m_i{eGv`;wa5Bhn?}&!8O=#X|LF zFU4mK0^Os->c*knwmuY(CF8|_Fi1&XWc}kE8Z!7+8!3_v>PD>;d>n2c=N=D7NB2vVpZF+`SvLgjDwqeMysUx>6UfC?b{2sh;BG#eHP~YY5-DT(2)M(Wh zoyWZOZ_?vq(+*bg$HIm;(r;FGF8p&1zUw-kH=p}=o`wG*nGg!?>0FQY%=%?2y*w>S zzb_!XqT5Horb1evAtvB2>P=f?FoZ%b%SBKr^1k?}F^gH-leVav_$Qg~^cku(cS)sl z7voY4UlJMqn$_lxq`HRMvQ;g-VkgvQ=nf6)gCGDAyf)#$%Ui=z^*@FLMh+QkX?@t@ zl8}yg^8L&RsVkIPU-&~p;^x)u)H}~*h70m*dpZ3~WVo)>t^y={hk+<^Kptr-tGP6C zP!|)zjaNqfzwRvb54t3J_*JeyV|1m<=MCjt2iYmWDji$vm408y!$m(oCiDmOSE${Iz#YqKzDim5MxccDu(wjxEkYL4`L^!bb??2xwXOq-;o#Q zp_os~e`#CE4lpNyI+lv*>dENV zi@yIKIasxM#iOQg_4oS-K0W))lyeRruBo+x?cd60Kkk}D2nmBO{JYmD_tk_;f`Tis zkoW({8RwAnyEgUQr)i~NHA_RYM%tVnCs#EzMzKskt)oO+`avYgKI+^KneaQp&TCa; z3_^7b2016~y72IpKhGpq8fKHidwSfS5Zd+91lF~la8oN??NTzrhE_}|24X_XG=&~H zBEoMrY10Kmw^rR(dNTKX?-Hq`P^?AlDpRZb`rF)ti?R1V^&W(`!#>(Czowv|$v9Mp z$A&ve7kIpWS^FbcjP!jcgXFy;w2?MfUm4*y)Eiz|-+v%IB~DRKL#VWWLipuCTH9=s zn(%+oNz7#w%SpcJ-hGgTw-}2_&foC&=$Za3VJAGB9L1;qS>7x?HnJ`C%u0_)hnFs* z5Rzc@AI;rXJ zwlwTnr3hx5WNQc5S!`}FnI6cxVLVfz^m!LOn#q=4MjH$zgV}^iAb^2;t2+4;0Qy{T zv&M{{3i(zq#iUoUeBT49RiNbcC&fg*B9z-w=-oNLQ4eDUb|VQsm^tH?x3tJeQiWv_ z33sa?rKmX5g2PcU)0FmiuyC>Dsk&dLcJ2){XZSo5e{>l3)cBlS-RuNmz=VHT8wAm7 z1+U~Bym2vtHbxOi+5D9I0t>H%DINxAN<4J zNmZtQ&z1(Q_yr1xtd#)q5reSSd@Px;oD2<6HU_LZeYdb$?DeXYpU209G%9;Y&N4N` z_!KaVpN0y6eD7yeujyuoS>S4Nt!-{Sm`S>8cK9Ky(_T_@TzL1O1|=+p#Jx{_l~f8c zlV_oHPdur@ka>#*rJl?R*07CSy5vV88V&eoE7vs~gF<9^T%R6)x=Dq>m`Z`w`J;fr0x%8hp(G5TmmXY#vF4xc0fwZ%13OjM+Eb>lR+NEDq>fvP2yku8V$ z5ODD`*zBY_J)ZMz;H&OzUt2jt`4V4+R4jCx0?Uoa!h2Q2yjqB=hc1&u2)%%d@Ljxg0t;Lhew3E#7_ZiG7 z?n9bgIg?Uu3Lv)gDb0#SrJX-04zxIT0`00h7x)p}mJ53__YNN3QTb{ik$;az%Lo6E z$ZB-8lTqd<-e7(87-uhz7wyfCzv-h*;7jU%q|ggfB@Bo(UkHdKnC|yYGxyJ7NeCzq z%Z$7>W4xGG6paNBw`FjPuju`KAh;M~^!C@_&YDRCsyd~?9QKO>xWwC3)V6oLhnDJC zE`BtO{NQjHytjWA+70@)UWyd3xB{KhPV5Iovb{Ts4yXH5;FEgWW*OYPk6^77;18!X zJ!JAt5S;s|s$uv9ncSr4l=if!x4q##B+}9_3`HiBKQXp6D;Ir0nvljInJ<%Xmf_xF zRV=O>wH$s04Z}{KEyS*8&VRR0RQKWI`Y3BdZr>%h}z}UjH zPAN?xn-7&N2}PCyZ_l9kY41*+yb3}g^ehkyMki|S05-7(-CVkw@vj$gK)8`r!OD2F zI~$d$*-rkB&s%X~?xS@tT2JbFqYr6MGn)zdBVVXxF2GId+%(IH1FAOp7LT%imwkVS z<8F7q3@kOw%P~$BX>Q04ZC7ihXtqi6#SgnPxgIfXBmvuI=qld1 z(o~iEJf>PeyfET!825nwu9N_q>IpMfo|xRp3~rh8d>J{Id`5du{-pEA_1GiVus5#^ zAiru@;{fEJpHFH-Zh&&YLq>^x&9pa=N%J#Ml=r(r3E~wVz1T|cPPTea)&gQm92M07 zLO8HF;q{;S9%)?MLffjsw`AI}a@+VDD^V&YINXKyRv`4jFJV5(ViWlU zfsP()eg9^kT%s=c!D8mynYQ_r(*r25HMg?Iq4q=FrKLl%jl@+f-GhwGQMw&lnQKX9 z*3lVq*6o}80g+BhQ@bW90S=EOZCq(R(U)z5+K701)yQrbpGBXimt;_FD=1GIE6v^r z+pbqx{t5*z@7=kCZq{$EyRrJfwzY1f;CQ)jpZ-o1$ zQC*+6^uBMqpZl)CUq%A1qWvz?Sbnf-@6wV!P_RG0sz!5TS>io?YLJ37C&qjSLK>BU zdx>KSC$e;oUuY&Ev|wxH03SA^m{+`xdXCW+C(JtY`%QTsJquEuGD3{rm6WbyT>8Cz zhklvU+*HDxdcqCrz`ds0Haej~SnVV4fUhK&ytjE4kAmRbdZ5-yG%nM`nC0S2uM%A( zX0OSs2>t2GUY1`=(r0tWB;UqR&+jH&8;pGl-wSK3Szh;;Hi3=sc_h^lGrQVhLQQk( zNOs7?=7p=`b^xo`tkd~TxXITzAV@dm+O)Cmjr>aD(c+aPy{C;DY^_f|%2D|M%5T*j z;8=ZGFAM9J8l@zW8zLw^JT@8EFx{(LgM2Z6s_a*V1@*MP_A|#u-2MG3jHee|y7TQ3 zD7E$GzVW1}d&F!!-}Esg8?l?V$5}abVN4f5!iGI(JDraUfu5>2YI7&_Ouc2eAVT&N2oe~ODo|krWEL@mP zJuC9$o3BTWn`I4xD#Oq1OEY3Jqs)jl3QlJ4#6$;qGyrHZ;xjqI5iTOZg;y0FXEV)Z+Gbjq;VY1S}?lZk{{<+Qf?W}nk#s9 zyd7#*kcu^K{gpYDZu;gvJ{>`is*?w{N|Gi!iEamV1ttC62Ovz6uYQ*VicwK;h6Xc_;h&j?& zWh~}!tGm7=Z%k@QPzl6#>orMlfI3RoB5Dqtl;U%$_jhdO4}jYnG)Na1tz3ffGPsqL zhVCuYw)J)_2<>g6CM)}0S`VH?-nQ_it&|n*DCN#DY>V^$=P7yfyQuW~CqK1qg30;= zz}HOh4aI;6oAwkuqXGnuOEihgKhpYI*1%BEJH|eOS?@oYlO@f~ko@?0NrYseX=g2K zq9WXF(^=cxX8bO1M{@*T+P0PN8dZ`r!yszs1j^p2=-naCXahd|J?i+r_;~jmTt!+H z-V6SPrSpFW?sdD%7%n0U5!Szb)oR?wod10K(fu!83BIT|vB^_qI<>MeLWF*B(`LLDz zd6|9ioCkQZpknlIdVPSrx8ZYopfAHCKP3)R(I?Z3o{T3pu}OlWl-e#eeU)_oJdbIm zSA6Mmkvj!9rEP2I^3o?Yfk)#D+uMJSI3n00?K^xXuiP2TTaHMJx19LXp3G_xq%z#O zGbfAo1~07-A--vD_x1@lpTgSkx9dKq*@;eEFlTq5(skZ%#~00N;fTo$8!gxR1%2@U z#(lC}`!KK4(ZEw-Q!y4*ZgC*N&)sIJhrIEdAo*lhKf15QEthswc3@=qbbIt%)jHi+Z`+< zb;CSYnz;D&lAKa8ukKikY`>?>AC1CfxVRD3 z*OyP95%r4q zz5+_*6?7&Nln6pwZU2XoK=Umsck>(sLBCY;)dbJnveY(gl7vZjh1XO}J)x~aQ0Nj| zs?756rl5v5J{Km`WUNN0m+9t0bz^-)=t@-ift&HT>mf@QAIV{wCSk#vW6|d$&ZtF9 zfhHP6TP;rD!_6HbU(907JmK1w|7Ms8Y-ghY{YMxVYc=SsouK{+j*xX+- zq+eY9p|dp-`)yS(OXqz?388)uCl#|f^^_iLBxgn{!Tc-$e^%zw*K*2xGwZBl24A*4 zKfha2FO!JGPklo*#q=xgB;eHz<4A_SqC0~V(ZoFuCVkY?veUFQ;P>rA{U0lHmHQ`W zlykMnEZ6}rX%^k)qoOFWpIhT)?Md}lO(uIIIx(6VR__%hZl;p(O+YI629B*kzo@hB z^WipLCqi0V7TdVSqg0J8R)5`9m8GU}Z~di34_-KnK6%FzsdA4Bc_LTX?5 zo?pCG;i(nt6k8W(mM+S7*XS)mYqE=`;H;Q1ZM6J&M!h<*yBF}LbDhRJ<+ZNWB;B*| z-urQ?#r(wmD3jdNbbxfDgFA#H)ylo{WKNHd=Iy?^Qj|8D!+c^|<*rqmg$omt*xY&| zSWw*D6fG6p)S!FZfbBh*qsQnSnMzN^%x1dmaN!gDgI4OYcxW?BJtsKe`0uCExtw?C zKQh1AE@T{siPZCF_4^goH-4gG))oKTFibUxL?vPqRsY0ld};k)Oh-K18$sCMV4+Bm zb|O2ZFy~(j&QNzT%OUDV1n(c`R@l{@=SIQ=fz8$0Ic1Q@4$@;*-H22C7fEB~1SG&e-?fjQ?a;bRWM<|7n;|JhfT1Yz=l4n_g@%s>9+9fbbHMY{EwRVp`vnm*^SBvdRYL9AEVWWC#WK`|5lp_!rIM`d*@TF?kQ zQx{C7sP`E#t@=(2WzVdSS1_CLf=Ih?yhiBU#Xi=HDSZ&oBo%B>>;!x5vyr$C%Vc)MW)nj zI70p!k>VS4`Uvc=yX77>sxbCg^b6?ooxWN9+DW1W|B)@)lG8Vn*hYcA1QWWviyecL zP#v|4_E)WhoLzR4*yDJvTG%bXjS58{(Dy%U$Iq~AY5J2LMiQg^9m2m+`Gt4oK&K?` z93JokoY7^1a`0Enbyj%QDV}OSE89OIbzL$0vC-Lc>40D%dh%0z9`7tzWFaJlZ0GD1 zN#=Y)wbXP-d#U=MkF&cG9HEv#+`%6-EHM7QF^0(wMmxI^QnG)oADeQED7J!n+nyle z2lySqtfGUT9HpRNk6b67{+U3<7VvS-j|(L0bwAo>6+ChZ^WKF?cTmv_E`^90atq3c zmdN0CKa{LXil1xDh_i1}b0FNSpi$``ZsyqgFPueI?(1$9ja*q5ksH2(Pcse$klOvzvU_cSvERz=16pWAGOroO9pB? z^Jv~Qsc38A!hiHyoY(~3{}!`+6r%&q(LLZCBD{c2oKt$|&YDh5(3OFBq>RHh$HrbQOr81uqWBX#PhF*;?FJ6!P7! z^Y=$R(0;1ciukh~AAr6)kA$5Nz^P2YS=(oq*p8uTc!foYfei4`>R_}%Q|bE<4OgjX zUtU_EL8j^>y%8(*hqhDF7Nhl>6(>7x(Fc3#hI&!piE2hGqWvrQV6E|opb>?W68&Vi zj!&}B6}Omw#mI4x*ZHAf+dhuD_Z2(UuF<*(a0e4gQFqmbM^NB_?PpPbz3|1+hDS)c zC>%5-1%o4|xAB{4Odb#GqS{EY@5;vUiXZZ-%aQogpq?;`3W{`$JoLlnrz-r{^GCw?q^Ot$HLt^nGCv|V2`Ei`_6bui$g zXzg?a_PP3o1Z2ralO@kG>*oOQ@($*T6P2g46GD}z6ht*49WLYxyA=5XgUC+*40~BwDYt1ouGyCeQRWglpkrpbI3kJ zwXNcCjn@IGQb&+vi@rGhWDQc>dml>qAYB%AS)ri|tuU}kqk{N9f7t58X^Q$Jr&W$Z zTZtMO(G;fZc71Ui-|bXz`1NhGK?9v{|R~6CVAyp?jb%u&VDi6 z5bWdq5BLy81;s)x;R9E%VQyq8!>p4reiGYZrTf{6Wyup4>EhpwSK@!7T_p`~aiJ>d-AB{J4LUfW`qJeX zlydowu=@T4i0gUtIy%gNn*DK@Z*QWK^x=@=nm_DuccQ}1!0PI%_d#rTcdvls^i2x3 z*$Io+tqD&3f+w5mxw-)vKAX)O%4#u2$V%Rs-IX^CNke&Eri+-xc=k7`QUKErvWzP| z90*mb%$?`LD9*hXpnsue$xaJ_W>>`^+*h$KogEM&@G$iAVxiY48LL5l3|6xt!TTtZ zxxiAnCQLQ^(s+Hdw}Z<3fWRF#ejMg?7JFmu?mB=iIeh!XRUiL-gb~;$X%PuX8vWa!M5T0gWoFb$k^t)rW+{Ebs{k}HBB-Y@0;xjv)G+Mp)ceHYYL(}2V8t0x2_8o6 zc%FYYi^^W5v1ASwvXU{}{|E;e1c>RTp0Gm1=vH?Lo|UO<`f3T>jR;pPTVWyb)((LX zeh`@Su8ld?ou?5mDK}vUR!Z2^F;jPJE;=mCsfP|M@|afmMPrmbGSmC4cM$O!rf=`J z8WWo~2JvkJ`+E91<0(DVzhs8QZ*0ts)f;elZEPg!w&a3hD^MTC;x=OlAWnW#8UI}m z68Qe)QO2-q1R&ioyEoP9CT8v{^ha=SvkMJ7<0k;=fT`JHvy8C~R6;;rcwXV|aM!P3ZP9~+1Hx>9tdsbHR z9Z2U==J6p_>@fekB7&BNPeh_MZw)t!?zHknt)wZyQ?&(8E?v-$p!f8(t#L zJ(qEAb(o6pJwM;PBHXJfkEY#sLr!%IsMwQ3K-)VZZvx^CUej=-I4U{D$z+H?#q=@^ z#JxpmJ{)o|ITf7hu~EZHYpRZ}!%2H*ygz~xL>}g@E;o|YzPA)1liSJmQ$l>FfZO+f zXdL2Of!pp~zspCqT{(Ubg@sel;NJotI@7VrXOI4IIT0Gc=L2(Bv=i>5>is02w@!L! zvJR~HImwgSCk{fr7#o8-#$$0foO@Ya2a^zzN50UHn>{E~nCCk6+q#VNNj3F=9A_WYr9yw*0Hdi3 zwRIdZ2PA=r4*{Nc6};1t;2Gsr4=|@moo4!K5=R2{ugyyG*B!D&S(OHI19VD$=Qy;` z!YG^w)E<@;a2&KaY2M4Z; zngHiD^XwhXzBY~T7cw^=n|`(Pv6G|)ukEE7b^$#ChJ?iUFn^z7(e04(GmqfP{=M{o z&K{O6jA9PMf}HBlO~aurjRS`t9w5`jLycJ@k)Jq`32N zhu{5A!L_i38LacxMvuh(CiqEuKto(<&=y7ps_-hu+gZ?88544)z}nG{71Ggr+o{Gr zSNnyUJb!sOhRHqm=uz`xlvaLu|tYZ4ToyK6jA>KX&(-%7^uSPF}#GkVqlI?*It=j7#@H+8la}`5A z9X{sG9y4!mMq9xb)XrB&%P~ZSAJv+B>w#xm^lM@zN8}Pp9PJ{yh|-8dQf#%W;!u@H3LA?<%XCR zsUw34o)n}SG+Mq20bn*iIA%!VH^ZVGGG3BV|;Y!c5l-~mt9X4s>r(1_DNTJt4Cl z8qd~wW@E%jjt2%iW8P)0u<7(yZI0-Pgc%R(=wGZKTLl?5pf^!FMkzlP{+w-;8{HA9 z=z21@`URrq7}i@MaoqVyk(APa{$QQ3c_wMZS@HZAs|%~+ZYrkC5j~bCH6AqNUgJ)a zL%0<6F{KHFu0u#*E~9G=7KYi7T|a?&)Oujl_uN{P2DBaQ1u;c6{;kvcrQqb7NxARP zws!N9dp$9dqL|}}gWlixfapG?EIBflL9a-DASh)A$1|HRssQ3|gz4edI~gWFW3%9h z?d3)+gHW%;_lb5Uz!QLFk?)9;9)EwyUo76CS8Zw`60l9)leTc!Mgq%PJN4^oW7+0Qg2u5 zx0~+^|Nd@f(*SOYx*p}jkr4mWbEf$gcc=h{j1PY(uS~Il2CNJ)_R<$yhU!3jW`5|^ zC`E@6HlhJLO2o-mgl)>xAi!7syq&!i5w?Tu0hABb6CB0vBdYd*|W^{4E5B(FK zC1*InH8=ddH47iH9XeivJhyEYySd=(Dl3j8hl*>v4c);lT<>TgMs4bI;;ok z*=^+lkFN(zAx)eU9B-jIDTj=i22Q|71xl;dw6@$^*Y(>^z^s?zOqz})>);*Odi!SRIqNW7<>AJ8p!h64TB^DtljG=49U?x|Zi+Y1n%$jML9*@LOIO3Nr8QBaPrx<0 z=zN*6?DSbrBmmux%%x(PA$YN<`^4S*Z2w&V3$S+JVHp`kOwnPO=_|cD;&yqzpE_1v zcA$Ecv$7oQ^Sgf1JzBx}z=bn2azg{QVAK8c;y(7^!=u#ihn)tMdjdl*j1W(Pk&{_) z@eQ8HZVVf(Ov+W8C?>V$KS0e}t(?2Ycp- zjKcx%dQ|Us7{Mr(cMR(fZvRv(drF(kA63(;fKX0A8Y%PBcY*ELa>PPxAeF&EojYR` zqR;I#z)imQ`?eo^IUG8kR5OA!I&8ix9ee!X|4}0{R=(jK$AG~108yhkqfn2%DionXXasxp)mih(^+qnlzjfmLv7jOxD)g{^}`*Qw&7EIrJ;}h zznBFlsJ!NIQiGwegaACD>g)hPaZoZ8Y^O(B(zcGaK(>?Oc6m||GA{ndHc5t3NVPFH zqz1XvDo~qI={wtdNXCo*n;Ce@UoKEvw^?P#^GLSy+|89AZE$noi|SV2_GBwciBnRz zz_P@dfwgz^0NLZ120irvP~{WV^~;@+5)tCM$J6`AHv?7CA^EzVoB-Zpd=Ch*$TTy z!VlNeiQ8N6V$GU)zCLEzRpo!IOJG2OOZPpV3&E~OeOn4d`NpQ&3HII~DSU+wEiF)AJLTYI`z#fjjoK9xCV`z9H@^z9$Q0$~*WgE%|v@E?)TDJBiP8Q5+)fUjzU{ zoUJf`)W=e`uJR67t$ZQ5PQaDqy3`pyv_3B+!K3j}k%O~ghe6rx&XCO0>wEQ$&rOcE zshfU3<{AwuRvH_u8ddJ_Xubx>8+HKjyxZ!1&|5@(p5E+ljs0#tWc}ek(>Wx=bn<4v z+Z22-($RBvB3&Onh+}8J5xlgqCDyf85{q>UY}GHjMfQU{F?{Mqi=TW9(^)#SdRjj8 zSYxaDV}qInfG0YJtb(ob%kB?nV}E8-g6v`Vri@3rkjQ{y_J2JClP5*-o=y&np{})% z?~mfqit0}~^XH|13ZO$yj0f}%t2Qg$ZJ(xA%Ftv)ygJMulr!2^_C|2DPz61*mh5IB z82apK5dYdckLduNjOekfr>D*2VrrxO*iPV4JM$FHN+-<;w4MJN!c6cWMyEh|(Iyb# zAGR;XSuG1O(x?k+>&xg{Iu(lZX!_BnlkuSBj97W2_vvi|ru;l#SA2oj^H0mgPrvzk zqh4jsc3dZ|pHZ?iDHV{~mL|H|_KPl2Fh z$%k|*rJy~iddMCLc2xUcIM1<(Y}5}wH@ZtvtB^TYl+h>*4?o}7*I}(*|9HudAcX$R zLeu5NQ5QW_v)oP*|6c%@4`=Y=ns=Y@d|}Uy^b=3Bv=OC(=Ndm2&fZHKWgP)8_{s*_ ziqNiipYWZ+&~IJ+!Tj-P>33daFWl5pK^$i++4pu*{GlJ$WwiV4pr7Z@Hwd$nwz~&h zN}hBm<#B(_{J_KgMVRxo-K|9)`r*%?67IzT=fwlCzZI@6{(-qo7}l|7hi5PA8|E1s z=bjxO5r+83I+Z@~aIA}8DcmkCIP}90&d_Gk9Sv6kD8V_fTy=i`EfdyKj;#V!55~*}Jdg z?HuMnupVx2$@*f{ZVHEfpV4+$B+t{2@wTDHZ4!h_46|z;LBCm> z3tQn-@Gg(2sXtpVpxtxYLAtP6sZwfn(2( z!zJs#wY9DPbb7J3Hr7oRU%dI8Sa6SGa%Zz|n79qyMVKUNAgQd#&Qol_AD>;}_f;I^vc1 zDCNCExpCw1$2_!Q2M+zdEMD%e&E$FdX>C^6wJKPz-9oraI@;Cg1@pMYK2IL{Z7kjX zOZhXkf%D>v%~!-CaGpO?7pK(qs_F~o)vv2RUK09Eelf*XOXcrd#APXY*m0xeJwyI- zOzOa8d(98;z&%^I>(q8zJV3ugh2g%fy=Ub40dX9AIVa?$ zFK`^CBC0Pa*XJZooR;-qNGTk$J|X>B|5Ye|9)uTbyG4W1s z)NE+e??0p;&ycL&y#Cl#@=g`*DM7AuC^?MV&~dR*sP9_0Un*HQXj2?5kl|ggE*rF0 z;=Fc=&wtWpc6c~jm)d-k!l|r$hmm!YJ@58-K|lEGS{L)&0AH|mtmg;t%=(~>Khah4 z%y`2O^||Z|Y^kO4ei-YBHXQciFMk!z&iCv+Kp42T_svrLNk)MkJd^6e@f_;U!dbg1 zdFW^TU_5*Jfkl@2e@dRJi)YJ*Q?v=JKcBzPhkEYedpO$UT8rzbM+TgyAM+7%y88FI zlErhJF5JmM9x_QOd(jUcV0=_4Kd!+uYcV!Yp2~}7NfvWW8;*95({^ptt~bti7v@{q zcI;^PGpg^pPl$f6kgN-|b*-C@5%vYbVJpe&H+&v@D^!|i)fMy`oIG6{*i?UjW1aX# zZEZOC`>ZzB*~lV!`YjdC-q8Z*wTpgSzkNvfHk_@m!H`n&(2xI}{65LsDaiBIO%Dt8 zm9){;O|<)5ZQs+nX^XIK^48<~2=@f(XTK|*vO_wR?=xJjdx`fCzhCF!;Q1=y9?*hA zzb&j+6@gC!AWXDrlBoBQtKptEc6+$DOUFL(09#H_n|JbwZEB(?pmfNN_vv{%YY;gH2| zUS6vHu;07(<~QE)Kpt_QJS9)X#R-zf{YkDx!O8w|bRUkY(4AQ`$DzL`qXe< zyPsCO;B39&wTm6GUwQ3f2euwr=~~)=%vvf`R{o~Z#{w>;ANKC8ZCA;AXux^xf_+C1 zzk085r-wd4r&PNtF30!hLYp3rHu>KbtlO6btTzvSUG+Ra-!hDI^-FmV0ohS1-W|0` zxu+j%p=~73&h>Do3&XoSUGGO6u6BPJ+2O^54+_I|a@+b(Iu$?C#``(5fosp+xAe4^ z_act!VK4VKSUbHs$n|)^-oqqwt$=H@m+RGgcF23J>bXzI`h-65>}8#OPvPDbc=7am zk1)H*mXiW5H7?=#ZQ3>zmNrSA9bBid&i+f#&x_-X%X zdAhcH1$o~1SRqVhLACezd*y(S0;H!OZBuW_!=0vf`G0{=YFRf)MqzR+Pw@=y83FsT zV2{TOU>R6YU9LqgkzA9c0 zjPx6o7vi6_N$&CT(w@B7eFKx}@xt{l|8H^sG4aA2bI2HZ`Att=_6WT2yc3%}d&O&6 z4~FF7UaWRMEnapS6EDZ8-DAec3$eMfpxS%>A_L3!BMj@=`|`jG*Jofoocz432Sf63 zRqbH!jU(1-%)d6yC4Y)7PHLm*8()6klNWw}z+xJ0d3L-+ZNE^P>F444#-Z3Qjy+yj zgN>7yKhA@fLnEwb@9yFRZn}8s8;APxQeN*%KWleXf4M5M6&<{Bbf9cKD8hQY{6x4{ zXft~}T;DikaxC}!g?oCj54Q0lo#x(a@aE}rCGW10y&f;7m*uJFd8#XHeMme4>*@D- ztt0SzyMFWZV|{vtaF;1Zo~PgYXyBhU53b zY!c*Hxo7W}B#&xF7XZ;hxrlL%;7!w+9OM z_0`gRJ+LAUziJ{ zw!QvfKG<6Jc3p?U^N+>fV_SHZ?&bW#|JC}t799Hhuk_=c)-HRw55_$}!=>z{U5m?I zINCN0c}gCbuZa`ZFeeAR*B?t&&p5K@LMeG_rdTF_;(6%$;l7#9n_`O-?QW!PM)K_W zrPnU8l>5(izSr&nk_TqPkbAhjB#YnoX_JS3C(y3)Cx-Fi>8B}b`kRvXgpP3p?z_Tm z-+?2JA1~}PTkJqTe4c)@`O?$x2w~!TVjeFdq~IMWljq@HFM0efNf&=_maL!3R>mDz zPrt`Y-eua_RNI5JnLJNFV#{_t^xH?W?0@IA>BsL;^wIAe$=g`lI@*xu>GvMV zduhk>TAf>LB;5WQL%g4hJdeNIg!`2?-kSpFwY#6{|4qDnq{IJ~3&*{}w)dpbPfeB2 z8@I2HUHx{ItpCx5ZX{2?4+w)TPYd!q9GKHPR;Z`3UUfh9{RmlIOb|FB(Hzh6}FFl#|igvZC&F7{o?D|6wm0lr*OO%RB7ky$Ni%| z`tdw>(=qBt9O9k+F8!ESdB?N=e-|+9r5*GN*?VpCb^es?@@X}~h^RH{Pb-h3SLt%JMhb&S` zKicJ*mTS!WXam>g_q-d%S`1uDo{Hzb*A0f`;dn08M?da+? zNnWaJbAFE9;GNAM8tzvMT7`Kky@OY zX48ZOT$sGKGv9nW^SybQfI*l7fZO`rDO}(L+PtG?W-@*tLY?4!PNpxMj~{HW5R#t` zXvKBmv$z0n-7eZN|;b+Ej`CbFXLlu z|2YI5xY;ThT7V>L{7AEg3C+E>GlBo=*e~)lCur6yp_#yftF-Ga&ylAWjVh?9Ek#IP z2F9QdclVcmJ|<73$E*~A9i(OpQA&ZLoX`TJxC`GdogojY*w7mV7<+`L=I_RbbRa?Z+=s%1~`e z6Lb$0G3VQ@ojauHU@f}f1V*KVQq~ZwzOsIO594sQ0&;|BlG4FB&ABF{Y$s8zEh%-# z{`mCt!MJzAdrU3&%$2F@{t68@Q`>hN1_t9JT#q%*WAo*A@ejqj(1>2;v}=dg$i>eDT*UR<=NC8VH4?F^pGn%G Ku>Ju60RR7ni3WrK diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index de841a8d84e1f7238fcba136a7626a14df325e00..b951885f0f3868d5d3729d1d663c9be7cd30ae4b 100755 GIT binary patch literal 18503 zcmZ^~byOTp&@YS=0>Oj3gg|fz4vV`aNN{&|hs7;8!QEYgySux)EN;8#qBqZdzxSN` z&v)ibRd-K!pYHxuRZmaVV8Ot^RH4HDqt5>>(SQ7xsgtv%y&VM`2Qw=(8-=K=rHu&% zI|n-jD;EnJI}1B28wZ7osgs+fu_?t5Lt|?SHVPG43UyN>3JDWSmwzo~5#auH0|VnJ z@_!kO66XJKDe3>=9IJ}o%5!v>xn{xMfHRdNFA;9xX0`v1vO*{z+3GoA@cnMJGOZWCEaI>bO zy{+7woiae*x7e@dbn&6n>+baU^fdeO?rgcn4@nAsSAyp-YJ%W|(2LhdDMuPsPNXo@+d* zflS@i4yU5j<|QiBJI)MOctCzQM{|NX+i-vn7y%FFB#z}!enPU`*t=eKmo^6G734o$ z=^sdA8BMuDf`Bvk&o)mgMh`>9LhWDpb9`l7*r}A;LnP z2-HTXTRIOCBqZ6;COd1X&eU-?o?Y6LkC{(*;lmd|AXau^$kXlb4e_$XlCt9k0eu}4 z&QD3RJWSI(H^Jun=M6g&l8_Mnu#dl75`P+{=bZ!;Bd3#Dcu{P?uXA4xSH4Y|FVz&F zxt9>FWVK;*crV&0xpCNNV9azs<+^26|3*!|7aNmt5)i!$d(y9*Tu3KcRw1wVSY1bxQ^!~BYS|TIO_))0I+ic zJr_{dx*;@=bE0KlI9#{fBN)Ng3a#~Y(;81643Qe60G+fPyZoe1efc2ik$`tP|IG=f zNIAPWU**QfF@Ba)RdT;UV1EK9JGy>8@aSnR29+i8cL#HSOr);D+HH^7egKmYN60hG zp_?yG({9joEcP;B*!UbM}E!qH=el;7?sO zNE=yK%hG;7*Gr1S-!}p2AXhu)WFU2tETX=uDZYpV&EnXz z2SzC{HS|aOc_Z1!=IIoGnMv9{aj=-xP6}?Ta=x+o9`-D7g9#Sz3e@f7*BOBG=~L4k z34_@eFXc~;FBO=BRf>vu>zOz2#fN}}eO`6IB3BgGSqk4l>#X1W=|KG2#rR_2M?}vq z_bP@QPp`MSBS3h`RSm+zL{4p&&0FOB;qt*5bkV9P&{sBjHafdzS!thX`+nQ?^ab4K zgII9M8$D#YGh36A-XZ7wR-#_ho@x8*Qh!WNQU2!0T{|1}6-OTGdXU6epa4yOvwvba z3Q(wf|B6b@!Bssqlp_eNgEGcI4>58&7&2y~h290p$C{6h$V;JVDR6GU^FNhE6%bEg z)mTZj8PE%z;bJ=@!%xQ>CX>IeQEADqhwCneXk(fRkR#Pvu~Y-tZj9Yc7;nIJeS+*k zjCj}ISD%W!a*)jASntlbmKX1ABa#xwCD3!Qs?I1v{nL40%ITJj)#I|ibF`Td^H1-6 z(UIZ*LAPR_1fsR4kt8#g`N)P)KaBJgAsM8;E-;7L$r&RwW5JqSXp~)xh}!FF3Q7|) zbV**O{Ppv)9(*Wqc2e(@rB0~*+cS;sH;~PaYltjLH8`$j4mwrc?($nNKE=~jiXynuNbuOf?q@s}y54QZ7`o*{v+`_lQ*40+X<=Nyy3l`4W#gy1nJ|39GIp#$jV=6#R&|DH%TXid^tHXrfd{c`6Ht(nrP=Aq=N9k9@IEr%&1->9{b6%WRf22 zfr7vFi{_+hsCKVMe?QhUqjdSZs1puIQ`EHR0Jmi=euJ-9o1kDR&Unn^*#6oqZ zb&f_#?53ufa!sGol-^%5sB@lp-6u+Crk8>GXK^J!{ntm7@_EuHO(9?RD(ajz&9|i7 zHX0f#C!YIN0O1~P>lg8tPqncaRh`Qt^XZ)8QStp%?c_X=vK*Ewr&WAqA$1?Mw<8=! z9PoS7O!t%_;B{EZuoI(U!mskZny38yxgX(To$P7*=x;2@*=GkE^FrH({3!+0{-P8i z=%Bj#-j1?1O@}n?xH1e`eeXUSDS2h=9((ikFROcBj*GoYQPC!%8qyGIt-gc3dFbDr zn|>2P`~8(vzsqNA3t<&iSocC|)683Ek_JX9F^7dSmi z5#jg`nJ_L0R9(GGNzaDyPl;}3j?wd;esUxxSjuEItnU9J>4!1k__y{y@s9fWCV8Mc zAznU7Lp8ZO4%UG#>@wtZ1z0$}j;Q_{P;mcu;beA#KT4a*Ql#+S1qb_qYn8EftoLmH zAy#{V_lJc(XcRP@%`!dxPeh57p-~xwG&x=zcAn2dpVo~4=F;(Wbo*v(e_|sUoG7xR z0N=>zgmy{J%HEAlp%K9QQxBg=E>^fRC6t&84JRMSKQ-e36##X#XZ+|0p7+&=G|;g8 z#PiF2PrQL=>|z<>G$gZN8_>L;&VF*zwAbw0JJ((FllmMm@tu*?6jGT{54>inQK0C0 zqmu;uC;We~_ivgD(S{DxPmw25rrR8Wro>7^FJh5p?*)?Gwtg=F{06Y~7r+g!29}`M zb6n$_eXhi_k*C#WovKiBZVZnJTOl>%|5L!>`pj;i;MvgCUp*z`xh(^1EWZ=BC%XI0 z3xMCVN??JPK1o{%)jtTHiLcxqQy>C$@A$ciC|G{9+Ya&!F}vJGQP51{6m~;UH#y1D zq)8e1SScoxI!c5xvz-F!C*c9uZ^FuoJ@wugMK$!x79B|&I^jKFa$yzB#6dhB6_XU`Pus z#>~v{gR}3pMF;Y*5y{;I9A98uuryU$3lzGyvX<)LApMgmO5)(lzv{m@=EQtR48GTR zf!}3$#1(vrRBiODS3L6k_4a^v9f=gz2WIR&v9XS;uzJL& zcG44i7qP^HxI)JhOPdS{>M{1H^_EFMFv%tvUl+Af9q+hZzjz!WmJ+1EH&T0h*5dE) zR!lZEI6HWP`$Mq-6O@)t^p*njmU{G-3Um)IQio=oiBIB|fP4SmpZiBn%d@`NAIKtz zCA=3*q+S*`5J_)@=ZU1>p?MS*zc>EN(855eLB88f6Te>OUJlJ23 zrXbfhTWP|R{)Q@5Z`n6%bLYhAPBsvT=jffvL?|?oj&{`~d~>fPt1skPno7nr=;@1+%5v)X0*eZ9HL?71nVkwwX**e~?E{YMPzOjoLi1jgOWQxKf>1z7Bb(O)2TeXbjTI2udm-yPVPo)cgA3mEsc*zlPkC{CX+1qao-v zW6T9!_(e`Wh`rpxs#D%MUoJQs>PyG}Q8sz2DE>TK3CIsD7? zEDEl}{VRa22jn3N3a(3rHRc_oTZ@Z?HRk8`r~jO}bUXO7&yJXpq16HaX^G7f2NoSd zosqTF_h+ph6iXx@a$F#DNmF;NOOvqmR)u~pu1t%zd1l0722Ernj6z#nCWQuWQXkSU zGa6kM9rHA{7Eya4*)W$%p~9;S{@D(YHB6UEo)IJlGSTJKW%B$~i+#`(Cg%fjDgTVs zRy(2p?4=&EKZ;G9DIJNQNjR1doQcWS%yGoG+y^g61xH z*n-!fC4e&y5jaf5M5C|gxS@&b)+RgGiE^wcE*C$gTEO(g(z;D_g3iZ)%xVLm1Cp&B z%px+T>^ z7Gf3P##Lm3*LKEG#CvMQ5%7zxmzZ_ijYi{# zD|N9|#YL=qOx3cR2So4L^q@H?=Tc))|I?eZ@lOGBvni-=9ZDk+HwN#C#_v zImG()q7w#S7VcH<2!py{e=4)Wtmk{yQX} zYIQT$-`kZ&6gT{#s;ys6nyc*;m1j6NRV+Pi0Yny}y5$O0j&Z;C~kc; z7{7{a^QpqVc#9XU(JR2crO~;TIl%D-lRW3Xr%**tcQZf(hU~6q#%WC~9N`yt*U<=J zy-w#Fmza^CcgZ^NVt<0@s1oO$TgOJJSg`cW#Np_+%38do_2lB7hFlD1*<>xNzH?~` zNWz_z*5jHyO8{kWJ+ za0d3CQ{m{^hF~B*DRv!}aAams=+!rDEc(2ywC2vm&ZQ%@wBUgPjl3}xkT3$Sh*V6c3c4AbqrhaxXDOgh(S$NED6`cH>c8waOBuSH`omonIlxc zDTAhfg{UKm%MG;B{OjDEEOOh&Y7uPIb3?3C@u87U8*EO`%Fm3Xmgg=6mY_}8PRa}P zwbI|B4TiV$cJ0%y8HKY@xWJck33>h$3~t@u4Vh)4q#ki1Y4^FIQ#%9$ZJtqs@l6^3 zVAx<@q>{<$f!DTy@y%x~l{O}%+nR6*7$3(aUm?X68cF*|exMNIJ{8e6MK5&yq_Z1z zzKs+Dx|CbEQyIilwiJXbX$#b?y1a1LRg54}qwgH#Cqy zzC@$xlP=TRLBd1{axnqn1{1|68|W0av6lrp+Lc{8%1l<$4t^(kiPjwjN6`BrEHE-v ztxT_`gpTsrCcS=o-{?c$@IKgmmZ#-R{+)MPy4 zQ+YjgqG8W)4CU{vp%VKYIzgBgAhk1CP$NQPxoSbb=K~OuUMN%m2;@BXUJxLw3pD~a zEAct0Tz$!U=~{&+gb3;O&e>*b;Xh+Zp7Rkl=97J?TK|RJbN&~0EWNZU50Yw3)#*94 z=<)uNcR#gM+qAT#Cm*6IeM%ZHMwnO|qf&i=@sz#X$?oUqhT16Q;A^3uY!KhEhsw-rfnzo5kr%> z;;L0(=K zMwc>!(Jb|pt$T}NyAIas_2dg9mcfRU-`V-O#3rvQAqd~x&BoqIeq0P+Fw3JYP?Dd0 zll^)XWb8VH(a3vrOu{TOP+>NSV6w4&oW&W2QN;0M!N#SS_x5YhXdcEK3DKB=)5=H7 zDJT_eE?NU{gn*>QEu8JbzQOP5E9b&A^e^RAllxF99+scTj@0_24t9$5GHS{8m!<_JmP4fa?!jgfZz6NkULRsy8~?D?;moRaxwhvke_&tBZc)pY~Vzk zD~4}JJZ|N7BW59aQ6HntSc_mFbrQMY9lHyg2kF6j!JRr&p{HR2(fGSQyhi4duO9X@ z*<8OP*nf9mL4J=7_ajcL@sH^as6#hM3$inde8GMp?eT+AeYuqm^!?~Ue9(#ArbYQk zH{&eKFM<(#KAqrXiGK$~v7D-u!verZ)d#fWxgZ_m&d7;eA-*CUTjoAm`+NZZ!HPeL z>cH@K*Gupd*gF^nQy02Rrf>VU!AyXpBgwlWZYk!}1MdUt&Vz*QVQi5*30?eV)`cHn zI+0zZW?}=YVPDl}KIh^Gc73|#_-f2_+ONRgl2;4!lF_@(3#KN0=e@p8;om|>b5#!Y zETQWBM1>L1g_hW5z9q=hgkb;0<9vf$b+2&$1OCB&> zSHl)vg2}Ez&L6Nzk-sGRp8pyEAOtCfY`gB92Je!`({&YX-p|7F#;DzIpNX^!`+eji zy`{_D%mpBs`gNkaupQ$IuV%l)%4!Qm24NV~zw4rJVIORU1<7I4Os24e)cHYfN82L~ zHlvJ5ZE~T3;Gg%aB|qRQFc z>&xM-4#=ic(|X(hy?t_Ve#?6kb98tU;OP}Y<2CYjbf^a4BRkIBzf6A#t#H7U%6Z|Z zO7P=3pdSxo38y_I7v>GyiYrWe_Z$$RB0)PmeN~xG#jucwix{bpEBnxU9C&d?P*Wjx zoj7O+cQmJ>sNt)Vz-g?ym)G!F_$hq%t>yAd^*dDf{Toe=aD0zjTuZUvFQ4e;3diUp zjbwi_hDCa4;VF7Iw6E%*SIW|#sD>Ou?hD?Lu^Hk)TRyy?8xxUw+@mF$H*UiqghNy6n0PocAGBcR!oRqv*;!YA`5$v%qaTf5UC!Btu znyNkG^N6?_qkd<-eV%uikjnh+S*G|Zz$`|16hxL26|F=8WZFXxfOOPsMG!J0dw2mFzw-OdmY*K-jQ!D zX3%HOL_~;md4LH6t3u0^KmuI5phsJaAVEw&c#m%3^hDFFxE(B8m!Mj@Is7jjDM7>E z$)=H&sDMl^B*C1`06-Kc=&n}lM0Ba8f1Pr)v3SYXGv6D=vqRi$>z-%j{=DEE`9Owj z|2=(#A{}YT7|lnyD#tOqzB{ljfD`@Sr!dO*940|~_$yQtrIcY?xYgpqOdH)7BFE}) zT5;RRUa&EnSly)m3|CBruE-V4CgQE)OqR$M+$PGc-3+OS$ON7);Okwz@5*p%;}qwz z>P8!qW$6F-`2RVK9X6hU^BGcy*!2or8yCV?&_!(3{U3miZS>DsY?rkmQy9KY~ zN!|W0MtjXRuYaO_ukATe{+a1wzWo9JGp=lZKjSLx!e$!IUQ!l{nrId&DR18GT&vbs z{ki1U1P?@A<&x?SwCT|=sevTy95+KnKyLO0|5z}A+u=kS;^xw9wB~oSIo@U#Ue(|VI$1Tvo#axtMsEFuMiB|zw`dw zV`6NLQxX^|!i4N+N0_6pUk@F6%EWMJG>}BW!3{ku<(4Gba&|jRiAEkiD(7vwH6CFemN3 zr_C`hi5Ho`@@>6yjCd1PMTOdptI?RpKM1tV<|1|s!sjfGIZ$c|sy7Z#^cn1voEz_Crlir#q)EH5?%G14y5oVqZaxYI=C%3jsm9x|EZY zqSY?&o?A5Rl1R=})rwiVWgkpH(Dm0pHkbceuwIZo+nMI+TAFW6zeQaCD+$-|OU6&} zv=QOTQBv^qbke!l`;&F9LvZSUro`jnvg`zMecIQp4&mAiCUUOMqO=VKE-&4 z$7Or9i_L(iIB0z0VC?40(vin}kw&&YU5!@=5+> zs-mN$$AkBZlLDQQw0K9^{-azdl&2ZC1Ga0x5(}>8J8V3HCp9)UqWQEYUfV zX}6^<-^%$^ZJpyxg5#nPIanO-t?whuaxCsq_5%(*=PT*Bwmia@<3XTkZWg_u#bv@8 z>Tyu{zuwdq^JMKhJi$cu9q4MF`%Kdbku$zahBRfqv&h9eB>gTjdL8#$I*@Z-_UdPa zju5=0qrp4tLqd#Fq1jtG&zzRXcP;FsBU@P^?=y}|q3QJn;%aoY<%wy|%&&q`q6?93@k%@e1>`xR1dv%2E>=jQ`M7I8ap`tDyho%A5JMzO`z>aq32 zQ~Y%!vYsd&!Y_HASsZ!KR_U|&m^6L+;0cL*<*Mlx$k|`| z?nZw#K7Iae88G`Y@&2a(P=9rneNUK;`)&?WQhepge*ZH37V$K!{%+PaVGp{%zs!M{ z1394oNc~GhGC&9#anG{-^5`?2Q|ia<4!wT!Z900Xx2qR;4{qKj%zpB7P(HmP&e^?p zZd?d|{1Y6W>EPMrQX>0CE_lZxR6h>!eVtZ+k2o~HEBUT=myrowSAU=A0w)1}zIH(} zpiaJOTS7wbk89@rAQr}p?X5RDWd} z@-|6Ed{uF-zCt_-`F#USR&T^td)c-eo878=C%*@6a-ulZPHiVYg#i1GN3s8DSvB*Utgc*?nfb!w&VAsOUk4n!1(Eh(Uc>= z_B!{CeXHM>^s5_tE+OdLfaE|9jd(*i#AlRqFW$sIy~9H>{DERJMd6Qo1W5`E3cF~r zRfH-<|9PGI@6=AW=&!6R077N{j~{N{6N!HQO|0=Vk#@bdRY#*Qpy*TgVJi$5)qM&5 zjOn9W{Li^rXi0ArW*CFR#uP7?G=}^`Ikd%rs_2m^=~Sr@w`)aBlm=_Af%)*1V>ANW zO8R%)S}_`%plOHdLAsLHBJL%8G5aYiU=;+4F^~7 z$(!=Vhm%qIJ^?4x3gu9q)kzH-E!wvT)sE7jw8d~ydSLvh1a7f`r<#86T%zHxyGnW; zbE`A=JPIyxT`SynN-cf)nxE&zU-s^wswYGp)a|+n;wUFLQu^deDeXu@IN0@#rs95& zlyb`dNqQ*^4^;BAqy8AJiy6=Tr&xB~mb9jUP^Blcy z^t7M(d5#DkIrScLJ^jNUom(D)9>^jeM6U6)I#>c9?D4LouZ4`k$$<~1k=!4*;s&4j zJaU>mzV&$554Iz9@Zw#4ygtyZ-R9zNKP+aboZ#obHX;IR#JWf1uwc)eu= zu0~?1^H2|1HU@X0ZZSr>(|UB`UGeYSX?EBLE!%^;5Vve1AM|&04Zz6(?)ihy1Rl*h z)CO(c=h4ejFH?ILh+9>7_Da`4d+np;wu7}-igf?x!Gw3k zb?sK~(HztqIoJ;0k;S;ByVE(ew+X+sgm*=Gt!D@(L%KTpg{MK+UrbT@g_AYO6f+3R0#S**Umue^MTeDJ;AvIPsFZTV?+NbLA- z?RDaGAmUw7U3VFPw~)5p>pkoduOjj6DLvlpz+32B?~NW;F!oi0{1_dJcvl5GuVI7Q zXj|P{9iScGu{}~$G9mO#;(0&hEeSk(SPvel!lPJ~KRA+OtmXv&w4_Onr%c+=_oqex ziCIct>>_I+-+k0PVSz>^z9Cme!(3pDaHtT}H#6r@qmc2tnGasO@((;(t~B?XVplf# z!;gKq{OF|mlEx7+O9w^*rUXS_%SXdA46WwyTwh^ZRfD&83epp6dow4@5{oymScayO zsIE*Dv4|^IWXi^GBrOf!t#2$-z%ij-w%RRmnTbYMy9OfCVZ(({DWb;s%$37N9PIpD zIhTJ4wfX3EtvK$bdT&LCnJ7L+^|^&^j~>}~Wo@gX3rQcv+Ajen?QPY!yY#tC7UIi|?O+>1h@I|4158QrJ5RU%OM${UW875QledbmM%ZJf(japJAgk^j= z#_((B?6lL#yg!KEk2Px-AlBRFv0Z1R-EOKArsihX997~wOfTs5pt&2qsXzwH^(nU^S0+&ZQ^Leu_>$Aw8`=gs6N*e^lvY}Uer-y0%L8% zmDBm;xyxpUxyWNbm0_`N&F<7(>~1L^2(bt3H}(VMMv>y%al1*$3myIqigPEZ!SCGk zYCa-JSv;UN=xZU_5NbG_*dax;GFa+&96gWeNM#oL1h?-GpRq@xq?xa5Hne1X1p#RB zPz9iJu!bx!(S)dOl(Mj?ftmfw7eHCjo(ZIYW-Toa+)82; zwxgKUaccR&P({CenIGnp>wnW7C+jAirX5f#uQ#uXB`Ov?9v@v%BCIJ62g6bUlrAed zEd|85Uaw#7;Mco6Iell&;U|Uumt?q;|G<}=wD{iuUVl&kaq(VGe$$TL5`-E7mK}6Wde}f4i@k;BY0}ceEx{0=mqO zUp%-c{5B&SDmb5|F=T}v+{_-x#;Ukxc~}M9CKv=TTC>rt5c=nMI9d6W#5MY6I%Z+x z7DoQ_=S#pxlbmR7JfXw>pe3-CP}GK<*V}Qy<;g-+R3DT1IE_meC2!w1vhXEJ-n#GW z*DSk~Ia?01m8dj4V<=n2;5V0j>8rfg1g@fc`es_R3I^ZEs`6W=rt-Pwm2%l_qyML% z1ns*UqcUk=)X(;S-oHetnG+sva88h=KYyID2oS})fWil7$dX+^ga2h2AEPSEqRQ$& zenuFsTePd1?V{={kKWY6thF+3#>4z&>tYQa6fO9+G^JO_r#LizZfdW+xX$s>2#IOi z^ly2M->!upAICstpGlpS8_V0KzRV}IX$kYVSw-_IqEz!USaTZ_JV@Ofk|erH)<`0k z0B5eo&`|uu5YJ{tvuEMeb)a-N;t6>pWkKYe;}D92TBeE11(@z~#B=(idD(3VmihN1 z3^bOsY@u6)y!!2gUe0orx17-hp?*#xqD8#m(^EXpb@?i5N%arq3U9H^bJbI6lx=I; zVn1x>gMNrCxi_hu7=;<3Ha3P5CRRwVnl^qfoC$bH7)0)77TLCuLF6Yjwxp@?4APhLG0rQo|h zRwabSAMSBYd2j=|`)J>Rh~ZLe=3k z5!XyI)#0gJJgb@oTJso+`n4dUcIr|bH>B@ByyL3xDVCKm7#zKRkgf-Xx|#nNKKWoZ zRQ(q_i!}YJb5~+$#jyTp+-twWXA4w*ZrO4XuC>B5SE!G6*@Ra{@YSJHV)uVl}2eU5+GVRKU3&h#7 z8puUOi%S&L+OnU1lRSU(ckr3eV@)M5FaNYoVx=mxi5@=Z)C8a3kO?m>6P=hKM(Ylb1F1{=N4@ciL%nJIye@sSxOdH5ub>uD8we{ATSr^l*2Ux*IluP`K8K3{C35inBGG3!HsR&Yn$%A~917TH-$>v@Uybe8lA4Mhq)YB1OjYW#+3ADXyoL3&1bc}95htv}A)f#Q;> z$CFPHgW^Rg6e?Iy0FU~tE%_{kyeBZ?OSdnv+kv_{yKH%d&M*1xsQm zovq8rN<)MS@zUM5`-q(|EtIAS;7q(!9k9_bN9@8G4r!9#lhp{b*EK;}w_xT^$JP`2o_?6Z4N z#m-Q6Y@6;7uG8nd;5ZKjMt!ml@dvvCj??~c_)WM1^RQ;uC(mOT$Ywu%nfrN^YoJ2A!k>F)GTPO~ZjyOA9pi+sVK^PI^9J_$FetaBda9}4=ba`&AGBUFkdg_zR} z{?gItXl|P0c$Hm-Qkui==`&?tsNX*LrzV9ph)nP7?2aL~9&ZADA0898 z9^)nBAdZhiPcX})a1^!0rFINLc?0_G(lCG?)@c;<+hYyy$sIR`5KFB@Dy+`mq zalS8@9SB<`h*vTH-kZlf$r0?!AEHWEfwayqaUuTak-!kzicWtkO-@#>X49X3(|Jrc zwRA2$fi6m`WbSkx(Yj|6ndcIj$ie2o$5QoCfCm=&4gQTI;DG!!PU(sA{V>dD3IiHQ z4&eqIUa@mF-t8` zcdpf2KIK|L`U+XCx0NaN4%8t=CD^OXz9^VogLiW9tSqQKHlO`HJz)+%!=|bu88o5y z*ucw6ldo}MHtSN@JqvH3_w3e`({+A?o;O`)54@i~eD#bbuPY$0`$JyGL6I4rnWEPY z({tJ~o!1UuOO83%S&+S(jM!gr^X!dmhH?AQ7==Djmdd03GISZgvgdYT{VIF^Af|T| z)y3^`HWI>tE$#*{m=ZnU-5Kuu8tOBS0gWMdDk6vI0uC4e2Uf!6Qo|1~vejU* zuB)(@9tr(v3HS@s@CNc3?~qnP0YYO9 ztou}Mro|^TiS7Iv@HbkA4^fk(w!I1qcpFZn1X`>M>|EU}hlhn~M&AnsUr$W0$pNVT z-o%IZj6)Zxb8(SfH80h`#DIJ0k2cMG$&iO^bm`(ku%Yk@jbKou7dp8bVe4_o-^|Ml zepYikW-(f_pg|X5ZSSzXLoL{x0*<)*n3o>4FWqpoo*OGDOhvY3zb_mNds225g8Znl z<-@b7VVMaDWDFnQ$dF}>qe%0vKWrEB^PWX^E>h{E)@1Q~FkCu|{`aRWIKV%d8uOuawvF6tQ#k&1(~-2Vg|{8L#Jz=5T3dFOkS zc7#okLorx2{2gCiFXn3+l}gRJqYCWqhPnmWEEta5AhIwnp*=W%zsT;xZ?0Vsx^&_} z(6v6fr>xifHA=;=Qdd|FtAusbui5hWdjSQ#p3cd+^9RkC)FxjH$qjhdnKIY8TMBIt zCtgrw>3vcCX{%$zv8K#G;b=3*uzmCS^)S1)%ZsOWf49UH+MnCnrmf}tctsaI-2M@B zbWF~sQ|5Ky*5t1$&eFV8@SI4UAG(}+_E!y&#TPxY;q&FwuEIzT!=hmJoHxF*(vm8! zvLCWoDjAL23@z9Hyyffv<4A(BABjtUb6|uluKD~4Sv(D*fGn&9 ztA@M{!~R5kCBdvtL4L-+$2c5rQ@;I{t5IT!%wT3G;Tu`-HRPR?qHF8*89_y3LozLY zT_+W7?orH0QG$OnURah{MtpYj-;M|pM5is!x%|H#3nAq4aDT^qwe~rn0yuUF1%wKwiP^7d5DUTUN)R*bQ-5tdO_eSYg z=Rxkxuit-Oh6(MRd@-jYZ)3>D(mImJ1WCM*Vs;eqaQgcd9CRNrr*WSHU*3%)gdQ$H ze&FOsOP0L^gxxK+cdbAN0Jk$DW-4~&w~op*qV3_rX~BqH;7hw@_jC8M9ddOW;oGsq z#;(K$3Ib!b7v3&2;K0tF_rU|}!3*ob6YIen3&R7;4?;zDOZ9dxA#fn^QiBivix0-9 z5U6@quSw|k#ESL6ihcUzIZ<(d!m=mBvPaLdXGtL-#82SuxF~k>1C1UX(iCG^#Z+)} z(=4(uX`{z@t*^b#hkk0qUs~T-WLj!o=x!&cy-cjNrd|Jl0h@sO;%-+03=tr7wA&bR zPfQ_kof!LUt;%JWZBo{b37SaKUnqAT-W)M$tWS}qibs_irH$!qBryG(!^dpEF1~L7 zIQStfn=Y*R%tp}yECojGRe-z_J6V{ezB+HCA!ON*$@f;>Yr-2(t)Hv+^}m#G2a$RZ z+ZC)=E318NE#GB!2o@7X3AUTfLx2l%4v6dE#ux)}Pb{jC1t0_G%-KIMw|3PrQPR{5 za21M2*p8-sjf^#Gbd|Ty;Vr0g9-5fCx3ryKH*;~HIKMo%yRbTwb=T?oL~P%9URb5J zYq!S>i+~h_F@Sxq)0^=L<7Qz++~^!8wo<>_4*AE>tXO`Qk=34jw=Agk9W`cLYG~Hr zjOUGDc%v<*h9{0^IDNpfWT5%izwj2S7u!FNNlI1v&8FB_o+8w1$Y5v3jZZ>u@&m+M+I5uoX9kqqFv~B#-^WUO5e-uX)Wn#cI@Kp!nVELo1~N^cOiP34~}(K zi(!Gfp7cj_Ve&FhlEJ^(ekDfD4L{M2+Y&2c5q`RmA>t!Wp?xX|7;ad2o(+z@soB*} zFQk2s3x2-~jy3N^v->4#Fa7vHr+PjtW#N25LhDh9>hymCNe8z0<4J)wA- z-0;Q!98<*MV#?580>^s)5mfwuzR|cZmM3Q12iG`BIpfw*R(`T3D%E)s$}E#<^2Xne z&xY@Ku^&<{=H3*?=PN^Gh|zMqzvYwDc&>{R9n{l2nm#D)1H49K|M2xKJAb!lw|}KJ z|9=1g0RR7Z0i;)JY!yWmo-MDI@+$9VEvS`3v4BYFgTmasbN6n!`(Sr3Qes?{0tHNg zU}H&8Bm^tQL{NwlqrpT=6Era@N}>b}3PfVS#}5rZNYvm1m6-U$@Q9vsc4zP17HA2Z z%*>scZ_b?enVV&^S%1dZrr)-F1p~|&OD7Y)CQM(LS;J3dxK^(kw(ok}%eu5!+19#w z?V8qA&1Gv_A8){8^v#J;nh$F|Dd9>|EYnp{TZ^P`MsIG6Ufm7pxmMOug`d(heIR;Y zjOgOth^m%hTc$9*-r1cOqg;DK%G`Bz%{2N%^Zppk>g&?vYRc!1mPLxb*JO*E>2!V{ z2%?Q#p?bPy_Ad2Qwm@NQ-C1WFFvrG(96q3Dk}7wSzQIkd2?zIB0kqMq9fm94&HWip zvB}WzHcprMw&&|6_?ZdT)D1fWyTMn@mctK!^HiFSgdR_s+yI=JvV21eZousY>|yBl zHomkMXJeru7M%ke&lYA;k|4;;4fZzrxOM?4jSntqK)_@_c-pd z70?P;8T5x{)uE%Y;n47aWuw*nWkSVOwhP)c;$+6EuH&e2N!d+Xju&VUrOj7%9l1z( z+qfbmAt~#TwsDoddde09_h0S8sni^Jq3$)2Mgkc0aC1M#POh6IkcUaokXg(sJuOd% z${{CBWJlol8y%IW@endYhtj&Ol#(T;|MKlA+$s*Yg1n+EWMyE1Lo-_qSdRqmd6Ck< zYyhPG%f5g>)IVMj@}JQY4tJV-o9j8>T12RaR&0F>PYerBs2NLL;;UB9nuY8v>}}Y& z@lzNg&E(}NTxJ8H6){xatDSRy&6gzL0WlObce1D9*R?N)qCF!`*kD1NvvO#QNtT5h zMqR}fX^W)5RO4q&-BU)9A58i8^af9@D7h%76ZSwX4Sdr$V)PQM(aM%@-zCXB7+l=g%LPN3F@QlM`frOGQGZ~Gjbev~EiUa=d< zMgX>?v*bBcovbz8k0`Uqe~LOTSK}dhf3EBT!=bT{106wpoNrq<5YbWz-Ozs8<{r9x z@C_gd*PQ?GedJxH$lMu1A@M5G@FT_VHR94JXsBT-1F~cXl?c<@Ov82*{nh{};8;MC;*#y+xkk0f7gEdQPO@~)Y2)H`}90V#-q=W@=79vuF zxOk+J!a#Go^TY6!ld=`*C0b2Xp|{}$CHw#a&dge-&(ykr&2$DABj>DVi?MWcaCd1gX(AV#r_u!yB zV8+sPm4M0;O0vgVHeACqsCHBx-lt^f`eDTzH{sUcaLeJQMi~VcnL&2xsHk3mvm~^o z9=M6>yBWx@^++qqqLntxT%iRoWC4#I?umL_46LR33GRx9vfN)8lgv@Ey?r%O$G|7* ziAJ!juX1=B-tG-njDJiIJ^0VFK4z?2m%@%oVahwYOL5!xluN<1&?&-Lz5917p|J+r4FG?sK#%k;Qz zhOv&@Ztr&JR=IB74qo5vaNGAxA;Dk#Wapcofn=y7!_|CGEcg7ViUidcC1+=#Wl8+g kbM{9$0*`b)D?1Yl6DyghtEG(@85=tr z84D*fD;qN#3oARBs=1S!rKvfYlCh~Z87rBpESaXc37LeMrOU^i7*Nn3Y(9Ou7Wp6e zgpBdO_(%GG(F5w-7crYuBGsQJADUvUWETpCF&$~b{+CmX$Gq9Eq?if$z6mO*!Z1C? z-w0SiWJW;&v{6w@?Iax#*Y`?@x*9)byo3a1yldAYxEn(m6ElI~{i>zi@ae3mz2XP~ z5pv4DQG2@Z)aCVXdVG2cd9Yb)wq1MUrU(h&)%wBdUuD0K8LDTZ=S}X< zb1YEjHuraN+*YU&3?rr6LHgqy-W}%Mov2}_vqRXEj9{`aaZ=AcDC>x9?Hp5pzcBlA zK(io9q(?Jl`YH#svk6q7o*0!gH-Ne~K~ZKRRaYjxrOb2X_@+osBL=I{LL9E&5IZ?* zTDyfhP5FtsLq}l7u&0AV`K$Bl(aGZ{U;dRnpv;=aa=*l zW4K9lEBy-mD(NiX7(LRu;suMw978WV3b=pb@>_3T+yVzjKWM?SH@S2#>6dN-v)XWI z=|duoC2sW``2iN?Ef!5qx!Bljin$E2MWLSlqRXIVtzhHEoVuhgFnA7T*1p&+DHo}v zhW>@VyHYQ9;ik|ar*tm}J6CBZ*(!G+`o|aMRwYmU?h%CAKVQ33l+*t*2BTB9f7X?^ z?v4XH1mVzokWzQ!MX^oLDE?OWQyw;()u|n^&SkE-U>K6fJH&lZKd|J9R8<(3P*m=Y zn0diso-M2~=~iH$B4d-NxMQ3SOzq^f_0GQtY=$SXAz9{Xhh=}91-ICmPqvDEF%CaQ z-`=SD?I)luJC9Cp3W>+8ap+u2Q|hKQ!}})D4%=gUpTyo-BBxyk#P=}Kg;JT zgbzt5xM(QPP;2FU<@e;Rnd@_(DHN3H_?=1;o9eT}I@x+{5hSafIVH}cQ_5uBzN_QU zDyVPX_`uICK=vkKMRQWFbXt*AyW26gphe7ly5Pyn#Jz)vBUpYY(6NH?)bPyeL z9c8&Ahwo}R#`eroDEDu$@$ih>u|Dm4nh23tB6IuMZ^jHE+#B_F%joUf*<{5?L6w<8 z&+gV`y4xUJebG?EVjY89aY@xf86Af{hQAV}c6^bzy(JlT^g!j8vbrHRxgHCu{ zw0xq*XxrGV&v!2Un?hT3aZh#5FBcBRtFO)Qt0cew*Kq%zf|1ly&r;!B9eNK?)hOI( z@05KJNbjQ%Y>%1kefak%c=>1WxfmhuupRv;Cu}>k5T^|^B8gQk!TBcQf-#iO_#^#X0Ib}2&K3DEUvQ@=x|t@l@pMFMavq^) zP>Aa@bnEow^iz|kBQH~}%;v+hY_!}_u7+~c)YQfw?VP6rf}A?r z1t*OXv7?LTi^_xCzcw?r;`dzdZbID{{aRmgqQs8IwPe8C!DM+4@SEhld!dC=|tb)FB;y-^38&R&lc`&Md(|9y0;;1E#Z zljp-HbQGB6@7#t1u|GQE|F`k>l%RKWaFYn!e7Su9!MSFn_&>aAXZ!OQp7{LTQ*0T$ zs|BSH=vy9sk1C8O3$nCW3m=UX)Jp=~5Y&*Gcp{G~Xc#z|@OEhA9+>SqzWm%zWh{yv z-y*rKKruagY@6_}0@#r@Z^fR1@M}#}P@DZ`f3a?qECR~Sh*rqoip~_@B^-}87Wts_m| zL4}z@9t=to@#?Jyu7W}nJUE`GR^z3n#r5xk(~!uuwcKjo;d1XYlibKcRCVu9*_t(L z%@+?h?LAX$6jrY7`}GDRT4|z%+m+Y+X?~czHOngZSDQ~WQ%;^Ke9kS$XtN$G&}H@V*P9xqk2g?! z<>~VLwZ?fas&UrI6t$_8n(i4{#~2zi%CG#0ESDkgnUP-M3)h6;NbHiAZ+??mX+yKr z4{UZ4&CAIGYN<3E6kPsLt7)3mQ%kHrbiQXWJrL+0zpA<{ZItQ6pKIsXzF!%rH_57a zqk6Jp^_8Ad^g(5M#5V2NlMa5sZbM<+prql;5sZ_ov#=+PsdMz7ajNp=hm86Cv$Z;s z9`Y#eja%bZU(9Gy;bVTl3mcYyp>~1H-+i_ny__7|YpSt5TF_HH+m|0WO9UwX=4+#g zbZobOHGW)2De(ph4VxJFH7rG#8$_E2XJEOOSpEZVXjcQ5MAec-xiO38 zoe9kNBYDhZ+Jq#0PNKyrhNYP%?nes9GgFln&SlfJYy!vV`W^YY%`CefGZ#hi!8NM( z3}ef!`vI|**^(tD(#OYqbji|UOekn*b#)m*F^pz0LNQp#@(ggdXitC94>FXrPC+!U+BZ60*koaU7L__?$qC_;3V z9D(Kz9uKOy-iH8}5$6F1L0$~0X4z)Me)a?Q$_Bh;I4LE;Cvm*SZ;2Kgzxxv=E$C6h zSkp#c6~3iVOXE4w_WCb0qW@oxzB&TK$Cg7$3Z$158bNdCY_8;C4^3@Mi za~VX_{WE}+ewPzk1SqEa{~*X2|L6#JMz05M+h|@*zWpkL*Z`hETFvnX4R2?Mikqei z&5(JZ|L`^J^yqy2SLY^2au>Ci_?Po7L&yCFpDCf6*CDn4SedOe!Zr6A-z_)Wn~IVr zvh4qR?Q-O={`)FKVNd^X)MObgCNysG{#s9&$6@la6r`~NY)gGhHfFmM8b3mIz}5Er zJ8OhTX~9Kg=(Im42w@yIC%tRX7&S2>B+_^{6*+qaKF$5z^*7LEa$8n>zuCIAfvUbG zJ$n}?a(#R=iF&+%e@o{*H+>-z%5&eJD`MO_@E;?MDVl=h$Ghd-5&hm`h7(yq#;vKJ+&=Me#nC$y>{-=M!rIe`Lr^_W8z7CtDVN)!gA0;)kqQeluHd`rqrb|9MX23xBI*^%(BkK-xt# znCtERH-n-J0gBwWa0p7r%s*gxE`?@*O# zFKrn9uKtzr+wF%x+!shveE5%yIcpBO<5_7Eza72Au28wWw#k8`aq4Swl|PkvZ+PoVML0BKhifQeGFcT>KirsyMwJF zSg{|oR4WcO%);zUQI2Iy*Bem!gQ{#1{D8c7kI3LFbX{~eG}uFrK9M4E#Fg3BOH$hgcPJp<3dqJ%5%p0~wnTn*QWvU~3KChfO~kTYelX zy+8?HZTO#fjplyja$iHLQ`A z^qCTqHKqxV1ek$y<$H$~?q~n&>olAwR*bldqukwKT~pEV8+UOT3_kn*w_a)SO8hfQ zK{SVN?!<-1>D=N!oe9*=g=@6zei`v0!FRYXIEJ)v-uEmEr*6KB$5j%zMX!A#`?$^9 zlK+Z2?u{0Nhh|I97pQRNk$rTBQpwUyX85&9d}e|Bm$!b8%1|Z0Lne-UMtF&+?bV^v zFQ2!=PzER4uY`}z`;#V3s1zSk4TM)MN7d1fPl?4fqVnYpz}t5IUIDUVnn@1GRo(=q z<^UrpG+1#_RB^Vy_S9V{Moa!0h8I^0skLvUtdD~ z>(HjBKfWZ<6N$(x8QkEgvJ&G}#I;=Oz19nLYZ*5sBTsWE7>%ICOaf_>-8@ri_LWWRhFtATH}AO|AqbBF}}#`>(Ar}?a)ni&Yj?mG397uo45!< zyKB$y&t^Ji$wTIyIQXcx=5L@KwafC4oSn=%dN1555+HI<#C<;J4E}@|vxjwJC$+wI zP~)W)P+NR@%0aOpi~54VB5A+U2+89U`zF<%Kyj&{r7nErY=xXpY?A!_6OMy-y;^|8 z(${=u3zdL1usUqxskM^2Fbr-190~3T^fKiTSfjnvS|6&Lz^b&1~8 z)$st{(_ntzpO>8}`S}iS|4@);>_SIr7t(_>VWm4T8RzuqhsIT|7Q_70e;COy@6M$` z$~m2Ex2|4muytE^WCU`1GEq1UH6Y@op=$o|2P?_oyt$+=ITtaIe$Y$7va`e0-Be#w zq+>XxyYL^;dTqNvkvff$d$LBr#zrOd`Q<5&(bK=mPvI|2<@sx_IGG!&rm)Kb<<=}& z39-AEO6te|IKONd$I||GXSfx>|6>hfpL#BtZK3te0USd$)M0y+s(fveTh~5_xIsY< z)xn_|aF(Moef}sDdI-wZpVr%IK;Hf+d|G-shi>h*!0mYu(Cs-_{4JsgWGd`mRdNaE zD9rEIQJyxQ{Ah#kHXYW>%dp*}%vm+vI#j{`_x-l^QPt+Q9?<#+eD6?Q@6Av0C*&V% z6?PnK#ThFbu`W*``7c_=zxj*9ZfRM>8PcIlCMZ9o!9Oqyz0S#0> zzY#mxxd}|VbE4pJLjzYN2{lm)hfgiPOdvIQTJWTSN;C%Od+3}e))TPIp6q|`ttN&& z54v^<6V=`q#dBCLd6FOgliMW+XB^p}i8SN{7zBmu8v7c3j$eRrZO|SD#fMv=E$Oi& zVU=FDzz-~L&Z$U$w#mak8z$PmVpr?NT$UV@n&M&pof(aKVr?XC$S%hD$fbm4?!KtMN=SbcB zMb=FYy{_oK<#bXsy}iVaJ^_?GHm$L>TOa*($j;Sk^FCdwD^B*in(QyU{wpkz%Y_9z zz82td!u5=cqyBR`kN;gXwTLDX6#V2kG#Y_5^bimy$kuNDy*;zFhN0}8n$w-b@}?&L z>k)PA67i!gfRDTCnn08L{uzUohPIpdYn1*!uf035e{KTFH9Xc!>}(9v#A18HjXEOO zaru0~I@t~QS0v}ux!*I9B~?t24EbcYd$GTv)^pMJ96E zEQaff{<~k*+G?K*UQOCAn2DU@3j5vslZO|x^|kOcFtOWV;S+pCb5}9Bj|-K{#_uNd z*qrHsKXrl0nLXi>xbS_p)-?#9*J=bB2R8XZG!@Iy!Q)vy1wJM=BGMK+KO(X!Fv_a; zgpJ}4l(v!ET%(}sdLJ)uzGs&`?*a5!+P+{x*AO35P;IX=sAB4Qn`2#5V)mYUJsvJ( zE!FBcgAUc3utQYo%f6P>2UYYN4B3W!|99@hDqNNrG5zJDQTj>kQHI~WrwEC*)<8<5 z=VrS&(vAPlQKM0mFISjHw+1@y$aqR!0+vYeD4U@li8~jEcPR|VfxpeA25oQabnrqP zu(l+5;$A)ci!hgiE0F7kukqv9{`rdLfenD!9JmVjuj=Y|bj4Do>$rQoJv)2hCX#f^ zM7zRX6aa3P38BTf8l6ODCSMt_X4)nSHbJxeHB0nFI}hEt6_uW4?D}hK|EIO$9}vnV z(f(>?72;ty5A9sMc7d1V9EiQuS)(kB&mxrGqh-y_PE^ily?bOhU1mG?6s;7@J~=#2 zj(4KqjgZ*W981dQ1YN7~&c&gJb>;HVda=YbRpqD^as~@Eg68rUHx) zswyA-e#JN|%&pE%eR})7VP%L#6_^F*coq1$1*=lxvjY8PErY1gayq?Bn^e0-UN2!A zVB9x$x}zxaMk}F}q0-;xkM`a@KqJrX*VparUzLOb6jP81=Ael5fxjB;;mzv|)|-(s z()=MDe>$LpsDD<1$8@;s)twxyv-_k6LBY>hj21!A@bO!yuB+0xx< zfQSWWQwD7LVA0VP?DRQzcf2bVg$xw5Iyycb?#jkrW+*cIFYHS z9JIxLoY!-YpT^A?5bL^5Xz2d1{3*G#3!k^kD{Q|OQ^OWd(Rr!t2>$?9wsQ>$dzDyy zjSHGwmy^lc1+iPYY1*aIBg+__N2k49CH!PkKg#%9;@}tx-hX`_dnS&{KhooN7Kxt3OBbx8&u?4#130oB zZ&ae4y|Fz?RQZrj+v*K?yGz)e*9u_8zu+%r&+a}tVLRJH6tbPlvwSkT`=O2XMd}r`s2U|DSH~#ddO!Q{_!VN5O;~0DjiOo^- zZ}Co5)s63=%U65PTq|6?g@*Si@606JS-ovPmU?$!Ox0}QC>Y-(#8?5BWs^PFwn-4g zT6B`ZfbV1MX8!XZ+oPTibX`f1fz0y&v0?I2nCstj3c;nWi*ot`62S@7ENWLok-Orr z2<`k9&>T;Q?PxZLg6JoW0&O6#${VN9A@IN>^l2W0GnPmfB@Jfdgc26zIWYt?Dfze6 zLPUp{rT=uxYYDwZW2$B<+Wy%ZtQYC?_l=cQFT5%rTK#fuY|r2X*QKvo%5#ZJMw;r3 z777{)GPG>41!`6X-_)HNbSqjkqAJmuf~fux&N;6O3GX=!rXhbz7ZpWTd;EhIa32Pv zJ`4Z-z@|$i!sfFn@H8cgZVT3f9LM^wQ*Gi(K;Wo;>*gu##v5J!Ajp{BI&Qy?HTUsf zdYX^XOiF@q(X$P$O`QCU2p2CM?{Bw{f~slMkZTz2d2ZPK_CCRRxv=g< zOFTj%UV;jr;upv6jg&Rz+}}s0<_maviQ}*D4u0FiTU(x2&DFpSiT9%UTk7yX{bnq^ zirFZCdEJifW0tGgtRw{FSKH&M0#^J9#xg6a3vU0I#`x{_`TprGq0|@1!LFW~^3zkU zPncgz5W`QYOT{*sA-xxHr!j-%gqH|Lwpiu^h?-y_lZ>lN1W&GciKD03i&CCK{owI2%%Y>@WSRQKVQ^w=7P$t)x63+bR80Mohw z=LPKnKl(*z2g0Uy39$4eeyN}9)D|#?yaP8PYQyk;aG^62phbMxAQKWb5oonHwjSv; zV$LEp@I!%C&5X0`OZ14@*Rv2mE?^mlnAs%Gg(OAs%YcE-nk`a#czMi7c9ppk&xLqz z7f*PnTuDsS(&*>DIE_|YoEbXJ_;L{QXoSBmp#Uvxwo3B*nnGsm(u~}htMwPH-QAuXF zA7`)d(v<3KBuGdKX^!Dm7%p?lYMaf+8T2aoKCpOnP@%qz>I#*yl1ht|L8rr+RJp3J zzC5!CP4XzZ*U2?OS11^-KU$ClXtpqhYkq^vGWAdhD%etiWfr9fg{=@ArdLp_l(Q&^ zH^@twa+;*^R0v8$IGIX*ZtpInsU* zs<%4#xp$G3S$w{eP~C%xB5&A{YqttBeq)+9+u&DkZJ#$C+)Q!;gz1>Whza^|JpWD@ zfDEFV&I=+z@nS!QFV@Ph8iKw0-1D)-w3zHKsvF zIQ0FyzcL@QXbIypq;2BANZY7qUMR22OzVf`$iOmRL_ND-McT38X=K9glrDFqYY(?+ zL(-{jM^{o^&9iQd_@uL4Sj~hG2KUOg;e~00YoYbwKz`E)`ByOdP#}Y8Y>{`;>=O z1}J2%OQW0d6X;MapFLv12>W)>S2y{F5^zVuwE<2=d>!%dQ+EF~i$sq=6ZuktLJ+&*c+;qL)Kcxzy;4}jv_?SZ{ zG%6$>y%ZqCdw#S?Im}^riK4XxK@`iwE2zQZ;k|9wonJ)RS!KcSR~`5@y&^=uPwnCw zAfy*vM~BTrLgUUu)wtJj5g^RLdhfjnk-a3*z_!u9j-z)cz#EMV9G;kgs;mTp2JWed zGr?H`8(nli+!X6kZrjO=3>!$orh_V&cGD~RSPt&30SCl;_%}DnGgy&kcU( z%uv$u^(RtMi_9_!#aW?^B4RsZU5=+x@~JZV*FpeY_s}u-nqg2Ja=hA}tDdQgo@pyw zQhs`AAEo(wYGY-0lA)BVb~{tHSmpi?$s=p9KHf%LyS|IQUcC-rvA>SV?f4PLfOx^& z@=Zt5^oQZFtA1lHhIZ`IqjfjaIF5ak`^k#-b34#F3t8}J-SVi4%f$Kl$N?)!au1*8 zkd_F34NrC2PS_^1n(YkB0I;?Lb@PcZWSo%+#xrX`fd1A4W|SI^*;X&8Uhca7UR}@n z5Xyn_H}f%S{&1jE+pCMxrW-%@y>q^A{yXd{ItYInTbL&Wk0g3eeY%Z?HvLM^WF5Ah z@OUF%?{o{fo#FT`zd@whIKL>8q-@;{S)sTFMth2XjHT6V^qp?3nap)JWuQlw6A}3N z(hs50h!8SMP0!5A`%^oo`>Q1ke|P|6c+?-0OgS2bIn6*pWVJKhl;Sp@{cS5JAD+Z6 zy!v^bm0&sdU>N$syMleT=g=FIR=k++h&6w>Hcqe$uIY*NM4b&i1<^oCOpf>1YlH)E zaj?zs_SBo`yl7)wqD=BELXz1K&+llbp41^PDN+1P&eHEc7r=c~S6G8E#b1`=X~)Mo zZ9zUN28e%HygorPrAp;Ap3}+t{7r#=L%OT>B3u5!?(VUhcS)e>A*hj@_`Q+{XLx7g zUEFOWf#)#VlVpcN#l-a?YI66~Hbq~g-71D7{BD^M-7MU_iyAx{}SJL&$Zg~l{Um-if86O1mP=ehq>0C0@C)&M6?Px9pKg$#Qu4~^z4D$aIR zLom>&inwU_cRg%9N#cSr064>jWbRP3M+pbqRZRQIPBFP?V=q;tH(kQ+AA#6sjqy%t zh7R|JKg$evF(|@A;xjbmt-IQe;MgrNauFsb;t$=9lk$M`%Hpv;yKDP;6XEQfqbskc z*a;2qD3>UReczO?XUGpNe`^^0qa`3tIQtIKb5A-ibzgws-q0~75FV=w9-fsUL6GoX zJwG1SSHI6N?8g$@J+dPWr7zksgNR6>`yMyqO=&gqV~Ntm|4> z{mkMm7;_r(U{C(mFfVuyvNi`Sl`91ory5-TVW1uT_m%?yw7okKm>ht9|Hl0&@v4{) z4uIgh*iUDPlt({!eVO%#@})Yq&G!*~`xq<(&|vPB&6mu#qfs++Vb0eQp4fgRz_46Yf%0j#&W)#j9#~zclOx&UPojo@})WB=>$X^03&0W=nCWyol z6BjyNkogB^K5uV@oR;<`JJ(7McLVE-&dZmaGcWJMstn5IhFph}HRULMopaQ~c8=k1 zV@owWSAXuNmK`E|DVQA4IjVRxLzdxp(MB{vH9f^8epyU{>#9jfN83;T32{}q9fRIR z77N;mcR`L@GqWzC2V&u=H6nrzP=?)TT7g-elAU1wlxfM=~oS->X&N! zdKc*@KBnd!!_79dO$-OSevf~X^tylMX(mk`sj8ZJ$Od(#wXY7+@Xft6HczD|Pe#8@ zcpud>`xzb0Aj|qqtk#^1fyg`KyX))MTbY=v7~Sh1s*)?uo?M+SI-S6Z&3T|9pxJ{8 zlbvB&!qpzR`Qk%tduOZtHNJ*^hItm7_foo91}@N-OCv6pJHE^(BSX)1a~^ad=S;PC^7=21E*$s*7mauQ{KCUy6F+w-MBFXUUUW7a?vh$HfyKS~sZ4vPkh9NL zeG4~eR(<=t@_jcN&mu3lNOoP#Ts=Q@U6Px09XcDaYU9mu^>lSDOU|+}=p3r55!2r^ z8)q1~IRIAU$(&{Yzc)C<8n;u#Yv$$90H-i+WEQUYd_sOz-0gyz?yBHZfUADxm)L-V zEWlr0d#T%%$XC?jeg(n5ZU-E-VjBW?Fv(ACW1zw2KVt&%@IzBphF8+f150s-Zi}On z;s!auZ@LCKQ*N7aTQq+cFE>)1rK{$;_015Z`_Q~L22#g(fJ+DJEw(vAXG3D0-8GBa z>6)6GW_PD!Yl*ktbOEDd7t*f&EX!;wZp)iauH7|+bFQ9i9cYl-bL2nYGAb{!M^;9d zH3cjLmJeQS!C45o8Jzy0Czs3?v5mW-(ZfMg^Y^i?kaou}M>$F-4cxV$E_}e072sUY zNsI&1Xm7~}T2W4g#DMJ{R)@A~;V;&ERI%pUYrN1RU2hkzZavDZ!#&W-7xBltiW3dB zOjohi?!uGo{{Y$coZ=ZaV2%y|Y88qGkX+dp`S$=Cz`Fe%sPc}-PTw^Bw)=IW+v-hq z68I{_4q^uj?po%};NSRbKqltx!7ui|&hsk#eID$O#$Poy{B|Jaen%f!;)9ImS-m7D z=UKhqPR737A z2n!V;uDPJ}trhc^ZpcyPyV_j?XfCrIG&%8lQR)9N4LO!q;b-`A;nN1Fup`a$_qkyA zeR+k*xA|LuwlsPC8eUI9FSouAc~%e@eaMs@0O+qe`Jb4#8NS{rATDp4&RQokOM9wu z1|V45V8cM|rDZOqs7oC{`grhmg)%b8>#uf#3;G@vM;q(;5!dfg5#muXeq!B86PRja_3^ zBT`XvaGdv#c06tBE^dalxFu3rtwSo~Pkc9ZU}m3dbF&{Vk_qqWZ2t1eZ(r6fkvhK2 zh_$m<+RDk$(Xhm%6RD)=1Pw#~naSm<{S*pWURg~uKmSy6oJ3{I3{D1~l8B^PdtA(t zA*RTY@N<8eI*JvW5vnpk)vs)PTbH&S{;Ux>HL+U5nwJ7=I-x1A0?v8;iu${@so$i6| zCV@{R*HiS@1~8k8&{x#k`fA(ypEoO!JAZCJeD&Z8a5oNgr}Kcv^$>!&8in0-@AZ}q zd?LP%W4i7}-DHK`Z0X@^?g4-E$bsE_4{(jA3-KB-;X(O!G?f}H}nNIMCUJ3Y7G+IrRqJ2i1^1PM6-ojv{URqWn49xZ|H znj%|v)9zA%E$|*KxE|g?EfykM7t<}?f$lUO=nU5ov`sOjPVb(zl8@QFPHk8czdQ45 z#UrN+R^;Y&&Fu^5%?;R1vEGjAo;Bo7UF1%wz^6!PdxTDFq|U)@-})Zi&znuKo1)i( z>f5M6Pbbj!pE`kGJl?i_n|pLWZHmAGUZMR?Xs`XyHa!B}aXe~iuMH43sbM#xd)L&q zy9hedaXgrz(cgnvmq?C+p2ncBh_0^z%FeCGJspib9T=V1NS)|GTsqsnc%9=&op-(c z?^F}1j))#dUp>-;TmDlN;fLlIkF4H)4vH?goOC@Fm9Vb9-yc!qe>0j8m9mIif-{0x zC;sg=jAAc=qDZd_*O)6SKo*tZ1i|b$ddvES!>x)|wPZ2o$ygIU&Nslpvs&SWR)6!{HKFjF8XhC}Pw}jw-qO5->M{Oi6$6zj-;=S31 zUS%@7RU5|}+Z@14&5iF(ck|};MFknja$HI5D(623cUUR+O6HeK2+tx5iTM=Wmm|OD zBr-K&gNw|(%Jzk@n>f$& zTNA3jtT_=FM_Gq+QTvAxpyGI5iOkzg{6g}Rif>=p^HeL_va;8$ z-&lO|L;1O#l6n)Gwr)G-S}D!AM(7%vC*@G=A2hi)$hGciiz)b?wN1(|U*Pa5oOI{u z&pW{ZDXL%mi+91+?4V5o6<%ZlXRB9s;eJdM*UZk<8N@9EEo94dPFYqr{NfubXnAU{ z4wD1=8y~@I(*a;N&FEu>3Z#}xsr!q%&oIhAEeVyvoz9PsNp&&f2in!GI9LTT{-5M&l#^V)SnVt`!Z0Zj^*d{VryP zsWERUH+WH6q-3`n`=hd1L+oa2=|uug~ENKVb9G8$nJdD z-`D#riI`YRitz#p`~bZF3xA<0t?8{#gH&L9>6UeDs&4|>3YD@{T~amU4+}YFZ#vaBv~unE5JJD&X>c(JfoR|D{z!F2P#vX6=qg zKk|A>rs)FD;qI=qbb>0jkTx=!Ygg?W5@1CrE!^a*B)l<8p`K$P+=Pasq;wHPw17l_ z>ug<}VX@gI3aP#lXFu|C)sB*S3YnnhuFnPb z#oA5_8P?O)FG2HYDQ;;V+qjEm0$b$!59Sf~dvN-49(`D>=QT?X&{V_~44NWCecX-#n+4BpUtdZ6<}=2YdmEECp`DPCM_ztf zDl|^J5Lu^~EI8T>K>(|5zRqk%)EMW4U-3==nrC$ zQFNXObRMDv5Rw4;0&Lm*IY{N}6nmmY z%sSP<6gKKXOH0J2Bvlg2*7HhnfyfPOnWVIDw-yl8C%7sw#}@6O1L+uLnH4DBY!#*u zwxEp+r)Q{5DSi8i-{d6I&`_U#qTqbP7qcO<3k@mNd|iN24% zwE_}P1HVkj4GXPM5;WrZHe{e4z2QxK`{;niZ+ zY4{ML=Q3X#s|ezUje8;Y`Mo{E1~me8Ubsgrm-drUpL2$lg|jgm#(riw$-D@Lr(fM9 z!;phS$NO&*j_{968U-7A2Nl)8*A+%2+{8~8}{T5|_7@_YeO?Z!ro>%OX5Dl5Cz4|7! z|Kv9^={G|9nxOldAOs?Bfl(3aYIAgtPOR6*n*F#{Yp z?n*04pr9zd#CvSe(fwP>cJ0veq`?4>!2pi1$6>gpcWUQQbnC3)g!Nm((hIr$y%=Nz z-7}o@mPu&e-fx6BV7vBj;?+Gv8#2Zv+jg#QV6)i7*InIh5(Nu!FL2BC=44qT&vD zNEgnr$}BavuQk0;@@@R3RkVz^RLDtAHE&r;u~wKiP!YBab}pg*2tc!V!x@oa2COiA zx*Pd4QS?!V!JZ9&L>#yvj96pFXc2OFt~`^8mlW)%?x4BRd?TTbe-J~v79%+d=O@8X z5TDYmrTNrz+FDxx59LUOanM!NJrieMv?m7-4*!aVisll7{=FiDo9}gqBzt++?E=$} zTIz*d@m6d~QGRq&ED+vlr|)y3fH2UU^2UUD*9kzLcPl2i7w)r&{=zJ@&nvX=955dr z2Lz}3^rE+IqQ4Z9-ck#nR(JK*?n?@Lz@*q+C zanD4^Czh$kO5lpmFXBrL|48`ls39&_9sS`6o+_=lVp3daFMi<%h#xdY)y8pBT&P%o zaAB8p9s(XpZB{AzU7BmF;V7oxNHSExAU4f+;#2op!uZEbXZfIGr|u1>D%=^wkYQ%$ zF4>xU22We)6PK%#F8lzT7bD)WJ{0@ErhX%)+MxNts* z3IfmgiAJLLRZy)aW_UB064sd2r-T)oXG9n!2S0>89`yV-k*C%hJr{V>vS3TjTpTK+ z#(QQY2g=VHn<}pxig5>o7SvuW?->X9+nGLv0I!~%`U`ick*{yZpSK4-lVtFM=WqfV z5OMEg(Sy{&o`O1<<8Hb!!LtJ+^~N?Cx${@u^Iho2QDI7}TUQc#2NFI#(a&TUBzgKr z;d)o$KBOOG-Fr{%{*m7Z^J~HujHs&4)LLm)SA-DNpo=*m4Vnh`Qh01{mG3P9048?_ zlRrVJT)lxeWX%Xe*kemYU-k7|c?!+aYGlZ9YDuHwHa0N6 z*!EKrVI=PdUzf&rQVH1JPsE-rw0~mc7$rvf!u)sqrf=ptNuqMv@>VwjE<=VJMQh4! z92Xs>*6QK$kXcFggdM8Np$Su>HB+6EO?VzYq*Ma;Q{RcbLTN`Y2%AIy5_}3(`#t!> zYxY7vNS=i7^m2Qtjo5;Y{NF?Eg$NW3RBz1J{eaF9&WPlT4Iq1|J zv~1$f9>+P>JgFWApAKK3@O2q`wzJi=aPV3vlS-=Wq3K;1*g;E&TWp%1i8AWpb!7FXZ;6k`_cv_VCj)SuE13bPHy;@{yF-O0D|+Oa39S zM{T(><^>tU4cpbh=y!&*u}eoKqd9P^avW z&BOLPQjgvd^QkkGl_0u&XuNt(_qK%Im4pu_g5oeZiPR~W^wwNxUs7nF!EZzps{Coq zG*LgEyC>Qs8`qH&g9K=BuI?0RI6D3@w1LU5?!{`L2kMTBc@N-<@uTeQ6O~T`^Ak zMatqo7YLq8W=!CaIv350ds2ZSO0#T41YH(7DH|7}TSuZjcrbj5x!FSUly7O;D$`=x z4c`H5Ge>@%uV3vW?H?#=6fiD{@6c%wp1j%fK0~XD?w~PS|2_7S2dyXL5f1==!fyZ! zz|;;8lh+K)yGsTG_5lHdu2&SVAHzpZOLkUg#FjTEmp7*WHHF;`1>{)bbu{|90oSn- z_Xd}Fmy>z7R@g)5$*ndC;ECz(f$0uHZ5yxLw`1NVVcs=h-W4R{7xadE+&v9Hyuni; z+ONJe<>ebnKO_w<3t#Ef-d5Dr-B7%+6lf}KC^9X!ukv@4vYt}qZRc&cLWfF1e&uOV z1&HPbb9344(Tr6p2>AAc8q_&Sa?Q%OD8@1v0A=b+aa-ic3sZs=k>X6*^exwC*Y(4~@**!FS@pT-Tk$!po zVe@L)tFWqrYe$ibEo}7fS(won{cGpO^*{UApVUKW4#Um1x=--tL;?f+On*72?u*n( zz<}*mA2TA<=G47-$BAm)mq?gM=PH?OH`!NZ`YBDF5MUmN9Wv$JV(aUH6_!GS3}}>^cg%)pueNd^q7Z)G-y?Rc*rEpaN0E zc{Vh-;pDLYeBXLodnUYW6ndi^^mj4TcoMVddCF4*-Lw(hWl(Kw8YN_Y@t+D88zh~}d_Oz7_Fz@X=lRP4t;q0P0kN$^+~ zSb4Ee?Eia$|7Ak)Ho3)#|2d{;4{oL`{a@f{@2^0`59k}w&9OW&lRmh{Ny?eDj;ivL zHBqI`vruN0Oq)0Pc6=aw$D93-axv~w9N(`Dks(IQ@%ffdPUE>QPIORD`)K>1vJdbc zjs3&dw~F}(KCt~OwfX-800960cmbqWYitxn9G~UcQeNf#tOshPP;5b^^g&^6Z|-i( z?StJtsRn%wb0UuxR(-!CycD_B{MwL zr0SOExZKS;G+5oyzGdCo_SLPib?r|z<1%_`B1{WltTz*mB*bz}6%7qYeLKF|2w&qZ z`5ZHAtHMianSPMYi;ynqi&Qmr%QS@H_AT!G2y??NnYrU=DMRlU=R*aBO@a;iFn6p>jLn>D=HcVdESd z0BtPmgxB@&=Kln%*feOk8>h`Y%k?w^;>-YVYPywyS^s&2t$-iimKpRp26|j&a2;?) z()9F{zXMJ$V2?w8pk@C7{F(p_u^1c>c$P2{!caBg;3PeD#C=*{l*P}{&=9XVYFg+# z!aRr_hS^sx{V@VwOiIC>lo6Ez^<2o7K>z!&OO#boiageFZO@J8HLPZn0DtxRyIt^N z6QPk^LTtt%Np!#h^brw-E!4je>>?Obfl{Ef*?|vOUmdkR-ELcb`IwOJ*l+ z+OBUw)V9#r^%NqN9TQ8DLNaTiwQ-c52C5cA4&CU+PiZ-bLe0&QMSK|au=7C1&a9s* zP==|{P*|)gy**EhDxoG#X2;?8o4c#e;UW}<7Ns>ynNFUV_4Bu9ajGns^6QGy$YS7u zqjTDI7!MWhy^+$yEC3|`%f5s_v_EbT$e+>THn(%0#kG8FEh5xoE4RIkD@Fz@)QqVv z^;9$O%@TG2<~Huy^f|mj%M{cp9A<-|l`=HmYhCkyDb&Q50Wp*`%_Qiejbm@%h%|IU zU>)(YhUO|`$jQ_HdE?|oK*(@&au}IGLZSbZQG_$sgK#B*9`x4Ty#l``X}@4+YauZQ)`%7m7QQl>lhe zxwaz+mKhg`U7R2z%_QuXuTR?n-Vc34d5<-MaM+cx=b-=aN<%A(TLBF<3w#rndvvE4 zHxoIS_n&br20td(A3Kffq(!(zBKw)-2pdl}!lMuq$yeWOGq&K643}skVTvwcv!H+H z;FTW4Nj0OU;FOi6%`XzY^jri(XEid=GlA;h^-s2cF$KP{p`Iz*%=#izmG!HfGM>C$ z^X!@0{h*xG4ULg^NC1tKx7ps!B*(abHk2bk4I^h%R9@UrXsnwVnPkOo2pbL9@~-k1 z&~)-3DPE$?q4+7?-BX8)EAjH+<)jhxv zri;aGs9dhF6+vmz-t#r;5?1|S@g(wex3Lxdkl!Cpdsj{aON*pD^%H5?jM3jb!LBx4u&IfbqmB}bmWhF`Ke z=OfB-fJqlcMgTO^dYxxo}-H;fzXB;)zFh|8~?>296`$RP zcSREp`p!ssaqfubSpIKFhOAw+qjL?iV_p+`yagQRsT}Tgce{fgksq_am;43J#e#I_ zS{M;647o?K7I(eRJyh-LXYVb+$dISE4(FPg%ol%hhpGX>kI<>KYR}VcrG2k*6qD?A z_P2wpaJ@WUZnlSFQFeCjtDT9^NKI8u!{wS0q%uw~>)Q`S2h*MV!S0_Q?s}hTr1;C9 z?t1Gp&ck_HD~9cIi&u%d56LhfJ=(dN(B{)39WyUMKP%nr9}(l L02uxs009605^psz diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 965736d..38cee4d 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,19 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618731919693 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 03:45:19 2021 " "Processing started: Sun Apr 18 03:45:19 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618731919693 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618731919933 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731919973 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(273) " "Verilog HDL warning at GR8RAM.v(273): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 273 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618731919973 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618731919983 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618731919983 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618731920003 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920003 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(324) " "Verilog HDL assignment warning at GR8RAM.v(324): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 324 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618731920013 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618731920343 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618731920483 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618731920483 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618731920733 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "322 " "Implemented 322 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618731920743 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618731920743 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618731920743 ""} { "Info" "ICUT_CUT_TM_LCELLS" "242 " "Implemented 242 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618731920743 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618731920743 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618731920773 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618731920783 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 03:45:20 2021 " "Processing ended: Sun Apr 18 03:45:20 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618731920783 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739747817 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:55:47 2021 " "Processing started: Sun Apr 18 05:55:47 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739748057 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739748097 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(274) " "Verilog HDL warning at GR8RAM.v(274): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 274 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739748097 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618739748097 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618739748097 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618739748127 ""} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetRF GR8RAM.v(269) " "Verilog HDL or VHDL warning at GR8RAM.v(269): object \"SetRF\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim1M GR8RAM.v(270) " "Verilog HDL or VHDL warning at GR8RAM.v(270): object \"SetLim1M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 270 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim8M GR8RAM.v(271) " "Verilog HDL or VHDL warning at GR8RAM.v(271): object \"SetLim8M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 271 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(325) " "Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 325 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748137 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618739748487 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618739748597 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618739748817 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739748827 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739748827 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618739748827 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "306 " "Implemented 306 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618739748827 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618739748827 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618739748827 ""} { "Info" "ICUT_CUT_TM_LCELLS" "226 " "Implemented 226 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618739748827 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618739748827 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618739748857 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 20 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739748877 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:48 2021 " "Processing ended: Sun Apr 18 05:55:48 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 90b3b8b326d10b2fe170385f2670a14d8b1f6400..1b23c9ba76817939e6b68b592ffd9dc45bca747b 100755 GIT binary patch delta 907 zcmV;619be}3Ev5jTYt&}00000006K700000007?v00000004La>{iWh6gL#l0s=80 z4zzHndUzKgkv7TBhD3oAOGFSAi?-SH>r{DXJrlPcd*qMM=>`5I{wDqeZm6Z<=ke_B zw1pmffsrgf+wb%D-m~ZI?Ck6>jJ5Xb_0|%QC98fmywb)k4u86H?;KX|AoXXIegUbk zu{Bam)>c@$P19Pr6CUWPFH2_XuKa?&45HEX2lRyTe=T74V&eoHzXsx%TPIXOkC`vH zUAWhsdoQti3m3bi%kY{0BoKIjin)qNpYno9dZwMo_=f`Ty+My0=&ClNIB~RoutDj) zd+FwIknYe(I)82$9cY6NV@_z5{G`1hZ#n7w1IKqP&CyJSBB!;qeUiEm8RcGOLE2Kn z)SNUr&XCo)d(I4}u+_2$QaDSa@nk|3Gfsgq>PVJK`i%rrHEHiE?LTWrN~V0yGUpAjoYjjsLein7 z*-Bb00=B3F=HZDEzX$AvbwFHYHrRQ6Vh%a zy|pjV4aeG{IiizXHt4P{)z_h2!W$F0Dxp?q3V#>u+V$nxsW8q%)8BYr1)d=P-Qk#K zQfI$L`5v}9-^br0K<`_Vw;?d~S%%umy`)V%R;Y-|P`Xcp-TS+{_wVl0a4<}x;;%4$ z>(M^m(vv5&cX#ulaP&Pl7L^oP2AI;Ft-)4G54`~R!<``w_O{dEcGLRP38ggshJN5P zdVdt_H427j=m<>|RM9h5wztY9Y(GBQKN^iA+f7Wzy4sZdluLS~oN)Djum|x&Rtnj^ zzhONd{Rr=)x*LtUk7eruPKU$2$a-bTx=P1+?Cpn;@qK|2Q~K|;h5v delta 907 zcmV;619be~3El~iTYt#|00000006K700000007{iWh95ocrLIq-4 zaRABzad?-uiL^;(CZS4uVjDG8QMX#M;p+nO&Uz*Wk3I57%5Z@{iNCG4svqI!@$BvZ zEk`aelI3Uneg58i_FP_GUcSQE>c7!wD*;)v<_E(YZQSZ$IDe1c!s;cYUPS3%A@wP? zCW^_{3QIR>-YR#_13mY3%}hI#U(xS@=yW}Yo-qEc1`ZH7l&iW@IU>ZK;Uy!%r!*%h*wO~W9>x2Ul;i3DSG5sH?0xXxufml9m?+9 z$#(NJJD`bl+^IliQ&E_}_E zi=2=X3Rdd4459F!8^f)YEm^<#kr$2vf4TX(Ig~XyrhS0{;Ma(VLO!<-(&KqF4XjgHBq(e)$ zmGoEyY*7cy!xJNZ3D^tkj97T5jol-fNzP!<@Ddf$W1I{^T!+>$#cq}@wK zTOXkt&a^{wL?^lI(A`|BPeZ$eHzsm5LT%2z$A1O8^}+h=LKx?v=}$br2c97R)yb3= zQWrl(`4P4T-<#hfK<|5#Hz6?hMS9ewOcdtv{bpM)NP|8@{0X zv438pV0ea3(L_NNJ!W-(tGtWt2d77;lWAo87}J?B5~LHvZ(LiX=( zSWhS4!uzQ1dZ+G9*}8(${4kHKSJ$kYbi9qdqYyH_4>4j&|DLw+ztFPw%Da{SvV(xIW&hs_;s*VH zG&SG z%0yh^mX5_$4JFh)?rjnQ5?(}ib7!@C^lAIIBh+>b}XDGJ9W5Ij7tWG~`ABr(s$41+i(kB=+$o}M0O%b1To&uy=jt+y1@ zPSeHL>L2l9AAwFx>y89g@W(<^KC#iStgDxPxf8{w4x_Yze-g!f#1><5|E!(j>puSF zD~|3?-ZyvMj6s>@+;i8z$nRNJ-x22!KuO_b^VgTbNoovgsy)# zvdDT>ock39ee2VGwaIqB6aW{y9=PQ*by3k|aVwPLduv|JLnhy-Do|>e7vZ0c2~EUS zuHv3|Be?HB2AvE~hps|W%J==2|LQ=~HV60YLj}ipJp+3oxA`IJx%Sxl|9>9;hz|H_)N0g#^=BFqH3TZPTz-LZHzf>tOqiODmScvq zfdZi$l>D52iG{R_UI(buFK$dJ_>!VC7Jgx&I0Z!Kp9}>)jFi8Z*yG&l75E6G$#b1L z4yVCMw6pwhW(~ZemKv9uhX|!t$fnA{L?o_>gnXZ zkykWV$XSxUEZ6b#hPg)-|sh~ePpUbPVDz7`fWoavf3~Y(^mV9q?%;Kh-{=Coj{3`yln{I6i&J zFte$(HLj8KM+f!Sy4zcF?!<968-H5n=mX7o({*O#9A0{cu~{1yla-t5VHrg+)?6n1 z!kctf-dtg6pN(clRtoNCFJWW<3800u@ruK=a)3;Pb*r3?tJurL!($-OHu4Z0YAI}w z57HrmA+&>NTy-bFhcPR9k#%WI)fd?ems2ML`v&Omi@q-7c9)857ulIT$=8scbYIX* zypcnjV$|RrmC+Y(Z@b!}7caOQRXhe7RwN&%uS$7o8 zNRs^S{x;g=;-c;9yzR>;oXy)?yAx#phnP^0#9dm!yE5BH_ck!$np=426Bg(_fpgw) zOP{@2>3hQ5PihhInYuvgC=QS7yZ@=n^Z~|46ocWk|NW3$fj<5K%yS8d`Z|Q&S zrVj9F@cjr`0N$>wPQ-d8%z+tmcN-XU>&xHV&=LqY+5P~a=R9=w+B9@M(^g$7Iax3>uP>wxI8ofBI-@X%^C(%@U-5R zt0$R+0-5V?6V@$$`VjkjN&U(hl#>QuE#ENJUGZ<+TKl9ExUal0qNquMEiesX?M)+3 zB_)NtA|`I!^9TQ)GT0Mq-B`Ph&`-!1w#&)VF^hnba#FbYTC>AmGn`COhvyh_RZ&S%Fw-Ud*DWb4o|!xI+C6n| zu!JF(QU2xI^#IP33C|twnA06>(+%zz4E9V^Y&d~_h}7IUIECNLYlL{1OQ6FqKM3c1 zKAkMwhdyjk@yupwE?p;gwFV$7kgqw^luliI0PFrn*IaC&8D$r8#cyITF7r7lp42@_IRzs-sR^x-f;xd|&pQy1-UyIUH z7(h-bhU6`pvzn&-gujoDJ>ewVGcgK2g88QUqgAIWtIqh?0aOw&Y{73O7aP}U(+Jf} z=p4EkhNliz<3^{T*s2o#rj3WCmo@7h-X$lBggalUSjp-Hw0IrLcR;Ijn}~zEn@Ekt zDEs1hKT-8ZQ_Df)Ap7Q@`#5}zJ1xCtpTv!>!pc40G$L_{Gp`J2YP1wXtanLWvvkUo zv_KI+v>yEmdG?L(i1*O2bFL40Xhrr>b&WN3+bR8O_{GbZOF?^A{r{!-3$)*npibq$ zzde@2uyw}AV=7Ua)I5%MVuxUQ?Uy3@s=}D?=ey(JO$8fQzE=0l|FsiqO4uG(jIk!U zt^mSHZYKU&Z`k7+5K%`{C$xe6(lo8sGcT?U`QM2Si4Em2p-mLbl&ZtGtskw8Wa}CQ zr_p#{^JA2`Z)a?9dmDQyVE5|WMN^xw$a363`>0gRDMLyU8{(_GI5(2b;z1tTfl?J4 zYS0!|-~FpyzDjBbB04qB3a)&W%^NTDPYaZ?^1AKYFS8KCX+n-}Gtrg6L-B~dCD)at z;SH3;mkD8_mdA!}OaZa}oK-H(y-H&%3V+$EUodEm+zhMf9KQ_@hPJ_9#@EzwNi$t! znZ~!Nur?sHVxqYm7r*^oZ;GTJgTgs>6OLq?Qh60tmW^b-pbj?MHXv+VC$erVs%-37 z8-$i9L4=d5~J^$FRXpi`U+KPpuKZnK=44>PWDWfp4s z$T^EWt7kWO%sveqj2L_+DOo~wmENqAY;->5pw!(vGg$b5`c!+PcK6_TlU-8Fr+UFF zH!{K3eo~-kK_-0Fl7g#%8{l(?# zS(f~ut(}6zNWV$if}6 zjyT$I6oLUy_peZ~j5MEETTl$zDS22#{!5*qQ8JV50q&76MQFiI6ZF%bRJq`pC)S|i zX5_KXVVMwyD&@B_oN{Or7)&THmK4~b#e%{aF+}jzbeTi(1}rQOH{|Vz!j!Y6JM6j% zj#G1fUP)9y4hPO%isIC2NI(}W(#wj%_vWa8RvVfl=EA(qB0t-!@)4snv_?^08Z>20 z@RNico8^x|1IqMhaX*=%A8v1i(GaQXTbt&2c}|6pvA%_vTQ`(fwm%dU+NS-6yQdLq z3t{kycmBpuK(;yQNaHz2hfQ(`;kPEz*bB4UmgHRqT*6=UXn_1RsER9<9{|wo6M?`P?+<)zV zFJ}+ITco37y>M2M*NLJMzE|WMYSakV%Q@QNDWIeqbq^!1M2WwRo0(-gMG%ugf&FWN zXNyilvQOMirflAg{|^}dfS`P|12HS%u`rvEV#4|x9SQ5qEEEPWGhZDedWgO85S(y% zB6bvcJ${-k@Sd%tu*T}-m=y3=xe5tkwjb7Yf??fL++R=|_vkMkB}8Pdh!`SF8#n-q zDF7-o@($}e`M}S?0;E~~0b6r~+U9p9uWN*43ZIVKasOoBr3+d7kk5)}e z9fk8J`>MO)xn9esc!BQ6`7g2ob@hs8X+(DRl>2^>&{Xg`L>=5)J0VHXOrW`c2WbD8 zwdH@8N?v%sV`%dj5LU+W!~0Y1cUXOXteLNayOI{}BEUk^c~l zq^lE)PF}8x$8Z7MCH5naopMDQ=ce4mvt#&n!tf)+7TNmbK~eB-t5sXgmL_ZFw0_^) zl$jxO35MjylFC?AwbGG;*x7abA95xQe)|;Wl*dQ!+76S@M@_H1N^HUFpb&H(tTPj6 zShfstcyE~D{OQxcgL*_0Pc35P%UjI9!w6p&$f3xvDs0b(8Wx`<_X%lQZdZ8BylfgS z`Y{Okn{nE@aXfO+GaSbbw#YML4r{!)3|9|d^McrmE4>er3V#8m1+a8@90mf!ZWTa_RaO!Iy#P(M=gcC>q_i`AKAsXL;3a_ zN~0IDs+p|rp}t`i{<;rQuj$(2t6}N(%i(x(=Q zp6N9-|8z4lPK~sFyq|=xEAC=OyPTb^SHFDJYJJoiGeB>L_(;;IsZqyzY=SXu$Ui?G zf42FANScd8nUdgL2VRCBKbWfA&iB%D6|r@@`^e$s^Vpn$XR=J(RJekSoceNU{E|n(9I>d}xjmhkDPYGWYn0v( zPd7GFBlG09Z2k0+gNhs`Il!TXr=6J=6w#dL*T~@rZAC1CQt6DqQoDdT(4$oX0k^;d z{k?Vp(Xa2OwMn&{d@l2&@{iabca0{c+IM?F8l{%6pJN{`Zozn*gZ*RZvCP0V|JA-n zzBcbu$aYvj`*?xqM7)&gNQ`HF9Rwy|6JDiCEj(08`%#Iy=ZqJ&jmoNm8TgVGcWF;~ zKrP`VX*An(^e!RS)gbjau%3Za-HXmdaB*=?bb4||Bp90cZ4^5}_|KDOU{!~9*|_0G zXK8O|oq8wPtK_B)~ZtCj(yq zq|MhBhd3L1L3S?WAX33KithwiK7RQ z5R}3yO+0-9-UXinjw6I@bitQN?1I8>P2{)Vk_&x>IrzPPTgzmd_eG7-h5WRU8w1cz zpJ&;rsGA@imQu7ubmvw6on?Q7=a&#_L2qQ=C!0&5i1N?1Wv1==jw@M4Zq7DJCQIN^ zxl=rolrv}i%O8zb9Qa%e>Lt7*qEl51b7zZFbTkbcX$7gGRLdUkk2ZDI?o`-dV~FHa6cFb^wLa`8-=bH`L5i14Tv0uJ{bmYP+_RS*Jy2 zG&FB{R7`U*tJs~lupMHTD!x^zVB%GL>?W+_89XT3Ri*DX+tX_Iv^j_LluMz^O4LN4g4JLBCiJLh`7>^7yZgB9$qTq{t;y9NtINQvH{zvYHk%-l4G17X=1El-bZ{lM3*K5o z{nEBKglCHX4^W4pp}PvH$k)mHXqmbWfTREje)Rp2>=cIR6T|Z+J23h+cK1!s+R83U zmrggZVU{vxspH(t9CA`j?|JgEz-wz2g;*!vgn;YE_I7UzUq{QI*)sETc{7waaRR!@ zro%qE_FGOPUhGwGu5J|17$rJyBzX0}zi!)uwAx#bwaa^LH+sn2`iZP^ah?nPHe4Lo zcx-7tQGY1aCxJvacekY5Z-H^w+SWu58uCQo%TQ>pR&uq$b*FP6TxZ9^g0uHm@TOlA z@S&~KB-SZkC_YFszsDAHc%o5hv)I zaqmVI9}~UE_GskKA_bDtPB*!ec|y+YB8cv&u|m0uca%FnAAaiHhAO36ZxxFZ{+?@H z%6C?c>2mM?6S9*{hv)J|;>vj^;#}v_AO01_m>f2Z*IXd0bZ=6RBh#bvKFl|MV2K|7 zTQhiXXWHMx{XHo+t>V+mKqVl?QLTpG%1d|n@`wJHf&ZPf@pRp+-^OXq&TVQas&l#gCISxm?PM2uXSXBKS zvdA%mOXZ&ox&D(NHwUc_)+A#Hd?dT-j)F9-xMTpnjIb;Uc4KTdlx8bR}MRN-uNV zvH4uS9i+fneqwU`bcHgPOacuKaHFUle;Lp`TbR#`5R>LTZ9x_`aEjRo=P6pdnrIrC z{1Yx*nwSeem(y0y_p>9%-O5ufcZ0vV!OTl<(d}}j^>#743-qxf$MhENbZTV#1OX09 zPU08RY(9XY^*YbEJN@g?nJ5yF4uL z>iz{l8mql*+Ud|OJmZXH-CM;Rv+rNtKkT4PGM%V(5?s>^Hx|Dy+unl2>6$2h5*Q8>I6pSxt(|6`viE0}fFtyp@hz+5lo)5RRdtzj(+1|==%4o%k^>XBke#%&i*(~S$Ij?T0 zrf>UABG2C&s{OrSqXnQMH|g51`%Tc=n@SY%^M2;Zi{10=7eX=j%KqFul(hf@`41k) z5u|up*+VlU?(9#y;;xzJ9pW?v#Nmy&Pipv25@Xb7c`BCBoj^{ZtDWJ8e!?Gg(4LI3 zYAWtCoXPs49V#qy<$$o2+stj)T0!q4h2zBq$WKcd@Pp7B2nCU2WO*hyxJ+dndGX4j%#W zvR#r1`wjCVb7uSFQBQj6W6TUJHsNRPWV?h1%4WYG#R$5KpS#m(Gt*N-eCE#&W_)W^?ldfqxsA% zE_$neIJO0v$q0@3vIhTty1kus!+;xU_X{0ZC2A5%-PFJPB$#POj&;5M6i6vCkDgC?EVS5)G~P%!#d~2y zi1`b4PXUbWDlX(I68L0|7UCtgaS1fU48DynI1k#AM&h3;cK9+k`y{+suh;t|w5rHc zG0psE7~ZHo-YGx+JWd+l>VEuOIecZk7K$7pg+XSsNp)Au#i$axNez4m%b`Y{OVw^Q zCs8V=`T9VKU?{dWM!vA(EE>}DWE?SXim~IZKpbDE@*XA45UBrT7=cLe<=&(TKb|&n zwH9T^Bz{4%(Iu-fF#T61!hIlm-SMl85Q|W2+?|4Hgl?3=2N^q#=8ZmL5S;+G$S9Kw zr7=uM7T1o89e=>rJ!biq`#I%kHWL*GA8pp>IVR9r&DyfxWPk7Go?u?|EYwkfEtr0pvt zih1|_5I3G{5>ogWK`44q$RKl}#JHFSqsp)`q%SYf&a6chvElofa(&n}@?TJvFiaHgQ=Rvoy*+YElY4DLeT z&ofx49Se*Kcb=WM4jMW2>rh5n3-y2P@;`;SID|W=nF6txO9Z%72=PLJ_3^>|LhXO@ zh~ZY4QCITw-h1-0Ay&{)SBR#3jHhDY&xhg8xu$#!r}Q9J1-6wj;~8=i$yprv$8~LWJ7K@}dJCXaila%yYk+GfoMZPNf7qFvFdzObHlIsrffe zZ&g?z8_LQjtWBfPD}?zY;mt!}hduP8-j<%l)CTH`N8kg~)WTQrqkq#-UMDHI zA7#ZR&lw-QDx_^T^+5nmhCAOB)V7=wfLl?7J3q|JwwU@r{DFdgt>GpBkNi6=j=+E| zsFRY?baWz_wV^DLoH9JcH6y5BR#>eH8}Q|?Uj*v-$kn%_z|H+99p@{apQYcSfi_>{ zzraAI3fE}~`IV=U%{2jxd|{~f6%0yrG{O*tX;|rm_wttSu#_}??Z`{ByqYzP=Mj_- zj4c(k(0U}slvBztjfTT$l~+T6bSW)gS*_gJO7vE2Q1>l?j*5JYmX{_*LJ_AXS}QWe z^=y$aM)3sU#B9_=rnN=0SW0Y5qy)2U{+U9?2E|S%W&Z6dji8p8~m^c`emwDszv^`j;|4Lf)I}QAyBHyi()#zGw znu4SPI4+VmEYWt2SFEyFj$x3@VgeIVu<)h9OM}76DG6UA7@nEM?=0uMf)py2et@_8 zkT758&RJYLTTE0CFdZm6E*>;gnUybssINj_cssAKb!wSl z3qJ?0799b(tn|Rr#Pw3`0@T0iy_l)Cvs8SHN3>obe^oNrd9$7jk~`e z)?HdKFT{^h{(Hm{eh@E-eAp@2K;Dv+GD`b_+ITexU4Gk~XNOw5$KmV9 z$;F+J^PhWRcg@XJlf*$kLsVzy7lM>>Ny;?O^(uZJ8s=Ni4xQ;;)pdToe6~$=llhUq zIiN-!VgE|p-+~MHDtRQT6zma*|_CT96Njec>9vq#J7cc1!>H}=Jvkd6+X$?=NY%5(OIAbp${ z_r8jdmrlOvOS8^Y>I08K_3qTm*AC0}#c^zJy{^mKhm_`U{LJITF}IKA@Kk*ri@mOCpIc0<#Wk`+@3lQfX6a{A*fb`aM0Ttogp5hu5s~0g z*2)aaJx|q6%;RC(;2X2x6&}v&jd*ihzd=R+TK{?+#abN2dR!qy9o~^F4qjfAqarv4Xjfh~rFcxHcNevJS0Hf41Fibi2eqB{mrOwThZ z=iV>DGf?q58h^uDT;MljbEj6iwpJiMhWeuy!uPBb2@oq~=`C6_|I<)$X`GviT8CvU zx?5WW|0HTUdf0j=vlFsACYfh%bx~Dsj8;VP4Le=s#4bi{{3Cg4te##FCJa()8 z{^)oyVvQ#S${&x+aH$&R#1+wVR)5;kYyRr*gnQE3#q^Xx_Hh!V%xP5()%V6M7&Iu# zJn~D^KOp-Zc$PN$vvA^*E;9hy^u&L3HERmr^(mQqHP3cOMaqN^9Rg+2-s_djH@t6a zMgV!l@&2}P5z9tKzge1)Trb77p|!sKMw3{ZaVwe3uRRXAD)tu{!zY9PE~-sjC^MYG zKzv%tNjScA^@Uv`HD*pZ#ESY!v|Q$nRq#v~u?wJGoy*nA0No|2E!R(LLU851>hRT$ z8Ms7!<7O0uMry?oV;em`1c#jc9&y9)^uva`L5_aBwyi_aYV1S6S@ zk$E8-bMs)ep1Ey)ko#jB;IS3(*bYE%0d(7o_?(8kA!2wM+qfdA{t#R$=i#Ml z!HCPuL5pf%$pug@s|Dula~v&$Msh$S8=w(K$X~!IqDoYDtrVXvcaSE0D*(P75ZVGD z294x{Mt*@twm~D9ppkgch$3iY8Z^QJ8maT!nyjB_nPN1KC#*+_E6jseR&#`!uj^oG zYB72?I3I|i)WGKPvFIqaZfcLl2mnUbWoCyl$_;4y+1;VrIwQGfVqd#7XN<4M`8tVI z+lg#khIoyjKGzc7m~rj_1h34>_CnW%KcEoQ3G9AyE)mgoE&0N5x$Nj>6Zc2X*W7IJ zWq%;y3?=7X4fSq9^yCg6NW`Bbln-YKH$nFPvH&Qp^zB*merMojk(G+Ehq3aqYv8kQ z@DDu6>sHtWg8q!Mx+A%x{f-8BZ3n=&0N~pI zldwU=irYntw-5&Q5yMj=eq3;2XDf;}zm1M1twy&5jeZ%`ZcJM;)H`JngN)FVn{e76 z%$wtk*5eM_0X6Z(-zM{Wpv#=%MZa-QDKB%mS7Fq-3w74^R#y%@uzcO6m{1fUt)gTm)=5q3r@n0TwESP_Rd5{xiDCx zpwb;5e311Rv{dB^%#@wdG{+UL$KgQ;M-HnoSfJoc62`h_ut|`&A?Jd)d&7@l`nFSB z$gMiUJdulc8>l1yCW|gtcI8F|9qrugj4L_70O*=BEOqr|{1;lnAxgPI`XMp{+UCpfD3(;A<yZukTczVu8qho`gFO3@hhpQ~>8EoNHgrmGpk*N`E1O3J;&253Se0?(;k+KNF~|_)FbSur>x8va+YZpO z!f0Q+Q&e~yS|~@245bQ5Mf)}CG$?qj@W_(qNGF{5VNbn-EjK`&9VQRCeYNZi8o39J z{PbHw_G-``IR}mW>*?NpQ%Ti*<0Y@zVer2*F7|9_6_kzvS=>6W|N3MY;f*@y9*f}F z#-3CQqOfUMb@P&a1LUvG)G`uEBThU6*RpSiO!*0Q5-c#~Vjkj< z-;$n}h#?R{e|M8EbFozaK^C0hY3=vlF=#_UCqj*8(hAO#Vg`haBppi{EUz+Z>yT>A zv-|pZ_{{`$;q(xxhe~4{;QTlE&H35Q9YF~$z27^3QD5@Inbq~u)pcEA{Wm5`cYG(! zPd3BE__5%UTD6^Y!%A&9623(ZPn1J!FCxAkM+q8{0!dC-#i@cuEI=cc zf-NzAhzd5wc~9F#>^UusIW5jPE%x$j^!~f#a4GbW$o3&6wf8;Y;(nPMWf`+_fA4y- z_WgU!3~s(Erj z_;01@n;$(ZiQWizU{ychNn&K}>f?1^@8kYZ9T=w!u8LiB29g?;Xd zcCeG?4^FQ7**U+Y9?DEh-=dM-i1YlM=DiIzhwUm541?>v1RtJElaV@~^v3qJ2YHo4 z6yQEP!eUg7sN)I#umWC&=uiMsQV+Y(!n{>JT>MF@1UG5@%wb<@pu*JhF53Y>sG!^Z z{9cnlk>jT7^jo$(jbd(U^BHBf6YceKAILEJHeXtnrqT0rk~H4m+$kPyGQtxwSXE1p z5DCi3T9j+rWTK9<-hM3+@7m32o9bO3te`V2z`YbB?p|0u;gSJI)7 z`yi~lAN&%9chaC&I*D7@H-M{-R|w9(#~%ozkYy4VFxJe$TsaZd*%5t%#^@;GTI;b& z@}hK|Ka#xO{j^QJiLhnv9xl7?lp9dRQtUANAPA@N0UaO<=E=}>^|g~^3oL#Uhr4b& zHn?83a)mOh3DM`qbp5wOLq^(6lE1B>whosa>QV0qQ1AFq??6)TaLNtXW`}KZV|Kfe zlQ@xoY>B>2hd+^$5jDP2m&5^iG10j((c4jTO)mExwaur&o!P|1{f!4bJ2BN=yF6{YiI?b*3DREK z?FwBXYXL?~YvQg+>e*dB{ZH;%9H5+UX5B*o&+5D1YJ|KOLR692UZPmkWln8v19c3H zK8xOvxBnVbRPapo%7u)}w-zXVeeA{kOR19jkm5&o2R;IiCSO{B_Brxbqu}P{ZWQ#} zB2Isstbo;{FY5a`^LDsg1I0>cL7-`6G#I!NEP#b=*Y{*nm<|tVimM*^H(9t|?nU)t zN=-m9fLmBrhAD(eAY*=>t=aRVqk6;r*0cT4>Cbt_;wD>ncXM|`O$D=_{WF$z$Ilh1 znq8TuX#ZYSWUKz@eB5D?))vc2ffpDW+q@gi&}mAe=wB*x<-vu2R1M#=y{^O`c;$@U zd04M@EF1^R{RbO|Bnym;Egr6USf?1g>|FEINbgKAU7?25P*9b4JSq%+RVBAN7oL$4 zl`-N69OYxHZ_iQ(Qa~51!4eatlTFgEi!kiFQTaa(U_FTTmW(~8t$xyWgaTciy=Gvj z9S6)1|E|}2%pNcvR_Ozev0Y@)Z8p1{_0Qa+4oFDw&j(p1A7^it$Z=!v*!je7m&O$R zhw-nQ>&+B~t?y3KiqwWD7h;y5<6`2L+EV$t9;eT>bE#r;8?-SfM@c1zt$&>>C$EjqlUcqRlCqM_lK@X! z?+L>IDjXj!XAhUFO}CNIygHZFdACEu3H7<(QM*2as7UGWw^eV5a?h_H9^rceFEfKI z_4k_5E!%M5u(9*uNuRD4O5D63Q>8l7%!{22g7va$rk#ATmRPc8(eFabc6al39R;`X zie&i+{xo5AU>=rNmGZBm)R+GVCJ`jX@4&eq>O4c2xwMt&lnwEfFUvLJ+_yvP>Eu!O zjOy)^bKbZh>BeQ=+kF)(bMRH4Vd0dVUcDbDE#f2f(F4E3J}KuB&c4PSHt)PCoWFT< z`L^>FCm$sEPxzAD zn&fqX=ZDV}SiU7|q~ynApJx?e{Rt6EpEz78uj)(mKr)ViR|+4)l?|?vB#)Jp_>x?2 zRg!G5Bcr<*A8zM6PY%c}w?doir5k#O&CK|c*F97Lg@Gz28YU5YewivX%F1uV+6Ji%{pUT;%Zfug7a3gO{E zSw?x>;5A^MYy`o>96 zOmv{Q3t}r~Zh|g>*)ozjECb|af5R!ulm_At9RsZ+c3T2{a{gS|<<#YJ!_5a;NV zmW#jmlnPTli^L|N1Yf$>*bqe5?V-aA{@7gCYt5vbf0;~Z9I1&8YV#dj;ItbIGYC## zPo~T<6i;C=J~RNW|Gm!@#CvIwi0S#ofuMzX8t^ESed}{k7S0T9NMlgaK)tm%KN)&C zg!v0`9IX3hiaS+Y+r0h$H~8mkoCJ~ocSkoL8mUOBeX&#Vcj!`uTAX3)t$ma~|6+er zvd^#cyYqe+Lc3rCFW6xyBRx9Gtk&|P5Bx3BTKwXwLr95w6o|9CeIsTOAmmpq%|nlb zRre{@owo|dDIt{|IQYbG=7z|S>|0A+97hFttf+$rOeAADJ1Moo5Xo5%`pe&T7 zDPVuuH@Uc0Tk12j8rcm!2#UUOCy(pj*l7BLdnu313ytK}L|-kxxN)=c!-S;%P-bii z%3)!Ed=FZopjQ0(3v-z~-rIr*WaLfGl@Lp<@7*}R6ec44`};En8hXZrY0v8)XuNiN z$x;GNv4k00#obv^W*4FP;mRk3715sp(z5LE7%69yV54Nx$I^NrX zj|vZ1=H@NtsQGan84Pa**X@6}e$c`6<>RQ%Gk+OT6vO*{$+;*ua7VcpLi9~}<9?QT z;z<*nFcn!ed{BT=G^GHi9$3FmQM3$za&Q_6-Ilw?&AUKR)a7?O^7ukVCoBq0CVD0` zJ%yrr#?Cg7_X8@C)lrHj8geoUBhW-l<7Z%`7(@#N3=!0qe5IKn$1h~2m^(AmtnSd4I}tE&&RSi@s+S*X@M{m&RZ5J{Bfu%2 zsJDu;5g^$1uEy2VB%zrI0%tM$b}c7V?~q57u4*t4-^rLwmoI}Q+C+e94ccpTq7xQ`Yx&q2339{6H7{XeWKS{ z410@kIvA$YbjV#q)i0?UXsN*EeN?U^Pnr9K`hG&}>F#KT)4##)2qso z5j*epdE&dYw=@!u(arwXv^tO;GDUGP;9+EOC*?6+`ncBRU_%&%SaHLd#>t!~!9jQg`r&jwV|`L(Htq z>w}2iew#aR>HW5!@lshX0{D9UckvVU&y;vup$C)xg~u=4>k4K|xz8#hrO$~qynZ5o gnVTu40M^l^1>i`5TN-B3Ae$K^%ICk;yPu!`3z61sr~m)} literal 15057 zcmZ8|1yCJ9(;%+F-5zei-Q6WXaCdiim*5uMAMOyGpb738?BVVX4~OIXzpJ~ttF4~i z>6z~89@**b?ZtqAfT%@={4Cu*FY!;TY2oT-&mY z#?Hdd%Em#aX5s2-V`f37Vq#`T#zv+lPo`yIN+xA)xq^kc--F5j*JPLn4$+$fviB1Y} z(dqkAW2>^k_(|OFbsXe5Mr}yy(T9)eEIzBLT#o&&hYf7k1FvZT zxBMp&i+mr;sa#*L%Z+Y7yjH?F@@3q%gSHdOL{S^s3JV^2KEhS89UeM*9> z$@0hiP;Nybq7IT&cD%i#*vU#t6KMjO^+$z!`^5?}!p<KCIz2D9zDp7-qSh^3K%Gz7=5UiLF81!#w~oPUd{Jln#WDzyNUl*OsNw^>5#0|N zgHD70ilz=&{t&zZ5!B~>7kDgW_3!WC%VE|I0uQ+@#n?e7cZfT~Pl;C7-uw;0hkn`g zu4AnG|M@HaOE?6yw|Y9%da{z5_2Yy_w;Vd=nh1JEmLu4*tTo<0jSNVI-GvBt|497B zww^GK*wy?ez$H3Fk}3}_%3GGaZZ^;g-6JP8$z(}%hfPQrfS)`v3>V}ZqzO3B{{E7d zS_}xG(JebNp_7fJY%M>7o-mHC&>5QtsG1``TDMdsgD5XFhs7|;o4uqAm zoF1v(C~1^KW&&Y)tDw-Qy4E0Mc0_Zn+vt_IYeyaoHJ$B$16AWtNQNhfWUYg*K-?030= z!HBWmXo<3+-k^*TtO)qrp!-vxwTaBR8;Q}xF3}PXtwO=j)9CNr@qN&N!)|yq(m)b&xnvnOunNiGIWI=2?usB1PzRF#JU>eQ?&l$-IGy)wgz)4RoJpOrqI{>pka zJ$?Td1p|k%7f8&93dLdG*vL|98e-3mp*mv*e5{Q}_oG;1hxREpO$wR3aV4!6{HuZ|iB-p`l#ER^LFJn@SEU-212=ZNurrWQJlQYod@tGW69dFV0U^Hh)IkL! z7HW@A|Mu+X&c9JNq+T>InJVY>y1jQVT^M-?QBN)8&*a{Ir}u+dHa#`08GjnW@biaF zqMst=cWA(~!{~J}>NqSN2OnMkbwG||zA&30AMF^iH=;rq^snfcpi%p!bEn~<8Pvz6 zribV2&pNE@OF}8K+41a@zx&!Zh!;Ip>CmaLss1lZxZkD1nfQ9yTmDmBTV?dYwLC+f z611Ns2l(iwo0`$gBgY0OyX@eeJ2Nq2h{Ezyc7YZKCy^4`}g*^!#v71Ll zgDvBLFbY5LeG%#JjFPC*_9dKnKe-rf{-o1EwfjsJyGJUgGelp>lBhSxj3VY7cEG^1 zQ<&zPLNskbyC*|eHKa=1&L8<`eJ~0bHO|>YLAoCuGH#$A+%K>$L3#fT%a)IL;2xN1 zHx70vUAEqyv7uDpHz8ymlq_c<)YpxPtg}u?@Y+Ih_SlI@w)Cq>jkwz!(eBjAwpsaU z=|xWys^^hsF;pxTQ}C=s*`1<~@LdE0`I*-kulc09b6PRlCfc|xO2k%Un!Ol9UkK8^ zgL80kcS&<#ag{*N$jbgLuL0$8<&oaeH(Bnvw?u|YODX-qhvvQP z(+3mCrLKhb1FwOWKhvcmF4%2${bjY!j|tFZZ}D@c`a65`g!&-5L8^mf@;r+lyeJhU z@HThZ4zV6%n^8Vf!xH4Tl8{=ovqH@sDMtX^bvbvm+6$_VNKG%yb%|<$=!?Py$l2QW zG{_ev$u*UgC@g)3aexki{rOp8?8j57rXM@EUi->X==V2C#!SeCiz8>)Iwe#sa|VJQ z!Lei}vcL~}V#JYy0v#k`Okj0zbyx!wvH(8A)`@Y=|5WON8&tRAQ4?ThG;U=7Sh)I` zc>WqVBvNJ*C+T$EFJ1i_YG*QoKxc{^#e9Ae{s^ylUKBPuU)u2Rd-E)qN{aD#(K*}3 z%bgWcOB4&q-Gg0}pFi_YpAwv`3_RA+iFvTQJFAV;TC25%r4B~qIYqJ4%F;Dr*aotH zw}LEPXQ(Uz^s@n)0dqbL+^NI5d3IU3?50T;Luqv3P>g5s+Nje6k1C7b9=0?y;n|;& zgQK5~W4s=UoWuOKM9V{Z$m1`KEBv)JS$!GBl#`AAeHpbsNdO!kbC9)#8hJX)N5D3{ zcu$!z5W{$$;MEc5_V#Zny(S1|&1|C90>2BX7SQq9lG?x0%fj4Fd2D40{;zXh+Lol} zzma*c3j43|=Fm9ndrbNl-8=fVz5x{a?LNZe_l{StU=~nqlj&7qE%0SdodwKrHy!I1 znEwvdeYL`!!N^pPQ5Vx{W2{c-HmEj;{#MDKUK*vIMWHb>*nBcDj5rlnANEH4BCq%) zD0{9V?cfi3rKqq-!gZka)004J{t>hH-dW5SSvYlB>baWsaXsQyDX-RMd!Sy8Vxc79 zx=mDUI!nhD$#PU>#?8_Pw%Y>x&Jzf%j|=TV#lFkAT8p4hQ$FIWL78m-Pgf3G{ z`mbOCRNWtqJ(uq2>j;h@y;1cyYj9`rKCZGE=2p~}cfbX5E_gdYozzkW_^ zGyI{J!Sn8mtcS?ZLKpTg1m0c2Cayo-m2MZ!_2VI(HK+hTNfA(f*0^+ZLjHwh{*Oq% z%2Rwkbbf2ZGyAdN#pC(S;1r&l1*0+0DR{?B!Csvh`iUORsrhG~(+f{LV>`9=&gAFA zE+Q7;i}X@$2ruD|x5+58Z2+bQy{stPrPhQx&fC=2@}7jRa>QEC z;GQ{;!#{C|L~`I7fqL66zDhEM#j`KQ?4I62BI6Q}B=4L_f@#ka29c&c^mlqA5$0>o zzVCP^>i%hJOr+soMEkAKSW?TF*$l_^$F7T+Es9tn=X)AD*mWy@9Q1UXkoID04%%{2 zU>?%l1`ab+Z1->s_Ev6VYAg^K9t*>-4OuL^7LR<5PD+4nT<+cDTKfQDMb!D0+k>r3 zXeJVTw2?YAf#y|)P0DRr;vJVc)AMuZT+Jfnp7)lKenYqoH)?pUv&8$wT%Qb3j!Hea zYIO;9&3jM(**5vvR+*#F6(~PdH<;>_XliK5Uc_s!lw_i5DxYLx*;Wdy%;!s?NW0IS z^S=KJ81mJ_0STAgQ6k-M`G&4@bXX&{df7lO0}Nq}{VMQ+&-?2jhz|r-L_1HBs8-8| zpne6c*M+S_oMHQk;uQ8jtBj(aN=CFk?2x)xhy13vcGp-ZY$NHi{w+_x;V6)Jjx>FN zq5Sv*A;Y-Sd>s?fQ(%y_{~`ry^kRgYs*`dR;{OG`5CY}v`9*SriW!rDPssa(?oU{+ zm;ncNt!gJ-6TH-Ra~I6`J^ux|yn|bEYEOMgm@bdtO!(kFr#jG%p2JtZaGa~5KK1Nf z^(X|L)9I0Gm0XrT+es_j*hg5h<`9!!MXuiM+Z-X0O+lNB5WpAldmlcw3ws;3uOa=N zKVCMNW0vc*Ew^(jLSvQ*w4%KxCx90|a#$IEC9gb_gnsaEyj@5Gos-oYBN*>4J=NN! zio%+c9w)Db!W!W#PCvC?gY)^otwOjPvJeLD>-Dz3xdj6i%|9tHbx0qM@IU+rQq9Wi(?mQbWsX6!bQixZBQ&TLiX(37GgHDn)k1}1ALmQA?v6^DIPA|$Pm8xt&lok zF_x9Kw1S`kzfL&Tb;>iFb)R}3C|6qqb57ld%+s?n8%C`>gUdn=Q7x1v@n0$sI?Uc; zrlink#z%DAOC^b(SjsyZYnt%Kv$^?8gcv-mG!s=KmpIIPh~Q#I6D6JE(VxQj(>D9% zcCHaePt?u7NNhPyvY4k`#L$Y3qJ>w{i^em$(S6ZO)WqFb*bNQj_n1HajT7|IHb@xA z+B-AMHyr3|?pq-qjz#7T3|L1eVwotAZp#R|6RoKmhvmp`AI$)L#7)CcwJ?d?Ykn>R z=`~HmXaLbDClb*fp1>0)C4nm)@5^lqpTmJF7&l%_y{d;tnm}u7bRtGU0@!Y`6vFLs z(7A(M8{G7*wi7(8nyuW7d(Cq>Hm|jOF*yT|!!j=}_jLIcnHqlBdEr&Dj=fycc3cg3 zXwn{yH=8Vdft$J3q3LT+ZQm=Q7C|5E_?`xfw6CS-g<#H3$U{(@<@ zV*{9}`g8ow+eszSZE&PicUfhNa>=`w!W=cOP(cDn9Ov&qi9OD7gkHl-E?-CLfMO!2 zBoTIC5^;fgat&i3?b-Gcu1zvka(3|xx>P))v9nWP#8GLW3rN@wKGeWQL=)DX^LLr# z9w93lOgjK7nKIs_h?4&mXQYlawQ)m8FYeYDet{`8zDCJM|8?YjeVm8Rd5&(xj|8d4 z`6pzZxu}FnFtD6;0GC`S(1Q&h(2IuuC2@1}OGxa-9uI-|AByHdfqe3#<=7pMC1dYb z8f%1H4&FMU2vI4brjOb;{7u7@b6cv|I6754)o?la8^&uVrKa`r= z89U=VJ6h;deSQM%!?Dpn{CG-mi@zOJwS~*ur9D^+j7?yR&0Xw->%O}t9ycr_NX0Df zl%IWQ)?f8Cecz9SPbUpbz_^`%@w()_0A@cD7U7e_iVWmt&QSu;X^4=~F|KjsxNOtB zGn;o=Tc9VB)qr-5tv_ze5~43snp8mH?E{CJG@gSj)i;Y)!pHfKX*3asc<~mL-EZr= zLhI{cnIrR5J`}1ISuB3HLmkYq9&JE)G?_X}v8m+3TGjSl4C;R-V?6|oD+=sVhs8ke zs@D)MGxfLMLHD%742JL%lZ_&OGXt6l8!}tGr5vlC!II;G*Ahqmxn(JC$(8e#mqB3} zv`p+$U70pFN}eC){f<-1+WSkkn~^ug+EHriLsz6BJny+bjPrj=99F{q*ugH=?6krB z@ea`?I5&cAkkDr?q8#O zhb+jw289MYV(*(cuw+N=uw77Iu25ETW~|3 zfH~e=%@JF+OR}btCPpX?>UkBnsv`xtyUd5JPqRjJQSkU(-md%VnJ*`*d zoyKx8?5uUG>KX?{9(P})qyc$FmyEMsbl-HyH9OGpr)9g4Gymz!vSaELXWVMh6mo`K zznCc2>}AvA{JBR>sTk!IHlwq{v@wd;F$J(!VOK)kj$Y~)M#JNIQQ~oh5<(jC$L6Co1_<*7 z^488a3Hogd4!pIQtpD+ee>xwr`S43>LMb`U$>xw#ySFa~ zc^9U8bXkn}ZiMd$Cz7lk*7{8O;^TEb)uSZ*8G3#&DfqB=Kpq=GnvWC{Qr;Qe1v!R? z(n7d(z-v+M25kMt?>H*2r~DA+UMRoIu{FbBv57-I5G1fr+Fbs{pVw1lWSDTSZ{KF} zkv8J9s#%&?=!|m|NBx}e@pX^Jz9YABKSOk?yfh9ns~#>sY&|_NDyGplN(7PU_R`dk z!F(+u{&q+Dj5Pk7o7b4b)l^;a=H83_f>~I8ohcFW897saxq3T4tnn*=D z{65$?(X;0ttVmUtt*%SWhBunacB^Rr0S_^RVHVSL!qc!BpUb^Xug&JPhDd0|#RbP# z&KiJ3gu-5N=Y3FbXLT8a6~o5D4M`lck#+jq z_;<~h2wE2IGzuM+-`={X5qhmVVjH~cm~ArWnop1ikO|Sy)Yt7Lm`k`-`uqP4999Tk z1wTM6X>b8<9S3SV3oMsh<#waDpA@;1tBlqrmq3a1dmc-+iP`3`OC#e=1YwL`I^%9r zI|I7;kfkjr-xc!9snH^2{0pL0@6t@h)MBSp*$p3J74oaUdLvaUT_)C(xQ{5h%qjl; z>QTtr=5;>Q#65LxI1{wk)gnZ+2)>)hTWSh4+LlJK-)`Yw;;Haq=6S@Vtwnfzn!<3jv+=HFXqW)E0W$JyJu49o&d0H<58tYyA1PA~ zGP-)~jcUh_Cl+5GV^0GbcB;xHwR#7Ir%pYt8@@5;_4sC-9ar5xyyUE`e+tKZ1H3r8 z)fw4X5nDM?3x4X4v8o)*gD!`o64GNjzLe>*h| z=#t2EDsXtGE~uVb_)!ViToImlS=CZT&G_abFo;!)0sLwc*zK2iA5`vgOF2%sw&bZL z(s82y{;A8!a%9)#XsxDzI~HcBwnL&>Q(B(PukrG+p^`!|sJ1v6V zDiUzm;BB7+ktIny=+RtMRsD)-WLIHYM2P0f80ABrC-JpcJrDy>kwCJOsT&_D#0J>$ zaBiso9F`@&eT$*fEmPqPMXkJQ6y_eKQ~Sfe$Jze2tL3ZFCQV^PJJJG)PxoJr4gyo_ zi#1-9^Y{QetwD)x5XCzk?ExBjSHZYgX+|+-J6itI0$=M^GyFZDnf)eixnKUZ{PmdX z^*ZTMS?*a*r}ILcHe40(#2p^*F;@@_N^hGVD*1%-`rwq`ymUXoNTJzVNN?eEkk8+tnThd->Q&(v*9H_gO3|YX(`pro^*szIp?floxwn1cJQ%d;ht;t~3|{g8E)A zrSieOuDYO0qJnL(Tg#rV2==scrGFsX$l%9xJ{V7={5V#`=!I6VptYXkrOYb8$}NV0Uod5b@kgp_8>(s z$=77L$B4k{1*NHY9}vM(L+at@?(fzo>COZX)~tCjF1|cBRu!x@gf{?&U)qpfXlth* zm|kcN6z=zTDD*COS?WY)UU=@E8iHu_E^nRqg*UTe(R3vPU&F4}mMshfX$O|bo-V%v zFh!Jg)kq=sN-)1ySrgu1!nmZZj~4ZW=ORpE;1?)*hI^B$%j_`<8OhF=R|F}gAxt(@ zpgmDV>Fd4z*hioK>B$<~>gfj)>nPE4!940XLRZ+>Lbm@J4(H!D5%B-lAq zE22Qjdsc7Zw&`IPB9G#E`;&tXsE2|28h)T%76AnPTyEf8Mumzf{YmK%+vsj2MisC2 z;|WpCq{Aqdq>zG7EK0`FL__?lEn*W|^E2Rez(){zB8X?@OoL3Q%#{!!toDAc_S8WH*M+k{^~yrf!GT=Ry zd`-rZKTqZqGp9y>FSdbCKhSoquH`8C&8L7B+R}nZQNGVxuktrEQ`Y?T^?QLgS8qRQ zNSa=*r|Ik$>w#|YbVBcBeEaFxDvA&^#U5ItP5CwJs-WMho^#7xNRa zZabR*EpavB#uK$OguKLr_#ruOvRSVK1D1f3kmu52+(gYeUqFmAJytXMUqBBipcK)U zDlt6>(9#!>|BNH6^{wbjhgc3Wi02Ck!g`a_`VAN`4!jQm8^P7$H9HYC17jYJmAg^fDWwIA5b72xbt&hp2N&Li}fLXb6arh3a}fonTN31O>EVA z`4tspKeGW1l0n(Pxaye)*215Yz&TJ_J8@V~L!aA*al?T$;A&w(X>bn2%?w{aNHfC5 zGfMF1Z*b>dX5J@(LPX6Egw2P+V8^gl+~zjI=5N666`&Alvq>13ZAKae#06yHuqK79 zwV0`ca##ZzAcBy>JYoO$0R0g26R}<*YAz1}TZDntXA;;dR6=Cy32-rRh>;xn+nYj{MI#LM(3(Vx3&1@ipT*JU( zGeciM3qTUH8An)$FtHcB8E0?VgoxKAJVit?Vy3+CerhU{(b_cE>dN_W)NkWCihb8K z{N--P0=Rm`;1%%t*k*Q>5iVoE7 z?81`<rSqR2y|F9p;CvC-GXbTfgrVG`YmZ;RE7@3(o3h3112OV#dCms0@@<)v zZ{95=M`91*w*p5XZLiA*O5iN-BUzS+u;Dvv{rkBSMSsxwYEYP}zUvZ-pJ`!=hopHO ziSwt!WU5`)^gt%ocBjgmo80*0I4b9x- zjJU~T=zw_)9yx^wnrK{|B267znL9iGWKHgWW7$Z_8=*i2{`<&YBS|YYSXHXPedW0} z9C2@R`M2-)sVYu)Xnrn~B6X6S90LSQzcSvx^gC5zgcUAyoPRI)C69eQaeP=mv-8A)6CQ9RU-)flRks*2Oj+UK$v7o;z}4* z)Nww^1mFQ#r^OzZJ3-LS(r!*b?T$WcQgTV8`MOFw|IJk*~B1sb3x) zs%i^$>SIDLN(0Wfb2X(m^9ieN~245dW%$soL-}Nj@z+cjk9lx(|&>kDRtK zI=4Njs;e)-7KPcNBB0Pi)-8t${~Lj>1E+;`%WP`lg0$KXO``uxAfHq*8J1@vleNwv z`4T$&x1?l<13`9FS6O~Yifj*k1q_+&K#H&e4oUjo==>}CTpeZ^^8^<0h1+~QI9U?NoukE33*DspaWI%wQF2fwB*Ia+M|l3no&mu553=mdI- zYs%x3*CR)4StgJYIw62&2AjlmSorXPOIDW3&Es&i5D|aMiPj|t zq7eg(8_5DzIALb_W94_{j+!Uvs-cLJ@0Zv7=O;$!6xD44Fbf) z=1DBI%P7-~4?6T-`c%XY9$x%RLR8j z>4fB>Y5;+YuzXdt*7_43x}^unPbPHb*U>d(r-$%5y=2x`jLx3TjdmeTE4AAZmh?3Od>mMs#I&R)PK zdBU&?D$k^+AywT_LT+b?VzN|;@0SD9*@tkMRH-ufA%HoI*#==l3F79#Bjl+W3D+5xYplry_83i!L;f|IbR1`R z6;Ft)1-L0+NxW~M@y(*~A)cw}v4`1a&qG2O9xmGNUn%r8@a1S2 zrjQ;L6`n@(sU*E&7hPlOI~?%O@BEAQq}h;9=P(n5L#ZlyzB-67>97eK8b-~>OMgMM zzvoX05gEqIzere#=7o|F&uE9bG@JvLJ8*RNhB{0P91#j`UsvoD^0j;>p6ak{^MLp+BupE1b4oIb0HAkZAZWBTvxqP^?vc!nm;7)Pnh2|^cVa`3$uw; zLju>C-bQ3_@2OSY;Qi#>y|B;>7`Xc02QpLrVNu&KSo=I8>*nr)$LwE$oBcHZmzudb zVM!+Pq?WwN@LYrv{Xua{FhmVL+=-pBx@~n5C%(?Jc%jDX5KxNqBo2H6*akSSp#d1F zeJkRQr0Hj474^Mn({{aF{_x4%IrV*8u6cydZKuXDBf`>)(w*A;5I!NPCsEH2;77RGIZrd)fi z{VNwZ(rRFe{t1Slb*GzYYotRK#50wfc<8{bjn|W4_;vBgM<%vqH-UEVuYWA8JW4z0 zq;pwJ`a0_TXxWd~)zX9)W?%d3vTg6|)Avp+0z>+6AAV`c0!3;7)?weqaSbsV;TAFP z)S5;PzGAX6q+<)a*ObUXVIM>e*^cb;UgQtODf9qzA&7$2rtMFQ4opSYs=F0}>Do{O zlGW|^5AAnTk()X6kl z2d`m0)*A&q|7p9$ki7nu!the-7EWZZ;4SHM?_AzUyf2{n^pf6KiR`fiZ%xmRK0HRO zAtThYZ{^m_61EhaZNd}NUguxbB~zdD-WZGIydz;JFmRp5hxI}Ri$qR76oatT1-ivO zA^-NzQIym~9B()pFT0v%&Jh;F*oixHK)G;)gq?r{tB1m%s7byMzq__Ua=v^heQh#o z6C7aK6VoI=aXk(Txnim##SHebhB(-U%#?vK1`q`Ms6!mgLS{;YAVwGVL%Br4?<61$ zkRTGj>nFQopAfWyWq0)60OX1H5(!`lz+ogYGy*NfY30%`q_NxC@C+@K3ljR1oy`4g z-G1@8Mf~4`B1^N1>UI6IOy17dAposILnqk0H%aX+Dd=;y)5}Vx3;#f8-BCo#IsvV! z8g5Nlc3gYO3$7)E4bM?7q9T7nbBaVL^m#*ZDk4*4L%HiYtL7@?j8| z07fk}eAY%hQ#aWhdKA93%54+Z6dfT~j}~=WBd2fT8)W3`U^`zY=smHBXy}U5KRow^}ft=o;kHlWBOFC90#wZJcpQps!X_ z)|m7$!Lea@@vlRre|Yo51aKu2gSAiX64~-%^zsk+UskV%WwJC&)Ki5ET_ z_-TFcR{mN7Xb-=T*F%LHsFE7$7%fa?0?%LJ8OvYNIq_I#N3wRhe`TT`9iNZSwB#+( z`90S_KP1oWxtNKRV1rA`5I-gw@u559HTk^bWlhBQYM|SV%_ZffYg++>Mbb7BW?`^J za(qPS03jYj?~U}@)kS;Y2B%FUcZhBYGwc$LN?A?QQvF{$xx>MFTibiNYyVWiMrO$H zhs#Ij{+;cH2=B+zb$rrcCN(?3Za8Pp?LObmkQ!D4$dm15&^^ZW%)rQvi9Wjlm$k^h z0Q95KgqaefV=4cU*!Hp5M^IzTBSvu_d>|T!N zlA%7I)58QSPxA)r2UyzJja)m7d|g0WL5x~`>HJI}u|S{V%!j+~ZZ{Q@ANj&{4H&l__B;eet4~^(k#-|%#7Y9jNV3$-o}Rh zAh_j?0iD5c9?vVFvP`ozK=$X`rQ)=Wz#)urK56h@D`Ua1V?mZ3|7h9G^rbI2gSD8m z8Ge}hUGM@%j!{5a3 zs0{~VyQ1*?Ffx2G>glB=ZDQXm@spu!>zSmjhv%0dw{z|`RT!n3_TojcNsc+CoW9}~ z5xiK&qNCj4itHiP173?C#m%^cNLxjlX@Vx8X0u;ikR{db5JmP6UU62 zj%Eq(Jdh>rW_TFUYsEINB^;r`PxrTnVuqYOYwQkQ(dk-kz{KBtEv4SDdaFJl2k_QK z((spd!08YZ(6dVs5q6P1PLVxZkv&3@J*?n% zqC2_XB;_aa0bxv0LyX#Ult}!Xr?_2m$?8%6Luq{U@GF zH{P`cnPA8Rj>ukh;KJO|xJWzN-ZC|f&I5SNNab}pxx+Fc^Ot59PZ7VQthtiM_?S>*B z6()}np!l~I95Lx~>HwbZ@Ap6cW}IWS#of`b0c*w8g+y$kRtX{Ac2o%g6~+;rRKrv7 zv$B4{8=NY&6;iO5^(7Q}s$NmyMqE(>DLgY>AIZRiQEI|URcpn@)OyEvFpu;pawNI0_c5XWa|s(i9mpYTYXrte?AX(ko|YBSpDg_s zG;D#*p;GS$$0J10#p$Cvf>POl72?!pkLPLYIi5X;^O!_QA!w!BZ#a2GXUI7bfOl9l z@mAc-pCB8tD~B?U4-oKHHztsICCMdF&k8e4Td~y$Y}P#3li(LOg%=V1d&Bf_xpB>^ zGZb4i8%<}F@EOG{7S0}vcTmsySq+YLji66?*{kp^P$VfI``UK>eB$8G&A)%_(Gx&I zq?%vT%`Q#3hq3#8|FJD!peGJr+-lcp+VSJJ9ZxUsui^U(Wd7c64u_Xqo2$1-&Zl-& zQUj)k>y>=tpk5-u#~!&7*PP9b;$u~OM#XS|{}!!w!4Ar#5!1bCVb*L2!<}hRUfIi6 zXPr!Th^B>Nem)n z+_qjruLJH~F5l?y-5HBzMGmVd_-zgaPV5D7x^IQ^nK!S08b#U1b;1m%6rux6-Gw*y z!Pm#$`H`{&scH^sXh>$khXZkFM)q0v!x!t1^7ii^pTG2ce0W2aXxZ6gYhd@N8Gatu zJ|d@~F-+L>8uS4w`~rSnUSm^XSvn=JS5@kCnb-*E3ZWF_vaB?r;{P5IXmqoI(JZNw zh%|Ib=+MG}){kOEaHJIj1EgivcexyHR{VNEYDL$}v$fmx&j7}n!4&SV!nlTSz4k9q zhBa`~+-cYZj!2FND9KZmSu~TsB2Fs}H%bRjYV&fIYR~;kgc|DH6gV7<%V~yeFlz1( z!x`v%#FxA!pc{KIdL!5ZFlb#k7`&A8U8VGzmebXq__ zl$V<==_*htV@{ox`pbek$C?-+L+B^}-_sMG7Lh(?ZfLmtvN@AJaJZv*Zr0Yf^8$MUh_ZENXnp>``zPo}E<`v}HeRwTd&jc&%HuUD z3ZdBO2YNF(fXHy4XxD|Uj$;Mel*9@-u*Z4(VfM;Y6=`8I(}iAT+IJD^)`^luMN^KR zqUMyZPeGb+%1R9)=;>s2{mL}OB*{R0BTBh4KSsS3Ng6}pkH2{!B^)6`SO^g#Q8$gI zGm6#Ve{M{OyFCxPaa&2jP0FU{oIH>M9IgvNUZ2T60~51#cuB8`ILl{W<fd#P!ip#xpc(I7)G6mDQJiOi}->*hC8bQ!jN%${5Z@-=-cu27LkXA zfec7C>|Y$q0#~Q&v3g)i*&+;CFbG0Zuu(I3|zThatl^a+*BH)R*}yL1$m?{A=J zUD1&!=T%nk(9Gdr%`pV4S{pk-NL~WOvVDBmKd$Mp!6`kiuQ=Yy5g|03?a6yeknW7v zuho9bB4TQC zR7p%$Sm0YNt24$>oMUnMZ;EDp+v;Qa;ZI=-DCbet!6DhO%yEinhIn!e3vliqS${CE z%xvFg)hf!Gqij<~dN`TAem$WBvXp6z0$SGB;uKU%el?<_Hd)hGhdKgf+@WZcH?W0hIp9@%6y}#oFletz~2+E`Qse(RjRbyg+(>RG)6G zNL}qU_3U^saB%PG`zmw@v{eC&u-wnxoyGfp45oOMU|b!&d)Bo#D}0-F@J{4q@tFnd zjPoo&ocy+Pv=1$+(ya(?p3(NGEm2CB~vmF9NTV!zmAE~O;X0mB0NgQ%!(&`HmSVr@XBKS5wgkktTS;7XADDMuFo`a z1mjF9^K=;v`3l*hNPgSMFcrHcE~WE*z;=3USDnW^e89#XnNIliAI|H~1-=-%ZLuzA zwCH~VybZz~S^|j-a~nNHkeYHSJ?HyqUgXk4SnH7bb^N-TjJw~j38jed7c>rM!c=iO zreUeEf5(-1HkTDyEg?LLm(t&m$T$>tlA2Z+SylYVKU%)3*B2veRBIdvP&^*`CQFD2 zEtgC`dq2th>G0yQ0o7zA4?cN)Unc@oq zMP)}9AI6)SHMO1A`B`6wOhkM;t=?Z3akcFek7`=R*wMt5P33ptslib9TircV-OQUf zaVE#7To{ec=ie_eZ0zO_+`xLB&f84# z-uu3vNfwL6;^g^Mu?Q$i48$?VU__d_p+rLo`M0iZnF3-4L}e zJ-O4Jw+X;&r+;Pz@RcWbXGfps3Bem8*t_7n!%qpo#SH;`(z~FY4)x=0J3l-<=-Sq& z!%I)SeA?u8WkjK`xEG)ep_@Rvs&O458>+=)PkK*VMr*?CdYJW&wwtnnJnd$gcu-= z73e4i_*wx6`Z|5tslIXOY$gV!7=T@Ic8W8_&{126$zYVc79=4C{K{2%XwWhf->3^2 z<;zxlqkpW#W5E~XZHW|B!5C2iL06OltZ77jjOI?(XlMXuh=CkyA%>=0*cW_3-jYaxRR4=CjQEu;G>euJ3#Ai} zEj1&#O5z9>Tv1aRNl-|+SgO=K=^9bNSy>8Xynm*cT=aFh>Z^RKOkQ4rh6)JPJCtLS+S&Y*Y}q$f7lg9+bPg5hw delta 1162 zcmV;51aF#=T_s#@1Cb}UK*MuNWf-?k%kht+jyH&?(nhABjglYx|My5!H=|gqb zz4zQl-L7IjpU?k<&)WIVXtee?Ty9TKX5-1h`ls>n{`!aAqknIU@%Vf6D4^fr;blKR z=kp&y(DU&4$LVbMuots48y}9p@6N{4Ni1b?{UBV79)@4Pg2bWEy}B{j9Zc?A^fs{e zGJN-}ZB7qQzD_cE$!G{{o&R*?y$IJmdE3*|%-j;py$a0j9IbDKg0R=6XwKS!GPDT0 zK5JhGxHUbUWPbv$T$+`@Hvw*s55CMYgV$uR3&HpMpEH5;YZCaZ3qiZyuOIIwv;E_} z>0}bh;YFlgT&;4uvS6WGanDKXQ#X@#S>w8(tY0mj1{fmkEL$^YSL3V;w9TBImyxyj zy9U~mp0v=|FHlE)QOjsu z#;`7J3>}`eCLV=cz^bj{n{BCb75XH!jmWjGiDx#gm;g15SGj7Dt6e;mHzLTX39b;i zR-YYE!>NyMqK0xstqSoz*r<|BIyK*`&hU{Vu}V%Q-iI32OPG0$D+Dk`eci?`s~fSm zR-GXi5PyOg?RS+a#)w76NyOSpMr|X+f=N>dv6Yeo^8rmO!Edb)3%TG5H)ARo2)TeX zUqc1tl2m>J(5Eda95WpC{#Y}-#{|Z)`-PTKLxK@I{ zHVXAwZ^cKxkf{cWxs{onm4x+95(gEjE=3UJ!heM2)G%sMErp`?s#PJ$?kimf725Qg zqBGk@$N?KoCCfzJ3UxKKQXkpmn)0t=^8b*lJz=3;AJVGS6}HrMu)tK>$$*VY`$?=v zfaw~GvWaIQ7ZA^K@zN#6DLH7E0WlTi0oa45V0I1qIR6%A3yP3IBh0wPl|6(R>+kkOb#89|YOT)(~MKgvzr!}(fx7txOXsEQ2qmnvmO6g>rc0tI{MqdFSx4~2@2x^qtBN>?B zMJvn<^V1L=)PNdlAo@BnztoK*z+wYhrGM3cRx1uskbNV;kJLbjI*lBo4h1ZAX3&ne ziMr9A0ZqWMrm-;cl~vJ9})H!rY(w-}H^AE{Qj8B;Cg6lk^LFujO?_WVX4 zuig2LK4xRp@+h4+sIj^GibDmBO&X@#9yWoxSm*95rv1(`6g>l1c5N_n_Z9mHb$*KS!bCOmA2Xh0PKl$YG%)$MCS>%()INI<1$s_X! zhaj4v=*_Tuna@)sa`bcw)r_?3VH38av2~9ci-jIApQpr5fftb7X{W#ofcZQn<{1H; c&r@P@(qT3@`WFBI|NnRaVrO7v5R>Bsx(?YZ>i_@% diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index 57571df96ce099b25d5ed3186099455161e25c16..409f7ec4e47f85571e5b1f27d3626253b1d9acfc 100755 GIT binary patch literal 15307 zcmZX518^t7^KWcB7blmCeX;F)lZ%~8E>6C&ZQHhO+qP}ne);|Js$RX??djT2&20C~ zj(WQX6$AvN0v_}`wfnw=zPXB_t(~bAkeG>uo{^r3SjfTD;vX?H3o|hz8v_$F12ZEN z3$dc1t)r=dA+h{l19M^~Vnr!pRYQGZ(SN4)-z8I5|MNN!kYB9-#X!c8|A%w`H+Kd- z_ke9D5KXb7V5Gh*2cy<*n81xWl8&bR;I1h2n{ zdGvYS#=z)4f}5H-GPk&S`e*!F20ZCu2aT#`x~DZ%xop%4^np{USm*?7wn@~Sborgj&sYc zFeb8vr80hexL+687fR!b^`>t1QDC1QR%8B=E6V(D$d#QqzaofzMcC{LK)>YtT8z{Q z7nnz`j{s2Or`>Yb2u7@&+eY{jUyV^`ieU7l(}2|^S@Vyz|K!8!VR z(AN=ft0j#%B9rquA;gofBcNd-8Ts}-P26q))TOlIRuB_h8R0w_XFFM;U=%z7ni^S& zu$V{QCHq$3z(;!v+$!~oM8>@r?b_5jfvh2j=LkJ!7Hd*fCo1VlB`uBX$|wDDY(?b5s}OPQ5~4*|@Iq+ia$hDB(wDm)c#solTmux^(skT#iMPj1mym40)CA@$Mbp~vB@^bV0!KQ zJbRTqZqE%3#xli*W)9SS9qv6vJv1NBv%ATRus=F#o!^B57L%A%Cc|~dmzSvrPv2bt zzx9ufL~RQbjaR`Gb?_(ZNGDo#v3T4T8$?}N=?Y~uHyxa*N+k{zAq|xH6pfzZBu1v* z5=D)EQe**wX`^2#Dk5bx>1&s5D+Y}lyRJT7W}M~Rf`4HI?F;!^K8tF@Fa&V-dbxhce>LdJokpoPraTAlak!eR9~rwB zvw!4%0F^)FYCIjjQXl#r!0B-J{1ed$E^S}DZe<^>4XrsLZ zEtP7FJ_lXtt=*;=pLO+Q?izo2G-KdgAOmiknE6f~Q$CVVAyaNm+j-V6UtV7=i(X~F zbgLq=yprhkIGQP8o`VS$>%D9Tzm~qlnfb(rpS!k;qEd1_96bx}(jEXGhR1+8 ziA(9Wu>KmMW)&b{ZW%0R;iz(jkZWp9iM0D;aaT3;15HNI)gdo>R66AhCxiLIbVX(y zUt^u=e{vNMW8y?PXE^)vggsK^A2Ov=P%NdW>wKAyhc4YGltP>jq?3eKiO+UQ zO*+S?$1re~-P(IyN_nnqdT8$#QR_5{x1X0gXd1aYciJn}s~Y=KzE*VCZ81CiS~_|( zhlvA3>9PQ1CJvI#4{yrG%_hA zPyFiilVvBB{7SkH-lsl68Bv}kiY?3M{+SfSexI7CWw*gMzVqWe*jz_k>uy5}*@R49 zsnX$${p5lh&U@c08DC8N++9PMf=!Ak#52FLZX8W|yoV%OtP0aIM(%}wpKouk6~G_U zw;*Z1r0R&a@sN-q;^bjSF{7=!@_!-1nF>!x9|sE3N*%Uch^5rvT8|r+;=&w46vc(+PbGf|@=7 z1ofEK4$~^#-w&Wk;+i8jV$fuu_6hi~9I`+L3HHQe*F8wF;ytA{IdtP^3SV;{Rru+! z;$@y(X-!xZ08i5$R2+5>#wf#Te2YHOZ5J>C<{=U)&aop8YIa5sOgrOubR-n|@oBz~ z{qJOfByn&i=(xxJ!+>mzet&#LN_dkwATchP2p<);l5wztpe+8LYZv0Cv zERyHnVKSDAdl;;B%v&F@>SzB$!)*&khK=N)_s`DWM&0ln{8yR*K?0)1UIpZV$BIRU z(xS}H*i4L(I^WKi$_QLkGFOSWiifB%78_Ubg-YH=Ozq>XEjBWK#xDY%CetNW@354^ zRyyM>4?&dlaXa1s?N{7LmNF3qirhS*5C5U^yo)AlmJ_o`qZiHv%mdx;wOkfGE{)93 z)Hz+B{axraQna)}vx(}2)EK(%5&OAmn}39*ZpXN{5sr1HOP+wHZ@D*UEkylUUh3Y@ zuu5o9%Hm)gj0+-8Du-)&flji_z#pQgsD?^en+HxZfl3LwHCZL%nX>29pDInuJ6(D-^1*0Z9J$li(Mb?$*Oats${?C#ngv~CTKchG z1e1I)+ew)tM#%(I*&C?##KbxUZVdNGYRBZzAl8)ZWJ?%luuKrm69$B_$^KJ}q?8}x za^HWrxExIHTZ~gmM8l?Xa;u`_^T}>YNo~dL4am%g>+hscz<=mSo^;6i0imEQ6#HER zc`+1{ZfpZ}J$*VZYVCLdc)|>7<5OPw>^OABCu=%HuNuK`&g$ z4+2UY$~?Vna7eo`%H%a4-yUW-C~dfBsH#w&z!PiDdcR#pOu;ZOh^AaIMFf@D6%&Z& ze=>eUT{AG&E|8xQP;gT0KdztIe}K~Kf_;gBLl)p6I=|yZfN)EB&yZZ6bD(8Q4;9!e zECoM@gDd5Pt*H^VF2C~F*Rb484>+U+(IYkR*xT&ifm@fF-pppkZ6|biHvV+xH%D`? z;cb0@&D=BfT#636O3_8*ull*kFsT3L_MS`I^EROF`xI6WpQ zLaIbGV4xM2aC{I#UrDBs8=D@q+%t;8iA=?NQ5z{=-!H$N?s)U)y{oW!1J4}r7{(Ov z2>(rCi~;mWj2`*MymY3Tzl2}2iF-U9C9G?3T_I;ov=rUsXkIFH{5x^F+BYxewx}Qn z=!DtDsgC&;O1&9*wXBE6XFH5BVCt29F5MNts-HQP;svs$X()F{DXp6&7(mH{)|F^W z88?LA0|PBM=s@V7t`7T+9_XE~1>{5#wsf+HgTXqa@$C%ZO%xTcbiphc)wgB&oxb zDLIL-bm}J#4c*{pEj<3~i)l=h7-a^{sM@ zE@~x{F0OBqQaaw%=qJc}7IfB$DQUYF1xFYw`QyvbnDNoo%c3^W*U#5W-mF#&41rk7 z&`(x4kHa5%9A^8uMz#j>|B|K;E?~xQgHO45+SB2i627V7n-+?v2OgaHb2S`#c0XA* zK`C!xJc_E*6>mL2hlFw`g$$k>y9;%QAVt_GH)>slcj9%D=&o4Y{;u@@y21pA}_+Wz%yyQs-XN-gV`7K*!xawF_>T0TN2_+kHAlj{%P|dFJ=xH7b6PSQm2Y z*su5tQwLi2ZgCDGG82t37;lO4&IY_GojtMytL*Jb^SAVnhKg2XVT=3qKgT_Pj$Qan zCaE+dM1K=Z>AqO^H%>hX!oRTY`~bX^d(Fh$J3k<4dF|>S@1luHC^pRUEdtEi+Am1tf;#_A!Ne#shWQb*>y$cIo8qb?o?JMN$xkEaEfZe&qQYzk%ZZ@CMCV9%cwwF-7cX1c$Km2woc(s zYX*a5{Z(|l>-!@ye!Jsa#|^7)NsAjZy;eFM!v)-Ssj}0NF?`6!P8rWNmHn=a)c+6^nZbakxoyo$rd?$mNJIfF>ZLf$s^$@fycYjiddp8DRwQ)ljB^7McCg^>Qs6>wTd3 z)s;(M-V>e2b}risA|z2YjOC47Au`u(t~2if9$`nz3HVX(??g`b?A-A8R)6H=iNLF? zm1^%`5sNyHnuZX`VrO_bHFFmB>P4phZjB%maB~7dgmMQsJ-rGR5ODJh5K7uCbIk&3 zp^lTORjv8dAVV6+H6mz#(txs?s&lI#Rc9MTp7QtW<=Z}jW;*w$zO}~7E=`o$Hd?8E z{Fr}%=gmbw;89R-Jp>la5}cFk9T*(I#yjV-%}X0!Z}XyHUkH+Q&?q|7BVhm< zyet|XO8J~BUjMlbKx?pk>}8nLO)1e~eIO&5_c@i zBHaJrkb4DV!iT6otmm;SNu3Qbbpxh}*n8Ngq9c3gorrnR+V$34xj!o)VYo@i-eY-) zy_~Bb>s_8f?xROB2r)16&=E2H_f~P0fAW+)psSR}qMFeP7RwU*Mym=_2b_Apnew@) zF=$CE{&wIcN>?#WttQsW;buxu%vdJf?@M(Px>(*;FKELalI+&WVN!=Mp%lKi(MS{p zVVG5hbIH~S;v3qrFee)=&Q9y5ieNh!Mii3@<_Iyd>)$7_YGTCyuvQYSf_ z5D=S4A;CJj7Pn0vrp6lC!w)2n8{V0=eH z{$CAPlEtHoo|IU)g;)JsBchANt}-q&C;J*awOO9~QszDq``V~O@gqTJR?fmehO(0p zQ~x~&>DOUkR|52|h{6EuR|$q0yOyuK*8rQ+jpXq>U|C8@N*5;ILb|6uKwNX7$T_np zUXQ3#KEt{>1c)%Tvy4p`*30YfXHXN90px*L<6}JJuQ{sjSr887Z^L^ZJY{oCJSTGg zbhKbeVG}~O(#{H(eNfp76k3FBgTGW)Kfm6L;vEzC!LGwp!&4eX5^?l8SfI8!N=DRl zH2Psoa^{ThMdWsy;TQ21wet?NFt-lZrq+(AnCh?Akd02 z#O3(CQ`6PV)80HWS7K5MFh+$jlRaq(S_j_`G?vA4O-P_(s^fq zN^{$(W@W$iRtJ$&H-R}a$!)Q(f`bhmnfYuj|*y|Jd4L7-i*;upsv6TMl24$u7~t(EFmzK`*rx z?|fR2-m<(5U2R!Qw-Iiz>8SUK!uE)oq?bpyaJlTos2vu;aUi~{{3Z0N8Pf&(hW9h_ zMRgme4FxZ*nd}A-6$&^F+=i8;X)7ZBNdo@}Jg`y6g#+kVkk)i`*zOh9?VEN#=0=u% zRGxr-tjZ4Ri$ng^ES|l0^EPOadL^&QRet;5KV`{m$kvq@);w*v?8}~+nOOr;!86#k zxd?wA$ZK%uk&?^4_KjAwE_|YYn+uE#8q38lIzzVefgQ}usMDN~&+J%hePGaQw!yrK^8U>;634$$|4 zs{YPZO-G}q_MkbLIg^1U5Z9kzb9JDSJ%S5ycc8({(aYiP4?3_%I@JqwZje0k=7tP% zkB~fq6?)}*b+F2S6zL(=1&r2;Tw$fq_WqMrxeryKSH0&_0IJ-d%6})R(JAEZ-KxIh z2v}^dl8s6s^2@`vFQou#meD^|5BYV=HH~Imh%~6XRHirjfLKsH%5H~GRahVV1svUr z8Os5i;lZR=*(~Diy~O<`FJ%d>i7}iXv!1Jx^(vB81;;2TGdDiq+NLr?O|ZAe@8trZ z9yWv-TiJ{!y|3}e)oedCpt{ft^Av)5A)ns^7WojPRf*5Lp8f3s0+Q)em~ekmJM8G`Z??Pm`Q5!9R$OR$xL&Pac80j{qJNkl zP3k(mw!WCRwG30t(nYm@eoT|9$UZ_CZlvxNTsN3cX4!qN;32^iZtN*+i9#`1Z;_UN z{ul^)r-)0Wa7QmiICm=Hp_hQ{sZtO2Wj=p1vDutdc+3iA-d{sYxKhE$DRvKHA`}Aq zhxUMd^O2q}KRdwGAi`v05@^*p9(L@o7DdE`CUcX6uWxv1W&$W$3 zX9<-<{rfR@y*w}1HMR6JU#`}WjJ);?D;485OGbm6mst^epQhG{+NJR|O#kxjH^V-E zO1*P^f|VQ)*Wxwz)+5MFs6-lHQ5sdZwQ}*Fec@f*j)^qKoK5(s7&CJnPrU&t2Nk5X2N$a^3mJO-birFXn&~E?$PST7@ceA<`jD$GsNcArfuB$EJ zgH>2b?rohrKUK0{+bA&ZJO9hvplbXO*E`c_HyzC5z7=H14_S@r~7k#;2}l0CtC#79*H z?$Y-^FQU%brxc~0LidQuZpkZ;C=-5nZyR!Vxi2~{DKk7ASyR8}5{+s6BC6)vO(N5E zp)_@hUJ*J`HU?Eh!jJj$+I6RTpGWV~c_Q1}VFo%pkKC4q)!KJg$?a9uuFMBv7O*T!*X0ca2F9N4=Q52V zd%`Z$OyCoVl?riNy1Y1;*Uc>w z=Ds*|%4lZF>K3`Md2lQ06djz!TNzWo8T2H|o&9yYTXDBEpKENt(1vQ_y8$sBo|G>< zJYLtPR{s2_Ba={l zzQ2#BM|=?x987u1&0%^~-U3+psl1FoZC0{|vr;~{3bqoiYO6+7mjEvh?8;xwr^c_h zNe7KvBA?=RYgVyYx}K_^-x}?1&Ae(qw%j_^f6#v@PBYBC^v{ovdn46@G5?A*UJ9zv1 zD@sS^bhmk&3+t{(V+pg%gI2#>{N{6G{Z;a|&SC6T^6-yGO0&dK+w9iUKfZ63P+`kf%t|7Q9}weL^Z$Q zA{EwiXC5$Pfw|!;jvQ7i^<*Yb?5T2K8t_L5du7%PAC4ceRD-&Sl`zlO;GSIV8Lt-h zf3*o(zW*r5PR`bnaHV7!pckX`ivAHw`%(oVgqf9|^AEcXjTve7`PMMF3=lFZUOa0w zKL~MCNc6AY|A^A(+7G4l9hJ<;KYJ9-oO5Ok2`r!>mW(Q_*4|jKKR_|C1$ORltEM!Q zkf?3AyzXiQ>}Bn>!Rdc(2E!2q>q)uvY~VBCNarBS0EkAk5wQ9y$QevM7eWEap#@T6 zunltxZv@2~D{7$~lUoreEsUJ|f`j*YlA@s<^qDQ;CXqlIqml68Q*mj1f3pOkI1GA6 zCQ<_&uUl^_v47OueYu_GKkDwqNYQY22kf+qsV&ECgGX}t{{A3iHsdCy^!n%j$Bj}J zr40?{$z;{105@^m;tazSI5?XuueOR4Gh-X9Sga=~)6abAJa|NZ5XJAWcYsCy9@?iO zAe`A?#eYxFz1taO+1wlHJjQ^By^0FZxY=V^LnUf?(Ofb{#WNx|ba|MLx_oDxRNZ0! z8UH}r11-osk?jFee}r34PQ?E%yL#bmwF{V|_S0J}N?bpbOCWHJfzdt~u*#4&05Q7Y^fB8#p})c98*P$!lVa%chHz z2Kdekb@9W`38wuEIGyPC_}8xytlo>oghueqSJ2%1(Z#P3roIgEM`!U59m8okhzk}d zONe?J#8n)VtluUSK94qji%=JNP?l6CU;5K>kQaVXmY61E2&>`(+$-51{L^wwCPZKt z1yC2df^I2-ZD93t2&>Qnng-LG(5qa2k5kznO@e2T7f^nS;Hx`g`0KtL9DUgzy3;Cn z^-q3|RU}sY!)c7xSD=>%P#4YD)feD(&MMv`C~V!Z>@MV6qZ z>GUUJ{kOEdYy#71Pq@{TZ&aY~8YL>=$gWx-6jr~!9_=wPI+>hBb#%N?4vp(K-4!Ej zP^y18fg3lf{tiO%a&6Q(#6R>%^X`BB{Z z4-%x~SU^BBu|H`ZsR&Z4ECWfx1N5_gBKH7knOHI#Mcof&Wx{L5BwJSBS3bw^WVVLZ zL@COQOeMcHqLc<6_OVId<9gP3JNd(0XR-NBx>MP*7F<(?l+j6AwD2^?bJ~QDWU!4!23vFM=<3*<+RpPosAJU{lZ6wS@ zOCR^Yg@M2pbFV{`)&gw1-SBue8S(Xhq|Ah4{s(C?&;)vD%uaO7VHvw^?X3g`g$O&u z1UKNOR6aQ(VcJ?8hEydv!?9obB3)t=;xG-Nvch=u%;gIkBtIZRa<><@>aUXT|Cpn|eF3Sr2{&2#8nkvS8p*-+bVb61_nEng}T_d|)s zMs~3~t{G50e3>t%Jdrx|LLTshK~~uJr-^?(vGrn^kEyUS#;Ja2{nMIkozN~y;c{{N zF_{}~Baz-HVE8|VwsbgQk*Rx*qn218_u|F_GXYG~P@%9ixv;-v2+o=V4_j`7x16S5 zTRly>#=OTrFz$xF4e1idTzxtq_ojqVijV_JH?)mAzER~I74$$b!e3qTXj|uW!GAEN z$kbT>nGKb&@zIs42+;elXaqfEo__~SQyMM@c8N*5{bt_hPtf+yq85+KliY#=&QR2}=0Q7G|Z8ek$D!4R_T8kV)+tr|3 zj$0Ln-AnwiS$Q<*ts5qF_x2+i=B8QTJ0+pv=kfgLZms$bK~P3eVv-*a_^()oRi&wz3XY@m(Fn)q!~^NL4^~?x13+bYR|l*cUG> zwjdvi(|1qL33q^#@f;2&Y`jWXe*Ap&?REwI`|X|#6-s=SB-_nQMf17)n=sXo5g_aw z!xXcOPoT>mazxUE3J4*_K@(54Y@bWUVP#$f?*3-8{q|Be#R`yVHY98mQGCN zlQS8x*m}q&$r$=a*A%0mbCy!dqd+14RVt72Awf*xlqMo~o>e%2V64HLILA_j5k?F$ zE*58sn3&v>mx>D8Ct5In3|geso%ovx{VyvOkSLW(ZY5R}kVnv*ST$R!gUS1CWa%?N z8KJHzfKxDI9!4Z-2C&d+)`Cy>Ph1`|Ny9H~s6mfN+%ilqXHRUvvzbDPVa^!Y?H_FZWN|@jgn410kYd}-O#6={vwq9B_T>TqC zBF|E2b!^XZ5XqXE>tN@wZmK22NMqJ5dPtw%G_o=kkN)KkpD+J)a~%2~i|ZD-feuQ1SoAEzgeEVRlo zQjAZnns4v&I)_g#ExA)=t#9o@#+^i?r7;=28)gpvVCpmyKIKfs0v@Gex4r5<8&I^uR zNfc1+?)=-BW#FERZZGEDWsT77W+t7gxJPaYHkGQlZyjdEOVrX4_&P=puF8&Cswj_c z%JNl7;87LbM5P>8? zy(&R1K`kUfZ6xB&B;sKD4AUu;^a8j?xhhIr@X#(O2~i(V?E4n%`#kLbSco6NGOn$>m7gHP?5Vx>`uwa(h-IHl!O}>pc8X=;xTct37D7xYB{8OTXCB-QfBu zahH)>NviCGb&!KQL{wV?w5|f(*>wTQ7{^_AsIbJ%Bt$ta7j6R8HT`~ZyPuio>0QX( zo5Yck4Ps_}+QJ58(y4t7H%2xTcKjUpK6m2m`+@@Jz`_kA-n#YZBdOu*Wm{!?J1-RC z%F7o$J&uK_^?y?GN7_KQ>j+r|lAGdk=LJHdYkN$$Ogv^Hlr}frZLC`%fk$6$>9vST zjkdA{wR-#J#ZxmZBz-UBKx1+QX$^xgjfR+*!{c0fTK8hK)HiG&Y1;b8C9$&xm5p)L zBMRqT9fWi;Ycua_*+B9J$F`R8nFU~dYJ>e&UcG)7h)HLV2Hp=Rznho|wA?d8kv+FG zauEjFfI%njkFn$)I=tF}k|Ruk z=0cXL_~aaovYZE~lD<2iouGE-1ukFQzkm6iLA}e1GC2d{QdL3quY~C#a@Tq8NlO;n ztEb^^PG^M}9N{-k1KXico-A%0fPzV2q(+3LNM?O~H1%MGNLRLFZEJ`vLx?R)h%Hly zFAaFNclK5l?J|k!*yK9E6eVgpr(tBdvua?S&(4g(Dq> zBS9g&5)B6ffFxn-(T3jmu)N8&sp!R(2NO2~+GBVP=xvF5vd7H@H>tHNmux~XpR|KO zN768dOP9I8N`-#M3*eQTS4}HVc>AqMl>`?PgX%Jkv;qi zJh7HxH>-9YtA=~c7mD?HzgugY7*?6?L5~a92PS1Wjo?m%Ul*u1f7k>v*&3=+6h{CL z97Iq-fP^W0T%Bci^?pAiIp-j1`AyHnZT|!mQmJ2$P(+)H)G7hTyCD$VXbVYIY~jzToNn+E`xud*?9+-X z94-y$HX&=#49z4<%_O?E!ky=VT4#aokSK0v&!w8fOY6~R>(NzhBwHZ;zzg;bp-O0f zY=IlFEL`Z*_cfq8dou|;STBY3)LJ!g0atU8a_8{#SNHN<p1<{l`{OeTl| zZ-*UJB;!Nz9k_?U6x8tL)e%U~Y(%63odptmyuIC*R<~YrMHXLg$(ck`bl$hF;6h!5X8@>3Tg%4)m_+eBL=UU?GWf0Z3ykc-QaHSR=3G1EyGbG-f`J<&Qo0FeD2 zYE7IU#BOS{MsI*`(n=$f7H=I)nkO?0)#P4*0E+YQ;(qQ;fo*RFHuHu#a#u=95OglO zsD7c8R5x){e|P&$2$-^bw)XbM+ze(x?iWVdqBksdY`VqalD@8PeXi`HCI-(VK+vxsyf+f^CVEy`4^ftSl~8gPl$E|D7-<%BUh>B0CU4 zaut#OJ|VIr<+~Jd?+tnWiGq}+eHN&76u1r(+|kY660m+4xPBS94h|!GLe=ixx)jn3 zC_RzgOavoOAnZ=*YxrJ{kXuN+9E1r$`!7Gl!vcy8Q67Isg57j9yYos7(=I$Rmu3G$@>KilyfCSZAT=1m+fDHKLP_?`fDc!N}~nO zy_znU|B%m*3e*Xbl0L@UIz#nZ?in%0c3`XoBoQ2{))SP+3!^j z2_KuOT1#Ckn1v^x0$T1j*!sQPLb{`EA@m_FG6ElX+){F{$O7lLy8Jw_sa&($M^%+_8Xy~e}Np)Z$hTesQr;2FO+z@4w0p9v4hxk>JfQe!&o3dA2 z;>zRCmj2~mO-(L(z@$U9(~~4LeVtg7flm17^wN3iol7v1)U->`e&zG6=7IM`;qfc) zks4K&!zY1Y(Rs0smU?UbdkSQ2WKM^hGfkI|XroDV#@=N_Pc#%H66y8mxbsS$c34_zq0FRWr$!y>RD2;D0t)Yltrl0_UD96WDaMbS%b z0q=fzw~p-laP0eg-WcVarXCvV=>}qc>E!Lxw3H2sg%N#urNyhdyS;44MO@jCR>lYK zV%`CyMQ-d^vY^4Vg>Eo69ggo?ele|fpA|;zHZ5I(OzI$ZS(AF6h^)gx&^GdhUDL4d z(-&p7WdysW2fJkizoiH3a-(+Hkb0hpyibNSZ-qQ}px;1K>_!Q2m^}gHNW2_L39U&9 z?eT80i=w-@P`&I(3BMuG-km!1YAj=`REk{5nwY2iiIYn+2FWd`8KBXfzE4%-<9$bV z*Ae8$hPF0Y!{YRAWu}ion`>L#6*6e7lzT>-d}rXguL1qKm{YuJMyF?Azl#PNF!QHz zS1*ZM<$b;i9`~itudobv5j2Vt`_|U}8d^HfArDYHUp!k<;zw#{Jd||)Wum-irOtFl zszvlW)Kpy^2#5??LYbb5N63kr-nDaG-=LS-v~D&)kEMNBnQf(6GaSCYA{Dey(=in^ zn4e-ubUnLn^e8fz4sQXf^EQR&FTd^dGn%>Ba+JAfe3C+PY+-DoiPMv`HEu5*z*qar zM~9v3;o~^oc^dyR|1$413rbb9J1W~I^+o>D4f)!kyum{6s)U{MfQ>wTNkM>A}-|$51 z1f>gjowU1h-Or&rPz8BSa9E40DVpq~pMxfrJ&YpASnk^s=;@=VS>zl}W2drdP3cXZAMt=?I^Jr_wMCDb zBc@b0XT5stA)YP3m_e8lhtB*p&V&JtI3%G#|JG=xAVJ+snL(lk0ly-*(NW&9+sIxU z(PrZV)$Ox-8{`fuM<>MEL^e2{+|eL&sqBdIk&9aZRh`p_!V7&0mmEQv2Wk~fS8!FZ zoQrGbnby!NK43uyH;?3zWKZGZrY=*nD-uV@aL?)u`1N_r=4qqXcD8|Qzj-b3=W)-t z`-y02-=Ki6{ZHmz9q;C+C%^NxN1Xgc*!v@>Y*MeDKI#27IREA=M%C5lpAu~JiFl;) z>99N2)Jp&ecck5`&nKgUJA%DC66L#Zdj4tdWhJ>U0sf9nE_|wjn?>E``2AyQbS#d|yU*xf$ zxROKtvfEh0ABeG@S?e@!E1$*U89``Mk0obCA3RzFt@;5nLwHB=e*iNgUeWZ&P@A# z!r_xcZR_-W1XE`yRDQPqIoAS2xK2&J*$Jg%`N}51)2*5KA_4*V`f>)%S9P<%5XH%& zBc_9ta^XJ%)u6(l3XbQa9KV>jux#`#V)Q`jR*HZk@6 zB_=8vujdOEgL8co6v!_zzYU&{{2WA;>$%m$?Y2QPjmDOel9saNe%H~(8MILC6J8V1 zW$^+AmCTeVN$w$=+{tJcm^Eozp}=af_0XJTXIkTG_g2_ozDi^!TW;x<4;wYZ&MsyO zTK-VzPeWCsr7G&d8{0|P;lkvKxRigS!6}aEsWJ8FcdX5nEB#7Zsu5_J(tH1EcmUiRx7+jIOe14)TLIn9Vle^dxbmXt~`de;MPW~w}^DjpiS7dZ#i2_@9%u+5dnc}_DI$|n#oju zOIxjN;ySrhO|3bg=3HvFJjn7e@$lpr(K(bgp*?P2$Ty_e@#k2({P>SkLwiSLs$rzF4n?2$(D49ts)viY&3IXIq%U; z9P6AQ2NfPQnN>7ODg~T~(18E$<484XpYg;{cF% z`Y)4#CwKhU7uCu_L<3x{2eC@$K4@P~O%ymm!ZSyg%LZNWw~ImG4L8m0__BvR_@|cL zr{7cb6SGNi^E<8($qi@z4z$#k!5 zKaLB3U6qD14oCFomusP!=|~(!!uUN1naKuW3&S+if#E|?|H;pXw80`ZLfhSqIlasg z5DE;NAQ;xlw2sFJkaT-WqLfy`>Fq-wp4C3Ysu%wBq#Vr5T z+QnZ8pTl5odH+bGpY*jY)CDKKB0(V5go@oZCh{-);G6)IzO;J?Soat(U-nt!DNoBv zC$k|7DDM;D6)FGV4sQB*I*2YIxqD|%@4rO$X&!Gt!wXUoOlJD(MsOc(apQ==j%%b= zqREfQ$MP;Ve!>yPh$3Do+P8W-p9DrUvqJpP*|k>83-=8xPdTqGE5$O0lor%H^hqo3 zObd8l4TjF8)v)Xv$JDsy!n`is%QWd9=+;Qh+OIT`+W!)xCAU+uyi$vpXSxn?t;MB1 zE5qM3WOoy08{{tx(s_TX<})hCOX>}l{5#4GSI~Uoh8B->W^_ph3FY`*GEb;m{BtI{z@U*XyDydouVT5VRDZf>s?caRIX z=CPKOZM)G-koYi##O^VLf%e`K<uK6Dk1NoVEa0^AN^c()QNEb i!}G^m1y~@%-1vYzO9{o2d+&Tm>wEC^ec<-%>;C``l@sa! literal 14982 zcmZX5b9f~|({F5VjE(JVY$uzIZ5t=HZQI&lW81c|v6D?s>=WL6@B7_9?sK1+s+y^1 zx@T%?db;{o-RNLoVAY7=U)=d?6aA9vW=_sl_5c#rA51JvtR$kYR<@=jY(LmYSU8zk z*_hc_SbvbHm^rywnV69%8kyLTu#%|AkZ710lSr6axqOxMS^l5uz`&5$|0BS{QU5E$ zc>gOsz|Vc*yND!GpX3Z33^cKnd;%DFF}AaYo9(x|f7W>ZYI~w26^~g`8x?T5xOb?(PflJ5EJ?WpMN=;*BIxbhzN{tbM3 zQGfXJ$>Vyq^rxgUz13niPoUFr2@PeSzNx!TkJuMZ`6)>Iz95C%kuc!k`?W#P^Ht$} zK~!ieJ)i1F-?lnPL`3MB;M)Bl1M%P;ixri^#@S(rd{wW2igpa;yQa5dtPdSn6VQ(v zUW!kdR!_=MmK|*jIl>fWlnW1HVLYJzT7WDV|j5X-HE_vWyyz-Ig4e@XD zly_crD|l=OBb!mpAGd|y?>HS zCbn=+wwBE3Jf9@C9@wIvsepP=LdkV9?pP{Zsp|DL_SkZ>8djky^+mHy9=s35w)w%F zJWY=_Z-9+%3mgk{)=Wap$}RBqi)B_j&VMUChqZANFsZ1jf-K2C0nXA`bcm|h2F|YWk9wS=D4;Lp_1e8UqesdGKL_#)gvZyh zZbn@|0T{1!ZCMi{3Kqf8QE5YX)~^VQ0ErkF=lIh6rK6*ekQku9y9!r7CyMo*t$UN4 zmdNMCC(-!f;Qu)GywBX!R)zvSdEWd}6L|Zg5HH8GpT7EMgIDdX z5V~kb^u6CACYHj43X44Y)@I4hFrAVbHZi5PAPzWeR`lQmC+ z#xbN4(>wS?9=6Zl&0@bJ2{-bJPK_UnuiiY}n>a7#aTAeR7%P`&Bq55PY|%Y+FieQU zr?`BQ9?^r#ge`@F430u>HlqxhlIxV~n9s{vQ73y}D%##vb$-UM=2_+#H8=j`4Ig&M*(uT)MSO5pOIz2G{3^NUb%gU zfXxuAAy{~R|8l&pFnm|ZAR`e9Vtq5J#tI#HL$}DtNPNqRGxk+|6}1&w*|FWRX?_Tv zpA>&r%?`Z*|MQqT@i^~d;Uu}P(Ms&=X1{9Cs8rz#%EZ+ZYAM_9=~5^TwX!nM>-o2s z^_h7z`hi{M@{xgG+V;_WWaBBDhX2Wqhvjb?(?%)#`O?7Ycg?mog(`7)2L!!2NOQfV zsNG2UQY`vEHTw=cV)u&nQrmdbo9mOn-RJ)GcQ9U}&vkFcrEUOCOkh^P2`n6?PJ6Qw z>R&i!UO2H}JJT_zF#8R2ypJcLjhrz|;g~QX6=$u?0j3B{I$<;G|wEGV`r178=OWEbl!uK*nXvRA-lFN&z6?iZ%sSiCRx7s z*OMU_+j&RvBOj<>*aRQ03ry3GC|!rw^L~RE?%<19X+C`w=gfVpE$djMZ3r3l(OP^X zF*EQXV6yqX-`u&b0B_=&W>+lw0(U({@T$^M|NPwm+!XErRzCYGv?Rjdq=RN?hQBk* zwJw%45N!61cM4nQ%1*$}t`cbc*z7?}F0g&(W2F5S^-loI_m?y~d3)9$UrwpPrnZ zvf+6>c9?05e!+-G;vLHmSpWRrEgwnAmL z$2P_|kQTGZBxi!O9u>x~PIC`2o7f3(oESmQcO(n0jB)2$NxQimS!dhc($pF0B(RzE za5u5Ty8=`_Tmv3zk^Z_39NfKV0)QJIY{!|%*-M6AN^dNxB<9EWDi6QhA*`4cVEgu zd`feO6g3B`UAFc{?lr9eU@SFWO`J;m?Sd=TO!MDLSkrn>kMx#gk`HhdY8y7s3e_|- zQ=%mS1aOrOPC|3u5~^G8G;ruw01UG&nVA5S>aR;h)(ARru`N-zkudf+w$}-NWFeaf zY$mM!GR&`En3t&<D>oAG_#NR?m1+CczwJglR`+-w z#MQBcVmS8g#6Y~vNGF-Fs7QkQT3|94^h$%jQzGEfDEA3RLcm00vS=0s6p}$BrP3Js zB|;ph(-?{*LSVNc>>}uTeqYW0XV7*#d#4hh^6!mmQuM?d%>Yg%%b^PQba#PV0o@H3 z3odNMtuATmlD-ex8^`0Vvla}8xv%%NC}3a#~Q2WCw>0fo9WJNw~+x z984TE{)^Rhf6p z)1u(W2{8YU!cNg9I2l229f_cS)pT0^mB8AwkNeEX$y2SZPygdEipO?9He;{htFh3I zl;G;kfY5suSI}!S0P4wR6Yg@g1%0#DioDHWQqrL}EOyuL0>A$~izDcym2Q-u%#%3 z7-%Ky2fC0hSkS6z%>gR*$p7)M#M15aVrqLfDn;|Xd7Pd-eQ;%JIOMC*hgK85!droW z`?VyzsWhcRtrj4xgE*(7kpag5VoXy5tD!~AgoDOpNfh?R5oX44Kk#7~l7fN$OP5!x z3uNq@r!w$IF+gBU9nTkbv5_&uej!kadIGX4^LxeE>-@X{iJ65xw%sz&2>RPjqs5oF zi`;;M9m70yc`-5bg}f{xMoSdFRD-r(s*bfGVEUo0HWCP=KV?TX82vs1b!rYB_QgOQ zrmD-V=E}bp^2G4niEpErGCXv~70xOXDe z8iDk+)*)G z*NDc`2$TA7plAauVqv(?T-V;}*)xfiWslxo@X-TWeH^25L6Q1N)^7p9bsqOSN&fqN z6*ynT=kYhuf6$?Gh<27|v%-tEn{G2O1nQoxV|08D@&wQhHBubO2lAR4eviB&ob`L*foXZ--R!N{++eNE0oJU zn&k~(ZEN6#65piE=53r!0fCKPXu@Q31S|HwA1{crPx$l3CpY*^CT*?vE8H*@#~JZQ z50%o+B^*aTr!e+Q|V3AO2M2L{!UF!1Vw9C!waL zE{s*%Pp&>}W1P@@kI&AIs7>AE0_pi@KtCj%`=+X}R!-7gf0u)H&W6;p>y$hL=1|u_ z7L}Q+5S$>J3$W4T*_pm=OV|^9RLr@PK(W$61S#7jTzEbHShuPjIS|Q6L0EloR9{H; z01>qmO*=qc?<*%=<<5A}W3H!OA_Whe2#*~Swue7~?=6Y1Bmds_U9e-LNbVz1)@btXcIEgTXvb_3#c_ilr}l~o;f-viYWk2Smp%GE0>Zs4LGZ0x zyw0B%mFvPRvvB3KA8stzcvD@)>q^m(e8T^U`M2_+d968tO5Y@YVaVoM$*^`_DzH0@ z7@CRgU>s~5T>WVh99@h$Oa6x z1-^CrK{V?^>z9KVdSPC%g9ux|<$d&yh;#i{==!Xg-{<f3YBFqelA%7B^OSES<|f?aubV zX89hN72YR&P2iG^VYLl4q>Uago+fZ&yiXfP9%iKjpsXoa)+W!vl5c1P(`{ms z*FXFf8!sf8@7s1(k89tS&Z%bi+Lq(EA5L?pq@76^J;io+-;LhYwV6P=%lUhJ;{pU9 zw(TQ{2E5b0c%^xRqZ&ZNRCNMgKO*MT{b%zpUk$Z3720I3){7%xHa9> zI8_k$-lGfBP@hkJZyI$s%ntuKNHUQFcM^zW+vyjV9}7l`!4@<-2?Yu+Zic-M?o>qi zgVY~sM3`q;u3e|bXM)Z;%k~~}HA90J*kWD|4NK3qFZ@g4Vf@M!`F7H?9L2__jdtZi zz^_PQb$MR1be;E!WBzKD4%70Kwr*oQi#z(CU-yyxqBlHfxg8D6^e@5FPxyF>BFhIuK%o@Z@>mHCU+wrwhadtm;+j1n zW6K4rpkg}I|MO-1%ld^BY~vEdz`cl}S9hfuXbSQK4i6rGGqg}i7C%sQV5aVmVTiVE zBQzfPL267hd5Vil(qCg@l2{O_hMVu>saf1RFXvOsu}}JHXna5Ce-^DrO>mD1wM(wc z_6b(+G4SJ0PknF=!NVp}wR(->sSwkXR#odX@cwM!0PK5fFfxOE~t*=>V9sTH64WrHOcHgr_5 zm~P4UksJ?n_~#T=Y5>8LpT-tu+J(*T_Skg|X^P1h{`}2>F`_;W*QY(s~Mzso5x+ z_%>*hZc3uIGbVR(JWG4nd}1A+N&&q(Hc5{Y%%G4t$)V?F$W-gLzX>}|Q9E8F)e5OE zkwrh4QHGuK$F%Db2S-51?Al39Z-Q8bZ?~X*A0jhI?VpIT-{;G;1t4#y)AD)>8u-dt zeFew%!ieY}&)5^*IPbJl1oVSuwHhB(Bq` zjHILZfqn7zt?(fo4vsw~P3m=OyNfvb4m6=ph?(_Mb5!8JyYq4IFi>C zh_P}-ttzw|kEBf$S1bfY7i=Yi0P>S@>LbQu?=eyFaRx8a6Ww?VVgUCyg-ZfS@jW*J zRYoqyofe(S$UY^PvZXvib85IYXlipi81p$A)+m>NQnq0MLTr|Ho}v|uG(Qw}0JpYY zVS-P36*$*YFw?|~c2Y8$y`Gt_b*VTjSJ@0yUw6;aTR5WJuokPBGVO^L;@df*Z}E|7 zYSytlaB1fhTp3p{rQf0Q?zr8&_%P>m|9Uv_&hVCvENhK3yq7f{c+_WdvA&nauDYp4 zm9B9g8JXMUq*W%S?bDeu0H;pcG~&hEgsje6Ev^)^%vxf{A&B#0_o}#dhJmYU@{Vni zQAdHmKwqzP@|aWaag|@=^R$$4;L!}e`O6I41L$AU#~W{(sW>gg9)zq3NARF=uTs~j zBLKEXZo22*Uw1q69k{y)nbpCx)!f<0a0^Imx?tDsQa2;dE`p4eANFsQ`HZvb;eRf7 z#yMp-Hc}N(vgM5gh8HWr3+OD}x}9sA{k3}ANiC2sD>|!*>^wQW*Pm~1|Aq;P6L~CI z!_M}57T`lTCQK>3I`57Y zZ!W*3>kRQmPE}BS7kPwcWg0S(HcOvi8h}{dBt2fL5IT?jK&uIEwA15c*1f_?$NM@4 z*|OPrw($Oao;W_zgB`WG{ZpM^Xc17;i0D_WH~Qv`A*AR^Drc=c z=)Bd_H~p>VO|#b)v~@iCnExGA@AnoJSnWGfI{e`XT2$%nw&*>b@6~y2J2N<{ykYEo zf4fM2pK_YY|6(~icA%r@&Ijhz=eFJxcblEHnX9WEX4fg9PV=L;gB?F+m!;3tMP+}D z&->N5AG*^Zr`Zm-j$<0M)*su{7ytE}Ef#ncDE8)vn+==K#&? zk$(gg1P1v_7krG~d(tP0u?||ed79&geKd5`L0i+5_a}2@y{T)0j(#0>Yl1i0)+9$b z<32e4ZXX}pJ@vkg7mId1lRb`~J{A)~<>;Ur`z{sVuQr*1b}4depu$h$lh;%#2sS1{ zS)i)KF$4`~oW3|qvSY>E* zEa5hbMU1RcP36k!#gYzMIdV~e9l3Z#ib;+rG@=h#(#>7C@BZ@Ju^*WFccV6(Xmer6 zJXb%rb4IrzqI5goTB4hE15V}!^2^@iSB*9F^zG+no5hH69!EWWcAxiY;sFr8Pn53J zEdCp{Wf?)!Q?Of+NGEvH0rGlm0SD5$g@}&b+y`RQBGNjnFyCT9H&IjerQF%-k5+71 z+_uSp*Qh{m42MsXIWLjdI1xVRrYrm=&jLM*IeC~1Z#5{3eM4-{}HI zs0;1j7Ol9dBtf76!ESU*??HjMqYOoAz-y6hP0b1(1Tw`2!2GLTZg%DnxjHm zH!G-SvrG?i%My77``k{X~1cz0CNF1hzbZNwq>!rg0QO=c}1Peg1PunQ2oR5 z6K35j_z^J2iQnWN8;KZuyC_ZUlo&?1GHKY1s>T@nvLpK{dN2F_hhAfgbp} z63m7994B6rKQ!{97(34GjxLKh6swLle7KDCP?9!%iMmQz6kC(>wkbv&2Ry9+iYwXB z2%ci1F)dyml<%$gAmF@Hq*JFsU*!^_TBSPD<={o#9+sc#(J;Mf8S2!AtHqH9H zTY8S8I|I=oJKFqho2F(dZ11xn;>u8LZPxueYHPg>tnfTA2Al$*T4@iZ~}{3W<*w`d>!G4 zjYCaxMoMxwS$#|o{%(_B)zJjB1rfM@;}-BPG4a?qG3o2_C3tIPbLKRByq)Z-srjnNTtN5i>#M7GW8DiNl`ku8!!(g| z8Cst1eH1E{dOBFNvTE0;ekf^`Ls{jE5HCA&$D<;cR?9VKXAAkgWXRTT+E(1r z6VNo0){m!ZUsg}0b5KgCNVOjQt)zLq%vzp8JT|t4J!!6<2#A}9O6>~ZTKTP+1@n82 zl{9x*N$3EK8!wNo{UFELUy_4yk7&$j>KTjUjUdUhY@A3{X`0Oj4d%NIQH%k?bGK_r&d{AMSPmp0T z*{Xu{qhWr4?UH5PUEYM$uW1}ZHDgQ+7MQl?2!YTdkPfU8EEk5XkZ9P{3ao-rBdJ~Y z#H*OCG?;3&E*VJ-^`oi0O=Muc!11!`9jm-!Xy9yGut{t#Ax}xy_tj6st?P)Ta}3mQ z*W0C@oYcR2*B+a!K|Ml!ym`~ZIZ5fzOiT!MKWflq2|7h-;d=%5K7Wiit zNy@x|>Ipha$bZy-#J{z^Ag#sLK2#f((09$bQX3Vi#Xf7DQ2r5~uKlhbE3kVM60DEB zbVXUYGkJg4E%QH@_|?`3{5#@?)Su=))G)3QyX)lY8q45z9Y<*1evWWs4rm$-*mDhP zsZv$MB1VC$g(CA;!lM&}Z%<+EF^we4WbI*5KS;iZyn~U~U;fcxZ7iyrp2?DTV50aI zq!=UL-)Q*crUg@r<5&3D^|H7d7h~?a4#(TzLe%MQBYhDXbLR zI@nAYZ6_V=B&7y3uAN&eXA=H%ELmB8txM5BLPva~&UHx0b?C!o3QO{cptp-X;J<2$ zbF18%@GD33xutCo;O{PmA{pLH?;UaeU>=W5q8l~}Iq*?$dL-RYsZX>cdp?O?Y)YcP z8`iai?1@M6h`;A-%rvP74D+Gy%ps|n^S2;37QWb6gPXS90T0Th%*w%ha&>a}U;+yd z;^y4`ULAjr6dzw>8M{QS4GNyh-F4ueA>K;p1gp=^^x~VyJP6~L6qOv&sI17onGa|S zI~j!-Eb+6XQVHU{as)aPepxHg*s!m50}sG^t|^^ldnPO!?0WVn{{`1Wt;q-FRejFx zeU_W&=6pJl=+#-4$``p;9MUW`ghao7@N45?etzZe!gpJra{R0tm3~T2ZR#_VSg%f_ zlBKc@Dwhh>-wH^eqMo zub-s%3uI9Y^b{RxaPKjqmfOB}_G9P;M0tVszoV8-Y^pfwQQWUa_y)76DUwV8R$YrH zG-$-Kt|A_beWcL&n$<)wG6ayY#Mx*H#@{#MaWkr+>_SdAsIYnbus>$~IRI&|YYMBzGI z1&rBmCJ2EWKUE0;NKct0~zl&=@moZ)I@Nr$@O~_nP&uBr=GL>+l^gmcNzI3l=2wi+tw@ z`&L8vD}J`=F!yHoH2wtaaz{KpJjO7oe5S&lj9+sVu+%O&*%Q7IfxT(D^uVs6ly$7q znsTA#q@|HiaKy|$f(>SU8$>(7rL{Z=&OV6DMkI`?VH{(;0aN%13lZMCWCteq>7NjK zbfxqC5ZkF&m(;rR{zJG8dlmJ%kADvG&JcAd zGi}=w?+q(cDZzn>|0|5Sp1%w^9!ns{}JjUXoH@U3I~rv!wonpSJ2Tt1g*jcOnC6Sjs})KcG_l zTOYSc5rk|fdMczDHyRO<9=H8fP4V_)(iZ6--Qh&PjX1&qxdmh&o&dQbn{{)nw7HrL z5I@_ABrBy6Z121s6y)4$w^I-JHW?dCWrJ9*H9Wk#PO*^Ux-#PG!FalPttQ7PB$F4O zBDNwCaQ#6<4AA6d>%m3sb;)`1F?cS=d% zvHmyBwW%x2{aBJEHO}1!&8>)??eQ}W-MjT!;ojaT6+aoYqix<$th?dM3ATtNd;C0Z3S~J z0#~sTa?f&pIKbhV;B4By&WN^D=(D3^Z>c0RcFwwsf}n8Of)-76#Vx)1DCHK3+IT2( zml~EZjmTMSWGev+F!l!^O@NlZ$J=Mhon!t1?=DignXo+LB=1bN!i`?miKRtPN>1;b z-ZKjoB0zvDz^RUM;8Z8Iwt(X-cw9E_-Zc>f$Ue9a$d#~@8s@E zLNG%|TSBS08~cw`s8h4QdDGlpNfI%PAlMG~;j0YnIhhdbsc7#Z{fTW;Z|`t%HQp8IcU5bA%mYxbXjaaO}&EsemzR zuzHPu$pF%PCo#c`FdrDSZ5FL9Ygq=J^iGWDDxHNBFh|d8weJ~~TN1wgVpBMQ^&8K> z_fBOR07io}nizC(zvp*UwU%u7;V=aW*<^|XR{@e8FZ$-Cqz zT_6-C^_ADetY6?3z2PjaF({-*n1j)F~%7u zfGZimnGE1gcIiOocOm{Z68T*H?S_wfU!HpZNq&epCqf8f&vHwMC-iMC@;UmOW4caa zFK_7EbmVjAH^=yIj?8E%*#gMkj*m6%gnCiAUG|3h_6imfx114fKeBNUeVUu?cYg-^ zD&U`AoZ?lthNnN=BMa1*^>TfDppiuOt+qQK*^K2%J0Ea%6?a`uG3s#T*P0z1|MYpJ zr{Hm-#A#mNbVOv+x_Bl};{F-(W0^9}%;qNh5;3E!opchso~s`ixck!ofjcaf<8*l< z&W{C$uf?Acq;nCi^R2ygO$Zh7u7oSlE;nxDAGV5_?y>_8cVD3>Oo;is7z`8wH3aET z8$sHy*#$Rew2^&~Li0cHpe%F^GP8b%W<;67v2wKIn%&G-^#p?6qp=^6nRMCz3f0>C z?F>Le`bNKCKcFBD6Jx$HxV%L!C9997+v*HXyZ$;Wu1@5jE_QHS&hxv6SKJmpYD77p zr_wIAEO-4ivH=|W-~$=P-n-|F-5Q$i4lh1txeiXARoA!0)Tf?~0>^}#ulu+5yoygJ z$u6N}tMIIpc_k~?lf0;1fs&^?gq6(S1OFu+0k2P!kN?({yU&10QH|Xpv|{|?$rITX zs1Lbdeij$Fa@`OLe5yN<9UaxH%f`&7oxneN==yd(O@xKGl>2p_VPPF zU5rH5iIk=1c_PASG=Ay4fSaSbA^wjKrZ^-CxevN-ll@L8sZ5YmGn= zwpbjA;Df{-sL|L0a-ocgU{}@fq{^8_<4{_R&ckw_bXlUZ1-h97*Q1l0qj_3|S?64! zX1+`7iwrR`8tlCk*1?X~pZ7`K1JAeid& z$-vw`o(o~`3^QFAUO`w)AIXWK@W{q9l2P~|{S&~Ww6-)4#z~-{zE!@eQItQO` z>m>vq!DgVGPTFuAu#q#};f&zWyt6Lbm`^O`!-GzzNH2etg?diuxykh-ROLKWA?EcD zgtt=~f=O?sk5S4`e$SdS@ATg$0^|<)2`5u!gpc(W`vrt~2imr)thYIC-CFxXbS4a& zAvwcrA1%LkNKHzFUWq}=o+LNt`M>GkQ$E~(z0I1vX-29%o@h!4+Wv`ne(h7*F(6TQ z3$R}Tpn@W4eI4XzCuteUD}CbBv|JWu8V`?dRPS%?`1M*2((>bN?>pf}qe+Rs8@mW@ zAGzI}dgzBs|JJav#Z<%WQPF3F zmhlt<21PMq(yGz=Dz@=?ySq=!3}$MXg7(T)X)-Y3Fn$G*kxH{r1c@#^!%=Bx6+|j2 zVNhli2#X>;KNs%7M&kPvV0`n>D4KVknaVx24NPMh?{0LSb)OZua$YrdZ!CpIhXB)T>Q*(f}6_d8=D>`q0y<0it7b!0Gce$NCp!@#2@RkG%L74BF)Vg+>=tE z({Ws}8IrFe|5fCTZ5x$BO{oCD;&yD_88NLbQSOQeO6)$7Tvg*o?AHvUx?uzp;OxeR z2sbBd!ZkQ!x^jE&tqb5frY>rC_R+^KgU>~>3?j3u>RD&d)AzkSC`%F@FI?%--l!k(Ay?BtZYJGjkrJ?$F z>Tp;ZYdpAyC!{gC`a*hr%$!6p5Uj)bu&9_6SdL-PnwWvK8?1@VAE3Xt$j1+yw8f2KTY{Uy>%SXzS*Iwp!FgH<^dVIb26 zVVW9@TF*A4MIWllR156yDgCHH=#wh0C4t_*pGG($qZqTj9pOZxSbzk1ihc~ZUlCyk zuY9{$p+Q#VEe@=yFHwA9sSxG+wxdQ+la}rcr57)m=`v)2G3?QG`MsPbQt(yMJBl+# zei$faPLJ$U#JbkjQjV2|1?GYF1Ip2t_etgzU@o7TeHhm!)(7({Z3@~UIus4evE;Vr zbRkltRLQkH>*ls2l(fp4Y;fVH^Ai!s5UYeo69u#pHt4B_;|*0mlviqVh& zI~u6~{GT)BS$7vEzOk;1+L79CJ7?dzV6k(u2)7LO#T1wE=-)(T`{dnX}Z0Lb@ON1Wd80 zfe9%MI4_jnJ(uR1k6#&M7wi5>w--7&RHXUMLq!?99;12vxjht35i#$o6luIkoY+JI zPvtla-;=+=D!7DG67YSVE1?tK{7$9~Mrwj8(`{~gsO27tn<8nfY%U0o%(&}p5z%i> zQeE2bN&+^80v;Y1tx9Vpng<it*nE%?estfELf=mee)E5!@xd5th^;+#|*m@_9J)b10iq$+bOY zluY;T;fzpyOydOiGCUrsBYyMK!r-iKKeJYDam1}|1kN5=VwPx>Ch=Br-YAxpy}>+K zCEP-5_iZB6(3nTj@g*FfQp>(QB5IXpzr4DJC;WR##he5qP2rdsyEo1;hnfJNR3AZV z=~%0se!(vyhUZvrIgWer(J=ob1c=1kB+^Th2`+%>f;6slLwn*4kgUdh{Jhf!zYePo zGv-w<0%Fkcy%O2$zq_u9> ze>Ud4bRUN(YLFqsg^O0JdDOfUFxy8oJPoUv2B^Ye8BE`q}Ptct9# zNe*&pGYSI8*TQnvtuErJe$ZapY^F$*(C2s!*G)?d=R$7GNmObL4kvtXd(rWI_$b-K kERzop19)2rT(zoFVaC!2tQKZ6zLItqOIqLUe|w()1Kq=V&;S4c diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index 7795cd5f32ee0828809b11527e43346b30aa40aa..a1997f015aa43e063ce16bb1c2079eb847bd609f 100755 GIT binary patch literal 27836 zcmX_n2T)VZ7q5zdNK+8$1O-GvKza`!(i8-w_b$Eno(PEaCLl@+NUxzvC-f?WB1DR` z0HG)J5EAly|2OmQ-nnP)J!SXo+1WF*_jexNx^=6D^7g-{@4xo?A2qc1@pbm};1m`W zd?hH%`P$#v?E|NXs0imP2_az-A(2|8m8IrY>zjqGhXRX#ZT z{nO;Ny7SM>ty>i?|0A~=N&X-0bo+la==MP#c_)ubCQ(S~fsuttBChXl80{>klMy0Syjw*TU%MRZj^z5;zYlV-ea@aST z`T67AtK6SW`Fxw9pEAcjUuKOAChzn3y#7)rTxAw#t&>7Dxgx4dkfJzQR>dB%UCe`3 zO^8-8B>1w|=KQ&Q?lCmLjMDjjeT6r-#-vXnlHs^BYkqsEQFZj+Hg2sejT*ZKD zI&Rr|+f6WY2h~;hw};I}&IfwcHkVv^m^-n6r^7$gZUcTW;Fd_OjJ9&Gc(tfQ08jvrV14K`_d zqp25!{9$20BDb-9@)C5mBFTb3|E~|7Z>T;wI=4^^r1AjA+c>uC-HFMHsd;H$j<~O7w6f8|Qy4AbC9K;z zTeDaB+0*W|5rH=OvHzeX%zv;2J9L>?@11)nUbM*OiZ}Qyynr#0EYYQb@GLyX7M-j< zA2hGa1rq;GIp#?Xtq8OVY}U=~L?l=|^c8Qu!$^a9)wLp7Q{2kGkpr7=YZHSd(b|8r zARz)l6rM=!M6elzjY!8a{3a(RRFjA=WfMxE>p+OgACpQfGotPZ8I30j!^xMQf!KW4 z&F}%7pk4Ao0#obA%j12J#0@+#{zus`tXmnr=ZRw?`dj2aFCG5v8F>&|)K&c@k%-p6 z#5xGs>zDCdt}rQpy~4_&OR<1P*VB=aB?jmI?^f~io3{P5*CB==47Q0sfEhfimu_4b zG7`Ac*?UY2Vyqt_SdTu!E<{o9ye|C2jOCQ6bu;rLS3%0oR2^%qa-Fqs0(;dDwt1gIa9o8Nt zT|Ld(;q%bL+fl{nVdt^?bcIeE{Tf-TnZO+is%#Ms_4`nmMTpnXnk#KjnS729`LPwl zan7MF?m8eXsn>A_)^KbT2-f<1Ghm)hTd$Gq?OfI`6B%UKaUP~nJO5D0=t@;{oYhR2 zjrKf->3oa-LrYECeg{p{yEo(Gk;n`)*BH=)_mTOIG7mMmgy=O( zPzjOwJWq(;=UY#2q8oUNZ{+MHI=@aVjb0Sh-fJLDpa4*aCw|qOb;@(?JOJEV3qO-$ z&q-cu<+ft(I#dynxBWU$|G$1%0csg0_s~1U*}O9MU4Ba#vQrH zm;@KwIlO{ryfXWNf4*gZ>Ht^R9O2TE3)_uwn~XHnAlFz3b)u8J!*+xX?S3Bm+34qJ z(pR9{E#WU!lgBT0pl|q--#*y?5Nw_3Umo0-Wf^Mf?P%{h$Q)&Mj8o$U>NK(FdnCxKb(r@QvRkg6n!qh<9X@;QR{1IisB{6MZrhn+digNO z%t^?_US#eGVhA*?-z8z}GsDX@Joy9@HCzOOr1 zvz_l@>2|xa(~A_YrH%v{BM*;|zT1EYu`_i2$zsp-=h?`v4EpS!F9nxbFejB>+yedN zo+63_pEFAO6(k5er0)cROPRu6lfT%C{;iweR#%8eJrcBe(PWOwC@<<%39UT?^{%1c zQX9knhTr7=b*!3EZ@GU0mf}mUd*2&Q{Pg3FgRp4N=R7`TQt`EiCti8LU2);{$CBt z)YNxPXBIcxw|`%Am%9oo=v2#fF%rh^3d>xoKsMhRT>gf>d5=)8va?q~=W*61aIkXX zwkYiaVlMpXH%B@6SE` zn|Sc-NdqhLMS(zuZ-cn)T&ciNbhg_(|BH^CPs|H&c22YXiQb0h^YlaO4xZTQh;ECY z#=b1af~(?+0yg1UuJ#ZHf;PoQ`_8L=jfWbRXSsL%HN`YIxQfpPI=EwdD_%#}osQDO zJ}@22lW?Qu5}6pnPQEzOa+N~2VjZcuRKI9jo@n*QiXYnZprsPO8D5TRx>jR(g1$!lw;eNORf-!w=T zDg_2Tjv^TP8GYrJ-woobj98DXgw-E1^G`F&@GX(xhm8dvuIE= zlcH??Np19*y!x7udm{S7K?my6>)qL_D*oMzDWW1>g|6`S-PKdi+*%sqk8hitQGiK< zkxESQvlmeS_*W@BsxRm6O_}TtyxJaH6?wzIq@WQQ*l^=^MWjLjRHf4x(@0gui2*c3 zwBE*JGL1}rTc{svWy(--WjL`g3g~A*hg+TAw#t8pCx=w)ZMxYi#ozVF3bG>~!!$=B znj|qN#4vo|IvNSip}}h!8ig830A*E+Yj2vw>9GoX)hlqFAUAJFK)jGVVF*n`7 zbP>g`?gDAV^-ZGFr%>F-DPkr!tE|6ZJW4y6HEMGVD>%ZONQXR`ut!JCB$PX8pZ;FVhMC6a{SI03SZ{f=C<$A7xK z9s@?nk7gi+W;U_bVHjG*GFww_X0?Lj-92r%0?tAADyP=s+$2h&HM|2i%<*W}qCl8~ zOiYH~nUc-#r_KtNyK%?C}>64Nw|j`XnS_=f*>SS_p_y&gk)zvrwB@i{UuOf&0$smlw$v|Wka$6 zDX>TVKNF_zC&7ezh}ruoZ1C*9ozTl(l6#(xZpJIvE4%aMf;f z5n4@Nq0RigqutoD3s}p1R=Ec8ebxiuz=(KYm<<4jCCTADV_FN*iKQ0wnfvGX*ha)@ zObbtnXMp!4l7w91p+fcgDhJ>fTpGNMrUb8YhGA16GRVi0ZE&K0v}kk?ksu z3618R;>cwuKEWi=<~4dWeE^lnux7_GC^%G-b7PvU>DuOBv7o$gmXp`GZ_dt0R+MPL zm#FcWwx?qwO2hODTf#;Vs?6r&cYz+WSb&SnO>pl7!8ON2v;p2u%Mqggmd4+fspf~_ zZIMKtN!zv1*6Et(5S=ZS8-OUT0QQ$sNi9?{i?<$sN?xjP`L`25mETW(bhY%3l#RH| zaJ^f!LHQ?8x%HUfdgeF_w}fXvy3B{Pk!1~>lGdGm#;!udJB=vFm!-A2IGEo(ineVy z!1`LZ!< zL39A%V+Ed=6C#%=zRghl#(L4;$+xrN%A#J34v3h_hwc78up&d4oAv`rQ!Z)c4noF; z$Zd&YNiSUGi!!W{3|`@MZof9~7drz>LfDSw|Y&)OG- ze?yi<9&4ei_yPZMSl&nRgCmG3?BKlnggb5Y5!V4WfC20u5UKY#Xv`?H&C}@`KJcW$ z?r5QXaf1!mlr$MLutJ5?juQ#Xbxo%60qn97>J- z>^5v*vL$PyY-Si4IE|9jg1E}=klefq_hZ-DMILwTobHo>St;cq<{fwt>DD3^iQ;mj zh89;TAHDkL&CN(mZV&koR{gCDuJF@mA;m|Z!7-;wjCckA9!Gg=JQ1-jI-FnGX~O3C z4v^jAE^O-u#X+#=d!L{Kj_Vi|mYeSp$A(6SS zE4QY)`nFMv>a?GB@K>j>6(-z%#(WT=Ozu1gax_rT7vTWbMssnF;=N* z*L_rBG50P(Q|7FGF=S?M=K-3$r*K4c{|!k<_sDue@eKH>*C`3%?|dlc81$+wI{n&c zZhG~siJ*)9gQE^3+5r3WcCmkCCMJV{Keb3!vG{^wY6;}Z@m8c*wHQ}V(1CpAA0IwQ@wwNoEY+h6 z5@p)=ofTa%^Vl7Am0!zogQgs>=a(d%T5Lvo`oKy;#uO|zPkyXD_vw{vTQ$Dj*2yXz zZ;sJC%H-$FLMW=GQFf1Z*^+LaJU;xNNH_8GtrX%7XReKRbD5W$>iItP+7QJ18H0ia z77oX(jvvD;{B0r9rP3+4z|oUsYf!hIUB)tg^z6qXS!Rx@a*i)GFRD|R&FvLEHgb}S z)|5`IhcA(BHT;;yi5$m@Nqn?W_F=5&WA4Q&%H{2eq{05McS}p1qqyAjsJzp|rD!nC z>XPd|`6dg0L|zWwvc{7zhKh4*Ty2G`8jIT~a!69<@sg+$T@I^bZiO z{lV+SUvYix2o2(B{qrd>u)^xwy3#wZ{Ik9W%d5WYg?S1}cF6vCNVMnikbks1xOrqf zlt4auehOU|U;wZ}v<=v=rBTS>xa0{Ta+$Usxo(svmR$O#Oywr`6wfGq17%NBxTMtt z551WkJyqyA1`}fOwBC652nL*q1n>NN3B<#HVOAwihM!@S-tR&dTn;l&h!2yAF(UXl zUsos>JJ>9Wc7v!o3<2F1#4#)ESzy`ru?5=kDMJxGfR*lWo*%b}L3!vq3MN4V_pu!b zH0*YK`g=3BVF$YQB)F?4N?`kcQTZ0fg~4^mYj61WY~UDNqPxq;f9O>I`c7!pq~ub| zzgbO7V)xu!48v*6q4o0J+Ey%cw9{O@Gjp^RIN5Tv<$?9iGFo>%Ky_D-{x$Uc5`s43lxiDfZ+<0vrr4lw2O6!;xVSaXwp?nHNR>k;&9};bOQNJu#{@&KQ zz*ZNnfdiu8{G=qw>>O73`xnAfEfl<i!R}?-gBwgS0Q?sEqjq)}o4*63Iy$chMVL1} zVKl-ZE_>r$S$vo!i(5+2dC^Hx%_#j^&3;8lvzOq-`3n)lT!1!R)&wmkh*y*Z8J!m6FowC8@vru zylJVIZ796gP8$^p`%X-A1r22lC?Lr}r`T=B58upJE!WJsF&(eL~>Xy41M<5=kLdHHkV$NsV|xWW86nAkFG0Hqs%F#ktotAdBg+|$+(BsafF z9V}Dn9L(?;{CK8+!Qy;(&%LHP)75hU-{qJEdwf@wOaAq*Y}jMJ(Oa6$Y^a~EE5Ed< zI8_5w!aAhHCpwJ>j4J6%eu)~-zN4;OzmypRJl%ywFG`+KR7$wx;kR9W7?e0viUavJ zn7Muq4eF*h!@3K)t%pDt`t2<*%l)WXeJr0Q=~-q`HR3wB^5S)Y=An^3roFj7 zvrKio>o)x1%Fx)oI!M^kQ)0Sj-(I-LpIOAmm1ENSZWFubQ{x*_?+AwLd(yXwRqh>o zsjS4pDOg4+ucKHVUl?>Zu{V2f(pbRTZIY=3|3)8m$meNQ1#9>cO=`F}5-m!!WKKU* zax!xh$MQD$rT;jIeBP%fs;Wb|JX<{D?t}fTt8!>u_eVeK(bt^41*u+ile@5SSBlH8 z{MQ3R%CcN1cjM07{KNnDKU(|_xsvv-zg3w8M%tWzWQAy=xc5Up&s%TS+bS$vZ4kCWqUz)yX}(}Ni8uvGdz8$&+4 zlJC7T?UVm(abs>wNH4#pv*JWA^Ga3b3KEU%%Xtqq&CoGa%8$m*N2%3=Pb({cI;g|P zc`YSlM79k%6J2S~5!&!>;Cg4*W+(V7y>41yBAcic>MI|*q%&P)61iO@osz>7m0LE! zsp_4JW^`lj5|%@o`btsvHNPO z7YBM5rZV|P8XY9ZrKp&VM>)mqt(csE!5mjtF1xFTb{bmdwcK`J*~1axA!$8|d_FHYu|1Zh?CY z)u?ASH@b!&+GWVst+ppB=gOmNUtyX9m+)F)ZD27rE1!UTe%6ObNZK;_m&eMPB-R-h ziI;tT#_*ur%At zv7%q1Z-rdIq`kxVPH26Dwz=QyYqjCB3g@FgX8(n=Z*_MHM0Fa)x4;~%roXCX?H5;V zUd==O!6kWOoukC9Y(iyvxj1T}Ijs%Bp0!+%sId*d4?7O&dLOPWne`25c=O-EK&6Y4ZOp_2 z2=;t}`a8&3C(o3`R0_Mi*k(+c0a)X6LC7=^pL~+`*oEl@e*K+{;b& zpW4&MegtPdwSHo)XW#k${vVIJj~1W4?U5Oc8eC^2>H8u8TZMn9vCaDg|K~K#-V|M& zWoJY5A~_VQRFgU48oyti91W42d6|zV0je=NX_b^b#srH@<>l&<1X`1ZGt931-*qAS zdQTAPNnojOaQkqyQK7>54kpgJcy=n|0|)7v1h2mvPV@H^2edALspJe)IPs@;kkvki z+N0qzUTW@xRfZ)aPxd?L*;!dNk3(n9oA+$C>QE-?XQ{4rv!oQx!6ttSt{8M&v+@Nk z5*{b-R@?Brw>XhhR+2M6v$RdeRm?-o(^_gh}c>hTEtz_veY~RGv#8dvSt}CSnG+1mMRKH! zcQI+b#-(L;fIn4yQGnNMr}nQ{W!CSKP4zQ-=7}vw=oRf#6FuJ%E2(#y_F^%3o_;Zeo%mY_>H|XD>cc;d5W4irfO+BxMDm^Qg|?ClR*tu$ z_KIFK8{D3=?U$|_Wby}l_o};J44gFT;gp|lfxus@vWvf(hU}JJNphKpPCf+nyqd7` z{Pzdy%0N|Kcp{Ka8X4_8d55fMwMNl*s^_4L?W?5Y3(@U^RMww0kLEklx|2VcZ#e>?3qN0`n=vfdB)Z8NJ{-pyR$8xT_a2YcJzVbd$E zGn%itP-nQSUwNkU&Cjc@3f^U_!e4Zaop16vzS0Oy*TbAF)YPo9O8XrB=|%VhN~@($ zjI%2EqoW>`ih8{3u-{L_H=LWD*qwGiHVk)FRsi*zI$mhZAaX*HtXT&#lN~3l6osjW z52IqquJs=k?@us~?oZH1r^c&S<8*gV7vp#GBI&o*bq_;*VytHC;$tu`YsU0{H@vTE zj)yirThL{0kNfa)MeB_3wVh?bmj~2U*cx)^M#^JLUjv_tQ@&0LHikltZe9NtP7Cfj zNeC(G6}_=v9XE1jtdW<-L`pA=YtiYV;T@Eg!KDU!FT|+HXlzw{R zSF7}AxqwpdqcFYD&+B8yW>f+Ar4rBCV@Db<{Kkl^B}M1kn2PlSrDPR#cc@4gvVV*U zD2MkNNmDDISLeMqa{wrl5zgm99s&I|O}4H-^j|oqsid=+Gupf?(qlAtT6HC;_|z9^^AFU$oAe<3jGXx@91hmWF~3w!LEc0 z3nRe(fEulqK zlsQ`zpHrq+Oqx&aTbdtSju9K_{v>w7pK_%K%N~|^zPzZIzK}!YZ-1}~sbO*l@Z3^8 zZY{YWqfbz~c+>gg=U!Vu6!*l0-PBX(Z1ej9vxAWWKR>KTi8MEPkk~dy&9HsMMf$LtYtptzi!XjxSUmBC`| zUC}!2=Q%xb8J3DNlfh$6b~{o^7n3P;`P%LF`G+Hxl5*N*7YmO?|0oX+I!B54Ob2q= zw}1Q*aUCLj`?y2j5GA&s5c~Lk=gmu$zZhreVYMKCWXmc)<)8Ws!z5kL5CYk*esK7B z?>N7QO^KjhGI}cI<)dA-mltVmmz^e*KY3bREvJPc=Pm*%btm7RkD4iZSplSnqS?yA z8zK%{sia$V&F3B`0U`yx2l7C%ddK~?XlUaC~VyP29ckc&|Ab4@H_upVD~}j zV)O#lFx26R?f*Q@D0GYxeOCHB3LsZf%Z9z8Tz=sflgahAhR(mmAvR^E4mLaD^ z5x8Uld~?2S7vr?mpSAK!-p!%%6*1e|3N!&I_t4uY?;jdfw8;VWSO4)me$FLH;Mh%U zP#oxBSJj@WyU&{FGwb;c6@i$k*M0VnCup;xk@z0{09f--LP{cD@H8~a$@kOjjH{zR z{E>j9(a#9KoX!72e!L13S%ra=-va39otU5aBPlPN!iZ`@&o_#sl|Gta0*?#1WlP;m z&!v0o;wMU)PS1I+Tt2=oH?c={ppN!6-vY9@8(n%I@8(sTD_{L=@c!{)KW;a6k*}>l zR^Bdyag)@Ty-4iFps>o{M7+Zzk1AerLp1AGcoEs4^M=h4(xaTlOtH{xxcNgv=eUQ@ z?Ts2+B8}~90;S;d8A@BiT{DsAZZxkZCmNU^Vd2%Lbt*XTZ1eYQ8!z7`9gT!EG>%b= z7FN{#{3@qWvBAj&7H`zhk*Hs1#y)`<2dH>m+pBUqtusy` zlyQCsTdy~yDYl<|qEl>3y?6NgejK~jXdZ^fe?D;eUT3WulD~9MTF}H;z9#A=Sa_J@v&FOM7ljNx z_xo6WamyD*aVwNMw+Je~`-Ylf9SF2nmamO^j{XmO<_J046GrvG06Jf#-=|zSNF0FD z>-TKfx#fRRyRZb}Xs9R+Wc&pPo~pmw*_DII`^wNgXW|P(;-dtWV{8{e!&Je~^lyst z*{grwqh+8ELu?*LfR8wL*C!(uS&w92DXWY}px$WxX^%Z|Yoyvjr%K)9061#@6g;Cw z+{{)EyS%3gweZFZeBzAkb3E-+DjBc|nRI`r(kg15A{s5rJWGzQAS#PhShQ*fIt2nI zP~~a3>D(2G1sYO|2BwY=$|m=dU*(Ii6$dhHCVdbi8j-&Zq2>?z0_y*p?xOfWhNio= z#Mdt)Vf)lpOkrN-G!vLjJEO>#4cHk8SF3Q^QK4-*D3z0QOB)QY^?W`{wncsDP8M^@ z?&HLlYlSFW*L+S5dx?o-Ocb4A1-5xv%KUf{AXk`l1~i@w@G3SAcUoBrto8kd08O{!;0e3z)9v(opI*RDy= z$3qpmz$Z0{m*_UfR)ds)6;yT!%e%gxLvL1y=>v)d@D~hxeby(MXo8-|N{rVdw6EF6 zDj`G4-NPuvj@yytqUVon?S!wQ+x5(5q@(`K;!oIACX{lscOL|A-x4RvR$lp)ZNoyu zwa3dT)?bOk)=cvpU+vI`{<2E#?lzbCZycZ|;MgGn8*mL)Rn@o`<9rodRszZ%ZF&d) zn?UXqtohr=v5ZI3zVaFI{r~tCISF%nJ}KQ_cb^2W-x7eaH|K6Ob^dX!K*fJ4V*J$K zbS40DvKsd@ZzxIM2U;bWPWqX4!(A&v+yycF)LipCRv6~+6n*i5U}pL!Yz{vl9MH#l z9I8}8{v6$BJtyJR%56>1J!w$|)`_tAS$)ZP+!J3#RlR#^(`PZdKYsgdhh2{q&(>FW zzljE$L`(0a>pW1ZC3wl4Uzacy*@ZzErWo!BTZp&(5oFgf%6_raK6}d|FuksT|4!!A z`RlSOar$@y_nwUB_VQI@TYVWV?L7`ZYBd5ion6|gz(Jz;)8IA!Pnq44Qy{kLup9aK zd!!=kg!PBvJ{?Y&IN*7m*+o^&b5uHotgEsO)8pvFFVv4Ma^}BK$oebW439LZ#nW5; zH|OELqk?od`jM{v?1;b>AEo`E3|-^mD-(MfW+%^kl*i9mOAJSR?a6Izss1SC>!4wL z?GPz_`iUYI$)0c}%IK!hE|ikp+H|Oz6)-YT;x60Xgm`DZC=u-3M$_%JG2<8Y0zvLG zbMd`Z>~AuQ!6H6RV|JoSr{qw=+nGc)J(t%}+kEk3FE{U@3VoJ@^-CLu%g-g`qGOyv z0*`GIxZn1NUHqm}i|rvu9bF85zZFrtY%mP@ zeVo@9T8yF54Q?3gbZH}-%Q9%M2*`6o@(-fFe#<~y%(nUZPcH557ghb#HiEiyvI?f} zxR&%a8UVFF8I8+^C7x>5=GG$7`&hDd_h+5*`XFgWX-U_z0)7!Amb>Po*q-TxK&#^Av&1RH_I`7=$`)Ray!IH>kXPLm$;*i6&TFZ{t zv5arK_6>kH~6@n>8;n}&zgI;=rqjDtW7WH3@=lPTXslsavWBEWw2)F+o%Z|7DdA5h@75%&s z;I!k#BEKW;e**>?m8QGIjD&QLfr>gW?q&{54Szti@wuDllp-(pzU2qEs=F^mAER$& z77Z^lZ1k*oFw=}KtQnoehTq4AQ=s3aC0+8aIb7~k61uApKQsQoc7!X>=WEqI#$A+1 z{On6f2r{;8Ue@)As;!jQ;M;Xh#c&OWoC)B)a`V?%X1{7+$?^onDreS0ScJ@FbhZuj zxT002H=@2qJo;kD)kRH;vW`D_&36m25xFhX_T2vBY2AOc#_+TuC;M*!4ec@!R}SgFhKUmak@ zUqGte&=f-|RwrfoA+uSkUDJ`wcg{TkR9qVPz^`}0yyHaJge}%R_g2x&b17OgnrRdE zPt_A=|Je*@ycf_Hyt?V)Ta>KD#w)F*P(kX`?Q~+oTI9&+TLl`%Ouh7K#q&K!6#eaV zP3{)x>#{jtIQ(}q;pH_|=rJvF{xpQi6wSBa$z$kgDrT_myuA0Apu_geHq*Q6<(KR6 zRfQSfzw{?*ev^TpKy_;`Enl7;sfs06^7jjpj2qoSdVC+~#N;zkx^PSEEwbM)O(IgVmo&oi<^o?WTP#`r03Vi03D2Shn4JwQ zZ^|Ee6`r{ylRenLE|u`J)Q8m5tGm%aj&YmvJq{R*K+MpqThC*(=~ z;?G}wnivx%P|m?)$x&q@xykqDA9#$Qq(M2v(p}TsFXid-tCWk3>n8gcYD}s%RdKPt~Z4B$NB*`58(kR}_?Htfdxd=?r7GZF^;|o&xQ!OZZiJx<_?`#0{vx7WWCD#a8zDH8TB$k1^lV zXQ`zMQsa#!5?I3i=8Vt&isGnuX7r^9kPjlf$H5L`#`v*iJ+$wfXooHabsIH`s4fhh z4HC?*#Y`Hz_@YaQ=Pvy6%qDXGwy7AUqRX-VlfM2#X%q4y7M$NKV8Tv~9`sDlTLkox zgAU`hS-KW-Jc?v~+e))tkip|pqIf~}=>qnH`hIH6z0LzfL#uTom+{d}&9Ni15q7w( zY7O$a_nMl<}aLw5Mry@IZ%lU6v_QdSg znoAP^T~biFR?P+mw4A@UF+UVB#ALu+GREg&RI*1>?YIgtS1>Xi7-In&ayVZ-%OCCA zzj--4Cw{{=2Wi8aBjID|_B^aH{+;4C)z?Mx+k>aBcoUf)MSvd&I^Q>PvvF{l@8RQ) z?F6%~V?dC5IbmsE6j8%rb<%H13n;lN^cYIZ-e00)xnZn5s&ZW`kz@fqAGXP>>_vEA zQ}O((toTkK!6Ya+QD2f~whY+B1x)*LhA90E(^$OV=`pCCsSK0%F*naz)brBW?X#U! zU;7>0N)ig4?HV5YuUFa;gz=hZco9TH>?O?-SO4>f?-0zsP6$p#kuSQ9F*1FG86y*A zt;&Ig`|86{F7>V%W>&mp7S9I2>VK2(FyF6wyVNobmrAMe^<5=)Lx3-n;Z2lYRNPlL z;~?y1k&sdI&Hkmz`BrLWSJzF6$`*0@T!`>&ukY0RTni(3TGUN2->KNKRswbBu!Z~J zu8&uTm3W%hV?qJkry|Gbu#GD5R!=n!Yx3!oUCeq~h}{}W6EFSvI;~kK+zLR?6523E zh@#0XbM+wr>yAA#sPTITBvkNS$gJbCR&_zXb6Z7_$ImQaVU`;dY!I4Td@)n@s>xDd zt~k8LO6-b>?cM58HT}vnU>~3{!1LtT+uubMfCR?}eFqMt^mgoV;p_DVk&M*g>Xe7z zMM!|5{rX;aJDFuj(RvIhcXm~AI&f4>C~E}B@lgyJbG`kGcK(P1=Gt-kbNIW&M@FX(Qg;(wsd-w*6S#+e<@cdAm*UxunN?r6|^E$ z<`^gRg8eF`68>Qwbp}7SY74k(Z5O9E${8th++EhrAawc`^g}wmU!r1k2w%4wYnQS> zQ3(vIDH06k!=(EazeC6-CxV%opoLh_<>(Hih2n^Smq$qo1&Xe-Lw)yPd7G6vG3W4p zl|Usb`rrzw7yW>oZwe+={`Cpr6c~ij1wP9WJI*`!9B+ay!KT<_6 z%<#@?wMOmOm@LtC_-WaSLZ>-3;EShKZA6b}GQ8Rsx1ah&i^#uxU)Qh!vm745w(RG8 z;>osTW0R@crrtwn&!(mQp4<)Twg84V&GSxN;3Q+vO9|J}P2*%gj*r)_p(vu(`hGa- zKO}NJiuneY?TBF)!MA3ct!8Ux6hUeE_x=~f#41p@?8L%8Dq3=Eg>qAaz_#}B{Hpx) z@8&*wX?!0|kZ33Js=9GRoY#+YH2jO$a5Rja@tyq+jlLlmzKUlW>KgM9B* z;EVzX3;^)U)0@~;d|88+zm>&X3%+KVYzKrD0GArU_XwO*?Th@eHcD0Y#ZC3^P9gO}5fc?%E8r~5U+I7P_e1Xf@a6JpCXtLbJ z!9p35`O%IS*T48z;0bq88nRi{8wAA0ZPv~k2l}lYZ;`8Gd{QPIZDyv50cGYWLID9- z;N1*yk=&Xlm^*jPoP*)&$HdL+@8`L}gTtpck+Xn>6st_a!DUj{|199NyCJYH-HpPA zhH{rekt-Yu$93H!2WYOmR09^e0ZlWJ11qzNMo?6D)m!k{C28l)cIcQvp#mtdWI)N@ zUT5yL{mC_QG@d+toq+f1HHx_zp*1f)lVsYee87vH@jC&5wp?Za3U%4oD{_7nZPzvs z>(|s#bP8Z(fC4^UOG8LQ5r3HhC#hB2_|DYkZ%WeFdFPiKPHGf#m|_{fv+;f)%N%AR1IfV)TtL8+`T-8 zb#1cHLKP4>h|$YggMjJPAhEIdKnh400XjASH=M}{eDclcpC$PC4w~>s1$?n-tS6`! z(9|u6*}R58q(p;(pnOg#IH*vYk&vWTR2`Is8J$+}S4g;O+7QeW$~@|1<;DK#njI6x ztxlEA1WI7qam`dE86P8`J4OAC_s3U&a7=i~lpn$lwMI$2juk5+N3PFXHP zPCfkX|IkIm4iTIYo5Ai6h1D%BCc@!qnYM(8jhs88}HX=u2y z_qow)$aqu*>&Cuf~ zx?!#%JZ9+{xDXoHgvfvCbX~T|B@~T1J$i>ll~k30#{vK!(9sRwJ^M0`Ip6VM+Tgmn zr}_Vm%#fRv65R`zTGOjA+Vgvt*EGm%jfw2J;aXk0#)O|wug~Wen=km5bo8Sa)Y~eX zvk=7jIdiahOhVNhoVd*R)7ZpfI}o67mL!h547cu%wcpA)kz$7=mqu9SRrDgTu(NSA z^74nj{;77b1m3fUca<2nI=fkuOhCXG>s7(7qm|~n$Bje8UIeuQ^ccJq(V%;p0w7); z)vwp?!nWfotFPP}E})&nE5W9>W)^r)ge!P{Be-yTQuf^J67Cmp%h4h*O2jL)`;4YH zIQa~aIpLtbc90Gf=tHvz!S_NyxhO!Z11{-9bqRoh^I_w#a_~VYX~7MJJ5`$_|7n*< z6iFlcTt~8XtS`AH5ykNclWxDdp9?s{Z(-{r*WuJ>tYq8dYgk)TIY%$+FtpECufk(5 ze6uepB8~14sDiQJ3wrz13oCJ@V1W5T@3pvP5Sf*_2X=z```1QhWOB2$&3ETNOLoU_ z8d2a^)nEnCydGLP6bZDn3%g!kPV_~hVNPK6CAtz%DaTd2Pq1)aOxllA?3d`yo>ip6 zv%S-2$H&;f46JiDGqdNT>n6xUHjYwWSG-;(bJe8t2#+Og>T)`(7Z#?4q2*p1zY7i}(E`h%OM2qI@b`=>qBJW!;J`B}FM|0%1m2w}pAe4G-)Z5#%n%P6k7>m%9 zG4gp%BpD6_{o1DTDoI;A>v#lM!`5aP-w-`}TP1C=7eE%mS!(R~>5VFhxqtF#*Kv;2 z2L=DI+)MZ4UT{UzRjfCO!YyLc+HDh}n|ZS@nGy5Q99-FwgT@0;%f)l{T{kKVcaP2O z5Sf(=;+8^-f<||B^IvQ|jk05P#0C^3+1{>be!tXRhof=ggv4%BQEtMo7QQ>_Csr6yTnNFRZv^j8 z3cYTlgE?TSRw#Hab|l$tV-42 zSKkpKlpcpOWxMC?JLuzJFmO(AKom!;nE6*sf(3;0y)mKiegj*ZIvb!ZEgR~o43F8R zpk1jc;TEp_KLIl=%+saxWZc*z%47~Qxx^Cvx~Zqo$3CiTdg>X5-v8n1<>lH>@ZLLh z9$vJ}U*bGR{WZ$s*;_V$@7X6#?Ew-yh^r)XdxR}vM`$3vV-8Du7{OPMZuHbNy}b`w zvUK;uw+OJ0YWzYyOVnw~mz6Slns$ON>T12sRfm55tOeWdCtXSK^$B{0xECboCCccx zG5Sly0P}mx`Pb_)rP2lYya#12BbTOw2ARK8euVP8-RI6fbm`)GvkuomD}7ihz|l_N zk!!?-h7ov-L74%aI(dItVf8b0oYN)+M?>=-0r6Qe!h5q1J96Q{Gq&t$0ahlBMm_b2 zamzq|q2uyw`5(f!Ndd21Q)pA*Z^Z-G27%iQmZz`KD2aoMSorHOMC|Dk{!_+j)x?gg@VO)Y>K3{4JFz zr~6F3SLj^u*sNJH`2Zc=FMTZ$zA`gu?qxb>=I&zVt}SdtS~BsNd{Y!}k%#zQYvONA zh)4N1Hz@J^`N}xOHP&>Yri8=dO_9Y{REjFtjshBIdvkVI*PQ)Q*}oB|Tr&0Ha8g4F zs5SJ3u1%BAS+;ntSm>i$wd7eSh-yIx3(hk-L>9Y2Fa!)-cQrqx^0;E3m4+l^eL#gpoU>N^-+3fnDd0zI92T*T5l=`d$HM6>JJGHNj zC|mzt;S)eOUQX9VeJz>lkXySk(}9HBzNy@7H_o{P3-yrDdyEC002EkkSJyzx$CmTt zut5H8k>R|jI1OOq4B)g+NWVPV|J6v&&;^dQ`w;RkMe@%?agOvHs&S?T)La7&A{V+R=a7e=SUOmuPK$S0 zSuc;vJw$3W4CA(zd#tRr2uUuj8CYR&;#h;R_h>8DTU6(~&)x|vky!ylS1ysa7`zD6 zn!OT+PzXvb|>~n?l)wV3($VM_=Id!2a&5oABQW4j*tFtT{0Oy$C(lVRP*|0+9=qgN(J9sy7$;VhI*W z$Z=H=>cTFzj&P061%z-|WR<{y&-zK$SeI;shoB)tYe*d2E)MYSYsW0`Ezf2&~y@C)-j8i?)>ZM#=owm4 z6qB-l;m_;u@!u~zvo(jG`$0DIP}5%j!rAZQS`!V+bx811QEFWN_xSrse>>;--{|bM z^T_?S!}oB_=oKFi@3)mfOMN_Cd_#i&kxs7H=N*o};ftv7FV;jhJ@{}XWXDzl_cu5^ zoO5<;C9oBNchUdR&R#F~(3PN%=ikLHufLCH&*y=J-{(HyYI4iIR1O{3^t{-=fZGFK zzzrYWSD*Q)^mMANVjC2(Y!)NIyYsJx=#lw*|2Nyk#>0nRyX5?-7ExO9b}9LYRRO<# z>h~AlE_NQdlLhBW`$A>y4U8FoZU|qXW9CeQQ378Ob>)K1ZWL?G9zR{U#K}b}e;CIB z@Q)InrsJaIQ`!ZbaR3;$*gOj#fr^-`c^v#72@ZcYK)qbMh?GBS57x2%7N4H3UTS(8 z{{2xtI8JVFitx2lR!f4h{t5QcV!KD))Va)TU##9*TB37hiX6t7-Jr78qprt2!dAeJ z3zxmlu2p|2cy);w>sU{=TW(yf>QvY{=AIXOBzw$1acXZ<9i%qmjL-}JyombhZ!Kz) zf`W#qUGIC*o&$F{xtN|e`z3M1EKstK*0ey@hBky1mVe1vz`g|=B4QJ6o3R6tGD6-8 z9( zIq^Jifc3XH@vrdWRWH2{Cnc{t&)`5zm~xKW2Bb=NdnkwpKR%(1(IraxpElSh5(BZm z2Yl_Q5%Am^_ulw26!_;Un^U170k<)NV|&;SILDSYw~FAKMLvK`fAAj{^+AT$(;5!^ zE_zzHg75iU@7CfuKIT63QGE;^q#VBahcWBJ(JuCPN~&@URlVStv5ArdzKVj3y?%^) zQ^X^3{Md?r`hG2&89uKSKD(dIF&*Ahloe3Li-Z?qTtJfGp@Ya*A;6XkM_f_To zAYproi#Y94lY?E6!2#+%2iqam-I!A8t5iH>` zCZUC{f&{qqZ_i(=qoyCc4#&k9K?n8wFh=b$fBq2*4?jXjy&eNcsKkHf1(W{%_&_l9 zH`Z)0KR(%$tKQ^bvoIV;eJ>b?65i|4-nT|;4MvGQo5c1k;UzEN_!xf!_t(?cM7ymm zO1fSV%TU}qtvrIy`tHGJ!vRhl+Od_u8OZFojIy#1Xj};R(o5$n*B0ml9W>vJ`u3J{ zRC=r7uWjn5}9EWwrR^03!?zgmL&#u76{;`R8Gg1N@IJbtyp9fCFxe|MNJh^gV?# z8`xTV6&$w^0V+MdEP8x@>ha23#V*NuK&hqA7fQKdIV+xpaLB}8QXkHd(4RI?l{_?c z#Xl?Rv#hn7BJwp2YXI-C`y&BI#x<+_=i{|xFpBQiPY`=I_tS%DX4bo>` zrZiD+mC_{aFCT1&dU z7t&3_ZXKk{E7T=ak95dGsgA0CL?Lo^>(hDFZ*dgwbO^k;t2 zcu@ez>HiU@KlTpOpQ~!p{=!B7#|_b6b9zYlpS8vtlmXly<(6mr|96O0^gm1aaZ&$1 zM!lvjq00Z@57U3sNPeJj(f=QY=pV;19^5ZpE*dUhVUhFyiz9#ZKU;Z&A^tzt>CfiL zF#V^*hGcz+{t`#d`tzxg|Co5cTDn}K5`61N)P0&64}L5>^m*hE{m+X0>A&X*f3L`2 z%a+hCo;P)EfrSMAE+uXOAm>kw34zaZgu7I_ye#t1jkniDe6>Ruuf*E(kKRLKnU0O+ zFU9wA;cER<0E**b%Lcsx5MYewv&*q6;OjC6c#HpWFjLaJG4?6ZtT%-JTO)riIbPC% z|M4Yz=7F)xM8kJ70p;|!%iAr4`%fMCn?dy5OGU%H2Y-W8_etsp|L8)rn4+w6A zSN@9~eyoNf_OBNIZ)fyrDIS?!rNE(zKCf}(Lp+@=h-J2ygivIW+zI#}UE+4eU($j9 z-BCtYfAV4X(mk!1SYdL@F( zlkWf3PX8~L-!CXQ9plGg)~oQpuUsn?^)u-s@So-OAJSNTDEQwSk^d`3v{NFYr z|M;DPqHk5chP|I0k^ig__5be?`ST47X-poP(4TKh2(cK7ECld>P_9h|;xCQN0{@b? z#}_!AGWacIU899Qoxu<1JkhB7Xv;Yy_`gB<()f*#2yXG$t>a3cQ(b@0^+#Lx!v8P& zuF$>Zn23Lq>0_?Hf7bqk=zf9N6pmxdpPM=UUs)&MdVEJ(R?l$wn`!>izFWYL`)>pN@0sPU z?;K9x>yC$2A5!?l4|BWC@3t;&I?0b$d$@SeI6+&r;z8ihhVcJLhj=gs;2-PO4^2Jn z*z-T41OKRJk9g+(j`$SDD^*?@f#|bO2mUjiy?=K8N%02e^`F&&zwa+o+WmcCf7!IO zwaDqxfETM8KG*Qlk=!MP-(V49(FGYi_M}!N{2NBphnF-)(O-KtBjF$40e}DKZ2F5{ zlqnkeSoe-t)jm>Kzx}yGfAsz3YPX)L7&a=_-_d9Pc7JJL*Y&w&M15XAqCWg4m=q{l zN;rUx!|4C@5%qcai2Cf^p?$qR7mcXTZ5`JCzP8^`17o&Eb((!oBw#zmRHC5Yl?91?a+Te;P`X@lzaSuVn=WB z*FE2m&}Uy4|JE+?bX9!9t=?xV1&Rks&gAY_+Io`y_;S}@V*EsIC2#&)T!H_F4&#sR!G$v*6piMtL-0;z<;k>&&P4KrT>Q={u$C|dhB2EzIIEWeVqQ&+<2(HVsKmh zO*!;=R(pK2(^dJ+SOfnS$G<{oRJL>a{I9dOfz~>N|Ev!B7$NwdZMT=5Zk6DlF(QAz zf6`*u0KosQ5&8e!i2Co=!T+Wf`drc>{yqL{M&!R+2mZc)y}W&W*NSJ~UzWA~BeT*8 zd$;SLk5Pkv(TMh*+2Q`eqoRiJ->MxCEqm{d^E>-Khqd*I+)V3U?=089?$iF{hN9za z9DiE;jS_u+=Jc_3ot;mVh4z%j1TPZjQlM21Up9`Uzn+;G37=;gy7~wIcbPBV9z6^G zA=*DVNOL5hxE~nL_6yCq;~as1uIn#d#{c+iVA1E6ufcy*{9ldepHcDkb&#R{_v6oc z?d!qdyNuPgHtFOa@mS^X6$3;4<7_X^9bvx4-rslNzkd`!{Osb!pFY@W;Huzv*^lz= zdzXv<$ZBBw?$@4=oCd9G!80g=lDni;E*|iy6eo8{o%Fvaa)S2^&5wtcZP06eR?GyR z?8d7D6%TJN`(*EbBHZw-)HP;k!zto_yKCQgy&$pmw0S&4pSLKU=f?38kjg)Bm~Rzq9B#Y1bVUVyx(S(jXK5*D9YB?akraegf+O-ODTN zdFI^p#WLrgv!%aoAQgzt&F`m1z2JYB^#5(d&+&ha!arsRzTFSyc`fEsF)U!3iwE;F{`dIMq|)k+&+BR=>^)8G`^M-1JN5TP5ufK*yYRPu(Ut$G_&i zpZ7-p2L9`^PS$u`5w%axKGbDgR10g(mSo8&9yhoZ(|ffBwGsS z?11u=cz{3V%GP}&e)OkD4Ryt3NW5Pb$MOO|&Y$;3eK^naa$kz=)pC5`+Uw1V_*}ch zXA=T{fm^ym68+3)OUAZo+Z(y|i?7A1_IpBKSwFUK&fXVBxjz^G`&|1*d;5$VHDawx zdl}iv#@BovKEW<|g~?2n{}3C7_`$_xFy77X6#-<()kp7Jx+F;tkxsKLGz93zxV9 z9`&JGOZUb0{a5k!*Hf|InYtBCgfp;qy8hBLkU>w$PvIwRKp6bvw=0TDc%owOU%)@n zA6xoAHu8XfV!hPT=NHjGW^eQn`X$qo;|cWnT8H-9HTnnsw6T~Lj&V!O`SB$9uPt#s zz9TKGPmK`t;eBl#77>&z>^cJe>Vre^cZmA4Pp7@Fq4*{g{_(R+1NHIv5;YS4F8JP` zKK@N^u0;RSrH}20o8OxFe~$5k|2_XWE{!+w?HK+Z-{85D_5UdNUHSX|mEbQn^`t%( z68e0h-CiwwwSO64`h;b1a2vnQTt`9=_{dp$~1K19CR4?)dutK}gI;*sJ>i1%Q?#16Hs1f!JQO z@AWPoblCDGB>FBxNZ%1I`w2QATWX1!7tf#%-*nLXcw>N~pIb7{k8;1?;Gdfxe-ZIn z|6s3Jle0mRe)9!bm zJL5wfBYHW1+Vv6b>*x149=7<~{=eR9udPo?E!+PWMiA}$dC_R!$Lrs+_g|ua*qia= zo50{!`q(Es)vn_gl=ppW!hz!h1DYQ#?hXEzs6i9o&Nt!42S0oMIX;HpRj$XU%_}{o zl{Lm^?wuO^5jU4EY3eg2ZbjhlxDM;lW=3b%s$v&lQ{xHNNY9G=Ep|8+W!jt;vCHqo z%}n6qHzBp~75`%!CGc%7Fq!trSM1#^;#Wdd0q*^8=T;WnK7Wp%nM+NcmB4*_b%E#j z~g~Y@$gYI1JJH_k#aBTlB7G5eoxo%I%{e4CsVk(8t{FH(-rp5arJsUgF zN%KB>x5gj0?`*1vawp+>{q0lBXNk{knqRI^>G{Xl5B$Gc$_jiK_hv>LJiZ?fJ^pt^ zyHNt)kGJ64cxd0r;(kzUqaauFb+DKAlHBTZ_~8Fi`lByv`hcu|(U+lp*{d?R*Wcrt z{_ywsUVo3@qH}Qw%Wp$_Cyjcsj-S5OD2OYk2@ew@$RT6^ZQwD%>vOx!A z7VnYMHfc`!R9z=dH}^Sho#rHjPMn-hTTL3LEhmlB7L&$lMw-)YG`rH1mWLvABWpVg zTDlS+M5MdSoil&;5>x-5D(`V%9oC1Cbr;UF+Zh{vdRmQ(1~iwc0Vlp|s6Ff6+}-ET zNyg%@(LpI?k9`yndtih;d+aht?>fe0yOeh9?J+GNK1$kZW!;-MbHVie47qm*u_S+( zHyFyUS1)934kz;nb!6fZex-6Z|Jxb*W_&q-F4tKlkW=k(bzg-UeHFm=DMt-F8n8t- zYU{_C@2I!zMs21ysJB`n>aACZdYcuZ-gbqkx9d|cO$5!23Yb5|ps^_npKq#cL1VvA zEKskfH`=m6V+?TpP5q$RzOTYPT2#Qr;ucXBa@Opef0w8cKR-JWyhdmJuuBiPPKMYN zD$a#KLDy4MnlZch9$;IUAd+B$8d{o!Ze#|bCPRT%1o790?Ux}A8{iI`*>J~vWyXMI z^JY^mlkMEwvG<0q+6&+ikI5Tc#cK?h;RXTFee&e07o4+RVC1d?+rE*p@Z@GVjOnjCvgn{rSQzmbrax}nSUq1DYGV+0H@44WdfWsYp44ntJEB- zQw6O+5VFZk3@@9ryN|(x6EXND#ov7~28{($KA`5;^^JN_Z9w}Eo#S*$1B)IsI8<3< z1HTWh|7Cho(5~#o+l)Z@2rG6mh@(`bRVjcD`V(!*i7WCd}cG+Y^XruQ@%3*JQ z^cq|rnNVBs0=2)DkbKoJwLclvP7zC9Uv1qAP!eQ0;Bvf&SnX1HdueCPVBNCwPP?8s zRb_c20xbJ^atvg_h2CFI=uK-^?$(>IEsuS%K?K8(iy4ITchq3tpE#!u@R^#m)mnRc z*?LR7C7Zhi-ehDyClbxuY1e*?vptW`^r|GD>k)8RJl0^qV+o3zpnO;|Pwic=*&cLD z)Frb}*>X2iD|Rp2c_%KhNgFAnqW3qF$!~5*C%ow*mA|R@gndT*rUWs#74dq~F!jr9 zZ)p%>^D=3JWX%N{mZh5-rx zSPN4pK=2nWZ$kK<&0lCP3}BX(m8D!Dx%^J;7j$)GpSZsrX4PdQNE!PAmZa zLuSSWfHtl36JmN#Fk-XD z79xN8S_TyXNxB;kdY$b}He*~FC)3H(rr|L~!zHS0OWMGqzT zM1ZPfj$Kh6`2XNfarpk6ue(SJ%PpNN{P|{`P$9veiyl=Aj&q6Y7|wz3adP%@!9oK6 zcE?}G5nNX6Ki4XxuKc+)G5jBkKV5=j`HB?9ln4ChdV~c3h+A+R^`}}(i~uC?iC9$& zj)x`ip@@Iv;GWn0H&l>!8n|jDY%|EP4Ej~Zz#?R;nb&GoP-j?G$wL$Ptoejk3`G_K z_)|*UB)*=i3i^GpA6p7C)O\N$nG&7O6nL8Jll!!%Hl>f~uPQP%X z3x8;gR~LN6%1HX(*a3gNxCBNQTbo*ppxdef*VB@reZ9}nPfzm-G+0lq>4V?&<*~6G zA9#?O{7Yz9uNGGKWe$H;gU7y8sqX!@NsL3@@-YACVs2&G+iEZFAK6pc_)Sj+hxXde z=>S}}kpi51C}s$7_1SQ2CFfQa-24N7)4`WbKbNYM`pggwYw(CaPTAzM?#*in)P@5i zHStSmDn0}I1b$%OOXwL`HR1P8EBOGo@c~48YXdm*mg&)D9MjxW48u3M*z4u?=^j}4 zqi!xGqmOoj$9TiZ`VD7dyA--!8LN-e!PbKQ^tXVUZg=Y9mGrm~{Ym@&+O@B>JNEv- z!Mn7(Hh+qsg#LBGXT8$Lw_2YE5I_IMHVBA0{x;ufjNw*S5s=+K;OJ>{hMgLOPus>f zCJjA&1q1$mOyZ>RnQXYmrI7R}LDH^O9d6@4{)sVKwY~RSTw@9(?<#>$j6PL40OEQE zIPJ*XQo_ss=Kp5f|E=NJfQI)-vE~yVJL(+P+Bm3q3w5rw^_YbImq|Qo2^#FA)S52% z0b1SwkZ-~hwkk?jsW=qHGSnUKm1L+p-Ydyacf41Up?3F5crX&bH6IZ9omyJd^o%hT;ky+xRdU5= zH}N^|6OqHJ-)K!b_gV0Iqz(5%Pgno!38Ip%Tv;Kq`W%$yzaIN8Gz!lk z@U=;vjhKDdkqZyrwx_MjGI5=t7pulC16BO=7E*!9T3j{VCr(1DGAF@WWR-v={zVE) zzxG-#p1=-FRTR`oI+@(D(y2*<2SM$BMID5iAr-M~7D@Qg5sNEg{G`JqUPP-#Tf2h( zTj5_QbJ_Lr>t!MeFW62J(V^X}@QfyJb;XO&zX~j97z|Vm2{I#By#NR~cmy8gL)L!7 z$cHTchLO9~A8MhX*-70eGr+^BcZX}tMndsi{Uh5kt{`(v*1XZXAK#Iz6g;F7s{e3! zqhgDZn!66fj?ZW7oXj873uwj9R8CQeuDQ&4W^~TKT3PJIEPqt>mo;E^&PuH~Dtv=c z>YJ^B*T1R0uj*z17BAMf7`)E8y1bI)?d4oA9PUnSHbhTPV|AViGr#;?^{0sk$L!kj zU01{NrP(E&!)`VBX4`aCzTkKoZ%Sn8PH=d2^^qzM5bPZ)cTstf%4ex$C+-H-pP}z> zf`6v`#EYHUuPDB*@_dyV`N!U)5}uz@-OIRC$NMPLrp^4KNCO-iJO66QvSTYbr?EcV z#Wj3QJYzR1#}};vjhFo<>FVjN&hN}lFXn9SV*ko)||LDCz{^t9Z#EJH&LciB|67EKP zTyoy4^Ly(YL#?-LkcOL0O=zo=tPf^*Vl{6@R{K)C;@+>C2GK1?{5O1>Rixshm2Rb~uC zH|_8QKVpkzfn;m8~&z0Hf*c& z-&1M*VpAOxm-uBf)qkXHJ}?|#YRm~dJawfI=5`q_ff zui32tj!bN#Z4A$s*XrC0ghST1ZpYS+KCt+OC;eD^wPWC(Aw1@zC#$Zf(8jon_B&

)jOAT3ICbg{jmncV)&9A03_-**X;mqR=gjzAICwxSjH3T=Qbcoq1m;z z-Ihk?VY{Rv`Bx0tMl}N#j@~-xjCYSJjB0v3W3*Q>HbQppy|TI>uxJzFYl1T&N0nz0 ziQzWZsBjIjkI&`5&tvUtbSpxeR%I^L=RG9bxyVTF0?4W^va?r?A&rNW$EHJrpb*2% zNGeH!UuGg0tV$DZkfnr!b2wrlXjhObl>f?lWw0`oSU;_i(l+8Z7pXNmgG^&BU`&`C zT0|Scc<#^jIHS=4-7g8%T(A*ZnPJV~WpqmmwH?kv?Ffjs_sX1<2IZZzno@>}_%3E1 zVRZI2kdIIQGpqyvXAz(3Z3pESi0t$+yG&-js4U7Ja+f1qa@>{M-L|srWN%z zbfi~bw$P%v4lo>4F}v#BP#D8%V>V?-Hm7-?e;t|VyjR{>PK~v>mGvFy5G^D9hX?;K z5r5+?u}a;>dvo;@!AXAIHgpSb#rlgSE$T!~N+^^h(s?IgqXcJ2Mry_~C=H!=ce#w! zH3RT>i4j=k3LY+h6&kc)dB(eydE=WRO&9} z%w#egJVIVBuf4C=W)O=I(w$3ZYBhCrOoNH!lr%Wo-yU{Fdq4(PlB% zp)8I;j$sqWmVw4+RW7XR9pW#&XR5ersr~C@Xm_Ima(lhY_I$O!sVD$$JbW8Ja9$GP zy#{2^lG~;)x_^I?LUUB5%&I0o_($>8BrCDmD4Zi&0hg{i85ZIws-%juQl2u0kx;sH z-$_BYL9Mxam4fJ2|NW`NaB$*;e59X=d)?SzHS@hUr3$)M>JtZYMb4UZ9xy2d$_FVa zV8LU@uYboS?;JHC=2E>`hEQUqJ0`#B{nte%q4QH|pT^*wpsCc+VQ6+e_q--?=2xGk z(CoaVQ;&Ibbwmd8J>r_XuAo;^RGRTa`epn;X#RrS5*z8?J>VU6c7qg%cTezsTjSoK zOYRJI%`EK@Q{IqwDdj*{$`JBbb#j^2gf}>LJDQ|f_*Ve$u7H!L%C86Ze++{q(>Z<$ zzk1=nC#HNmNAH^+^vQEs(h=+2SPTg_k5aBkV<7O7nHcSscQA}@E3bbTA0KTyjZn*7 z@R`Yo@C68RqE+$m1o@~v0sc&mo}c%y;|0>JD*5`GZP~5@I2FXl;`0XT4xB@lTswas zin~Z4fo`+p7$bD}Y<$1wL41ZJjx+81DUF+mi80}gzfn$ddvT3MAi)iTUJF{~sqbKF z0f2>+^310cCBUOkUwAi6YMYH+FweBQJUn-<@9&7SO^(yc=<)4ZyVUZ`$A>c}_HQ`T zWfib{vA2ZE!`y%e*bGwozoD;hxZeGIn}%g0rESNA_;vF$mpl43Shruq$j!WFoqhQI zN%r6SqV%gUwi5M{?bf32#Kzr8Uyi!Kqfj<_#(ZONuW>#x8?u{KvVT_yH`Z`L$YQoq z<52SgoaQ5%Xnm5v8&78Cnv*eIEQ5%Z$ti92p||plPOT%vRD=c%OGLlNn{IwDX<^rj zSPc=HSo80Aap;F+IE^B1ncr%S3Gg@_z7|7`{meLq#fl}pcc8pEUinw<5iZ5IW3VCT zCu-#pOx=0F{E1X}bdPpLEB(Q0>Xl~A{hR*Y0?0YX6Md&fRy`ni(%8OzO7{sz{D$Kz z*zfNb{j3(6#BI8VyuZFp_={_M$mRu|t;s>@>-8+WWE?8H5fxfLjl;v+U%%(`BOtEu zCZI@pAv8lyX_qMZM&2Rf<54lEM|{K`!VB+@JUK4M$QHN$x}Nsw2yCBi>G*}5qyyT- zjVAGG^Uq@BZNOJg;(ge*+V7)`61)m~$zHFB%xr>A2a;GDXvBq*Z_U&suT!Uq?ur|Ile7RroNmDZ+g^XP{5e$yNa9yACpe@IA-ae zKrO1C;^vi)O_cSA9>j{~>tjpfsHS<^tv5>vx&BN$rbU}sY(suSLiCM9iE-}w19nzs zlqEu7?Y#aL_5sa-zP-2O$blHIZ#G7HPfI$M&4{)tcuYL5-Dxu&>r6BCtxu2AQ$Yc* zMPeQL#PgOSmD7S4qL{Zadg|$mOj=&MDN*L=Ye6>5uRJWvjtz$dj#;BGd4G){H4 z;H;s*v)fT?_-)1VSEl9Jp!Mga+?38tPQ!@_6|u**UlI7vTn(??3j|YkDoko%nNDO} z!m!S9#~RJ2|H$cR-SEg5CP0^eu)QD9UFvmenNQ#po=C>n@6Bsi(mbAbrQi(E^=0#` z4*e_B=Xef}+xdK?#P(cV>hVtiS%mmPQ6XP`nxsitusgcCrO?+}n>;_^P$}1?GNw!* zG(oYo((*jETs_)Xkn-Ov<|&>rstEQ;PDjq-7=||%((b*!j_Iy`^oecA+uppQRE$X+ zFO*!C&80dL+G-8jP0l`<;#RF$5{44zIilsJ|8~lMc}ZlX$Lu99O*$wdi45cBD8_D% zg+qS)XXgFZL@4Ww*}=1mqV7h76!Bp`ji6m7j8n?pd)87=bLWlJBurW32Mf&P4bSxR z+wU;{=^x>%O_Mb5AC2b#I{U!y1hBrt@pmEA2V z`}a%7(Gf_UV3QPWQk6yZ+^TCNii(iTFOaR!<)fi$hZimMSP1GjpMw2h(kM^xYr2(C z4exbU2Pc(WuC!bqhunz`P5c=THXg3%tC*bM7;@65qMu0Gpu8$11^rDA6xr!zW7FoD zWeAhTS9dc_zAtrlvko2?`eO|9%xQQXpq@F^uM$Fp8Hte8ictYV)+uUJ{`qeQwM)4} zR)EbpR}b-wMq6%*pO}(SyYAyBXTuc7Ge>(j9%7;&b;XkL*$O7Dg1$Y?Vr6KTjJieuV0WT`OZ(%1df&Ah&2|NFFu=swZXao}EXW$2Ov;%CcJ6qe?FMS|*z zEo!E0yfa0wQv`7O13xknE>xIjV(fUWv0(OPg|5YGMV(cs&d8+2%<7>TT}Gw3nVLNGs= zrd?hh>XxpIK?8x2jwi$9uLRj+3*6i>R0c^YD$f;0&v??9-($Iatu}SGHh=Qhr2|@9 z+{1jlennfR#0uUf<|o6WTBmbJU#;i){^iGi<>{vT`d|5$GdQ2^X7M$ntHyXh4#CnJ zCM+%++7hjDK&J^vn^m5n(~7|T%@=hcSaPdWh zBNg!QwYx&bq?p2Nkmi(jXIx|6*7`+~R#Ltn$seyu-NE+_qEh&=JGpOXa% zGI&XIyV!Be^0o`@zW;a$cS_ev#VC%)j$xxSp3eM{+?q)}zEy~4#SjP=+Wn~Ry7(=a zJij->`WFfr;Jauyf5(J@uKL3^sxQdazkym;=Bk3{;d95=U#H|#lWvf&s&VT2{fQIr z!oC=o-jN`&16I$@n{^3}>?R*lC5T_ApAkz958r^pFqxZ{H}ulbkAUH|O_I|7i(J;2 zq}`x4Q^w$V($MYZ^7Ab*M7@UcL<2wgWilSGIMNm(B@KhxOW|Kq<1#kxq>TB_h+glb zLC&$4!V0w%QD#&aRt+#I^tF@eg4o;Ko6Yruqd=DIwYzw}b|}`AU&Pf(aW&_fb`1=2(EF(@i&bNxLWxhtA_M22-v`v3$=hRg$ z)l{!sr_1IPA(*{d!_>D{g16&MLdc-|CdGqWF_QGhyjXzEFZ05|2Px)_=Dw)HzV-wy zmNv#G#rYBpQs*72n6nsQ9p>i`4Job}EzF6)!$%QG`iH?{sf8r*~93p>*s)O^V9H$E0*h$}Lxnxr9II-xrCi(yPEz)x!lFlUN+X zTM5Zi?So$z$`W+|sJsr@e}tjQa8q_9X7&%_`4Bsu8EJ7`31OD}-b`Wn7=A(pY3>dG zW#{7#8hLiq%qZLFRP!zuf(1gPd47!lLX;D z;p{EX5Abhpo$^=Nps^qpBo?tWv!R%O7z@}|cLZzRL!TqnH8dg`oM5BkX#*h=E$N_P zo=U~B3(A-4WF$Z?B+|RoIkBf#Ff(S(>JX+2*0(BGhm6x3?1;I7kB0=6!0ZWTv4y#%=OZuHcNf{T%riZ zoh`KEi2EBc=bADUh|2EWG1naebG@5EaoyqlhszyO(0rvm?QK)b6F60&m_|FSU8*(P zOGT;asb)SWoRLt*jszF>1`(oozlJ2gL3GZ!(J1Yc@zj2}r^?POuwr0~Vm9dwtg%0HZiv$8m(w7w&;oOx3%afNTqaTWUU zq!X>l>5gunm41f34m8(e=`_swv^L2}-1iB(9R;ua`j*1EcAlW|?5A4kl5^`~^;=Np zFoGsBvNc>Ur`2^5FT13Zq5I%dO#=9%u$J^w40#wGtPnC*JA0=$WhWZPXiow~X%VZZ z=92i;GOC?^IOhoTReUECSr*ich+Qg zG+(1=|XKNiL^k=Uu`YjBbs(@|6|usn)xo={I)?OIcZNRpfU{R z-{zOcwG?+#{Hj+DXc&2waG5UoE$Kz*9?C_i@c!g5+4lK&o8JLgM$7uPP~}HK6X}qR z-I<7V4Y$dWGE$*f$>H^3x$M|waGfG~N-Owh#@4&%Z+5X(?E{tXcoK-C14cakYm!g) z*lSp6UFV|4Gs?L7-8Z>^5Q7~ke`@}K(Em{kHhB8tnaI{r)0ZiV$Pk|N@|JW%ik7~# zPxo(PzkX6lNG=mgT>c@>cehk%d|&^`QB4#mHzdFO4~JRZm@7Cznz&pMqz8AF1#TVC z5$b74z`t#|^3a9IClri(cAHy6D{|Zf6DoiNeQ8|NOm;t6*Lj1FW8O$dRq=1m+M`tp z?{I6KeLtaMU3Y~)o!sDtTJy<^LoNVBAtpUZ5u8yl8)Lm-9oR*!cZ)^cz&{okd8=Q# zb7$DK_L@2+Ayn(e*z~dA+{#F`FtILSfex2{{e!+tpqAZZKO4*Z-^-6n00@Snre@Ji zW=kWt`d0?=hWpp5NvyI(d6avr<4vRLMGiVCB=(B8>(n>$7~DF_gpxpYr!~9*>E>fe z!gqMLL&;vq48!`*LFvDLq;G+X&+W>W=#at3;Li2r^JoG5ePO+1bX=dP|L&8?s2t&5 z(v#eZJa}dL)+;!z^dnc=67Q_~kZPOe?EdT>z%cNmDC^|tB+)5*4k3?rX+En4Bl)U~ zYhbKHQ$})ja_$G&yPrMP@y%zXL+prh0~fT)`y+8t)b?2X^1x+P@Tn{HtyLb1$mJ$F zj@_-f`Rnu6R=4XQHA84N5ykdofQ*O@JOd@Grjdvt%y@yr2hcn*d-oatKr;F{Zays$ z>z>ktVEK}9pSOx%q|)n}0)Hz2nnFS^cA2(O-Z^ghM4hOMxOIhGfS=nD({`z7SV&WM zBdH--E*TOxO31sp{BqZ#sK@W=OSlbZY8ro{%Z*Y`HOB8UCINPCEf@ZE+cZF}6vM89 zp0+7vV0z>_qrp`nX?6SD)V3-cV4;>Z1@b_jy~U_-NNyR?o7%DmvDA%e-?TWp{)E{4 z%qS?6p3<#|;A{36g!KGvNFUwrHtVKae#L%{w;2AG0`L->2INwPsrOlmOKAWjuH0C~ zx4aKF@)B78RkS>u&_lSs>XC9UESw;h670hdF1x$^z}Ci(`qNc>ENS-n(yfws^q`Uv z(AG#N)#!#OcyGeLHM}kMDAB?2x)CNw(Ef?-1|FN~v)XP)@w4CK4)RGj*q2Cei>VcU zBcaHdXkA9DpB~jkj9rCwkTesU0ZWiR(#U-Dt&J8q<_cZ}((GHa!OLuyD6Wb0NOm&MMZI&cL{3e?O*=zT?95fn03?wZ~RuW@{b+`%+k^M&X2UQtL z_f(gON*pe-MTqjWQhkNbB1BK`$JC5=8xE;!zX5UoGu#&hmHrw$<3I8o=+D?zxXdxT zD#BmPx)B0xlD;(X1c|Bf2Q>tLtJYiv#X8|mw_e!5tBoBq4i;Fz{jp!WhoR2Eulaq+ zvNOqyos#4tdWjev@5D$ZxRc8_Cz*eOOsjU0KTNelYR8Gwa>6aX}}P+71KLk1q&mrj!Phzw9=neIS`I;k&D!j1kXE9oONg*a>Gg z_Ey0J#qWw6T%cjZ6;{&@P1AG8I02^@-mSu)cROBRudLUkH#L6M{KF2bi@rq!oQt>U zu+lWsZbsx-$h05nvJLdM2{YIXr=t;#KG5H5mS9(}%dp2A_;Hjt@My0%b z+>o{(O8DJh6{-F_#Fhj|h(lDxn>yxg5&G#P2#%;~=kp$)zz)~O-#cm61Y?#(m z5KJtnapQRLZOmT$-gR0@s|^Iq*%h*I&|cFOc}aFxk4+;|h{oVQmIG|)GFP1BvVsKa zq+Wa(YzQw2P1~vH_I-oFC8Sy5rouRsVs@vK?gV15$E2adj2P^KhmeV76&T>#54}Vm zG*qE~&d_3I)&Y2Z#cBT34Ds&T0sV7RrI$UV}K-@-N5* zb_H{Qp{GA+|Ki=Ce62r%6*2v5u^TIPGsx(b}<3y1nWllJ+#F z#+*i@76u)1Fo&la8+Rafq~~K0n+z2P8Ce+gJH}?@KjRO*evM|}4{sI8!Mk;4RICrj z2Zn9mKW}EffWLT}_vHE``Fae2?CVv#0EPpK)9*jHBms-EcZuKdG$qWKLv-QTvm3UW zb$%I?!a!}}vNoQOAm&!n^O@8p6KsI<-K$@P z54#~dSL}%(=!7-vA6@c);H$TK>KJ`N=X(v0p@)U(_fBNgyK3*C#Wxjvln(Q_ZX6RM z+uj`ro#aD$m&{=y!sRuxy|ASZ7>~)w3L*V(Gi(G`R`Iu9Q#eszqMk- zmrHZ=1$nbn;20KxMR~caGh}Z3t6IlUEt79Q{~<-mWRxH`NN7>-{2l(_3krT>{5#p5EPZb=m?fPwoWB@Qw4L9rzXcwozX;9sBJlHw?ZC0e>9=7Y zG)!SR`ox^Ms*OAH&Nm~@>)tf6cYe?^d*alSNo4x&I^sDulG=d6DWB$2;!|e#lA$Ml z!1lU!loki-5ewT>qpERsrd>`_7qzD+XD;%M6Eo{>yl{_i0?9ZGI7aWPX z4DUEhFFPen?PTSy>kKgxEm@6eW*nEjFey~bPYC5A6tGRErGF45EXjtSxi4M&B|lT! zS{Xr@Fb}DF{55h6)fBO09wW74eB9!`lUh7C;UcMHxWmO2caD!dQ92`u{`1Q$IJODS z?rob+H7vTTb2IxIrHY%&(jR=jboMc_dGTvsHmWx540oIcR~c|)sD3Zl4=u|MFKpCQ z+e?8EHK!LqP|APIT2?rs3oV+Ve=dg`Hwk{{-Frz!hSO$#fxiDI0I#^%U-36;#zN*o zEBxec18|N`to=Qmb?L`!;ICB%{y4=wZ{Bb?4{#jjK)U|EeLp!}C{>uo0%pY}nkcb* zePI4ntwsjsBd}g$5ybnid`j2QkR9xJRLW?R^V`0a2|Rkog#WX;>DYqJqx(MD#^xZL zXd+naJQHIOJ)7fN_@7mnikt5c;`nsr4XH#P%850Oli;l8SO#Q9E`5QE+yP!LRj*14 zXng{Vc)b(A_w8%n4Cd;&BSP*RZi67J%}qNQBW63`xYNOubvGg%O0mbFgRz~!zvZ63 z{_zD`BYI!JL?Jiqsb{t#KPKPFo!n4=GXWx=qonu4$LfOQC=WiCW{3E1>^hG7r-y?V zF0u=sd#1{c+s-sWC@&HqVkxQ0^D zpqcjD;J$M6&xdawp1H;4k}==^9kokQMq)Jd+~)HupUsD=--gN^M9ur{hu9g1rNc8G zCxZn9oi}Y;%38f<9#L3!MhS7Eid#RCeUu$JrDY`&?HU)2d6O@U^3&s$;Bc*PW%>6* z1D;a!DCLm#?eShp%9v?RV9}hjW|Wm_Yh}@`7wO-+5^3&95qPFqqxJUJ<^Q)*rSU)|MQH!*;jy_jaB{POtL`pVuE0<(7Jiu3+UZG z33rB(vxt&GvJ|IxzlfHQsrZOIGXL1MMmV%Rd=*i544Q z?izHRSS8#Cl}&F=oQaka6%#1`d?TXpxij;bu=gnoOl`+u$B zV>epA=|fHT*o9IHTJO(}m8va7JoFrqRr^S>wt0sniOU&Y+522g6+Y#>yYkxv^(^q9 z`STM&s>{H^F*5Iu?-VTOe`gt{m%af1NqBk6x$AVv6*OTWu(aObDP7a?Dr8e){7xpO zKLkF;{*+rey|zl4&x)L%<<{d*Ks6`Q_nMuo_OT0(?(k_~Mo3{Ig*Plql`{7>)8R z10|sN-erv}dJv_9H1IM1J>B13^mGo~*bSu(o6j+vJ_5h%h;)&iUNAAL3YseAdJeV> znb;}-L`Z*iXkrrAQu6(8=#A;&pSmM(@X}QCq|E%UJH?}VP%6R-*eV5h@iXVzj09~} ztbWF7`+SWRojD@hEJgU`+Y%^V>nzQR*c6LyEO}C@upe#YpD1Bm^o!m|e&wx=NzvLU zN$Oi1bvo7#mGpFA_qthP_wM4v<@uQPmqrB zQ4YcV(u~JVx^oxa{Rq_=bhD?4TIQafh^gvJd$0FKYbZ(5JV{1XSy0zrn++M_XrLc% zVO~UOmt2!3AKket)`Y66P`;CNGImjaxox?0pJdjaZS))D`bIpRkPD^{M z)hh2Tn^Agf_*~^$h9|~n7(in?P}AAVBUV2G#?yDdqT)5p)B5Yc$qCUZ`E}#ForJC1 z8TC++DMS1ivXi~=0h+y{M($T?2RR=NKsNM5IX{_(Utdc_XLkls&9KFaSzdjl8297> zv-!@6Xx=f6CYE)cCcYX%F+Z{v4-W;AA3CBuanGd+7rxJrx6M&t_lzsGsmw zo>p~5n4NE@wWudam-2qlLP@dQ2JD4<#zBWD#y>lxRTz56|5bV84A~h57LB382fv z;`$@SXX=;x7qMvi=$g&ELc8IVZMfZa;LmTMGQy{E8B4-Db|#p?GVg%IZF36YsK~H? zKsXV}wGMCI*mUnB#zEoycD=BqIfwP-I;{+>fFxc4?;&KDLE!s)d9gXK2fPSpCB+eh zx0}~bKcGoKjv~8+=%}>%QiGqgs_q21vqmgZs8^O?^2jGjg6DVIa&Rfnq%MqOsZkz` zQH%s3V(}MdgVHG*#GXw1Ruvi9^M*G*D6L0kV|Ve8?*#4eh{orC@v%emcY!R!N+P?d z1ja}7q$9tZjef;;4Q#p~+vy8M-r;QA7O)Rvi%)i#Qj}$;9k9uw`|IK#DN3qN$=jPO zY$4MDQK35GaLPrb$26cBp?^*o$ic1o;ytc=vgTQ2w$`u2ZV9~)z8Clrj_)DxM2jB8 zXPn>`A)45^@<*k$F(U~TBmWW|H_aiqWTe;QPYc8-T)>wGz}g9_!3=7vLvFxgO1~@o z9@-2OHLTSn!wf^QSNsOM++__C+AEEQM0@i0*L)Sl_&q9@gnbA-ZWq6ezHDw!Qb1E| z>P*8&A&A#!R-I(bysCe-Tkz}tcy-7H!r?n)_z0u_2*>mgfsB^9*iAbHSSx{hA7-5< zV!aA=&F63A1T#POi*QYcG=6F&*Ck0-ooo2J^w=w8hWwG(15?0dtWUbrhe5D*|j z=a&~+76wWwDhsNa9m+9yCGBUUKG5H48AeHbcO$JThJJULuOGGBM6c#uMyQE@Qk zVG9$1X;j#Je<4dl<=ZZAgYdSgiqKSKnZg(Q^9e@>dQ36^0GLz_$Fh5msEZLx{@TJu z@XRnANO#WL4O7kLo)Ajfvt4@h9POsfn2sC%Sa(n4%+yMpBD77O|H%6-IaOLVX=|!i z9m7}7ty7E4+m#8b0)j@MH7%%X@&{gPzn3j(^ z%+&X)Mc=Jowv8S|vc|+EYV7zf#yN-{7R1TzkuQWMJ*rg{c+z1zhZl$rPR7XB7#dx> zLC%{;g=ofsY1){HK7!9=3oUj>-9dp2 z$!6$wf(x_YOTE!dy0_$CgIXOa{Z#P}tFS{uk7YKg@Qi!f0xetDh0SZ6n7I3(9GzZF zZW<^-(Jr|bBz=%o5n&DvdVb0sfpAS6IxPf`FfSdo=a_fC{=y<<>A?)@nBh9#J)rV< z^6=L)LWw@6Ei>m~;gmhJs+i!%c&XI<*)fVReiu{7on4Zxh=E1fOxxc+y(P;EMg+y2 zUv0b^NIzfM+#{WNU`sYL0{<*lWn$@#_f7U{>P@&gOz0Iq9G#WA?&%uGA9AYGaTaRu zw0@3$n0gECG&Y7k;do%fw5;&w;DY1{7tSVs!3e zI`wlS&M+$tPv{-(T)WrUch7E|bF2~5Hf-?^x(7CTM8@N}54zV)ceOu#d0Q2{`XHW2 z^p2KV?{o}7L7A^yc_@i4O8whD%a7u{D1c|uxW{FPEy|8q%N9es8_;1sEy;)#L>fiNe?_wL(&x@oRM=6ef!lhGqdC|M1OdAP^0TRU2!McMa zIjXn^B2&f6{mt;j`Y{RCs{Hq^e#8V<1UVx6vFN8b5*$A7tQ9;$0+G&tPAaqx&Bo5S zXw((FWv(G|D^=bN782x{OecRZDgE+W!i4Y$R|+pX1{h+AH~YN<4Itr&pTHq0;t-bS z0~v#71L#6x-X6bc=v(uxErii=(MWfOrS#@6SkzN@C3e!QtoxT6ckmWf>iERBpbWyL zGth+Ni~MmbxQwIbP1q&4%V%8VGV~^cWFq>7nziCq>WaPS z_wGL?C3dD-jQM5`8UJ9W2LDBy@aE`4Gm7JWZrJm03Ss2!Dy8B|QHCgsC?JMQY*Ftz zTPne`LOhc3{af>Lmxp#}fPpNu&J(*f&E$b`nMy$3%c42H4v(4oL%#3^q2rNdb~3%9 zZx6wYMPtK4ow?8Vv)m#-fyJTkCx45Dx zf_zVC^r5yvyZz`cJErUBp@Y=7KM3I*2pWvBW1VCs!)hvSO}OgV!bJvY+2;v%Q&#W2 zNim~ah8frRK+JtPG*gXhE#mz52Oij)@0(Bz6X>t~*{=AAVx<_N&Z=#2yIt>m@uo)t ztTHrkcEJN#5CJz`i0Li#;galolU0BA-N`D2wkz}H!kvQ+81+Vl>pC-yP3U|w&m;Od-<=}`=P&nby>WL-M z1Sb$!lL^#urNDp5)SGK2XSjv8(5@w(<3if8FuS>Y6|9ixEr77-{iXlDEtGL1dk#$Y zL`&^~4{50szU^e*_=&J64VaY*x&>#vG&8*1O$NP#ERn8SuN$x(g<7bhY962w@)+)o ziN+bLP((c~#_o*prz*$3@5@<+eDf!?n~^NUH;xcDqjdu+1RC|sqwuFDIbgp8JF-1g z>s*P)w`Zgi)*ivYio&>#fuA7HZ3jP$(2_(NP6HOEhILRFx-sK~E6~)+s7?m z_IS|rb)U_1W^DedUJZMv*6;BesOg0Yz#a1jK3JC%DM=wDb}bG)`E>FeE0#*wOkcCf zCwx^@R;q10U0%Fp0?*Veg43~og%;s7L^0=5;3!e*g_8SBl~Q-hGjBcv?hwR&&-kPY zgnk!>#3{577}bT7&uVfg9Q*%E09?Tk>QG~^TMi-_bBo+ZAB>N95jEnCFipnS_!P+0 zl_rd^c`NQm{&_Q=wqFuo7|bw4ZnW>z-=cji^eHC%C8Ow?{#u6O7b+afJ@kW>iMSQ7 z&SJfVw=-Eb3-(N#M*k|o{Y~5WNz03Li^V!fad`gl*0mZnH7p9lTUIu zOHr-tXZb~Mr%{r2L*;t)C}BO-%qliTLQxl%N{xSPxw}L)lT@`R!+g4f&F#ksujbGx z>lC5cOJ^}-y_?R8I;O>gLCvHRvc^33K{6W}K42^`te_PGIk|u`&mjg{Q{HKHn_r4l z&8j@NzVF)oX9Jo55!ha>P1xd;A?T`ChXzt>PWPOl#$*~AY7~wFW0q56HccOH8vc&J zAqGtG{9FB;4Td(W%G(=1nRhE3l?*NdBW65^Eva*)1LaOU2gbb8(GD~HJI!qcX@L1aJ@z-`qI{wMdX^%79dvs` z`)8=c)%|@{u!gvqw=cL_RL~Jega*C3ZTb&%A6C+H_a z@wa6gG1z}8!)`Y`7BGkS_uX}D-g{Cu2}qY6HGZ4{N#=gEwaN4ys}uv+h71nDf1a9Y z;Actc)?iP0@?N_ZH~uk>oml1`;kqTBuZtdfFkl%)J^)wfGk}o?WnumJmkLF9pf@vU zfw{j~ad)IXQTbO#Hh(v{>3{vxzf;KLN2sx`c$5|!c6dvm=SF1615Ty@O#gfi5V%z`7=-ACVNr}V@#mYZ zHO9kR%^v()C-bU*wN ziBXD`pQBr;ql96F#dTNv;N50kNpJVuF@c@oiFM6f z%)IoRV<4RUKl32Tsru`L2z z(^7L~!q$tCPUnAG47A(EQjHGg>S<~i8aLU9D7!Yu2Aj#*UvFdVKPRt#Tdk$%(T=X; zbHip&Q_ck6(m32}Hx)KttmLI%T2}JLFg>92UKdK(+ReGrV5YDMIZq@Oy#tq_zjDX{ zrnQqjCSABEjbm8mw$i~ACBxNWo3ptirOnw9#9fhLMm8`~!mb!?KxMn~I=`gNMgOdp2rVkw}v+sBm*+~^g!%3AeD`|l>ToIJ1JE!)UlLyoHO|^G!@@KSb90<}Truc<2bA^~gJ&|3sUh z@bM7USx;K7gEqgMXg%ZOJ5?ujpW}_?jrX7@Hn2{4vtIKtnDp@M)59-_BF++_ zKXXfzU5CSyz$jjB*VObC6^dvA)j{kY52qch-EU(9Jn2gb#FTND1GVOTLsvV<} z-?zDk`w)xy^$Toy36~ioqaEuW?CoUO>O;^tp4kirAHvsdmHob4A`@5`v0&8+=zC(? zd-D7TD2=~{Dz!_B`E0=M11@bS$+J%Z)x;!K3>3LrEv~~)Jb^{Qoy$)_Slmy~znOb% z(vbfocSEkzf3wbVO2ZS->>oH)ly!@KBdmM)WqQ3WoTn<8bBpH66{3lpyD&ODT5}Jv zn&nPs5|!bHTA%zscY|yvHA-m)2>#|1TCcdz=?{#<`v^8ufD>T?yR;{5rhzA?Q`eVw zLh}JE*D7l$!9DX_N1GMB-vp(^gG_reUrz)ihVsnvM@uok)o)Do9cKZ>ERM{8680}8 zk~S&4b$58{G`=c;iKQp*5A08+?XkriJ(PqKxxt7fW=DE-;1cM&`H2D9WF!v4XHe4b zm%B31QtHZEsj}i{*a-*2Gnl`!h@4Qku~005uBNe9CdsMM!QklPgb5x6uedNkKZjF2 z`xg|3hW&eXJ$?D-CMcIF?jGh5UL*(ZaM{TIhx~H1$g(YPh_~&rQc~LMEca2_k>=K{HnhM5OKHghyAc0%}p z@P0s+2tzZk^KgTWX3k@e@oxJ6zVOOMJ%IguHs^}gK0l}dK7=P9?}Y}c4n<}_O@Aj_$E>H65m&qp}@!Ps|F z-YcWO;TP4xQlL)}3jmYoJx0>ifKriJPBp$LG_=8(?RI^!4AE39V{*Xz=faHhM+~zB zsl?iB11VqQJ@f+o$7H|CR#=pzk_8z| zQzn$*iaS;6rKx5N-oN~uI8rKUK zFomU|I6f@!#B0f1-v{C^(;_ux;6xjwT6Oovdwvp11($*0_yAJdztY(U6xQZZIZGkx zvauC;Gp7HBQva}+B9qP2L_DB!ncLrxi=`Cibo-w{>9<7Hq36p>>jRqYH6bIz_m^-~ z9j6QyKgiwwX9~|AoTgz@V6_-sv|3aKZ&8RTTJ0}`7ZuAmH7B7sj`zsLLN-f(a=MDq z_+;Dz^^3ZQ$4{p@-LSB=Q7y&!ERBWXvuEDuvoD@lqt>b?-Psz{a4CM?c?7Ne)w;az z{~UtitIZwxpNDV{uZ;e8Z|0P63BPGpWKEqCH!n0S${0_AE;}YG*TucKXP(^1_gv=% z&zg8F{{1{PE1xbguKxRplYiEKi))*Z!L>?}kl`?_?|Y zG`pMPV)S2dP zr-64+_c%G%D*n_{9mJ;OSY?x%xsp2FxM@`;NL~hJ0$93h0o_K4&yVT4P-omej?h&;rC}-~M%W z+=AO|ON6=R&?OUtgB__jA&vB?>oni2eFzbz3Fxj!LwG{Y&R>j4pKV%@9^aK z$7t52OJNK_-O&zOSzrASH>!h4$g>q8Riz+CM3<#lFaU-blM?JY4J5}YH7~F)x{zwG zJ#IVw5^wLO^4lHt50fP92w7 z3mjzMwY+;LOSl40`701|WXCt;K=k^Il3}p0t)a_gE2El1Hxp`h{E53`bk1EmcBX%CLb}B- z@xG%(+-Za-&{m>p{Lg)gA)|zDk>XV|uP2_a!kUo|?Vd)2a_@(08_&^-Y%oM9e82LJ zTeeaFESP8SD2m*7KUEI@pT*973!gr#0+p--sdU=0WFHf%mNQVmz@4$D7|xiENIc-b zIIFLQqiQ&Xt2oWQzO=5gBNH^@c1$^!nai zF~x(kr-bmn90OC9>Hx+OOhuL9Nfwz$xaj5VrF~N9sIU0$QZ@U=bl_Mis7#K`T$J+)9!u%`2o{6YQ){+KLDpOD;oOw0*mQPmng;QFm;{ex$Jr_ zt#c;DOKhTT6m`|D%dcw}VJGvg=krgZsB`~|G>O+o{aK4n6dyBCIaK?w?tkC(B1g00 zz00`zKej?!Us^p9j{I1z)wF{oS|vVCyl(()*g=}YE%QW-!ae@6OI4}TyY2m%Ob?@_ zN`*tx_ud!(KLAw;I68ww**b~VzB&An{UXHtmRTBD-Xd4+xgWS82mw-p)LgLrQY!*YAMn1DqHaE+KRzMrNSJRahpc1^8Eh2N+|%J<#snf zZ4>?2v7HnJ3g$6NJL9+`09LbgbEZ|B&dh~BJ~&ZWhS(4&=dEN3cJDW3CRMM7=6bZX z%t~FAWQXRMLdNy^ahL`Tw0Of-1{PSVORy1cneyb zr`~@9QPi-p$lR*u*Q;u646KmI_BZ=kquj9mZs<+I^Td~=4GIz@7c}6nuRcTP72`Gs z_TZ6hKw&S9ACS;5QV{i9;ZNg-(nMpggT5kaOBAyaW+DeMj9;b>#<(;ryDnk^O@&o0 zFS+(s)~rcS-pZMPFK3Hfx1X^E1Z-qV>J z$ZAxMU`oYIUd$)j0{(zS?6>7_8818N7|vkGr&28IwJRj?r1>WR$1Lef)Lb z<2uo*P~vmL}W(Q#J+a>@i`V9joo}YqoZjndmOWD6-PriG$+6L zS=pO@@BF8BR=uL!>$PQ;Z%PFX-1F-+asSfSEbZjEj%hEyudaao;0F+$PzQl1kLml! zZ&4LePoF%BqyO;L2&-L~JSk4ia3kBj{DlHsS(hClPOdAcroF@_ zAN^`4^aa((PnrBK2YJX0i&^=6{p|F&EE&XK$!zfYR37qb?BQjcS^g^Qz9R#Xu%G+- zk5lPJCdbq44yw2W8~I5wzX*A)kdy7HmrgIUAL}y4x54w>y2?Q3aTIFlev>f!{Lsleu(z@p{F9CMy-nh^Uzv`_Ogj`cl! zRZP?+KYOy}ztnk;pIQtxd&G3duqhgD?D#U}`^}qYV_QPV4Qa=1YJ$2WcGk`dNGxq! zlzoTK@la+=Z;f{L4%v7DlgN~2+Z)+skWC8p@T0hx_knF`X!-Rqa9$U0+dFZs=rbq2 z3(L`h!@tf3h)%tO8p7HJ^Al-H10g>@dl(LDz=SrM&Eu%-Fd)r}M~v z#MPRLiqbNX;shFTHj5eMo)^fT{Vt)?E@!e`F>OH7_`@dyfvK}hq4mgmvvJB-Qo~xG zOHLmj0Qw)_XiIx&h+k;<{fVbbU0?CP?|MM366p(kDSDCEoAmN+4jQ@73x0*oFp_yg z$y5zK^Qj%NC=;kP!gTZ}4M`q0?qwYi{sW0Q|77YviBr>t8>oSjug(8>q#VedXIyB@ z*}jQnO7#@Y^}S6foi>eD%_ z-PZ=p2hol!zUxlOc$GQZosK61v~i-)J(`_5Q$?&iLaPv)b@SR!|QcSvqU4?51a(bNkHsqsSlawVHwwgQn)p zH4%m-KDi(Tz7&6pNb`3cVOHnWyRVl0O|?~b@dH6 zEw=r%0DX@uRy`TZbUl90ve_BCiF~I(sq&4vILITDShs(YpSwV%O-C2P9rv8>r#Icx zjOj=Yx}rpmRPKE%=GSrhZQ)!=kJ+O!1kikaZs+_O(h}K@l-SJ;I-*A-Jy0-b9E#LD&>V78BUIbF_sfFBh+rQB1eAe0X=#{3M+@e36< z3ekvifKUONqK{S9%L!-SmyOAMnIluV)&(K2ojw}lInlY-(nQ@Di??3aKJ(INw!gYH ziTTgyXYuR9-->(-wP8VPI}?^^ND{hj4$t}nkhS$la-H3L*|J=h_ZZK(&b^(*5oeJZ zAAD(lI#ct)IdIX-n-ny5N7QSgV|v=Pqhq2U|Lk*O96U9SelP|>`Q_IbQ05gqO~qKn z7~#675iHT=23BY@-ri}mww}X+f>^85T{Q9Z!IIg1cg&gyB(?44+4)< z&2kulUu1POO|N)a&Dm$>mTt=5Js&Fgn>r|5>PKGAVmCvfyBdU-@ejY)Fg2d2vg*jB zeWeC}zMkoBKXPZ<&24I)%jWB1_iA;I3s!L#Uft4^W_0{kZ=qAT;gQ2=T0MG6;c8p~ z&?dhkJp`)uN_d1GKiwN}X)^&VX|;hW6~%H8>}b|Hx%TBi4 zOlokhS9*a;`I4tX<7P>*%v^lC#$><1U_X_J>On4e{kWyTmV;z(@?iQ7!NdfZ>&hWy z6FfcnyuuS zFvhhruAi=I+F0*JD(<@frEnG!E(E;&7=A6kI7ldJEO`S#?cH;ewiG=VR$PMrKK5HI zARh?z4*@j={@ROa+QZiRfK^FxS_K00vV^Or^nBot#fyVWg_B+GZoN<4yr<%sXv7G! zlE+pN%Jvpw7EE0E%Lh8U?_}MN>k?2za;+8CvKGyF@E_5d3-BfL{&k+u%yM9V?Kj8@8tgpvJl6cR&SmtO^%zaCXqm?5Xq@Z)nPwrx8{U7QI4!P+6{1 zB1Y>?M*?P|(UQE+eZPdsKu(@a0%>Sp3>_|8$7k*e&p2m-G*-d4w%l7L%_*I0#DKn6%K_;SCx|&l0T+ zG8vep%$r>E{B9kl`D^qoMw!anDlIHI+p80Nu+t!Wxichx4iC6~+jg-|j}%v5VAR2| z?K+idRwzJz{;RVWRCy`MzUL?y=)do$@n`Ws*vxq7lYvqu^*;!DOfB4GB=^54yih1a z5?cwom%_`uAsOv3c$N<;&vlV&&$?-a%j~3L-@ZxOqAlm;z8S}HT~T#Hb-YjgjBD3* zMIj$R3x%j`tu}KAthU%0Ps=Hi15z7Wk5TV{M|wSPYdo2XC{?}zw}Tp?6ZrvW?Y&r=yD|GKijRDcZp^m{{g@yym^QCkN~45Z znIQk}z8u-4`E48+OlYKsC$Z?*OC2tMXZ+`&jCQ|8Rnb$!Y0-1yn_`pmJ8SHwrpH&& z@5RiXqzedYVH8hkeBP|KDE3furN!de7srF>HGT2*QUg45&mwA;Q7D+3V}d1;c5$;w zJ>7jG>XQEMs%v2K-U?%ftT1eQqwTC?F-9K#`m~^R@mzfB_AV`@nj*q3k}uPMN;IL( zs)IC7SqTiW*0p5Q50fyHMN^ ztlJLyP^d&iSe94nky8bmku4}(HWut)!n{k?%@q7I(u_L)`WA;ff_@4YL1Bu;G><$5 zo6^zyqg;W6SBuUoa>?_QAfzruMbeLy3eUqjMR6250T%lf9i|(ivJ;2WU=O@_`h8t^ z`6i9yPfOaH^(tB`ofSjuM-0bQv#PLCybHcdJHn)4^*=HspGdENE;AXDhdVXA&RL#l z%sgV*fY4ZgU_F6^C5;`(iUGd|)h--#e!I?O#tTmQ&bNF<)62uVtN+T&MUeUOHMNN! zx$}uEb@G{}1%|~zC#(7y<)Qp-CgxKJHZ-q=*t6FBC9%7!oN(GxL1;F+rjHC@GB*9) zrFST@W(031@-olQWK=tPR1ua!-8XDj-WYbas1 z+CihcyL(Gs1>CmNU|2lWoMg+lPKl=4CyknM6V|MM7O!>RO0c}Jl(0n!d%vMyimWo3 zOXd@mc{P?^zIdL;bwyXv&bh4A*+iP_ChE>j4|t(dXF8VVU)KN5`aqNdcNa z&z@}wah6_jOjeh@>%Sc!V4a{1U7ayk$Y!c+Y*~lYF5O%e>$CmX5>V5`;dl#~SiazR z$P^*az0_)55$Z_RHL=he9p)${i|3~FVERC@^l;PsO+p5h%e^s;^XLLN{8D97O89Ju z=>hi~X#hIs`YoVb_$#c+)ttXt*Mz>TYC8p`*yrSkWPvO+-%qizvvF-S-ubNKHKDeW zzo1*S`(rCh#O&{v*77M=Y&0*LX@)-+lYP?ib^0Z{>JBsK2(@A54+EUeE(ps4Hk_il z6jf9?Fqoq`(;xw_94Vz`oN+)8*jHk||^&h^}gGLsdx47-#{0qX$VnfR@ z<5=$$#W<-bn7>M);wHe7?!@M@+w?I>V|19tqtK(sch8h985_!Tzlssys*NjpG1oi3 z6S|KHI^5*khL|>yp-CQwa?kA6wYuryE0l^OU&^WZY)kACoyUrqH29tG&pE|JBleg? zw1uU^0(Kl|uQ6l-7&nw*YUZBtcR?dj3Hw!VHYEaM zD=PG$c9w4wlD5~8V>KjrNJ~>)Gc_jJpTt^}5XbJXG9YKWYO&F9828yhT{_mJAz6`P zNYt$5b?bY(inh_TD)#&WPyNNZ>Z82x>hX)R*o3_+L(+3rNUDGa>AN##2{V;*VHZ6e z`{=hyzZ{E-K2lJ^B!eOv8bx$x4l2ew(G;5{84WMX zO1d-djcT)I^;D0gkS&8`Y*3H6xnp}n0A5>nlA0t5XH>BCy3DCPbPq_68uYSsSduB7 z(0c9GNuu&~g| zpt6Shhtw1kJ?vp#+LtwbibxvYeeG#!hi6mdsST@ib5-KM+jfWE!VVq0MkUclq;{)) z<#My!8NOOH?>1bw99MM<0F%~?6VFdY^=3(B*bb|?-MZS+BO}%-<=l*k!*;*E|73U? zSbvfgt^fSKgF4-v)ar**yveeLef_)9gyFhTWpT_u5sFIP_Or0>Zx0Qra1JF=Nrk>V zG4b@i1G{5)B1p*D`W{{ z2C`pG?Mj#1>OIP0hhRQ5!Y-%p&XT+QT$RaEh_6_TLshb@1Xu4`MnQ>CBE5o@CFoWv zZb8b?c71IF(2!xu%8UuynpASQmk?s>CT4aSS^sOGsa@~#yJ=;++@-^uHL`}%0LC}e zI^162hmP+<7@nGdjQd-;`;o#b|!JF4bL(!c1jN-otk~{ zmEijbMwc!Q)P_J`l4bPb7#HjU+nGr^UK2!q7z@3Q{1Ix{z;`RBW zbr<;{m$IpXVW;TV6H#ma?*}zf@KUT)9J?w{bov^$B$)bBQ`m0@WY=7_Fyynw_i8?M z&munkx_lW0kk)IOuK~LGhVdYp%V%l612GB2*Rfh&5&NeGH zKwvc&>(`J~vB>Vo`j&$w-hj-it?Zh%@*DR)TDK`ciX$+iYjShsv99LTPtH_XH-i<>K6?(^-9rC|~DkY}_((mIAUXV5xnWBp3*RCfc9 zx0?0aR+**y1aC^uevj-Wg5G70JfMAjIU&jt6!Ta`Ks)YoMl9WP)>+z&D}RWd{p)V( zI{Sy+7s+zH@T_sEC5Nc-`M&jE9$Y`dOJm0C=VDW{z3~Gvc(za0M$VH2Ug5oj%Fpx< zN``3qZY^gAMS5Q3yQ!ZS6aeHz46R(>pkEXm0+^`>8*DF;BZd4_tJ2XzS&`>Obftlm z2&dhwMQO7FWwVy?1z4QxEii@;K@C4+36xX$o#x!|b|}xYjm?tp5#n+3nD-@u=kAj9 zolk_ZQ6GFI3KwUJBTm*$(Tai9Id44~=U3qYs$L$n*ErTyFWod~r>w0$`9fc=ETahX zciB&8t-YE2%&r0s?3vM|z?Em#I6r(O8Dag&p-K5-!z5XIYV0~FbOApke)#He*U$y0RDcZOadhbCqPEV;sU2po>+aeWxm8PI&>Y7`n+r z&%aIn&`1YmQ%{R@8*GdzM1y8gpSKWP`4{*lL@dAMwDqHMr0P>_sQ3q8c<2Mb<-CHK z{r!YG!+;ndibtejtiLLBmP2HjEM>&b35cwD?_T5om8&MI3U{qtu{bDw%PsPVBZC0# z29mjk1m~*kxN*Z!e5OSnPeE56b`v5)F>mM8c9rP-iEg`pcfGEfXDPf_arUU7hOcck zJrTRtQ%T9l(zcoq2L9nw)?An$TAfhVU!#0Z(Pv|m1$)mzZ!|A4Y)bcNv1((nTv1E| z#)8hNn?hFa!|T{^XOY3VvjHt^6zg%}+9_{dqbt}m47#-qI;5mI&^Esq-PT+(88{>f z+-Kf#gP+UxDl=>{hSoK2O3voLs7S%AvIG7EDG0gGY!MY)SPeY!P?k}>T+~U?>yg+5 z=w2SIN&4z;f|F)^YOirEzzGq-HkB52(_R9d(^l@{AY}H%uQmN%ieLTbzWUuE3*vk{WDwLTQsCg$4|)jVQ^g9@1d8x9 z|GTyge^rvVo~KbcoLGGK)sRix%ROZsigFnb%L#Zj6<8|2D^xh{@kls8!(mS}*Ca5A zq;!7S*c8-Bjj&`5MMlrPs8KO~hI8R_3?MlV+7zUV`H%H^K3 zxMb4I{4W0|o6B8co-ldIk70cZjynpY_Yy}ld(+v8z7(N4*sC zt#8v-gg@F25;V@RMk1j`kq?D}e$|!qpw9zY2+!;YB0OMTMlcn-_t-wZDYZK9Sb{la zBLHuasXs4}9pn*`6?Zb{SE~Hhs1}pz=A0Z)1B#(0hb#XdO1nR|xf!n%)o^r)LtQIq){mQF!@tZ^r@rbF92`6GflT=yZnHnb0Q(by=F zV2z4+)@1DF+Q%7K*lFT7Mj+B%O=PRl<6@@!?N`yzF||1?=Y*P{qn?5KH&@F&Mb+!( zQ(NPX=Vb_M*^qtN&?6${m!123h?S4nM9Q&7>f@`RL`E9(cnipNLt0S0o6^W$`Mp*& zIrj5JqlooY{cakWhDTqI&K7I~kitaR{g*9w>a+{ZiE>!{$N0&geB*%j{`+>f`V(E! zlk{uL-LDF{&^cQnwpy828J=YikX$`c9;bQD>T<>|CLoqDb-Oi3wvL4HrJN6w0D{3y zddCxVY~V~<5$TEHPE+pccifs$_U2c)9GRHUnQ6k)Bhk8xj<$<8+V94#)8Hiwch^ek zQ{Y@(y~0}1CivY9rhPmUMFGmZ4Zn0kHz|KArkWzh?T;IdPdF=_S;|su6r~=Ak20L%j zMIkKXYK=E_M6bDDT2vEKS?{ZcXa@bu4!o1Ijk)WLUK_LpU%tLSuccCe>8P}$S?;9q zn+>!?UfD~if?uLf_}z?;{@`v&sFSpLXv*Umv-`rd*{PmBCU2~fuun#K_WCW`$at-C zgi8$+JMPuRqwYXFAFvA+$uE7^P5DOYgv6VvUn1A9i$r}Ke9~ytYV{Svt|E)>6DE^z zb-uqW^WF0-%i*++e*i(%8s)t?B6YAygJi;2MhSDBL=cKZWgI!n2Ialxwi1NbZfqg{ z4=JHZ-1tz2>gs} zOMtzMW> z&SqnB3F^%|sL-*)}I z`?@Rc^ewLXxH=s{10r8+FDwdvb;?kEe7z1`O_3jpd6p9WILi;=`%6*bX{Cz9Q-l^W z&PLKvqJw&~7?Y$^oP@Nl9e4|TI=LH@_}lR1u8p#v(fTQLs(D~a=cX8?17*lBLQw(l zGHhuGEit#6t4$p3nIK=Z;=5n$!G*{t!^?PsYeA0dlwqS&s>QP8FAAk8OR2)Y8@9F2 zI#O26%UHm>t989oHcvh~sb4m0)KRKDXbWaD)m%wuG8Z6*Lc03zcDBg0_1YTw_Oi>A zl5hW7O$vonAnRv&`G)&#f8HL=qT`wThz+u@+G50DwRU0=Dr|w7ji_^&vtn#?rg&F0~o_negnFpkyq|&jP9S?6*^B z=wV=2G4J|t?oucfX{Ye2J>$f)uzEp;)N#09@C89JzkQ)s^nz~5lA!AEKlKTnV5vN5 z=!vToge*?Z)c)sM<=5#Ln49_W{&;?0p*tE1mJcGGDUU*Mn?RYcfvmdCX-AulnI=H3 zW(s)2bGUJu2TfMVVq9U#bmk?6X5FW<4N@NBR>6+ik&lX(u~I*-W#l{kwB_6hiEXWH zWm-RQS5>C8(?HkKJEi>#Dl1NB)w^e2DPLHV0KpSC+w=TfM(RgPRw`5LtxV>oD>-@E zHzQomYzDZZ^?p3rDgt$<+C>ZDEL%wLqKEMAllw|a0^vyHV;|XV`@Is7W z*-&!-8iNzVjwMO^O_MeaMZAym^u($u^WkPiOC+tpt)#!&gmY!*o&J0BA3T%tcR9>2 z-%TQ0-p)B1IxhaHNRNI}9?>}TX`9~X2Qli+EG6$dDt*l6P+G(h_QPRHG2~vhZqU$# zA_=c?kNUHR4<@Ibw9EC!E*`ikZ>{J1gAZ!Qt7lRe6*84BkrQBG$4lUTWeyt8hi9&P zFsf=@l~Gj4D8bzNll>y~*;1Gq9^=tnhRHc3(tHZ{#vaEAtk0qn{Zw+ML;c(}t&U#A zI!BJK(M%)ukv@4mWvR3=a=|0giXY~m>4513j*)4DI~VSxW<<3r;+x;QV0_}Cv8Q?b zJ}AVjTk-|$; zkuBzPU%E-Zxx&a-3740!HR-x}on3+>?2XckjQwBlUl;OYlPo$Ulg6wvX+70vcCIzg z4yf&Hs7InCvDM3(Yx&kwB*cGlUELjt+{UCCf(cwWNpA?&HD4wK3Y;~){4bPKqXs32 ziF{>N$hzzF314I6qNU4Lt@~#=CVz&U0cKd`w#5_1y~5`(<9355bi8h&&3F7`55nd< z4hluOtE?)Ar4vCmLgk_5GaGadC^`oyk&{P83oPE~HSBcv#>@#DH2l}9%Up)I`=Y@B zYM!FnE~03bmu*)X0P zntQb`@|Sq|5SzlB2+ovNp_$gYwU@DlOB#ZNDm^V!SVeZ;q|>7c#}IL^2sLY1Q1SKk zNlPhc<&tGaxpYS9@>@O*-3IW9T&5W4*LoJaU-eTr<<(`t8iZadDVKBDvP)7=MHy zeA0EksVJ28o=FazN1H}=#FHO3l{lzxQ}uEwIVj;hwd!+8Cg)*SC2jqSn8w`mVwiPb zA!fm&>8>P_1tDX$K}4Thr^g?;G5qiw>IP~tR|z~kLDmE8Uhg8aHFE1hUj+)m93 z$2@p$7GfHmWV0Q6T=;3)@;n=Ak{}%6&U~F{fBdZbz=I3jHkOO9+u4;+MRiZT)tk%3h(ZnC<29u@xUjA&07SU34c7N$iUO)Dg5+K2;ZLn|u z8P*|v^`JQAVqEO5yNjtYNYskv+%bbzKu-O(%Ay|6g7)5E!uyR_ZN$Fp?Sb}!D_Fmx zogAMOSE-LeHR!qg#zB(&;Nm9lmg=QMvBMfjROU}>`30Ew!WH95rM$zW(Qo@hgml+l zUiRGMJwRCE1^eQU{f*Z6s&?nnA5Us5-oFIi{b9Gp3`8<(2@}jl8E_akPw_i6s zfl(7?gQAl9d9*9lrXEN3Z8I9kzInM}7%NFf>G?B#0-7+f|0V-UNCE|Lz%~+mcxIpZ zx?(x|wKc+8Mu0bePNmI4<3gppy1-f_3YN!2-=RRp-1%B&mNpO1tMHv%i;QOB$4x~k z&MTA;kJS6Ysz1}e*|zhI;u)GTX0OChdnf1(xr)xy>&+|SQ#U3PpNK>1zTM8r-0SHc zEmgIKap|;*&m3-OSXCOOmJvsxzawWD?5Kp_FJqX)vp+ub#znaq*=vqwPjSynC4j5E z|6ATk1UlbaITD^VYof$?oXO;Nmd4dLa1X#)>jlF+=EB0o^9;G6Ztp6u0kcW}$SP=w zUSkjEAmA5e_x$&9+@R=kScFSRRx1`5xQ2~CT1d);T zdC7>S=HL0Szv6!|%J3Fzu<$VMW)f;Bl}*8M!TlX_B#F^AcB-H9s=(E|>aphquWyus*~mf)XYaun_EO9gG}%z7x_)H!Vl&p;<<&fZ)XBZdZ+5q zUVCMjhWjz~&jK>)W`%bGlvT4S2blfVMGbbA(5X`$TO}*>WW{e)A~_b&Vox1wyBNMR zt2d^r##XjPUxdt$tZ77)e5;lpH`J`{3NzeA#wEB063e9S?#ttQcpDqvQxuaK`ra_E z)u(&uI3K@2Co{sa)Hn1kHHWgwWPXA-y%9>qYJQB$?GmUf(`C$as zxWJY1@374KDDzX>SyK~Q4I!ZxECGj!xgQk_Jf%J21ecH*R{w-dE#SerUlE6$X-sXs zb>y(Vw$}0q{oHL;EUaJ3?5;ff$mmm8z4WW!I=Rf*sY3Ug_0Me+D_^x1%D=+lU!o}I zmzx~@wEF7hTy3JvynQjKD#xnIv}SHue?Mmll{k$y<$=GxgL=O>VNy2wYd%ew3-Zy>So>U@t^)(IL{Mj1?c)C#9@{@