From cdabd90e3aeeb535bb969f6cbead8bad6a588ce5 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 7 Jun 2023 18:52:59 -0400 Subject: [PATCH] idk --- cpld/GR8RAM.v | 102 ++-- cpld/db/GR8RAM.(0).cnf.cdb | Bin 24646 -> 25545 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4524 -> 4631 bytes cpld/db/GR8RAM.asm.qmsg | 14 +- cpld/db/GR8RAM.asm.rdb | Bin 787 -> 786 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3091 -> 3211 bytes cpld/db/GR8RAM.cmp.cdb | Bin 58068 -> 61080 bytes cpld/db/GR8RAM.cmp.hdb | Bin 21753 -> 21877 bytes cpld/db/GR8RAM.cmp.idb | Bin 2916 -> 2996 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15149 -> 15138 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 100824 -> 105702 bytes cpld/db/GR8RAM.fit.qmsg | 76 +-- cpld/db/GR8RAM.hier_info | 96 ++-- cpld/db/GR8RAM.hif | Bin 426 -> 425 bytes cpld/db/GR8RAM.map.cdb | Bin 22058 -> 22993 bytes cpld/db/GR8RAM.map.hdb | Bin 20463 -> 20565 bytes cpld/db/GR8RAM.map.qmsg | 42 +- cpld/db/GR8RAM.map.rdb | Bin 1253 -> 1252 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 18080 -> 18217 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 338 -> 338 bytes cpld/db/GR8RAM.routing.rdb | Bin 1554 -> 1501 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 18027 -> 18144 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 28357 -> 29115 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 9800 -> 9781 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 64781 -> 66992 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 901 -> 921 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 192 +++---- .../GR8RAM.root_partition.map.kpt | Bin 2980 -> 2981 bytes cpld/output_files/GR8RAM.asm.rpt | 12 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 228 ++++---- cpld/output_files/GR8RAM.fit.summary | 4 +- cpld/output_files/GR8RAM.flow.rpt | 16 +- cpld/output_files/GR8RAM.map.rpt | 74 +-- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pof | Bin 7861 -> 7861 bytes cpld/output_files/GR8RAM.sta.rpt | 528 +++++++++--------- cpld/output_files/GR8RAM.sta.summary | 8 +- 40 files changed, 737 insertions(+), 709 deletions(-) diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 6fea4fb..8c71879 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -19,7 +19,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, /* Firmware select */ input [1:0] SetFW; wire [1:0] SetROM = ~SetFW[1:0]; - wire SetEN16MB = 0;//SetROM[1:0]==2'b11; + wire SetENRestore = SetROM[1:0]==1'b11; wire SetEN24bit = SetROM[1]; /* State counter from PHI0 rising edge */ @@ -58,12 +58,14 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, always @(posedge PHI0) CXXXr <= RA[15:12]==4'hC; /* Apple select signals */ - wire RAMExists = (~SetEN24bit || SetEN16MB || ~Addr[23]); - wire BankSEL = REGEN && !nDEVSEL && RA[3:0]==4'hF; - wire RAMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h3; - wire AddrHSEL = REGEN && !nDEVSEL && RA[3:0]==4'h2; - wire AddrMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h1; - wire AddrLSEL = REGEN && !nDEVSEL && RA[3:0]==4'h0; + wire RAMExists = (!SetEN24bit || !Addr[23] || Addr[22] || Addr[21]); + wire BankSEL = REGEN && !nDEVSEL && RA[3:0]==4'hF; + wire SPITX1SEL = REGEN && !nDEVSEL && RA[3:0]==4'hD; + wire SPITX0SEL = REGEN && !nDEVSEL && RA[3:0]==4'hC; + wire RAMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h3; + wire AddrHSEL = REGEN && !nDEVSEL && RA[3:0]==4'h2; + wire AddrMSEL = REGEN && !nDEVSEL && RA[3:0]==4'h1; + wire AddrLSEL = REGEN && !nDEVSEL && RA[3:0]==4'h0; /* IOROMEN control */ reg IOROMEN = 0; @@ -78,7 +80,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, reg REGEN = 0; always @(posedge C25M, negedge nRESr) begin if (!nRESr) REGEN <= 0; - else if (!nIOSEL) REGEN <= 1; + else if (PS==8 && !nIOSEL) REGEN <= 1; end /* Apple data bus */ @@ -104,7 +106,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, if (PS==8 && AddrLSEL && !nWE) begin Addr[7:0] <= RD[7:0]; - AddrIncM <= Addr[7] && ~RD[7]; + AddrIncM <= Addr[7] && !RD[7]; end else if (AddrIncL) begin Addr[7:0] <= Addr[7:0]+1; AddrIncM <= Addr[7:0]==8'hFF; @@ -112,7 +114,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, if (PS==8 && AddrMSEL && !nWE) begin Addr[15:8] <= RD[7:0]; - AddrIncH <= Addr[15] && ~RD[7]; + AddrIncH <= Addr[15] && !RD[7]; end else if (AddrIncM) begin Addr[15:8] <= Addr[15:8]+1; AddrIncH <= Addr[15:8]==8'hFF; @@ -127,19 +129,26 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, end /* ROM bank register */ - reg Bank = 0; + reg Bank; always @(posedge C25M, negedge nRESr) begin - if (~nRESr) Bank <= 0; + if (!nRESr) Bank <= 0; else if (PS==8 && BankSEL && !nWE) begin Bank <= RD[0]; end end + /* Restore state */ + reg RestoreDone = 0; + always @(posedge C25M) begin + if (!SetENRestore) RestoreDone <= 1; + else if (PS==8 && BankSEL && !nWE) begin + if (RD[1:0]==2'b11) RestoreDone <= 1; + end + end + /* SPI flash control signals */ - output nFCS = FCKOE ? ~FCS : 1'bZ; - reg FCS = 0; - output FCK = FCKOE ? FCKout : 1'bZ; - reg FCKOE = 0; + output reg nFCS = 1; + output FCK = FCKout; reg FCKout = 0; inout MOSI = MOSIOE ? MOSIout : 1'bZ; reg MOSIOE = 0; @@ -149,40 +158,39 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, 0: begin // NOP CKE FCKout <= 1'b1; end 1: begin // ACT - FCKout <= ~(IS==5 || IS==6); + FCKout <= !(IS==5 || IS==6); end 2: begin // RD FCKout <= 1'b1; end 3: begin // NOP CKE - FCKout <= ~(IS==5 || IS==6); + FCKout <= !(IS==5 || IS==6); end 4: begin // NOP CKE FCKout <= 1'b1; end 5: begin // NOP CKE - FCKout <= ~(IS==5 || IS==6); + FCKout <= !(IS==5 || IS==6); end 6: begin // NOP CKE FCKout <= 1'b1; end 7: begin // NOP CKE - FCKout <= ~(IS==5 || IS==6); + FCKout <= !(IS==5 || IS==6 || (!RestoreDone && SetENRestore && (SPITX0SEL || SPITX1SEL))); end 8: begin // WR AP FCKout <= 1'b1; end 9: begin // NOP CKE - FCKout <= ~(IS==5); + FCKout <= !(IS==5); end 10: begin // PC all FCKout <= 1'b1; end 11: begin // AREF - FCKout <= ~(IS==5); + FCKout <= !(IS==5); end 12: begin // NOP CKE FCKout <= 1'b1; end 13: begin // NOP CKE - FCKout <= ~(IS==5); + FCKout <= !(IS==5); end 14: begin // NOP CKE FCKout <= 1'b1; end 15: begin // NOP CKE - FCKout <= ~(IS==5); + FCKout <= !(IS==5); end endcase - FCS <= IS==4 || IS==5 || IS==6; + nFCS <= !(IS==4 || IS==5 || IS==6); MOSIOE <= IS==5; - FCKOE <= IS==1 || IS==4 || IS==5 || IS==6 || IS==7; end /* SPI flash MOSI control */ @@ -214,13 +222,13 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, default MOSIout <= 1'b0; endcase end 7: begin - case (LS[2:0]) - 3'h3: MOSIout <= 1'b1; // Command bit 4 - 3'h4: MOSIout <= 1'b0; // Address bit 20 - 3'h5: MOSIout <= 1'b0; // Address bit 12 - 3'h6: MOSIout <= 1'b0; // Address bit 4 - default MOSIout <= 1'b0; - endcase + if (nRESout) case (LS[2:0]) + 3'h3: MOSIout <= 1'b1; // Command bit 4 + 3'h4: MOSIout <= 1'b0; // Address bit 20 + 3'h5: MOSIout <= 1'b0; // Address bit 12 + 3'h6: MOSIout <= 1'b0; // Address bit 4 + default MOSIout <= 1'b0; + endcase else MOSIout <= RA[0]; end 9: begin case (LS[2:0]) 3'h3: MOSIout <= 1'b1; // Command bit 3 @@ -307,6 +315,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, 4'h1: RDD[7:0] <= Addr[15:8]; 4'h2: RDD[7:0] <= { SetEN24bit ? Addr[23:20] : 4'hF, Addr[19:16] }; 4'h3: RDD[7:0] <= SD[7:0]; + 4'hF: RDD[7:0] <= { MISO, SD[6:0] }; default: RDD[7:0] <= SD[7:0]; endcase else RDD[7:0] <= SD[7:0]; end @@ -403,7 +412,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nRCS <= !RCKE; nRAS <= 0; nCAS <= 0; - nSWE <= ~(IS==1); + nSWE <= !(IS==1); SDOE <= 0; end default: begin // NOP CKD RCKE <= 0; @@ -432,27 +441,30 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, DQML <= 1'b1; DQMH <= 1'b1; if (IS==6) begin - SBA[1:0] <= { 2'b10 }; + SBA[1:0] <= 2'b10; SA[12:0] <= { 10'b0011000100, LS[12:10] }; end else if (nIOSEL && nIOSTRB) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; - SA[12:10] <= SetEN24bit ? Addr[22:20] : 3'b000; + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; + SA[12:10] <= SetEN24bit ? { Addr[23], Addr[21:20] } : 3'b000; SA[9:0] <= Addr[19:10]; - end else begin + end else if (!nIOSTRB) begin SBA[1:0] <= 2'b10; - SA[12:0] <= { 10'b0011000100, Bank, RA[11:10] }; + SA[12:0] <= { 10'b0011000100, Bank, 1'b1, RA[10] }; + end else begin // IOSEL + SBA[1:0] <= 2'b10; + SA[12:0] <= { 10'b0011000100, !RestoreDone, 1'b0, RA[10] }; end end 2: begin // RD if (nIOSEL && nIOSTRB) begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; SA[12:0] <= { 4'b0011, Addr[9:1] }; DQML <= Addr[0]; - DQMH <= ~Addr[0]; + DQMH <= !Addr[0]; end else begin SBA[1:0] <= 2'b10; SA[12:0] <= { 4'b0011, RA[9:1]}; DQML <= RA[0]; - DQMH <= ~RA[0]; + DQMH <= !RA[0]; end end 3: begin // NOP CKE DQML <= 1'b1; @@ -484,12 +496,12 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, SBA[1:0] <= 2'b10; SA[12:0] <= { 4'b0011, LS[9:1] }; DQML <= LS[0]; - DQMH <= ~LS[0]; + DQMH <= !LS[0]; end else begin - SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[23] : 1'b0 }; + SBA[1:0] <= { 1'b0, SetEN24bit ? Addr[22] : 1'b0 }; SA[12:0] <= { 4'b0011, Addr[9:1] }; DQML <= Addr[0]; - DQMH <= ~Addr[0]; + DQMH <= !Addr[0]; end end 9: begin // NOP CKE DQML <= 1'b1; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index a52b2a4f3a7c9c77c7bc0aa7b3e24879192ab60a..702d0fae9a1130d83d5a75d090f88e47bc0524af 100644 GIT binary patch literal 25545 zcmYIv1yG#95@vt|g1fs13GNz#26uONg4^N&f(L@TL$Jl&-8H!D0t+k-3kzJ{dv$m9 zS4~fK|25q`H9gZ)Uk~29ckilE;r_Mn-Z}jHc>YmsD>rvL7iTI?K{ie{4yv!7c8-=* zeEb|#9D?jzTGg;9#&M+mUbTh{+;QD|L+i~X8$GcS`hw6|I?0H{IBl= zch(iusIB9-?H%s4I&5KXLCWvepXqsCTe{2_Qi(l}rIT2*<7fJQ-f-BKW>L|T-Zp$h zhqtJ&d97Ys4?Sp>wW$=@^{0Y{_Jn;Mq4O1&(tOx2Kjxq>6kZvy{X1@*lOzPh>z z#oEtLFJ}R{-r_brpb`JAXXE$t9lIM1GlBOv&#Nne1m41Dxj3&phoGb$fzD4+PDAvzhw~Azy|R`nu$hOn zar$~^5j+$uX@CoIQOEqX4ZAkz>W+fuZ57oazh!;cu6wRtO*7VeC<=K;6!pcHztTvG>*v{;a)N&Zsk+z+-n};s@ zj?LSGd#o-RV*2yeZ(rBsV zqDQsh{_J14_j^9<=K0YNG>8?ogq*73T|YyZ&!o{b&Hiyw{INCK{&7=KJN%d#Z_O`x zW!h&WW1Bfte~4MOy8iCqcraY7w*J-0DJykgLl^?vF#qMPIlUJa)pgRaZf;!SpSBS^ z{q@zulKcJjhI`&0eSnmo5)-Fo$<|(2uF(NoZDedcy#FW_%RfgK_&zh2Iq7REuQ!%w z^WE;z#@4Kw+j`}^X5`!CJuAjmsFybaMNB)LCgKU<-7;LCJ1Kvetkls{jR+@3A(J?< zoadszNr)ZfcUJ7Pzu=?3Dsh{v+@FDZF8dPz7ekcL4MJmp*@e5wxwG5}I z@pin~w9P*=3Hr&g7f4!Z&Glcsq!d}UC9w6pQXhbbL$TU1L48*xZ1%ARK`Ue|=G?hY z2#Xxg`=yf|6b<6v5r@taviTHCbz1nPy~Rl~HY#xZZP{Llrla?sRO|~oP|B1#IpbTt zWHxrJO=RV@JXcwPdDK#IL2|_ zFi2V`7?+;U_ny)0C;l@?Y_S`vj)g&j)8*v|+^Q?Defi)|=LCoE<879)7E7oRRgV@o zUv)Zs)r+#(2aLm=Jn&v`!PV_q-`NvREWy>xP~!j``R(eWm9>@nuEvHwqf{zAhXXe? zhdtef9xsHP5z0%y751(Pf2Hjj1Z6V~tA&Ob!PbHJ3~?LWiaKf-ZlDn_!7hI%_9rH`*UP`D|UT`OT; zXh%^=lGU91Smb{u2NNXk(i(H0>ABpnv0tK-NQNwIlbXr)Ez!^ZY(pe+pelNE(*+KG z_{;d$8H(sboo0`V8^KRm^Y|NpJb4)`WklOUIonh%yeI#;x;NMrpPyF?S!c0cO1F6I zX#{+E1F2Vj;UgC%4NqBbSd@uI^SJ_4W61L$O3@&g=Jq8B&{8)tkzPIFbM4D8e^u4{ zQ-qP=H8ZnsmMw8rNWH_y8G;efB5_xa@Jo(7(E3qGB=yWfgjKuQCd;Vv4fgJ5vU;at zMIRt};FuSUm2yxmm1wy)-ynWQfI@7w7c%fp(!1k>(th(Ao6h#o_0YL(Cq64h1=y-@ zY9^pY2>4NYC_(s9afr14okP8N+bC?4!Q|HbdcEe$=4&Dx^N`)k$a^IiPgv z@@NO)>q|B_jF?_+(j0jPb9O^+4tiSE-`y#AIGnfH@sQ$gx8yJXJ*o3eQE3I&%Sdf z7{}@yFn-OWHr*-&OUJ*Wytcwm5iVwH#mRgR>?xJCY9D)VOK3W0-D}%mVN@MZyiGP-m)>BU^0FK;POb{sCj$KVczC}viq&{WA1dVaU4Oq3VDu)$t z-^CQ4cam9B^_RLQkw z15z-|nVuWbXxZb~3(tUb#62`-B+Z3fJN7$7Ua%>*2E_C+M>dCXL)& z^^@!b4#!-{yV2;eHu?&7xpWLF+?q5Jw?pR40uvHRpod;#0sh*Xmv9!d&-WX_-Qfya zY;x@h(=CyM;QWK@ZqaJK2JhICK1b0r01s2(aq2B;?6!G8)}va?5WrtGEz&YgXghQ_ zz@O*F>DHup?2$IDEPG=!=<-HvtrGlvQna`iiAH8*ZjL7w3d#>$F?p2uB(cOSPDg(F zvg3V$5wjEpJ#$mlw(dB*7VEE-z%K`wMut>fRyZ=K?ig5cFNm&%=i=h3ob=+Xkod4E zWKA`r>~~s@Eti)17ZY#){UHQ(R9(rl43q`o-NdHoYLD9*N4k8Zto_OHn1OLQWmkVR zq{A6WmpPbGM!ei%ugR&K!g^^tP&C5<8}`xd*_O5Fh&>Pe@QII4LyUA}Vug*9G2!NY zA_oO#5fNq;@rCWVs*Q^Ixj0U?*7@?+o<}q3>v`9%$(go`o%kS=&T~u$WFuuj3`Rgj z;AR5FO3U-MjoN}qpzH+I_Be>*Gx}0pA-VEB4weF;{A2cP>Aa06E!FGG^89gSh#u^* z%H+z&EgUCg!@=eC5f+kw1QWGS$QzIClOqqSo^$_gMt6u?^Sp#K56xS|mub5yd$@2S z3dN_nE?5Z=%Ce5yUamZ;>|2;)@TvNi!IIQJP+;r4qa25`HTrU`Qq5jfLXgWUx{txx z`S6?(Pm~fslWRj9$$To{d!qt?F0$8Ps%(2Ks^m5EKkoBf>^QBwcE;a+VO?@M0W?uL zM&ID2!UM9z_^Kgd8j~uk_OUEL9|JNNYjB~b3j@|&@+2|i#E(ORSk9E9}+25}^SVftD#vWGV>m1OH>l;FR0hh!c8P-yfhltE{5orAHuiq zurFp2q*^60|3AZ-h^nXLxF%YuZ~~AGtPk$uk#!6N7Sbjt!B22}mN4+Z%Bq_AF}@%~ zY4GpoIS$j6eMfestD zOV9eCOFqw8W}%IA_7dX9N8nWQA>Nswba`ORo)x3+V-Gg*FaxRDGpo?0J953Tc z1y$#O?i|8BmYRvQ(P}|rZ_t^B@V_S03hx^5IWk%=^(ixY^6`nc-c4r@vIe^Zy@aZul zZC14D>0Q1ua!4_xLFDr4JCrt~Ga}bUPjZC#uw%`HFuX#VeC<$^l`6mfFuaYh*=dVS zFdgAK+z7vUEEr`dPEg6l(*yrq-yZplev~+!``o91>l`rOv*7AUpsXxgN8AU+;J{Rr zNuREB=2GV#Gp!L}gYX|#ovMtlsDEnk78MK!SZP@!1c6D7X;Kh?>*dx@9V$xsJbMcH z_hbA>s8SzT%jPatk34nFB~cg(@K*IT{LYJ_K-u(PIK8&W1zBpkB8I2yJ)eX)Ki!W@ zEJn-}yqP5^SLtF%6aBb@*PEQJ0p2f}k}k?{Zvb95Djc{I(x|+j_NSEJ3MNqx`X=lf zU?;0Qbqdg%-&0Ur6U{@FbU)E@jg8W&cACg}Uif{J!u)Y!nb3D^pxHOw&%Pua=b45i z8Y`GFZ#3#F5cIyzw6Ja}OJG3Gg&qdVI@Z^mM}wrCxg_?n)(n1B&73;=)PmmM>S%7& z%??O{Gpy#IM{m3^k%0C5GLfsQnh45+pe896naI@wHX1)=U;g(}^B$a0n+aEC^vTE} z2#(g{Bae((BadZVj2zm)KXIJh5_d5|q@=<+bqW@+t0T@=6)B#ePnJJ)kdLCCV~Hcv z!fS*gxNN)QR)Qo2#&GXY-|vU2uLYv`18Sdvma*8FSaUVxRBzQQeM{q?5apg|REkKZ z9qzmz;jSUcww`2ERq8UXxVuP5i4GD&t4La6Uvh0Js8lSKsTiEgrx^OOwa7bozLaJm zjf&%kwZp+%02SWe)xlV33O3T@lxW38h{!v1?FW2}q}? z#ViRhRenkVm_jvMT$?1GP%}Jbv5QY)2Gq)Rd}bzk)OpVI2g>Go3r}(&0l&-U8MF4! zkhm3enT?nT28kR+EAIsimb2x(6;`aMGo|!~2nJh*Cc%kQ54(bNFPIf3T0$0cX{e{b z0;2}1rDl7l;`|==qMymPo42{wgW`$Sl$OOJi)2h1I5QIp#XCG%9yj?_F?iX8UKe7E zWS?9rwy{ERwh$$z^OiXGzW7#9tBRGrd(PzkHIy~fI7Cqx{*~@-JQj^O4cTrvy~+XG zQS(df2N@S;+!_nAG`~OrR`P33*ZAn6-8CzZbO}dK&5fMEioRhNxo1HC!$dl>G%{Qu z@k#FpV|={oT0)c~EWGMz<0?T02B7Vv5&k^b0v=q^E0f z=P=A2O+l*F{(a4uD$`o(yAMc!75T}Rsf#VtAkJ7HI~nhj<vAjpDF z`(#L|hTW+3&@a(5Z~JQ8&&f%X={^NJlS47g4>Az5RjG1sU&n=#V}BuYlj1?Btu?Jb z>jJkV3?9e%R63`1Se3|9Y9iO!6TOHAOl0Bn?!luacknskkH3f+xV6Z97%>Ysewr&& z1K;U#8Uut5Y*-+b#!vWF%w2(iAFICxE0-nqA$fP)!d(50Y62`U2HiKnbquXBtWY!k z^*P0lbAOBe<_K4(K+}gKCo$zD{&R@Bnb3(&bO4TtOunWMR$8JFO-Vm(+;gGk&R^i) z8P<@p(3hta{n6HhUJYKB#{q?W&7Ze{CEX%^CH4f6JR|s$uga%7r${fs>H+U_QT%-~ zs->XgIK{V@q|q<(FXqEtG3o$Pe7-upMEhNYMT=8Ty?gb(1&f%2;_W{ z)ARW5(3x-IQe(pX0<=1cAu&yVTU|EUei-+dQlbOi`2-rl!skAflDy8lD6m{ey1#-9 zmuADYD6YQ&GfBr_4TCXe!(vk#7wlbkN8OuQTZSt+f3VqQ+gehSQCGY3wk?+$i4I$D z@_apaM?9E6d^NpVkNFwzm$q2!|16in)IwA}`NM#~__=Y8B`3(6iN_!>kiBK_tV3QW zMxj@^!HIa)2kNg-sP}}ti=)v^yq<;)J@Zv*(CKNS%PcX`y5KDA|Cg226HTS_ND^Qq zLjDXtQL;vvpLmxlkU`b(O=Jpnl?wOfj>>)Xc6#c&kHT%1jJji`>M~Cb6Vm>XG!fr& z(1aWwY6~FOzUtyx)w|(QK zfSE(@fb0Of2SQFtN6NlZM$dfUiQ>Di*HZ)FDc%Jsg#_H!6``ws#D!s!0R9zTH6AHv zuB{)AGa81qwCHrRMZ4HFrQs6+1mR%c%J;`kYIiMcFPb4ob zU18&7sv?JDMlQmdu!)ICVDU#O z6_qQo%PMCgDypNu;(Y!B=`uaI#eqB+X`el@^vX04i9j3+$1aq=8bOdrW0!*cB+!5o zqf_trI4B>zGXQSjl}eQ1Zs3$m4AI$u9E%|E!Re>W1uXr)0J zwpfSvQ@C=(At6m5h{x2mO3J8i)xUn9%}L#CL4bk=t;2B6N1#-xSkLoT{42_qjOPli zF8&t%?iAS6@W6+=U)au~s-+HJ)J;O*nOC>svKb|zqnkMp^rJ{$Pk)XK%*84r zh5{FBlW9S;QB-A=n2$?Ke1h~bgum%V2I2>LfA$H9h}nyyoyj9?)sYYht{q{(KtW`v zn`Itke_cKu7vra1>_vxGKL}>N%2xLo6kxFUW1MJxz1`LlA@&qXog3XQm)pQ+ zb~hDcK09@V3Urhh&bbJXMgKZUr%A@Mvo7`88^wBJ1c7YTgU`sWxX0*(7@kVDJ?jT(2efpqdPUZ zP3^z&Vk%sjS6^lOfuKVZ83Wf)7xg~3da9I}pboMD5wU=+IG*1Zugk~R=_VJpfkpmU zQQ4pkk@GDNRNs{wQmsJO!t%_s4Ev?9)VZTHk)UZJmK@mM$66S)7NU?WxA(dFaX)&b^5E;VJlWRjcAYvb0Axg zxs9pI!v*?25ja;o%gwSlqY?Qlfqxw~W}pMz%N%=xYVu7VPm;j# zgq$;m%k;~Mpi{R^in*^zF%sEyIJ_fMk=H-edwHMgau=m!iSp`e47oH~+ z+c$=$fA@$pU0-PteS6~XC6gFOia-L9ra1@1_F*fB)MDLs3=fF{4=IwBS?k`m-?*9(9_Nz;? znGdf4UiWY{U>_3%c$0&f{OtvS_EN74gKKX!MtsB$`%CAKkl?+&=CjOwC1lKYEm+N; zm-Zv{E#&Du-=LLySQjM`eCL2~HxX_drS3XMs@Ey^J4&yPgPE_oCO9m~YExQ?nJ=F~ z+zxRzrbRiGZZBevuA)moA!CZvnUS~`{(F=0pPZ;ic`D~KiA)Cf0~P11jbaX=ar+Hx zx%)8nfbDHO1#E4n8L!UMbjlH%Cee;T5EFfV!xKr^M!&CdJLT)X@h}}RP~1IX5DW<1 z+4?S%|LAOftzVHLZTBZW?dmaxZ`{dC{2En+{rGVQM(7FZZhd?G)bG~eF~*)4`l6Jq zJ~cqm{V0`yDm45$fMfBdHR2h2MV1Om%i4#s6ICb#>U}OUe*7|GVp#u6yv06&&(E(j z$l7bvLd>M+GJY^@ujdsC6w33AQfZJBVRR_Yyvr}i+z#s$lRQNg5^IIB$Mw{`nX`IE z&D6aWPF;+=oU0FlR)Q?vL`QGJd>M12mO76NhHp&jf3+wyOaW&g8f zoxa7mlj8Z`gtgb0U>tk9vPI-oep^!EjWN})fX3Z`Ot0)x4@4?neBDyJ+~+SM>)tB% zh5Q&In?PwlM!czqE2E+WUMrk(wtGmG3zL_tMqY@6260Bp_ZEs1K$g3j4HOE%JlDN! z@CaxM>e!3i*M2Z_o?GtUaPxXX_~Ef!JZNXL16OE8_GGUo=~)cxE$+dDh=q*MBlabd z-ds_YCGBt0f3~Po!Lk4&EV~1qbx_YB<_4+|h4tH%LZE@d;Z4{f0qY`tj|ej^@syW1 zoqs=L%0Y%Ex2^rRrjOt~@vX`{?B~eUAf*S$3Zi)S?A%b$T+%(ip1`8WS=bHbwc_a` z$20peBl*ayzX0f;gSY!Wn3oWQro(>|I{bRmkh8e&o1wf9#bilV_&4TC(Zmzl#y4^# z&sb2;6)bH;y|aZVp~t>s@G5m+c%OX;wK;>X2O#$_ndGf1{BXhJu${sxgv@KlR zT~riFbfWDE3ID%vy7j1eaQOj67;ogBJHF(w2YYyf%A)vf|D4Za4uk~^UI zI6FL7liD8LAGCPZeuL%OR$))XD&HavudXjR@_l4&Sq)T!cVVGxxKbvq)gw1@9M+Yq zPj>%#n8tJ$b+5P&C#q=iMxOq-kiGpE|p~i0kWDoqU=16 z1aF)wAqIyAH!g%k?)aPm8l%3sKewZ*U2os&#A-h#2p)8Hr{W7b-*uCcX3$Iy&rQ$5 z8954G)Q9thMx@`?g7+MhQhVc3#*wgwCZ!$kYg&z_FI2OKxujjCYY*@+Y0 zQ8x8@PoH&Q^8WZ}uM|fh=I+Yt^qpg@{SPnZ65ej3C?{V0qo}NFhgOS@aX9`6FU%z=g6iD;U-p+xktKV{TgKk6kF3D98NrMBD4jQn>y)SEvr?WA*Y#et)Zpd+)Y~ z2#z$F;4uI%hE`y#@4+CxqM(#1M1n zHfQ}>tjnI}MqffoDJ`CVjJUWI33FaJMX>Vqfa?o&^oz1MOREEw4M z$XbRhel3Sz6=zLO!2^D5nzQV#PWq7P$rpCCK=nuSB(NZY;X(8^fe%hN4!^-uhX@+J zZBXlaU%4PF<^+_O`dnpXMAbATDBbe;oe6src#u z)}f}BAF8YS=1~rBU0pkILaDkBXe0`4frQ}>SLRzf8jrcwl>INaC zyZrHF*0+cuzJiQK#_;@UC2S1NLV~?FZkep3D~LDsJK{0Omw~h}#CB%pNcysbC_o-u z&jNewR4B{xEM25(eg>F7nk)(YT@8?QF$aH%`E;U)dTB@ibLMbSxh?HNv2Lb#e{|R> zYRRVC^uBEe$3j0keX4W2j`&Gqb`H2o%%Y-z8Rnlg^^xzm_0H$uIz~v5z@-6cEGRAF zc6&i#r^l2K)FV;@vIqPKIt6&Ba&p>>lM#rU|3rB6>%kO`SH?Jrgg);?3Y0}-XUb2f zd746WSCC>HIJ!;7)`d*MGMw5Es&Wd&>F7-?BZNn(9L0g+$wg$*7OHK zu-dA7(;i{wif~WD&Gmsri8F?-(cr`=(qzLPaFZbu|C}mWg!ZXwQ#sIP1-fzt*dqMbf8a zL@6AzJ?kcPQ%^m?NOpvK-S)BA>~$RV>QinK|4H{JK<_BoIg6w^IbJobFvE2#LQ7VZ z)a&?NEW}1Mq5-C+I>mG4hjcxE=K!n=n;_&Rl8Ca?!Gu4MO%4N?-Qxtif?HmUXae{l zR&L;4Fdw3w(~o=pSr`KV*n?CS0kE*(VAAANTM1ztrnBEoW5a|1S(K_vz*E*nWas!# zpYG4VKp-aJF6QSMO|o}ACFzCb8XV*XOT&j*9$ga4BJI6Dv?4S8H+uB$lsZiOZ|*jq zmy~`0x8b52a+xr482THmn5Ci0IhAMR3{I^MbgYCp&2>c;JuZ@*&c;kzeCypXjRoAF z#3)Z<`xqo|sqsJ-id{FQymgeKtcpul^Pfk%gL{4pHZ1}AaCf9Qx;kC(Pw1jaUs{Fh zrSOI1&(nRiS41?<3o796$M>M*|8OZYwloOt6R_eG^*Fz8#}VCq&jP8lr!4egW2du+ zeAO_7AF%t@-Kj(Gm(Zg7aMEQcgQ-o5=xY@+8H1K1D6UPlO@`=O|D{_D?OHPg(1Z@f zWJZgq6DXA~mIvWk2T81mfdjH?)7P58oia!ZD|T`1?x!OvhTQnXckK-zTiSS79h9*f zc1pM`&I^~I`Z3mKLt-CQf?RH}P&cQQxrXEBM>P`X+gg^m7_hcv1374ca#ljxLe&}p zs=I6@rvwbVVl;ULqsCt9;EJ%Ts>yY)@}# z(FHXqMM^?ZmjO&7_tG6imqBL! zR;uU4;N;gwqAr#V=3C>W*Ibk>mS_o~;A0c~r@RlprC+7+3V~W5x@|1nXF^_R&oKWY zL{+EXve3WZZ&7>7!#rEy;g&N@pE)-qWL%1WC({0pgXVs*_fy9^1_MYf^pRg^~-~nb_qO);C`d>RxK(Jtoz% zTEy&t3vQP{=x1t;SJ&@f$|J;$(LVapq*82->K7xtrnE9{aZVDyLsJ)+fBbZL!O zG>`p4hz=A8i{BZ9pcrXp)TC(zmVdqJ@ayEyKhlZ-b2z`cQP=0lltYJTfQJR!<X#PV?flE0MLtzt&{+S3xqY0#_tTg;8d8e)dOLZNO>m74kX8F7;bUX5YV@VihZzW>{Th5=YPSS z72_*CsbCEb#<(;2cpNoI^{=!i#|vYE5ZeH&D@Vv!TeR`dG_hEthU4nj)OojNtHtZz zNo*!fM9W$7d#c?=M7<13rA?pNC%bB$ZoD#%^Q@1MyHn!w`=^ocD!6P{x}MG8UYtHW zqz`iOwd{v>ShG(IRtoz^SjT!$K3m?1E-`c7g!}gk$#j!vIWE`9An^!jw<5sReqEsc zKIY$U;gj90dkNykN1EN90&tZ7T@MG994WP9%ZbJ**71CMF)Bd4h#o>DfW*#?i+;ue z&jpExqy#t@#On~@X7_Cv=NsI%({B^LzaN^7d!O!m{8ea6HAuawqRJ9so@BNo6}7&uRCy2zRbU^=8r33o{o1g#Q98BLH_g6n1P1lqTGPb zv8U^c21FGx&Uh3!CxM66W|%gOqm0hW)58sjnmkSd8co zL5Ur?_R~f|^l~;b=a@o{l64e5)w&l8mQx-D2gbs`h8!bapRp?+_+^267Z(J3ZatCY ztrj(QQQ?+?es-iW7;lDqP~>%*Tz-dI!GMd?d`-0UylF#+<9wRPw7yyYZ#O}N^_15i z*N<#4Avly2Gs|7U1pKX^=%!To7?s2dvS<8cvL5dmm3%GZ|6PYGmy$obEnBVb8H8WN z=usekgmX`AzAaGRZQd#2H{O2f@4hQIZz$j`iIqSsWzzM&S1!PS#DtSso382o5#18u z+MWz`z`BYUBSq~)3-H|^vp!k+)-D9e+B=fG31q)*TW4*J`29fP?y7Zs`zvVDqQZI9 zC8oUXRda4c09SN~yP!vHfb_3+-&@A7%3;=@E8T3Y*IsD9TG0K@<1DBbNgGsn5>~|% zV?B)>C>!45YP;^kq%5?@wiK-qj($&3Lx(0C!hWVTxZo0AVNhj76ZfRs z^7AO@@SC#5%*%Yc*t9oWkAbFFz%O5pm~WY596;e6N7;_vIwrXy;+v~E-|(sZf#Tn9 z{ze?7_k5-Yn|CYwuI-E5$(U&gqniuW?vu)^{5f*smy>G_r~3O60`Uz~DKnOXw~6*G z6l8d?cIEu(GZ33TFj|@vmriifEj@o2-w-?^7e_0z{s+_RZ}VB)t2GA^^KcR{b{X${ zDQxb-8T5v0gj1o7h-^@={3q4AgEb8MQl(*&z#8YoH^&oN%# z^Ag&>J*01Z`ChScE48Eft#tzZL!S7*qd#vpF@cG8a^-r^iKY$WYGyaq*wAXLm$c?b zDaxdfGNmka?aSw&H_gt>M$uwsTzfx|5m$lK@6-|Pn$b~hg%p}L4*pti*ZV{BE^A1= zu2x~xmte-RZ;6<}(Lij@bP>s#<9lVWSDTi>eeqk`knWuhbJbJ2CC3Dx{#^-TW%JVw zlj{}o_g|cj>WevMr9^bLMI{X2Ku~j^zYX)98F%j1#B+#!mg$hOg7I`ExGljr^4FY#ND2lG{vd z#JQfc=jy4%>WOS>_il+_h@}T!)Yl%d!jG^VI!tWDUQ%!RiCMof9mQ^)k3FgIZs)>` zs29=EDX{!h9yW7fT}Zv8KJW<#+IpuBR^eN+OQP&(sX$M^t=Tem5*Gp!e778aeO&zP zfD@jaJqHs+37NuH@Nno!6aQJ8Oe{&Rvc`(61Q1I;0jr$w?g);Z@_Y8&II;$Y+n4wD zH`>U55&No6Eu^*voy$qjA^|K~+|Y_?nW+I=3+%_tt(8bDf)o5780(o|C_>Ws;Wm%e z2!5oS(JpdLvAGJ+!-|9;3mIPN|A8>?_({^hOfQ^pf(TNz%O(L}t<&#*Kd3rC(daCi z$=`v6R8A+q#;?a42;pKks9$B*R=?C5f7@h7jBHwE{I2In5=usAxAH!4kL&8=_+>Zg zSCdpt1J5m{kAR&3?Z`|nNT}y$4Wty9nXc&m99D5_p}QVR&Er{d*HYhIXS^+L%>}`n zZQP6IH{SHsEb>V6Cad}-6iv+&Zo!<7L_3zQLVfjlLwYA^4Lg1*v+j$}D?6)YLkN6j z;k8|}qqNs^V}g3yEzovV2Pw%A=D8&d<~8LpUZ(41Y1?%7E?beU8XPBJDr%csincHl zCg;<)EvRqPnoD^=N+C$p)$Gw3FU3eGZwKa*NjCm$+1f5bu~dFok0CO|N&BP?QiOBZ zVm8Ce!5yvqyy|dv}#k>+?tp<#3M!3ZAj57B{#zcgGoTd(bE)gm+R%}q4Cj<#e!9H`q* zjh2&evRF~Fo6wV9PsUo-{-l=?!SRIob`@?uNwivWk$k;4GOA-PoBlzeRVS1qh)=Oq zN7ery^LVB(&;NeF<`%7(Mi9p51x^&?e&o6rB#2>`+xmu;?b%@{sT*2GRYI)`3;2NW zE4gSiIQ}B(8rw26ZST5@Vp?Q> z((M(_<472%Z9LyUXM?C`DPKdMV^2w&X-h%N6Gx225HsvMld|nc*I~wh3Mm8Oa$8b* zk`PxT$4Eh1xh7lG55;HT^(UT=KVDxttGB%P#BQ|p3Pb!2$2Ey>fBYuZ!!F{&HD(9I z^~ef8a2!=it&5l2dZ$hw{Vn+MmCglqm6Ublm`}xNw^*G@6 zGDHy_F9H?E$qq2(&(4)ix@?%k{9c2XwCoF}0!ahia@P?0=0LHh%#>Et*-Ac2o)MQx zcIDO3m4tLhr)9SQHCRi}X*s{J`maq&DnqC@(-^CKW1Ip5NunE8$OD zX!nTra1=@yBfXwq?$iEj>=&RfdBHR7?HYU3O{WBK>kHt=#jQxG@W<_jg|1yFiW#$x z6l3aSDEo5k5_ed%m*<$2Fgqxf{Lz&d_Jv{PY-Akca#}aKU22qzPknQ~4sQdogy~+Q z!Z>&y%Nm7#!I21H_<8xYTm-H37CT?UH?A;G%BQueyl#s!#ydHvlULi;WXOcw^Tn)T zuSlNnMcXh#@9KUfdK_@H{)#pxWyk=dS$;NrUxz3o-hvvKgR?OZgC&HXg_mH+QxIcxKrK zTKgHa0)4Q|I{2s}Htv_URUnX{YzJ|-?L2l?Ju=afhZ9sNFhUna^`1iMA1pu zb1U3Kj6e?7<%-O`AT*(oi4p6;+8*8iI_>+*^tdI&zry(2Jlu_Y_OfUsl#&t7OZuKC z>2>5=e)rcv`mGrz$P@b$63{S-^$&sn0qkf9GZT+;dFMQVn!{CW@VhUW5po-CzUTFG zvNN#?fk&6o4u4U80vI~KI&?sUd6!Z%OfxfF zf~oH&_T7CEwzyW$R6~~O#TZF#yBYN`VvhPy^o+fHpoStQ2TRPGm<@3{$pFV(?4F6e zf6!XnMdvS5`Vs%0-gW(umQuI`_JPh%ferXR4d^~)sDZ!neYV)zvdeyq=sUz+wpT&5 z`9}L&xGWknQ1mjF^7wyv|3P%|N9g(8Z?RchS2kek`&|oRO1RLsDL>;mzzJc?lTjg_ ze>vE@f7g?k#mNC8L|#g2*>+?(=DD&O?A_V>n^Z=KvaZ=|762NC6rSO= zVM+)1$2!Cri-+igszT&DN$0@PtH<}4^jrK3&jS?f5=Q*bgWwIerOXHAt$K=o5r-IF z;`;10m5nl$!I5`d86_D^$M%=6RKdvg%Iv|UJ|o$ao*15+d^u+CU5mrZ@8{H9CwESV ze54QTKlf+|pqLX={`lOC2plKM=Vl8D*{KlR4^7eH&@K{4qTbkpIRE<~QXWq_2Hgjd z3jYn}KqZGl;r^^S;cAfNmNL(u>P1(HHt%M58MGW+NB!*s3H5fl zPYt4@d@Y!__GXXpL2kk=)LXl@4Mi_Fhv4iGg-9qH&#@bRI1=-Sm+(rgdPX#g`y5Yy zZN!P!m};j`+!$oBpntPfR6^|T;GL;Z;NQXec=rouvu0}}i4JKPU%ZYa&)XIM@cq$< zWVf*&<6x-Jp93)cvt9Q9O&Tzbh{Y3Jf}oZYb%PHsLFEM#9vEuO#(KIWq6^1*atqS zc#CWQEJCjR=|egM+8xnwSoMY2K-Cjko2Y}hAUgxdtu6m!*? z8e^i~LoU*@+)N7SdX#v&zq5O5^>XjzB%(u208GmkUM0&-TyR9gC^Lh z|E_;{rY;?Cwhr7e3T7s9ea!F8JRl=y#b~!Iz{-A-R3L#Yk<9$@{$Gq+=;qQ+(PZD; zF+Qiqvgd*hIAQnju0&cjLVf$$RQ_V=F zlb6S+?~M;O?gIYI=gWlw9-!1j@p0jOuK82zQ9=NmZp6Q>l(T04rsud3ut}2lR?<0f z)A$Ru*!C6XBH^_g!&~7v4Etr9<|OkR2JevM&SW~Lec$3p=nx0uL=&cCN%#C$F5?-7 z?GM_}=gfPnArZ_OxzXhru5G6HY&@<|nUerW?uFz~gX-LeLolSARbUzUuzoWR%KgQ{oAim!UxK8?hDwH{zcQ!WA{Z944^6))Nf_U0v(0 zg`TYocT&*>&gUfUZ~is5{Be8J;5EH35VIs_%m*$`)g=AEI<%V7@7?zPa($U;nx+6? zgtd$tMVa@R8-4FVTXX;L0m6b8Hb}fZl_9<^S`amE+YB@@pD~;+Azet^wtac1omC73 zMe3`6TgvxRfOIVO!9c42%EaQ+M5c4ST<`l1(u)1(r|zrF6)3`>!r&I(p7k}61St1@ zI{T!4JiQAT(^`?SXFb3t`41~-N|`fnFB~GpO+M1b)>5Tc>geDw;>gmqo2|;?kE{uhEfd1 zS_l~T928B({+2PSh%-~b&a=qeCn8JWocR3z@+mQrT7iTi-`@dQTBXg?`@XCs`$Yt} z`*mFR&jLqAG-Na6eMFlUg(rcm&J12tqhQUS?2X_6SDAY)VVCk4y;O+`QlwT z{NTrQ;OAO$Iaf>0nSe7f@wIye5nZGE-Qqn?zTe2N!GIkpJSIVk@7IHAdRs(}~BU^Pu(UQRv_b5%6KgKo?(a;OUSJgKwA zOSE;&t@y!gjl5nzvo$n;d4As3z{~>FUe;l@uA+&p=~qS5Y@OpV#<4Xe^6R%t8!Xzp z9?$E?Z%JU!L^E>b`i5ZVTr8PHz_8270x$AQc(a0eyLdd}^=;8L|9Keka#3(#*uld# z=;~q(o~Ie5NU|`OLX(oirql+_DwxqU*xLk23?-UE?!2ouWF`8J7E?=+s>3gtZF^zI zmIEIbFiyWQNW*2A^vwiN2KHFd;k#p(K;qfgU*9JZFTp(Hj@6C3{d$mb|0cn9YJ(z;r8i#R{ldQKAjUsbToyqc;JK;nSK6|eTW>M9UWDJzOub8#P8C*KT_75N ztTgxBXEqmx-d1Diqw2P=AhEID(BB_qPJg_i533ojSL+S^HwE^F1|9d_(qXakPJwO; zBm=GssPbQ~>cbafbCMM3H3_jqXg$rgv*Dn1sOIc(Y-Mywlc_`uG_#)blB zL1VGWz}mvV`g^MQV)niwWlsnIVeV}xhU~`0-&M1m*$Wrri;W<0%-IYo2aCQeWho@X z9lO7{`!%Jjb-e{gP~jPY>`-;$SAv<6qIq1~9ZF`V$IVPXCmIPmWdKC2%cWTs{Bfr# zB6&-*sDONDOP|I}1@i5JL4~Dv3Y6>|C$a^#SX6V9DJm10`kyrQ|FePxeJJK=ul`hF zA7k&-Cxid>k^p7(-xkTC)Mqr38$f2^qEl6%RjI$vssDbp`cIhp>e>rZSP(saCME(X znfW#};ajjHdJX=QhW~$we_`Fg$D5zEa-HqM?Ds1HCH*mE6p-F=@+zpC@7y4*o4hv) zok0rbt-?MP=tPJw#vPTXbbxM4_X>0oE?M5tud97~fv&}Bo#X4!&kHa&Jo;Io+mLPG z{^>$6F$%?m$0kV7=r;1FFNTc2&26iS4jl}K*SVd7H+4z}bnd9u2xUlg#^~C1^nb6x zaFA#;9E0#nyT7QvLCQxk+)W*P@u7V=JTutqufFxFPGK;IPI&T;I<16!0qFnz`6>1K z?R!l7v5;GAB~Hs7w~s@RUnW@;WqDX{#S38exwkWL&hQL}v)@+OMY6 z92;E>PE3@2WK*%aP2@gWtMIS{=zRn~z!Ipdyg6pg*v1Ja$`dMMZ+SsoZ9fnC&*GDh6fHFjY9{W#P^FaFrN6I@~nFtG>B z7LE+V9nT*4c<2DYibsYx$oZR}++ZxET&w4AhyR)8zrwarr1-b!(s$x}eR&32j?ZQsjB{RH z#yCrFgHOoTrxk3wAQw-Y2(?!G$++}i{a8u$AhP@l5>qwc7m8Ui&$;&GL+d(7IaD6;=#q|M& zl?JgCBXVKxIX1J4vQ_87F`spq>>mt_`P|T$J6_^xNm07+-1OOP&nHZFiwBifm^{ZD zf@kq;r@&;-@RpL&;bKC>!&P}HG#7tXYSD2^$0;2&>E|#UFK_IP|ae-e0lSXYJmG6XIG^xXH#o z*C04|GYT4qL-r=N0;WUsf4r%{jSuqg^Wgwi}->HX=e;D};inHv`DVhIK#EBC3 z+b_1qzu4`%?6&`pyWt$sbMu*E!Wfj#MHz2Sy9|kcyKHCW?45;db@7ns#W*;n0JXSN z3LnVUXXJdt8B`y>)3GbgX^p!}8t3qcQ&_tVPO8hwpoeMNje$C zo1!i_@1+Ev2CN)TOfR(-EtQ7tCe zXoGf3oO2^W1|P`ccy;UdMOzPQ@k!kjoGxyx29@o!7@aI8OFTVDl3e+_$!;?HK>G0D zrmL+Vb%}LQ8yx+A+WA-neXKNx;2EC*ko(tmaG&PgmXi9>D-WBx;g2&w|nW>5I2gv%+%Ee zTa`=NaLh~7B`+P-wnglN(^+%8Pnkaj-q=T(973xDL##W{>UhVzH#vY--XSdB zgZ0+<*7i8sIm9aE+z>P8(T+Iib4iQawg|$rVJCRn^ak^^-lSgsZLuZVpx+XVh!=Of zl9KrfHSo)m2O?ha)?_VWIlmm1eun7z7PP{t;8-479T3aWI|w4yd49($>ywgL=b(k)&cXbUgt25Vpz%1Fw5rIs2DKJ z$hw|q**=3{BAe{x=c{UKZDq4rA^qZ$p zGTrFYuu^vnX4krVE@PQI0_JMXB5QTmN@-!4Ziqm<{Re}yEQilt?Kj=QP%14f(+V1v z*E_IGV+70%GRnrmSe6klcVJl-c2*PS2DXJ&TC^;iXN5Lx-ia7`WxRfL5k6&?z-~6~ zxEFy_8$RVAcvLJe6fC2}{fHJm<-pq|qrQiQRqBKVtYKLWby(gmST2T zXTef7uFO6dfsYgGJu%fn!Taar%?ri&imm;6f*N-6H*b$!ifpMBn!UwVcTb9m;9AbJ z*SdxA}+5EH`Qyeo`7DMwGK(S^|vE%Dyt4;ucNUe!HG&{(i)bR&K&g0SN92 zed_jxp2w(Klzvewwa2LWqZDdWU9MGLwp0c-&8%m>(j)9oo029`d(qDHkrkDo9DYq zMmSvrGWM_lu|Av=-!!kmcaOq?J68HA`8V21e4nQu1>BWlc5-08<#D?pzV>O!I=&3P z5YA;6@mc$QMKrgYxtyU6uhiSxEoSbqEl1;Wu@!bGbvY_oxtxnxz_vXg>9I}Y4_L4#5^_7s{P&NRn`I$xl|*(@FF{eO2!w0RBlC}Dke@|cslSgYf7 z5eLk{ZG5zieebBAbY3X-r3ZQFc}gqDt(qO5F4B{3J$yo3I%TVo#L;ieFageL4Y{TF@B|aBfROw9l z{_wZi)+F-1?(yw!3=nUuCRWSfw_B#lz`po{OtU&H4(e7S9_O3_dBNdCSie4u#kps< z?bzH~LwrIlQ@jq+vc`)P<6pk#$9qYJXdQX*VLmghBBg!_V|)C&RVUA95FGe)#kndR zJ@)P-+X{L1L`NLt8bmgh{PNP~pL*jYH;2HI2xOc%}8a{g;aJY3l z*^y6}COYEW5TBzRarkKc#*R396cAG#aZZTOOh+67XtE;?pPB25b6Y8;E6y-{rsbde z!AI`7FMO^HVe6|BuB#1}iO)>OIJhdLu6))G5eLT<*IoJS9l{0|hR|nb2z|t+v#p20 z;Q>ciyRj_kinDPD|6CfvKl_H@b7TlU+`Ag(3LN48iBd5PT+w;B$8fKFf#T z6K-}p<|>xxY@gpP-Ua3>xY}+b-`Cjo3pu@d+`2GbHiQk3jfXpS7tVsMO}3lrvBo_N zmRhZ{vE9`*uKA2vSDazu?eq|NXGS*YDjVxW@RsRFMTTi8@aW3t@(}vW4#DU65PWV8 zF=y%B(#~Be}=eIcDq~0<~>s(T%Z@A5TK5RJHZKHch zFKXXx1Mat<)={=aJIW1|owoZvI1!*C+3 znGZPE^c=q{&Ws-GbipB&oRJN>;=C_}E;#7Zbt@8_t1ivyQl;H)vkJ1VvJLa5Ju!Wx zBu?ofNbCQg&&nZ&_{+lUst-$_t~hf;$aZlEoV`O#4|{Z*+EpLy-4$oq5c=F6LZ4x# zyJ4p98NHa+)dqYFxhu}WA*SLv4VSKb_6*_ERSJZze3lK7ci4jI%4e8db#90l?fPCl zV`q@}>ea1Rz&W8?{H``2k3Oo`3R7v%qYG|*5b>IEn75A(;hzITjD@uw#~k+JaZ4Aw z(RY3boHh3ehc#7KeeMSiG0IkUSK02De4C9}!>o;U#E#FnPuKt1k{lGyN9tn2Qhd4MRgswQ( z6mMN|-WhV9v-{vd-o8VhDYvCo_inSg^$Ixt(NP4n&y9!ljH%0*!#^|nV|E*4%puzW z<=$OUsM-&&6yR`z{t?9ixD-_@w;m!i{srfj^f@bo(Gs<9wgET`y04!BK}BK?#E1JI z!-<&fe8xEO=l(uSb~_>G?+Gz8*d7<-=RLQE9b{~S!|PegVxSd&o8ygf^O)wvm&FT& zy3e5$#KH+a{u>#U>RQJFe8_EG^Bb>YSl)?xwd(_q4G5_+NZw&T5gf}Q;8|9P_5Q!6 zPZu0SdRyOx+SNb9*lkKa>0&q5JMfv~V@pJ#)K%;&tnZDdsxfa)LAI zwy`6ves;!#vx&%CsHrsLz-PZpa}lo@2lEZ{WhsRBN$k@r&3urJ50RLgB3d&JvMmfb zBsLa9ZxBAnwxAD@bd~L*#P5OwpH&^VIH3PtN4tT;ll3mL(RXb}9P}X$E|=U`x)8Kn zz}cteVi(zngS$Gq;v5?S=SW8!^x369bGKv48sw21IH%nf`yh|pu))h6Week{BM!1{ z9Rg>*St>Uim9x}$8Ek*UJ?sH)OG1kD!tr+60ByA3yxEdD&D^UGS{l9Ff|B;1klgiEh= z;chZMpZRN_@Vm$ezx^F?(Cf6u%PyTMgX*D};PVOb;U(`TTgM-!j21%wlUm-#EB+?T4Z5=Nf$Sb; zadWe0-h@YuF`P<*As;+bNw5owVWu!j&TmR>^o;HFNO;Iu_IZL`6U|Aw_ez3kNQU2L z?SUN4s||SQfIm}7G=X88R1ypw>U0OsbO-n9yGf&)l7%-yDCj@+WTJ@MY%=V7^igTnle&F1{hRFLieD4nUg2P`Dm>Qi*xLB<;mkkFX3^E^gUy&Ci94NoD|Mc{zV_yi&mZ_WtrM5j zhi-l$YN&hr9-yfkYv*41^GFfM|7rM(V5^D)s?;fwLwxM(nE%TN?fnHEdodP3!S@u8 z?tbQ~B#OEjcWxP4&;kDs5Xe5$!<3YT7;&hVL&Zf}{CJ-F6$MEdNs~Gcfd0?bH4haN z{Zv7M0ZZu<{rlCJi;lM!1{3|d@N*59-!)?aN1^TTbdf2G3W++o`&!y^>4IKxvv@|J$y z_CHtW(4+W6bX-;&!xN-J;J2K*$Uj5kPqwJ!X1=%>6p1QyR&*gd0Q@7_y>5(73hoK?xjd~6)l zFOX;2kc)T!)GZc2FmH=Uqu6xz#Up#W)2GkXdK}m%Hu`e3A}@+_T$&+NEM9Du$BjAz z^u;5$iX9)fC-hFpi{hMk#+uDp<>*H<7zB$a;T z1WWm&E6zT}Seu49A1u=qhnT(O356IcHIy#QfL--@dkEQ>DTmSLP)Xu;{~Wqcvb{W{ zK9e2wu{@N}+HEil4tc(-f5=i@ak!i7io-P06=xVe`-jkHn7BSRgg$qNz&X4G`uNTB zX~|U19mz|y+u}^siC=h^dyp8r+-j5yuvp6UXlsMT={v{s5212deAMPJznKlp_O_7Z zkswRdA!!PPSDRU8M_ITFo1w8NO?Xkxh(|1Wo8_{2yEW1DvOv?X>zeSqENymSRaVw7 zUgdUa@Z9P>FomERO}Z1E`?oke*Ljq9cMWnIoL$)BIg=I(mCSAhJ36-`deiZW!;-gL~ zad#ao#N%x4vw_Ze%Z|0{*RKy7T{?eSEukI-;Hq4{oB=#}vqDaOT|s```q0D7DtiQj zcm}ljYfs(=S5AKYxd^pBWvOv0)&eYWiI5SVaS7!%5PgEsRD{n^&$TwQwN`xO&i zdtu14&`{XwUaq6;uO0#GmN3^_6XHOBP)wP>D5m7Vm_2D3oE>=La>uh1W{)S*60vR4 z8Yj*?BkPJ|S-1-yWb;LA6&7Xh{inSSDamnHO0R=D%KX$&+AH4{UOd4!wuAx)ae!tq#!3yK$tnRz^>yb6joiFM7nj-F~ z^xY~?E|U}QsEwJC;c-mId0l&1U)FButdb%>2K2J}&j45bj_Qw!CMkyn` zZkEsqUUraJId+LKuxmQ#cTvY}wXKm%CeLZLF}QBfM|!Rm+}p+KI|ZLo5S`Ks`KM)D z+Ha`;oZ2tzdQu;dS}h-3mJriA+X(wD!4C6BozgK%+HdXcBG1-~%enJPo6V7*i?Nj@9`H^vOQ1ElQ-Xl8rnXwQ4an|7q)X(Bn)rrT1b6l`P;yKB+j$Br*vH5A~cN(2V6W(>lYSBZ6 zn>wx+$7P+5>Nq7NkqB>|8a`hMiQMSlZapi)M)P4m%e)iw@;P?zdZ7EDc04GX zy)A!TCT3+rVvYE{B^u;E=8@%UTd970-^41l9n$$7o!?j6Y5DG)`pl^RDIJFtBXfdX zR(*ru#OW@$lyHkhNf342M7h{t6=AiE- z9kV)^1N8si3H9R_)sbyl#~K|6bifaNZmaHLle)I}htC;ZZ`3iR16*{0u1;YNv-pP2 zLA4{(9`QV&^JyJZ(uI7uUv1#?ycjZDsxx|D*8$&2;oR1l1yjt{mJ<@cx z{+w;hSH&LjbxL}z68<^)TkQG{KD}V=&|9tx^4USjc~<-l=FeBu&#tlcW1@Rfefe$2 zz2NH_-t>D~$BgW8R1Jpn{{sL3|NnRaY*I^W6HydCZPJ?9cN;ZS(JZt=P>Eo%U?|Sx zCNpIo>CBBZ2;M46TMALp76l8s@qwEz-MDb&gKpGiX;*fu;2%&>5EnvqW5G?odzo|? zHE{SQ=Y01&=broJsg0(I=UtTVXk9>~ zstI48ULRRaoC(Wjffg86BTctZ_@hm~1kflN_9cj(Z?Xl z>Gev51$}DvPr2JaTVhF;?L({5PZrK<=U#t&5Bz`_PH4&LMa>T)n+(t<%2e2V)(Ma= zmt1+sVTM7{#U7G*g#pDYVkGc4OG?pUz++9AJ z!I3QD@1v6Y-=q7Ky<|uElLJaCFEnq=%`~rEF3dG=<|!{TsnG)f#W)0v$AE`w8n<{l0tu zs#|qys=J?>neIMwru&?(^K|3Fz`#_Y!T!r(VC?=~-2X}~3l~=#M+XWHepU`vb_#KK z8+&sK053ZQJ3kvICmSa_2S0_n9EF07n+1iWxsBVuch_p*{-+5n!~ZE@n&JPq{4bX> z{$K0~d)kRvt10Ubxkmy{qt?WS(BLK!#^s)t;H7-(Sh29I3kl=>8I}%fN!~CXIa9wi zfqu1(rT+W_ze)xVZwFUaMu7$!hk#a{wfvigS*BdPWVuX`I*sIDi@0p*H~Ud}#$_oK zT{9M@2D^?RU(xjgk9K9q_4~#>QX07CDMw`e^#t;6bbsW!!B0*?Lh>APRWT~cISN1W zb^D}9)YI1fl;PFS*FLaf?r6EYV*C=~!DD6yNg{nGB{fQWxk)+2t@SO3_rD#OsPO&- z47^u#Tr-4u;n3Fp^|*5kd(m#>SF^-R@qRABSh3lydzGXId-4*$fX@6|Xd57Lk8>}EdhO(S+?RsrwQWCgvxJ)ViKFvM{Ijq=U zd~Hm~3Wf;1t^{tD@V>l!z4%vm8INpBxqAa|)tOvYl&#Zy%wu`UgXbCD8zwW?@O{wS zBM$3^3tlY1mf}zu6QR?R;?N2?$lrnDaLO&1PEOXWqJc1zS97vFxG~V95daUhc0k&g z*+B0o_)Fv|9Yq}u_3utY6&TACEN2crFRepwE9m7s zjC~r-Lyq`FvO!2M-f>%`Yen%m@UuC+d}U4DVfqGhQB9P@Wd9l92=2PX3oB!B5hZe1 zGr91(agdQ#S~*@~qXhv2fZn4Xi;fiC8=4dW&l-*ZMDCx@So~}fj+^j&V|QdO(@kQr z+X%vh=dhnOTacYJ#4tBYgUEVR5ruyipnAhd`2S|}X{E@8sF^iuSI(X1{l%^e?3@yWGgq%ju>9|jEH0fC5tirp73 zGus7Z4N-EP1IX6kyvaPl@486qGsB#RVy3I1f&VvsKNtwRu2xL917*LZ=ka!4)Hj!B zmCe@(eeJNgz5{;bi)-=U@aO@99DnrqCjsrF<-l@2r6FRUG=>Y;M+45;j3?*q)1J0d zZgbzaK~tS~#|MVb+ZEtqqvvfq(f4DiJF$gitqOpgape8FnnFoPC&XFk*JVoP-?L%g zQ!y0%5O4G}zP~nD)m@|jSRxUUzJiH(>0qSg%@HKK*+OM3!>7Hwh0+%0Nd-j+D`nai zGg06V!$F$YUXcu?Qk_Ek;ZZ(~R72ayO5{9o)E)2>Eq~Bu{VeOZ<&dwQb!KmQNow5} zheLOgj@Q(Pm7Hj>p%FBQH?|A|g!}O+KTTw_;QTB4kB`2n_1W?vR#NgXhv>nD@;J%& z)V=^w2(`KtVRe6)!t52R(~$WmrES;lKs1tQyl-gKZjcn@js?W zH!mP%Y#S08!PUmyFmS&!V~=XM4$w2*kz{w@jWISwxlvy4YJz+)EA5CL8XQ&VLM=we z93%sNJ{qt5i~qp{k04I8xII5;EgsAzCq~pU{D2CIQk#ZyH;RQ(K0oe!WG8FPevxLU zyG>Y&90wQnaoid}0EyroR^I#_mzr^Vt0Kdap4~GOgt`8YZNn_;F@or>U2cN-p!I|! zuHq>>H>b{X{}g!$x%wo458ya)=J-@{eG9A1`x;+w zy?hGN0#pV7UkP{}S^l0c5B8oN(c=w)_>=}eOM0D@G=28b%q$rfBs0tS!fA@vg&WHf z8h@%=O~oKA0MvZZ9I>C@=MiQm$wKogzKbBoGVmP*ZzfG!W+A@$?luydcKBr8s{(bN zm=fLdN{ki@05t50Xlm@wg{SJ>`n3j*-2*^Pr#PR?l3a-YMpcz98Pktla@yZB z7poTpLmMu>??N?X5alDG?sFI)j;`)!*b~LO1)q*Kyk!lJ1~S;Vgdy}!d=7-G-=j2y zPlDuMEk%QFfuDR?#o7OCMZ2=i)b_%ADd-3Vs~I6#@dhbKaIE>+G%;jZX@w_$O8mRN zFLjeaQdlgM+7wNuf^8a@5hV848G7bp{`-hN``g;@#fN1=VPT z&1@EfHszM*?a5jPd$jX@@@?buHhli62sv$AFygYj-@LWo8YD1eie-XRjr2nkVtV;q zU{{eS3OjL1Q#7?XDBOA+fgijfeuIPo6cMiWzUB%&fc47QD80l9bd-OLvH~5<4_KDE zu4=?t0ag_j-v})`rCWi4?MABRsU*$Sx!x9LzYX5(2f0AM^t)cCA)4wlE2m1NHsFF& zi_@5ieZeRa!@-R^G7DV{d5EQtJPkp&t-4sm!VITk^)jZ*KR1--5+HPWCz+X=UR7TD zrG}V#(UZ@fRA}?Y>?u=Oz+Hfo6y{(jl6(&T+q_T9C-_NQAXeYN6{0CBd+51&>F@C~ql@ILL&q<12YgZlDH+z|mY$BUDL zF_65@HHEFBk;&i2@di_lE?SOYy)#FjjOpxJ5UXVt=RFeKf1=fkRMw4}Pxzun|1Emv z?wO#^#hbs;;lrKH9wcLiVd8WZ_iaX z_-g>)@8nGK=HOfCv}pN|(JKb_-9C{!_%I2csl5?NJdnwG@So%3fZWwP;-;>3a9rKT z@iXlW*-!6-slY(N_mwndfi>(AXwIU%B&S5q?z_sFzVp+;_|V`3FW;_<;`>Wa9kZ*2 z;0c@K`gidXvjB13_vFrFG-NBtncvsL%DfQ3n4*Bgy80CiMNwGzqmuX-9?gXlbDcnLu&! zdrg+XS*TKgfALp(dH3!uF=UPS;coLu%femf^|`Y507*6+ZmofFDpjoJp>bzPSr_FX z|698XE}ODYLCPs<-AK=e)Zf6k?~1LrRzi#x*rOATVhhfDPQe4Il;fv#WI>rEG^`2D zhq<=5mdr*V&p`_G`?2yPHWmf7$9!dVF~M|0X}CLypXx8ijMiT#kFD0!aRqLL zglY;b@P_5mwQn+bw4VGRg5^y_kagLzNFB)r<0~qv%ITzE`ytE3qx*WS zJz$S%YJ^p`+l0Ek;YCtVafws&Wu%fcP~qA%60RMoonN#zSr+B=7}od;@=rfYm}O-Q znCBx{<0W*MXXaW#Y;8``x?#rpPsLh}log`c>BI0uer<(4QaiK*z2<{O!enHPGzzBP zJ4UN53)w}Y@7av!qJ@i7*9dag_49=+qitBc_MfT(R4d==f-OU|TzS^Fgp~H>F$^xL zPNhZ=fQe}%tEN`VB0s57Kn?%@X_0>x!%GZY^cnQ{#0`41GAAtq&e|^iT@&X#p_pv= zfO|-p?ryJ_tZoI9-0!jbqHyowHnkc(j~#Mzs*c&DZR>3{u^HsWC|Yh_+3x znl$iGEUiMSW{JO|0fXry6;w6+a|Ur@xBLoCIkHwy%C>Y2u7^M;zE;*_2)GJMgxxpn;29>G6}0WV z^MBLeTSm)$e>qr@K34AjQ+|Fj=8*);a)H&PHU#RC?%aqjZ@K3ku;^Hrp*`32J*`jR z(j~n&luiCwHekK{o8#t3G85MfR`{?~WxA;s@wOn>|y%+cf#6r>>`EiZjU>;A51f%v7@Z%e4Hi#DHZdXqH zjo_QV^e%A$udo{3!U$V__IXG5)LJ{LknXn@O}^Iv#d$j|Kbdsya9)b5f&7EW!S?t) zR{^RYXp)vW!*RfgDGeX^j8_p}^)Lgs>AZkg3!>yaigeF<;|ikIn>+Di-@Xr^ElrJ_ ztkZitfghNGC$45**F3s~IaZXl%}Ehf0cltl>9*O9_+A`7HVA8lQacN=l63e~o5#l= zJf}^}KID!g*3g0MgvCERN5ACW2%?Mt#LFY!u3(S& zm;cEIuN)K(M#_5+puRy*XN_n{17P5hVo67&+BWGO7lCnXF#F{DD)x;FQoD-PJlI~N zI-Pz0jEEN<6p`~~BWV-Ta1Nn;aM(-ZRQalF{-)8C>L8c=$>)d|Lq48^`vx*7-cdF=Ng7!5auffGomEsm^QgSHh_3v@v@`+^_sp``8X3vqTWAP}Ir7YM(MK1? znF;pKG#vvoBUAqV-+!HdymAF7{IJjeofE8&pW2r;IGP2&6B)y3(B|8=Dz^AVE}RsB zJZt{Kvio13Ve2iwUaZPrcLKD#Ip14oeJ__^->||0Fn0H;3K+8op8g0vg@L<~zyY*R zkuPRHEpq5e)ZRD6ET_K{hX#b)7p#_^51TPx;@}t*cxqD{r~?Z{Q)Q@lW`9#b#(QGx zDASd1qHkJlsb8guYsO_(bRvexGSXZ1n61q|jw=wT6Xq;Vc*UFrA^06`Ocl?&6`iSm|{1VMR&_YrjwSM+BF!psQzk|LKOJyQ6 zGS=xEc_QNe>9J;eX3^bUiSRe52jLyQA57!zbhcLhQsoZtA%YA=Y=~u#6s&6{b@?nx&m?nSGSNs@y8wv>lycFe!K(w2m*&Jw1 z)zN&)IqCsvEzB^B$@?@%T@jX2i6pCk9wDaYjLmWM+c{) z7yPdB@&1!q7(FYC(Q~s+!6IBb&ny!NH%1$+_ka7eLr38Y_0s@F{w%gI)oGmPP){!uO~h(6=9z z3LC%eG@Sal|C`P4uq3?313CP&WT18&$&<3sE%mb|+pi5M#U;CXicfw)fXL$nC7Zv= zsN(ypLT8RKIh^|Wqzz|f2!KGL^~l^X&4oAPDP4dEIm1Qo?p48$@~;SjBEP~&?d*Kb z6s)?E|G!%4|J9;pdT2iQ$zXN#s*p`6qD~ui-)cNMQ0QN*b+?_vhAyV=ki?kq8%Y^D ziWwB){$*y$kFGkm%t*PmS_eP};F%(=>* zQQ#9kxH$6?>6V;w4>bi->=66f-gk9l$1O3vD|aTcp$E}BX}Wmyj1N0~gkRbBbL_I- z*^7NQ4W~MF1_}6PafO{qyIS>ecsqk=i57GH&6N1iAWRdKdjp-$#EFxJ5ksfHq2nl4 zTR7Fi-*6u;)UuGaqL0QTA=qOFMOb~kc+qvcUVdHcpGy9)Yhjn3PokL7P7T(>Mb4=Z zGNtRjSt}7Td1b|Cwm1``n$e#8^l|OgLE$8f`0p`Rey8o=1x65>&cRfL{CMzycove_ zA{rU3Jq=+uJfBz(jZ)W%4CK1>Ws|iN7eqZsk9=cm5;k2 zcB1$F&5WdTAFy}k@rl|xSG>67_tui<;LnZK*9`~Kwa{~Q_Ma$vB?AIhtII{&V%f9d zNWx;&T!geIEO?jF?RI)7uFxC&ZOejzQh@m=hfN!ZU}lW6;qp z!Q(ro%QXAs?kahjjhAW3ah$A!e5!BF4CAIx^HXjhc!Qbmu{~L%Qk1a ztpGGo-iMVUU!MAlg_IDGnK|(>#`@Hi21QIH&lu3&|G6}@hJ&G80ULUS< zg%8A|F$-)zIoI`{c`*>3ye1LYRq21KQ$@e8v!4;#J@z;QjbX>R@p`_q{8gAVh#mae z?ciD527%KGudQf;TTWKdj4c|6bFd+`6R&m)oI@!GiPL|eA)zieX^vRX<)#N1uX!#qU2S>~mA6ERb(TlEcsYU--n(CEoGh5a(n&e?qltBpXGyHZJR zVPA<6fV2}Q*89g?xRi)BAO~6WjY24o`rK|!iiWk{Ia>a0Z3#U!j8J}5Voi%#S$XND z$xE-i&qIo;{`ngN=D5KGILHrs^M~yo%FyK!v0D18*FC*o-rNgj%L9{i&*dz|u^+0w zDyNa|>C-Nl&usAG`l1x$k@6Rv%N8noQv{=rZrR2%8cxRhsA(;V)?;IW7LB(N1tLpw zqK)$HIgGcc*XwP$!BznnKVSyih$xl*?)cp*Tc{yGTkM<6VexUCb-+$7zVK3h4L4k~ zIO*c=9s})H`O$~(sJsj<^17cd+YmE{JT%z_3n5f3O+oGPE0U-lx=!ufQdn!rn`Gf{ zRBx=Pv1l7qE$%^JT{sU}r)UqYT802S1P`UC5h`D8gl6fJUlreLikz4bo5ejSBR8up z9`I!df>%23IsVA0m7>s|h{s@-9Qsv|_g)e_3j&=Ll)yCQy=my5ua+p~1L0O3v>W%J zEMjf9R5>fOQ7c zsdLyYK3#?zVrt3LI9~CfWjZbE%4O}@<$`@fV&=OC6F6P~Gf!WP{L$@m#_)dF0K_Z7 zSMvIZ%;TBC2~X~N2tqUg4F&4Fta!c=wxH6Uh)p=%rcN@2MwkiI=o8rbnzXu|LN&d< z&wB1}iR%a1F5>EA7G7gPLy=OI1I^?P5F}pJw6h&|6!HpAy3K0}BlKIAG6uzb(bw?@ z1b(cSk$bekNz`r3aV&7idA&+vFfKNE;ryMnXT7_Com{nFbMtTZjx96bQifbITNu^d zcM`dpSO(7*n&qgF@ETgn4}G&tQOgv~`0ELtx^|*02yXHb^7uOToKR!$d=me-7<@pl zV*fcbL&bJ=-(3%xU@Eh$(+$|pf?aolQWEzSmUdT>WC+)24x|ylk*(ajmN5D1!`Uun z+P4i2SMXKaS$8VVS;F!Z&!2dfIJBtxr`Kcf>Uhip_nnJk>%`jdD|7pX(oTQ&Fhre1 zC~;(UD5M9E_R8AfU&PLN^<0)QflnV!H~jh6W`*d{DFg9A8tKTyci#vPgITaa`7>DIThQ zr=bN2zQT#cIHLWd7VuGogTr)AX_X_Y{=&( z%`uDoua;kEcj`R>MQ@Gk44#&Uv2I_%AIaEVy)OVV%)=$d2LD;If5LScp{7=^FOE_u zSpbq&51vC&70%y+Ykp4JT(a+toHv}1VCfT|u%fYY-@lP>QrA`Q@PCl+i*)ym^vJ#8 zJ#)iqB$W$IAg-z120&{3GPS<|>ew3!f|Z6$XynX!;!KspTJ&&zHc}Z+Os6!&PFpES zcg^CKe4dwgwt`Y#y##7>ScbUoDwD+QC$`0ieOM)b+Mxf96~C5d3SI8NeD8~-%ruuL zpqXom`-*PDg5Zdp9UJT(V>)bnIR{1D-}9;#LvPjkBvCEHi!U*2HQw_xU^Yjj-QCE} z<&G(isbuOK3)ZG2EdJkhyfyy6wdaakDJbW@C~LsKmzdTRkEjKff zls~3W7Q^r)?qqVdsA;?euQ@?Rs~r~-H7ygV;O1ZM!|+Ghs%SBLs{;0|UTLW-i{!JF-iVa8XCqxD(ef>erZ?)HjG#K=cg{ zW#V^CTdB(i9o7L(k_pU#JI=`5H-YLHmPe*YQ?KGBn*F*m&EkjsJ`v>)N9a%$7Y3D2 za?aqjt0A%|6OzT8pD_n36G}0!#e`+eh*LAppf@GdAxpXc_TTR8uKm`WkWut%oSIpewODJ4Sx;&@b4@2>O?gL;>$sg;cOSq`cMwR9T6Ao7Sy7lOwoc3 za(M0k#(KKmD-m66Ut1TgDV?HF&@!!uh=?t+S{4Ko%mD#?GW>fJ$M#+7z zof)!t^*V$1!{BQu(qtq}4O)ipxTv{;usky?oF#9#IYCY0?)7XWYRtQN6-K^n*la;& zX5T;hM&xu5)QnEGI%D8ss2(IsCb5-6ipQVnztoy_PNQFeRFZtAU z70ws2*mCXS?xQ7eqMggj3h%|wm~Nw6+3ahBsG=^ryNxu8h6*ZN;(K@kKgcHmj|lu# zA>rj}<;Hm{odz1|}tNM+ta&a(cHVNdjSt}tlw5FV8@Aan?+((hH zf%Z-x$lOr?5vkYJ8N~(Vb@4+rDiYa9Pq8zcC~C*S<)sqq>K#ML!#GmOyjrndo|^9s zTb~s)k7fH+N@{F`a=U>e>Vk-6C@g$xLsdHj^Hn9zKGGa`D+xcQ*|AmZc8$;&(K&Roe&x!^-r0W@XsO`3!w7W6vWH8Ev&By{FCH)LQu zdGu=7W1yjQfV^m5{=?aZIbsB4*+OZ#egCv|Qb`mIKq*I zTTLHX#31;s((2PG8{w?}9GikZ0`~6(j~m7>WiwNW8ZW9jln+(32jpnA@9D4958f_hu^{PDDmTPbaHQ? zqkb*jl;`+mWkA9iaWx2d_*s;?sB!J{&+Y;L;VzCXaMuv8g71ZDKm5a~a4Lg{d1!XR zEWk7ON>P{G`}v#EwvZLW=Ld)6#)TMNq@gs6hvPx>H>P}?wh2q?xU=!yZ7;hGkb*(Q zq5hDHoZ-Hgmm%|?B)&R{Ke+980KCoZR>s4>Lk1gIb}z6e5SF8zYP}^3S3D=1@ojHJ z!oz4Y{H_sS1oX4IalldZnqi=vc`2bJ7<{WXRXBSd_i|G<15i z;+KJ?uN(oJQ5Gq)aG0C&N0pHy9`$cMg`8aaKyZ(T@(Y)tw_>jjfNUrYxlg-aLX*U$ zHFsq*$6R66z7zMti$sVYfy8`VM_Lmg^O>!I>mdqks<#bx)cc(fbzk@5ehCXZhvcgu zkK=7WR>u?3L3bBvlp_AW&&2!N0ft>4GFpYYgp>OfC#z)w|DiT^#rBN zk-GC-mdA7W4f=X+uZ9+ECEQB(ICc7)Yz|Rp7Dm?hAe>TK0xyN;XC;L_nV|L+x{v$}VzFthh4aJlbw%3zcq z7l>dxalpkqW3^PgO3-BR(XW^NQ~Ak*)H;On1m`YYr~XB6~8^z}~;=Le!>S>3(<8 zd`Ws(FsA~4So@#nb=4&BVoeVw?9H-S`f$j22TmIlTQv<(KDejy5=VS6^@0l%l5ND0 z$YDD8ngb{y&aM;s10I`+C6XxT)g|~_1ib9b$!r6~n7J^fqO4GIv@Yn=hDe=IaBvpS z-FyttkHVipnFP4?F0mgfoVm81!UZ@;L`^sjN>qBx86|Dpq=Aw9cCa5!~z)xT)fLb6}}{$r5BiN|9EcD`AJ zv5rXf^)G<~Bezk`O@&{DQ1y+Af8Wu@`4I)rLM6;Jv~zXiXLt=J0Z*?a|%vG-tNygt9I|Zo&Ajy*GdKwclYycF*&I zPfnobQ8VFwDB*Ef!-tFnUX9M=%CYNNo{RJQER<0qiFR~TO8+x#pN~wapv%K;SJA!Q zCIBJ+PB{c=a=J#@@e}Mc@s~U{26$o3UeBK*<%V@+G3J7tkrsz(i{Sz{R8$FW&tPcB z>%I?kQmIWwxgu%sTHw3ZjBH>*5xMH$PzRq5+GXbixb&1bEBpXa%7i->qu144TY@fk zHHD2iIC%_ffGgcF)2w)5zoWU%`U4RvJBby~=6la(eZdNhL>8VsmM7aC9-`ky}k&#&&zk`2ptq)d4H8KFHP8eNg{TW{P0uyu58Z82I>%aq=O{{Jp{v} z!la3k3E1pL1rw%k-s$68`l;V*tXJ0!YR!LL(_$Nr^H^Z#%_QDxR(Qm`5icTWBZ<8B zj*&E=7!A83Q9}+#I+3#WyAOK$J}EAusSsFc_$qs)UNv&YMXOp72{k61+QKc^G3Yet zKiK878lVvGOS)J~b!DUUEbb`SWi~51g6o|tzTGHeUmB+@(NIgJk4wpD-%Rg=>_tj~ zo^E!ZB?NeHG^JTvx5sLV1gyZnIuz37%jy+BR%oYY)i%;zqPvTTZC@j%FN%iK?{_dP z=;!xq^bLF}%?UxuEiWB(*y*R;|EL<`rzcV%z%-{-1i#9Tsa{QF*b@D!acjZ6VPx)u zQ{Mb{MVdPOO!D%A2QvHI4@B^+GCn`$6u1el9LFrs~eoL@F z;c^fEVEWq_jRL7RQW)k+)9&%Ho$N!TdMXTdl9{=9y@d=nFR$?IVAHPoE#lBsG#7PU z85jFY3#Zc8ecun0KMfl+_Rs~Z)PLAh%_nWoBMfrj*?PySG+XXus{D}^e^qEw2v`+^ zh2m6?9r`4f@uW78B{-M6pLQ#2m~MVDKu~%uCRi3Pxg|#v0P$ZlDd1Bbx~im``{T9l z{XyX0^!N>HP!39iftO}<5P1kY{xpTmP8@{?A2Ag*dK$s4s*J_#&JkD)cyQHoOsApJ4Pt7+sL*jJ+g{eTV)DK2;$2avD@sBe<@#YdOP`ca2{M;>sVzU@9*(C`7c2a0 zbj8wX#03)qucPoQP#p@-baGhU&)9i z+N93@v;S0~j#DRXx0@dhKO>6fM#?OQks0mAIpuUCA>h zaK$-zlUxg3mwWv!8S$z4HPi%GcMM6<9pkRKRmJDU1(V;v0q%lF$Y-r&y{RX_hVVzp zzXV=npY>HO%^P4Fa&k`Wwal^>xnc>GnzH%C))3?9+49=W?Uylofx0PcWm=Gu$ z2EsP-H!GbsGWE_I9wf)$pbgD0x`H#tasyb`EF8uJzL0^o z$^!p#;wqRka-LiFS&3)@e?MbS04S%XS7(M91;uk%zQuHmTP#sxdfU2!I*|5U%LVsSVvQbzur@l6|($e91W8TM+%W%u3S|)Lty1YZ(rxXub}jy* zH;4Qk^=wRAq#q!&5iw{ybR&C&$D$a}>bc&VY?oVWwZz;c*q1%9;v}LIs9CYv=h)`^*6wH`W3nD=_B&3N>hP`Y+JJ}yxxU(+}_m2FacH84>sLj5G7P~9)2xz*Q{ zb})tk#cSW<;%PQFQbg!8Oh=&=>9xvAhlJ}nFWNX{m!6y-1`-9GT_fshnsrm5q!LL1 zle5@RAgl>a6FYHXXwhADN1YdZX&VFBf9Lyky3t4oqp1z5^ho11cE-4vnLtZl>OMak z*^3P_<@f8!0M>6=o3u6A*xr*+F)K9(18zRh7bx-36+Q~&qw6i-GMKqHalRc>j2go~ z_T8bkUoq6rwrauPm_!!H5N(ZC>?gix7md`9L|CD0|J|8DZ_X0D{a_8xl9!;t=;~Kp zI_-n37>yPrb#vbL9(t|GH808)w@#ktk%wvT{?(4o-J|2+50}%{aBd$HDTCoRrbb zj8qi3)C}vP*rA{E6ZR2p_#3uWqYz@X4g&Wywekmmt1=N~(xq>5e>;n(Hlq92Gp)NF zxH>MottzCO<(ljEpxwokbt*Vpsg*!c#CZ-?I|V$_D%Uu1#?P}n0=>uD8~#J(p99nd zz-*6MU+LsN|KrPYsPSK_ajv))55Gx>MqcOBOa6Vt3LNU^6elMpQ)#LEKF$1lWu0;J zCRS=|;-4>bP7jQd4GyH5RpO>S1IdsqUGQ?Nq?+;DtVOX>oi$ocCAW%~O$;m4H49Qz zowmqh3)3>oyY0UA91Psk#r$}LJUnPKHyIGw*z_#NB_{uL38l)8=6}vwXwlExgg3V? zZ$5@6X0zmBA*s$837is!dgt{L6CwuU2?$^-uZY(a`h$vbK*nV`RXf=$y>x1n{Rc(% zw@LmQ#Fp16zY{#d-I!cyV0p|CONNqcBe9AtPS)l=;IU3dpQL4QIVa0n##wubN~~Iq zx+SKO^rw?tMdKnKAanaFl$J;CjJwak5SdqUBjuxG@}eGBV&Z#UBRG;$oS6~0znQyE6Q=s}q4!u$u|j5BU6Hm+hT!K8)v*-K zI^e+XMP=(0_~!$Ge1e8<%jd`s?X^QJw59x)NK%<)Kjow&rhtt(_@z!7 zHJ?UQNEeC2J?y^m>`Z4G3VW7FT8^eB*KJfBH;E4sGVT3xNqB}EsOK|ExnWS-zbcGV zidwfaolN#pHScqB!s_p4#~zDz615!_qEC!M@IDfJoK|C;W->(uV!x>=79Rk{hHlZb z8gLkWxmi(uhh--IaGiAPWTO_SIZ37J9c~Bl`X3r~Wy|M@8X}-Idb;nQvEkW5v@uG)LCqX6x^?E(K-gIA+iGHQ;Tf)tftx)j z&@#&1uTW(v)%?UVayAev=v0N(G04nz>jGC$C$G9CjlV3?6K zo4uPzXH}9VmZaP=RWHlVFuy;SfaJN6pLix8BlK3<&|xAZF#lv0~-~vjlo9mAex)Mg_>nuEM0tB?!i4Ah22?I z`BO=kd<~Js(kp}a8TNo=r#HtoL)+YSEeLHpr;1gt2Je$Pq0GmLbObATI9apV$tfZm zf}*t!xZIQ;Wg1Ss%ZLs*Q;Ts)T^gDs6peM&95j2yA>60Lp8W9NEq~|ATkoqnNSGJ9 zv3Uk~qW!71>Jqm}TfMqjSY-=6J!l3-WviF*wQVh5#*$=dKm^^=Qn_GE|xW(7@$9h=CUM%J8%5ljJ zC0377VbN&kpngjQEfakN&7@D3q9PHn@B*xKZx4)*U`cE8|sM)6P8{AR6P~?r)(Ra}M$V(9#Mb5Ep0zbZ@h=QV1+Rhc?J zINqe0tFDHD4wg$lGUUQsfWY@WlMA+7IEm!D(XUms3ugk=0p1LlL%t!o58w3avWv`! zzx|Y#U!;zkvYp%blY0As=g&=H6JmGFD_3mp{53*U5x0MR_ct)*ipJ-YpY1p(tiSYF z+dCKtyViHl+H|1Kz9!?p@SIbhQI-K_gU}k}bJ~@>M>%`>M&aS@TcU%oKx;X3jC8;2 zgBeGhRyi*L-iYqk?X7QO;GIEo0fn<9Xt$@S*2}l*gRL1GCZnfL)=?aXx-fH9-k#w%dDP}=xO07^unq8qN;AF`t0btgG6?^i z0DpzT?$;b~+)_T7`^94u2IN<5@@l_sOzStN#^$XWhsi*?Z8gS|J-o&>EEauC7=kIy zc}2}}O{@O)Hgg6-%(qWQ;2~ES;3Mv)_=tB%hKjca_$32YV9Ls6WlIJlS)B@#GmOi; z&9Y=ttwRcSDSPcZpJQ%atO)Tln@Nn#)s-}jX2*UMFxBu8*Y zjV2yGvAqFE1=Wd-hY6P4$rA`kpG?>y&-%(^K%Lt`!nKpJ1{_DL>)3mqhV zfzZ&yc}n^Dhi^y5xCiPjNkrLi#Hky~Z4=0?4~eh)7M4vm(CM-NgtcA8e}R4lh~jST zfZOJ4+>o$qRkmA~;`zL0ZwbutABu4i0@GNJu(WNh8e=2ChmvIGUANDLun_$?6mka4 z7mqo^mGPq>&`^vhxkRwg4CHlupVTM9LAT)ZVm)FDcS=oV_@2Bu+Lv1)aOsIwWe07I2VSJASd;dE&Br&Mj+M(6c*W#yLamLH{clUlByU%cTM zwblFK-@%qY9`qCLdUyevZj*@6+s3>loGa?>Z*ru-;TXSwJAqE}M~B8UchKgaS)K$x zj<|O``K50U5-S#gOMzFEmF(ob_H#H0_R*k60&e{tX^3#L_}s(7s1AX#Tv zU;Biav`w20PsaPE_WDQ9aN<;FPWkiL42#cpiW&)x;TayYSuwzqE0Y9(BG$%Q6M2p4 zW-$I;txJw`!QWH;mY{kZ#O?b-sfS!La|rsppGnqp_(4Ys%)G_xyq0kdNN zsLDEmS-dI}p{!IQr^lPZ<&Xp0mLGRpF<#6ig*|vrB&pfc9hJsFIpy?KGvN}lMfV<* z%UW`}0y{KMpS1^hKb%*Vg$|oKiWr;=j3p67$D&42TloX9VumAR%2YuB!dVgoP1|1w zT(kI?FY!QFf9xOlf7VSd-`B8a$#gtuuZmP!eOU7DmY$n3(ggecMQqiWkiO)=^wsqKjyEXihmd7KvJ7jZir6hOI?H*Xv)jC?2_4;5X z_ln}(%pdFX1l(`wi`*IF_7HLUffKK8>aRl+h~E@=t!h(|@9GI0a9+|gxUh+!mGknF z!eESDjhBuOlKt`@jV15*t5d?)I@MI%_IfRVLUD{-&4|A+aD}UQy$|mM(1~9ZAQRZ8 zQopEzBUb>@0S~yK`0tu2)s3_M$Rdd|2h^=iv*&YO9=`&-wXX6GNw4#1@W8mr}pSy2Es zrss*V0ozyS`OwcnxC#RQbhO%J;!{E$AagJ{2FQx7t0{bta?K*pU`*Aq>jR=W+fdD_ zMnd(6_|G)}L!|CQU-@aTc0`8O#}dwDzK^bd?m-0OHhz-B|RX}j6=W8(N)jxyU4@eXX#m=r?rzQ z86pFSWstR_JegCA(_axbt!1mXfEPH#)o$5y{2}`HH(-zGS?2)OZTO z^OAWt4sGwnk6MV_5DYcoLaOxjQRj;QX6^x@|tdY3g! zTFV%FC-Y$P9E>Ng@u|!;w5`mt6sha9)c#?S2`WA$n~=n&unZOArWo^mpz;5w7vWf- z=91aKJ$&0JZT3*L_9;{K!s`X?)4TPCp6IIf&5v78@Je`C!W*-Q%m2G(fHd#f|NOG@G9~L7diEIgOq+G}#o^2n{H|Rnq#zS(B}{3i zmxHm02<5#&kDKv23l?G#DnRIx7-eATm@1D>X} z?GBBJ+J)%9a77z7Zy2t9GHW!L^jt1+sr1OX&0+p?T{3U!*86XNmB5Ub&TXMaPKV&H z5C?THCHZCxq0{@p%}q73hXA?5pJ7naG4K~?B>VTSYj%=vubZ#lQfPd09BdlVBk%iU zc<2m^@XwqbJ6PWduTL}I*c%d$T;rS*ngXF$}Mt$6vVS#9()6?Qr2g@fk?+d>KB4`!uj1~>ost~5hcvX3n z1^yTgSb!p{_`c;V>zJ*4-Jab+ufn^FTAT!g+dN=a+^9c1h(HC*)F3s(Z(2!PymQqR zos|7XV%^GA(05+DW~Te$iQ~7djQOjc;{=(n_i2Dus^}g5X~u_pI=HWFcId<%@Se_4 z|ASPI_fhQ}9PT4WJA^!D)lf<)*io*7?wHECx;Q3B$i-keoB73i`Xtb8H3oJwuxP>% zV1s_K&QG}90i7V=LGLQbFtp|G$H%xV`Y2%>SmQu&@jl&miWd5wrxa&xtYgedNraa0 z(SN!5#vkMJIZD~roT)=5nD>+a6M-Lo;A)Ols87z09anb**e1t<&WLr&di_gpy}fOA z(vk5yGKVT<+goI_3D=ap+LZmLHSmK-SB$ksnP>&h1sR~>M@rK#yu49Drs{QdLpqjB zQm0hGL`NV0QvX6hv!02Qfg@<*I^fqd41Ed&jittd1|0R?RyJ!tnt>YwSi2YCDmVOQ zoyUogVP?`sS%#0-hLHO4J>%;B^BRTm=~&!A)703ROlXNBuonzXDj@o_M(21mG7$7g|V$AGK}SwtcfqhV-8* zr-ln^R>=sBBgxdmaQP*~7bJi>+bvRF<>U(*8I7A0c-Wm(puDoR!#Z^*LI8OuQ&0i; zpJdo5ncE@%mCAtoWnm$94V+WGfnQhsjttz?Q-Hk;{G1wgb_YKr@R@pW-dG&Zhu95@ z2RnQ(&{2m9#lN5)ofZGL7&}TPJM7IAzqDNOLR~0&>}FgUNVEJFqQ{ z7-~tWj#kJ72$~mMpP&aufDSTnp1M$?JzxoN#>1$sSbxZ6k8-R(>Xv8wNh&I)g%jj? zCFg&%6~~a&ZJ&^STYO2O2`k>vm;0RhMv*(wW-sV#UE5qK9?O%hA0Lc%O-E zH@)W-|8MNDWUv$5zJmdK&mFEsyMGLac9Iz{AgHYfTrEo@FIHMHL4{6(7 z@ePDedAO>!^ATJc&#HJ|9_gqJ@6#2$qgUgg4S!vESkjOOzt8PSW6zyyN#Z=mc#oJi zhNSJfa#0(#Xy-S*D{$%(ZVMb48>V@sY+Z-mr4G?*`}%`VyACv1ih&s%;B>v)1J>Z4 zl?=KF*zCs^%RS(DDQBIGiZrC~3I$8v#f#nF!+DwzUu@=W;Oyeca3XSWzTZl9EJc?Y?@ zQg z(C2LPO<+sgfqo_eZE8)<64$@6x_8$tL)k<-1{>Q`TfDJBfwKu-JaXUk3)}_B(n~rv zhg=^)66PC2zR($R`|I4P%2FGg8$P?`l>o`wt0}dDUc07-CHd~+Rlduo#VBl+qqLIP)q59)rjAsPn?Y@cJoY!oMz2;{n%GjEu zq(UvxXVVKW2V+VBo2Ux2*(z;b$V|d3wqL!FIlW=S8_#~hkLq7W!-u(TVk{%m`g4S2 z7Qbiz9A}n_vAz1@=2f$+tq+hpwP-x!KL2Y*+Yd=U)`PP#;9hZg>|gm6vA^Ew2ekei z8RX8N3atIJ5)B}{>GfMSe(g(NdG9L#k^nyP&)Y3Nf!Y7>1%!-aJo<9~FEDGgQ)Tk^ zJ?a^o_|f)wZb|ZO@od!$+ZJZ81U(-xYznr;!(G9)Fc0KaZDB5GCD|5+sjDr_!LPT6 zxu_LwTRacs>ra|ee`(`ZI+3Wj{fD{(oQ1MK za7gG=55p4wkRAKJowIh!eIO^K%Jvc2S1^iqAkod?Vw!F9FXVJn$X4@&XzeoJY`K_aNcR_?vC~REEbNoFbf3J z3lt6U5WKmkA5uMSyghU#0H{fEO)+^r# zR_6!o#|DNMKL7d8=N3_Wv`^U9j8^FGdRv%13MYrZ>D|wh)=yw233q_lLlIMwMk7}u zgr5+ukm~U)P{?Y_&k=?06^^E6^B?>yl}-&$*=ALs+lLeQnNnB{sh*!R*8?68R}T|U zeZF@yn3-(N-&8->{z-e-wWD%#99L6KLt& zj|W?55{Q;`@YWe2IwETYQEW|G$K%@i$U5=$_IRc>Y@NhoDzNUCv_h?eF)dBzv~*lI(CZ*mY*JD! zwiE{Go&Pg&ZN2oab&%f87Lr(*LB-}per1e{@!YQqcu4F4cZ{z)zj?ccj z{f!VX0lcGtc_`(s^b38><78$Q3$gX;P9} z3Rf30CH+s^wvDcneqj2P){eKb3U4$0JQ~Iz9Uzxzx5_XIVIf9?%!}u^7WW3}QJ3S) z%clt>v`Idn$+=Mrz~{OGhMNQ^qzOjE^ZGRZW$4A=RkB2@!<`tel1x{rUpyI;;Ubrc zmAZa3xhe#dY6O%)BMws0eTS~tNHr+K1q6UgTcFIF&wlN-jPw66N;N3MDixFqTA&O* z0wgbSnngECH7N6dceKyfRIqtsH)$f<&z5LC%Di~?{D0cI@kEwxNt*fORdQV(eb{d~ zXNFU1K6xXU5z0duN>6b=prOng-a-j=f+nT1P=EsDmU&YL<=G5nRrJAPgL^U5E}=X@ zL5X!!k&_?<{sTx)Nx6RdLHi4|^j?^O`ucu80d>3B`Hgn&9%!Ff*v7`nuHhJ2Hz@OA zmT8Y1Qa?@v2)TL432oMR(Ui*;1LGf!-ss{#^$@!5 zCwz^(v{1GIW!`Sj>7LnT_h$6!qD^~+btXEov@V-Jn60p1$vje|+sm7y8=HX)97aY%?#%Xek@QS9D6MEvzXwxm5LQ%xD&8YJgRTzwKtELS$ zrdz@^eHhf^_rFb_J&j>-Rp@KOPZt;_n6`N6KOZp93D2Zv{hK*H>bsegi_8j``=emxYlnqbWbcc`V!LRKbdvp6IRkKEWGDowum*=ONs|$jO zB`&6FJpw#2g*sKL#1p5~vz}-R z13z1}gx>FHvj1YP<_G^dq>bhU8PDuK)UA8j8*EG4gAV+x?11M&2RmThJ0)<#I?&c-4#qFr^24pD zwlH1fhX1t1v%CXsUF>Z|2RwT_@WXXxTN&K#uuk0B0naJ<_Ep^oUm)0!s^c5Bb6)_9 zGk~)DPhq@t{IRjJU7ji!-&Rdqo(~)C@rB`f`@H6D1D3$8t!A?D-+7=J5lxPccw}afycCb+{)7sLuse>Kx z9AH~KUH%PiNe5Z)Z7@Z8`|!W`Ta#%uh1v9j?Pah~dsS`Z#$3YocN-Y^x!lsO8UM0! z8$9%#=>XF<#)D^Kp73;`ZM-FI=!b1w_zI~yR?&C9^uxm+ZE1Vb^s`cq*G2}cm3bEf z_Cr#5RI1h~cEEc4Q1(cElXWlgU`@+QLHL+aZo?0KyZAyE+d+2oL0cDo##_<`FT829 z4L{hy67ptOet0oWK0kcB6Ek9fJz+D^vK?XY5?s^bAL$8;S$1%Q&sY=nnv8H4eV z^>{`wdPM#SliN8df7;nh^ZlLWcf&fQsc-KS4(1_J6aT?ac>ovtCMj&y+BLq`C04DG z(^w4g_^N#YJPWj6wp{yM(5CI7TH3fh18uX}ye*Jg7$<}10by`iRPg2g->x#?)g5hQ zfG*+ZB>y&`)HIGRYI%*(m7l9E`2o+mXrHeMKPH14X4p;=^|piDz!y?I47Z#vi#}kQ z%)!{sWg#*DDf3gdeW%~vGyV3L-(qMI+??pJl`<1;$GU-Pma-G9b96W zwwFy5ZD_lmxoZ;`RC+)mp$#5j?zX&pf@+=Xd7`r4db!=w(xNSG;28&DFV*AWwQp_k zF#O7Bx#&?<<6-<;3ZZTA(Dz0Mn2DA!==5GoJ20KzYANew9q{n)H*MqwNheyuAcOby zvrJ0`6&u|;0_J+OMcCvnG%$OY40b8EksEm0{@)ESlP#x6 zo~%CGGQO=7%o44`ZfaUlvC*Z5RQZq>IGYS~TDydSHgFjXxD1;w_y0bpsym9i_&2Rh zbPApWQQT>wQ(&$ux25g2jA2G_q^7i8j$&^U+K|C5+32xs%J(!@$3*x!64`-PK(+co z#_PmS8yR4uE0o*9Fqcf`Sje5IHJ?}?Toe;+@Jt8>JezVu=T7oSbjJ1*Fz^*pHH@uY z+Q6{9Zi{EU158tXSh@3`(XS-`wRNw-7LmcG|M7KKW7g@*U|&q|qPsd+maF`yegcYU zt3Y3iz8AJceR%*!hb#riU8*6IP*prCS9$cj>FV=s)X(AOQaD^UqyTqLaO0strO*Z~m{mxj4gS`IhTJy? zd7|N9)`oiRg`bq*i&2cf2kvX0-tDNz#X@4wz^e?tO=h(Jc(!j&89DFDNJD=sBE1_v zuUC2p9w^OE{|yP5G2#tdzQTN)+G1JzE&(p(FCLTeLQf#W8Ti6kz76|q+7`=mO2hD!$<+)#*6k(LtK81_zvj`5GD8g3-w_h;$d=Z?* z>moW2i3i?$fb3FQ##IOJ)UQyE<${jjog=;DQK5h<;>4#NCz6hd%mxuHJn2mu3z=twyavGq&A{eh>jB#qfX}R3`b=um|54 zKH)<5IB|}~n5h8w8VKN=hwr%jq`LdrIxM!0?rUmGM;~K-(Mvx8jc(%juKT4onnU8? zMuMag&i}D*?fn8it_+EQzy>J>k3LKEzX-eE?e^U$&nQFxiH!Rx-<12_q5CepjhVH( zZSy@DFo8G5AAhp26qpM*Ft69dHWt-+sGSe^2tozce5d4k!|KnTWWV?tFKEjFoZ*jO?Z94f27tjt}&MGbeq5# zh17?-if*hQx=VrQj_wf?2&dBsK=4>54Wgt%5JlPHsz;i7nmR9$`c zgK|$R&n9?VfO8=}t|0(1WtD*YTNCP+C9o5R2>K1cmm6FJ&RmIU1Mc60TF5)if8VHz zz;Q1Ns3|x%E1Y`)_i;%sjfdu+E7Tt2@3V7%+e5vw6#J(s&an3Z-`CKof5t%7{`qfv zm&E;XB_0LJ`cMx<_s2w7ce=k>K=&Hah|F0pww5<03NYqc3HYEta4dKF^tqPq1on{)zvNorfiT-r7qUn(*~@fZ$uo^{ zih*m%PQ!mI;DIp5BvZa7=`(BOT_Q!1hca`%o~y2hIVfCh@m%QugXLUkNgKhXEp1HL zZDFA8Kug+=%_D95TGD1$sR69s4v+!<6;d-8>@K9afg#$q)hWERh2i?OEzGG7FkSG> zcHpOrFP!Ya53Ag^ayvW+{KUJxYeiGuHUovkR-1HH9Q(T;u$1(+OItpLWoCXndeyc0 zNi>Cv@0!pS(-K>4+7|E3(iYSDl%vJ-5IBWKc6sO>Xqj@g{-+Ag(;47mI#XI~zlTw) z#!P96S65YVZD?U_WGwnI{aGrPDm|q95rgt$7Rx%OlNeMbv#$C>o0h6%7R#DgK(^Er z4qQ#~#r_cE@;K{!#rGJJ{DZ$mDz+}hx~(UDHpEgpL{ow46OCxGh_+;4plECyk1v*4iZ5~#3m+3>4ZJ2rz<;(>hmi;NegM#Hc2 z)URIUB*XAUlrw57x_Edqhm`tN+3>5v@J3)ltP_T{ag2vI4JX5|%4Dx&k9xr1ARfww zi|ZN<@2Owqsej6Z6AzP?>9e`_2?|=FoylU5q6%?wv_ z@69C!U#gtfS8o98<_sAFmW5>ra@ZGb3MuhNkTBU8vPUhJ(*h?d&l31rLH2M03Enzt zGeqt{Kx_+hTk?#@(5cSzn)s`|4*j>ExKEj85kF$lM!60CstQlhEe!X0 zogs*y&a$0jP-M=@+T(lpO{3Y4a6(dh7?Y7^wZ+A#WGp-`k4NWLKkOqavN3l>Wvh#i z)>qwJf@}T{0~d>Fk6|dkq@30joik2R{e0EIgC%VLfR>6Ub;A-Kq2Yuqj#qZgNRc&jP@FDoxnUM!jz6PzcNSq(rtA4LErmXnpI)y_LH zZs-B&1AUeZ7LsQB=#WXQOulo!fgc(>B~(lg($zoz!{$}>7gpZM%?!Jbrko!TF$9{l67 zW!m{))U_%vQQ2$;n5D|t2AM#~>u*o%7|Y_8U`{EYRV6;YMtzoQyrsgoNJl@El9WM~Jk`3AO% zO+x!E(REAhCv?1|BmQsMY)w^T^kd94FB2V%!#NA`6U#t34%IBp=>Sm-5 z+NX5fq4pF9`XfHyRXc5qMDr2BtyEuZ=X#be>xeC{P}>c)@jsgnbOhentByC7=})Yk zR-UDgjt4a6VbMz5!Ph2~XO(FqR^C@RZo@C|8IJhXSsm9X14pLb?E03<$21OpgS-#v zcwTvpXge*2_;@%l_$BrZP94UO%V8Z4==`eM_>eGT9#KB2d|qwqm{Xx!V=%{E7R(+U zcd72U`ru<{b-bZWY`d?1$OBr=s4qTFhTe6mv)Dr1xvIFRE)V%fnBy9UKJ+E#ScYD5 zk?ky0{Vnmw>o#pH#)~t0w{yl{sgt`p9u{BMq(^Iuk7v|w=fJGhnCH~@s$dSN0UH4K zMcR}PNEUlk0nD_1&Ispz9f7Ul>6-YzsD9_N@qqvT0RRC1|9AmxQcY_UQ53yx(wf-s zHfpG%S!jiz5>c^>5S*F3BvX=^bmm1G1YasjTM7Zu76l8s@dGzqx^dyk54urTQtCpR zKj0rwP!JbFbtSm*+{d)Tr~{WXbMJZQ-goDusf$`fbokPV#V%ksVie(*9pwmFwSB3C zBfVxfC6Ipl;%XkCX?;MeY$(^95|)h748F6+*Ed;)BgOTisr<4bg{s)XGd!!(jMEJi zejBal0GdF|`!i*h`Z!qEG$#qX`^IBK|(g-2Wckr|rcZ`QZWC%1iBQ3v=x&mop3P>uE}J hCU)ikKr;>j!!h8Yx*=_|DwHS67*Tls9{>OV|Np3cLP7ul diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index d7b359bd8ad1cecc7594b1ad129623017ed08bee..eba788abe80c878afe58854163d4552a61454be1 100644 GIT binary patch delta 4582 zcmZ`-WmppoyJmFC*pxGa3X$Q9`@4$io>NmoF^?#TF^sf&B{IJmTa4@4g zj7ZYqh(PHOk|(`1B9$am>zwm!rC|wNQbf3454%grKJCNy<)M2^sv2JXQZ)`hRT}l& zsng>o2T=hr9yT#HVD27CkQ$dT78M?@9ed2Ok2GCwDVTG#d#$h3^Kd`-@r#Q6Co@$R z7bmbeQ^E9$i6hdDz{5J-E#4ybzX^6eX+&D`z#W49-qF|Bbg#CYO&=-g9~G|}Qz!VY z@ss_RRtDvdCCsTRPQUuag%t!--3X@Jr`yjZ+1CH=QHxp$a$&16Rk6%JnC(MF6-^`c zQ2l6d&$1rmeJ2&O&-w0|mh+RGu3g*qcL5A$0i;92-`r!|%3Z`7sGW*3vKA70W2$wI z=mT?3i~jPYu2|&?P1^?7nV0(3#LAGXPU>1B<&sG&@_GA=8x7_uiV=m_$&JFjJx=xJCQk zDSLwKEnh@aEU&ONGLvCA@6aPvv&tN09uB984Zr0Dv#ro9!kU@V_NbTP?ut1!Wdt%X z?y)G|F(ObV#XkW4e;BQIcXwCMM^~^>+f178!W!!37NbT3y=Xdg-=oO_KDS~sdbQsG z@lFvO4m$3yUO^eUB|`IejTVqrMVouVk$I|_FW@`XV_d$aE)~|EXBAapjWab(S2IsK z7x&F_uI(xojY=j;URy4e&-CVTFa$WbE2$B0j!c(dZt9M@H)B6M|jzgZ7VkX=p z@(r|nq$10>f+QpPxIWQ!8+WF0ujC8wXPS^kII)xx%~P+L67Ug0>AH}b1P6c-qPHaC zK?`iT7p^MDk>*;*tb){7ia`2m%Z5O*P*GR|F$bW0p9pTyU%TG^&6YAfEQpx0YJVUl z--!Z{@|Rfq9L%xsFx)};|H3ou&|d6M0-X$k6k!1OGeT`FagqZC#~?_hk>>59J7)0d z&Agm>^wx3;Qck2XetMrWGwwzaetYAYRNK^Y!Af1^xKd8Wy?q|yO2C~*lya9xJB}D& z!cCsUr5a9^N>5SrN!&G=BaRBJu+8tDycWvA-`2n38u$0!iOt5q`MH*KeI2FP#qkmB z)}n!c!IN6tfESADA+GD!h+|tE&?;?w_tEe6eHF$QSvaI9vcW^v9hT-~e+v_185z}M zbgk~@O~V%+2ogomb5U@d%(i!E3b0M8xN29czEnXoZRKTVA5U;|#CMo7tE8wejo)o4 zh4)pZ4bVF``9Z|i&p<2u>tLP`6NI-B7?>gO3}us4BFQ36Bh05*@LOljO)v z=2Tc>Y#Q1jpPy&gkH)k*Vo1j5GpXR5%9a7cSIfUu_1-0A)yp%%#$74#l8LP7A+guc z-qc_EhdROAx|zTDwz3xgNuR{V-^xbwO~+yyl;xu9qm`|{!QPBs!AL67XT|({|sc8X|j5VGL1hlu+zd3IXqZMkk zIJU2;Ff(N5vSjxexw!jk!3s~HHT}IF$Q(h>pg1HJ46XQUqI4UF*n^+3Vqiv80D^@oDmFD1!PFPfB{5+ZJ!1pWe`ePz?Sgy7TQNJk3ZT$l^L3(!wnN)uUX=OC%cYga-C zIb)<_$#s+H=IkaEopb|O=Mkw|idTdZc`Y^qFmJxRO}$?lOv$*O%tOrhaxQ^Rbi||r&siYc#8Gp`4Jz6hbBt6F zzG1`?Jx_kCOx%_j97nH-T2ZlV+juiLzKy=euWl-U9^n75M54CEf+5+R!6=uTbIu~3&@@pQE?Fd9q4dr1 zx~N!M-&ZBWlgztAJJoC^fqx*kGYlc1r$3IYG9&=j)cE8Hzg<2Jo=fjH94-Ot#kTPq zU;kO6V%!ypF=Fibm2Bpke;t4o$-C~&)do>>NhfdUG?d>RNCMW{o<{S_LyZf;b7>S& zBLd~>`&$a3bkUFRkM|CR5Bt-68u6oU$(?>9s?oD$pW-Y?@7Q9`q9>)KsujF0;B-2}vvEKy*<<*?+0jn`?qk&daw0QnL00ldTotKf(nY7Y;=zvD??wlJB^b0ehJrf1mlH@!sm;iM1s+am7z-$Z|~PrfC3 zx`)Jn?9KxI(<=k?jCbU2)8CPk93;Z`ur@>n`QQaLaAdfD4(u$mo?7V0E&Zg^R;C{_ z=9u6lkgr@4TengWTW}gAQWK@~JdF0QWxZtUGTK**?>r zS94Zz)~2vnwbCr3a75mEVY+wQX0@C6v02WLy|U)fS?VjkTMNE2Z0dKui=!P=!_hLt zs`{)nXu3k9vhBKDm!yAU#;-T4arAe{iDlWYSHNt>-gwdO?Ygj6^<8VrT+b^1#T+5M z9Oo9HL}`px=X%kbIoMy|5BpEuNH3ZuQKh!#db7U{jTgr@{@w*^_WkASbZDmD=TV93 zBerUazq8fz+Ux3+R1=IV>!I_hWfdA*J4W44INNC1erR7Nb(h}4e`Oahhp2Me{y1=XzK4_B*q*PeQ0<9~1k$Dte!Rog z(a%eZat2d!a-@#XG9fE9J?-rEdudyMaqy3=UU5c0&H~!9QkFiC#J_E27Kqu`L=6^M zHMY(^ZuYt+t0pcqvD~vxx*=44H(>zxfrO54>M;k&%Q@d)k#`;wFphQ8@HeSJj&q!= z+9#py%#3Yq-hX*5-IN%R92OWhAnjOa`@xN?nUxVAUVVJjKaM<@2589IMLwLna=lyN z)qzNchXMC5&0-`}xpPrfhGZ_J^Tt;pVu?_~H|my}B{Oa~kL-p)VVd>LxrLi`iV?#? zK2J%y#doHc^7f7K#TjQD287VXHlc_!HbaQ-f{a;)8kvG{nW*ui0#nTgPV2$Bg~VyL zTo#x0`?wv(b0qNBO+QEGvP*}xrH6F0T(c6aBvacX^s{bO5GmrJ2t(ublW1A3nq&d* zYtb<-Ed0*?a_R-GS=O~*xU`5$@6X^5cK(b5^9h&R`PkM z2p(PbRqlLV<$$BTpZ@w#YNxr4E{*J(F_~}CiVBM{F>F*7ayv)1 z?7q${m;00DaHN)#;VmxrIWjo@x8qBh&W|0%oTUQZwpwLo&T1rbnAmm7-ZBSMDUVFV>9#EXM!&+VMZm-j9V6}>tteaY-4)r=s zTR|lrN}muy;)%Wh;)w@%1M$Gm8$yT|UZ4`cf~qQ@wooYlgn#*F&YYj?3C*^oB~ALdpYpx%mLJe8+Z8~ALdpWR|1A95Jmjkc19C$aae^q+%9H961%kZtxCV$^mWY}Up*Kg1l53Svm3W#e< zz1j?MTyzHs@qcRK1o6z-snTBq4LDZ}hSMYKEnxJpUQl~0M@M7jW$NpNYN_b-+XgdH z%gk)YO222)d&ONQz36<%r1w^q%5+#>te2_Wt6>d7P_z@*UrVI%dN*;L**eMw4vt&V z-X2Yq(MPlBl&Of@xVJj!E-N#KQ;g~!GwH;Bv^bpbqkrGQVQ(#Wh<^qt2{|z?7X9!u zpAg#yanT|ULIAvY=dQlDKPE1zk)BvA-s$7VMEo;I-qENL5^vbp1-GjZ!}a{VuALLR6%IC zNC>k5MSlZd)Cr^@u+By41x0j_OcEA(Quzu}SYO4jTjj#{!! z=vfMVB2~WuN zE{3vb_qz{{cBVV9{_xiLqg0{9`aVHOiT3vPWGRAU`ZCcW*juGc>8^mCL6>4h_k-OP zpTbG@J60Tu*?mi*8)9Th~QQP&qr`Gg6AT*5y4TvloZ|`N{awX?y?OFJf|3J z1#muq%>d2?uo1v|0P_H50SsRD#wUFm5P#fPiwIsqIYG{FS)l@tyz-wrG4NF3SGyQ5 z0%)NIBWgJ)_^x)DLM0zr1PU=hZlDQ6fTTMClI{dZx)UJjPJpC40g~%7`L^XtODyBGs=}pWcuz6Be}5Y! ztf}t11cPXKcj^I&xdS3e=YXVhK$5KlNmU>~ssaI06$o%)(yBld7bdL=L~&t4#~fv? z3cUXVh4*#6g#w$k4$Sr zRrmh0Tc^VUmA{#}DF%@d}BM zQyWjUiz##9M{Pq-o#Dwd+rvrC(V{x%wC2bYzbHuIb4_*kZMZLwJsHw;_`LhJ+;;)0 zU?_V*#Vx6vAp|@@&|E5_5L)H30f6lSz{w4uq3Y)p;K_}qd8P2|!bI_^qp}<Fo?iUACR<2cPds1nsJ*l*W zCzY1)q|y?eR9ddDe*YiN1pb^*^E5h7VC3B)10x@wK>V4+pHlp}#h+v(O-Rb0cKrEg zfp@%6DEkFKvP%FYV-HBQ(|RcDJOR=%pVd$X`sb2$1FsH|(8otb33_`49N9-x zo;Lg18h_CrEqO{ZwH~5YwzvbxS?Gyvg$i!we|Rtrj5M#%3=hY2h5{_*g0HiIauXG) z(8QG_G%a$Iu(bo5lp!xAr6{0$2MaVzzR<`83Mgx(Z1YW0rvl11L7tONgMc}reB6t% z+NQ^N^AclO(k7fdXgC6b(IKrEnU9fXjLgMIBSz{mlE;Xc;Q9#`aEVq6`2HIR;(}T2 ze;aUJt_@gh6vo2tVVb9a5gu7WfT2fTS%4rVXuAjyU7(Oe@DgB1hf#nuVWTmcAcFM` zFxcp_i!Q8yA*bAJm!fL}zM}*RURfc5K_xGo5fUQBi!53oBx`Ss38S`!VFhOhDq&$* z2?@hWNElW^!mtt&hLw;otb~MNB_tS2Vn{H7uRM8RU>;+cnvM-mEpDTW(6}x01Bx9R zS`a1d=kgd~8Es(^hvW+pTi%M1`50-&$XtvxVx%4;u?0C>fYEmQUogU5oO&ewp^*gx zS`tmnkCBXhft;MA*&FmBCxb(iD+w8YVnm{xt%XI>28%E?Xt>yP2eWYwW^pg!jidaL zpgA^f@N8a)x65phhC!0kNwIrq!5~PB*TJS@N$B~?$wtS7Y@U1sz+>h92D;YG=`u66VDL`xhaDVDaHeU!A74OeEXwq?p8=q?5teo zU@GwCQPLKid}d&0kDM zG=D`B(flk zgBt$_Mf8h5Qu(4H`UP>HBKigKtN)Jlp#j8S$DJtA6u-Jmh4@pJ&ZjJ$Zv}h&0Dq6g zS?-7J{6#DZ7~%+M@_=}gWm3Wg1e{Nodjj!3OZ>AI=Q}OVYc0+ZdW;`*H1q5cOCdo( z9xBmz;O4E!|Hk5fG}Gppe;E_uF-v@ANI-bh8e&T1Fj=7roaZb%Z??qCG#1~1p7?=I zQaRhv^Oi@=SQIeCO*?;P>3r5A-eBo0)3_s(h)S0{Flpv_6%nycB+sSt-JA$tO1dWG zQuSW$=W^}nQ&!GnEl!?G6(w_Of6p@MU}6s;m&&AaKfCOIh-{xNR!>;O8!X~89<&%zp|DI{I7=Of$wimD1?Cc1B+;ORAyxHBbG;> zE3={_Vw@e#d5gGei9c)+zhQ}g(juCXf19P#jQnRT&UuSirg4-$V43uEne~GC*|)_1 zXc5iOeazy2JZ1I%xJ4|}*rShFI`yx&y#ze^o5lHki})9dxZf*t0CfJy;=IG+{IbRQ zsKxnXi?htgzF%La!ldUc@o!p0ob-|h;=i>xk0)$|fOF>g9}vH8^?pyeCv@~Xi}O1c z(L7!~Vd*T>*v&5xHJOULbMxC+4r8+*ewY-#44sdEi^@>NpmX^0>?G;*flk;sV|>a+ z*aZUu(HS?lE#p5-#6<4}b^estW^}&S(rI!god7{crnt#z63x;4yyemREIZ?0`&mCs zGL1LIO_MI32#XSK^qvG5tss7jIFkkt7wJt@fcR;8lLy4F(K{n<&JJ@Ykmv&fo!42$ z(;;$y)}xB#!^!CMnN!uJ&T@5YXJ>SOYJA^tJguJFnv6%|Q`N0$Rk?4gX9wS3 zoleeGSf10eEI9lAjve-V_?VLEedg4g$=ylKk{u7V67~-=`F9vWQ&aLh7*6D|K zMmyD8s)L8d(|d;m@5Al$$0cS_j*W=An@~4ja;URcHr6;JP^_~!*v*4gqVlt=V5<{09I4|NnRaT#`*oTR{|t zPt>GZV>PD554sS-p~WTC5e*Sd1+#(&^{N)Rfg z&|?2W=`XMq1UD^$8|ix{Ut-|p+?;uM=bSmGNExaU(P^!=mqF}-Mj;c$uB^hqN`((; zY!B@ty?%DgH07WHsA3|w92n6{=lJ*e%fBC4L^fiAPha=Z2tM6h{Ws?`P}{#Ej0qy) zL~M1Mr72)1>C=Ne;t=!*;xZzCtzIS0rb&y;Q9n+8{X9JW2D9(b;})3+ZBQ!woUvNQ zQPcV!jRQE@xG9459Zn*0*;QAPLI*l5r7lt0IvKC1eqYJQneE9o&J8qi5AkyhcnEp_ zW01?PH{Q(8*Be_Kn{V&a3nVr_oTp4Yfw-rpi&|b4p&g_pWJ>QY3Nwg*Y%vIR@5%dz zE013uAx+PqLgzeGF**!nY=?Yv)A_BxZI`hQRaTJi* vmzbMe!8}I&GA+!l?c&HBJGNIXQN|YizJB_8eZ{NBjqtiq29gGlPk%uI000000027y0000000000000sL00000004LaoK#Cs+dvSG z3l#bWgsQfuIrWyrHt*al@(`6w+ayBmsoFY|)M6bQ?=FI0PiH+_NFo}wj5Pi{-|ozO z-W_?hR;#@ve@br6^J>osBBe0+0W<-D%k-az+bkolYlemDsP*Aa)sZKp#NWR_FmUPOo$3KJ`73 z_vL#y#ZqV-K{u7CY>mG)arxY&Y6)}oIdHqWw$Ptzfi_;H3Bu<>r}@bYb+5vDM)g8bv-{Cdsb-e$d(vTfrfOez?oqUla7{*c6=@ zoQVvXUMJw@9KR(P=0#oN6-ww#b*gWcY`5uMk)_fNw||Y}=o_4#ayc9GWpVt9pz|dT z_xxet`zQPNyZA0S-XIw8oHAj>w{a|t)Nn4OapFG^ZO5z<2n)uUb%Oty4$iW&mkP3X z_GuXPz$h$}e1yP>F9`f=-wzJLsB_HRbApp!m|Q560aNy$Us$M72f0RR7Z0peg_ MWDsCrV3SM&$8VYVBLDyZ delta 522 zcmV+l0`>ip29pMmPk%xJ000000027y0000000000000vM00000004LaoK)RU+b|Gs zHa6HdAT(`zx~ILhb-ET%-=ab*CS@OOHH|0arPmVSG$FRp`0Lp@=RsSsYTHSd^PTU0 z_p^Pt#x zDR>7zz&V!E-~{@qLhUgA)Wp|wld2^w;j`nj`VQ##v%nEvr3u34!le0Jcj2_#9Ac5{ z=3sa@jN)cesDDW_x2CY>Z0dOUjYg4A*HLnNe|h(-R6Wn-%Z^cNIm7jr{feNNcSGu| zahd6vn=Y1>K{C=<%{%YOjBJuni>XymhklttRFn|5L5QvhyKMn2(fYvYFUbt)+BDr5 ze3BV*c#{k_Xa6;sabDCFUZH~Hxk=6Snsis*mszU(aDO{Lj=sam3Bx&`AB+7LWRAbY zt+p74Li9R!S^SplZ;=`CoN~aCKfgN@Pk$o}000000055w0000000000004^&00000004La)K_0@9LIV8 z&2V-(yRvw5E0V`5t}u5dkEb9JX#2$w9AWN68I)R>OGdWhAP|-p>BtC)p#rXP6{y49 zfl?>|QC6y8IYLq_35k}4KKLOIaex-*q9{`P!E|AuZV?1qLw{mrBM9=6KnN^3-yYe< zaoN6ifVr8ynf<=seDnMMtN<`VJ!_IW`+qv}E$oldxNmE|idEPG*qORXbHLN*VcRgA z>qr6O5bPCTv4)L)_=^tg0B}lxcCxciSlk3EHJ$Xqc@c<6Vx~d!-axa1*`Ee=g#H?^ z8#qCh3lQ5$D}UcckoNcfM44aS4YRoxEeV0QfwT{DKNR`|p2*BiZEN_A1yLjuh>8fjY-W^N@=rWv`85k&CDR^T`tMGeB|L3I12wL?kmqzBSO4 zkgI-!fl|2iJa9U|`ZyOYSKk=7Jd!*NWOzw=);eWP9e6nNFE|sk80R6Gt(^mEv z*sId^gl>o2_Q$LVbMFFIKcY?Zr5~JTqLE|#WX&KJh!_@1rTq8QBp%5FZP|DhxNAIb zT%wsrGz`4Fm(06~yatfwtP%1wzp&!w4Wz&a5~0WVGbLF11BY44z;;&;S*AHL`lCw_ z``Q{&2!D8p3M@L_fjvfs!DibIIb7|ZfFRr9!2~P~KZ%i!aru{dWr;#f>on-k-%J1+ z4A!KV4F68CjUigw*+YKfahxUvebfmy=Kx*FL5}tB*DtrYfeSiW6b?(?@L^e)d0)L; zvm1he4o5iKvi(oP-^>I^Gr(b|>8`v@rhh#6^wUpiXRmFZVl{io9VrqjU=NTm z_^HeWrv;3W&Jk~GP3v6>6GA3^U$+TF0^8-;vSkSIQBSC=>GW!WS^{U+WE8d zRoL$;(ZR^a{Rv+T4C+NBkQ+sZFEWcvo1IH>BKpa zoP^HUvL!tu%_~5)Mm9I$!%<=&J9^sD^^?lZLS*D%9ch`w8j^pW=6H&uo2y29YRn%E znHjS05%L!I>}wJW-0i{L4moHkLipkMtM+VO+X9IuzpHcSPl?oP3THZ%I|b-ZVt?3R z1a-d>4JWHVtXM*tA-~rTeU@Gz`DE{`WdrqtB*%qmlz?F`Nq~?j-8h79Kluk7Gt4-# zv!<1Wl>bOKzNV9vob3EW;~y?8nFi;21FXVr&m!CL+`+7Wf+H#ecS2w&!O>Z4l;MBr z0(n!Mc@xDC**L7$iLYOCd0Gh23V&qg%gxL2DGKs07???dy9xad$StpgmyjgnX-%JQ zXDV#vFIx)Me<#%XP#iw2z+Mxq$)5R$LcuA@9|ep1AxmeLR$8oP=Qv-_Y(Z^1n=8rO zTLQ^Bif)W!3YZKKNx_zcwYyZ(oDu1<3R`4TwyZ^^Jh_s@JS+Uu%w|@!fKDQTJ zHi7qSP6R%vG52h3@;X#!OgAaOUK>fwtg+Fv!OVx4MBfyjV66L9(q2VZAHh?M zujeq=l7|zr4Rn$L-xj%>)6B-p>6&oALb@em9cvb(DBV!j29!A|+U91(i9ku0jW*d( znkTaAAwd*cScyjiQ8KU*Nq?_V*Z-YEs}!~UIh;4lNdGxY-tH?lAeQVb!!5Y$^WYB+ zlH3dY*T7rz#ym8$-JPDe5A{}|Z|Naaw~Wip+4CfId*m3Nb(?K`T$;m|+Q7v@oaQ3R z$GmC`#+hllPFgsJ2XyS`XIX{Wjo<>l%vjqK_NN-@4op3LL7gV)bbs!wWkVn`o=3sq z7k&!ZZ*uQV;&~aXty$l`W8_mT2+NE&+US&(=_b;+;nh}0-z#7w((3(O8;uTMDiF)` zD?NK}$8%Oz=hHzMja!_3hAsQhUmVtVACZWN6LiUscJR@#!ney63|z%x9;wpok@7O( zW7oAwJvetKX!9Q-Cx7&WoPpatEKUXxE*zm+z|Q?bckB*!E3B&Z!#qCD{lhXAAoPuZ zwb;@PrLa6Gx{c24i$tNk64*IPlDD@xr9z4>xY-}0<%i39s3`bk>I?rH4!7SE2_=v8 znw6i}yuC~`a##|<*;B;DUW`C#fa3g^jx34Ubfe7X)N8!nLPV4A* zI;0qxEL3lAfwgE&8I@ndH4sca|38gHRJ;Tp=JGZifqMX-ro%49ioN69+?@x~~ori{8V%*V1E zN?F>qJ;{U`)PG51IB@U^MK*dCfHtd^wW@9HBT5Hxryq=W49Wq%0P!u_bj897!QO#Q z`y}5_BKjtyCn;!TZ9C*7lUA;Ni+)JHPeBf|(2^zPVr&}}(`f@m;=fsfOQeZ}Tnm7| zUGmNqBx}Jej7#;B_djBb$ZTF>rnbAv?6_F?i=yrZwSVY9z&m4D{#spy0^UEFo=#Kq zL-`orLl}#seU&Pl7>!wCpjydFpbYhPJjXFbdd02jLEYlQ{;Rr9_jU67a2{B&6=|D{ z0s!#R;~G@EH97859r?f-9HV*&@r`*w?|mM5Da$crc4J-4k!CtR>d#wyraEgcQ2fH! zd(+Ca+JEBpp_n(zFq(yK&8q~<+A7|iR`pg}n#@DX4!!!n*nFT4s)=u1ii(Y*b>(jI z{E>8eHMt3m=L1qBHwOA}lCDh?<*l>!X8{iIS1*=0CY|^$4ZozjAn7&goV=3L*z?fP zHJ5*@%~TdK=5%@q;m4Y)+&>{8@_ngfYww_4QGbx_tKC8{*9xY(<9Xb(M?ZYUwoesn zwn*l_XnWE!yZ=|)oUcNSQH~w5l|epCq_c+9pX8! zGk?^2JReDnN z{+h)?o28WY&*q)Mx>nk|x;Dm~mrJm(fp#Jp6WAAgo-LGS4^ovoY-U?~vzq_)W*sHh z+IqXEkaXI9cn7L}H>)A)es|}qIPRm}4}W{#e0^a$*8gsa@ph%?wtGmo<$3R>=||D= zCM8UfK71l1nZL%A#Va#>ZG~#3j*kC@H1g8QE@ppaX^kpU$KF_hYSeU8{JhNxdA)4x z^$M0KFYPTNEm_>2B7fzwZO5WA$8`zo`(iIsX-VL=be40($Q9iCYf(DI99yTVl7DQF zwQX&FbXBZF-5Xlce;&->UFDtTGLbr=Pr?hs3}>p8{V&VKn!?XC^v+If9JXFS z)Vkl@$~R`T0TM4W^t_%C)@2B1Lf5Qamq? z$&T|V*u*Z)C&c9K9q^v+@tnSiGM?kxnFiqerg=C`sn>|Fo-uX7mdDvp6EM&6=y$Y6 z{5X9jD|S8J0e$-~d;zM;qzQNy&!cw`cKc$szhuyN6i;qJ-WIu}($Epf0Dr^Zn^@^9 zh^n}KiM+6+cPq{#$0o1PQ62Zhw&$Dc~Bm+|S-ojbk%^ zKnIwe-{1Mn_xCCQ6j*!?zeZ_KuvmysI;&bMHo z=321sWF$=i-G6;S^7p{n1K>`!6H)UDmVMa8Wz1BS-s^|myoX=+Y4*6j-QDhY;PNW> zdI9OC^e+lHtspr%Bk{a6y}bn_HF6qU<$v0S%_jQ#((gU#cQ|ZkQwyx5 zxLsnlid=dII&xv!0)EVyO^7MqzIH>a*Trr}PA-wzRgKf+DVGOWvxoN^@;nV=T#4X< z02{nUS_)gZL5ro9>>hI)3(EzUNA#5Q91&?Puv7(dQeG(7G%vlFqdagyAsvEVG@%>g=nPU${_z!HLZIF- zTLTz5_gm!CgeQAf5cxdOoQwELv+TD@(GFzJlNo z*R+(%a}UO_`f;TvvJ5eOm^5sAJdeUx;S1~!rQ{9wZVaO-6#OWNIjWU!Hhr7c=p1I_ zbbn0ZW&btD(Z(!Jcg(6kZE&2hbYCz#A@_zVY98ZpF2Su;Uaheb;{Ko6)^n|86dQ;e zBK~Wr*BfE`By7C|ql<^nK+&=>Su`IFYyEdR%=A1%zTK2nrY&_j9hPbJd5zsg%vP0o zBNwQrX0!=P_NkTVkSDsiD=<<7@&pb^+hA?KXC(esh%N$8K=|M8p~vInpdxvdK9 z1-j(qlFmlSLdowAwlmAl)+k5I%`DkyVHsWC$F)r5o6|_HI*zDEoY_gwt3Ld3a(|M` zyyabPOSMS^ye5&7#CWj17MWeZltMB990Il~2xo1S_|WJ22A)A~5p{M`5Bblo&V)^w zB>xO73UqzU{fBYMi;&r<_E*%M>;@V0$^c@Iw(t!N1L>FboU1H-n!WCJzeDR!Txt*> zmEPxE$x+B}A}ve%hCR-@+k<>#fVf0XnI7fr z0j%Wt!}2sHbM4j{xT!KuF#cSz3OdyG+ORcRR&%iLY!Ec&BPq|w!2M`O-hs5n$-k*f z+fjIgxJPs+n4$Tk4!CH_K{yR6Cyguzv<|Y2ALsLSH1$B~5QeJZ%_l=m55A-PiQVX)U?8X9WS+1uYJLx&v_WG6+@z_?INr20j@yMz8 z>FKQqh4%M=1B|c5w^8mr$pYT1)H+(|a>;jO=EEToUR=ic74ogm^ndKzM;_NLK?T{}HqiW-n8*u6czr@`;>-pup zuP(|kJ;wM_ZsRTc;-^le&j|lF*+u;Tc~pYAm&;$zGOldr_sh5^n2ib3$-_102x|vz zc`!HAdcgUbYMn2`4u93LH)tm{bYj|7RUUt!JX9ga4c_$_5aOO^JO65tcslwO@LmA9=YKFgZO=2!Im3iX`tU$^V)7ASDH!o6M4FR$-+ z`y$+X8smdHY<~*R(O)f1bZCdFdE8|A#iL4MsCOB#LA?8)wwGS+=+C{j&eGS@`g^Xz z8)zy^M=EKJzV0$r@*3V!c=c=@Cq$*4_cN>$wE=veI~kiNVcs7Upf@GHOog*5vzP@m zfcrONx3~0!UER&$=rOitUV&aJPIiy>qQblX2Dv1d3x5EQ>r9~O`A1;OZFyx1Glpa` zn`L=I%-Spv639^BnuKE!P=G!>Z4)UyjEH346822KPqed zJ2kYUFeT08m{1>>UJRWk*$jDKzVzq+So?Ms)4Sy4C$o$_=2SB@Z%>D^E_DLaDVJ$G z8|$gY-G8ArezF3Qb1U^Dh545tE|k8*3|rLKTn2VWQ-my-zWQw(s;}C~ge_=Ufz%y5EOP@Yw^%bN&bREGET)2%m2tE--!l9pvQsKNRiS?J2QxRYM4*)c@Nv zIrm+G1i5Ze#kfIzPkB59_J5D!XJaT|pgtPPO81HGsOKkyisbIa&9?c4 zi@e&1JTGRq!ZTqRaQouq0F#Gf_1%x5aH1e1IeU6cyI(5L|4W_w1W5lB>Ty5gq8y(5 z&9Rs9d^pA%hhZk5?TCp_)}#LdR?EvFrf(WGj-pVm8mh%->hvw~A6}Y8hsX6O#{ZU&%W}mMy&^M1zs+3HOoaRwM>w@BX0B+qoTmdC{gB|xvQB$3}AFz(FmWD%>^Hy8Ft>_x;`Hc22(MeHl;b{)Yt^ z^FIa6=>Jy#r;@VwziojRb~2dLjjfGO5rsz)Utef_WjOq%0EmfH5a#4$Wn*Jy+H&1 zG|4ko30NrJojA;gNI9MU*}7T3Rfodhi(D|1ROs!?ZW}cqjwWd0{x*I)NK2$lso5C{ z^!TVmhQ!45oe}F+bZ5t85hxxGLzE3_9UsEOfdOxC`?>u$E^=eW-+F)8*`FeipQ|CT z+fZdP8i6s~)qq!tl_{0Zm~>(`CLn$rBfBu$pomi%Ucp_-UAsPdUMk6}vX&y*-s0rc@fNYhH{&MuxbH9j>ijj73Hzt zElED&OkV0#+=g1PyGs>Vs+^us;W4NOYBiF^cQz;lWMQ%}lFA-LLN9JnzuFXsT44y( z$h{{6)Fy5+Fe@bV_cl!KYx$V7;=)^eOxa{k$Bi|2;v8^%8A(v|9O~(tH(s(jja6L& z7_o}`?mo$#F=pLwuMKi@Y>YjA-r97Pw1w}SM9c9JDZ$Z}&b3h(M+E3B zZ^e*;aA;il*oonwPH-Fm4T(<8&Ab$jmAqsXoZJB{*(FbdD)puLSMtAo+?Lz$gUm+h z5ueNt1&85hX+zyD5~$%*-0*|u;1QqN!w^B2H_C(s?b}GEQ(RG_Q|PhMf-M_ye!2BH zZZxfk>YIa#1tT;T5}-9aio0vb7GT;`jV={Ub6kN&v$$ir9;Qb(eyx&EwFmD5!iog^ahIp|)Mae_D986W+)?+|7tL z05?z!Ajmm-Q%|ieu8TUL zh(rK%X~{v>6WFBDO>HlPNkR$CrMhSyyht%5r^_sQOv~|6Ofv2Ma_V? z4!(1V7zf2kWPr+zLEi#qie`(&el`{OIx6_d!GQ zeCeUbxL_ViuX*hI>+K`>DDB|PB(5D=Z?m~3u}Kfbw&AJ8n=l_3bCE%!gf;Y6EaXHm z9Yj3!A*Y@=K>PZ>3mZeM9F8tPx6vqD^foDdn~VGwBr&W;6+$|Zn6KKb)zpJdtJTpu zBt^(#5ikt>wm6a$n4Ei^Qtp84@KR+C33-O>jl)#eY>7d` zkn3A>UL~A6eMI!^F!M^7{+<2DgCScmoD=n4hUuY$pGxw=J`HpRxeYWX>5vqdkM#C8 ze#DXS;j=8{ zT%73yvnPJyUV<=pa7+}_5qtpO$-5PNl_b=p2pK&_A5TejqNNwk?m~l`P~)I^)uI)Y z*GLu`S8lsmfC?aQBKfFS`nN$mNT~^r;HFtbWBG&Cj4h(4z(G3#!^=|#RD`ao0c?i( zPQ2tvP~k5Hm^h#{=)`t^*5Tz)kVd`$q>1?;50mH&Jqn{V0B*W09_?$NBT z=&hAa+QCgy-WhGrnS@kFK-5+YExvviUaMk2hWfk@O+}_Ik94acESrxAZJO7L?t9H* zM$-KDlt*B}!A<%Dh+zyHlssHwgY<7`-lqroA@9yZOSchxp%dgR>Z>C^6@2ko#{5at z*%EU|!HM3fU^xuws}cro*hL?=gX)30jd`e=#eM&#bYgaWWYaJA)7y)J^KkpnU@|?o zbsq7cK9vgvY!;P~C$f%JnL9 zkn{pfjUvS%8-$ez`<eit;Y9&^PYy{LH z-Dm7P*3R(DU>`&jvwOD+x2SBQG(1S$Tk7LI#A*PxmtuoEa;y#);tw6R54FIBQf+6eIV{;f zj=vv&C%^GrZt=1HyR|qay|I~|jGWx@}B?5tx-|wn9D=?3Z@(XksYiytWZVq=_3D;-(i>UZ`8L|It@S0q z$N&-)${a92xWdO!DV?%=+O)C5UQ5}-EEH?X_(VxMfZ|@pK8)QrwJh5zF+E03SdArhQmHX+paLR)_>d`2s?VpzwE&~%YkO|GANsGl(K)0mCSMlj+2bBuOkXyb`{Re2 zl`J2qxA7CL z3WpePTW89NvlsG+6G-M|;@us{)7J%=d8K_-XE53Uq|Coh;+x?g?O|FhZ4P{%d@|O* zXdPX@tM9qcu|Ku%_J}C-15v6eeRiE5{$CiYbi9yt1Ek2m;~v=1z#vJQL`CF`fKcY^ z1CbZCOyoO}mJO(qZu=+|1z&3?+SF|FNeQSi7;$&&cG(8lm%CaKcD0u&AV9M6#;$SD zA6?Gfy{yfT{jGRdDy(=WRkkFXD|fyK02e2)HGO#eLv>!eIx{o*ILo970*1VfXupx2WSs}H+&zo3l9tf4ONJ8On}E&FSF(%GYJYa2@yISIGN__5<` z*kA(#<(5TB)p7Yg17)TJvE+}$6!Qc{E2~@V`%;5_UJNW8^1+&zh4t===S_(#r=M=y za|zEYlQOgPzI`?+*tT)md1{^LnXf*6Jp{;;G*kFp5KJ4&mnxh7caze_*m=(opr{m+Nbc% zZH3-YlZlBZUW7Bbsc@tS_Eg}IXBlhOmbZZ)@-^pjy^&0{sCdNbKa@Y%t!;5C3rrH* zF5^Z;#NTlv2L|q-x0V|CxzfS>i%}wfi|J{p6med_eZ0#+d#{qitALzhxM9W>3vWTP zVe9t971aVNzWUC+qoO_+pKF3(L(1@i{nptu5ApKtbVCCkQ_NP7Iojz`lfWXuc;#cf z$`{iQwl%eZ?we~jS5q8djIX;JgQE18e%G~i>U-(OtW0k#i#%f#Viys%N@r*1leT76 z;8kgfhAzUFxw|S4s1?r*rVz0B+829*7fogton!cJ?gvh=UmFz8AEZUUyZKtKQdfHc zExg8`yhU`2Jq=n)UDIg)5^~q8>d}az3ytIa#B!m#z&o*%Q_Xaug{U>KbiV>p`%nmE z{iDKJKbg~HOmV}>>F+jQggZLZH`Bp@s0bY-Ta+R9uws|{W6E1u9R3Xnj6f*2I~DnQ zlfFhx$5f6ld*V6`()}zuN&cI4>Cc1%@uRP!s4-sxd=JH4N-PVc4)b|!GJ;HBE@be} zHh>$P=Fjddc|Mv24E#A|@;vplUwE)QcPpgU;$5_7K$M!IRD&n?B)vyhJm^(8gV(mm z(@^>^i^sPIe{XNS`gob9Y0z`Blc4Cdq^E81=8{1^Uq+;XU{m`)3#>^D^_Fh)1E(3d z}OtM-2YioZ5b@HC}IXdlqZ`j1m3acfn@q9lRFLGd2;uyj&gznR~mL zxl(gkH}f6I9x+(o|1UIj{%T{rEJw0^Qo<7#nUef; zhG(`;Nz3%@DFG=g={v7-X{hdAxVB-D0XAdZQnlef|808WpNa37|62a7byz&=R-+D< zP@#&vo!$drDw*)#Kr?$3g2>YMUbiI%+YjiY>4kj zx3YEr{3}J%x)3}lx?p&!8>s{fX_$!V7&BE{X$2g48EzihMqUDYmTj$NZ?zw47%K1X zK8d2EF2c3^lGF}9-4ASN%>mRCUOXMcB9Z}+G7oTv%HK`CB0#Esxn!DWN?=N`ay!F55b;WBX7ld?+SDDqbs^B_q|KqqMa&Ncd( zZ7l@hC@w#C^L_5a?uCdynOc6xe z77pJG^Y$v|%F+vss`s$WX_F<`y84t4M=yJY-)J3AAO=&*ZeIHhZrVS7CUjhP@7p!kM{ntcd!ofpqiBEiJ64HRT}d%%(nY~sJ6})a zVTir?ee_0MIi1lzjsmVjx}WMqXQ|V-paX@Mn(ogzg9qQpwhVqPNOb&c+LIcnR+p=f zUFsxb2m(H1#n?I(`?@^YzOWiy!Lcy(;D(BoAVMl*V#}_~_ux@Oz+~?2&1ShC&$fqK zW$~~lFY}7ybJ%>mH0pxZk*3TU%1v+A1AglLeC789@uQy^V`-1>+!Q#>2`^=4penrw z-1|ArgCFQ%4&8qjH&e$ILEk*$f4#UldjA$*b0s$-H(8TGD^=v3w(DW=@tp@%sg~vg z9ghOjz3NP8W1|jPuV%chCVvxJ-phh&&0~ze`fVIff6av6s&9ZJO(bQ6#6{m$53`33 z%2|(0pBV(4Ve(^MbQ#c}{>@KZ^N_`}KXL#5V$b#(YXj`*VrenmV47Bw*rwP?CsMZW zBa2S6#$V!>;f?SY9~Im?wz*GU26!G{q!f*>-Ss6hYBmzcKHkWEN0qE7fIx1nL)GyG zR#X@!{4Yd{yc6b8eyv?D6(B$T-sZxo?*3L*^3dCr<-U9KrEkJ#V8P-vXij2aGg2Ru zCQp~zn!saGCia8X<+c3%L)#yM)pPG6gq8N}q7YIAt?igSIbe0v^MI=(AYZfbG6kjj zZw9>DqKa?XSPxs>&pJBI!`Vx26)FiH?G#8`O0QpqF5j}k+!hz_8y`Lpyu|!6d2^Dn zk$cji$vQc)&R4f70AVYY3}mfZoL(&G?3_{e$Wgsk)?G*|{Z2Qv%H%HP!c2*@!#WI> z<((G6kkXWEH0lp4=(ZR3VqW!mLAM(_-C|tv4gJ2Uu3Hf&^>@7}O z2pHgAvav8IX;G?}eDTXBSn#KeoYdeGO`4+=`40=Q=5Zxt_s{K>Yld~2-V(7BSg86P zTu)y>boAx~gnUDARR+4W0Qoi>B`=7@*W`f~dPD(s(8#N^fxQzHGP7vQ8Pha88Ueo`)z zf!+KI;4cl(z|7=6Dz6IGx;9H|K9yA>ExFWOWg}?y$UBBNMvaA-9?!)(6)n?xAPp>%+}<3;BBEPsyd^@ z6M2Q>+{Gf67U*1+QMB60rw_=EYlLV{J*yA}QL7fqze@fl6VeXBgJjHbQBc56_+=Qf z9+w=vN`gL){4T-+eD6|Y+0TGQP7}Qsi_;~MB@rEjDuDa*)guu&(!)%%HWcVaG%t}9 z0`CFurXnSA!uoIF=nN*#7ND)mhhhp3wIq0R|6?n(R11xT4)`lBSml5M1G7^m?_rmN zIA=7zQA22|Dn{`d9)X0lkZOy_1(S@be7LRL^e`_hSi)g=KQiuJzI9R*qkKdZPpZM> zXS$7#x=}!5E3s&Be5p92LZhV#@#Kb(#N|&6CuU3Sg;BycPier9?D)~lw$J^wawI$iM*TSw&fCS{HK~vPF%D3Sxem z_XT;Dij-YKMlB(_q-?4|?w*!PC8~6GdmibhmfIUz726IMzXEkirR{B)X|xgJ8%cJ1 z3eMoMi2>UXGaTWrVNv`K%tB2rx%00=dh;KuM4yeZ!DZ5-QWU4cS|k=o|FCZ6zUk}lj;>#_ zhbnBARGuoQZcghton#h0CYh{!B!4brn+>U|t8U#>rxd5B#0T(6!vkWj8da=Up^raC_{aNXH54cCn{ z!)jcX7rX;bN3wH39VSfHVsRSZAoFwT56g7c2kWaB<>c%*3x>494LXrp2hk;Dz!#D_ zq7Ud!B!oY%pLkbRKd1bz!7hRw4|H|ubeh1dhLn^UW7bU^$zKMvdQxW`UXjAkh%Mvm z7~755^s93#yl3gRKYEQ(4R#7Ra2a2~x({6nq<$pg5c_Z88^fA(6a#|poWv-fY~(GO zVh6&E_cJU`LNjd3lPrK6EDAan`5qx#bwsin}>I>bQZZZ z-eRM9dDy3Ejcl*k8^jv>;pZr>6MH+(a*+$z( zURIObl1GpDtLO-)QS%!c9vI^;W&On15$V&4LPq%Mhm%+kJkW zam(F-lLTZkl5!N9P?Z-?r0ODGF`@6HyW~E!js|8dcaK9utwC4zS0hh5&3s@H$ ziTOJ}zpp}7&UzS|QRSn-Nq=rl68@MfE064){T4|)y|XhouNnmtIBWg|1Ec3Un;COs zPmkQtsHCH~nF);VWCdlzMXey{azm*^a&X-rC;|4cQ)G5{2=ZP9@@4V z^ql!@Z~HA8xcd&hHrk!qysP9sH*W?e*{A`O-Vtl7yMr-)0v=<*n5KM0edu2cVl5PX z-ki%UmvI&>0X(HNk-!?+%qL5Y+_GTT-K<#fx%epJM6(BAyyeBF!==M-)q9jBk{nOS z){4e$a4u$uHb3^c%Fz<0TY#E^dau%KLo^$$fpR~-X)dJq@U+mx#o^;mg1(A8INrS6 z$sY288832;LNii4=o?5BQ+XIhp6a|&{oO9$ey?}@YOBga!Y*%ma|Jz#a2fhE`r+zt z`cttlyjAsItwuD8g3^QMMkh;-4n|OdfYh!Xufq|f3>c?t@63S|;LguB5Zu@5J^R3W zP$75|5eL6@^Ejow)Nmy$xpDS(&{)b5_wk_$DF-Ff&)@buPv{njvq2XWSPwOQwa|0UlXfD~Xz-9e(HNz!N9#FFxNLM^%#X94*zkGZ<@TSBEfM7QB2;?sf35Zi2Kpx3g~9aZSu_K>RFT|Inibe6cYoDf%C61-pg@l30#IZO zzhv$Xfmw`dG202myEp2k4db*}N8~u}S8Q=Q&0KsGs?B)})!KWlq|yH>-XA@JesXyr za+OKqCyPzp*!9xy?~56a7G3$)7> z+`Q1z1^vmI<7Ku?{tAQBcvY>t4oT{o9OoMM??g_!XXeXa>cVE-TRuBA(uULQ(zbnw z5AJ`0E-Ct2K<$W8KWR9c0-1sP{-r~gzTsmYzba>uwwc_leodoOSqi<4#poAuX{`-h z0P?;NvRiUJu?rI3w0Li>8c%uFX*)Ap&yyx2pr2cU2ST7{d6l>=Tdde5%q$eP#(4kB z=EWE50s+5Z60-d**gD^rc7Sobv6o4d$Y)FJx@f|dPEJ}ZQtTRPC1-slrYU$QmZ2%rdd% zzs`W)XKW#(`SmWHOpGR!ejTqHdZHCBjUZcG!Od{=Y}Z&o(c+^0C7+ScfPmk|XI0w{ z>V~k#FekG>`c5SM$GSAx#cyj2SJOA}4)1H;t1Q~e4sEp#`74yKUiwzy`Di&vU%q|g zIjHgNGyMI_n`aN{Xsiv0JsZTjv^?uYFG@kpau>cKx%Ep)T^FCHXA3dyN01^9RGq|k zQ_9Cx*;YV0v-;9nF7|c!{I~_=om4UYZN5`d4CWZ;t!vQUD?9cu#Xxts%^B=^ zm8TZqY83Y_ZGkpzlublWUY}^Gu+rz32Z1bU<|D7ngX|L&epU&t4ENGCb^O*6)yinK zk^9K#_+p^8VBwMQh!JG>^8AAztZwn~s4by-x+hV6451BszLif@*-ejL??v443xryI z%qt(ajK~~fsE4?~y2A46z8>1-{nYZ+KJ9rFWt*lVtiJ+!+2~SPL3dL&e@NMh#Ug{# zPgmXqthgrrDe*nOTnI!!HcD=aq2ZJLS@OH=B01LXJ+tM*mL#Shfqu2NWtpZzv>r+P zfIgdigHEf?&5GQF215=e>nNE7+aue=Y`9Wh6{u3Qp8*2umrA!~xJ%ZSRB*L7`b(fI ziSu;T{R0)=`b-~rduToVTSil`Uyn`I8TThFE$D>BxDYEqURrF%XTJA8al_!$9@u>D9pH0KYHue6C|NW zu~gjl+`NAFsZyF&a@JI(iG5$UGxox#{}ymixhwmNyoAyE_HaCaE|3~fi;3;zsq^@Ad0|L1VAaf4 zYQ=qIjov!d-^6`1!u64&Nz^ywyQh<)fPk?o8;r%|i%iWRMhe^8^WT>B4b;YIZ!m4{cygRZ08%%-YrKSRg?0IR;V_qx`c@s* zwNNSHrDzYYuptApvge)Tr^(IE`=r+s_xFS z9jn*wuUBO9bN`QZZ=dgb?S%$`BU2y;I z+rzRp9B(@aFK*`0E%Ny{Gu_Oo>|n3dUIWeWC6pF?R2Am47(DW5d|npovwq^u1s3v2 z=pLRaQfCzB544Wy4tROhE@yS)+}w#7HoctbhmrBL*}S#$TUN;^TduwkFMD_;IQ#pb z5A)CaJO@j7eJmKJ`B~sm@sF%6LJ|kK(VBerJJnd7=z6MEFO zujvAn_PT!CH;aEtiUtw6+Q@ho_@U}ac#a&0rQiPIDAiPyU2+f%?+*jnwxd!SH~XY6 z?Y`{i-tzdVjxe+xZm$mHw%tC~?~kL1UkCTPncWh{+jCwbT?aRQn-#yhVRroc;Y&7P znSxfto*%Zi5$hd)@M3_G`D#C5;+b3tpMG-g*qW|M-~+Y45|}p^SdI1&`)0 zpXPgv-@d9XqTgBhpC{;q1CF(V9&P%JZ*#|J!ru_DQ;L2KDT14-!{R?JU&_d+GOtT{ zoj^rP#e{BDnU+(82WCJ(BPW1DX`x=bo?Y_6s@f#`Wau4tJ*!cxq$=}YJ39fag29`IC%gxHd-wK{PRpLU7-if% z)A%1INPKHa<~D8<-KqbHPZA6n#YYk63g2#y0k7i9C+xxA&xf7CHCyq>=YnBLTnW@VF>hQ$!Rx$VOL;|wS=DFAU@<9yg&?bp zYsg&E@(kQ7cSGWq_I)Ss{N@S+gaEy)`cYm6~b9<^xS|D&q1MqV1Wt z>H7gzhGQq{m7Q+I1%KBqYZuqt5`U+P}L;2YvOIY7sFMvJGmFg^d$KF4F`2WLV9CO?SJ(E+u6 z&5uE*Hm|qOA>EhhK2`pm148Omo;_CPj;R8-jjxbEN#8$XOIe%DdfKjk_FQi!ADI^o zUA;bfZ}Y(M38bLMHnK)=+M&(A*#Hz0JK|9>f!g6LcNVGXdTT7HoKtv90TO^>17d>t@_Cile#MRr9$c{i7iyc8j(U zw;`Np6q#1QN%q!&$8YcpmzW{ZnU5I#f@sSW@-ZJ7E!c&)ptsU?GlOp?3Ppe z*<5inCE-+KztKxl92O@**kcYA)vm2|#3Wei%vFdFx9@B&vxY^{Cl{vcUs3!to#lOZ zG4@tBs33iG_dchDOAYC3c3_qxWVF2CYGU8av)YGK&nDzPYrNYDan%Vh@r#v&xAqJ- zh()Gk^@J%_nX)Z5!Ufl+`^pdyXuPx#OKHewd zOE;R@aZhG1w6U!|5UKX z-}dKvb@k@vez3HkraQSb(@j%2SGalR_=_6xvZGKGmCCL_wr$CT*_-pRb*1{ijs*iY zz8mh1Hc#TY4omWn(aG0hV&;f<1r8qf_PLhn`sT5r@2L(q4`droU@ZgOU%-^0>U`*{ zy;}6KGryqptOS>D0Nwy~H1&__V}M{@`%NC8V76k!XP~YBC)1URY$@9i^fi|YxH{5yu}G(jQg11@_hwkqv!Vagqkz3cmgdx!gG@nJ8QhsQ0^G3 zyBm6V7BM53XT2T|`kg33B8ZFcVi}-N_rtrG$^@#dS{xFjz23^|u<{RvGyLZOx6H?^nlldz{ZEa{|T_o`G>%3IQ@`mwTtG1*@pE#s1$(Czp|0F0pn!R|E^XdmQJ`ke6nWjeozPxlpo5r8&9$ExIVrml-(EgZnQ)^qDn9X|27g=fu%N#_t(aTP$4Avz zhhyP0(46`Af&8k4zXzw?^a5MaFQ)0KXFipDVA+3wmS5F@W*(f7o$U#{8#}H>x&@f+ z|1WsAA)IJ^l`_y43$a3e;4OQm7=d1XNk~JGlde`nCytn*TzR0t?3VVQ_sAycI9TX| z13exKLua5sQx26+irB$yYS+OF?mE73^e75GYoxcuECTs6g0}d0ftn{o7LPotFzd1q zAbq2LTiOsGc-Np8`cgVSuTbZpj5_Id&5yBaz1M`Ejuv%usq2M^PIG0k$f_EshQIJM zh1{g0lhuNbATs|1<6?1@or#R(x?()jAu|aRu>=!G=2e+qf}pV_MScbcVN7`Z_k-_& zA(_$ohM3%)>)(d*_;Kaqu{5vmQHFuOU+&pup(}U(F%ta_$X~Y*+f*f3m3#7q%uH;J zSRDgEg7PPJ)Nh#Mh+P=K{&}zvuw(ZW`e|)WfVl7WYiKs#wt_616AS4b%HLarUTbnB zx_uq;TZbE;S+h_yCD+i0_l?So9iq_EO%JJIRK6s`iw*jiUcvCjyzBUs$Skc<(v@Xg1C&Y6w_LQCMNN@$Rxe`)O`V37Y!^4PJ}LI<`vs~zSe^5 zZv4dn5+gc9V4q|drL5Ipbgwu1G=mO6CTvr01MX2@yNREfG}ZbNxgJ0YsG`w|q7*{@ zoh~IZ^49gig5#nUXx+WCg8*~RhF!Wed(+ z0RCw{AMz-`bfve@68vF%%+O02JEk@QCn@+H)$F+TH(sncY$yLPf3)TizvU4(O;0&7 z!mB}c9o)G&-g|d4xBlWXAQt z4>Z_d6gefeVm_@#&$Rx(rfmKa8Wf+eo{omUo{<&4kc=hFg!Fci;W4KsdTA-7@UnCHkt^DFkm7Ez+vYhu4#7N z%Oc8Rq}Zvy{KqP(%-_8=RUHewUX8J})?gg9uCYxgenXa$?{@)b?k|^a7bU6Ky2+*Y zYY2t@G$)uww_Gr9Whu_=#eFKM!sYe7#>F z9nyLVTT1n$Wsm!68}ZK|M5OmWmuJxqGu|3fari!nbaC3r=t}IS?&Er5z+vF_CbQD^ zaOQk-#}_R>er7m(>2IkL0dHqE$4obg zLz@1l$M?xrKum}oN=dp!ZtlT)t>B%;9sZTetXa)kmB}ydoYu1<=_EL`8!u^oCz*vO zf173+i&%4Pv;*Xu{2X=Nvj~iR?q&Ew{{2eY`e%{7ptiK&=|B8&$c^d1gYDXbZB0u} z(MjQt^YOsP5@CqlFYNudZB}hNpJ&B51e<4NXwC4V_;YBWkt2v;g6h~1{3mOP51!+u zEh)y132j%AslSI(6(6RiS5Is)a}fY4N8kya{0AP^H<=Heo33nTn*W@a1{ame4lF-U z>yKI-L`8u#Pr?jD+PW$Cz}?@RB#DZ_xW>M7>t_e!ah>GkqfP3pFtO@V(5d|RK0TRJ z6#wH0(y_j{cIy@()3%wVF86T9JIL@C!icR_w7bcW(VB=yl`^{ifb6sM_-j)uMYPkw zbCYJ{(5oE5F0P-HM;_FN>Q!=D7gVWUDnNJMKl60pn%l8`QbP+(T05@a)c1C50)AO2 zOirPD5t(~?35)*RhA^ETjE$^DNx|KFVoKj+L7|@u7xf!D(a$UqrB%oMPKS?(Z4cgu z5pVPcZeV^W2v{Qmtz_oc4irvs*M0gU_HK!>{FuF0s(Ld2V+VA`K%pdoi;3N)Crn!* z5}cJ$_bvwwjqa47*}8b8uQ__ff5iV7=FNPMl z@nsUdD%awMMP|Sa*6|)DoB<%xl?>y-?G>-qy4mfC>wmrR^Vb)o4Vx#+|1L^(BJDL- zx8!bttOREfw>^g8j@M*|#NaaH;1{ zqd45-3E79I6me4JHtFgL{PVJ+HEi-XKwbIQ=`>zZL~R9P@Rcd+W!38d>&Lu}EFMt~ z7H5x^!=U3wYA|{d(1@Vqfk%qrm>1a5u9PJYl)DslvG^S+|AR0o z&4JQdd7Y(P_?odiQ0V=I;Pm&eIR7LkdyQP!JIMl<-J8mj5gDRhJVkHh4$k-%lsU9M z4?vo>0caFQ?hTvQJd8oVIiuNxLbIW#LjlKA@pU-_Q)6g%v(Pd;elr$eGsz&K3>5l; zXp&WOfBo(E%q5B|*V7Dhd+;qFwrBtM>%ncq4RlFAu$bf~LolSy#`Wky0SK*CMA~^O zbK^F=9Ei*@`-G^%6Ma_naxFA|;15b>M6{js=KVVS|`a1BwYF|73eWmZ!Iolt^ z1cD&)wx)R2t_$(?F#L3l+oFf6y23o}cR>H}qw$(8Q|(7V=*A^g$U z#pqgb^Y3OiW1szCf2eP=!GBtbGZyl9R(UL(0yR~hpLBe_6xV2mop7FIdP*mv^RkaI z@9iLJHnEMp-z6?22Innaw|$KBGkNoEU&^@Y4v(&8AN1WdRttNU;pvfzsbuA5m#~DL zHh6_q<;yP)Rw64k{-q@yEu!AI`Cl%(Ricp*%5th)$a;*_vf+io^ofG)kq?lOMPti` z?lYC(C+{-4ft~kxIsNd!t55TsOWKoe0@nv~03|>6D-Jol=2q4E3f5e>UX{daCoK5_ z;`-%%c0^vE{6qhimM5JVGJ-sTk6YiCJ2=@S^DvU!q^|Y5oaM#Muj7X6vpo-j%HpH8 zT;AmTWE2}RQa3v)neJSp8$CaB;FeC_ho&r}DpwXrT95Eg?-RRLw51r_{c#&deALOn zztk!>Y1w>Z#Npnj^(OW6kncp&eDMNuc5y z{1z~`zso>;L-LRb zn|WWb{WAv}5PBis2&FhsH9Cp^=ANEe8=p^$cZ0BUZb_TW@~Ouyiny7-O|fiwuxT$n z#X$Peu%ZyY@c48ml3o}?cc@F4eG#kPsj$#u=cI9ow8Dv55TseF2p4~mj z`a_)BEbJE9gwSqn^IVX1CwAzyMoq{#&8E(Jd`Gvyu5(J%CqT;>s74#NKb}rQ0(3#A zsHnQ+vQ*%Qv-pcvh};#4z|fgvhLxeI>U;7gE@EwXSPG>;WMv)*_>P4y2jJ@>Nu7q; zi+oaHS2>tbn)ZDtLXLus zWmWyxAd2k?VZjDG!o_OR-FdtmU(Hju?c{6l4BEU94*bLFO1?M7NBK$Y{yai`Q7Lgo zR}hc)CR2WFmHwmVett^)fI~RDG^xlu2P3wi2!dS?FjYRNm<8`NYkWweEg$fTqp6i~ zJDjaKND>@>Ag3>dr>G&9}YB=Q`Z&kM|+Ry-V(^YCJsWfmR#T&|!Ut zAensC+1SOjK!WC%!5SI|9l#^nhNeiELuUENL?x@PT{dLwrC$b#&<5^H<9aebx8fm6 zUAY5#>%7k>87G+x`)7;V-=Mc>&$WXgI~*>1$(UN_cv6RuyarWz?gR4-_pl;_sQ=dE zC+#MN(>B~yK%`I`6E3p`-h*c99S9bp-<%Zf6^Q=D*LzgJpX5#Xkk3yU@X%hTN<$`7 zDdaDg{oHt79zp-DhGY_egl-huk+rj)5uS_TJY}o^$^Mg4d=fytIc1x!{=Mum|6gtm=wcm8wsOhlr`OqelYSm;@-?lm z-`t>|BW9n!PW0pPbw)AZ_8Bw#oE0B+{_&eIZlw;+I7&7CR+)ePvC&6=7o@ur7JnC{ zU!ME+_=C*3&qZDGtr!ZG;6Jw-{W|^N@3$xOkIzr=!gUf)dbocU*PS4oy{o9761GBd4SbINql>m2W40^wBUQ^Z5Qq$6po0dRxLgVR2fzO@l!}lsuq7<h>UwOx zt`&RY+;L&6b+Xe!TTk)?C$Q(5uT$To{OM)da?Rb1%Q{;z<^?8YHP>u4U-i3z#PTKc z%R|~@XWh^Ei(PUF`RX}|7V&TRbB#0pptV)$Z?;_PYbnO9c{GRXyN@9RxI^gAL2 zfQx%jE6x9&pXe9z+`puc%Xh2MKdZK?ed+W3WUhDpx~<{wXA^ijewFd(yW-4MN3<3< zae}`v{uRkuCmp}g^!rG|&$CX;Y+lo_*0A_y{2NRj+8p-Rc$ae3HsODxt!<0BlKIC!s`gcyXBp;n zU43QZ5wNd^AuqU3DCU+U#Y)y~ip#vfT|rSLj`F8@bu z)~$M>TiL%YwhG^2QRF+8$hShX_?T!6i!OHDr#@@VOUr8sIHO0urP<0Nq4GLI1l|SW z0u9pSQu>qDXVsQ!$))^j2CC3Mh3}IuW5o;Rzsz<1JAU-vA#YNb)-9GRu#&F}X%`#8 z%KI9ugbq$?zW*t+QdvtUpRnese2nNc%-* z5$da?O`dv+IV@(6HI}J>v-bxEe`B5cc@J&iw#MuA#Ntmh_~jm%`?T~tY!)TD50e6{ ztkqg%SoN=0O7b@L-@P0%{%*1co=@4THisV*ukaj%(2;k7R#_%mqmcdpp_Z$z_<>*qGpe^o!jntd4mdu9N} z9~Xa%HI_*B@xN$!t2%zE>F;+E{T`CepbbA$#@`Ry?1g)*SBe~%ch{rU+M-!HwAtikzS zv3-JxRC^8XYrH1;&o$_G#P$HigpIGlH&~?jv+eMA#=L4uv|T?BHTkN)^D*Bt%@=i~ z@ZBiGy4vAe#5iX~hW|X=bdD$c+JknvO^CGjHF)wC&7^yD_5ER!Z>`3_(@_Si95Vgg z+kBR9*xIF?U35s7as9(b-f#Ya|BUNu(}ssgLr4Bi{OCubwt08?eP?^E;HmysDN~an1P=# z{ZupcHqB`0;zz|a{mE45oH}wJwwZ9J`6v2WWn;V#s2^|HtLi`7WFPRIHu}VeKZ(is zIoi2F*H5+hI;(n}{eQ>CSMj_5o@#(^6!LxI{Rfg1ciH%d?irg&pQ*Z+g~k)-qbC10 z(+T`T)60}_LHgba|Ec|*zxNq^{5^$llzx!+apvE|*J`7+Rk+Dd@Hx-o3tBt$*&>(@ z?U~@eI8h;fQv6Q;%?hjNzCGnf)AHQ>`=_K%N`+{m$Z)N7 zHfwW!Nftp8|1Q3|U(W@8_hhE1i?ngOimpcbVp~)CJxVOKF4_0nqO~6QKc39=2NF&1 zF!(2JrmoW@<6mXwt$H$^wqKUrXDV8&bKXAIp>=?E@x5L2?g0`NW*V;JD9|RV04=ha zhWY{h+iq)A_vBaf;LpE{wvIn={z1ZDs$XWk`Qq;v=%m6*;$q@yuAd)DRubuW=kG}k z`Z+DStOWcQSjhATl9_g!bOq@}Ju8SIaA%TBRRG`l~-{fCE*8pb* zL?3=7VBH|CQqCkXY~xN+%p~h$xA3328BSLir%jpU@iHF+s+>+r6vjWpSm?${B%!bf zn8<0D^-jJQR(D|X?}u&Tzm9NRnq#{LbIQ8|ZVT2)tH$$w{~-vswJSgZOORnCPq|K%C1==a-as9(RcSM?9R0|fs3S#bD2pRCi?nSPJ- z(C?p{{7w4%(H`)H4f%pU;>+LUY2wd+$*u{0#b{Rb zciH&cYy4dhe~ZjkS9Dw{|2?dLxP$Qr8rI~Ot&jWvJ8bsk9n3U-{8_mlGM{>w@GnTN zSXO9{6r4jn3yH5qWOW8lS~5325H?Unx>k?!7R*M8XdfQ?~1JRsjQdf3;We|UiUrvAzI zoiqLs{8J%5HyN%4I?@-=D=mM(UpoH0`~tZ1$2uC>`Cff=G-dhU-_@b-0^tO=s%`$? z)(}5OjK3dC;%Can@=X!=5;*_D;-|`=>xW$oN>%-rImve13Z>PNwoneZXs{t%c^luKV z6vw+wzN-HowE5{R=D*Nilh7YA`jZL$ONRfF^1u}_3h2`bef;a9U^=w@=j{#pU19j2 zmgskj`2yoN*!=z^v+uOp+?K%Ievg+N6se;Y?(gzc{fjrC;s3$%BMR|F%z2q(`TzBa zee3AI&Gb{Xuk*Ln_^ak;&rhcmr<5;=etLbuwE(F3o{rE#|8{vr$88p0rrscn`wrc< z>B$VnztcK2>Fj*_S(HkeAyLPYY@1(uU z_E6&w`Ujo3j(hBm)e97KG&j-zJ{w<^@2KJT>z^QBf`7H?@8^2pXB|bU;@_!u^mo5R ze^<=c=)Y5W8~B|J|5?@R{Og#Fuj0qQh_~M}IQsSZ)z=sF8MU0OYV94TYR;k`Wz_DS zr|&v(x6Q5N30)F|6fx(g*yg&lk5A#mVcIO%>bMo_sbAb z*{(Zp(1QPGiD}xJ=C@B-eqF0RseJHvK(LpBM1_6GMUr)kaC44rA@`d}{!uDy!<@dq zD?{HDzw7TKCV!Pa{<&B5A7%7kE6iu~u7j%!`ha?U1Nawgd=>ve=@-x%^H27Hk0uYU ztq?8Xo09o^RkG)F!Qc`($V}fwiG4;bKKCW_TPpu03Bs7WqX-q^dxi0GUOtE41OIRH zzq6{R<6VFIZ45Z-;F?B4sp_BiYVa?A7l`#2*J5Xk-ZInA58C<-US#?pD+qaxhqli( z$Tvs20{&g*U*KPAzRLKEHou)T{C}u6_Dq0Lb{pJ(ZG<~A{!7@vH!E-ajE?_m`J*r$ zt;b{uj{TPUCo}KZx@-9668&x3)zxx)U!X*U-c;e$J{%P~~MC8_DvlTeTw0E*W^9Om)aqdg%o74z? zH3c~SZlNE#@6);;xZgpgF{OV<#s=>4k?;NYsOY;<>s;WkRzJ?q>XZ71=Zg=Ue^m3q z9Ccv)6OAbLU14(@_*QD%b{&7q;+3`w#>}XmBsi}13iJEJ0jMC~sC;R}-dWjg>zVgJ zMCFd9_>7Iuj-b}*AcGsPThGXV$OM^t_jK*eW>5Es-Z=G`wee|!hC`7>IE@_oE=6yg znr5!8FECEA(#o`grR(wE8#oACUb|n>Z_V@608<@IJj)ta#b1Co{&w2r`n^9Ky3x{WrT> zTVYUZf!>$nZG_>_7bo5=%nR*qTx`FfdmfA0EokzRTFO#H3n0a1Q5Y5_b{u$W9K`3; zf4?iA2K&8%4Km$$a&_TS?9r2?T)!zE5Rwh*#6C+LwB#aEt+d zS~&Oy@}fj9JgNzQn`vV@L`cnIHI0wqQo7|%B7gpQ2R!LW+gO$qOtHbVc z#Juf{JTqBMxt>SWf2%0^Ri}T~&Jj`EC_Nu(dwHaIUQaWeY*U2l7!)neI9HYr|FQTb zadK4JpQjFPalZ4yWegq7;=B{FJDtESGWmW=-UHgG>yL;h>UO2@Tu{n7CE;UxwD=0O zg9rMt@Z8-AF%@-*#rc(wlkFBXv`Q^yDLxxC43<-pVq{3Gp|CRj@6e9lZi@)jgA(cO zP-@&Movjkbu|y|crvBsN^ptdWA?!GPF5tn_yk|TXmL0a0%fhhKiqf4_fAB|YSbQt% z-nNy%@m~Ss9O-jUGM~8oe1ABjBlA!%%S|rA1r2udkSrV8EsQ(ZA>FInw&R!FS+%YW1ilj5@wDL* z^%xT8(5>Qekv#BxP-o=oq;ARVlPxFBuDVVPjPu-GApy1NaL!Qf;t|`CZq&vG(VY~Y zXep%ItT^QzL1V-C(RPI*?NK^r zBIp)zI?1(xHh7~Yk#3G~&TY3a4(d(PZI^V%E4CwR&8>-3eldi#0;`|YcAM0Ht8y&w ze5AJ9sP>H|DWY_&F*cRjqjZyMzo={&ZHFgAaev!VNQbu{Xtyv9>SgKvG{g%!+9>JP z3S;Eza*QMOUleR3uMKL8A#qXEw^_S}s>CHP-;i@f(ru954~Nx9oKJb$GWPTT#e8~5 zamrkpYU6_XZx#ONHb}Q!n2$zb4MmL8Rf^NOHF0{Sk2pm~Wo^t0xY5R1X@j>4rgiig zXTe96drP*Cgr}tW!?N{8alB6mx%y?)8fCN9tcCQ6C3^CCX_Y60}7 zDv?`kjRcf+IEby@w~P7)Yu^wAj&ZtL{g25jH@7{r_qunB;*_1?NH=QZwD7DI{vu8f zC{Fj(#Ob)=G=1*M<>wwSx{2DTU-wGb#yCYA2V|!cVf7w8d0cU~qAgjWjpMpf;JMf} zveU`XKWdL(F62A-kLoHQwnynUsC`|mf~qM}4wA5iSp(gZ=E$<_b@*=>`HG0&|66Pp zkNic;PRlL@ztoBhyhfJYB-ta~DA-2P1%LG0dFszyqcZ0aqjSDmobN~?j&BCvE3Dg> zINca==ao;k$O>nKJ@$`Q7*l_Kdzzh^*d94qs`iZ~ZAUBYleRaN+M^XF)xJ%1qZOdN zS=Yj6WQF)mNLXQ{!wN-iydW#E@?M_EvPnGblLd+rW!b1qH6dA8zr_AgmJRB^L9(!Z ziS3cm^=jW<;yKE)T|Dn7wMSWaq8GY_6*h?CLCG=^Iv~q=t^Bu?BJOp;(z_IKJpEFX z7-O4D5qIsoUg(FiQ1bcAI7f2vBui1Ees~gWt=joUTz+}b^A%n?ZP(GGvXx)0TvGdS z(?h(H{dkb&qT0b9^#GxT!tCGu68DiVsLvv;J)@MU2d>Hmw6zxL?UfZ4lwwK)Rk%;NfxIC};{B}{Ypc~ibZGx8Vr_w9?T93fbfc&vqRZ?` zo{RfMU@miB#2QcZELR$jSLiY0osjIOYy5MmyoI}IN3}~6`$zvgrv9U{-)RY$Ug;lI z`+Rv9B|gs{^?$_hM|}Y0N?}b~+stBoL-E>n3;JhNS&A5wU_pxcl451><0!=y^*R-1uG5iZWca+ z6zA1vaY+hV)|BEa+Uc<3Yg5}(pcOrDvJ_t*6_v6M*vVm`P;QML0%v=qncdRNsla)Z zbiQ~vWjq`UG-G^m9et_9Tb$qd_N*~^CWXB%-JcXyaVR_-i&A*zw1Q)uu5KdTsJ_d} zEf_lE^m(Q zk&{De-=gYn;fd|hrU%vT9vewKD;&7uSYVzU+k-k=7u60w(FcI=>mmNz3Ne!$5Y7!H zAAm|(>SDgwDXC9N>NvYZsrRY>V(GFdQR->#&WZX4?R~`lQR=;sO=Vhm$RB8S(sG!nw8G!Z@fjNxfB^ z@;2f`AP}YAto}StQj{q5VMQ6w4=BcXqkXrKC@l5b22S8R_GO{#sHsxhyN5tC@G zI6PM3JW8}u?Z->)QKA)Uho8s=cutEGmx!6I)ru=~GMJVwS67EN+QpU%?W@GysHTJX zbZnG#;-m8FlK(^+i{wAsEjrGJwNK=P*e8+-PGUR14a0l<2iv$}uHL1q5`T|9Fw$D8 zo_r_dcIo_J&|$>Dvv;h7PX}uBjWo!m$Ax*W(!rrb=R4IOP8RE$Dz-TYrEeCv#;BzAIvnG!{$L?NLdJ*9l~0IT%VDiY*mn7*%y%8$--# zrzB(g{f)kc*)EE^OPoY@C)CdSGFvs@@hrQ~)Fa{~5NiJ`W@_F^JK5Gkv=O-u{Dp48 zJl*CFG;zmlvF>KhD{&H~y{!I>j%QFW%UyprJnrW+ZlSbsR94><*7-4tPipX1a zX(^6bsQ%Zkjc6mr%@a5LqR#msaP*A@in|kSyTNVL2G8ucmo5mJiacCm-CNQ|)Y7Rg z+VJZXo~v{DuUwNhuGDB_?hLg7H%FxX9bXSu7AYTAHmK3Y4r$}G`tFzH+e73?uw0c~$cLur<&k@lbDftH9(6YG3H>TwqJl|22h+(u3ZFJ7~g>Re`N5tx$ zL>mh}qIpnl)3X1#G~m9$_ajf*O8)o|oJ1b>eIQ;l7Q98@xZFh>7leO@X!AU&Yqm4__#-SQ*tnEV^petvSLK}w#90{=tS2632DpJTBYuoKn>O<1TDP2Jq zB}zS}r#rZUj=lJQMS9>KSy5uFU6B+AYou6Ll7dlXe(UeQv;PuvF1jsBW6jE>fvQ3}2#jrC6<1=oz1O58>%=8Ic){E8CC?Ce7d7;-7b!YVn= zL}%n7tk(E;^jNT*b`{mmv()poqBs&5jVp@7T2UNqdl@|=Z|n4&qf5>Ar}@fZp0qK) zl!YSCm%7B=q-hTH39J4%ni=Y%q@FNN;vK)p$<4z>>9(RotF6*K)2UK>^fbN&^JGms-~W7} zrhTE>3*6KSS^Vkj2%lhGSCTwl&cNZ6nA$fK`}tM>4y^&!TGN5x&5`qY@?@T@pj^J0 z|B>_E|5C)-_!8QqzNXJKb4ahE#A^peZI?CUXvS_A=R4FAeF17))lzskeae#I;b~5e zG~)A_jGNHEozY2j79(*U&$z06bGtpt#jmdNttdr_oNdxO83)xK&-lMVEzZZbpt0M< zhxW3hF(sUv3)}A`$UmD1!?=Z+Wl9(V>?e@ zkjK^qth7ZLcdF-Zqj(`;kC=C;-DR8(*ke1t0J2c$HUvDv>@pgyAR|5Y>tB2l7D%-E z;cjQK;f;}1#t&bez!i=V43)jRqr>lj~LHrS*4aT zZ?x)3nl8LuE@6(bJWqH=G;V)emZ**8!gHb2KejJa|2evvDmdXcD>q_(zGwNSQ`$cBj&?;wO~B=+B@c6QMV84oF1&y=owBPaakDfg7uwK<6xCWV3Dp({#kpR4|JN;1+? zcs~8zs&OoI5T)E2VT`+I_e2ha*)6UcH)}VN{aZ?W&NoKNfwS7B54#Uq4~O87TyZVA zCU&>~asTkJbPS%T2lCls=9=Ky;;3yu`K|U#$w4cnhtYr|VxFVxyA4J$qK=&Gza*cD z)z<%pS^7U5C3=4(sh%glV zuj{}Nd&1iW!>~PgTWlYZ&#$!hL!mw5A{HjBJsu(_yT$)DH53$Sac#tPZ`8&{i?Sj! z#4)t*l1*1BQ63K|Epjrh{K>a*ufEyIMv9x&zM|vVV+7hF&)X6F$Njic$y@8F7=Fk5MBL8I^`-6Ir+xEC2t^)F)Htj;TR z7QGBuY$tDf_Mp|svp^r6E_!ds6AyGCzZE4f$~)_LP`>1|%TP}S(j)iU)b&!4GW zso~Yra-ZT|j@bW-t|_?l5qabPV!0e%cTij`)w!?a(eSV^7bRjiC#zjh`y6p{Jorp( zhjX5Q8B_bwqCq?nF@kVd=F6?s7+R>n6Kz6?A<%Hym&yz$>)~kK5{65Ad{SPY>y6152 zYxtrEZTQ%H9fy?;kLv1YZrCG=_Zf#ZGhU8CKv$JGcAmc7;Al)avDu?r#yC;xA9?d{ z_OQ-66nSQ&tuZ1jE(i8$rBuWPc{+`P*t6KTkLUcyR*YMqAzTl~@BNOl96noI<;4+E zfVGlki}AcT?4(AXCnP)jW<`nZb0y=s8X1R$r|3(qkg>Q2LVJ{v)nHjhRsdytb(4{& zaagad3_fD_h2;bB{v32DQGji7!A&(Y)TG+?S^MfBd-O|Yv^d`X7qXm-F3?&O#E7ym zAC+afHhY~DS)Lh<-`;HY(iJGOEN$;@o4rJ9aWPqo!DyFhT@B4EwMSVltKFrD$3m9l zV)|0Z6F{OYt7j++Pi5>J(rgj@Aiy6wL1&es{h8)IxV*E3o~z&BK|LAykIhpB|gdic3H-2i?6McagFxOCjzl( z$CJW2QQ|GyakqFoXR^fo=EyU1F7I3vCARNU|3fwH{@Z;2kG=PS?rXd1JM|2hhY98( zz-erJ79cU$aTCi8Jjd9tjZ zJ)1B{bYNTMTHfyP@ZZv_@}V5qq8OVwO}27rA{xhVa`PW+NVZL%MS0 zYyBlzyWEv+R|HA<48ZW8RJ-_Zz^#n&?@0b&MUV0M&+Dab_DUZw%1nzp__2R=VuZxb0BwDnw!v5VpA!F;?V{*eZo`47f34g8bhUu)oxcJYyW)X=r0f1T@y zz0P**Hh%4vj|*zYiJ;khoRiL3`FOIpIx-)Z#81CS?D=q?Z00|Im1OO;TYUbHQAx(X zuc=+hW3Oz-S^0R@nooS&Sy-iy+vVeC&8g0WO3lX!>D*GW%_cw(WZU)%-)z3u#b%mC zRfw1)b>h#w&gX1lS1X?*ZB@bZ>XPS`Z@nKe-`-ZS!SG*{4O~-{WVY?o{CTh zp|#3zmp)bXmzJt}(XH*~WZN#q)#+e`#npMm)q&{rU`W|!DE*WxksU*dL(Mt8EzTkz zec7H|Uz`!2>psYy_8AbT#W$|6%f3_frE~7r&6iVBe^$OMCD$EGntSh+(nWPcev#nw z*wO!@CvNg(HrNZ_Y`ZPn_R8k_^7~wvn;U)xX z-`zd_PYE}EDFyL=eB75A@p&%5*I|sT@Gx!|< zL8-ONHre36U$eTbU3LiPJF=Ponr&n@UzPlM@z2%x*Twg~Z)HsWn)t54wrk_du+*Q@ zxOqP5FPC+F5pE5sqGL}}oB47?Hq2;u$1|GaEtGx#gz}aDw#aNVn{O&_^Xu1=jDM%c z7-Zd%U?zvP%VXpOneou);$;$IP;dwZ_yr1-m}dB5gGY6|hq zlC$DtS4pLhWll!6znr{HMefOFelOyE|M2e$=XlL>Q|CO!_T18)-iY2rg2qvyG1?GI z*W|!%ii>W^PxocHl`k07IhXD>z7R|2pApUq68D8`oH&&pxE5@%fpf27<^(1c1a|fg zGhf6i$@trP^o!w80Gnw|?gP$;+F)DsQlq0}Zwy+CPHN5t$c5%EmJL20<$;QM|F-EQEIh`&(O zh-NrPqvUc3cdL>246jx+n3ZFa=NncfnI-$gzg5u;2e0kTDdg?W{8`z2xGb(cL-nj= zZwNh$Uj(ei!-`?@9>2Te_jm65g7hC$aG4bHSM}uwYGnVmkaEqtRU_zGM8h{r;9pk& z%sd0Hq-)Arv@icR*~on4+o}&@Z{qHQ*L`(CaZ-8T=DI>^(!w@suo~z7Lb*`6q9oIN zS-EIm1OK}C6Ghgd)D>~u$D!b(wby<5LLBej>AFLD7`XKjjf`R)(-6c}-N1ll>?^XF zCvM#DCJPP>*lp8!$#YavnYEUS%uInT%FLjO66T{3*4U!tCLQBNHJ?{I9+b$9!WY9G^oWo_R}lWQg15LaEtWw0NW;DEGk>l;VF_&DL{MWk@N8~h zp_Luu^Ymw0dP>{QN`u$9cV}4y?`ZKo36HbzN21vFaL#fdp6e?sp7+u91Kzv zF<*!}M(t7YXM-UTH;q%b#h(`6{zHZFmlYe^Dm`vV!GBu%cn@WJ2z?vPuWDr6UFlgC zYBz=diH1COLd|`;fj=$&OauR<_^LLcvcJ$mHu_=pE-i2jXG%H7Vv0b5=7P)ba83y; zZ=*?h=g)_iLRK;_Mt)P)Hat6+R(LNp#KxdncCzyP-SC{#Q}tj(u`0dTA$j}l7bnen zejznm2<82zFt_HqY ze)YEUp((4pdvor$g}DtE1DC?MX4oE;p2^7H6K0jhpB6u7Ir^E;8lBd45ZE5sDGPN! z%CTA&wtNNT!PAPUfVo-7ocXqDJS!`XNXFxh{|)+PWpDxjQY%O)`2$ncL@nhlR<6EWD>V(Nr+bYMc_kP#Sj18j+tUPV(P> zG+}r+`HZtn!-WOQt_Pl0jm8QBd*u5A)l`mRhRJ5-K-XYfdkd6? zxchZHF!0V`{WkIa|KE=4oL`}A>f+rIc{eK0OpkfD1wE>oJ5onb6MyY^SJqzJ85nV8 z-i=EBdWCP^?Gyi!aswIHz6mp`v3^;Svw_3i@fqnPW^Rf*7P#ii1M#Qg2%FwD+M*m4 z#EMnfQitUWtz>%4mqqCTJ?MN`n>_XMRNDFxDdwEA`41Zq-;VSv=vy7u+K7op<|?zY*7R5%H>KywkX@@yp(Y|fFa9~{-x_$o zD+CS-w7rFGDl%|GGW!Y+*1}=Fx=q~iP|~8EdFeK}%+m)WHIj(mZ`!f1OKT6wTAsR? z93!Q(XGs<(_r%{(;bW!V1{8m@>?z}9QTOEO(^e1O%}M4^ z!J!-+-o)A??zFbv3&^N8W~jY_hvwbZF5XSbyZKr#n3euZs(NPGQ8Djsi4V>tnRnO4 zAFc4QQujW@KT`4Tq`aHknR+)Vo9WHwFXiCO%Bqs=YqUo-rz&~umAR28clYImk?Fdu z+HdXjIOmLcv0eI?#4pLb7!;rAF3G&uD*lZ0n^q$5qAZc}hf~7H$a?O1?vsem)zFBt zzSES1{VLda7LBv&P=5c=_*Ns&4lY*^F#dk=ryFL8r@PD&?+SnYMcOX>$)6{mQBPBj z^Kt5ko?7k=pIzp4Qy+&(UTY z4{DBA&OwkgOqg*UXqZD@6uYc=gqD-T+SLri2IEh+Pl3zP98=a_}lT{5I!#m^;g z<_t&-!TJd8H?9br zRsDMrk1cv%`WK`d+7s3$za&0S!-oP7Q1-|+-ifzJfWIgiezj>FFn~iI!-w%u(yZb; zA?Gas1J|wPKVwEl+?3Xi$y(4dJyyp}=>Z8wI^&!Cy!g~vl4XFcLg_(;|3nbkB0lyQ zfMkG4S+ckAMLPpGq;p@vp&T4$XrN&8Hp>!M#OE6=(7mTwo8IN$tb13EL8J2Sy1X+z zn5R z-8J#~wN5#In3Q)jg)bC2DVY-mhjMVXeO_6|z`(oZp{!IYOS$?_JmGkgn0w{LHbN~B z9NpuSo_Tq3G90loFD{7>o+X(Vj3DKx!ddg;ocNn$kEtgDvE=AZf38K}XC_7@S#@;QA9joQr8iB<(n@j#lW%7%0jDzd) z2jUx9WsL{p9d(mpUDkNi!a2@$&6h1|9e>ViWsHAXL+#c$Hr)^1%lQ1N<(Tx8HJ*_q z_LiKg$6%>RMXl`&VanZzNb=PUA7S@u)^|lXoZP8s32%Cs_S?FXaI=EES+!d@^A$>y zVm+E5KRr}xJ}diW@WUg42j2+(X(fM~LBKN$Pl!LSO0MiQIY)j*{0p-14z2~Vx{rxp z{!*9|ye-MwK~w4gc2#RFaz>Ij%>021JCU@5)8fy?*ti+An`W}gRq3%KGQ_ zJ@;%kuC2!H^1J+c8KdchmV3IBz`IFCreWKHFpsgF@(-w?Pbeym+VG%LCeK*O-Ph7DBzyTq{wZZB z+i4>eRk@|_hjgwa^N36`7MWv1?3jf_(VkLRduHpr&?Hy z3X1`<@dceUeaKE~wp02Ar|JQgcDAVnDCO?Uut{o;+%iW?D^JQMO_S~$0YCX4#9OkUsPU_ydlAwDC|`)xNWH(i%imbZdS%`?eZ zX8xEj*o=?mHBZ8i#kW0;a^n->eB-?&tA17yHC5qT;dA1jD`JmQhs7DIw7BJmaGzX- zHig=dG!39VT#>gL7ZeW%D-pt%E#be#xmEPlrp=XMvrMG3!N#87kvJ&{nZM3CO;;u7JgCO< z8u_}L6W?~TcZvLov-y7cBf7@XeW9|_W4b}%8fF=IV#^-WH<4(mlFWuF*>G69+@qWh z=o+7P;pzEsQQ&f7Tlp@g?l)>y$ydC~>=9dBW}Fq@-b+P|S$R5HlQT)Qn`GIJJ1SYle7vh1;=dJ5H6IawSe2YD#yg9gv5zW-D_Q7R%tF=wBcd@# z=v|K1rk3m%9;1~=n2(WAUhSK0+yS@~QA!mJ3DR2)DD4tTjEuKs^HeBjg}E}K6?n2b z6v`Q&I}f*YY@SgA8jfjO?_WFAF1sXVBRrC(f%{8mD$#EIBl3tlEv06Dn}!XPO^KFU zDw|J779oS0MdQ28wkTnmCsgBk?QVI-?@}3mT>J;pQ`U$Eukq**8Vpsg2Z{fso$w&j za8&%$l?XBZwA%Nk?G9YrS;})?h{!2xM3NrO-JB5wqc2?ZlJ_91)eg8S+1JpH*QI1$ zB4>B{T~w3j8kuL(WsO%Qsl@dV|I(|H8y~T2VY8QdO#{~v^yu5t%)Mdf(~jFJtzh!p zRo-4f^}aaxcv>|YS$lyVX!9Ea{~ayo@$r-?8@Rd?jvZVT|8PT%XQkh-;*TZr*Can( zvE+;-8FNi5nyr12bPaI5#=SC+uY8vjEzwxRwN+`%)j0Yf$#Y0=#{<#~+Qx%#EkVvd z#i6LwA{->k(^Zq=>}6>Ny$jN6l4kRyG%#niUmhcAw(V7(oVMM8$uRoc!5;DNpigh; z><;6e@%Kp&zcwmsT>LBDrI)1NsYf?Nf4QqdTvr+G5I%T!TecaQZM!7NFVX6BMVe`G zt{|@jXG{qB1KKT%T#_We#V*P6z>;PfpfNwhz0fim$E0CrbP{qK;>& z7jcXZjQFQ2K7zm3Xxgo1X*B;% zsqxLK)3W)VPEIR0!k`^dJ)_yzWP|T>k}vNYpozN^)f!DdHPVhFJMGxp@#KQ8nl=8fWvwoa6x6Ae_l~d?3J4NwiP~BzhtDjr6f0-nbBygaaqEiC+&m@ zT@>H%#u(q~SW+uougueCl6l(Z;w=i3G%ZPXl7=g_yVJLSSM#w-v|Ek4)#zM1PZky$|AF4SW42tz>OM)T(uY@f zy4B{(xY~uc86kT@ZyLtL$HMLE1#_Wh<0F41TCyed5aW-E&(r_XN1ruutwU^j-a918 zY1Oz#V<`8`JrcGk+AmAF?ykYz9R`=+8r*T<=TvvsuG+i1nv*idu5f>x*oN|NoL3g*H_u`m?pFolbp|d1&w$)oIRmBi%3IVO z619txfptwxFXXMG45@1qK1bNDr5|ng(02$Ob;t`)Tn0ioFumRaVP{Zv+*Gwo7oI6i20qn67{UJx$WSU^j? zdts#t7SZ6FXFe@xM(dYVnp=cm3I3H4V2eqL^$9CO`91H^jZ$Nt3sBloM1S0b-(m*N z?`aBX+rD{6+|bzPE_z|1C7D|yv0W=&jlX;{p_?!n!7o;gNh~Q)Swc3&iFje#XW4dV zO4X1rxd+aGrFe$2&DdSB#BC8b(n|J+DWJNv^XFtM&MSBM>yLw9B;Kmm5!9q6Yj&n~?h>?`g{ zY+Orl>s7Z&Y%CECpar>0fKlL~<;+E1uc+<7%iTU#R1_PpwE^6JKw@n2*>6_Tc_CFEg>;B$0))KD_Rqj92$CVY1GL1~he>Uo;05Tvjs>hc!8|6?Q+L#ia>=zgS`c#Jfa#cM+3ni<)hG@_)xrR)=CdvM(Sfg0t_F(ZLCU2| zbkJn3gtw|t-lhySTrIZj2`ptcCroEuRvYK~-z%`C68oGLBfTfRnpJCy>2G+Z2FoKb zc+lwTnOVJ(PHf8PN*isR7^u^wHn-f++_vB;^Rjirbf>`pE&EIS0Fdb}eZ?C1hrPhb zo1nQu-fGIqpTA>&@1BFEzdZkV-?|9P6eo`Z=j@#Q+NMkb)l%thBYCh#sewZcxl@Rt z?0c9%8N$WqW_`kMlX8JsHc@m|U%2#7!p3(>(q3(pS~zTo@U_d6ZESkqj7pcQJV|8c zTvv8#Rc+7`AdfN3V?EGA>?5WiF|N!LW{GA?UqYH7YtsiG5}DMa@5?)vY3Y$MG(Cv5 zgNe!|&&-~0U(4rJrUx-ho$0;EOd$6bWWDnlYZ{bW{8B7bizw}}D>*)~LTK)nD=+ia z#62#ZO9Q)P*tlG2t7#Q)V@%1TdPeFF@jXmm>xXYBxl|GV-nlb^SzX8XqW`_~ zlrLGp@X1$J?E_idctuOPeB6YYfIK65WvN`1%0y#Cd3%d?r_Ff4;WJj| zUd^XJIA3Fx`fj|^sY(~;5YE1>`2gTW!73K?GH2?uS8uWrTt1F=i2oU&jpT+|{Hfy% zJ5Oz{7Qg*MnSBmQdIh7>WUl+7^jyto4!J?I?OvXpp&WI*vE=z>kDcknL+5}z8}K@u zg8IdMms7l}9bxz2m1_-C2Q1~{nKtzHi($0&0Xo}tXDdiAyO%lZEXit@6o1+a0X@=u zYxQbJJIs7{N3b9%bO-Q#@ePT=Q>Oz1r7ts3f)cHO*o#qU{;Bisy7MdzevCl&*?QHm z9F|Qe%xH+ei9)A$XA4Lh;&sXO;D=^T2^FQ$DME)a7^Don&tUlW{S`a{Eu7->v&;s{ zdl#2L{+Gu}`cDQgu}R22=ce*wCbZw0W@C2<{7fgIpY6OhS{3e$4(iXQDA1om$DYay zfR`1pP?Yft4Th8ARWI*d$(553m~ulCfZ zu(#b%U!b^M=Ae>;qE|M*tdLiw(J7=&*HlQKn8TWLu~dyRT+v8}OC&lZ5TYowM@x5^ z=eSyrp?v-NIDU~6JWvxT2hK`c&Jzxsonb@G$hM6OIX3^gFptJy*D4h);ZC4~NrP{} ze4mb<*RFSn@}>R#PTgOg2k495E#*nOO)^aj3M)j$SD@kOxy4~GEvCWDIFZQNa)^uL z1;}J8u5YpFKO^@P0AgM}m3FzhxNV7GAJJ0ecnZp?000*GS~$camJe$C`R{rjL~=)E zC5zYHAug{d-DO!vWnVcJuMh}6-{nAgQ2c0v`-$uB;y>7;iu&zQ5GNo|;xXA5GVo6N zz|}WX@XN{OpoeIRJwWZQJ?(n()Wg{tu@(vNmePA zwdeP*OF6q~uy{T(-Z=<#;_}o8i=Vn{6HGNmCAr?ftpn*32V2P}6mgbbO(Vlu(dn<4)|1fuNGsMYqV%Q?C`5k6+Ir)Ve-Tx)s3Mng@ms#O}H13Uu*7Zs!&%Gln5;=iJ%NF(AY zUs}FO8s*2d3!B?+whQBeRLud<$eYX=TDSKLDxuIrJLGuC-_@!hy*wkFq>NHuJ&Bf1 z-VagEm$*ji;uD}Hv|oumv|pWAeI+oJ^;v_8^2EJ6!S+P#piB{;c@$<8H+nJ~1JoTB zEm%>OeGB7KyRo;Y}wWcUQ=5)i?i*pbG32#baxpL;ho2>&k}yWW^@5lbEal z=lwiEfZ99={OpvHiOUb0@B<}JV^XF4U*;eOx+rH#q zE(d6NpDsMg08Jn`i{)}oi*1N{g9yMWtI!Rw%5jv{vax=pNdb`??jQ@qiSKYa?;kW& z05}x02jB-gO2ieq3$Rg44R&Ev-9`zxGDZsdO|GB{QKGufS#M%0(W9`#*vAOm6Z4n> zOw%vgEm=_brMlq*q65+xwO259i=mM!6&QlW;s!k@yc~+xp_6|h&6Qn0{@o$OAMyhr zJJR4!+`!1e;45@!{vR^v8&mS{VdQR^{-VlCU{z1&OvalsHMf4wqsMoit}c29djY9_ zM-vD$l<|g1Z8VfMGF56p`Np_k##oiAjJi3CMDdqNz;Tt74WIm#SBKyPrM4YO2I3#8ymH>vIdEHvFY&EN|NHlE`CR(D@`F-r4>X(u&(}fpcAjn{{DcuQ z=ntYP0iNxZgu(WV7%>V$jirZ>o$-5v;d0?B0xS_yuKdd;e@wq{@ zhYf|Y^QN~K3omQSsrOLspYB42g*KU+bBM$?*^nl?OkO^)l0MK)<{9r`Y*IJRVI*Ao zX>j+I<`!DWS7=T#tjC7_BY0yG2z#Y9slSHLHnsj*I(-BuPYa- zwf!=LLOYg>{dN@(dvOdC<5Wt>a+z%m6uSs*$`-x2`$$ze_ zeiW^68?Bg7k~AXD`my3agoEDRDA<=re|-U}R*##`CZz3%%STe|bnY4n+0$ zB|H6w2@il4Pqq{C+mrK~st>{Lau;63xU^B6G6ahNE+d%(bz?<)2EV5Crjfn?yn@9Z z;iQQXm52WhL?J3(at!1XV)lvA9@IrdK$;48qGIg}#M!7}7AAD}65Qs7t@gMlz*?vC zMn1GL59$cGhl9muz{AQ(8u^7Cyc*pZLdY`9@y8&^@R;Krxn|uhHJHx!g+RW8x*+ z!+0ZCXX{Fcz&3w+^=?gu6>;jLUm7egP z6u)o-sfe+nB^bXu=3AUM@#}|_{Y=^T^WpfZ6m%h}6dNw&!gf5!m|`kNBL0@4#b&QC z=*zhB1Ff3qGhP6YN%9~`j&HX0b|&Mcy?ZW zt4KrlOSS|khi6CzD)V5sSa5O5^oRS zO`SNznfCj{3f(i|$p8eXS~w`r$!lebU%TyBvBIR$uDD9kM2M)I8fXy>M?t$= zvZ=SS)RZy|(k|tQevLc^C^a2YCV69ooA2qrI8@t2cwa%WkN=Ek^7h#(6V8{v9KzcT ze{K;tw_A1rP3T`Y?`Igx;7&wBDz+K55{8n#Y`N7gSdK4UIJwMv(m&$r6CC4WNqKm} z|KEtBhOxEz!v&t=GP;XLl06`DVGKgzRwAr;J~f*uUVK(6S~7Ggnh?b_x>&oR3=+JT z7~}8Ba0;A}BrbQvN^~tf9e4jN+BpWs?bU6WIj$AU7N`pg zt7KhWd&VRutIiV;E&Va`!K=606DU`9LRTpaIlO?n=3@8N`Q$*muirQJbTFa$(p_^n zRQdv{Wi^wZQ$}YS>hEG>C^C!LVCXURBd#0`QYRY8ad5B{_V-`7S&yNum~4bnAABTg z1=+S0ckx}SnHqtT$ZYfFDW@sizH~>FIYOp=tc`1)QnVollY+0`SEgk;@6*AU-L<3$ z2a9_tNL}dicMCGpTtZqi4w)gkP0gVXU4mrH#7Bnu_;~9Bm&>LK7nCg?W(SVT; z*=rJLMgE<UdRN}%q*-ekaOF=LI{({}w0vvAVt9Km5G6EPlUWMpXyH(>f3 z*EM?GM8Bt~u84|4p}CPqg8?EYB+QW}X+((wEe+K4reE z_w#&;cAo=023D%R^Q=@!E(hczFE=<_NArSd<3tdm-Ql91mh`hkf{uz99PoWSia0}I`xOV z&st>&ppeh=YWW7Xn3+8!&`c)9DB={>RgBa@2pJN~%el}qu$sXlCOV%WX4vK7kZ+kg zi~2(VcrHNfd_gt3QEU-ZJ95LCgv+e-O;oi36Zx)LQb~FOJcQ#5m_z;#ary>PH+rmi zgYB(Io>tk*4vm89C`P&+M}uhzbp~Cw2CJaY zMOk@%`9Z8?2QZptXn!9UOni+)d()#>L4}!w0X_%KF!LGwK(?F`#ihJYmqNRWn{8Tu zFF6_LRq@2RZXwQ7kq zpHxv@a&9kUmg?#1sj}k9|6`{9)>iC-3=-Y{bH7a5-CWy}&BwZExo*D$M{7~8M0jv9 zu#T9@?D;X|Q$@nBD1oc2s`|>NQWB|gldtK(1C_Z` zo{YVp4=7zl8-EdJO^(O@=N?0k`3oS%P$Roy5;5VQ zDp@@#Kv-`b?38=*5#ZMVLVFZ*1^>j9oSpj1iokXZQ*8lvj_{oHt#--;?j3V4BH6B0 z;2MLym5VImf!3J2Pi7yF=L_qlZAYMP{D{X>vfHDN)Xor|a?wNR@}f-pKTt#w8?iTu zfND&Ha}kc$u!8hy3W28-KHlBep?+BYNGAxC#QmiI@<79QFWnA{AH&CJ$n*qnxnU={ z?Jr41?A_hQ(@hc6{6(i&Ad9%MU7~WVp=7|QyGh@r=?rNgZkF!eNph06-I6K5dekA1 z#Aywg*3)!+fOuPNVd^q#ODDx@O7vZP8UrW2$DGb}g6EUMk){xh?p)#eBMa=WdxKJ~2IHlc9k$JizF=DCNQ zHP9rKKP|Irv=Qv7UD5gw4;CP3=i_1Mz9dZBKWBtnJMIza=umR5~ijVwd zJGL<7tfU#bSGj&&-QU`@cpZ{S1S zpOSZmOp|IYWF}HIs~wD`7 zsZM*CH%}OTJsAeWwm8z5qjn2)F-frwo$#7}M;%-*nybgZywKEau6N8KmGEmV_^e7eoSv ztqValuAAIQjDsGJgG(5GVkx}dNMU{(@k7$FLLkAb-`-8Bo8Evi|I5C5l0l@T(~faA z)L7B1Yle?O=FRfi@Yu_&DKvbBI7uTYfVo*6xlVgI7GFCA$Pp!V*(?mn-v-hgdee^4 z-#Vf~0=-6)mDq>~UNaV9yD7dabIbR9Jkop3^LE~P?OE9`xI3U=c2NU9)VSuHUa7Ce z@R5&v#69+Zr~bB7K#sCF`Jis|;edn9`f(%AZyfN-c=UBeCZ+Kl?YO${nVv<7AQj|K9E#L%s&(@!dAJh;ECM*Q&IM(Jp zA$aonkjlXFQ`^H`C0%ef1(2Mz>8CCiWt%b}xFDhhme%5e5Hk`lc0$xX#sl%17c7)> zr39T%!}#bbo6dZ6DN z4W%(ejNxuB+7y6_<@eZ6pUsJ4bMVQtIUYLEm?(jRUT}`+uUwS~9Xm5G0?C6g=CR+_ ztoR^3d-Uva!GCPU)R}o~hc#*C{gAKyj;pEeXcLYZ10RFt-eC^g5x}=~_4}C+^UmFV zN$^$l{!19s({`0)J1N5b2JbuuVmrWUdYu~}M3>Y{2O*;<3{MXo?PhjFUb z@IRB&x3NPVkfj)9!%^^&DPw2i{ZF?@*4a{}!VJo};LD0W!UFMW4}bFEOWPpV!tz1- z8_zc=v5MZt5Wj@k5xH?UU8doq<3qs|U7_zytV{-VcGeoI^MX|9cY$ zG$%=S`sP)nSW?DkaevHp*2Tuc8B63W}b`7tXT9ZIyc?9qAWMEcppmeldMGZ~8oOXh0%h3^S!giKi0etnNx5M%F+ zQVO%XiD*_j&|0(BXbuzr7n8oFI&qc1vxobTXrp6^fv00UE~tc@i#c%>&9`|KCrZKT zvv_IlAlxgeoPr67%$)0D7=ZzA9J5e}vp;cnB@5!RMWOzvj*pTEj_aGDG23eLV&eMq z8yWHgr+F|fyxc^|lX`*1FQJI#uD#@29sUd*fDjmJcUe++vX|}}Gv+ILJyC3}&a2eN zdV5O~$sDVw8yMP|)W38FTni{+s^caxBxcoW7n;Kp*-B^JhD5QK%{j}2n8aw-aRISs z&|wMz>s$N*#FNN~+fdxVZ6Z6P3B&C(ZwSV++G=`TY_=rkypWE;k`{22{%(2b^D z#lwYKtF^t9k=cIG_+#*G5$Tb%w#qpSV?ksYzNQAT9h$qMUQpf^<3j|@p7tvFlL`Cf zH-^xesvO~TC&<-`*3E=E#>`mcjO$gf|E)i+y_d3D37GvonxuudZBS@} zKphB0bmaO0+s47d2hK_2u9Ee%4|af zw@?+Q!Eg=*>3-tFr!zVb+ ztrT_0@YUxa5^B4j8#?j9myl!1KB05L&SXSj0oSbg{FX1*h?Mi9J zJA*tAi9TI&Q*2p(ovv?uGHi7sYb>*+arJ;~J|aav5oHGDi}tGC>Ezu$kVP=uGiMmk zE{t~hWL{MqtD@Y}P~0AX)*q2-*ZzhK#&jT5Kh;b!s6N|30KOeWDaw}H)^4(N+CapT zLUVM2EHuRg(E$21$XA6{VoipAz<$<4Bzr&5eoOyr6(f1#SXjpy5 zV5qsuaDYNLFp`N`T#b^NcnoD>(A%DvpU?2mV0Pf0q#qgxFiQ#7 z;s5JP%zx>D$+kVUCIadvur{FNA}Dasf3#Fm$dEglr=VBvYCFRT@iQOt%$i?RI`frv zW~{rFkXdhZUb*;o{s+S&Uhq)n%G`18RutYsAGFl7Sx+fSkQk5akCXc$v-DA{+dPPR zmFvbg938qY{3r@x-`9EHZ8xo{0Y6ZBtYR;@q5cOj1&){~b)ddLzlPjcNuwUtBCj+^ zyb(8A`6tXpb|i0AACu(S#{OU=G5*rSua12UQgWn*ECy;Z3@gpAg%r zj!XS)P{W*Wojr`1Cbh}0`}|(P)I^S42(~5bar=m->K0xHkUQwdvOO3d@nYS3Wne=f z`dHdALhlArF$XrL#l_}&(MHp0GBbC|$kC76Xn|PwV3zVjBIalRWc_lS+vvIIJP@ga zr_mscWGwV+8ubvk^7n1)x?|ME+WVD?+xRee3phL2Vq#pz80L9fSYga( zn5)%%aVC!oT|R$)qb`mPM3VxX*+Oq9T&)>W!QDz8{Fz{`lSXtmgcC0K0$o zK444rLsHQ%|5wtTeiLEiv<^iTHky_rBoc1vnG(8xdZe_z?o)ZyR^6?0IJvsSgJ}4Y zTu_~rrQ^hnV&qYXF`g3{keKs#|6a}Kr712ZsIc;Obn3FD14+Jbk8fm|4L^QhQ z6(V&X6F3-Mt8+-Y>>6q$&I;j6kB95x_6`IY9LqXGXxkj?$etsyy zSBv~=cw(GfnC}!Ro&YKA>twFnH(tK$mW<*4z|k6U)aqE!JMv<^OjdDnog_M%y0s`- zTy)-hSql&ZnMN=sBo}dWH%UUb(NwJmVJR@(C=oDkf;Ny$gw32bL}N%GseS0$`b=b{|YDH&S&k!fb(jAv+I3s(*@)?`=_5GelVqQXz-O82dia#W?GBL z!-;2uHgk-pg3rqNJSDTq()Imjo~Nrx=|FC)WkX=pVDSm7(nX&0xINE{DP*VP>%&_% zc>W0##ls|X;4F7wZIx*^dd#Oh^RzR)#F*S^z{6`4M)i5U?EEE0s`wq#5z)*+9hSt_ zgwnBdsYfj<#Xi$_S^J<-A~Vv=C6mOi@a|%>QmC&TeUTjC%i0}K zO|&#QP}haVj5?eLMZ7x2{$Q!msZ;Bq1}VFmQc>B>d0>N9uFsRk9-qPwQllXv?U zH(mo$fdlE|qIyZf#?Cu?6D=OgoFYscD9t9s0wHc)#pXRl)kug=1yp1HClW8k8} z+#xIi`p`k>(l zHyz?I{0P}-+!=U=cJ4K7*LG?6HsyMe4y$+2Z5<|`^r7$Dp(DXZr8L*ZDWO^tnx#{w zg_IhrCTFI%XMLGdy~HYogLP5C3VyMLQggbzUdMv-`fS&Hr(%7AZ?iH{jF5#Uctajg zdfxo0MB25ygO%zcemqEws<0dE$=jYT@3r$;hx9ZfR-UOld9OJ!uL%ISK#2M`<;~RH zrBN#V{EcZ;%g$|MncLY`**@XWD}Ue~-9Qor*JM zm383>S{c7QYwL5MY*MGd_q6<4B}@p>RBvi!kgZP4^I=Zetl5QhlZv zzKfv;8wKzn&dr}b(vaZ6CTq!g^N?APNG#_J%6rVR(G-0cutq~KA_hhE=}82+lz%BwvkUct$52I-^InN zB?rEb7Swp2B&ri8T;vOnEADJ;Bx%t5fa6$bz>)`-Nvh$2`1Ud~(AOR+2MK*Ht$HZ%v?@#>L*>i zSZ;PHZqA@n<{IgDpSBK-i)z28C#n~dOa2s2{DS8@`#RA9DN`PshKtX6cF7In101wV z>M5&~Tu+d@(?JXAE0@kQQr+53YRSBaPYuM{jWe&iNyVGMH*)81Dc7gC%W&E9MnhjG8 z*y=%XtK|r}3&K9QI=rClIENI&jXvapW{Q(SXX@?4f=|v>Ud~-$;KytP9H^qkNr`>U z)a++Y^VHSJ@u}Lc==0J1weR1gr_=D%yo!Ns@$14;cK_supFvh*e?MrIj%sk5hMWGy z_Z`e}O>$)!UDr9)@vNbfb&(db2p$p52E*3QldpJ3q~|(GNcusv?Y%qYKGw8g6L~~RLyrAMS5+;06BYN6P67!5*y^7H z=T{Y|Uk6XYolm}O5^L&VObg$SCpa6Y)WC5X`>v?rWLq#EfErS1T<+brcJrDz9A$X? zQ@$B<2wXuysu!+wHDKH&^-Worq=#t}bZGYT@~2sw=cg+#(=6@2(BXpw$$1Y@APTOY zDt~6jPE{;P){TGpNQ{Btu)tlZ%2zq` znBAD+D|z0B?@k0Uu|&%2sD+}o!5YNc)}^yggiw(zALZD%BER5ySAatqeN5t#tNGRF zj+w-3Hs%i-wrl6Fz3a5Fe0$xJm1`Rdi|0U@jo!0rGMX2bBkPa@RiiVf!f~hxH;!!& zg#m#Qli-#3io8Hzal)8Tq2uLN1kb5heE+{|n=jUx4kE|#&a2^S9t8ZA9M{UCLX2M*Dx>~fsw@NSH<}eko zhqCR&-gTAR$}J@!1z z0IHW;G6gCUlK1_mZA zgZkp|Hm&yxp^Mwzzkk%*poBpj{gGKmXa1|1mBR_`rm2lm+DMKp%$}RCyo&mRZ^l3Z zCFjo16CFHCHWUD?jfm8Z8O;|}=&Y{gP%3U8Uz@}yA%A$(K39$V>k-02jj*YzwR8%P z_U>_=DA(_ucxM}{=R4PjLTs}uASa_X5^7dajNxp{wR0xtA798TYaO&b&O7&7^Xxj? zYS&tnPH71h_J5u&zm<2G7v6(RMseN_O)0X>0;dc5&89Yd8|jI_%EPMHWw9$yaGB-{ z=l?o(N-U#Mv0YgPaK;0P#?sXS56_bA2lR^8KpWDe9tz6ia!qQyamM$l(mDCu$pg+s zjNf>XgUEAl;*-y=;xd41Ol8NZ%2>nS)&L4FBdHpU!}+kxc>LKebrJUED@C$wI(=XAJnubJVy?&ZvJgwy#j8r|x!z3JgB zw53Fuq=MKoo`|v4c`<+o(X{i0{vL+_z}ZRbbmby=IVI4H)(=xK8i~S8^3Sgy+CynKJDb(s!@p7>uv3GLfqhxaa`!5^j@L=vkrg4=phEah>+Hu*1@@m zG|%Vm-g*$#jW3r>sjbX*U@Rj7{)gd=r3AVP+6mKIR7{x)l=_ zUTM!LJ`p1t#7vdrMaC^1zL^(N@bbz;g6P;n?7^g0xR%fvC+sQ)Fa1Ei!MPBzl)hjy z7G*7#b91l>!O}7*H}!b!kTgGS;i72#LrzNwQek{1&x2&1p1!u?uZ2CGvcp*qAeeP0 zKv`;|iFHxRnR}t>@HDdZUnNB#{0!u~Fp$c*DoQ)vn!|3jdc+csD~`o2D>(3#{e3O< z#fM$&aiu|3(s?&^J)@AgbE_-KZQdun{%XBC<)?ELjhYua50fRaV>8(doS$LPt}Du} zh6%Rpg4DmvofnKuSHub6YtycLjBTkmkB%s(efmn-y2J!IpgQvTYQNMHz;t@BRhLbh?PY8maF@~n^mCnJoCQke z)~ye608M=d2>M?2yWgeG%_&<-G;Hr1;@c^QZP=ycGNHu>!QJ^U@vCYH){RcW@zHf& zw^-APNfJ~tdhxz$N|XieQ|pvVc@b2NY9e)6qOphQU)V{^GX?ERM;ct6pP64IX7wjH zGAybN<3H+ZsJ6h^m=*8RUc2G#F|zZ z(ei?j^JGFc?l%6EU`xqsct-gLwoEHyW2eC}U;I@^T7OB0g{j(Hh&mvo;qlKi?l%g+ zAFpwVIMupkc}e}G%=bN}l--AtqR|DPftabCtjGEB+yq``eSZdI1wUS^3BA!&MuYtN zx4Zq?FV|K78V?EMg-bItu5=BRE8_U(NVV<;D9vDidS>;z9<^5_*O-#(g{AzQ^_XK) zyktw*GHM`9B;qqW8>)+d7SJ8Ta%9O{dvf~KB4s-NHtom;vkYbVLq#y#uFZ`9NPuVO zzvM`CU%KbCOYT$+zLx>Bjn}ldtDuR6(`s+3U_1dVG3I#6LbiUsUz-$|OfpErs`M-; zX+Mh%(awB1?l7%N&m6+%x=Xy$7WXv{fJWB1zY~8Ukh&-$)w1NYh4OOCp~+(PeK6Xp>srK|I9dfV_>mn6P|B2Jvw5VNE09gzb+f8Ds__5}o5m zeSS5_#Hs4ufwU|~;i4)Uo~%v1nBdoLd+Bn^$!P=e5zuYy66`rLQBJ{w!+o!H#^jgia+ei3D6p2uTnqI9?nv{%(u6P+>gJi926zHgi^4mgOQK6ZH|WWo`5zZE+rG zgh#qYG+8WsM30NG!7q?ZXYxHe(SMKncceUVI}L2VMIjHv4>6qn{^_b!5pRDa|KIbE zClzh-TszI@@lml4dF1K?w_`7l`cB7J6#OYSs?(!EyShxhEDXq7aVwCLUhP)5CrYP= z0Pj>ql_wgx?vH@Kk=n-One`+biC3 z<~7tAThiHOmxyzrB}y2>7o*5i&eBg=+w9mf4gK}~aI&ilVmb~2=bv<5T{2J>H(o1W z4Bn49yY5{kOw)Eo%kcl62PY#V;rTuhy&kHwzoLre69F%+eg}yav5G5V(F=T&BK%g` zueD`24BtVyXWf8Ok2&@8)xYi#fB$0CARXmvr%F7!^huu!O=*oHe(Obgo1CYlVe7cd+wetbZ8U#-8Uac>kMke+gCn2>zjyAM&~4BNtlSe$!NRGp zgHx4n#0b5P!+gozy&EG9XZbzlKg)<)WGOdfe2c5pT}GUEO(>oAh= zg;>IvVvi-fkzIdQ9v1`AQOz+}y|jz8*8NQC#^-$kscEgEr*k$ogVBBS-zkru>d!C~ zdfxRg0G}{FSND2Sd?(#>v5^`a&_h=KZhVkkB86PJ&c+4m_z^~7*FXwtdO68IHP z)i;g_;BV8`03kkbpDetIBiRcZDH(OE)Y13JZFQXenp=y4D!i%Zh19oN3>fH{`e!;3 zKON=ZCg0F{Xn`-wxy4jg45z*;5ZH@W$RDBOOprC^NHdjA4{Org1Shll{b*QuMG5-E zLSfVFfE|n=pPA$pb|XeIp(p%U0}TdCnK~D4gsJ@sfx?TaOY@p) zp;?1_Vfo-$xb{z-FLhsRWu{!a{=|37g-@Sjw8jDLWn(j>dY^*R_7eI<-0#zEla{P&#^ac;7CqexH{}T&Cl!j4) zG5M}$WhU!ycM98YX zRFPBKOwPjXg*AL-^dNKaL#(_z&8tmsVAmZjRPiVNJ?rZohgk4!$wet52KkQ=4^GFu z-1k^-80XqK*y(0_ib-I8E2jQ}0f{~|$tsIVDK1fL3O%}$J8QEZy=+b97h5(>>2Is9 z1uV-3M7Ap=h_(sLnyf_sFZL!0*$~_=sN#`$4Vb}gq2}pE!tI_$=0#m@oQ*cFKHgAt zUctmRhnu?oQQbrK*RY8YAgm=WCK!ix7kn{lTX}>KlFUI!sw3Kmu#M)eU1wdXrKL=p z@;lcp(snpTNTyBwrwCLCW%>fY)4VHfGf~^>JUu2&^oLzmXU@|pi5-*}A3B0kPm{}7 zJVWdlp&`Nr$0)fH=lbe_9$N(&tybp(jWr}K9+sKL!i6JR{Fl%f7tqAT-P!Ig?21!Q zzCDK8xYqhYJ&>@?TS2=}?J)2fuCq`+7UPX+GIAN) zP6Zw1eUTL2c#2BzM=fZEGJU~M`!>@TIDJ$aPX}GAZG{aabu3;A99Oy z)^HwGqD((!Dln!@ehH&QnKotE*rb=;hk{VHpYo!5N*PZ*zq#n@JbfVZd2q5Ts>^sv z3orq~Lnz}ZVR=~^c|iigg_>6!Ue}5VM*P&tDjSO*H!P}G-B!g^nsMP;G-OY-E#ty9 zX`7R6JG!Tui#4}0jm5`-___H=taNpaMHk^Sk`vLkjHfi#buFG$DMriG260&DrOhuZ zGA>L-(uipdX5gKW*dB@b=i*a%6WeA5 z@1!dAyHXi=PoYfi z!#yq2O7@}QE#rDz*QxV9v5Q3*fd^LcN^QvzsC zFSHI~yQra3Z1a0G{(v;~G)Y|3(sn}mW-jQm8CS!&z(oo)ia#P;XbAEh5eR-gf*7{> zo3Dwd7scic=`9fuIv_LtB;n)29-Us0rdeLL7On0@Bhyi?2enJoP*Ws!2a{viyt4Hc zEz343gM~!Ifx|#}8NG>|Ai^|nR<+!W=V;S+t5ReVK(Hfxt1edF(56&)Nej0p{6+_2 zPRRs=*y4W3T&y&0`Y4XJBNCgDHvg0$ZK}h%ZTq4YPY!8VCY3V1+bnMvyN{=XaYE*1 zXKdp=`jfKdWR$~G9R}MX3#hQ=R3etqwjkT)C3YmXTE^$^Mp!nyg-CK>2yrpsjo8G3AtTL5gf6{6xQH@M zPlRx*Za#`-nx0CgC3Y(4%8m;+;^`aG$}TQ6j0;h!*wCi9RWK~69+pkx;>|}sPl}_N zF3BNxXZg|Du{g?PGP#@XMa#x=PCuD^pDNuZll>plY>^Vr`$FI*wCjM}5$jCS3RK$A^svVzdR<#6)AU?;Zk5<#5Xw$|rX<1#@rL8D zo7$H2)0IlY1u06s%Gq`$$+>9Dgyn5D`8ffC2$ZqyfKCkysLLQB%GgF} z=xqEHK?XM;`Aj%#+ps*nD|e4YxlFda8&7MX32tCSLzh{K?YtZZ%+!Ubp%zzxOV6r) zIVFUjU!2Uv#%kzUgeB8~i?ZuftQ^~7o(ufFQy)%&ZMY7h@1H-Xn%>4TI5jvAN_yE0h_Gh9XF( zO=^8+s`~{-tS@ZJ{8pq08Y{L@A(@$}^564z$y1;I()2zUCB2@keP7tk#yT=hzgwp# zB{~|bO`ASR4%l`~Vz;AMrs;=udbh;9PhgvDNWI6?+hU!R3umLYjBS)&N<2+%izU(K zx57N$GPZ42rMF~^i)F%cbHt0T17So%ufGt(()%m6HC#Yl7JE>|%PO4~i+80?M_@A1 zdro3Jbm7@El=iHo*I(7C1!)U1TBSWJ>CV`eQm$udtVe@W##w?v5j&5jAYWV6h8H^WDWu?=@i@5g(cmXzHkRsqD)v` zi5<{~-G;Cv*7j-PqWyXdJZu9yhg-XE7@jm9`VH>#PgB z-esa`Ns5kESdh0&(f1U++zLyRYQt1&x4hh^`S|WwN2X^j>hzpMJ>JrumE_*7s`Qc; zq8LhhR?Os>e_6{pX>00PiMEMo(=@6?t4myWq>X2RhoaU@;<_7y{7mepgQ}R=Bfmt# zg+*z)Cfs}~Mf6f45o7n9#JJV(4pi8dcyc0^tZZz49KHf1>+ukSO-;i8JrSZ89nx0ZTY#GuvZ3V(I zmPP{+!aRg{;~2s=__a&yd$NsD1`#OjSxJ{6Ea~aDV`Qh%n{*kp?MSAesS8Pd=vcA87IJqT`8ruMLxLI#Zud%81*b9V8Bjoi(+USiTl3E z*tS*L`0vJkuO?#~rMa%Z9|6hOc1+q1Xqk?+W@cP7QHv(h0a9Eboz$8>Dk(OZ>#WPt zwqKgIMy;7~fzf$JF1V+_T^kp`ZM3M)c2;+t#Z?=Zvio9$;HeMdu_7uxDbsny#s7Oq zrm?Qe%Oy3|X3mQ;jdfL(PHLt)9xKf>7H2o9(j!4v_U63bqq*C?v3AQ#F77tP3n*-B zn7_@5eO~t6h?RCp(c4rZb8~2QDSA=1p(Vl=dYUzTRBxGQyDFs8L&%C~EQ-{wWroBe z1r8=Rn+rWUC!$Rkwd|9&BMlh|84+Ss%1bG?0;f9TiYyvxL1JgLT!=hulMO{$)FIl) z;{JXak~DoZ(=$2R_G_7wypMpv%cw2MZzm)+uUbY?Ey~0jlZ!De%nG4Qyd9IsjFw50 z3a^pK@pN3Ep1FvnPt33ln_DCYb&Wb8L@&uwIT`ZFv&PLOHv%2I!HaZ~N z4n=jDLEIaOh((g7Z^)LL)ldu4c&mE{yr|OGH5{Kul_=8zxjyBt)PsoI>N=oRRjxDe zUY84{PG^CWd7rjS#?b71k!2q|0+zb1<(%|0i-I*CGubq1b%=85=h7ZSv z(r&IVT;-hTo^5i2_@XooYZ;A`ZTdn1g%zdC;KG8!V=*F=vF#4BqMPa`Y%~3oixh5{ zop9Ow%t&(@`y_ zqZqacqqI{3$Q7}OwF9)10z}iHd*O#%x{PkdP=cN zlI2>)F9b4ufva6^uI-2_GJRoOB6PsRRzW3tBMaM>qL#(jSlEV{wdo3t#aGd8CXGcf zLCIw-*UVho_h>BA;D{<*o6(sxI2aoYdm0)GPbWE-(CYdE4-KfuTY)xi7@a2sFLTSW z?jzu3X`50;8jP)xY5E0e0^U>6jZD+?lxIH4Z?vgOg@{fXYgFuAvMbdFP*BWoM8XM` z9M{s627|1nn&oKvaO7nIDV{AhbmxY7FBeW!x;<*`k_H)&TwU4p&IxI7sW`Ho)iwRF zDm*GhhoaU@8r&SQ>~Z0FO+9O~Jmo)jLtQ2qb4r8fO)59RAPq8ncp$Al4L*>!uC0VO zHj6d=?r4*5RtN7ksiDipxIHBoh)e2`7U>-=Bo<&k6kX$U& zv-X+dVvTJL6O3q5A(pZ2g1kJYHrzQVj!E~FM7Kp3GL5xc>c-;>cd9?w=7E%? z=)F31MVd|`BSM?EUoA!B!gvs>&Qv1{Pj|5rjo2o18Gx#d_3>=6$)xDb@^qgn^)8c1 z(KqEOs;CGmbBG;l&8bZitIsWgFq(`kyL;oYU$xO#4KDC$=uNV1Z)}B3SP~h$Cg)uy z6P6@ZGSXD6eC1(@0_K);YHRHVDgmj48}e6Y&#v{%``n3 zX}3V}8U5;-KGs!i%BC+^M2O$wcV^l2l?d@3S2klJmlIK7yx+98Za!5Q|Y%*zZK>`!1)IIHz23y>r-Ca~?J7v=sC$PR{({uB? zo>bo{TVoPHCEEO3`+K5VB80?Q8y9Y=O~oDHwF9=92-%~~w_TO&h%je5;Jh>tnjV&! z4#=hAVTs+3LALS^h;v-E5xxGN1D+ZfMGRv#Y@XNq=gu;o?v^a?u6TZ1n>2V>l|z{{ zI2~cVCpQqwL@%wt)3FiMh%&8kRj1Bs#&seHW$#;(21it(d%E&gh}OsIY?DcYmx?wq zv9d1|C(zciFN{d^uw3wetwb9)q(MgKNsWL$tI0IIq#oYUs>f{bj>H&c9NtVC#0@mg zY70>*$Kk+Mh%AV8RY}#^X&Q{#5-{c@c1k-$0wQPE3TL+cUCSqZ_L_-=p9RW!%d8nb526@oE zS)N|%KDWHClDE~fyiL30H-?WJamKYSJ&Q}Rh(*|x@UEn1F^--VC_b)cdKT;(YKhz3 z=a!q)3j9Afx35c5rE&as;+dYs-13mR%(grr)7koJeA8!w5*z^AGZdlcd`4 zlE7t^exRnmfhtj^=}D@0la^SQruUokX4z_=QErv_o8;-W*b_5N&)NIJZa6kQZ5k1G zJ2<4{@r5e?tYfB4(MGB{8_QCu;;M)k9inYW3m3(gw1qO69BoV*T`UuCTWa=ZY~x2T zccpD4R9U^Qk1P~X>Ab|QL|y|Rh%mxz*97BQoNVK%Si#d|dOWH?BB`E_+EP!Ggipyy zEsk5pQ#I$qJL)pGa8Z=;^q$0+33{cOR=^e-Ybxl6~F zrpkEWaynL;>Gl3EN264(*I!emi1-cN6y8{rHuYiSb`;OJFdmEerU72G)rlM;$I~Du zLZ-+_YO#}XN>3}mPY7-N!uEiQ`^yy>7xpQ74+*yeQCr4^S!p~dTaLt98eG7JV-lfF z$D&xK75vw~C%Wg}TT#mekPu~DAn%?~SZ?n=I?qc3{{wJG%t)CO&7Ge)i5-c6tUN^n z$O$#pK|$=-_W&Y%bU9WTc5kV%X4L_=$A+X8NC35Yh&UC(1(ix8gr=X=vPta29Echw zX4J!^aaI{(PY|-i6`hWpkW??o{27TJjm%6doRLWQ|6vVx36jKhOj^IMyM~k&)0iq6Ny>MnAo&#ldn8%dQ zDs9?4rah@fEZ+8CoDd8BygDu#;CTq*0t{He=&=sIG?CSX3kyRW7cLBY+TsEjZiQ=A z3HEk$1LOe#76Q3%S!kPV4u<_Fh zml~aOImTsaAuh+c&G0Za78x?!WD28GGP*8L^LNY&Le^yMy#|LH+ObMO$eHlYNNgd* z9GkWJb4@HZB-+z8IE%KHwIgirMDf_KGlbpa?B5D(9a*FQ{T@~wTU5bpP6eW>n&A^H zu&sw&!5i^A*D)Vr1vZ*9WBuC@E3kb4pp_z~+;?77OuL*{acmX^762={&H7|?Oo9AP z+Gcs9Qea!wso8&xj428Z!-ZRVQTj)ejiqRqG`FN+yIJP^*PKYJak;1@s z`g%0y!sxa%9!hLUuM`iK4V1+6M8`@`sv?AKlcx%7NL7intwh>U|B=tBI<~kJPw9~; zYrU5NY*B^8YiVPqnl2Q&ByTw1vai` z4=#6)J*J_Jq}Y_P4Xr++Y9NuK4ksCzSEMb)3T&TrK8FrO3v4IS^h#=Z?0`~WW1{oc z+Csq_-(MIDO=v5yF@yeUo)*|Pq%EJd9Dxl_y;o2e>Y(~qbp_hKscH-E0%ObK4l!o;YwBwB zwkebPOGa}pN`oyw#OwXGaQ_5i(O>oJiu=y&Z!vsagghD2a>Hd?)hyaGONtEHlZThm zHXmk-V0{o7JkhZgv=_m`4bO-ods!yJQ`l^p43}ErG?czy>b*+x^g1VEZE8 zehA-`j8h71tO0q@b3DWfY%e9UAj6+~%Bp`YM#Y+QXNvAxK<7HqTw zR^i_nKEje?vt`AFMCqdEMt9K|rGJ|Qs7irtTc-}?$7(E69GlJXnSNf&+nGk!V3H4E zBj-MbSiu|B>k``yu@TrdB^FWxiDcLq=eHpwrh3j>TnYyriLvZSZH~=c;P>Xc(w1V5 z%@!#eqV`OaqU#pk;!ABt$tj<8zyFkEgwx*ZByI@!`2nH zi@W<9F785H2_a06$8BQfp*OK>;X2pnY%;k`XLCzD-PgecV>K?t({MU!n&ynBheQGd zDut)(iUN01y0M2}c*@?^jk!>G3N|l=r}Of3N4V03!qW|5qkrROJOykmw@4VBx1|vg zN`Xyr`U!toTCj1NuI7bak3+6Va;A67wqSSO;!22L!Klt1MqPo8uEtA&ZC$Mjjrl`9 z1vW+gCxl!@=nHJ0b^25poEcJJgI*r~f2C0zj0Ls}VcSt4Gp0oY+?59U5$}7m0is<6 z)H@P+D?i$PzQEe)8;SZa3AhW^PTx!0jxsp^0gAg|+FnU)L7oDF;%OP$rc}MJl)ID_Ej6DAbGSGTVQ*dhfLT8 zI32;i2m6o(`SDCqpqr+6hV*Khu4X3Q=Zj~Ei7_Vdt;}v1eHfdRZ=9#Cb(sZCinhQ@0LRdR{c^n}ucNpt`Pm_5nU~}?zErwqw#D$?Y7o*H)8QYQzDL$ePVfbY#O6d=~y^s7Q zPHdJ=*$~nvMCZ(fZRD*uAyJLCFQWCe9)~#PaS0U&VF#IFp7L8Y>|lJ4w1kj+RHKpo zukE;|wjiV{LSD#?ZfI+HRAVS38*T9R&n9qvD&cEeRg5y(Io$IW>U-FBB|5x5ZCQP+ zNfZCzsj;X(9{Q+j!`9*{*chE@(vA&Ok<2JWTW*)I8AB;zx-49Kib8hy9#abzzdbas zf8TT264Rdk#^|xy0(~I`Q+5eHXo7Lq!kc-@M(#%$T?`A4Zwv0}AK~pryd6D^x7WYsji1Sw z6mR49jrf*6(Z})jK~Z=nRf+A7@OCQRwtmgq3nkL?n9<;E!5h^J>fc_B=IuzH z9*DdD<95pW?*Z+U{RSRNfisHpW@*Xy>hH9m=?Rr%{h@fH3(O38T)uS`fj{2A-93!A zOYt_L)J#GO-bl;w*eye}m?IsCx5-FJ#2(KZcrprqq@CtAI`ApQcnU5r&Rf)v>2*?s zENZ&4q-aolvfZm`<{@J?8KN5A`ZKql9NLD9&qLxIVm~xqvB{ikK?3p*&flv6eIP}IkFRRdYsRU;cb<8y?E%b8ciCkb+*~sV*F6d+bvp%A2HV(Zsr3n3|t~|G^ zi_o7+$4Y48rNFi{#7cpUZ&R(C(E{7{eI_)f3v6_QH|j0VIj>S+<2K>lUz%(aB^9KF zVVJi|Rc|Uy5TO*>7UaUVr9|QBj5Kr_J-t7LrwkqRy(w9E%69sZM&}cVP9Y>AHlD8e5zNE(-jg1xMytI7^Z6mO)NbDfQ3T)%z zYgdF!M9`c!o2kyp%LlZqH!?G4>VtOQPN26nUrqf+*tff)sE$YC=Q;6f5(9T9(flc-XZnzZKc-rJZqG`0? zZ9(U0t0`7s`!0a=y`7L7fsO2)4zU6o%Zd#%T43Y$^o|)Vuo0}TwEY6xrihzX-y6`X zK4f2FYgmd>V4IN`x4xcex<_$r)`y%c9f?8`;=Eatk+P=M_jI-PZ+OW;lTJ@D%B6bA zqB#A{e;AT_H)`1OCR%FnU>UYo3f31}FBF8lmYM{?Ye5Lh|5^EwE;u$b%IxY&M~XSN zu!5%xiojEgX@TujQRrGs9h+(Esy)ZY=EboYZ#)5$oFV7f%!QZ2wqcJ?7ubmB1m~hS zHZ%HOr_FEU=+z!e$PREsWwk+ndKmFWOYqkYt{IRn>u1f|1gqjm*6i zz&RyTBcng=scGX`P##`554j==^u5ml3zF_>TnQn$SsGOX*up5-K1toGWw2wjC_GUY z{YGjxLY-raDvWZY>Q>>8ssU`~DT@Fv&Rf)jjqW+0n4lV?nSX|js*{2cGfKXBDU8l2 zQ0%y$g{+4@sz496mpX2uuFwXZR}$-lnA00oXj|3sO_7+1PPL$y$I$i)PmK_U-6^?| zH-j9ThhAdS67ye^lk$G>gb8eW67%BPqMl%(2~QWJuJDxmGSj#BMxYlfR`|%|XOGop zl)~t~Wc|Ll8#O{sgljpdMWGwD3}LqAQ-Z?ihv+}%0-GC+DqMgRFGWnZWb~OrpQ1%` z=DzN}xlmwR5w@9BrPl%*4+DO(P&+o`ZBrW9hh7Mcj?H*uAIVFBZA=-$H-kEnPzAOv z;hK=>x6ta?!V2_C(a$CQA=xR8tyk0J6zqMfKKurVo9R`#P%VB3)v45zjN8`Wsb z$xneTmplP&po@+=lIWcd@MI~lVHEK!1vb76Hf3}20vpiZ2eoKy;q5;F00960cmaHr zTS!zv7{^D`(!8#hG`pyoD7H#3b~$e9?w;ARqvx`ob2eQf4v`^3EO~=aqRcmgD2g76 zpa<m>(z$#oU%&6%% zgW=d3n?;tv>wEY3#CkSG(qURe%_cdZb7Df01ly3#-eI~;P2I0LA-~4dm~))b-mQ2x zw)AXa^Ru*XkWAT#Wv~+>j59u{e1= z0>$qbfi4=fx06Ott_shbEg^X%T7Q^IvirbA_D4UN*b<( z33Ws_VbBZ;p*c)0#;6~Ub^H=oIXv_zsw9OBlUlZKFh1&q(eA;(eipZki~Cgp$pee@ znvTs>>R8manXW*Kgp3kW!xh~RKf>b~8SR&(k zBj;gdcIn&-r?`a3s2qwwI;^N_mv2zv-2{hskR$Lgf8+E=nD8+7ck{?oP=Se^Ztmzx z1L%fKE3sJbhF2~MAgK}oW``J6<(&v|V4*ZM#6k&d$4hN@kcjIgum6I zNfK=fSKLcp!B*@1>h%cB$y$imR#b4e=opIZ)pb3wQ*nj#oeOh*k;RjpZT zaNfNeJb7c(BI3F7{1YgkXR|A%P~8bI1^y4CniEp}ijUt44IteXu6tV#weQ1xp89Zh z^$q0nEez2Y$YMt8u>9T&k32k?_hF})ge3dUj7f#cGGxm-){re*c0-mS%h<<0 z_Q7C`F@`bAxA*(=H++A4Kknn6^EmgM^Sbw*`&!QP`MNhwojO&{a{6C+>Xh5Ri2J~ z5%S!BMYLl6Tb&yHzvh1%3fs+xU)$}RKB3T$-wNvtK2+{zHGn? ziD$BA&)N{EP<52=p~}fNWM~OKIES{bL@S5lUCK#RXg0|OUxO<0SIG(`1t)1_4LOpI zB_RAoDE5QkNDXo7(G5P_T6X9z+eWq#UIH|=sb)|FGCz6Hk!LWzraQEvGSe-y=v~&? z$UhF8(QT$q`PmnZji0w<2U}u75G}OZ-1`B_^Y80Pu@sxaTeJ`Bx538fuKu0&^CMT& zJCELV%3R?0SKd|f{3g7W2V(c^+?v_S*pTnoQoH;owLX@(s2q2?a^>QT!Op&hx4kEN z9IGYBtiK? zAUn|DS36tn@{?I5*h&uFU}rP12IBFXcAKXou(kw%Q)AwFkCfSaFoFF=Z7DZu&xmtB zcw>X)bN3CJ4Q_?D+L_V@_qmjozY`TNThtED%6IHjRK2su7S%KsM&c&D%sYGPu=l z_%lT*ZboVb`V(eAofl(OuAuUQNw`d%@ot&QlTR=HAH4Tzc@N6pUEb>L{s zb|3Sv81dO7_&)`XH_diWk0UNBhyg#&$S+;7^yS^utU`F&g!HK9SlE4Ts)NSm@P_QXSn+)5=^5eDz#kP_ll*FMi6@% z)C!wV87toLc)_*kc{1ngAO7N}0d|C<_KjUS+JBW_I|;e*$!)&!NyMS|)%PK}xD*bR z&?iDKYZ(U~<@0=f1WaXmYYr2GBk#rc0t- zo}SOAh1MMI0UG>gxOL!k(xHC{Jh3j9HtQLl-Bfsn(R=Gb2RZjLD6!?0>9t`xL~90p z3_-^qvR-f*LUotXiYcEIFYOch2#|w&dm~)%&-C8x{!l~(P_rZ!ioP`={VFe2f#SqN+3`F2)T z;}7tgfCe(%)wo1(zg2jp(hx=urrYJZ$wNiZtPk>QNvK)o%7c=6fHtt69Xi~c(l@Ik z{hI#=+?av^{B6_@24)hPHI+Un4u4R^o(}W82aSY>4BM9}9rL((9KIOMofiRO#2z zA$y>qgGcH+`PptWk1rnYhxp@%U|Xbvh$s3vi<&cCw1zQRpajFleA4q3ltJhP_@$pX$`=?G19c*Z~3rf}doaWJEh z88Lv*o6}<;P}^0SpM6d`@L1>j2WjXi4XvI%E_waJ3cw7;ke*u+uU>$aN^{iljHvJh_kzgvVD;%AAbx7|ITfIQpckcFrsjfu1p83PfYU9CFLZOQER1SP_D*E3Z0Bg z#IxqYZQ=gZjVPlVdvMe?O5R9C*D5zrrFD*f1MA?7P(kb^k}HW?{~n*C?yy%erK3F+ z1>ZV~k1hJ0{~k`grPhuYKEKzjNg6RPdWtX_dYMmQf{6Ol7lp|%j;4ySesbp&)Kiht?>v=zK@(ryJ%sU^s-_lG7R5K<)*O#jJJ-Wj+tnHmMh0OQNYI~NWmi_ zscUc_IU}CR3jVl1A+o=46*!FAF-~=fKR9wJXAGS!bAF#hh zI144w$g>@+z(#uHX6X=W@UPa18sl=l?g^N7zl^rCugiEu%r)M?425u0R*OaI^Dt`g z^9VNS)n?&yK70h>L*0CSO8tt_0Se7JTnVdeq@ioW@HGL>(ZL#glpN_o(FGzc zYY}6uSdYR2-1pC-_JCih!6#s7&K1UOy3RE44UV)r7G`i?G8T=!AK0>`^wL`GTYGI}jKCm~^{ zKy4ssGyQ5C@|+A`hoT;Ra4p~H#t@P8HiNNBb7ha9*UN#l`FG)uPXlmE4g3*sRCJHZ zC=i2j#{ulpx6c_Fx2NJ6^AZ{qELm}jmJ4XSmM(sfaAbFkNBd_pZNO2|`OqomkdIXP znNlk;@dLY}R6P2<=WvM64e)W;>7(=NAo)veGE2Q{gJdR8ZA46}avQi6Dt`3KCC+o0 zsFvSrgMszpb>}WY{|#@;J^>syCetqa>z}h(!H2VB2J!Ga(oKD&kC*=$@M@b;#t-^O zDkO;>4E}&(Az2w0fc{Ua^ zI{_-=d%0)69VkwT;v`m!*kbhh+>7X-3RGaF{A19~qnr+99|g!~*u9{u`OvOwGJ*9fQ=z0YBlMa+T^WMF7(6k3DDtqk@}7+=rBe)IziFehqgm$u?7rn zvbp~?zGN&KA`&7Jb|1QY+sCeIlUn84HzK5)8ng?;e#24xO?QT3 zd}`=js}1I-IV3)&0?P^t-d~hIm#81-_6(cqAD3dke;*R;I(mK7bf)%!!b5$_wOM># z$|BCb?VG{am$m>W>pz!-ySiabtAU;7!?eq7DtIrkOwVHP)546nOUd?9#mdtB$~t{0 zCQhV1?4k%#+K8*4*UY%%?8_LK;?1BpMf;d~C$Vdy%wuSCk}P(3(b88~*GNi{RLCAfE&L(Jgtd(v=e==LN1gD@8`JmH}E z;q-AyOn>5N8M{Gkz}INNv+`X-{!=835XHz_>}b~>d*;^PPkrV_Hy4{jyVpp~#p0Hs z&dZ+0l;0O-C8RpV3Fo(c=#D$S`GU*)JLBp2mwb3( zDzWKP@Iut&CiQOZ(d|3eatn_u_v2)Qz9uGfx>@9AZZjzCV<7|0cgZ3X`)` z=q&0k*L^M}^j+#+I@_a%Lrx}tx^=t>f@Z~YCEV^=EVagie?G6QaC2?2e1UJ*ME-bJ zV-OqGC>)0ef7;eO#~1AkKD)D*Yj$$6%yd_OA6))|6w7uZbZZXMh0Exeu~b77@mpfTw2aN%7H{;r+n!fb|B^2Q>O7Y=WCdVFCNd6T$%+GIQR2ATZC zcv?%uY*__}dR3Cp7D99=`(3P_e1v4q-}Vt*8L@9Zh)1f(usqkO3&PP zdd=?X)z&FYa1pF;0OW(Y>JD{*;eQ%eBMT%rumR@Uwg&?{WA9k+49n&?A=U6Bw?@My zi!ZAvnC2pHnJQu)JZ*j~>;&A)&mZpAuSt+VYfm{`{aErK7O7g8pnDkey7p5jK4~c2 zSW{8J&ejwy)oqhVqtBH;nVtW3#?9mm+N1fPap4t#L*Dz~JQM4Vt(q$77I~pnPA@Q} z0{r08^oNjtvkbeP`5HMC8oJM5Ii4Q6RIsd^F~FMn=p8HH+#en4t}k*1c!_=N=|3^a z@bs-Ey^~p*UM4Ud?kt93-;<8YR_$h1UVU7RNKi=2SQFx5$Pxg8-M51gN_{7z<84qb zr!V~{-vstGJl?mUh44m)z0qp^X<6ZZ4KISpu$Q1jvaZc)>yNYNU8H@2F(vOZPCQ=6 zD_))ww4|JMdLtK$^st+3`o|0oci%su5BKixx+jo7^q;_^rpWnB=IAG6?@CV|$h`ts zRrYlId^MvgfmBtc4H&C{DOY-?vkKzpPC-G^!HgGZ%%e{&gj{m*+R|o)cdI-BB6J!A z{LlhVo0)pox2(Eb^4{U^8qGy`^d3GcY6?ir@1tiFz>xksthu&anK0|f%@r=4$*5Rn z8bxWH@_eeur<4*zd_O&9zPW-qK6Uc_@tVixio75$e-L=JSwqA9iAE-^c<1|si&=s+ zbYdmf9=57l0HehcG|T3mGL9w^NZz1oeFT)(3qp-U*T=Hz+5#T9@c=!77)=_QAk0)= zd_kWuT^q1&e*2`+V83b%&7o)hAyH=}`Ns)`m7@EYH)t2u)bozPaML9?H&nibnu z57?DoG~Rm}FR1tU4{MX*jBF?QN?#ItHh24ML89{Ml2Vt^;myj%p>)4$1C?M((MSe| zjQJM_Y}Krrit<=&aR9|tVn{BP8A@4AAxC?a=F5HVl(d`CMx){HGF4>f3-i_IOM*EVQ! z_;hHNG)WzA_4!<3ztQw^GIjP$c7VP(-0KYNsmVF)u}qcBYN>L@Xy2Q+rgyY|%dJfP z3=*_~xV$Bb+mn%R;i$>OkQT^*?_<-ecRVEmFr9(hadGn>uY3vchcIcLUgLF-v7T{p zT)EiY-_+LOw9EdSx9)*yF4^eb4Go#6*k)PG%ZYF+=w##3v(4-CkJJ3^=rIbh@9ScX zVLmQy(POE{ZG1{Tn6Gmr-XahRyRrB_2;90->HNVfYxe9wr(Sc4!aWK;Q0itM>#?#@ zE>jVip6J@K{5`8^@pjyqE0Q~Gdk?q7>z_68-#Cpm&9G1^4|Q5lJM;VR+c*1HVAbaz zKipmNuH5pp4mg#t-cU=g^62^~j8>A=ksP!ZR&4|31{L&2GsB5$wAxGqJV>_4oyD9=;!z%9JO=*d2Q;McpM+EZLbUELPJ z5RTL1XN9e>;YI(v%XbRoH+em*XC@|Ereiu-{af&5N2Njke&JxQ7HTB!XCLP{w9M)1 zM+tCS<1OrIwqJ5W$3(Z6AN4{%sjCxO{;JyWYF*z>Qq|IaT)@2=E1R|{`=-pBmZpuB z<^Gtlp}sNYF@k?O|0A^c?2gjaKbhz{)2b}DF{Qu<0L31-pb=oc&Q1$bm3_1PI z=a-(@$hc~2c0zuIsEGQ#4Ar&FmjwOg^}-=dd`#*Lj^Y&~+p9Z(OJAQb(wisGJ7MVM zkIw~*wx$JM!%Vi3o7F!&qseSJo+MxS4ccsb0Vp(Y^lS||I|~%rbc4zD*kv@v^xfjI zO-s!7fwmtipneqzI8S5{f)Zx=4RI?nH3j&=yoX~tb=As3Jk0_g@Toz<_$lqqKppsi zn8w+eAq!Qfh88J>tT*ZNns~QN{ViYCIPT3*waIkng6KLL0g}BxAntQ9{`L6iE(hk@ z5j0i*ben$KjbTe6skT4vPTrhmz8+^3Hl{S}+tf#37am)<$V%P&bORP-vYxTTwsA!& z^=rSPe@*x)JALNVb{)~3CQ9PC-R!$Qbi)O^j``pQ-HhC4_y+ayYRhup+U#*xEW>vPdGLvReFc>$^Bgwr z1mmUE1d|K%Tlq5q-P=iCVQn=J*VFoCS(UyQwcPDjlQ9b4eFfkEy2fQ@hrfCe6XruH zdQit+%(9Z{({Ta{d{N-CHV&8@7{4j=kd~67I#+h9gmE1Zuz`3)+td$d{>h&<;*#=| z@4;k$r&Z}LnciL`cTAv{za=s)6ai-&7X5gw(`jF-4qQv{T*@2)Q5BSiC*8F`@*Yzd z0Gs!cROJ`@($m}d*M7{3gJJY1Gf16HVYIenz%F%bus@D zX3qY058Gt<172vXYqdgh5Ok$Kg1}AG^#Ew$qtG&&l30Yr@4b5ce%Cip-=21jM$x0C zr2^ZAA&iQ87&}#xOvyyJPUJTyQ?3~wFm93m+@lx6T;c%3!5)B?H+3yoT^s60mdu3Pl}lk)ff%hqQ1VMe=M4E+pNz1ym|Nraw06N^7* z`~y~XxziPmJfX#TXE0jceP(QXXSyHX-o05r;psy)pxBB-!f3s8(s^vbzVxX;Yd8p# zhcc}|;VMwdBOYIofWx4-W5~_Zl$QlzxL%49U33IVv_A0uLn3?;pd5|-J87pWQ+FZM zj(@!)MX))=U9*;HmHe?1EI{Y}KI$a0YZlhr;!Y>T2#{o;!8z;DUZjLa$ZJUJv1wi< zYLF_7LNnWem?JlE?pghB}8JsQ36Z?(>)aye)0 zAKTb|Re;c`@$>;X5qBEG>wVjF#?5&k@ZG2C98zm{!^c?KMX6Sb+!{_06S~(3JQKW@wAm zVl3+jIyCACC4T;hRe6hB5Vx|lu)n*NpV)1>3%oTC{e5KwxqS^n?K%Z{_7q^mX9XaG z^$ysKGSD=~QBsx5lQzhoc<@PDMdgnmd(I)ov2GqNW^&2hKqlr3oZ;x0sC`yBVw0^J@1;V-{QPtE1(r z))aY~#r?3C<6bW2LfZD~OEBW|{BvV#Lw`RhuMcml8!$+ zN|gLUe}v8ZCST+e>U+AFYW9bLHaw>u$7KG9NQnFfyH!kbJ*bmx6c2(VoEZ9}531d~ z%`U#ov9l0ohn8mcf7KgC$eG0nQ%zp%GvzPeP2A};V)_@+q@s7qqjXmwb4B*|++r>+ ziK1u6s9!#WNlmieu_<-K{^|K#?-b^(gFQMc@_lTH0LRKejIramVWQu@aeXM{>dk=Q zgwis!Lv)IbM7Vp>s8odd=Dw}&sJ>9p&4@GZGbK}xwe`#HLY>$|ZZBpLG@4vzr#-C|bpLGJJNuxEDjjo|DvBEOI_^0)RU z47D|T9c1boY!+VO&+I>pmq=O!#%Vp?Ft0yZ{P>hp{c`;A%a<@muEF@k05SFw7lfUU zbkvMUcX3x@TrjKtrG(SL*Ef^Y)F-#aCz6_AC)qlXw(FzxEW!J+0OEzuGnorH!~i=g zWVCM7>jz=DGb{hj!5>ddmDcqs;>vCAybAT}ZNkO^u;({@Cudw;>K>r@ZtEm-x$&I6 z*)5gGUS?wVI^d;I0J0dbCl$Y2UrK*L-qMdKO8>oi!K0$1>Is~0+SI@RRo!Ki|M4C~ z)TJ9^!iSjR6&`$_>Q=Dd{|P{E`_=8(@bUf+3jXkRtR=^jLb9kDdqNRj1UBh1hiY87 z`z7b#QB=6-J`3ro9^XpvqnVz|FyRR)QLDX-Gugj$V}5@?vZm|Rv%Arp{W#t>kP^q^Z8B680HTEzx4@sk=gjf-w)#)fR?-0$3g#K$-0c4J2 z&HP5LP|lru4otlc!Mxd80dqe9H-G)ynGh-e5W*XNMSRD$<*qjyV*V_OXD5vP(Lno0 zo$l`H6R|hrJ~7|m%o1@s`JcK!^Anyazpj09v5e%{T&5@Zr?Sf||C?hm5#IkDO)`14 z8a;w~eH^9wOz0PLB}`8^p+YQHTOFB+X{hLYU*9kLW>?2Zk53Toe{*N1ZiNcdpIw(3jom?nF%(`!cser$rQ zTv7C%Flg6Q*t3ggZC{N0a0m^pSVkfJd1o7kJ8Qod1d@Y8;*^2RjCrT8ZMrP> zu-+EDksE8zr&6K@nWrVI=P5K413v2T&Z!C5|LS1P$zLCv($g5emxZ#2+TcU$C%p|=q2OIcf_Z2YzOkWQ4r0GLD z>)k#FTTQMgSvvt~b4VWUQgjcMtF^sT>M0$;BdJ&3{9!0EHNdV#&Hw6O+wH-I%+X4L zxQm?lp>nF2{}?)JB$tfk;~JoNJ4^KbJOR9h5F z*X?eO^&7fg6JzFgI#nGWkDJwdOzb{AeadiQ$@_z+7xKeGJ2#_)anq$r^cHUter|R} z^`PCFFtYiia5}K}JbMX4(dpZc7bd*_7F|ERhC8`bR>nU`9wt{ZuKrZv3FbG*lQHP% zd2!BZEb5bMeenmOR$oHnUKm4qtPYUf}Jy)N=O#`jqzm4kV zNgdKOO}JOY-TOyAWS*A>_N2y&h^89?PII1>Xw+YO@d)2E{ioo^0g;nspLZq*eEfht zpUg|r{dHdF55bXp5M8htM(p+ah0qOED9m~Uts8>b- zeiY$P5l|&k$31P%7pOzfHx0xj@PK?j!_Y5GpN2c=AgN(lZDmZ0@C_TDNz7ZrlA(1E zu2SUmDoW*TyFPov_TXOjo4@Q#rKAw;`1rxi7DIpvd)zgejy-c&K*T0dvF4#o=*WgC zuqb3tmvhq9<;zw{epj>Z?>P5)R#yuKkveJ9?$KP}yzdM4bKWRAPI-v$Fwri@5RQV*qb>tjUajF1$f6%pz!q|Ehz5 zlPe8)bq*gzA%(<^_0CQEC2PpoUoZK+P+fHQvz|@{*QjyCv%D+29+>Y?FhW8{I9HHC)S2M1UX5hwcAaSw&>v@U;OTOQh^Smkvzd&aWt z_nCW#$B70hzy1Dq{kXA$v+};Z6lvpYpzz~Hxu*1I8~g))bqoFx!nS*g8Q`d~azBoc zCN0~$l&Z>CW`<4#f0yDGwLYFs+YqL#*L;By4%|t!H;pnmO=Q`Hs&BN4fo6AzLl_6o z7tF3%lS+E*nV0;9wJw+39lj!5RKEQ;fH%n^gq%eJYUMfvGT2EG5()2*jXI5T$wC-X-p?wV(Q2mPf=S=W^%X@jg_&kKMLNY zu&70b7CuL2REIR*I85paxc2jQx1}>-uOE4JPruK;T@_Zz z9qZ@UN;8Kf+Jb(kCq86uUP!doj)_Y4jAXi2>-*|t>ruorKLbUI^cy~X#2_UHQFL5! zIZb4}dxMs=5M1*}KdQWKY^<_$(K0qFB|s`|P|Q^6yu;B?zliXqt9%ZP*wD*Z-&c#T z`5qh^NjXCls&+I6Tji4&p@C1mG`&kZh5ZW{T1(X`z>>q=ms!QErHjU<_V5kd^k?E* zDqt>uZ=))DV(K%pV%%jworivhJ!h?7uY(s+ByDA>t%PyJM%TlX-o zx|u@6yojB?`Uuf8d}ZcqdPh_BOhBwvfqqg2t5mA^BJIY8?eiynl5$qzJuahD1%%;$ zw0OS>C_|hlJ#qSi|C@-lk6b|-0&cteQwzBzd~NztNAHCALr|N(f{CAlT+IC|OJl&- zO1bV9O46WBPM=n?Wk}6($#70%sV_?gKYP(;RlAn~%%t0RUI0FB;Z2sB=AfBLngxUBMBQo^wNi`i^c=3(tpD~Ty>>huQ z5?{J=w{LF91kCN}wbXtysFm^7a*<1mAp8T=*8WS_!dAlLf=)UAq`mRA%;Ir%e{0ik zHCxw+x@x5N@;h_!cLdqX4&|wtTj_fUq+ngP=ptNomY@?TJFOAC^I|D-fP_pJrZucE z|DH;&e_XvoP_)VRNsCVoomYeF&&K29#W(U^8c-q9e60^AfPDn?F)J0@0yVvBLNXIL zr(T9V;=ZCVS2=$UZG>0*l3fq9_mZZL{2AX=n=QO~!&5JI`JlX{b-up`m7kd|52bjg z){8C_ha~ZS)bNK045o2dvnsJ@Xk5}W0Q;$!xbg!M(7PrYzGs8i-Yp*_jR_*UEU&)# zmo||}C1<_On3djUklOUhX35k`$N78UT;_Kf>$y%+TXE_1s+^}pX~3Vp3>zgYNoiFn zE5;vncO_v$lC;XBNRhFML_GI06JlGrO7fnD&DXOob}s$ho5tQ0<{?q#SGw2G#;c}= zp{*#7^G_WlA`OGDJKIHWX6pNNz(2Xx?7G<%`Fmc)A!g-`D?x%5)|EPdL&~E}9$1H{ z3NX!E1(!*;K#uopCPsRN)J2SWdp8+p_Nas^70KvzY?}3KI$;llw3HyEQ-&jM1B1gQ z=PN6U;ssOYK%3*T7rO3*dhyoPx?H=WCKdopn*Qqi>YGH59hfs6F)X_)ouW|!W3Z@J z&_^s5hc143LW3T9Gqmd{v0Q^l1UB+%n6bP6PjmC(Ycz6G?v~6AlC*ao$r}=d64y9& zJsE>;XzMXlos~ySHUHUzw*7E@@A~^0;kSXm?MFC@wW;$D$U`Wo5Cu@Bt3ciSbYVYx zqgOw3V_tDJs7i-Kpk5L_>?v(zw-`-7^Zj7?Xc+rhfk_iN`+}7Y$kmMS-#S-6an2xq zF`0td?X&Z2lTV<~a+`9)XeqN$$H6;!E)5735 zMty%n{nXD&E4{-*fgJ@^j}>X)0hE*2cM;#vo-d9JF7Ew)D8euO+DMS^Fqnj!xOXw9 zvb^n#77({9cLMOqx`rS$v&?7##y$I&70J>IQn3aNC;H(GUlXri>{QQw^M}fe)f`9h z+5j(_Wy+aLlf7mtd?gQDM4Q5Nw-`hzA$xwtP5thF6f!u=B~7`*fp2i`%$I}>c_}CL zzX1&?D~z1+THp4%fn%$3_C&1DlG7iuL8I+f4H0ypl6PuQ`xPUc&Z2%PTzda}XxGU( znWqpnV)yaI*oo#BsWYSY@Kk5x(WHpBfkAVaLm1qspeymGWw5dH+TODCVD)p4wa%{} zcFus~Y|z|C%yn2J%0;zlzoZn$x+jL@FET{W$YRHDAM|;t11cgLo>qnibNJM zJ2_W~-ESFQ{>!tB{-^DrF@0JQ3joel<&7PWYDiyUEhHBg&g;X|pSKLL<^GE}gTzIC z=}$vnIVC&$D>!CMw^zQ|ca#|FitX6y5tauI7u~xsf>I-b5rPN&X-+Y=sio!(l}!}{ zl0Sc-?pk{;j;p!Sf?MEjgIv=>V!_ecfjh5V;>)P+@b5+|V)lG1f3>sFa%aH2L*M%f zyH<)Rzci944Q=3v3AmT!OPrPK2-C09ZXh6DG4K+yjo(H~rnbv_eAL5@MYFKT?AL^K z;fF59u=3A&s{P%s?-YMZ7ZGmNpYG_s;-vf%HCSzvC`oS@P@hDP4l|5mYA#P@A-(_qE$pAlm{_ao2W5u8A5lP3XdB!7J-}Ge6+3wL95J!OV&UfrJShf4bMLN zJmbid&tdb8&OcOg8hWRt0>j-GXiIbCN}(UKukGFcftr-S5B+R?=z7DZVij!ZPcRQW z0G5Ob?L7nLot=blCYTnnLVFPkHJ-gj^H!34jr!j6Yh1;0>xYH($O9Ib=MT+ubnIQA z|HfC#99*=uPoHc(2BiX#-x>G`goxTifT{M~@B1@f#=(g-Ke6@p(6vJ+Klq{V7D0al z2^E|`do`mpnzCh;HXEXKupc2F7SSliFgc`qBg3G0iX9}J{g7%sGV_2)V9 z+R9bAxMum~&sV$;xum#lrBZc=zI47=elL+wCK`x#3fXOQjhj3i`l+3L?sr4V-Ul}; z&i3?0V5;_`IB2QuHG>w`7bOR8mvWpf$K$MLbd#`mZvcEGuZgobL0wdi-Kvw3dPkna z5m6E14{i}{&AgvVzr|lpMPwf}8y$(nTtIiREp(C2fRgFP3U?%YTaAtGt;m|!4Y;71 z?Sd-_ob%y7H0XUQbrYo1pfv|!4aBk_4}Zq&CGN^EjYx8kgrz1^pbiU2G=_&fTECWj zPTrSxJzHQY+8km(+jA&rA> zm-K8`g95Tl_|Q*2I}1k+NJ-TVNL3tf->DY&W*rjI_XDy~UFL!7ehW!7e!PGQDr{B= z6GA$cK`r#w_v(f$B*X~wj#}>_nkvoN*xRa~GSU^^y29LSILf>qKY1W|#p4F^`#UF8 z?H}E97V+OT0Inm;)(8a2IrUqMO!-|C)Gfryw|^A98kEEuhZ$*4*TZLx^AY^V4y2rSl-EpYH7R zi6PKD=ns6w(4jS35j08)m4m8O$T&9R8{BU|OS@3SIrw;O&8&x@_l%XO^9I1}{L4lJ zQUCr{=b6kX4%g5LQnLUt>E1DCZCB@2SpDay8=jh{b{*5bm;HyqpKz6~3J+gXS6dH? zVIRjclg|IkC=>o<)q5I>(EF5YiqGCKPQ$&vS?4TvpgFo_A-VAZ3%dH0bwGKFsY8LG zoDr<3y%suW=?}8QH__ExI6=TPAV6Sl5xV}K%|UM_2CGG>dr4*KzEEh#(qm6OFF1&D zTe<0{F;(s8{ltcE0J^Zv_B4{u^zov>uewJ>XxZUevPk0cd+R7k<$o$zc)!03pJN%Z z>)-m}BnG9t%oRJJY~E-m?fTAU+c(ZGJ23wHi_Uin*q0nW64A|;5yMxvHVDvW&fZ%- zg$1YIfrl;F5KCeCq&K~>EDsr0H*qG z&7)VVb$E_KQ~ICTVyRVQ>LrJaG3>LT4kxXpj65D{=mpsJ<2@uaP^oenQ0MmM|D<8#>i`KbAjI1%gLkIz>g` z52Ux$@PMPDR%jyE2*dwB1Y2NZ>f;+|d{l+m!6>P7cde{Y@yltiSbg1B-AI+PSOh45 zzGYvHSz{=+ z=01xy)(>r#>q80IdY*(4dP%k7MK*qd?1 zwGZ0%AT)!skD0C*ETzdfK5?0({M}QPrD2a0n`vP~x(_HuO_GPAMlvCtE5sH?=8&)S zIj#eA1DIs%XLW>pffyrw1Y1*Pm|GoB&7BTGwSO|4V zXdpV^3De>_u!H>_BazLj_Q)#du>|A1$I8b?W}SI0R<<&Zbr2Un{TwbDfQJ|(u1d;xO$J^%FGceon`^cE0q>cpLXTvV_@TMlDH0d*NiQnk)AY~>XS@*^P zXwCXwUiu#DHC28BftGVn7xZ)dBUoMSFm9Xp&t2@8gDt)>aJkoG@A`q2>t76AH>{_zRpZ<7>Ho3l#$TjSMnE*VKg6Z@jb8rseB^wd!;xdnIipPP z#vF~3C#MbzwPdG%rhuV+$ovM@$nLnK^rM`f@j)I>m}`sr8t2DJsVlMsJmN7IyxwVK z{=ouoVZPq{3Hb=T%#sPr3-wfheBPZ09 zX8@yV-u^vLFZ)U{R!6=KMzF%dI#v7dn8-=RsyDZe6Y?2P1+s{|s7t%?Z+QC-pi>s@ z8Og6c(o-A4q`#)#qadd9pw)^mnzMi#pce|5+jBm#S3Lt}1VZ5W{Si*3TK|oT^7BrC zlrBUcdIvGGLw<`Eb%XDaKTQ8UGm^{5$kK3&w(J<%iljK~j67$ccLAAhZa+HNHC6nM zSs0n@Wfg!RilsBW!3ee!rvfz!JXwTiK;n3-9}?Ll>A}wkT9EDd`yfm~!x=>(>Pfd` z=#*(2GhF}+8*!}>${vU2%8DPcULhb51FQXO0N)e9U+PI&=B3rr2dnukd(ey(L@}`i zdPIJO2LbHzH6|lBDoYqF-~djQ2#vM(M6wx~mFoNP$VO_R81y3xm&oH6*0;iRld=}1 zg%odNNCqZFPj&+6jxKO|XwAvS zD@J9)Wz8`~)r&OucVm?&Sc}((vuWL|sMqZn7uG%~-kku`mx8Kc-_z%B{K{|+UW5tP zzc2T;ZZ1e{^|`P`HqHH`EQ|MpM1Zl(F`(Mu;p0x|>er_hjdX@%G{g4rC^S_rmY(|e zP(W*gu_<}dUZhT62IYQ65bv)#!H^i2D_;=xbsLJ4AK~z6&mQKJ(va*=mXBOmQ2|QC zBju%Lg7EzMluOj|N;SiS9C?Dg^N*ii_4E?d++c{;5LA%>lG{e{C?^JlUWT*GGe8@p zy?W~v)rO(|tNQaAplpIJINQ|D1A}%Uq!H^UPo#Y_UILc6=7vu(zkuR2g8!j4Iy<8G zLi*d3zw%@TW{_IBDLRF&TV)XGHXkhQ?zc&zmJ>1O^JdmOKt1&}L%8n_t7S-3_d9Un zQ`LSq0`c(lp%&c}wT-?D-EK$ksIJjTI1gY_wLtT14RU2AjX!aNku&?@H;X^w?5OHo z8;Nf{S%X16hpIeaWx$7(ZV@x^`*hzw$+G~HMa1NFP}E#l;AYOtan2jHC^}U`AJ;Yp}KC?rGPOUK^ zH2_d0Xs!nJWJR~(dDV;0SsBi6WZt@hjNJ`H!j!bSpg(jtBYKp*2`*B>c<}W zuG~o>NP-$Udx>uTrM~r#!O;AjLjf9k2!Q>??9SKJc*MulqeN`W*#=R6`&96;#j6auNjAj4NMGsPT>a)5$+YiomgvM0E&8YDR}6O8xX^>KQUMpm!j2m6oSn1|cusw|lE`1V zn5tp|Sv*66`q7yD2}$hx@|$=r>w|i$ePhzW0D^pR^Sc+jYLPkvqBV%fE#Inu zf^Iq>Jl`b%4~VcKkR8xXjlR6VN~rIe)r8A)rY2SZUZ!T%f0TXTDVI+VHY@guvt?G( z`9N>>7-6J7fw~p!VFHi$5L7#jTeYlAgO&cYf7zctjSM@6|M4_3pTX)(_nv`3^l;%^ zKX*Sk+z;4wzfr_2|KIc(M2hh8YuX^c@*+l3T6tIedfxddWTswX(uE_O6=#R9Yuvft zxCK_Z%E~~|a9_lq*n5yTd4 z385kQxTp7niaT$2($TkP{^GKNNKVAsep+(vz!vA*f8?~eY)obUwer8~G{pi)?WZ52 ztJSx@snuT2qy&aaX)VuLNb5(le}EJQ-sW_%%Ud%+pDWMk?Sv<<{gjj?%1)HnR6kHc zR@;s|;0j7yDRYx?sM}GWG7VWjE8h0^L~gQjGTXFQcg~byPAJe4d*!qk!_v!;DBZGA z)O|2G@}w|uA#Be5oqs1{wp>L1=R04Jte60kd|;2ofW->`xgf=+%A9Dqw)0_99QHj0 z5?dmPJb}uLTz)AnE$?Rry8nLE>$bTbR+#o$W=y*miY>lrZ)7+vE%uT&WIS>hS@4P0A-7$)6@zilfHsi3&5wV421KM+~Mf#?Qe8m-~+`b zed&?6lG(H1s~lprYk|_y5FxI^6VW1I5#`>=@A>2yyOAJ47P;I^1bYRda~I=(9E4L+ z>(2N!0$O0ye$S;d%N_eLrX)ax3yIJ_9H_4s=B9TKFzpd~8Q{vmtO=Uj0rBbQVznHj z3c!9BXY6TxwL%RE?^8(*S@vZ$MqA7hzli~Y6B~B#RJi-|=<&W3UJR@I@(on5dyngw z5)Xq};0Hx%{_e{bmep6H$VN-#t|G4isup^%L zU+AOQhrB;;dpo2K+tqhm{j>;8{hv8N)5!P<*EBN-~8$?1JjIdVl0Ur4Hy$;PftF zM)4=R{1^ZyZt|?~|zWw@h|{|6k%u1^Ku`jDFy2TuNH4X4}%Z^{5&T$!?K9qgFd!R0<4`a>-8Nh0_v!xTdKEZvK zF=xWt(q@xzQGdew)N|Ti9~0i_V-7hHz9p#bQYg`wQUQ{Uv>H5FER~qc!jlRXZ+>- z^IO$v86|!Ztfn1)X%Bz&-=ZHM(KU9$b=o=PG&x`I{Oxg_=lY}mw2zrrTgH@wk{>#+ z$n?KFx1ai>+YPbNT){%e1+CE1kc%(@Vb#E+6N-w-x;V!ExeW(SM_) z5w3IQZ2Y=ESDS*e3kn8klkTUc!?nJvRZRK^!sOSAMkJV`2$TB z%9;45qScO{{^6h0lk4dEr`{j`58tBxvX4{`h-a=Z>J##D4KBL=&XD|Y(ec;w%bf4d zU+{YDzrbw?{&CHHsrnhW|D4X7=&x|5>-fnp^#3O35Bvu*|JW=AQ2$FU{y*OD*wOkCIGCf}z^>JmO?ZwE40I;xpAn*5V&Z(xDfAJUM6)u_Mf zny6>e1F|~xE4&Yu;yHl>>ML-HR_ZUhrZ4Ke$rB<{Bf9BJ0WJN1%{^tK&h!40vcO*P z_(U41@P4@&c_(Fm#{U;9Jp!xGL=I_IxI_Kll+8r;YQ?s<#{V@RiR<#8aQPpX$-mcm zf!-z8?`fC6-aq{oN9`7Sf9$g^Tl}w*T|xJYnSGXKnP#gpBwhc^?Sp=sGG&{7r{q`Q z|4*)8_MSE<^Y~@gen0E?P3ry?_KDSDy?(n#LjKIlF42o}!u{*A>z7Q24@BAOtB13$ zQ!?F7pH|tg*w9xpZJ-PO;3w9@-U-<{x=NCq^rGuUH?bizCY>m`2o}_y_v`!h0~NhQPvc# zGpV(0lvO^af3|+S0 zzvP_Ob++3%dGQE3GZJ!+E+?BZP9~j`4-Vnvoj%WK)7iT-kI%DTMBkqvwn|;6TU?UY zj;vEKQm{VnMvSt_CHX%?Bzesc>;Lx_>u+*S-|lfr92|A7;bED6w}f~6$&hj628~qe zHVsX^zNLPH=Yb7wbLbz*w7$~mKf-6E^*kQg@fHpEJtn-= zzsK|ZoX(pp{rRqwHu;|F{6A3Ze_F`F{|vrV*xQI-Az?Q{dl&#js6$4&?mn_ z`dJx$#_lNFoc@j>^q(?B{)ra)HyY^2^BC|j>GZj8&vl#r-{kb$A~k-i)~27gy8LbR zk7(ilfaU~rjsGOTey23gigL!s!O-7DRW$hzy9|^z`aeCSKF09}gd@j4CR@_=*S&x8 zuk6|CF^`|$b^W&S_u3)!zi~+Y&$sCBcmsd@jyB3t=kJe)@E6bi(Jz-j;{UUKBxuub zJiFaiAMd%X>z9ACM)W7TeCU_oc1F4E_TM>#|L-57ziXb~+xYv@7X6-e{tkNm74gSE z!NA`-<@}}c-=k94JwDHa4MwZAJ|2Yre&6ZWcN`CiA^Lp1`}`LP|2CLZnb4F))Af`; z-_SBo2fr45ot3UL^0QQy_ye@m=kfof^C)x534}ZKG0%7QQj_(nr;g7)@1NK2P(3nI zAJ41h^*jdzPn21gPby11F+MC1Y>Drnj;Rgu|LuVM1g-d|6;u;DLeS8#oMid$ZXe_a zrbFTnU4nmm#^51MYdqbh_t#OcE~yVY&gWb|;D848OJ!rO`^G=VAM*#~JLPNEa}qk{ z*&i4nANU{V3?W}r{C#eVf9`Sm@sEV~=V{TOb|2j%|2!px@9}jav`*?1WtG}t$N%a2 zxv2A|b=G$|{rXI7f$|UU^qX}4->d#>g){Vf#Q8f8VHNy))_FT3K9QBG*R=Te79Sse z*LRVazhIL?>dP7eTho8i*AZ>=mnS2n$^-i3`aS9VeVxmX{!WNLc%%Jt&#!OG^ry{~ zRL8qs!oD-!f3AifvherchS>KrTI_qy`8%EQw@kTZiSu_!`r(a8xqYumK#}Y$bp9ay zhu$Ck1^;645#_pY2LH6D{=2=h&i@tXe|^S(=x;)JW*q-tW&GoR z2pWl+@_Zd2Q;mtEie~y1n@Z3KG|2N1FDTvurA8#11%eTzw|0~&< zf`3nD>mTwO^dFv$U#C+``=$oUtNS;375pD@{*gc4^a+h|;iW#F*KU%ZuCMX_$e+jmK|PA1$Cn>^ zh0D)A+5YT*0>b}}`aV&eziG+8OtJ9ZjK9Sm`>VtowBYYKE`Jk$)E~?E%k^_W3?C4V z`0X+B^TfwW$A>G>|H6>M{PPauYsy#SPM>|sWgzsj+vlG#e+JB5L<&y~!Yj?a zd*43nUR6xWH%@yW?uc@Cl_vz!}AD+nJw|w_G&+HSAV`MKk zeEvV?`GtMRjrRCaYB48U)Af(MKfoPXewgw8pO;$OJFFuY02W$`yHoeGr7b@?6mzuN6xAK!OM7V5vs-+)Rne|r+Nc_1=Qr$V}7n^mro41*q5{0G-Ys;f;VgJ7M_)f zz=M()47<8KBgFyQbRkGVuQn+d;a5wF?W7^M#rAiJIw`1IOp1+KZ7uHc6Z73`@{q@ft28~zb+*2{2 z$?u>B{IaCFDyxsD_2cyfUm)oY8);+8J#D*!d%N1Ll71J9P}pdTrW{L{r*|VkE~mni zLyo`?bB146W9IA~=2xALNxf72gU37&sjn(b=fvT;<$|URZWz{!^K+!dZZZ9@(yrgh zu8yfRF*eA%*!AW4B`anZBwa~(s+>$Q^wGp2Z?puzaY8Ma_xD)V$nTbAPj%&{rBPfj zpQpE7p@*;xZMc%Y(lOUjl>yF3>TTj^DluxYlb*ooi4o(EJ?ur2VwH4BZnt~LS`$l( z<%;w%jYkGIJuefS|4FaP`gF>?W_=Ibv~)`FWuj};$P9C$c%|!mV}dLd&Z}Jr{h-n- z^KU2Jf+e0QviY4!w@2-di_@wYz0I=w4aLVI`N00<0~R~G)PAqqdPy>W2`?L;Vy)Jyb--kx+&Rizih|eS!4{}4|}4)cAKQh ztCDV$Y&VzMn{*e{o;U1Y>P}NlI&87AM+%c}O7KlRaFgzY;0Hw)DfFvtNw-lHPjqDg z&QqULT?qZQ^hx*2UE3X#biDD#-kWEIeckOT|bxr;M?=Cran6Y1m_I3FK5$7m<0((e-%#n5u8PxLl8$mZDcGbt zr}i6sx6x{i-bu}Hc>~O}Y;C*MKE|p2M8s)oYSPU>w?_(-ZlR=DAaAUSNyodQp=;Ez z^^_>C>yd6+I48Rh`kjGF&)ln$juCx%&2|%bW<#7_Qq&xmbaRp}w;eh8wA|smGDvPa zBI0O+bXz6Gu^zZdw?*)!qFZ_LtSHWPqmno!pI`1m=m+&)>3%7BJ387T>3D;@ab+Vr z`q}5vVRy7`+?)~hIl*sqBg70gruMTML6%FpO^MMDCH(OZWFq6m#!X`Ckm8h4J=eww z@Cd)f9JU)*JdQWS=>@^pcDVq#^_dwVPL&8+<8)HmSjvnlNnxIRTwHCEhGx3zi zY@hp*vx-w|Z`wPm_J?Kbvx+f;nuNw@BtxRrDVC7rc5>GrGrb){j(QiGdx z`vhOsAl+q2vAhRv(#;7DT`5WhTklmCieCQ`?M=F!YCk9G)=4^pn{+z_U)>{x+3u{QSknVH=~z8Mx03F-W($YA zvJj`+h4V-kLO-bYO7|M+#XMk4(yf(eP9_4MNbs#-@aR~XW&q|Z>lCLad!ok1%?*m0 zql(ir;>_?^obvP;bgELt>1M_0GHJ=$n>IG6{gm(%NoG4%xC?qH+IYG^GwMYRaeDnW z<8+a zF*nDe@?QTO>A4l5uw}N z&rfF&H!E8Fi!gihL`P;X?m+XdavQHr8@$6jv|-ee z=$*sjE#?mfH*M?@d{WxjqWRf$sxWOFRlc%z@m*2WJkQ{!nFWHM zmY2>+FdLIhGl!H_cDcrk#*5P7fZ+UcqAE$99cEv1!f(bx32<_(t2g|CUA}lbmHESV zL3(vjjeUD0^)hMMGO|g{dxDR+KP<@nVVnHnw4~-e;MU%xzM&kxTAJ9Qx_s4tUGQs? zdP-aw+@!uH_=z6rOloF9Q$28#dZXa*Q~A$U`OgxK?`CVHzABtcyAb+8rA=z?#`7EH z4T*qB%}6oP%`QUVvzPOVIChGrCAGCTsVCJQsn@B_;AZP}f-@Q-wZTp5wSqIdu8K(= zbLqbV=>k2)|j7oN!i{ z_xHrRjiTe{nv+94qX~_t&;@BzQpiCqym~U(o{AMEp zevAv011D>Bc}`|JnQZy<$sX(UPG{zmRj~}VRuQzZ$G+xuQ?decuBw>W%XMF4k0d?Z ztuy;x5$8)pvr^6iwG5pb7IS)dU8AqV(v|&+z-I#N1op(8Np)P_&d$$HVPBl?ubFO_ ztNj&maaDB&H{C81e6MtC<0q6&x2!Vwy=qk~?wGM(>w%jFn6bl8rL<$>BqTbJh*?bS zaWC56^&)d$;43A>4q4vbb#9U}KDJ4UL{%|PmP(Vod!zd~#~e7)eOd*H^;q~P#lT!3e%I0>C|-RV?$jAy{lnW_c1JjQx$t_!2R z;aaZjaia$=(Wn)iJ)$krp*_cMc`V*alNzP3Dvxbc9y`&slWAa~=z_oE9L$qF6fKXP z7JO$9-0XBvaC+NSa~^|euQsZ60jsoWjeKj;Q!J(pM&1pI?vwK4wdv^!<1eiod+cud zUnp(&t|JW2I-=49XO%W~diFSxP#gDiqIEN#$6{I{6lYmSuu zz2(X`W~7as+S6x*j~V*`akWg`ZtC6@Gv7F*x$bqXl&fM?7wPvKNLLlp#;F0?SnKUK zChZ!YU82is-mZw0ebUCR9;q!-4#)?_df?XQ(k=OhjiD%Dp}1lcS)WAtWb$m@#K)|U z`$@AYQcSv?g0lx%6@y>alP8mEZyfQ>{IFLF^Dd;gCV8u3eHKe32n%*)MK+j*Y%xI4^F;UFUXZ%(&eq_)LtyQcyh$9_&(Psg?R;1TxgJD z{5GYCeI)D=Qjk5Ch%0tlt+>twzofWY>JkRui*+ZOnS3S1oTTW@u_gsM_H=_3lea0w zY1x9+5IPPit`bLrb8jH`s0Esyzd=A5NZg>fQ1j!Eflk`8Z7ZxPDUbE1Fer&}!JGFJ_A=!Og!@V|^LC z*N3UAMhe%C9`RC=)m1n3}aNP6e6<-GB~;IX!v zmOrx=mo6%`lOSY}5DqB=A z&TK}9Mpo-nY38gjZ0JI;Fxlp+cw2R!KA!-;J?}K7e+?&}9_q?szPeJp9q{#_s#VNS zcJYL3PhNGZ17;f5KfWuT7YdK{PMJ`dd2ts4wT0O##(Epic){}Std<(%d5$>hfxB-D zel5)#3`@weSo^E18rq*z`)Fy$O8=KMA{x)stQ3cpPbMjPY=?(KAbfIv(z2;_uQZGo z?dxjZMl|4z`;=;zR(KS-yBu!!|4q6p8VmS;kjnZ?g!ZI*FIP^;%PQsDrD=W>P{*M8 z_D*5opPH>0e7Pv@mfk9Pk4a-8i?y`z3mvSqPMy_>s%h}Jcw6f5MG0=W<^-=+U|_OF zSB0Ei`-&pJ01b-VALFf%e6xFVO#aL)Xf};EwvLz(&lg}vWkI577vqBS{0L=jibJEw z&f$22Z?N}nt>e4$0ruiHB``R6s~9wl=ixqJ_>3#Q&&wUIbS-6Et$T-NHCpRYuHVPE z!O#4)_N#r4H^FYV6Th?PnG;qo_8t?{-X>u<<(MavH@ZKFlU;(Z^SZ#re-d?x zrIU&x@1HP=RBRE&(8=W_zhNeyD|J6)unHGr6Hgy)miMeqo@E@KeY<2A{M3EX*5Ky^ z-{0kqI)m@ldi<#1#tGbR6NLPBPFxrd7P)9|%#of?92$njf-_63ioyARh2GVK!C6hr zseL6oElFWBHM@@qGrw0l)SZ7ChF!`+?DSq1d{RmaS>TX+h!tl3!vPs8Na)zO#lP}A zZ@JFv6j=-81Nb=q?WcGD>!a1C;1>k1ih13*M(2g@|4Y*IT*fW`)xwiCRk2yePK}!t zjI-)l=w5g=@?Pj!HT$ln#fgP#^0-@yKsU93Gaj!P_~h+MOZaeir674stgk?_20&!`#(F!QY01&s?k(m-qQ zX~FS-EOS1y^(w_U|Cvy^^qE^)Q&ib?d&R2G%r=KbnLF53F$s_TqtGeR##!vK+W z;%%#X8{4LBM#&8z>=u*dq-0;Nt_SXm3Zt=E?GJagiZtx!=mC$1Hh0Hg(<-rP&uT~` zDVx~rVx4BoD}{8og_6#sVwG+3A~?S+xIKSj6sLuU9q6i9?DMwK{9Q+Si$-d)S{eX< zr4u1Yk-}I_P2BgHQpK4D&sihSS*2FvU5QL5$JKs`+HX*rvbWS!c0bXhlg-izkuoU^ zx}_#9nNH?};c$-+8;0%j;R#WML%0o(LiWYZ;Tn>od)jHiPjx8*-yn+PI`WjjOhRg& zhKw`P9`?7gPI?Ou*^?x+mIu`mo(&eQ<^6(R?cv$l^P9PKYPLZ*uzzTr|DL^KhMj0^lw_aEd7(&whFWHZCW}e3~PnBZkHp1V-KSSWoy4n@M^aWIJ<3Y^lVa9 zjN%yyu*QLg-Mr_hFkBPnosxq+#=wkDPWI>@i^Hq+qh;urbDKG`zU+mjswCsMd-$Fe zz>KRcinX;4-<9Ad*G9oDJJ6Qm`jKV1k7GRWeJQhbYUui`ya6bgfK~q@Wh5eXwV3R5 z?EXcHK4qTW3a+Dxj795m#(n3_FdNmaqIkLoZoEwjZtdc`ntdHJl%12xdJ)CMTBT3s zjOf2td)1CN{j8ul`tdgUr4s6NB5$(rPv}#gMeWxZM)-zkO*+ra;X_xn9OY@K5 z!3gsYbNo_cn`~w6O&0RNhMx8&%Sp8l40fz!*)5b)lEd(rUC4yDW0xahhv%oQm@Jos zlV^mgVzTg049uUaXCO=#eqnUFr@hC~09m#QrP%~3Cd=8|l;wcf*?yny>7@NGlVzE3 zE>KpiipjD-yz$0gp5z`+mB#aB#U1ZvuZqEsYL94N4<3W>$$lAaeJXpgPfNLw#4{Ne z^vQU0x#F;?yt6pXNKD2p!kNEOI^t-Tc-z(>%Mr;EI$@C%fxxE%+`xWQ%Q zu2tA^@-}7MBpKJqj=aM?a>XUh#45fxvprSRv9xDgwmsD=8kc+9S9Te5o~_=dc9%4W z_r_7bT}<1ws!HVWtzu+Gadar*wwCKP<6YaMe95fbRmuk1jCC1a(Yj)f>tGw64o7r)z zc-t-enSAKP?6Ouew(Uz_>cL~}#|FrFNPJlS0KduDKYrcZSj;?wd#mfyOxR?+uIFUV zI&WLk7;7?~*RIQgo*8u-z&i^D@Z1|mth9T5%+}t`am0FHjpE4uDb;x6_d(pXqp&87 z{I>OiX8R5LTq54~i_Pj+tfuFcnhWs{?Nu@O6|D{`7-v-+^~%z(=QdgTz0N4~N)2rE zmh{~xmUk%i9Z%>?Kg{tae6D!;ekx2^SCmiM^pm=GziwY%csnBAHm}e;HXDD5M^@I? z#q-KU&-`j!@N3E^mt|{(m-KJ8STFb*$+A!F&n0CUoTm}^Z6C++^WS4Kaco*AovW%L(xi|5JxNp=aja3*E=C zO~7{xKGP6`Ye&uxHzx~sk&bFtbS%ZO$+Aj3MDAJwI$uYu6nsfTykAwkFLOB0!G|mp zYQMOlef;wTEv?)cyIdEtBeDx`Z;bJDo$@gG_GtGWmDy#hcw5-O+YQNbQu?3kwzqg; zT)xp@mxUwS<>un$nq=X(E1Qy!m|c!tw4EY!b~f$am@JFLo7s;Vit&6&vcxW&-ODlf zVc}WVAp7)?ygi<9GhS)3-`z(_K;QpOj&A^cGnZrf+dEoS&m)m@&&&60zF|-9F_#OT zFQ?raTcB8T^KJsJyu!dgEm*L1`-`b?st%#Wr#+lpK{V|u$L47M+3bzwp7-?ieX!sexnYGN--YJ+s<0H&v15L$t5MVcR>5OendeEP z{T{*BaH+CB?MIo6d-ZOUbMn3EuJ0M`ooe5&y&3gBC=H?SxVN}jEm!Mmr8r50w(Itw z;AoqB@mta;Q&D8Ec!#*N_6Fat_6cqGtBPD!F2)yaS4ykXI-Zod`Q2yO<%}?_(iQR< z+^jq!IG(m&@O;h#hgU5OJDaj~;^_gLVE4*8%rw^c=wLfHMsd3^Oo)@kl6?{eJ@)rO zQJicOoce(875s`gF)k|jwBToi-zcJ=wHkM5xmx^gPZ-RHcdO;NuGb1P$H2^eXENQM z8XWshdp6siY;RV$AUHayWL&Bp7=F`)HyO`HahqDM6rGL2Y{f7f5L^!>K6FsdS+KH4wZH$bV4hkKq?u=d*r zJZ-p3?H35w&P2z!UoL*;x;}=wd8;tKG^L2(H~x{6`B$SgPSLnG&bN#6vkjcD7U#T? zpen}UdBJa%^Ym_;uC&JaI&prj%Q;k*i(4}1rnKq;Viuj!(1R_;WsCednj4y#TpR1|qWoTv3D_Ee&A`2Vx_KG0sZSAFMwQSlWciKyrh7kP74s_39ni!P=mc}ao> z<4wFqYOq6GEPGpVq{DbunPGgztOh)Pma z>>~9hqM}|S%=h!`XP>jrx#u~*bN>K0>R$K!&N=(+XFq#?pZ)CTInO!2-<=WPxc*t^ zjT6#uqY&5j;!Co??f~5qzP)%y{OvEe2HW3wzgjb|Bp4^RvSzvK8+@~b-{d^e;M>r1 zRQw59!o1%8$APWsWDJ93u9HviDqi zDq-(j@vXpZX6D#-+2MKbj8^_{X1?90*~z3(Wp!npGX44Fw((C(eloX$J<~V-N%4~r z)kp0M-_G4$@%dH)S>lA8vbAf|uwT9JVMcH5D-gG8R?TZ)yPpM(^EtxpH%8%TRwO-p zUzrZa_Np%7kSv=Nf8qt>+vhi>-6gg1WP@+^TofOBOe>;m)sB~BnQ_L&nUK!Q6^C+g z)b$>p5O;z?V?UH?=lz_mYB-)28JTyC%g(WV?cF(f$5TJkW3_IRo;~tzt2{fH3Yh#l z@y}GcxnSNM6#s04Z{8gcpKr5TKm85aa8vp1rX)`#tvq>{76Qx$XT;r=xaP|ym9-U5 z<@|I9WWKELX({dwXeHBQzHE>l(1XsWPxFO(nUSweJWb)!o6P%Oh{&^Iv%lit6C7re z_^?yw9zSbT%bmtJDAl4(^Kz`MpI{qzj$37|>4Br!g!E4cd17iRam?B|@o!1LSqqZ9 z?YZ`aXzfGEtgAR!3x_*0e#>ij(quo^*)N51r&;qjs&n8;$)A-MMyCC)YM++o zYO;{M*d_hf#IMP`*dhM)2H(8cF8)pFH?2eiUS647+Fq=yIbd;-*$@6OqP3gBeQmTUfb2y9+b79WqPdEZPIi21&c=GoBUSs z!L25X#zW$tY4EM34vNp{Q1_`#^6r)-Ywxy5=61!Q92~})X>liY?ipjF_y_Vmg56zP z!_3WVi#i?-$h+!jz9bkh#TH}B{X;9Qe=HzEGz2H(8fE&g8Fvn~~&ubtK1 z%A6!?@774>QN^JgoHRCxd*U%sWc~4)__NY>N^N&0@kdA6l)T$7?_xfDphzZ)_o*)9 zn|HI~gL6&h-DcH$r@=SxHi>^%_S9{+UfykZW%Ta8bZ)FTl!G&&5wKo){$Y8jr-t() zux=x=$4Pl%WY}#`?FU{ELe9?laJ~58S(ACOTKrYAq$aG9t>SN&J(gE#qf39JIo>O@ zPuJ=DtCce!J+}VLI9aube9;h)R>hNW{$N#n|4SpsTI#&+;J72J$!y>kSNK0RH5vbe z_$STXe98Dn(pQ^-7k4v5> zyfr>N!@ZD&u1X6?@Oe&>yweDw-8J(KizAu;FpW><`x(h!5IPP1&SdrB@CEaTpzRbxNYMlOb-A8`{;^xbt>$fw710PBGRwN|z+RS^RA+KEHcEEj@LOe20#+ z8W21%YxwMrPeY7y>y?ps-|KWLVpi>yRlG-ClktxzwDu~V>+h@?|DNQTxtR@o9+%`O ztH{MoTWhNxDqmU8zy_;@2w7h{Uh!Fl<9mxWnfx{N5q`_4wu*1GQRCJWcgS0fx3pGv zQiXVK&v!1p##4$_eigGO(>$kE-XOVgb^L=V6pX)57V=(h-4?v5>pt2Fgw=RSl8h<7 zD&b{j#NQ*08!8K}E%DvIXKmJ4jXUJg<&KuxE&h$FMJTad9Q{4s`AUx-v*emIPj;Yp zQRq!tm}hIO#?6<4$I=@i8e_!gccK^-jrgB)pvODLMy6q>Rv@p5VNa{LVm0!cayw<_{_3fLS-D?) z(6e{wkT+kpi@#cpwHa2TyXv9TxaNh-$ml(Kzt*lYN;lKUYbPf(^I2cbktj2l=Sb&Y z+0-yai%avpm+MY2MC$?Z&q(x;_(oqf( z>5`qC{xi*@8+_AzTzoW}b!fU1`jKfil#n=N;b!cN_G#6)jeDicRm^wj zl8W>J-{w!=il+IXWH+g0Yt47%3o*cNLqxhH8<-W>lIMdBQ4-v)t4$wNk#Dx`*4-%I z(ycY@&UxxP*^_wJ$?ufj%uZQuvRr!j{q`)D-F!)!WDr)jrB~x@VTI+Y}VXrG7Zzh(|!xvuNeQV zl+37i*mt|RW_*6_mbJvXMIK56@mq7;W7A0e`*qml8s?OnFtPk;{7drSY=dvw4~TzG zd^_V4&Zyc!$7{d#f==?>X6O6WD>)Tiet}Q(alQECoQiDa&-koBqN}|oFfRUf@oTGY zJRW9MZ8>G#MjfUzYu8aSMEfWiy4!NeG_AC_MK_~iO&LjWu)}=GP990g=??xO@z01~ zBRMBdvqp<&YP_sCJfZc0Y30Uh#8I5{>*&y?)GbUG|CeD;=G#@Pen!!IQ+$)+%29Ee zHJUs%9?@)YnuA2D9L7x zbvyDOylb+P%@V%e!QU?a>RPRenb4y@)BINa`qHXgqrC@#e)DBcv$adgY|x1`4w;7Q z8l!zJ*M1Y-`1}`uJ(A~5DkI}RkUVcv)iqwpcWo}`dr&=Yg~qO?J2bQ8sxzoC#y7 z*=Fd0YV-Xu)4=;N~%_GO0@HcRR3Bi#bB4nqHDJ#Swpj58sma#xS|@b zBX7d`oSYX$CP^Jzq`{upBX040RhqH6CX=6%p1YN8T-}m2Z>elE4Y!pyHmJ~E^>|zN zFb%gA`A0f5pOl{S5}B*+Q%s)!(Qvfk%k6o-)P3+##^3BV4LtjJ*um#rEY=UJW=X#t z>Mg!EJWZO>QzV~t^A?il(h0@qQLDr%%=q(P<4l$~;gU#wkHn>=gClq@;d)=&jVr06`i(dswZ(i}gz#wZItMQ)rdyJhwt(qC(v8%y1>#vA^K>XU5b08l&|#bG{bSk+ln}NtYXZ)3957 z4N{M-@ zNdw~r#Q#+ATya*mO^g3PRcs97HS;SnGju(@A82*(ege;o>KeC%Xg7I737hxVVmdQ* ztU ziCg}g&Dx~Xg!rw_-5v6mB|j;CZ5wwecn5BqlLo614O_E@qtfnW_5@3=CcX)675_m) z^LlCCB94_tL){|u2>#c}8OgZj%Ze_ZGF(hrkvGlw-n4nEN~>V#5f;QmS2K;8pGwj} zmewQKn;NLkW={2}4#(PB)5D+v{+u@Ivl{qp>Z9E00Ww%JN)Hx|l3{!sB{L~AN68Qa zqh$6=ri%=Vcm+*e^cO+$3lzR@m-By~wtvv!kMn$wza0CyA3w>xW9)TC8`|}@uX$PD zM;&e1wyvd|KS|w7vFk5V*@d)$$A|A%B2I08+UKKeR=2H)9Q_<)u*SYH_$3BH%uimX zZEWn=d)R(NN96ls+CC=CFkaC42VQ0Ku6O9z$;W_qW=2w_VtW?nZ0m$EAIsgjtnvC%N(w~8*lf1C{D607v#RRP6WFgxXUkJ{ z%w{91CX+p>FdI=dH)$!THs(H) zs_hp2nN-dCh9(E^VPlrEl&M03Qy&8Xw-vr;QuQ+ov$SUT^Z}=HJ;MlB?awOAY~}Hp zgqhZ>pM}@or9SwKBAgI=Mk$4=pP|>!uxeYwed-ZT$FlY4r(U%l5kF>>c?Kibe^J%f z7SXT$_TG~4*x2w}`{XC#MNvJ#T5w7!Rh?&BJ>#{ivQG65Rr>-bBStP{-utS0K_ga; z$L_TbQ-|@Xf}r{?A3tUMl1-C=YNA5?Y981<4}Prv5U&IF+aY|djJ3Ar`eq8#q=k`LGJ-`9tT3EBEj(}&17)hFUu_eu^rCQg6w*xvf!s(#q} z10Q~szkvhC2;>?Qr(6jpB(+(twc`>+hfW~|Rh{b?Q8m>9%Fq#QVAaX*Dd`^J^-Cl| zyLADc*x_Sf)v3k-Rey)P{)Q9=mgP#3PZIuPwe^t5_nr}~t`3Q49(gBfsQrpMt z00!;G%Cg;`!!e#6)t|^gG^8PEpl{YW*Nz?2hloZ#_MQ3=8K?RLF}<|@*rxmQbAF8c z=OsI&A-vtY8p5wh6^HLu)xVak>Td|W$NSQ;SC4(Tt0APON=tqmQ&Vi1-V|g&{(#K+ zQ`v@jfaq^hrB@3xk7^bn{uRbwAijYaIcs!r_iF{El2A5itLNcQg~Kt{$uH4LG?)W%+QY44q}bX|OM!}Fmt z5(9KFIx3x#Hf!yqHjx9&2NY}Gg4Z5S|RxhLNtaX4G}}F)DWeR{IMJ?G{V>YeVU*%B)?kK z#`}RdQf55sT_GgBqaxT2(Yml54G76Al8hngFO8yLROj@NM2?W8V}soE`}83KjXi%A zEDR0frccC)YDC6zf?fz3j)Lz|x(sMwQtqG0lX0nCOkAJ<4?*z019U*+eJBv&>X+!t zfsk>kPsEv`=nFZELIXvPL>mP^M<&vM*ubQS#%7)SEXuu$Dmlhuu0^>98q-K+G`=>0 z(S-&L!M2##K>ZUyqboL|mwh=S$}Iv7o?^y#trpT#o)8-KTMO3a^pxo36GVfCh)b3W zK_kY6_l)))#3BvoDW%w;C(!*%XiyH+TrS4Onva+fmP^Z|hhD8jLBJ2@-vI10ehb+3MKa1*XY>UdG(Q}W5_P%A|kSQ?jizg7C6C1>Zw|fZk^6E~4?t`NwaFjSsOlD{_5#k2vGW52s6u>nTqF4NQt?u!zit zw`_fAM7f9tKSB%Ej3D*w>oZ35}obMdN#uH;5oe2U_Ahnqt5RM#7%=;Cv(SL&WXjTeD z#Kx*mPGEGQL0mjfxzUe!hrMNzA0p#MQj6;OL<2BGzOJpS>UN2Nk9bp!BdSJbo3?j_ zs$&e4o~Om=k8_K*sa@v-V&J&Uv9Polpf@PPH;jF_sF!!54>0Xc@^W`tFDHK z?^`VN!RCO5z*_w-;c~`6a%4c&eCc~JF%a9{k5ircXrDWuJu%Sp&J7~(7ZUtXD97I3 z%&2?}a30s4oJYQJIZ$PM;hM)3d4Tm0NbE?6f15r`)$rLAI-byJ zKDXq&Z;YT9u(=$2%DZ_W(Q|ixSY>}E6(5d-qtM<{^(Y#U8~#K({0F=61n=XVz#6Vu z5j>9vmjz8nZu)@9gT|hJrVmk5L(1RZEB5Cm{)#~ZlVV(u?eLH}CGB29!%=fNbWjfY z@5$W+G~ULCNbE?6AJS%_wJubVVLe98Z# z4^b?yV{U`rsWS0h%{Yp-#H;6K@E3yw-oqBFR_zTMc#D(DfF7HhbKcZA+khqxP6>#NQ++xTFDA~&L3rK_nPNUAsg#a5 zSOOBRxr7Aq0unCA9@%$f8;OaxhxfLFoFh^CVoeaW!&Xed^thNOTVN~_3D?%wJ0c3m zK_D@mjw~kj5D=ow@|`2GH8BsqYSXX`?L0s6~(Ta`b110p844jHHV{3z)iG?d@8_w^2t zigNe~67LMFZr=_Z8429a#No?@(yP_V#SZ9`D)& zk9lU4bD2Nt-5!sj9nesOXkG|I@&0}>!ZHWG%xskk{aie zLtNmIPAkLlu1)Y5XQG_TeBS5T5pmHo*LYoIQ`jL2kZ0rN^+w`%`{n#q(N5_%y zeBovC9#8&A$FwPghalmxK`$sFfxKr=^q_+rgZv+VSRW$Lc>bmO5E-ZXMEpne!Gph1 zXy~^Ep2l}a8T~#%=0r5c#q;r@FJFK_l*3Pu_=R_IWJrARTO}kiPW4H3zKud66$ z!)u?roaLqFNb1*e$GETa-<55cmpswFJ>SIRQ9R1iW6&`*rH@SG1X-pK9EkrreN3iH z!_h!IB2w}^scn7q$3-%3y*%Y#{ZJB5c$y#E9A2B?flicjnVq~|A|h983vY_yekKk- zLsbrZProsuqQcSeWA>2q`))5?l#ABpJd7F#&;u&n?Ii-p=V__&|>9zIiOc|I=9$ zq=$T5xIgtebd|$3Dtk0Zjzpr-Lp(pU_o#|J!;e!H`iPkmUdO%2zY?390?fk`#tvvP zJ;di01AEBVtC}xNl^GiG`Bv*8!=N9CNviaL8v*#l4(A*)@!zFwtYebbdeYPQr5F!L^+rF z<{y_r(TM5pC#cHpsm#b+(TEF*L=-r7a(>+VwE=PQQWGjgAb8Nm$7iW5e4S9`U1Hm0i1dlxNPtwSgJ*ips2#_(EbMiRTZG zjmYae9*{vlQJ#L58W}ZsLi^I@oI~*11g|vP8o1O7c$S(*#>HQN+15gTn2rYVSnxf( zA=DQWp*#%)(bn{gmC^5Q7=N#)Hcv@s;6m-JbAj7`-qP zhaXa0{LOU!Wa7f>^8J9gn3nAS7vjS6#ZqSB1LNXT6&HVdk#T{C?Oxzsm-YkVVvo%E z{16whjr-z)oZ{8SGGED8^F_=#(G9s=-V+yWmXt@v#k{!%YL*iT^ku><$j1@)k{Bsv zPGq8-m!BojL?E#)EWdjly2RlYmF4#X#um7Kfof22ipTIAA>X^)fFAO@lKo}bhIx(z z#TPT8(yP!>>LKXOM0NakitkS6gW{sPPj&CxdsI0Bai6NtM?|A=pNdJC=4dQs9B~8( zuKa$o^2G{!NcB}h;|mqC07$#y5xs*Pz*y1OOQM{_6TOR{Dix)CK{pclwY+!mSNO^= zae;?8(T4;`MLE0#wReS|xcG+f6BoFjiNoL0i28q~^N~H|Y0b3$rOf$7*@k&|;v=c= z;Vsv?RtOHNdxd()R0^4qXmrlBq@s~UIq#zZBkJFjIa^yj#8ob4M12?Iq^Lcj;(jI$ zH>t}1Cb6*vjw7BiU02unsLV;(j#Zplv426fVIDC+)I**H;n^ghpGSG0ftT*g(3=VI z|0pD$Wb$d z4d^8kGUu;_UIH3qO|mC(LTt1No{uvw=Q1yTs~yoxda~!QEi!we+|lr3tFY$#^@vnE zF1$?G|Co+hNbtDu-Vw8B5Ko67(axxp_g><&Ezs!sA26S*xHuq@0Xd@)7jBMw?B}EQ zeQ}Y?ex1x=k%?2h1P$8UsT3E)LzF{4p+piAfkgaj0%&F8@D(cmTf>lOjH;-J?H!N( znxho2#kgqCJM;I;Kcw>4WgF(<$x^bX_b87GOpEz~^GsC7>w`}+dpaUsXG8;DNA|=d zOmj4rl06;CfwS<>UU{?dHwumI9lZq4=n17>;;T6>2iVZNFWH`6^3|`>hsZe9r-RRe z;(&ZH@2=h3JobW0dEsC{zMv$gLEcN;JN6|cn0G`uFaMG`jx1mN!N(;a5)xjAE^+va z8b?kdH+r^JArTR96nu{+zs_-+`g=$+?q8_PH63x{NW_c?ubm~kc$8=C;o88LY`3b1 z{M{5XBWK|i8q!`-pXZfOIOvay)Lw6qIe#Pc5Fbs^0xEddCP%~h5xw#Jt5WokJr)*S zaq;P@QecQiBC)7(#7*;kUtHvIgl`i3$5vcW+~*m1{e))W?-fwrOkJbVATFxa97@EP z=;|eYJzi_0fgY#44vtAgcL91#B6Je0^=X5`T=M(W?RwI=&gHJ z+V}U8RO(GK=hdN?FshOjJv)}rAdf^jlp#BC4dZ8(Jy|pufJA36aTI)yrZ!6PT01V{ z{37>~3sS+$Qyv%IwlOZ+F@VQy9_2xXYo*y%N*uZ_Li~SHT&%FC0(;fIyjX{cc;aYq zzL@M;dMbeXnK*ozsNP1P!{r@;d|rufA+;io@0Ey%=z*V7ar*-UQG6U7YRl*V(!TsuLGZvbjF4I-A2QE zj_+NrFJI(Q^?_tBcf^GwLT^}T_AJGPo25N*@iPK!VD@|>bI&-($fjWurm^8Ukq#}U zmpFnx_e4)z(P{OPSF4x&AF6(#y~Nwx_f#{42K16inX^0e5>Ox`vMnZi4qO4gr0n^> zCKnc#JwX6!@15re`92BjV?ZzYQHlNgj$X2uxbW;*nq#!$;;R%F|7wwO;hwvvL-qsW z;%~{EH-xxwwZueU_H;SoC(cQJ@?Bzx$ezEKj%>tsdF{PZA~K+dJcap1WgMnKq%C|5t$~Mfy6CVd05qRx;(k>q57x=d8o7Dm{!+J<#rWHMnNXUX->Whm!)B2xf z4*xYAJ$Om>Bl;J!exYJx1Am<6#}ya$uX~O{mivOavB-ni)# z@i*$jlJ;;kDC`LMJ}DgV(U&iB@d-81TS8nc<&HfeXZ|H5Qt;%s$beHThs-&rlL^C- zaPNIjagLoL-+8`o$3@H+X3-cwAN*so4fF7X_9ptZO9YQzGm1xfdJOUdpBDY9syUjf zS{NFtA(5lt`!?UtecwjI`L<6UV-lV~ctTu6g4ZT^x%sP0=m1IR|9zj7is&UjKAjFL zbWI|G|2FCPr|En+8i;%Q`#!52xf{?+zE#G4edr~4L@fALLW5D)W!OW={(i_8pH$8l zfTtg-5=bis2|S2#0TN_I-F|9s$jCV=P5JO5#UNO*2&?_F=-zCUZGl3Qih`5Y9E1m3aHL*TUu zp3Ar#DnOBI&ufD~gJb|1r}{+vSM{-&9p#5X>HJ-D+KHZp3)B@@@x5KQjG*&LLgE?g6s4kA4kyPar9~(L!iMk ze8@P}C*u6aoPV4y^`oKCdRjk-_z$WEs?oqpv3v7{-^02beu7;7o@GMfIWmHXYk@$5 z?ei0_ABiLqeh@L8>%)7BpO4xP=pm@UEC^2=g~Z4_KlVLxaqNiv1^hfcVKcUJ=M`i> zk9xc<^$@N_InY3M`aVb2JNEE{E6H8sibvFb|`6?e`yC?8}&3qxZNRDmH0DN9nuD$YyeJyY*QyXy8ExBYCpe9)b=> z@6jXknMuQ{=ZdkxqR}TM$%UcOjth?$tF-(%AU4|TSY%GT@~l|uCB#LPi)cLlL^BCA zp8JC$8fz68pP3|wqk(uE4XbSQ=YVnKchq^gJwh3t`#6H9edCB{PM7oYW5+nM?Ah}_ z2>~zu-|L>IhR-T{^5kzR*>eF%d@+cv+?h8$gU#Ez)I*jbCjPVf&O(s@yVyfGA3_6q z2Wn#G^xlD|TpNXi%em~aV;ouUIQE@&p71(!iNp8lS;+s0+~}BSJX23a@`oeR#cN+1 zkToAl&v(f-%)@JtfP7y;-fI2jq-JE+eB#g4 z&8o3AGUuooms3Y0jtwO=+GB{9w+VwGLCiq8D&K(wn_U`-#JsGDo_4##>!U^X5Ii7X zKqVxQiE=J`>=;M$dx&wV@L@JWTG40FjRZ zB;3;y5{{J1;S{p(;XuUf>P2mkajH*`iN&m8q2wvXghxKrmXPqdD6+^w$R0b!(V*S| zh$>-eN{Hk=A^2Brb@t|?4Ph#Rt8guR^j>QBo(=rt~rmgia zO+K)Y{~$i4@|J&eFrT=@|DpPZ)Mw|1W9wgW=ONS$U4B~hWD!x{`X{3GxA++De}nyM7|TKZq|nUz}NkLS}M+`^2?hCO6Uy8 z1DJFGS44Qi3<^()mxbJ4pu*=2U-rj35s?Re_T%*-GEVi0I6rC$b;U*{=m+_3%VVOw zcQN)$Km(I97zqv97ZgAPR7$boXt~@X(2(|_Kao)_9=B2Ob5L+v0vebU(HNJ`rxY7h z{kh4771LANXl#;safVci4SGeCTSRQ!Q{4mqY0J}!4NQu$!QHq=Kl>6Iu{R+X(J1}E zrNo>Nry>4IVyxKM@cU42_YxXhkMXfcG#FJ^P!^?uVr)ERG=5RluV6Ho62-f!zSxLz zLt?{GR}~z7fws@mww!2mjWMYTeGHgyV3J#j=Zq=j1nsK%1ILk&BUePZh{p8$Oq%(| zp1-OO5uEg4mk?*hZI>37H_+gtXrK4UMH+wxCbiLU6@Bv!a)uLf4K(tFga-bKV0k_H zkbmQ`&_}fHeS4p4Oei^0&hz~MG%(4nq|I5eiEUo$DT|2>H%oh}1SBnCi535F$Jqf%{RQawC5WO zK*Ln5*w}cAXt0K{!g>lO#h&sM(V&;m;r_Cxc;2vv_{*L$Huil=O%ux)8$P!9J}2yI zH(*S`q}Ws9(_|kbDt-BYal^+HGAMHBaQS!eEAArZ8*hK9K17_S4-V`_27Y4v$I{vG z*hu2}qi-~ga()aNJ*$9-{icS=f2@sTy!172&Y{u>%jjwYg2}n;z*RuNV=!Mx&Oq7` z@IAaCGynI}RE{X&@3d&|910m3u}tB_x)icL4TcknnhT0!Xxb2VTgZ3uk zN8PbUIm`sL5BxSqHj2KmK}tl%sXmDZk~GCvSnuc>J3J$nVgjUa#pQ4c+2=VB(L1=? zgN##sdhb~1J6R}rioL_!kN^Q&K_50P=IPaiv0;Cv#+guk~53Vlcr6E4FZ zKS6QQsDAeVirVOGO5EepU%K*6Fja*Ib`7P%&`p;S9noW#I-<S*}+sQn_5h+$SjVu|4h`2Q%G zKR+hsdDZHej=~e}n4}(%V79!NwTO2dGe<~KZ~snxh>TNxf&$ymrAsRo3A_ghU!y9; z1Ts-hrzu6{r{8vu(ce2hfif%i9>WLj#SU9z$C7^uiJtF!5EBc1dlkj8XFTEF;cpsw zoA2$^R4#p185$yYrh;n$9WRG!Vle!Tx!B1PR z;NIbRVpL4Tc!)8PCn%ODo|mu5T|*~-dQ1%b(Xr~?Zi+v}-T@M^t)E~_@CA$&91~A5 zV_LYZ*gO&69H9r4Vxn}*Gj@z1o}VYY2iaAdP=NYtcfR$FZ+he8o8S7TuXxMXy#6&W zzTvHJdhxZNnlF6qo8R=cy29l*zvWG@<8}Ds^sNSUX-2?ao{yp>$viC%q9w_Bm8Y3R zC|KQP{P~l75-5G%yxdtro|$~a+2(MOU#|1}rL);1xDrVMDVDxXI++vK z*C@vW125q^zfVOdRg+6N$91kzX~_9z%eYRxgzNmH!8$*&Qzx^xw$6uxb*}5w*=6U4 z2J3uTr_R$dvTf(@4c57~Qzv&bZJobASm#SRb&|8%I{$F6&hB>a(mA}{pYOEuhKAm@ zoqsae&L8a5d4zr>9T4NoCu^(n_vGuoyeEAl{>|FlBpr(ANOx3~bJ^1^Nb=3@;S#!# z2rICWlcpp(*}MdM-?HuEKrUft_29wze4SfVc&?S`84j8QZJ*BOWC$DAY4Eu9606^B z0af= zNc{c?;h#`sG3~H(Tz0w@u5&)}NZwUhzbSF#|5GRO3_K57$WKW_9?5kCd98NVjAS)k z%gzhG>;DM2_WhQMHg#g>K9zOdZYTfge12;p9VM~E+n!@1q`D*>3zsvgF3I6Ko4pOq zrP_z<{PQRI(SlO*293;8YE6&A(XsSwCFxI1a*uqf>Jr?b`LUHi@~FN<@-XC2u6&C& zCOt-UdnDH=FSR8>u-W!V-ceR$oP$6|6+dR}{>_QwA8p)Xp8w~Pb~&s4xK8i2#<;gj zD&IF`;Xa-7v(1?Yj~^e(V=>6EZPV7gk;9{NN`G^qc2)fN=e1=gB?0x-K7Z_3s|JZW zDUH77$h9z?Ktdb##B;rlw>Mji;aeE9xt z66Xh9nv#=3>0k~V*LhevKcg1Y5jsyR>h1+e1in}L{68skZjx6Nb-Oa#y*Q+6_T8r> zmL(6#_toK+4?Eu}JMYi4b54V9oG+o}?XuJLo7O($?VWLxGdu6f&bfdRrTGW0&G^Lk z{ePm-u!a0*s`h4tP@Wl!TR}{MV>MBJ%^O~P>uX+|oO}z@w~ zC0X~YiMX=vFD~eo+OZ#S^K@*4-g5$Sy;?P6;II5f=`s zHYuZ>;Y1X5Ds_h*g5+$g-6?_2`E4{V?!IvU>5|P+2c0Knr`PIxbT;!8lIU~^-y_*1 zBu@*aRe2nxiz4-M8JW9lE`W~pp>{B&j^B}ZbGCsbdULHQlcDpSnW4i>NF5SxC(W?; zB0yexn-n&Mk=!Jk4!7=U_lcL4M_s9yTXv9(d6;Jl?9lra+y$b zQ?tKlDx(vos(-g6xmDgDCLBn8hD@CwqE|XX^uEN0h3H8)NBWJhlb?TBV^`kGju8F( zogsQ!fww)EbXC~eBy{djTpkS5T%=e*Y)YeJp8#}S9?X9{*rTIfv@(I(Ps)RQq4Mar z6^xZoI%pTgHkp{Dv=XdacMZ^Su_Nl%Gt}PhA14=8AfUvR?XrHCL6PE!j`EF!-aN@$;@r%!>u?m~QS87z56mLCqj|}eG z@pM(R{d|w^%A;Ma2-%_tneWlwjtKcBDV;A#ExFdJcf9Ck=$a8yN7ZUq?Oyx6o*Dj4 zXJ)vo%Kd~THTVJN-T&8Vu!&b=M&eqIVIp>%-cE`40ej=G&pis##Tv!gYCeQ;}T z@3ixbaNE*q&6&>D+#lw3o^3V^M(G9|lj)N0LNo7bA)xlU2%m9Zz< zK6jp-NY3cWY=+s9e}$0u(h?DIc0rTZS#6wCl1TndA>Wkb%6YM_gxeVs&-)1^kq$Fa z?sf;BpQQ^3yPVa4-dt1FH}_>mEs4|xmCYC4%bq#yk96X>zDC}47e=l*DW1)1YmU+{ zN@vpm&dvnnJwm=%7X;}5kG`y_Q2LTbHAkG6Jt zG}(dtFLWY5Av@Q$kmsF|rdrO91nV972ZNFSoTftQ1EsUVZFQarV8n0e{9nvNo}wp0 z%zN$IbU{W1|`_~rfNc9x1Qe2=J?b}rQzE#qCk~?IlKXCDW^iwKrsmkRODj|~5Es!3+QFsWvpchGyKiiu56Lol3 z6FOFPtX+JSE@%=HbRya1`)6~ZK}cOw*+;f=QuWQhtG?NM1qaDXiaJ-pUIibs04qmB z?MO0jAJ-o866N@0JqU`D#_>;dvbjXuV};9^)IQJB-SW@-pI2`uboG7O|{g%S*S;y)XpPM_GmLg@~rYaUO`@>8_%{! zlt6O6&i(b+(a=eWnyCGvH5* zceXpV`@JQS&?aq73-FyS>eT7jui}n~VZ4keISs{r|&8)yS zC8e(NC`#5+9HDcEwpAT%3yBK?v84|Yl4+W%$LM&*||=f!$Fe6 zCYErplU0(uK40OAmL79e>wj2$ldCMs%p{IddfvSxyNiKAIL{5r2%aWUQsl8f=M@_xdzDZ+w)QNq} zG$HgGxrCpir`+!C_@p!?nzb)o=X~TBrn5cDEQg)9<)>T0p6%88nD6`cFaz|cyD67c zAP{YIRBhopJ?ehC(LNMFlCIvCY(7}-lWey6@<@_>(Nt5(&L>fOS?B8T6a(A7K$|0H zwqoZh&1wB9up|E?;^*nO2`1#}Gvh6tUnHKoSMVR5_qCe6y(QUg=S@k*r!7?1EqPgz z2L|apFUjLA$!12R7VJDJ$@nZ1KD9#}8zDI($-^z3O(|rNJR?a*+41~7@te{}UX?zV zWRC*VFgD8Uu%6uag7@Y;t<@(NJ9c0b1wBYfYb&9C^LZ!l(&yz?v0#gp<_ zd{0-s1ba4q%aBqvrP{@cl3Z;{!9nt}sv9Ob*`br)ibGRPuFE;pTK0%pzn5Uoc1Ryh zTd{L`u$`yFSnRr+`lK%TenI=w`BIehW8_Toj#7hjeIGrmB{#{~Jy|45&L3qi6z+EC zk20&&U0s*&yFJ>j?&=c0@0J{w$u8mhZb{~!F5&z6l6z$KPHiADp|)aAmdW2(e0}-> zk=MTX<`>`k`icCa+UqA8zbwLwYN6$6bhbz_Z9Qi}f(ThIQWVSlwkFt7?6Lhzol#-~ zwSAO`O=LTH*^|Qxkh*yRqzy_XR(Jhgv0O{mRMhsDVunBk?_V512FBY*A0XTE1g6lY zo162Sx&zjpl5y!W*YY|uS6DLnbZvUs*Yc{q)wt57Wl$(2)vldTp4tox`+U&Dy-;LYwZ&+OD(m zBP!VD!_B1b{t+u^bFsVq+6ci7(ljF8d_pqUuZ`$nRbqs;tYmCmzAZ0!%ClM4BSvWR z%l@6$f;PVN#F~YR7jR>t8`{}#8&9xV*BGIV+bd{qzc#+6$lAvUZQRyDhx)a#s>S+< z2^S+|+^^?-0k_N279|U~?NU0ws(i@9U&L7sz|1P8zvc~Ze9c#Or*cJ1d|*sg_0)Kf z6A=N(_oga!Y39ANTOYCi5p9{GKG(}b{Jd5!9a zwGM{}1bCPN<@*f84rDxTXRTnOZ?UFgK^vta;i|h3v_%n)NgDa9KQ>4Bnzu~6<}2R% zhBv-gK)LyC=H%OX>9{D1E`}hbx3pk6l8wHV_YoFM5#jIAeECpDFTxW1-KOxlAoNaA zMufj!Y4CSEU6g3v<1a3>0y$r;b_5A6UUiJr&w6K0zmx2)S`)LT^x-)k)r2z1sMeak zeO0>Cos-5@^%@5nFSHg?xNUAs@#^m|zkcG2x&!;0ROXSkOsMr?&3PDERQtugmR1K}m*NC7FF_PA}@Vt{}*K{lw z3-|da#pbJi*R+S|^sJt@qWg5V?MmL`6pJ~nKx6d1sqF%Wim)vos`6AlryJZ5WJE-F zTCx{EME9v&*{&QG^yE*|Rt1>#=GVNTnB|&3Bv6?m9Tm|R(l`F=R0Z${bKTP!J{&eo z@T2m?d%LTPZ5BM)tm`L2=I?1U*Tg=yS>_YVhqYaoeSY^)XtS*nw7VY}yRZB6Zm2Egi*TqRxE8PRM+0o65G)vdMb|6~-AUahLr-ss5 zYI*=Ur&yZG+yWrL3;Bx^u}$qt(&qh;jt0BXrpt@laaDF$fpjXnuyovbh39y7tAN{F zzU)6}P{56}3h~`?_Ju(5G=~VZv_RUG(f8I^Iw0h)?PglahB|Er(yomD&736*fLv3_ zRRY7c6#((exy~g5GN<+=E4kLE0ti1D0r`(P6ab;u@6ot#!o>(V;@9(jX%WJ+9in4L z*0yv&NHwml&}GhNK-`l8AU-qk=q;og9^OVOq#7S5U36)<9T9GLx-+X=I&P$C*H*yo z<}lnYwE!vL#{7p$_hlCfxb1ep@_uQ!@!aQ9h>)e@HXzT@(O)dHPHARFQ>jk0%MoN+?D8QxZB+&$k%Bt*T1+FJq>reG}2x*R<1LJ zWy`D9X_D+|tZ@mP&FTs+Oz1LS2=HIZi!S7nRJuvF9h7bUPCl*R#sp8d=HR*|{3sn; zA$ZEANIfnv!uZ6t;KFu^@XO~%vq9L#GGS{F_W;tBMA5d)a*y(9+7)cuDG%2R6kmWX z*tRXJ@<1xUE9(Z7@N?e=r1BrsC4ur>B!BfACx42U9lm+hP^K_FurOO=5Dhttj zPoAy~wH7>e7hJO7={;458#_rJqJpR3cI`p!?b6zmyEa~qxT8Il7CZ(0Da5c{RPc0t zYno8-bgL@cs_0mw{s0v`y}PW@dtWPgJCr{*5B`P%5e!E(I78*vN+m-cx$iIeEHQ z-^KRfx6oK8WZMpbaWZ?1Z9a&H35Jh++p;UswlKlipwirHO=WF9h==(bw%wMNBeGA2 ziQG0n*B%T!zs+QAu@!=Cj+?_=XoZQa#Vf&6GzLnQ$}X@wkBcI93tV#ufFu>+1Mgc8 zZK)Iwr-E3$m{2k4E{`&14h-f8Xpu!56Iz~%ugS<10GX5+Kd9|L6^*A9hcdiWdS2Tj zY1;!|L}U3Qx8NyHD`wOLzV;9si((>_qmeZ2)0Kj4h~1I49sT)jT4E<8c07y4u+$~U zJ+d?V^so#(DrClWO&hL29+m-Ov>lWPmuK=b@q(w(;rl<*tYg5*8DOVb8 z3q8wwJHHJ?iD+BUChODX0~wHLTh@k`G!zN{UamZL=gdNZM~2@~7J{7LkfW4;*A?4X zh=GD_bmI$pv^1Fmi4I5>pl1b7$94KZVw-sZOXS(I6>oO2{;acQvV{w{zzZL@RiXbD z3NJe_H)*}aK_!uRi{qQLd4|#<+Q2RnOFY>dXCpEpXm_Y*dEx@Mj+ZC6cV-^4v7_m; zIx4**bEnkoXoOioBQm0c{kCBXbl7%%x3NeZ3eR?1jg{I|HeHg)(F|{gZM5nAT$%4M zLT#744$(_vA>#i(umH$TSCjXJN@rEWoQB=a7%Ga56?zufU6bg}>>ico5|n~);ea+4 zOwR`~u0g>CyyDj1#R|6VP^q;Ny^zXV)9bYAePUz7jJ7GU&u6iMw$rNck!)Mj?^5Gjm5dQX>h?8zPI#e@*7!8Hmz$g0yo}kzQ`b+<$$-~=}qysWU(GknftCqwW!dh zcs8d|<}7MN1y8rj`?Y!p)?d*s#2YuBSL6o2O%D}pqfPHhbaxVJ+Ll?g&mM_f(zZAA zqHRI!U_SP#RKYfIATb=u;sx9GN#voTV(_ z`vmU|h2?=9WQ8%yZz~)(hLeHsG`1cW=I~E;_(wfvIVbh3bpoVf=%606yhkLl9A=dy z$)XZ4E+Tt@R+|F?7(Qm1RMNIVm6B?%WJ3#0k49E$ePUZ^dPcw_65F3^C^Y>IRXU^X zOcpCN{f&J2N(KfOI$rq8Qq_(&W$>&RmbmuGLxRY)GQnuI4yU&OL%nKszAY;>*3qDy z!@9Am3uqhISS;YOkn8`xq0m?dByvKD3z#8Vq2&S<0`E;V54CE>-GHPlPP+PCRRDIi9%QPqPR+ z0KwBp*!4jf5_o9ib#Z9F?HVaMvk*HiShon33mt<|NHw=|k?o2_4r5=)LmRe{cPFGR zOP7X^ExLX{+aYij#a0LdB>+IP`ThAqKiz0j)n~LVGqG)>Y@fx#C}Nft)Hi^|{| z)b#%U>S%f&0RteE=HJ>qpc9A+O@B_8R_g~1b|<0col)q3*mgv2+|FW!o^?o<>0Rdt zJ`uL5{i63=AzRLhPvua-HcvHM`or>$v@tsO+)-jaD`xA3+ksSNF)V%k$b-Gm>-{Hu zR|VTT78Ca9@-nG%mJ_*Wx%lG4lJ?sn zcz0@x@cxj_Lsp{c$FqmGb%}}#@Ny|qVe-Rm*fz;s&9WWYl1fSTG`(vq0D_o{u&)8B zxM&;LPbVaLSDXKH91_?0?6nRdw5=Cwo07eMaih?)xZOFf2HTRmbL?56*G~!V8|uzo z>mi{#lS7gP`J<5V89G>ybZrIOc0^S=F4)F6%9u5sSIlCs54MfBv=wYSCvEqn@?;LE zf^FL+#xyU6rACr=SZ>Q&9_SMFFD)z|O51gL>NAK!Sb7fedzp@~B-VCm+a|mG7nQLM z?0l%xA%S#MA~(Vy9^utx2(jZ5n~`mk+2aDdp4U=2eH7rmDNUQCad(z0jP<)z8UK;+ z5s6KNZMfFTZzmPt2Nb>h#y5m*cngIf=WchMBKV?R=^N|0`8=CFeJV-y#Ihw-@L47E zS)h;bb|h7AOHvM0fcI3k?XpRg;GGa&SBa1;)-z^d+li+M@401#cUE3*lnb7~h~5r( zeGPhR2BeT2rm}6T>1HBYA!8Gx_i7js5sMu#q(Romw`xMW2ctwC9guovW&Q>Y9~Y94 z&3pOwZm5JnVz@o5ZBG_MsoR!WXrpIA%QHIPkTyo=Q%Ps%y$qGIo{GfAd;?WTgG|WQ zD~I^M{Q@^YX{Ev2YE%A?gJ<*(+yLahz~Clo8`nj&sZNt2G1Umiv-zeIvDl`eu^tQ? zPiX-(I2CN8P1m`M_R+S?2Dj7N4(D@r(YDM+Oprakqiua2c4NE+v5ooIcLm$d2wq!8x(+L&KX_OB9Llh{7>djJ1!g~pPi1Z%FKD>)G4rzOWf?cJB< z3XMeu-zl+Md2}u`7B4&>QeQX}M(4sU&mr}P>0D`H_~1^Qi5XJ^=&6j(H*>17D&q`4 zTVZn~u!}@ztOV>r${~W6$AT&p-s8^NQrAz7Atf>u5J>% z`?ZG(ZF(l#yEU)o^|UEkj;mt!*w)deG}0q^dlVT_p-s7srJqja%9qxrcXML_D^z#q zsx1Ixjo{rE@-Hrc9pggC4RX8P+_h+pD(lIe(T!18syle1oua zG2$&F?zW(SISBtpayG!*Ax2vQuNN)!1;07DndJ%{kU7$JZQJ_CQ~!FeKQk)i-fi-7 zAAr!EL)C%j=l@6IyDfeE>-e1kydcty_#?zw#w~pM)+c~Rc+o@b>AuP$gTO_tR zSH85cB==IOYpcVuYFV0oSGLJ_OY8M4nec-tu8nx}@ib)eo3d*ivxjU7jg6N%dCNvN?+t8Y^F|cC><~OqH!V#RifoJyZwRRP+rRA+Xu zGGY4L<_ZyW$^paF30w7C^-ktIk#0Vg$ zhBy0W-JOjqG?vdPH)SybsiU#-)oMqV>6pK575aNssoU0*YSv23)zy8$IVo}7ug>gX zY4C0WUMbq2wHD@Yt7ur!y=-40)$osX>8JO?n+b)1@{E=y2F%}x-Un9q5^p?7H5-Dq z8s6MFY+TrqEA!pb;9Vy*#M`Vw69Tu6`5W=IRoHDN$V8l1RwYhq`YY1JikSyeVUEN* zQmjY2SnLa?ECn-_M8Y=p@GAavN~>=akExXZ5@*4-$*4-l1=}{X#0s`ORHbto*A8Uy zLO(s8VRfa2uw*F=k@1jwJK*JOT)f>hmxH~Kdk?DU8sRkJ7JFP3uGP4(C0Dxm+)Gdp zmX9=z(Jm3TS+I}8ng1%YF9{u z%uty*xNU_r$l4pKa#sjbf~o>8t(MqPY1^H-LHJ?j5X)U;LO-;{u8ozNs zFhK!chTTcE=>faacjHN~Ka?w9eAAQ_FDy|=-p1uqKP~h z6`KB(?BYTE@o;n3(ezVtiwHSxN=r>YuFKqw-^pT2Yx=CD+SOxL#lp#(Ey(MpuPz|w zJ<7{6I8_41L}W_wcBYkTI%Zs#ZLo?SO)OyDHhtiKu62+a--Yg)iI#a$9j$VwZAFiw|;~V|9Q@qfhhj)WE15 z!D?_jB(YN&kbwE{>-s`1w`={i(X0A!by!X@sQLKh{G11HPc&$KjjdbOXo4Zx2be}Hy zKjZ-3syZu+3!p!vS&jcTOd)X*;5*c=vw2q2leqRP)f}-3N^>Ny?7rSaL9#H)(Db|w zvnwR7j{EvOa%);m@0Io>u3d8DYPRjEH2ruhOqSO4ht;MmmTt_^TWER`!+l}LA~-~w zw(KBN5)r&vdN`*|w5ftBuyQFMW$tIOLLjYH(HmMq^xvT^1kwX(g&t&Wg+RI>Z4-=H zCY@Z6X>Hq3a$4-!ELPBVT_X3T(IcdgqNg)R4niR;w+Y&Z+V1x+H%%xkuM7*zQ?k!( zdn#c$(F%v9h2?F5F{?n@3H+iMmaW^^+sZfo^DHrt^3)x(K!04D-^&yNX^mvJOU&PT zEj0aJbr)V<*q{z$WlvOY4mxA%3mWNC7~~6RWA=PS4Lg%P?U>iHZr$ z%}L0DT;|U6RIHmpEZUY!qUn$}7IHJIwA2qMRC*z|O~JM^64@%b6IqN(DdyU>ck^$H zza?mcABYNxudOP5IrC`+_q5dt`y}S@Mo(L1U<4XR$(K-Ph#{61|wk3XQcvZr4bVP-)oE2WYZ^=jq6+GQ!SF6v0ZS8(q zu#H>)ORDr_)>p9Yh%WPleMI8oCn+KoY~!Vr4U*&6W1(nUh+fd2%h(}?wpKucC<&FN zu>Hu0qP7s^h@O+EixoU293QGp{o6N%R=ArzJw=V8f~Q+#K5r3SF{#w_GPNt-c8fii z#R`?)83ymTDx?D*%-SL#nS~+%0K2KoD*)22v|!r}Rk~N&X0o;dAmb8Y^0PJvc_CYZ z5gl-6u#E<6WlO}aXxp8|O18<}BNFRrtQN9KUq>3m2D%JWsm-}kT)>-4tN*n0;%SJ)tP1v9WKxpn1}dX8-c_uzSRm$Js(Kho#1Ic z)Rjcoc3PU4aqZ2#f~WqVDob_stOa;_R5OQ%s@UJz#syj-kT(`Ccv#X{9WKBodMEO= zf(z4GYniwq2L`r=-?b^9r^R z;J3Bt=h_N61iRMf8#_b`w#}$g{-L&OE7-PK+GawAFW9zTwyjqubZu0s3aWFRg4?Z( zzKazqZI$ebHyVo<*pJB5$*i@IxVC5WH)nfrfl;p2W!xs;ccdCz;O35{;n`ei$E_k_ zj|9d{2&8&}$n_^c{N}`&_1d6wl31&Iq<=r!f)WvK?2cqcCo3dEJwtc#cn72ZqvD`Mt zu3#Ht_d`D|;3mi(^B9;&fhYzqo!AGha8|4{+Qu`#Q0YDu1zy)ysFX!!G~UhH3V@uG z$QEsTLZuiJh*NMw^nT_SD&?)PgR*Z#r8|{Fu1K3#0w6i2oJFM@*raVoHmCrIBq~i5 zFW5FC+erG}^aVh+%C;$qoko?YVB5G|t=h3*+uAJVyMk@FfNd^Tux*cGZHL5s$-iLR z1>rR=m9DK|8x>OV#w=H`4e$}Wki`nNF?RQ%DrPPp5*zP@z&%4I1{8b8o6ZHLO>P_GPC6AgiT`$I~Mk3o+XD zQXW?ewoOZHQV>s+Z9@~I@-|g^Hscm;3pbvdB+BAiTtf5?815*6_mISVe2BIMZ6MCi z5?;dsQEaTR#^r(JH!0CJD_D)rhosS;6a%^27Al>U$Xx-FOE%gxxNt{e*ysPyBifd= zVf9{#>>NECq^sPQ=snbkqHV@WF5J=a!=NqV7HqpLO*^#hLX9ZeW}GyWXO6_fmbiw` zs(X$EB!TvUK$@9rPFFhaW!jJR3ohJ~rfu5%F+_ABv;yyd@{(3Ix8XwY^pZsQLKutm zkk1B#3$(&*dBv24(FXDxPdiJWD0nC^E=p`7Ya@`{(_kB`jQbRpXY=$udKzrw9)=$@ z_DZ6snMXYr{CeJZG!|mK-oo97yHN1-qC|Gdg*6!gTmXUAEP6^Ta)@?`u#H**ajJG2 z^5Yw)CAL%I=W_8v2fP-va~N^Um#Fl-wC&Y)Df0@r?a<{@VOh8XHyq!OHr0fEP{B6V zRLSI?1`D>)<9XJ0!<03WLuTOuWBm<@-pckBY~v+?RjTP=E?T%V;swfkvM);|7d$LO zrK}D5um-!}!WLa(YCMy3UjbgS(P=#**-oH|I$Xe0AjS zxLU#|v;d;h$1Onkb_+CayE-gun5dMOKC(pGML+^>{^cAOjcpomn^Y_CqwVW3RJ1fe z=mQVb67K2J09h-H%qsgVL9%SJ$gr@ZjYjB87OgxqXJ&C&p&1M3YA3o%}M+@*xJn+__9fEdT-Z^#B(T7=9B5IW)V05Yx!xhaj? zvVDaJxhhZjx+VYZ6)FHiuX>~wyVKugm?K468Xydm+{-Kd)$4T};N7E;#M8Y=s2St|UfuC28BMY+dFUz@LpCcaF0r) zKoK|Nv;!t_J0b+zxOa}8J{8<Vpuf5w`#c>@CV6lO4F_8t|00 zn(5G{ABDKx5pMB*rqEB<3AY==a9c0j4)o)8@uMHNd&2GE=y>Csg_non_DK2dct37D zm-#4ZQnz<#;LdH`;mVQ@ila)-r%=#G|*D7&= z4bhWa5|K*o<5uk$9g2q}41%5%>xI{Nai_~6>OVUQsqVV$&OwhX3>hu$D8yqVg+NHL=FZQ3bq}Q?VH_x z?F+UYkX?YXKWE3-rnxj$6Z_BHa> zD=pv#UME9VinfJRvlVC+bmwSXQNgyey0m>XZX4B44@$JFO+!B=ETLRysW0%h+6B!V zOt@&?3a3Qz~MHzgq|6SvKO?m1V;e=+w`Q~rZOp}DxuQp{r&s*%rcps zUC`R5u`&%~VIx!MvfY4=)Z98~?7?tzrV@l3nIqjA&6!G&=cK@siW_1lQ`YW3Nx2$m z0bd`Mk7Vj?8hCclI5}jBG`bj+ljkPdOZcB>()d-Ksgr3Y(7#7=bEc3;gBv4@AD)9D zZ|0^^Po}Knw-bJ+3iAZr&ubD_nz^kkL(sRlOp|SyMk7mZ6zZ(`shxwWr{zbWUbo&VsYc-d3NzUA)+jWpYE3n?o;WgjSb%Uh2)uANF zN%gj*#oXb>mdy6>McmAd&uQoeH?rMUQwcIlDr7s+ej7c-I;B-1XJoWN|eO}nAna|jUT@L7FeZ^MH#haTZtx8Y&Ka2ukSq*lmD|D zBt2%t^c<24I;SQjNwAHX>^)|>)HY?^i^vAk6W&Qi`*z^n#L|n`Ti>PqoA2%<+2C`d z{^CrQdeh=n@^fx_nG>&^nU+TCU_nGo6DG}|5r)Uq63qJP zc;|}1D&V14(oqL`MJ<`&rUD!ToqtL-536eYWQ^oq7&+U1n-9A`z>U z)WWfNah&HfIyN9lqhljO$-y#gTRKn(AI6iTLxah41GQjTPA)MtK_wP;rlqqx17KPzt-@x#A6dO3fV578 znHynLSN9;qfmcx9^c+(iZLTIq;CyOkxcR)_Ezt^K{6@eJa4)u^{%>R3Ea-5lG|XD> z7hwCa57Yg>-f>rsl|%Sn3};-iOcTa-Ke-17*A{>Dz(QJp6hpE;w(u1XkhgHuwy<_9 z25Y(&BCZoR;YaQD-srW1oGn3|4N6U_!G!U7@;On;LLFy_-DwVALTJxc-tW zp=XOPrBTxhGY$R^8nW;Pa9UNSXVGI?t^b214tYqyWS#SEYRX9|C%|6x$XDF2)PN8Q!`H}--) z^FzucRd;^}M1+@o8Iqg3f*~gpUDD)204|MReA8Z%dO80FZKscckr)QuOUOa?g^q?o ztD zOyADKOy3LF3ju$A4uWXF?$W5M0CU170}pjp*p=K_LRVM6`IGb_$4GLp+sI+wQ)(5f ze8NC*dsHOqc$s-bWx1Z8Vj8@;*(s=KIH6Eo5Enm+ijl3B18kdI6|TrTmLRrwq6Jda z(Wsmn>a9=i<**K0YPL3=xeb~fxaUc3#A$>Z%=z`*Fd8Oqz}3kvIG7QH2VXcbQ0Jd& z4`26c_$$VuLu#$+v3+jF(8`BC ztLSIN&rcqpF;EWQm<(UAt_qw*#*owTT8S3he|mK5RJ48O&@V2uH1gue z;UU$&sKGt!?k)c1EMFNhW_u zKiHXl`h5>(?OGRe`;}#0bM>>&MDt74m2y)-q{+21*A2d{uS|k#cY>5xT|I;TwV3J1 z1c~_)o54X#wS?O>+hh_mhhgWC(U=ijJBa1ArX%+F?D3?cK$jC0TY{gc#0A%;6=kNpkM&Z1Ims3xPv{Ne(!8i{^k z4Zn7?L(npY#C51yQOHvDodZG^?`-;Ft%a?c$^8!i^=87tWaoU8+x6#Kn9V$S2|b0ItH*@z`$H@)KNH7Y*$eqT)%xDwR!gC)dBGbhwD-YM z-^Mb>QvY}OunJWT>(P34-E8VlA2*&`A#mHe|B%*6eU~>X&j3?X_cVZQ!4R%G{9dt5 z3g&K@OUkjY6F6+BnqysjW+FMkA}R6{kXW=*-w!!T-yb$q>ZQhoo74QFROkb!K)Pm? z<_Era`zjT6*l8}42{c{If{K|E4k!V!LC-HP&0h+Lt}S7#GXW0vlC8I?H0|2@t1>?$ zhAGYSnEVuI?0R|&_4 z#6>E|Jg*fkM_vi`S&bI(8K*nb&IqkEtqJ28iD$F$jtaPlUTNrT!~jlH*(aak4kx4v z)W#GsX_CbzrU;nZYn+sgSsYtRw3aB@G3~ILH~Hz)JJH*zv!|5}g2$6Ksf4P<}Mj;dW|E?|mWsXNsX*OSTt<2@P) zlQy`fZWPbCWJ~gryW%}+9ZH<*+*=Rl5Bg=M3;V*#k!X?uUdeCNrkiacCB!)qd&)SW zDw^@Jp%-;we}>d7Vz02GQwB)ri-&~N&+{hi&`5ui=rB!}nVav2BB>Xof=q4f5X5|G{ECVT(NXwqj<){NXfOB@P(hkH?8%UTzu=%2a%X-0U zZ*8J6jqd58H!0~N=&0!z zYNFp+ZdwLVlZr*c)L}|d0&%sT1ah%d0~md#{isQ!QeCeM^V9;+Yd*6}ZiSrZz>5I` z;FaF0#!0Nuvv)^B`K3(L);u{Q&bsV`m~{?qpCkmDfUliMK-89YILP0&a@^z;&X!o` zLPAH7Y)1U~mHF)1Df?x^*6{Pp;puY}GjDPGIIU1O>2|yl;pnYU_Dw)=t>G@M(5kEU z6O`wi(oWZ)D{wTRp$;@|WgxZTn)M{}6sLcZQ;{}lYgeffc@ox&bi#a*)BfhRwF_!` zebE2sp|DQP2UZ9!4tP2%6!RAwzy~hsCn^yOd5$=)z7GH)vE)_nos4q|n|J&-`Pa^u z2WZ8w@c}%YPM3_#LOqnequ3KKC7|0&*{eOU3h=yZBKl!IhnDm-xBM+`-K$B>|2q(P zGk;po44y>F^fG*vxaQ3X7^M|TcLn1NBvWQxW4=1NA3Wc3|9BaM@Ge^$7UbOBq$s|s zJUzbTtOlq&Ey0oZsJzF&yo+rt%I(#?W~=`werKZZu5%&Bc3reNQ7RIzvF8Cj)`n*99z&bB zVMt2+o@UvYn;a0{d+afxqL=b6!pv*RSCIZ`sKXQ7yU-AQiICD0Wf<=OnX zb3BdoDF?EC&b{Jz07>ZH7g|toeigqzN6wx_y1ErQ@0w2|U4AeeqOWBZe5YWw3Ct+ye{j>33XYxBdPn8E zzRMYCyKaI(y<#K^j=1hNxzD+N`iS(sCteJdB4{tik>V2PBUmzy5ci1E)aN?>Xgxen%uZ?GTjCK7T|1d=Mydz_RjE zTT<$&-75!V_*S<~IPq@CG_CXwsSFs}t=>E(T~PQHpg?lIOORI!vMW{Q+S1)P?YSgs z0GM$qUM1$(hGhEF2k>tR9sLHR555lw>23aIrY6-@Apy03?QN4r{r6aN{9ZzN zh#;f;Fk;VEUE0Cqj3NUl3(W4I(ZWqg>|+}YSZFf{N3VJ2s7*%!e-Mo7Z5c2cE8$G zPa5u7AA3Ja?LO-^%6%TY$X%u~0D6`m85Fz*z4;Xz{P=W5+~$Y47wMnO9;>vX*!DD6 z$GQJ}c60nLRs8<@nsRk}b7J2WneHZ5NvMi-wflMR`8p@@ezR%PZzkY^@k(fwN=)TB z0d()I`6>gfsu{hGTGgROZ} zIChH!IZz6sfT}~?P69E;MD}Bm{L+x_fImu3Syxa#=N^r*WU`^WOVUQMW=64o z?YHDH98xA|rhX^W!o}8gpOX`OrI6iMpdOHTYGu5zt~bIlCxS&y$7&Qm4YoJ9{4p^} zO+ozXWGaH$)H{4NI+)^IOD28TuNj|i1#S9yPgIeLXQfE+z@5 zR_gOprMb{qO*NgIZ0;v%mfz_I=1Q+Tx?KQwW299D#vnJFS`4G7+UZK8K%??4rdMd2TvqbdyyW2G7^ ze+caao6B3#UsnD^Di1y9iY2P0)L1=Y>j!jWBD7wU)Qht*<TM-{Id?{9 zG!3BHeNi6hR36w+9>`W6aG<87*L)e`paWy~zYV*z688;IWZv#+sjRc8;YoPc(AQ=5 zcaFK>@UxFHnbjeBN!iyt?%np2n~S0nf4L=l7c0J*Sl%O&xCoSwj`dj_cSb6>Xv& z?$4*uFg4beZ#I$IuMIeL5PC+7yG8A>ld9U(c|%jyvaTKzjR3#?^0$)+?e&I!^Sxjm zJRTy~TyTmk&ub`#VnABRtp3-P;`2Vb6KsD)|7-G{weU^!qd#Z}wIx&f@!#Us&*>=o zKclbR;fDTb$@+RG$%5?ROHRGygo8MtjeIYxi8mqp14bXZo2qgOC&PSUi+&B}$NlG& z2sJZ?dR+RIxv#_SQL7uo-}SX%)_S9Q14H}act-X~eiNDCe#bZ^=_E3FrvK#*a7V4V z`Hz#K*7zm$wMr3&Ep5n}yk^aC^=F&Zw0q5FW%ZV{(O%m~@z|@~dK%aYd)7e56df&G zI%&#GjvHj;g|$?;v$VdR7`ZK$1y8S65=C8joEy14(8K4q8S+>9M6BoA5~oP@UktP0 zv{CnJon<4N*7&kT{U>N$7Zb9;Tkow5o)#3bl2k0cGVNxY>p!j{j6bd-+ICw+&6!@L z8q^3iapSB4`5ZTs>ZPN$ie7HoPPB&_+joQ=f;AQ zB1k!{?-ACwqZl8rwMP4KwJeI>{}+VBk7IDE&Cu3nzO|yKy^WwN2AqE>?(+GFix6l^ zf{%b#%E0&FL@g7^FIm_1dSc=y2^h|_<*Sj7D?wUl=AOk?(j2(B(;}L;=3NhCnaayG zYIVlhiFKg=IC?pbW`8f3-`UCey0x(3;+9=;z1rb%?(WpY;H%yl1Lh_C^P?5r1^cdl zPHY0k;;utsEFfd&XO$CJ30hUAeV{N68e&>JQ4EDpSv#2d+S9+(FSAn8B%a94$63|Z zmf`ZXdG9=MY6mv>TTJ zYx2@-m6$#Pq#oi!*oocBpmp?^c8E1$4AALvirNw8J9huRmg3Xiiywwb^APKsTr;>T zrgi_PRSAbrb3 z5i-pmikQEc(=(LA9xav@z40XZ6({C)W{=Uds)-oE)N1_J$M%~6x|h4|L?sh5^>d-$ zEuF_#3?@UD;FVf96bgLQqhNGx6fAl^5OC};`=Y=x+>at)MItJD2FRo&jfklGkU1|%bMux{BWyy!yX{U(6_ z)5%cDL4Kh~6liC5a7ZUMJLJY$vXFIh8Ma1pa^y)%+ewe=X=#c6G1CM+XKI+6uc5|? zX6y<(CZxyGXL4>}2i7sU#)$|`5{MHjmpwbF$6ZRb551CB+j^r*tcK_rJJ4V|v-=4x#7T{XB<)|^ zPJK`VRS;^VLv~9sy})G#22$AFee?M|{e9o3L#Xl`=SG9T?~d$pT3OKywos$$ELF`Y zP8yZT>EB^29>`qtZJZPtRbitr19;UA(U;Gk(Kgd6?TtqLcj9v-LahnXcQ1`HmxB#-`?g?kK7yLi0O zy71+}$inA@dnRYKU_#s;_8MF9m}T-UUzqmRxHuk|>vi4V0DA4l5W&bb%5-V-%<6;k zWIJPOdWc{$aAIZ3G}aw%!Qd^Mh+E$2fyY)Hpq@yCzug%(TB*T{$>j1dWhv8*MvYp8 zJu%UDNq`{Q-pI1njkJCPrRk4RzF3Xy?rFJgO5}^OCOC0XzUe4)hH_3Uh}09=_)njM zF3BkJXX7r3Z%C}O9*5HdpKf8(rTyA6G+s)+fL{9_f_o-;%3Ry;OG zlTgL!E?;8yi>nmS%5vI??>SMesP31IA`XMkzdl2j(MUpB|BdGF6K@5K;k;RqBGi_Z z)YeQzrfD0te^Y0d8|(F4Ogaz6{ z8Z-u4vnT7aIG(hw<5U0jMTHKQVh}R&#<+o#cj47-T_a>Ky!ULW+LU8me{%*}>UNvA zN-22>!k^t7or_l)jh_)aHZ#Q?w!Bap=nZyop3oa*4?O|@ao5&%!?-~w=tZoq4yvtf z7*sY7zIMtxYu1>pbqLH83Y1fKS_h-VF|8}=pVIed)crxI-Y{ir$_@+T{#n((gqJT7 zYTBIVMJx`=F}UnQX4-|FHpExy3>9s`&RxHTT zV$$kcG>9;*DV>Q6#j0C?v)i8TX*8y265=d0a_}$Jk0a7~q1_{)Vf?H?E2<8K=QdRk zInuci4t_xITsNvtpNFMgYQdg$0%wN?U@*MVJYSrj3dj_oOIxhmSqd$3Doke)PH04Uy#bCgvSniKA(DW zp%oL3|BR6EUX?nNOdiv43jo*%p{i1x$Kmy7DBM(3ULACk+XqSTW`rFTpw}?fxjU+C zkN2KM=qA>AAFVrYsIbRIIqXpyQvvuQJio92LVkYY0hGsfF5@C@C$xb48xe(a@=^8= z8*zXgxFZvU_S3Ejd0*5Hj`D_-!v&)C2C4`V4)c%ZHR^W}-3L=B<)D37heo(ATavA( zG_;}kWPZuC8frs9q&T7AB5a^;3vHm>@@=5x9p<6%Udy0auHZi{ndL7UjiNzxRMGZ1 z^Gv^ z(4*pA|M<~qeNLBZvAs#Fw#$&CVDk=}o^*C&@C!um3_~YeV4Si!ZmF;hS;`+Ac7UnF zwICob*O^RNZ%5RV(0?URrZ$l#l_n7KttRrDw>ev=)F1_t%}zD<%KgQC)Ood;f4U_6yS&Z^G*go`Ne?o?j1ABmiFK|H>FREiT#7X`2`1Z2c3pT3(SRHH9>r5|a?g z8|CcAFmD$o&`0TN@HbBOpXR7oZ^#r|gp;-perKL2V1R9`M&=45%+Yw1lJ%ys0%a(0L#0Artdu6&Hz zFw6zDv6RR+RmQ0gF9Zvi#J{mx*+uJ1kF_Q~_=CC>j$d*J)`16fJi0|4qH*^4%c5NwdEp@3#vR)e};| zsxBHdSH4B$zXK8)J+Y>u@EGRLf zzY^hE_fy)>nw162WzUQWVBE=_72unA^xK5j&avzD9M`J}CE7RtrMc_N`j>V0Mii{_ z{^ecwKVR@=jSb$bNl;Of+9)o|Qsfv+I6EBf?1?e*DsuhIl;>wDkbLJ3 zikL-xET`T8&4&~~=t0RTRAGVOT~Gl&s#GiK;Hon)>XC)WQ3BFMen>3c1$!)2J|-9M zPhb@*{Ow#2AO3Dkq#bS$R+{~mqT|cpJWl}a4hfMaMF$o*(y1NgIE8Y9bkJP-9k6#9 zN&vywQ3{e2G^{y}jDMp6)nW}&PjUFi-e{7&suUOoX$QhAQg^ozc_|`Fb2*5vg=D_} z$hsZh&h>*o?hrNw0vc-tok7I6ej))-U=pr?x_v)BGt{6bA@p|~Fxt)y`Lp~M5Dt1! zbX&@4!U^k|R+Dt`$GduN2SOivyg%Jem*@*+-y*p`jL8cplQ85?dPrVsl+gGzbQeH9GmT@8lc5D{bXeQTTnG5t95^w$rW>5`{cVcYQt{mwv~caSeQC zHCaHg?h;OSzwVNLM?F5Id?lM96@~~Dyu%-##%F)J_|`Y5y|URI6A&VFA^e6e9f0u0 zgZktdc$pCn#wBHjjXqbI{rXDz=m)>I%{=iAV|Clx@=p*R931W`MP&MK73%zxoG;AG z%ewo)Y>s31Q`!8}hl30G0)!@>cbi zm3O8Z$!iZ~#s%zUm&lPdRNb3lMhqlOd$1*OMQmpMdqZX=3^J zHNftVA|Z`)v&Mjl#>qi3eo*;JfClq3HH_bHluUyb>Q+KjgUGXH?`<7%aJp=zcdV6N z-D{%->_7FukZ%~LAL(3Cldrv|(qd0`0}`$~>E`09`%8M|t|s%DVN+uh|J_MN*4Q}R z52YBL6SUI+j}sn0|fhEkADo9R+jgNP6t7ft*3{M(w?ttDD@@b`ZGxCAjBWn9h?P;YYp1MCd<<1roLMjR4Ccnm% zX3W^5&>fzS{w$Ea^#^g&ETw6a}7jIm5rvgjNG4&uzdE#ZgPR_6 zdtZ|``+IX}E}#5-Xl8XWw+bjCK^o&WJS=dKdVg(#Z{jO9o)|dG&*V0Dm zg9yr$^?LHKIx^`&ylixZu3iIr2IBj!UsDX@o#9Pf9|BD@4r+s1#egX!w%Lu4$ArZS z`^t@KJuH2{wEnTWLh>!qjhq=WPe9+)JYoATg*kbC$+@&#(=ko+wm z{E+MbP7f387j6hIz|R;4@JpPA>qctk-LTtrtzZ@G?Fb)$eFM@iD2e{`y?m2g4!yla^F#nxjOZz9BfFz^*`^%9Q_~utWm!=qwZU=z?ODxep%xIxD&jc zSj2u*-hD*S0?)f;aTZa1&e;#>p6t{TL>V?FBgTbEU zexGBKc-~076TzZ=RZ?Ra(eUK241JSQa5tT>$cIC1A_0J%P#)<+XBsP9GJ~L9v;t3w zu~Y6!?KfB{(wR$%v9R^WQreiFh>g7SAVZ|Ncu8qD0P+8+!DdpI(N2mxDEU)-g%9l-|i(FE)KuBJDyu zp1XJ=>74;^ctba|iLw4BKKR#YX;(5YIo}U$yRU#(Yzf>AvE5IxP7|yN?p~iJs=A+; zofNeNj*#Ny(?Y+2)ymHR^!^9Lw#U+q*hN7HsZ0={#Xd>dksamBFmmJcIz!cfUXh)# zZiix44s18Y^Y{X@U0b>4%%+)lgTRC}{}Sk0z{I<;p*CBVz^pyyCG45lM7YwlFn|lI;<%{WmGaS>_@Cx<=NIj8 zi{5g03qh9uHj4=i3p$aH1dtzuN1}I;fD6ODJ0|W%mczX(nxMh_XgLw7wtv*nTsyiw zX*a^W7=(hk2f9-b5V*oA%*6!?rz$rj{FRmFMuM!>;j zX5Wm)dU!cZr8mtNEZj7{*9m>7o=ejy-CRQP-6>pKM7^Gl=CtDv4>F#TU`7;aq3$V(Nfq@GB)9e^@lu7b=^Ua=_hOFZp4WAeB z64aYIQLnDk*{lTQS}Ss+!-pEX0N*RONA6>NlY;YV1gB{YIS3veu?cC6w2ylIOK910 zF;(}1#05zyE&RdN<7*jC(**gLPQlDZ-^J+zz^unCZllIe`NF%*ub=WS#0@ zoI1hX3V(hLFFbB(xmvk^o{pBbZmn7fY0lYLC1iX_zI*#1MQ_vdX@1drGR|Rn^#1TL z_p1}+my=E&jNupZismKp^a;t-6D!bsxWt9xI}TmTS7nyGMd^6(Qrlj~SXt`P9Sg4{ z5*8TUfMfhyx~iKN@{i&oRVs}od?exwy~2{eX6Uy(!rLJOTM^b9VCSD$9%RPaC=4BV z4RayciiJ~jk&X`Pv{mWCF-=q1-g?ITV(|+N*m*`N)u`4HD6@b0re!oNqs<|Z2<3T{ zH{vN?7Eia@7Lb$A@^@D!lV)BZA1hy{Njq-`i06;&cCB;#d*?Ct7os9L>ce)@&T0Zsh?lt$SU-8m&*J`nC__9EEE z$Dq$Ig2J?w?NeuimNKDKTLXfD8adIGqUa{prEi^%k=w@zA+vh}!Y0E38e9b>2=8QL z;a)Z}c2;(!fDYU#Nbo*@o=zip|6Qj)*t85THcd5DhDvSXlW31cL5qF&lQB_QTwZrg zjp>#+8NoqW!td>0yn9WdzXV8XO7ZxK&=a3$di6rm_B8&&~8DhV*vy_yLOjH5<^d(Bt zd$}}1=(r6Lk7k`V2=IvrvwDn5uT_Wtr2DJ+tvgl%{N((O%vgNL45#b=vrAZ#klB{PqMf_?J;S$ zMxpu)A2l+2NK~-PUPhJL=NRa_5Y=G$;!+7hGLQs{9XkAEObBZ!k`}$4p+Nw5T+AT&O^A;`AEG~b-6}dNWR;9*~tVz3dzmEbe zCD60yUxWf@OlJof4!ZidH*EmS{lop!B|`D zv`Js6rhS*cGDHfaU1u+#r+bVT1>#Jqte>jk8x%r{1k_hW0;Dcg=Z5T z6)P_fh#CL9=EzuD$B8|zqP7CY&XW-#aQs{^diovMTtJ|D+|Gg5La9=kn*)%vfDhEQ zrR-8B^wzcC>spZXui?6uYlv@Jl=N@mx~6Z4_ned5KrkCOJ}GNR@~_~!K5dBKEHRu4 zD66>5_|VQv`j>HCuL{G}hbFL4Z}f)3<6wd)ob6m_{P7OidBPG0uBU&cy*;MMD*~X> zE|;%Rz}Ae&m_rKD2fOV1J;OK@?ff{3j@1Rz@FpXL zqo=+`%`Yj_r(7%<07Sjte4tt&e zB(Z3iC?|wxN1jZ*Nx7@Ut1c$$a6UssCJv`D)UyKg2Ks`#gre=4$B>{%emP%qU|XF^5Bh ztLgRz>WJfLSpCh0SF@mUNL3HK@Tl)mO;AUGNIMzd5Ms`C71kv}JxIjv35h(@7V*nN zC6=*woFPshN*29l`~@MDXyL;k%)iJ)x6O~$`F3*mR+bB?FsN=W*-5XWNab1Ff!Cuc z@#e{EqiyhyaPx-b=YdM)ZKR#2ADf9aJ$|N|C0C)&wr0Km2g8ED{7Y~6PhNf1%vftt z;k3Dg%^*;z3>idxsEGIxI;aq@Q|`1`E8Q83Bz`QZ7$8vKipCD}&*Xgz+sZ={k0P9;4I<5+NzMSD2-m+7u7Pq3=0vv&~r~E=weNN=E)^ z*!W@pXulyTPv31{5ukr(5Wa(6%HO_n?4}k0jo%+{CffAs_|j$c5RR{inxspz@A|0h zdVh!dpPmKpDpr-3@2mse{4 zv}+11Qq9j1rty?J3RS(nO9U_7qAOV-1XDMg4r~wH-z}B4J4R2OKzfA#Ey5OiYm0jcGqiS&_CMEGC7*w4XcU zg)l%No9X|Af#?ZWo%*C~w~l#;AB3m)^P8slgUVBHc)Rgmhc2^z3o0NrwX@CB(9qS` z%)(YR>y5cE8dhv0@E3fbF?efk2wAFi7<-lhGBmc=H#WERIE3-?crqma{WBsT3RQkT zRTXOy1Bn&KJ>2u08xnVBY~v3LNovbIL3T4Pra)y4jBHzeH*;6J{ad%~d}lN62?_i2 zNVnVVlr(Hi`l79ng=u!N<|e{iLPC_F4C&H9^NrO%kGn1p#wC(AnW|(DB|J~6z zIsTp;yG_8_ZhncszdnqD?T=2b=yFcBU{(1_E0kz?m3xsAo)J{gXQ$lh+%s&<{LvI* zc6Mz1NG8^oYuZw6wpO=qPiGSfar%Y&xsavbl)UuiVEVA1Q)~m08j`>_r7vb-W!DCu zLYdOUe^vaVogT7%$^DZTSiNHhLqbfPg)fy7cbwBz!pU8pBAhx90agFn@hQ@_w-Km zA@C0-)tyjMcIRV5*V%vHD&zm+x625&(76mp(DEy9sOa-?J7OHiPi1Bqa!DK#Gtv}SB~scJdZi7`)Wl-~!a`u; zhll&K2~VgqZL|HCFe)WHS&=y-4Np7tY~a2`Xd^y3)>sQ@!77}BfJOD^uGWfs$~NL4 zYCKoslv;HKO(7mx=Qf@t$HCM}jKgm%SK@|Vj~H$ITmOgN*Vg?lROy=T#3fh}##0)eR_*+BzcVrX zu&s!zSLAQ-%kIp-6LuanB%x;NR`v+-9ckRDj86vs`~8^-F=;5nhEhnE(3?H(3@I;# zbYyLEK5RqPikWTa_>L}W)YH__Dlp>@mjLNNQO9XUYGiz;cN3lrJ$`+Ik505p&D6N| zX$I$qRHg>+IOB$cZ5D^8nDyw#$rJsEJGNWp_>8omAU5T=xvpe!wsl1O!Jl%R-)f(N z8#Ms$DwSoKozoq7$As-46V$YP0G|&K-+)I8Q+$6;-_6u3c=$5*)(^D$`IY~kndgkn z%GxKkDxG692m2 zuAIJp`R<(7ozU&+^({_N!Ik)eC7KBbghypEHz;rO1u~{{RO<*-5A|`?aGf))Q)^*` z`o}3j^%F^WmjZmW|EB5mF=sdYt&OR)kh73i=0#O)zMD;)m%n3VDwT0%7z=|}wY3SI>dF>rU2lcS@ts-Ut`X@(w>VMQFY-4A4T|{`+$pwn;weL!V~fhC zy9!3xTsvyy6{8N9aF;AN*R+4Rc|I~|`;y9B1$);U+^@D~MG}t(5R4K>lf|j}4p;kR zroY*JoNT65jsr|VkfOs64b#Gun+oqV+tHsBe9jT~M)=KJ?N9o4H}x8Vyf#)V2Akp~ z@oA;nJIbx3R^M}jP4%u7Og?!E`>|^v%yA1Q3Hv3){wyLs%dp~L+cnxOSN(*N#eN`a zE@^v|xgGd(0w2}tC{x60rQ7ZXx%IrQC`y3MZD5E}j2c-=#F5$gS+CKWM#w9 z1=Bfq7Sr`PU!*+A>l{r{WLz=SaC80UUXnGuJo9$Z4En5G;reFB4%(QANNo&t-Nh9CSRj>(Q^wG z1lrRuO%Ws<+CR@cx>fmO%~Ium39!z1r^LF&qCwe-=S)Lw7SVsL%Maj9J3(-}P%2%~Zpkg3QS5 z<7tfaldx0)sG3q0_?ecoxF8lPqKlv`A@(ClL6%kwepKnO28nz4xY$UmE757sslVe; z*d#w*(tQ+12dCC4df+sSYy4Q=WGE;6bSLUdHy$Anc`?M?cI$TE!wzaqEuI z9QQscbiZNr!nMplJ~rx&DO!>DIa-mNlf>MBi^N<)@}p&yNY=^dE85>;H3!+1Z;@L$ z7nvoKZlzw_?y^&s{F==f{N}TVWF@Y+dQHLvZ#HgAlQ0^tAsruyHd4cRM@Q#O)m+$y z%IXIHN2aB-xmGo=0l8ZlTAhkObpl9^tLM*-rk6>=#o90p!tVq0?X9YyJF6oh7@SRJNf8UnPFSeMx_+ z`S|c@vQ!7nqD8c`N@4sGzEf5m@U^w7K~i(`>FqQ^7Bedvz>`GM7txSc5+n5G>zj>z zg?|akSy<3IzE4Wk+4;qZwPIsB|^{{j)sJW4lTcf{J=F%{*Lcp4KJ*P5Q6C$Jr zpM%xmtVY~k`(F1?GYyh>k^x=OR1eYfD{Ptz^X~-SE<`A+cN;?0FQmE>2D&-kAq_A? zdy~aIjn8%eI*333En8W+4Id3TB?tjAy~JcW(^l#E#5mKKSJ_}IlM@NwD>`QassJ5G z%0L_Th{<tammC((dvs=fkq~(M#LiP;>9v-5eweN8}D+ zMl7>;GjQpcljIq#ljf_C7RT3diE+ffSu>~cxWDqxPYWER%O>AJV0=g=geCJED*{3_L z2y{*Agp9E(qI479!TbvJ|5C}j5(_;tc*KCKC zild1(UlQHB@b8Cp$o+dc@YXYMCgET$3G$SXC%3T*qOm)2q&NaEmMt4L9T?? zcBZYp6+$9Z$*HCGJ4=7!7tzE=X=*+MsrsAfT6N+X&Iijr4F}fMOb&9DQWXC-&*twh zy_E3>l85%o;JbfGh3iv+Jj#PIIlSt4~`8^3>80BSmwXWJ0Rn&9gy+14#>Eo zt_-zudpl&9v8**Rm}`iZkn^WnI9>@nE(IIrCHd~T;rsa4+5WBSwkze|uAy8lD!x};xmr^EpoVhg_f74=?`;o$pM{tF(h*14RFhN2{}^!Q zmyfVT=%2S|`!8z1RjvOr9R7$5lPs$ZzX^lyigEG}0#{A;DG(LV-FDP)QH^+ez(a<* zBK!_ky{Fz&@PS1C)2w>m_FoFGO21 z!;g;)kFF@)(AXXwZ%2_ZAoxaO-$48SfGhtPpTHY)gX~YCRp}3@j#j!41v+5NNhw$G zu5kFS;yDE$2!lTY$GPCkf{I>*KC*`LfyDUhYQPh8DxUE8G7sNc;5~t+f9XX#DxwdkQ{~ z;D1>Iu58~H1+S_-QQp`k9R5o2oPvMD564ws<2ty`JWHdXf2?O|=KR7wOT(UF!&eaR zii1B4%n#X(uNa#i<*#0j2uC(1cr(5(LupEoVY>tW{oSqzeP>(fueOE$T3hI^10B%x ziVD7|J@~!t!SAa99{|RNA0n<=cGYYDy9QiTBmNDJ`YrC!_LOfEwvSU(?c3IXs|D6m z0monQ3x#Dpbq64szi>YOIYSPumSj6xbn#b7b7-aG>20B(0W{kio`qF1Q^_*rds?_> z3#6#d_G%B#pTDWvSya)U+a7!l;Pg%T=25EX1Bv#1+{&|y;S^kT^rjo0$d7DG!BytI zPTNbBEA)O=xo6j{Ncjr@$6vaumFgZyl{TwN$rq`oV6vQeYYc%YBdR zG^@<_VyS`!OJiaL-?kl@7*<)SBz-dvyIy8xqn`8~1D*Kp-g#ZkspVnMt}DshX5)9Z zx);sshJ>*b&sf(SS$pCcC!V@qaix+pg=Vmw>%dRx@*{8Ey@&U8AKus1Y>p4MhL$zE z=O5DS>FNnHO$j{-vOGPx$dr>SLI=Qa!#Rk{dvHprY6<58S<~M0>O(gIigwXhcg|_2 zZa8CR?HZ(=A!WAWxB%&zX1@_o>VEa{GpC+;#%X7sp~R+=Dcx#rPJr)k#W*nknA_9=2e9-g)WRB%bHOmsqE#@o~Yzo5U$mO2uKQ`2BG{b=2tc8GLYh zWMZT>NJ%p|A6+uT_dCWXht1oy3YJP1$v)Ixy`Yz+_|-`fbe@G~Mks|eL#L}xo`)T- z3awd*JRe9;v!Gxqy~;7Jz0MD3anF(=O=*4;(jLu4o_aB!9^-c;#z*mq@9ELWiJ8fX zvBK|2fN>kzKR$SLb9595Gm(YQKgpw` z#O=?r=|_R*1|;cBvnxxQ)gWoKg*d&lP0#)HmM!eIJ-C4+@Z5psr^VUoHH7BT%<4#~;z z6X?itp?hXIa{kB_&R_f^vqJrr&dWl$ib$EBQ|lL+Gd%~{H>-t%PD*VK;C)y3w!*~i~ zdTzPlhO{}tbfRATGf-}R$<1Ol3YS|2`{y~M<^EqL!+4Bl*R-lNnW=;Y8^{MBGMXuq^VcfIVST*xi_#@=cSGS})4sS&10j#4}PPWGFg^Ozs< z1mpOIs%-NE?>V1x0Faui>yJ4~Mic3L&26h{pBnQVIm4Ey_F?u6Zd2{!D9UIl4pT2T zDvsPXL$2m>{WiB_WMpV9rqO9F|3W*yq3qa=YI0@Krhl4{2^`|}ACho4?kHWv1j2`Z ziz~kMNC<~fs|ltom*S}klLOBCa5Jm%N(C{uO86Nzkzryj!!7h82sIVdNue9JhNW9Y z=sfsQe2VMtkZQOFmtNwrtC8- zaT8elvMp#2qr5vR+M^gFHi5RQqCE^6SQ~pN+C!GMx1w#fv^k3QfTiuHX!l#%Tt(Yt zX$LFXJ)oTgz3Ub2U6yZyqFn`A4{Sb5(XIfEZSp)tyUb!YD%z!%c9Ei8WNDWw+D1#e zOwrD>v?~;iUrwg4u2M99IGVI~DcX8VyGGGY0_{$e<61>K!Sa1b(bibnM-}armUf+@ z9cyXVE80p+yFt-fpxqC>cPrXF(D=zXp^bAi%?-B`AXXf1AIS_JbD`)4h}mS7yA^58 zf#dpID58A~9Hs8TDvh7LJeYG0f?H9I31nN0BYHUGC+n|;f}T=ECxD}(>+a0B?oO-d z@J=hbE~AJ)gm4q=U0kZ@*y<(9HU|Ed#=9Wq$Wlcd6Dqpyy5FVih(FN6&&#z+6&+b! z(Y6`a@ke{8=-5sxnuk_LM=-E?FSf3`tyEDB*If(O?G))Tiu$2w9^C&8tLOw)#d;i4 zB^>Hf1Qfr~V0Sb*NYmaPh?xV(UDd?wfnsYheDLmAD7d?tn43^2E#_c|;rS*j##jv6 zW(E82dR?*T=d0~#M`->hhRg9b~tJfvuQfX0Un9#*tnL3;pvk0{zspj`&qql&g8 zXd8h2gQ9H@T0dypqAawRfvg`z#6Xva<~ zdLX0d5h&tUi+8e$0v&T>M$E$y!>`}&T20Jd88Hu8Yxbxn<~FeFYT67j99;IcV%*hK zV-(vpWA6h{#LhaWn!OyO2xVZ$HC;@G3D_O=xk3V4UMY@^EMdPa zA!mnw2lZ~RjGRz6fmO33g(3WeEUaBAoEuVugWrwhvziR2SFZ*>4w^E|SX^qy9$84P zEXEwl;KA@6HZ3jm2vRj6C*v_9nEH7<8ul3Z<8iCjj69vLsI4OOkK@88PQ%4DVY=`C zaZLYZR_#`K+$UF-T$9S(Oxu_>?2pr6X0@bS;h&N}a;@-Bsc@YrORiV?h30Nh<{*z3 zuXB^-ak;q4c`QdLb|I&FhTD0OtmpkdV_j-OPUs(*AeO$2dYy&|$937V_6gF()YoY0(Mo$y#r zXpUeejcT=@D@#sjj*u%$PDZZg{D$Q^a&ErOEhTey99KA-O5KiRIS$Pda;5c~o`d8F zIZJaKe@cXLuTOKO<&DzR0xehjIY_RJxu4w9z2S4B#ndTIK7mdBl-gK}aExV_UonR? zsZJ1PU%W}zzQ4cZhKo*ALk$_ZR>)sdH%&@f&?ouGk#2>4E$)XjwTxU__Y2Jp(m6=3 zEV-%Clu6rhErn>pAF_m;ma*_FPooYBo}P8#r&yT(4*&rF|9AnES8Hq(RTRDyC~qjQ z((){iVp*#~fl`**c6Rpe?v$N}Gqa^Di6a5ULQ;X2KoBCvKWIqMXox~EB*aQ>B!GxN z@DZg(e;70-KK=ns&|o5o5>(KF=bW80-A7B}BsX_^&v(!Je)m=v!^CJI#5?b9uZKzW z4;NxO%!z2kGc8v$4No&%X~z^*7K!5^soeNM-!PbQOoV|*L(!#U0c5y%1D4+Wuz&v~ zA2QyDI8sGzBSal6bYJQ_1{3L+;sbnZu42cfJ5p4^@`C1_7Z7$GoEnz{D;7)P?_ICI z-#fo3qo&N4N2RT`$f)mp{j%z%4Wk0^J^-QLLx5`lJURfMyFa)Vz+(b%)BV9K06aDT zbNYsGV^wus!?*wp@!ikom7saNC;`ycx*MBdBJgCGu`qtt9Zxlr6G-LX8*Z0lZEy#U zEEIw!iV?8*?D|vNU?Nqkd{A5(o-|Ur7fUMf`mAl?Fs_iSdS`n_+vfJCHdVB>cQ#BS z1Gk!A!#GLTR9~0k#0{`!xQb>-yM#KhXyV-$88hPTc&{K;n7y`Y^v zO}CQM#4`YBz1%ZrFU(lG88FbQVcI$z564vuP>j2#6ZWsf?_0RWg*p*eN;v)MZ$(>u zo#nnxUFs2O=W3lHo&@Q|c~z6fgId&F>Z6@Bp3f`?%BX%Ir4OMKU}Tr2oU&bWdgB?C zVv_g-ItkNuDGV1e&^OmmfKt`M~24+kP0%nU>;IEr+ z_dS93aeGiI+g8$qnIo{tQb(_B9F>PjnsH4f%v@0cGXB_&j?PWta7X8kw)V~QD4fHu zp4@{%9GuDgdSiQ6P$m!bbWLUST-ZU)oYx(Q_9A99Jzq2dgg@N25C(a$ZA06R`Yd0n zvwk-$5T^mR{rY=dY>M-vCNygyX+76|>mq7K1d{=Drsk%-q!zIiJMCGDW-lVI_q<$n z6~S1t5*X-pRAS$IDMNEBiF!Z#r27clW6I2ETue%mht7VFN)W?M6w{JWP-#gcDh*YW z&I6QFgyDzKfKM`6!X2S1@hwOWT)qyQA-N=q!EDVdLf?#$1WUJb<2aU zc2mwEyb>ZqdzaK60#)Q5-$QEBRM%qV9uy_u_TjHTb6*p~R(K zUEp97pa*v_zoUj^zK1eY`@D>an;Bcbz0Q8UKIXkD>9Gd6(7dM>Ane9PSv!SS38J21S#(4MNT_@5h`mBchB zs@s050nOHd6)e*HURd?)m4g<-_^1f!$m18*qfQ)ro}#iv zQ)Qjl4Qt>0dhI++BxglIhBFCUO$n>Gb{l&^d;|l5x)b*Tiy2^;LQntld?&toxDE(( zWviQyUQ^JxwXkdO#?5#X4#T#0jzA#Bgv^d!YCs>Z z7Sp8NK)%5;eTc*EQ`DH(qS%@e0luMI1m6>lr0IM%r zi+hHQSD~vb{P@4+m7r%a45nraZomlLbUiylArMeD#=?x&Y{iI6m6Da}?IvpB*if8v zf6~(-N)_$BbRBz*R53;RERWI20=8wc$mg3-j1o~?yr-Y_@vW-iVS}R=Gvfux3j6LC zGVTBy4VkM1T`LElz8Ynd+~xzD$*x9rv5pKJ2|pfl(t0!T%c+<4-N7_tGWk(N??8C) zr|{+GtS#_-@V6)S5pNpkqujS=vLLDW4xuV#{t*f3RL2{QXFEVZwc)f~%`Z%53`&A`mSL@exTX=6gn!O29- z#LdXU!pOqJ%uTE!O)P8aVoEG#V(IcVwt))bzbU{K{tI9PNdJTXvClvMhkJmZ>B6NE zs3!ZF#0mZU)*lcZCSjtKGOe9}O!FY)PHA~W-hT0ePC64QeBhf%1RX943hH;1I3=Z@ zYf9D1kfHXVW5SfuFf2LOUt`(H$Ft9*fE-_8e9diX*&<8^ znA1X@DZ>I{Zn^@;PvXH)cs492ki8Es zb0o%w!8DZhp#Ys@0+BvZF?Z9L%F6s#M0T7_S_TWgf^FACt~vm-qMc%}BKBruS|U9U~t5EVdYYjkh08Wb~+&$wdfb8!;b$ zxs8FM#m>Qkno;Q|^R(2<851IulCde2(&JfZmz5#TO(Ku6yw`DQAS;P^nQm$n`ACYU z2JkKObw4l&t@yp@9H|Mac%NR)hP+e;Nq&S|bzp&mR;_bRD1e4h8^FE_5IDY?%DD)Kt@tsC># zM$)TflW*&BBa=$}-ttOzmo|y7oJ=URG@Rk>;;(MKGH^vq zDS4KcfL{$E7CE;Dl2%yMwfsfcCu?!(#OMOlzY5aY?n6!m>zgZlSKeyobD}(^Y#jtm zzFYLzZR6Y{Z5g2Eo-v=7z+Cn#4JpklOu?iKb)GnkNZ+FA4+&-UYlU4|XT&HR%B&0w zy{Q3a$lWjpdQZ`#bOqXbMb;B0qeeuQ$IUCx$>k=Y6bQHF=4M?+9%HC^eZLzpYVNGY8{L2bsJBgO=VtTcr~Z-*ijQd!I77n)tZJTzJHeC&@TgNM%a2M?k`_qLgp4?-$Da?Vs z0jxtsoL9EbRKI3d+WQZw>svUO?Nly)KSx`^tm*jZpe3gx5V(Toa&ssLzuGJXu3#o1 z-LK&E^t$iQXPj{g{ExK!THjkagib0d+TB+fOh(YEn zoS&$wi~Zs1ny5LcR%qqJSaiEI9t&;5zk{%B;{CL}r_bRjOl+oIi-rPiO$(EZrTwP; zj{%z;44uY&`&|#Oj6P1&e_P7^ZGBO0P1%}Ms=t*SGE`=JqZ>U;Op%yrOO6q5+UEW; z-C~0$71?8Xh>s^ku#nKiiARY1K;mUlN_~jcY?tgegwrzgPy$n#wKmPmxDt$4acL3=x!XI(K9@ z%_qV5%%)f#s>Fx8u}TsgyN=|l_$r);q;d_irluJL!o7nUAd*^vbu%rvw^S7~9T)cuhUSlA?PRF$&hZjnMfOdg%JN z`RY8nsmFryI_f`0c)$K@elep{;{pM=Q-U#misju)5(GZO=D_4eF%vBoE(?)1d zG8^++a#e6%d~u^UH>K~^1%+_dQXvQbCOfJphHt7n9(kOTPXS@{V-?@~iB}bRG@czq z67tzkm_(}*+e^vE=l-nd5qVN@xsi8i!wCPAuC+*i?^$A0*(7$DGS)7)K;A{F18e#0 zWGsoCBg$t`z&B9ixKv?ri)q3~FE>;AHgn74*s^`3LJU8jEk9%0qB@-IkWcjhs0=Y!HV&NZ3yVl+)Or`^demJj-7 z3Bs0S!CDq+D4LHuNz72}AtIZ>n<|peQ((b~E4(=PDcxms#(SWb))b4-xXUEWF@rMM zR=(mY;$2Hm3#72jt~a|X4H{l#cD)wlO7)wxu29}^>3EHHToqwh;0iqzdT6oJ3hdT6 z{blph`+FGbCzW>1_N+B$i{7m3cCTX7!qhDd_DggVbI~bV9`vWJ&$RhT-#|F`b5=uD z)o`Hzk*9)~fI7j7&+%O6vAwqMvyp9QrBMZfikLzhQ&L~IAJb(XJ!Ka*^WpGgzVQc) z5!|+h$pPC-GD&7vA=&4nnF14Fig{;EH|SdO;uo&ic9)C(L|gU0n_CY%&%us#=iJ@qC=HU74}a6)oUBUzI(Dlb@A;R z;5y|c>itplp#IH%stjl;LG))upVRl3sQ@nwqiy1Q<8NOB>)D-L`a?xv?4ri)>7lZ~ zB0(zm9@%Qi=GK6Cly9|c}QS40eDk516O;6;CrucW(N-Hn@nsP4H}&QO9X+Bm;zPv@lcrbHR=-0hF{|b~(?_m^UBmk$?j=lXPy5s7)v2eWFNXHVJ{DRNgpu zvK=}B?9VvWw#7)m4RzlJF!%+^<+P&PINslG%bd^NHo0pbfApXyZUE+-3<&JLd5Q6* ziUQmmlfAys4!e)|lin^ra{F)CG3KUk9`S;$02 zSD>%8^)2g(Jnw*zL*3;s$C+6VhSl_z!LGgpFR}anvAX__?-zBZr*i?2KBtS0Cg(MH z?*?VIO$W^zz-44^0gY9d8x78u``!4dm%yhgte}%iU2e-oUs3xEkVE){b zP?772==lJy7cn)pS@%Fnb$I`b!+leHVOc2W-*!W7u086$d^y`SvQ1>7eJb%bXC*K+ zP5SwF?-sWhqg|$x_OoX8gWlUd{^ipS^tsG{bF-4WS0B4_qHq)S;7o*7lTGY$ih;_<*Ch~923urfP?thLE+_#a=3;d_rW6BMv_@!CFI0BzYUcN1&auzJw5j~)a{$h%pz8Wm&zCwBbu`vBhJyM6WE1%X%Bp_FX>{wu#E+$J7R>hNSU9e%MWy? zT4aM6TFmL})L|Y>eQribY3s6nkfcT;6WKK`28+T%a`k|eRk^pvu_@oJSY*^VsOsi& zGjKS5%KOCb-gcOn*1(BSf*clg?rgR!!6}&R05{RuRoZ+Ejtzvztx&5&R}l+*q}Noyu@h5mXv<}Sw^DnA0D=bb1QOGhnH zONiE^YL4M$^BNq`T9+Lx(%27VOHl{9DLNA?!v$MsUR@fNPjWX5lhG9$^jRYRFx7)e z*V>She&8w6R6yglqr1O0EVBeLbQDRYI*<@n#NWLelHvxSLGwcgQwe<{8)pmhR@|#Y1L?DBiE>{GuM@?u@SY z#qsvRY4gQttBj^A`x}lCBhAOqwPZQ@=2Xgk4t2PYJ8j&`&}%^OFE(X_?Mws z#8|Ic##paS#kJN*udPFEW72b>$^P)1zUTCUI=&8ceID<2g?34=3GIGCvtWZq z=AU+H+Xfd}rrSYvQ^dTkHEKoMEUB7%wpir_O_atuJ7#SwEuE3;qI&;Hb#=tNo_5y7 zd$Q#ZJI1Mkup{5A(|5|PD-L;1TM}Uf(L@{q(Yb&t#i}g>Q)H^i_(aL zc=gh0(^jQ=?Y{LHv|+IXA(>ZiMGqJ}x`b}ikuS|*py+JD+tzBDv4iT}ozLMIFos6b ztQm>EFA^T`4BB0S${BGtaYY?!sqGQS!D(qAb3g$9_l_5bnRFl+gX z`&T6JqLwa{jn=bSY$A1^jXbYQGD%@1yjAwZq8-BIX4XALAbD<){;-L)`9-zCd)d7t zAn=ddRfW!6s3R11=+Q?%xS1L@G+Tc;`kL71?-{AXtt^i8iI+LwjXS-Sqn|INm=D@0 z2h#p;!0mB5mRkJvkF{Zg0!l`FA`O9^{UL|E`+9_|`#x-Ghuafk76Z2%@!BXie!xr> zuSrA2K_U3r9wP4!6Yn@waJ-@H)e8MSELl5SUV5{9!6A7_wA(Mt!MD27A1h;a@oAgX z%4oN|Vv)>UDn;1;4+&+e(=0H9=Ml@8)8!k4tWoT@r(|>gvozAV*zBKs+CE7B%hjaAmtkNH5 z<*m1UYk5#w-0-e;FkS#d=P>`I@U1eSIYt-4lK2v{?ddo6jsxfP01njy4ID-+QtLNy z(+fApo0C)){>tc(8}2fyrE-d$EP`4R!`&aY!xdX1yY)Q0&f0|ybp+J*mE0UbDF?F? z1$Y}hN?5db_MbBnMCUf`W?Mu$X^cv2O3ybcdd+X+b6%nSO9x~rzr007tkpnCTe?4k z+?NJqoP)0oz5vENeP>p5w8eTcyaEuq1!CLP4{`>3zjj-i%}JI`B<^l)^C$Ry;}m@J|Jj z1E?%x`IzB4P^Fy7OXe&kh=swa%h?NUUY--q_#%x`8`HG=A*!mY&+#ZG@^GZ=(<4mZ% zLQx_Ct&<&N45S$OtiOW;7H`}<+qD*j!-4T(xsps?5p1e#JUjI!Y?UGtg+9d`FraHw z0$km_p3xo7R8J;cnfKADd7hjtr}=oL33Jg7?B}DBsQ5-gzS)BvL}_Efv|A%2LNDjq zx|Un1UOs{RM0*P#;|uK6oiT!)kl37%_Q^HB6tnU3t=?gnR3@kFCco#G`9xZI9pLfz zY@wvZc;1{y)k=LmX?qtfbVr`52(GG?`$5#z=$1qib$i2s&UV$VP>e?uAEa(w#M7Vl z@sY1o3nLr7k-Xds$6w$rwE(x-8`-A0K%ILDpP*H&Kjf+1KUHIJe^0C7`wp+B-xV~! z_`mJ~U)K!*-i~^c&4td=`lyf=g!*7RE0npWcghCOfe~jrLo;iX;-Cp~P2_u;SY6}l z)iW7f`z;2a|F^=b^=0E zDkB0#rvQl%;`wz_bW%b`4zjypAX)1 zyv)kl6`cI4TY<>`g47G)G^&)#)P&d241%4Z$mbFCti`T6vn4Tsdu$Sh#`yJKi<+gr z1aWq3zgfA)PoT65j~mo$QgFEuoz7o}_u{(cvHmeFS0M>&;6(ZN*i<2Ou8KSjSQ0#3 zkl92VtJ#0LExnJCw}ebs|46JE`(b4o^Gg|LigaBTSx{7s; za#tN-%I(v8)t+t&Oxh50Ob`g@)>X$dBrdpW;ui}joqlHHZ8TwZgMITFvu$Ji7Kk<71&ShnJ5)SF)A^uK z*XngWGa1-(G5S@!*ehnx8I*f!W7%@Kadihz!`71CsZM*vPK-53Tw@imIY$B;&o^`d zm!cl`G6@tyTYWF8+UpV-huAl=dN^($X zKCo?-=i{nJN~m94JuIR@do@b6#1*Q9yHMbArk_kNc168?QcJa6 z@7@a6Ce`<*E~RQ{9hwx+>r8z_>w;KDIe+W74u_ z4Q6z`A3e5tO|(y@GgSH5Bzc6*Ja^2@xIC@VfhT$Sk}($TE?Vq`c@I}bl-*w3^7c%Y z=}T|0Ow?MjoCEKHv%8@PX3*%apTZ+=P+1>()#AQfYTMQ&5 zUfX>n7@yD|`o^X2!J58y3*`4GRPhnH*|d6GGbx7F^_tX ztZ%Iug}BG>dRq0);bg9$yQJ`OYQXVC=%1})weM#+ON>3PV+JH1B&k&<)Fu6>=7Xud zB#!;*mj8ugd|cDO4bv{iEES(qFG(!@{>gd;&sOf*u25d)g@HsnInt&@2DQj34UNN< zM?Kq0=eOd?2lMmVdaEfX80t0eb0Te)8SZ6MUHp@%?~|kfY3KbY#vOc(2IF>G0kyy` zlb$r&QWRs`QZIY@h6x*w5NzwH#v^8LWRk%ZH+pPm5+b9@D1U@7cPAc^XxBhfYJi_& zo1Fh&r{j4GoZ@SunMzW`%4jop8EuCW>hl8|~=Y5rWc! z&Gu z+GnsLMqnwDKzbNw>9g?fpegy68)suUg>{VD)0eGbqPK4-^d;SLFNCqwVpkb0q_96E z0%Jp=V)vd&_sZr;{d7?l2>h4TG~m}yM8H;jglg%**d8GQ^prz!rvnkdyx`%%u_@uf zFcYU!N5Q9KM!}hylOYYx7-72qT*4V>!~6AVU@EO~xmcqS3?x@o2%~%?Q%3Bp%ppmm z4A`n|ikdU-6#nkai42GIt_bBqx9c|Y?PBVHxCTSk>y`ZE||RBIjg@Gx>lUK#=j5e&SiPCqwFSNJx*)braR`l<`o_Aukew2!dNP)4}r$f8q73dq-E7CWcZb(H&- zDlvTeN7KxY8p)EYBMlQFx$hvsRX;nALARyqCVp~rtImv!nv$2fL{t1NzBY)(MIGLy zK{Gshd`vkP0zHpjUl-_63-=cJ0NaN6MQJ011f{>2FOTq3!-)$DXHxlypy&Imxa-_Q zugK4Xfp(CUGy1RIR(Utj20`M%QwTXH9xKO6UD3g&{{pW7 zi2xEzXX|d_da`Q~au13TSMvG>{^WXJAvQRci;(mF8~g))8a~>+dIaeXf~@pA*`eFX+7Br{8aZ-iLu5^5Jm2@OoVOlcnDvP?1*g3-Y@rITk0t3 zg_(5ED^ZCQqk@=$7}*E~%}MoIF4%Ykje7gLV&DM-kgEDngtr`mP9R88%G0jUJeFS!>zF*As^B)VVO2e#9UeuL2|! z&mhSN`H+x59Uavotw=N#VM3EhucMMhsd76puSVqDW&}AH28mh^tQ+j^jk4rNJNP-% zE772765z;p-V*nZz2+&G^UjqceoHM{F{6nakfK5r;ZXv}A3Z znE5)CAC0?+-sXV=kF1^}E7aN&S6G}-zi$M(zj?<48Fqa4nii1U3p1Z>L+I9o0}T&t;Q>C2I*-3dgHaAl#h!BZT7`pelsMv(TjK@>}N%>&LIl)_nw}DjKBFy zycxju?u-f=BHm@+em3Q*A@NuB@MD)R-F{oT!dE));G&A<6-&A{#OK}e0iX12en#iY z0p0dF$omog^QN^3FS7WAT0syr#tFUjo4#tkr{_ZM_1S;{UR^M(GI)AqMYZ3e0!Tk8 z_Bc^CjeZ>le?U!F42s=g6;yqYo&80E{-vvo%$@qA_&ws4m+$anX zyA*u+?b99Bo7y5*gzh}x#x>*cw%ZxzTNVVtrQjQGzdDIt@RM}EHL8B#)6f1h$Qm&p zZlk93F_bQ_m5uOV-&3qSX6R+QF`U9Q?XHM#I+l7?Lb$I8>Pb3+PO<~J)S2`jK(Hlw zfzVwHOuf-kS-cb%kasF^J-~9poz`KEaSi>NY-r^a^7=X|CWOhj-I^XF{0RnBP^7GTGS7xm)&v%qJTW{Q4fG{ZO^gDyF43zRX zpeHYUI$4waR?3qZhw9F!v;j~t;UPR|73!|z+09-9Yt^a*&Svg+Zd2Mbp1tj!6|HJ+ z^gu(gsrHaJ`f$H}TsqS66Hw+zg&IC?xkk%Qw5ECc!S~ zG7w4F`Fg~oDhzm2T7La4IWm~zAF#S*c^SfsOsMpm$dLIso4@E#>adMV=Ll1H=dk#p z49uL1v2X9Pk8N2k^k(|MwKc&4gsW>FIZQHJBB^|Azv=)!w6ud-OskNTUuA-{QY=go z;>9M&Q)y#SzimK!H`$yIf;I=py8WX%9E#q<2eZciKYbfL+=JhY3Kp%ZDSyp@kXTDu z!Hv*a^I`wZ%_oD2HRD7Ht-~qqSwN0b&z^C^MUUI`WRKv>UY>oUXsxljQ|xV>uR%t@ zVQaVb+_29;stE21Gc(9IcWEO;W>VQ^oQ143u|soY&7OaQz%S~69s1ys+&y@LVS=m@ zgnm6KjVBcILf^S0zLewQ5-JAB-wAV4nU?kJ8tcKzL27O+Nh-#uep`4C6^u~}9 zjwd$s6N@#_Jb%IvtPLAui)0Ao*$mc^kRKkW`MuZ;(|Y1tay_vw)cwc>XghuX;Msvh zwa)QY3dk1nz-G2b;|uZN><5r;5HNFcmy#U}ZstU^Y`0_W7>Bs+xRi_blZ%x3=jk-K0;M14JY5|^TUcrZgS8+?>RKtc!Pok9l};&+?=tkbkdegiJ^ z4X79Ph?Ybl9zbXLLrg?$f6rsHQ~UP)8cBrb+-XNdOB3pcXP$1rEjWIMq;pjt_e0xs zPgNDp1Z;f&p{6xS763p=12r&L` zIV&&T&S*5cqw((4-;Vf<0m@WN@fU8#zI%>X+`$&Xjlb@bGkn?Q(#I@Ge}u<=B{4`p zVC3+Gd~fF3Bs@Otap#+SCWf@PBDDnF3IZjv5wfpYlWnrpkkJKaq2u4<6)zm~9LFiM zO%KCKxb*8mQi*&ejLJ=Pea}OE&H@_UK7{rX%X)zx;vOFqq2Ogr&_ft`mMuEGPT7bh0%l=t|ow8 zS&oaPnw5CA;k<;%K7T^%zXN|IF(&Neietz4e;c~uVg6DqM?435^JQuO1v)?*>3!ya z(tvtg7|e@OH9|h@JBcV=2-g*;`cWQGMiaa{hy$}fNS~)7*v1cYtsH) zAwKkL{)k7BH^_dSdSAe`P()YoU&w1tRClruh5j|Nof=Fxp*NJBL*fr|qsiB``WN1Q zpwM{Bt3ZE*?3T_*i|g^F(5?{`;vwk)pFop=kmQIcM0U!kC)wnfpps9#pnYl}Jo5GP ze7=1d^1f5*J`vsk(0cfGws@;r<7c)1d-4Jx_PiB-u};YrX`VDH;!IE`t+>O;I>(O>=zyI7Bcjf%xrW2&~%Jt)z)9Ux}&T|^BgBw<)+0&i9gYEM%i_iKcq^XnEtsDYo)>* zx2;DaeXq1U>a+Vz&5~EByeE(q5*Q0$1^O?UT^s;+1Y+}`BA?v%XGZnA9>qDu-1RHp zl=80CcXMREyV#d(bM{`r;yZ5d;0~`l2=FZ6TOuyL4vAv|kT@yABG&~iv7)G@S8nr1 z3ukU9Ia1+uo(=7RPnx*Z4U6jzFTWgGMZF zk^VFcSR;s4EN6O{6g@;OD_MmSG>hcM0u#^qZ*?poZWBB3tGG7})iiKWZ6WiLDwVnN z^S|WbUDeWR7m`BC?XKhm&AZp~YhQK-`wOnUj9u~sSlD>j>P(6RYCVYaq_<}sb3QK| z@V+6>(Q!y0l9C|0zw|JQe@1MLCT^C4Va-Q$A#ufw5UHePDppT#3YAn;eBZu=zkZJ~ z+jfe2p_T~03^W-0>aN?w3hn|>4Panpyn6)y)Ih9WN3wWcj_8_>E-HI@71BVIP$15t zSbQ;(@zYp|Yo~Z41CkY#kvHYLDYzbW1gH_w`Rgdx;?ntJ(%2y~TH~`;!zW5oWI=_5 zz{DLiK^=ypRtmr1_4TzwnIXZEjE3ZfFSwHf{i;nB$=97L8-&=&KDk4%8gP5<1uI(g zwtR_0@_&JL(=_0KdVNq)9&1SEEpVmS1QctE!WtK`5!>)x{eVu04BM;Xs-DzFXy6J` z>8gd#ifv**LJH7`95>@;Ny<;!R`+!;L2xyWK)g6%hL78bUr^-J-oAn=PvSOns!|gr@Y`izVolU4iwjI6eWyZc$$#7t4?PE zq<^*0D95Y~4V>!3N4I57(gUm}1XX4;*9<<5UCJy?2k=2yJXDqEQ?c$36EQp$#PkNk zMN~kec}xDzZ;LhsoQ`ljc?DZcg))SFe((W(+nH(Mjivgq{(#Dq5(1JYiyc{mrWvcz^DvT2}8(BTn(3(JmibnSr{8)R2b(icKZ7a>NhRJe%HqynYe| zgt(Ddu_5vOnpyx-{77uzD={w(nLfP9K%}M$!Asc5^liBaP>0}!K=3;J{X?_cmdMK zrX3e;_U%Mx< zsN2MXmkUq6is@TB%MF&GnhhR6U9I)ooV{4FjEF_};X;$stcg_B*E!=d?Mozl)V@8j5tDNMm(*1EgN;G*970g0L4H4&Gg*Wt8_SFAnUzg|mvW2I zgKQ46n?5;SzcRYLk>zHSmh7{T(gBvEA;)(;B zfAVqU`xGCAvOuF z9d0<%iUV}&VOo0!*>hm*Y0g%8#lYt(>`^MyYHxiED!QyJa_6ymOd*^QKbcE+b4P1iRL;d_w3@tfxn6xwVpdmM98Pl#aeDvO%>D1P6f zK-WGmBo;Cib?}k?wO)y2Ne?!Y4kpZvlRs#1v4fSq#@kgO+W4#C!`FdcUC1%rP69To z$XAKpY=S%aDfxc{NX;-2SEe4%=8k~u%jMTYafAY_(B7eS+JQEH`dmEC4PEjQhgiKm)vDbNso`n&S>7AkPoX zi@G&!j|O5RO_4EO_o%R97mrwYalt&CdA?uZ>T(3kmo|<^X^o8qZeeD7 zF1Os02AFw_#Nx{83wKt0wSrOm%l|XO6}&pJK-y^3ZGX7gaE-xax+O`?EVPCmuNa6L z*xcgocM&Ujl-QBLolM@9U=qai)Z+jr9RdipIwI=5>e@UjPwl&?v-u%K?)TvBM-9XV zwG2BoQR`j1N!$)XD00=oFnTkl2+XN?DX^i?ee3;ab*1)XbnQc(6ML;2e;q}7JyDp| zc*1+?D)#Ow*$<-+L)FwdHuu6E?+gm?n6t{LzS#4=G?o8n1&TIl)7tCXy1VgefY>z_ zC{YfcBKqDo@F-kHi5nzAItN3LJ>q`K?#CDRPR8Wxu(oW#;k|HlHq81~+f=6HT zpV@P~d!J*mqK)|N(qp?2Fi&pI&5b z1R5nsOK^Ju43m{8vdVjlj~t~>>T@Y!OW^bJTM+)R(EJLAj*E3Q(GjcsRyanMS>FU5j1p$>EnJ}{xl*>#( zcTq9_R~A3lVkhi&CO>Z^zgFrw8;8aEP>_liZmN->u0f#P#fbEAhN}+mu%PKdh{xl4 zY%gJkeAhwv_GrX!ZB@X@X<6fTSRCE%;HS!K-l|0%PD@0KY3pBZE`cQ-9;$@c3;mU> zGyQ+q1O#kNzQgn^+b|J(X2%Bg+~VB9e;kFJuYQvU7rqb4A|uid5DZh?SnCJstZaXp zyvjPnBIdt5yCJbvDZQ+))g5g8kY;WwS_X2-FEa`cC^a3D1^71Nnlj=LXtf`uja+wY zxcmw%94s^IneH8VYUIr%X_IXeysssPaY_jpWIVr=7{eyi!F_rPM;S&|KX8Zp-fDnI zjw~k|A+C2WL5>6!f`P@cm7kwKg6aY%Nz{V?7j^(Z0?6Tuv~-27p?!VqEupFHlcB)9 zr5w6`i3+SW#rLoVq;e*|u0&m(PJGl340Zn-FdkDhw7?9OC{)UOMBUU>XDk~^fnv~PAw*7UPEMVi$kgy6b}@jG`|j}Ypd7{j0(&X%MEcSZ5Cpqlut2fSJFv1-m(nlnJhljdlFFp>U0HhTsBI% z?!z6i3^@P%r+IEUf%MyW8lzF3{#@H)0y1zf~;;%IwoadI&;miON{i}{Q#RI=i zp1T7b?|4+X8lQy=vL(C|m98aE@Mt-&MRw+>0nH-ojR}caX=vN39JOE_Iv3W3R;P|X0KmR`2gsHZ4X^!Ph{6Rq_T7n8haFZI~ zQ>eNst9pi?=oq*C>({kf?l0o?MdI0ss3A)q&aVwpw{x8RtUitG*+dfPU$OAra2nV; zhScRVtgomjC)o3n5(m*(juPyIuPdHo9(}PJ8WR8YHfPy38J-D9x-ShfCv-Jd#y&FQ z;$)=#R$vRqWWcvs2p7j>uPP0YKCkYqP3A|bn`jGm#t5i?`aP2J;ZAb4%#V%dH)i=&2HxdQ zv`n>>>=x#4>p_};){@e%Rou)>7X|C0$B38UpJk}R=XE*{eki8Tp75G$eDN@-FM^Wc z!nDd4Z1>tkO%`>R&0HtB3D>8r_+=pOvzh1=H*x+$m|^7>qAx=Xm0JB3OMgi>PGDpH zzKk{ue3|^eL>}iWl4KY-+3c~zVfw%6|369Me`dDS$YZe#q3`1ha-)>zS~-4|%f_xu zgHzAb?lO3uO2@9G@}q>!~^hz zj~hRghTPK$s8lAv{k{3`M97?#e%eKbtC{C6CO}!ohkvi)uKh%M3dTkKsE0N3e$b(@@17zFq?D{Ol9_AYa>`q6q;`Gh|cFrqa51AmssfPzE8O4vimTS4V!BX%0!ZZ#X z&nE=!{w|y+b7kNe5n&}DCS)o$xptd$`1evI19TEX`2g8%YV_hzIGzlQZHCm?Z8tee zkE?Y$<#(|mZSC&PDyXw!dILslaBok9rRL^<4v3{DmrmMM@}qJ$I4_B|Z@NfAyoH7g z2a_MOJv@ZIgf~9?Wo+jCpJ3GZ*;jQXJqN#^&xmr-kcoO!qkoy`ZF*4_@gro#eEd40 z=%}EF@^b}LOwt3AsFMcvGFd|=MyClT<2qjLak4d`eq)fNGrkxnN(J7jC8k8cpTb{xJxB z&N2w)+NI|C+otB>ksNUgMKMpsTu~>8{5i;>`V_mGYEbxRzN*?jagKJ^@_=zs;tGM1Yu!JV2>^zB&H zaJwqxb!0qf45W=t9+%V;ML+A>=pNtSF-$tp7LCx3o>IjHsMo9D`%Ni2Vda&gEHxPV z;C!64yu2r5Q6M?Ne^P`m(s}8NWgh4w-xTS;)j<5osM!EFNrzWH(9zXA27>+ST7mdR z&J-{Ey^(R!i!z{+#0Y06%Y-ISz1mA1qaAI+ej|0E=4ugasyyS=yhXUPRBYlBs#8|= zaiFyZDTblz_PsMf+Bm!MQ9HRa0s}9so#z8A?x~`o(MuO$2>{WCaL1sxvc5c{N@TqN zBf-Nvwu$wOvbQxP*a#vHDV`AhwNtSMXofy4P12tJm|55&vPSy2Uf6o`aYHcu6t%um zfS9cMxqHw@e6UV~{rDa4PcbEZ@hhw9mGq~1#nx`YB8Pep?JE$*C%sIetP^h9 zN~U5;UERys^$4q6#GV>X72{1zkT!<98A9?grOV(AEe-}OeO=ZzdLcD>XF~j41R)F> z=H%@u`VfhF-lS7!7N>l~hnz0)&`PT1x~rV_-OTkUJK#nslcfEll$l5Au|YfeNqb+q zGH&xrUCZA?Y^oBxtdBnU)wIrDLY{24y%;@K4?uEY40Wh{)pN87TC0Ejyw9Ekqi0s6 zWvpT>V|%bazdM;mn$NGHg;Ukzft2P-)EhgnLtQRm83{%7(H2hJw3RH zLiSMrSTYjmwwX10wFMo(d1192;Sxa4+?E$&HH7Fbp?N9E9Ob7>OEiQC)bX`4R@ZOe zSjnzTMqh`(BCr>eCq@e^bXl z4+r1hb@{u2k4vb{wLCwgh(l>rw!=PZk}hPR0>T{@|Yaq?Bq_0?aO;Du7~ zSnBpf@G$YWS%4x^7#Xx>J#x%gyzG9m8&>z|zZ7L2&YxO-`3nd?GCXX3gu32-lUdSF z-XP|v&l-?FG5P8|x1SEs)fB(c!OT%^&j5CSB znY{UW5>f3_HiZb31szL2j|9}$P7QLDlTs##E+4HRpaHY2dR`IOM>g^)*;25!5-$$y#@t3#0a@MYUkDvWD~Z zvxe#-4%xjQD5pUm8D}bV+Wf|4F8P*ZY9IyN_`|l8l-o&NO|1FjuyON-Mal znEh!W;5Nhfzoquv&!2|zpA444(arxS?h_I0wn4_*+92c7sxs8d?J~$PV_9>sk!gq_ zA>&WAaJ(FNTng6A%lW+pD8{!}ErgPBMU_G*{FN=@ud0IYiw*b(;OXQN6M~wG-&GzR ztFFllo#M~dt4x#3RKH@lCe+=Xdofyseoss2_lD>amiNV`UmK$1ZzaZgK`LXp^7;G9 zqm}sg1C77@-;!ojEAR(G)$!-uRi;T6p|1;7_oP8`o&ons4!$oDs;<^fKU5watFC>x zJX%@)k@9Gz`=j3I2jtpXq5stjJp)(fHuQ}xq5rKV^k;zfS?DHgSJyY61)h5(jp1dD zV+uC}5)$Ue&f8qxe$`8F0@`DppHSWxEB}0XZwcWAUpnFln`m;%_+J3d{NfQd5B-0w+5Vdfa8>KS z^@l$q!z9ZZhClehcf&aOn7~z&eHui0^!1%ITvQ|80dSw8E)TzBsNPZU33y+u|LLK6 z*Y;lmuS&mD6}-~_jL`go_sxT&mQWvCUwdX1^$P#2P<dgptgZ^WP%q1C8$L3wmv++g42 zjb192$Kcw%K>KvsO|bn~!7JN;<)!@wjaJ$p0vdm=_MU+E#rWS=fGgXlq2Oh;$I5HF z`ooVG&nfsf+;Cj=)vkx@%(FBK`glD{Gvhbmvo!1(VfZTI-Ei>3zt!4Kf=GL)tS8MfQ--`(x<(6_gQ{#r}uueXH$PoO=TUS7fPX$^jFYw#@<;C;Y^ z;m3%}mRXM45=->WtF-?avx z0XThAym^!;dSC3=z3s}=i{S)Zb@aL&9?K7GOTbm;K33Zcl`HhjP`P8*El>Fi0momm zs}<_*iV$;h6W6b<53V|K{fTSW zD6T}3rqB$wa~=39UB2Y4v-_}~&ck{->h+OEvwu;&bN0dYu8uB0)0EJYAj>iB<(YDF zNoXJV!*B-T;vSq(s#?OCKvuN(tm@E>fTCU0R-bX|+I8zESFJ$W8WLtJj&qQ%X!Zqw zQup7loLqbQ`cqF|uf!&j3EgUfy#!o*kS#z@o^awRt4~V+)k#8znknB79=2e<-g)8h z7@p_Cn^-3%@N&W2o5TrGO2wh5_NNxX1+aCETQprlEh4=tGF?>k1u2F$N( z6)cg=lYK~d^@3iO;8!Pk&{-igH9{$*89Hr!@+|Cdm1xaM(vngs<@=@pi7?e%Ur zi@QOFG^P2?NINv=dFmy2dW`Rp7#YGVz9)vpMkmKchjZT}0mkiU|48HL`p^&(W+Y`C zgjA-G|1vV^!h7(A^5-IEn!khQcL7sCaK$C5!0W=kcGZ9>S{!F6_V`^eZ~Mm1fx=EsMckb!Iy0!QG4>F&{i6F>s8O$>7jZAUe@ zwLEn=+{49-(>0gr>Y37JM%+w;;XI#c4(|4m5t zQ)p(?&J->)I%GI1IN80Ja0_)0uo+9Y3BfpM!Jp$hubJ^9Qx@~{mv)b*+oW(Ap_#H| zIG#F$zofD}b-bSGw||AzFjH-SX8d8V%6qWJFrLDgo>^|#AuWzD?Wh;`43wE)GP78P z!ev&$?s?8=x$n2}Fz%^#1{PydF9z~}Q^sBj;=U+qM>V+1l9{yJ5YLL*oc714D!$R8 zIqao9A`Q_^JJW*PWifs3Mr9Z`pR-)442g3=?Y^cA@7%sHvcK3f;IZEZrtTXTgu+Q(SlZRKvS*=_MY!66qZ< z*HgqaUblx~8fd&%xs#$j3MTkv>&}YyNJ!gF(H;(I(-rN(khYhiJrL4nDB4d!yBa#0 zina-~6|m)2MVlXDZ&$R#K)V~*I~A=fig)4!A)Zy07*a`l|7jqCFDQrYqWmA#H}D{WPTQuW0v#v@S)v6SRj>-oq5_cF^tt zZN8%23K~qTEl{+ZL)sCFwmGDYD%y=9t*L06LfTSAyCI|LeAg-3 z3edV>^G6izc+faVU$1D#hS(bvZD~l`q-f2McB7*4@QN#CZ9$%=a!b~*{1A-oR`Q@W)VebiricTnBqT5jl zt%zUKqM|kJR&;$z5kJYc8HzR(Dmu1&i5^KQx)6%4hM0|oicTu8==xOi-Uvl(-WL`s zs?fZrrAo9RRCH;(70p7cTLDKfuz61pUH4$2q6)6N7OvYl&|?(s1VyvZ7at83oxpzS z?)Uq{M&0jsfS4J8K2}c5bQD{Q;SZ~hMMX}7l{C`Rn$2L>V)$)Fp6?Wjp{PeedjRA9 z&WiR($hVuKJq#M3ou95~4}u0ux9+8A4}iv}8fGZkPeJ=B_+~2FeV|Tpx%{+WMp^}IPUL6 zVFqaq*e#`;U+5zfG&%CXf4M%93ePnVV@F z(}q1h4Q5J9vK9U%`6JT`|B?#Vj( za%Bj|r_)y_nZivy$d|0B64hXQi7IFZBvUW#5IQBpQMZ{X>94#R%x9$D=YKN2E zdrFy0p3InBp>UZCiD=u!e=x|{krA3PtQ{W92+a`8q*1Q+GiAvL%@8tW$;immoZGNW zN6yT*nWbdLj#uT*rc$?qX^unEgiLAOre`1-LdMbz$6pd*#Oc#aX?g!Lu|Uhzeg=|h zWA-PvbZ_{)Xfbt)lTYE^KtgS#ML34j%ddz-np8UoQ$M^(*1o&HWQL1&R6_+BnO4ZJ zshcJxE$E;5Sd(srZY}nQG_{OOTXzf14AL1$rYxDM(UeKsaV>>t!eePdM$54Om8Ve$ z1MICO-c0NYG#+i4s&$z;n*d zneL+{agv+6z302x2=Vqio10-0S4)JL1al%9@l4CrOvBR*SK2W}mBr!+ zNNU!;f29a!9AjZ1(ol5iSO6&zufx(CAKckJ&WDWlA&yj0+Yr$N3&$^9ISdo&nBW6^ zYp!C)r8`vA!Sd|oThAiw8aOp72UaQ?;O}j(y?1_AaYjw0FON!FTPdTy^Ud??8(M}1 z;9UShzXt&?0Pyet+;@L)BY;N);I{jN7Xf%=0Os@!;>MEtrj}6w7~;F1&x=9xXi)~B zjZN3r!9?KkFk@l-tUI1+CdZJ}sx!eLw?S^d_|u8#GcPpzx&=flk7-T?)gCMy62l+V}SCMi>r0 z$!^+`0GLW4{Jf#(JCG4)jDQ)_f`DmaKm2v$tt(HUecT?D%C?m>VWtagvecnVYlr1w zl4e{}2{S`fgN#3RqpN#eINa5}rK5BGObX|~E5~-A5C>;6zuws1)s)FSy*=fOo&h_k zne(~>(O$%ire}#ZfbfUg=D;8iHm&K{(wyZ>eb(=m+2SPNHeY+UhfQ&Q)P!cuA+3F# zH_xGFL@*gZXKHTROKK5YvD2QVX!cz4ddJIkml2F5D}#YvMXmohZBhN$=RPmdpD zdrX-bjkTmCx$pG%s01* zEg#O84fKve51!0RWC^`?h8{V)8g=5}^AwdUHB~l=?XdREuUF5&L~>RXWH^(srIfJh ztGBQh#K$lYs5@~lu$TdcDfIL&&v$ganynadsZz31=Z_P$aBL{fxj*UY5T%Nqzid2$TDs#7>*HHh!@~wgFJ{IIk`?ydFJ#<)HX1Zn3A$GHK7BdLCb`Y~H|z}mI23+7=A`xI#4jga+I1V#jLGCj5xoQ9!JopHo3o<8^MT)<*hjpnzmIa?p2>ow l;yZ+@l=(*_q*EQQx1R0-0o8{4&QfehCj8U$9{>OV|NkBY_ALMa diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 98887deab915dc6f0d8c81d8a23f817cb4950c40..535bd4471fa54e450d013291d6aef93510ab26b6 100644 GIT binary patch delta 2553 zcmVL!Z1siJPCsGIBS9a*Vn z_aE48!){x4tJ%#xKwcE9%U2AveG6U=UIAVSUIktajt3`zn}XMZ6T$oBjp)m4a6b4V z_-F7r@EPzaaDN{71o#;E2>1~A09XRM!v05q-N7EcC&a^R0(C1xG^uvtNw*JQd7u0B!<~g7FL?ZUi<4 zM??R)U`NQG2k{!%-+b_6@FVbi7;gc1AvhWOFM{}D@Dgw=cqupzycOnK4)I?hz6#>2 z!SUb(aDN$$mk9B6h_8qE2Jqh@{~>r20EL4G=TH@FJF2u{hm5{#; z`~dP(ApQ{IRp3Y9$KX2f9{73DeMwWyxm!`^)i|&r|5B&8jKIcV6Yye~zc1Jv@-4uY z;AY_F;1=Mwz%9Y8z;A1Q-*aU0}z5vhbNAMnS7B~Z( z1YQSD1Rn(l!}``jd<{4O91mU%UJ8x{cZB)Jfj@%h;Q{spj{<)J9t|D`{uKOY@Obb9 z@I>%r@D#8&*az$j_5*K(^O^y1e{cXe5PuvDo(T>Chl0bv;o!f3BfwvRXMy?O=v4f7 zSUYx)3H1q4kJNa3h52cM)J~e9(6A6Mzo4+th{l4p>cQb*8uhRM%@nTy^(TJ5L9Fl$ z(FAJvFt%#1ps8wSpQ(OqSf8o-v|gipd|8ksYC_a5UZG*?XAMpP8gGBKYfx}_n19;S zFDO*;Ks?zp`1+qxs{7u@%X)pCHUH+tyURKMNy+;+HXlE`#^QWY@)mg6l-*DCYnID8 zy?Mzm#Da&ma3K z=c!?1%b#(%l>d#!OG7@$OI-Z?_qSbQubhutUI#CY*v;3-$Kxfxl>6a*m2o*w zE_uE(&ex>0ocDVr&sFZj82a<^mFwYFo-gm)Se(zR%;%pi<$3VBGS1ILX+E~{y!d!Z z^ZAwI@$oUPGz&i$$MLw8UPI}xLW)Cr2CtR?srIe zqU{Ogn~x{FPIn%Alk|l>9e>lmUHa?WbC^G1^&{}s8OYiWLmO*)W!E4!vV8Uj*u0|N z5~~kU#CT3NYjaVwWid0pxn6@4aAkYQ{4YLFH#T0z3ywE}<;tHyUhaIsek}EWc6qRw zUh2)agKdIuhNSlx!pnw#J&!!UkE6}rj=Dx3CwHAne!G$XbMke)41Ww#g!-qSYnA(( zw9!Yrvo^yhE2m@+Z#Ot`M5ymO;JKV?)n&n~-dek|hn=|MLLNQUYd`JV%$9tZ+>cbb zr_jt z%|6$h#90qgS*tv0=YLzXiL>c6+J5Bc_0zB1Kd`LlWHI>MMoC_0{gMhTo*4yHTmsv{YzYZKd7X^1krkNm+g8-GM5b^XqA6-+%VnA`>%F{isT+{5n>U zT$9O@!&hi{$0p?3maxqUXaJ>6(F&SmV~3*zCL|m3tQp$FmoZt*Up^BLX2?%&FN#S4R!rl$Xq9q3+)@`F3qhM+S;qM2_F^- z*Vi4VG1g;sePZqG#H1ctdMVjLJLsEo!ENPA`sK}rHIXH$0tx?2+q~c{(cUnc?o$Wq z`q)RkE#^huk%n}t5nResX+-s8U3-d4Z*k(D(^8tFB!4`~b0XJoX3Lb)6Q?+)g6qT&AmCvS{+fvgbZ$!r<28^t+qs z;!GQ|HPx7y^}9hWW^^G##EZt==gYI9hgZoW9E^2w{Fs2^Bu^B?~3TO#inHLtRUUjZ1%>agnh+6BT2Upc+zp7 zwOP~IBm8t>tyjXI4%J4JyxWmvpb7h1Q?)~|mcJWEUhAfNjq2W$>FPq=YgBGzarW}n PH_C5e-u6$k(Fv0QUDPns delta 2473 zcmV;a30C&B7vvU@M}MIx00000007nr00000006530000000000003kQ00000004La z?3fEwl~oqU&y|Ny6irjnoU2lxVW!|nV0<^gHwx)!B{M1s8G`o`50{RW4uT+}BEImQ zsFj6vZ<#{hZJLN=Iketba8w&sv9le*3@oIs2UN z<6JJ5jImatD~-k$=58yj#w?KEQgmz4X-t+_@sm}?HmY%J)wr2z+*~zop&GX|kGl%1 z72RHRN70=`*NRTuM=45-l@<-Y1;7h|6M+{2F9u!$oCLfScp30=;4GzZv)u<=0{k8D z3E*#mj{+9~e}4mf7&ssJAn*a;Y+xg>H|&21@G#&Jz&^mfz@ESzfI9+jg!)bcmjRap zp98)Cd=dBu;Ar3&;6&hszzM($fa8JVfIGo?%ma=GP5_<{oD6IOTnFoa1iTXBR{)1L zxi_I7=&OLIL;U`G(^)2PDR43HN#Nta$AFIj7XlXmAAbVQ1I`7`0X6{-hVvK-JREo= z@F-wEU@zcw;2prRzztBZGw6+=bKrImKM$VwZHS)_dpaC@ld2I#wBzOR6H17Css z4A8#!-{eKJKs}R2h^lH$51-=fv8S-mDPX)aJ z^quhgp`kB1sef$g9k z9dKu02gr8>?giW%_$HipAJAU{?hE`fa6jPwz|O!fzypB)3_K7x4$i|JbPr$&SO@G1 z^M4Hh_JnvZU~k|-klzBhC2%v~=D=NmPr>v18h9^o2Ji;p^}y?Z*8=|wcpB8V2K3dy zDZs0MmjEvYUIhFctUnT-hY#>5U|(QA;Malw0z3|QJn);qZvp!Q{|$H&@MPe(fdhfx z0ZxJQ3I=@|a0qZMoPQ|j(}DHC2H-H@_kV!Hfg^w;fun$D0Mq}pH1wI(S@dxcLE%~- z!<2x?V1r)kWza`Nh6e=eBO_)$NOaP^78PmG4h=QD9T2J=8$4Anj9<86x`C!~(gx@Q zwcbI2!D8B=Kyz5%F+o#>C;|=P+93fEk=nZsuTaC35bbb%SX89eFIXR;dO&`n41cP> zfphtL8QDhjSh#<;QMwZI-&o3jl=Y|xrDU>AMXxj0sMvZllclDv>yGEn{%B0|J;>tz zFducAPrV-L>Uv0jR95zNKU-_w$HQ3Vtf>xa^t>@oz0PehxAIOaR{0x@tR+qI2#wB< z&V<^-Sc+G#N37IA)=G4$k>(>yO@F-~%2n%1oMI_nty4{ER^skwDOSA?Ysjbh)%6go z&zEx5Iz1oterc?Zr#c?QbS7$@=2o8kQLgfi zlBKoP=R@^A==X=}Q|Hs%>Uh#{J*-!LF-t@u1!qMbi z&*}OQabnxz%UxreKZ)7O{~aqU_y3_aOFfjD?jQP8d5kAO$1R@Qod@?A=Y2ZW(-ZiA zKLNUn?p%}q`J$8eO216)gO1DJW#!L`D{Gt%t8X+4cv5W@q#JFANT$uP%ub$U z@BH=aU6n;}*{hB0B%O80{N?wZ^ylA`*jlaJ?r}YMOtyM&{#m}dt$&{BMzycl{hH*M z+sZ^fox8Jch)r@sW^TS_{m<3>lEZAaVB=Z7T<^^63ajNjm!fkXJ#_=c`&7xAbavtT z%A;)WvF^OEdx^<+|3WD}y0$THo&`%jQ^1S69?<;QYASzm^k7zB=_ap1>riW0RwY@4 zb~4d;Rs8F^s=lMSpMT#A{M(#JO}p7Q8)`O(Xx`{B#pF=HbR{m_=oYb2%1fLq$5Re9 zdxv?_>EicIGKe418L`rlr0L8eY%@N3prxe5FAZ>G$X{&tysOU-6WEmw%S*l%5S6C6DbLj)+=b zHqCOJA?>S9Wx9(!c_Pm-4beZZ`+8}qaekeP$KNdrvOlxDDs`UKk-r*UE9bRsn;;$9 zcaFW_yv%6*e4JEUI#c$?=Gp0Hzfx(8)XtR>&(4v3t|P8V6~;tfbz_dH|Lyrwk@YOu zzv4il6f&s4$$x1}f^@<^O7_`Vbkkj|uQ0Zch>@-&&X#@g;`z?Z{l*wlmn6l#dxKxw zIF)s&Z)<9{Ay>K;;BNA9n;@k*o@Y(Ie~(+|_?evEu+@F2pTP%KY1mK)H#VW*c*BR2 zgL4cg=ScI5CbCmAZ}XDsQl8Tygyq=SvD4!|;;ueLJb$~UjGs7d$5PhyVynlGV11%y z@sLhgjptWYXsT_eFx&ENJo?Q%%5f%xbW>Wk#hrIcUClhkc4HqF+cEpmb@IF2E^Dr| zBG8^!EpMaAf7g~>zIL5Ee__i`u65;YhnBPD&DQY_fi{}34>Zimzp=4*O&x1Yw_@|` z8yjubPhwkr#<1pI$9a$Et{MwMQl(`t9^-RN(|_2MsDA7vH!r>^b|iD?BC%7GQu+0o zIi``i8p(grcRZ-)4t}HcTvHR9xl(!WSl02%$9&Jtmb|wAE#{PQj+ZW&#JY~@%E~@? zmZbz`a>ueS<*&Hn_T!9cW9o08S$|+y*?@mom3anTxK!d=Fd?LewQZLMP5A|l)>g|_ n{vB0sqI)jcuDyIE%6Fc=^0Is-Do**Z6sK~dlJhsSAqtZLQP1LT diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 85979ee08b69fe83d9937ec4beb5fa3493b022ec..3d035dbef33ade78eeb3176e82bd4e6256c2c303 100644 GIT binary patch delta 14955 zcmb_@V{j%+ux@M{8{4*R8yj3SCaDmFYgI@>G$qJHl9FeE!V=4VrT6^kv%Ke z>H3}_z`z61cM^KUjQ}$v3`PY1JBz?he~x(Vun8KX42ro?of-pabI;Hh5dF;{#XWFB z^keV#U}Z-u?z&3ypdZ`95)d4_m)F5tNlQn^Mn`w?)EyEMLbQJ)^?K0Q)^{fUlsCskBx1waVSqzukR(IG+ z(1V5EmD{^9U7yWyW1F`4fa=RVE!?c(LU_(*1?sw!BfKRHET|DahhP z-R+$zQ2MFE@^f_>K&>3zu#3RSr*+Odep5s#TLK85 zjl@mj;IzH7W@-3(odkPB5SjO&K$Hd}ZcLg|Zb6^sqOdCJ>3o5)JY?$d2g zXZswa=C)yn$QY=&QwO~eLh#4g*gz+0H&YI!e6>flyMMeFfT-*Dl()0I_84?pP>Sl{ z##fq85>v8wNbgL-KEgkPLve;G z*0r=^nM9kU09*@4FCAky&LAnQAEYu487oaPNKA+V5xR0GG4m#yQ?sE|LDvnUk^@zl@*jka?flOr6k)?aRw|P9(`w3RKFM*7q0iMqRNGoK3QS`_D$yS1<{wmervnD7umAlsA9AGq?yS& zown&Qbd<^FThmtq+YYg8v4kY((>F+}PDOKP&zO>6%shPYw{?XX=aaxUbb%Bd%1yl` z08a}$@N8E#jsfI~b)a<0@(xO(;k;#xh*+Jyx}n zP5ns!P3hiWy;yX?%@wkUk>0B$5ugMD37aR+GwIT$Sg02!D@8pLWU*x1+RR1yEDcKf zpz|>k9$gIr8_)3Wh|>4jP$-Wk8Dy$f+8_?vp1V_&^aLSHf-+Ciw%Gn3ZEg@S_nsb~sOV*DcMc4~=L;`lGS! zbG>XUyPQe~t8Tk-3^f^-irzG>{$n$0yS zs<=SLz^y5|_Wsi*`XM`u?}5&;@>xui;gbH!H1ZD_0qZe!e`&%dNwpKge;OD`6^R>V4zgveLX+-$l%S{__lP(S-b;%9r9X5oF^FAQPZEt$)Eat3V zj93Bs0Yl5H#zR}yZF&HJh{3k$IH`KAr9jLtP-B7q(u|gzFARwxi|Kf1`zpoRv9xD2 zBJEUH(*a$+*hf-yg3P;}f)X_Bk~vf>h3bTref62pUUA8X8p+$euAOl6#0H)zd>623 z*gs?N+Cx76kzA*2w6YGK)hSCi3SrOhvf1>(`JA5?M~an|sU{pSyC1Kj<-j`hq&{c@ zWx>Ga-7JFw=>!~@kZRa#kVMJnu@-zX9gjVE7^cmBE(7=1_`l?WQGkFOE3{|ymYc{c zh}qHc0x7pBKtKO=Q*X}6^R)_w{Jubnm-Uu9ZxRCxCm8f=k~xe7XnIm53?AU#%22@G z-GEkrkJn!F&yGFM6F(So&GEht9rW~yoV}QgLYBa&Wup=(k>j?6*^18-#tdfw`i+YR z791x_R-Mb2{0%FAKMM}`FG$#_g6eCEm5U2>f_T_x0`c<#A3S=wKUm;hXA~O^rP&xc zRB7TQ{<&(_iWGGsSg6NU2@-&0*R3pB>qxWi+44UU|33f`MRHMUjPb?q!@mgQviwJi zHC67JrEl_RE^r)Mo-qqOjtXDUm*w@g%i?(q<8)?Vx!ZqW> zr%KQ-w0IpNTrOzlCNH|v-_Q4ilic433C~uqN=t(DhtgNG#>`!z#()elgEq|U&GA;YugAcyzXB`|J|>TlZ2w9N z7bOHmoFh`QA7i&CuTCdokLnCr$x8%^M3FDk;}&g9=h_(7U>?0(rHjE!p_oiM7{B=Ab_rDolb*^X>#0IN05-&w zN`L!!2tKX)=;GPshNQyF!oE_2i1(+}`e|kWui9hJG zuktDRHBER3XIR0iN5v)AvrO3eHLqzOcs<_vD9ON4JvI7@v~P>)EQaS9w`HMW>U%3- zpJJGTGsM-PVFc@~j)}$KcE|@106yi(dnM$TpZ4ZT4?g5O;M>(89DWYcu;ECB=bEF7 z_TTZx;t07mxzgIms#`#E)=bn~wR&-5)QGFU}3j5ao#EZqe29Bf(8)b7-avf-bB z4{<)$pB>u|^i@bAesH~h_p*xn3<&Q@&k&koeIZ`Y@Qvp7NG$pKVD7t10<`s5rU3mO zUuTbDe4Xb-K)Y`CvvR^mHY{-RujgJ=dT?;bTviX-7gQXSV|Sbnk3+{BcH)dWbtoY@5GK&iHN9?1pnX^p5Z80EJ^z z#rx6m;$t?ciT3KFt@}uC06&b=_~F|~r0%-+pIM;=u7VXn2tJ#WneV#(x;2^#=4xGD z&U#sQNoQ7+<#Ias4FXP-y(?4dMn9eYfeI(|TO5?-efF`$TFa``yfaU;LsV!cLWdlBu(P- zq-*ssayHbxccAD*^8wKLO2+(V+^JrDvvxHuS978nU{W2N+c6$It!~?EZ=eJ9 zA-LltRQXqyzaetbLsG*d$pk5~*sw9}erCqM+8B+kvb3#jt;?DPR&lUD#EU4I<9%-5 z*z`M1C#Cg(^n4VBHR%sSrBdNgc0)yY=Z5^FYnj@H+gG!*-*=rRZIH<@o+DSfe&+pD zZBwt0a(Une;AtYM+vK25Q?O>PHZ1Jq_~^cUz{1|##m&#gjiN!6$>jJuEpB~;Ok(2yEZOPws@jP=9|VpC1pvB zKCZ8tBDHG>Ul4rz3BK8p@D#h+s#-CLXM(xLOE+fGt-?p2u!kBHCamb7Koz@04l9J8!?!I^#YqdT zaY}2^Q74RyoE59vjSqD&u%GnI_*^~(PcKenocGaiVs?>Cm(@mm8e}^g12d}BO-2u&B_vM^z zn_L$ZUrY{GysyiQWq{FON^QLh3d22wPuU4+iX$bq(RIMUH-E%Ey?CA&wW?5UH;7vX z@Z(#)3Ll&~9y}IZw@*IFey)wOo?Nce)E%Dnj)3KXiJY|mto)Ju60OnKbw@D!(^^J` zUYCKH54!D6fW=iNX|K}OE5{G@TEBah<9s1!^yq3W;KFqc8iX4rgK0T|K=Nw9@cLTw z8-*waSC^Mty^B~&Aed$5qQbGaYkH~z;DOhvgH23=hn##eF@gP>x;clML1!Y-H`_ii zp=od>$eEi25yF5z*fU>6BPUjcA&-E|kbERbLN|%I>9mLwbF=$nV%c35x4ovy{P-8T za_>fe0OilCbIeQ&oSbG$mvXrYWoD&*jN{e+%ZSdSq-{~bp1!uLmQ@RfT~hw zw?7r3hZDr_&S3P=!t`huhdil0UdOEbo1bD3Id@YcE1wFD=y|)A##EM-6CZcp8-Bn+ ztFU(ZQ$n8B{SIX3A+F4e(Tf(I#UIXEe=X|9f0_#4dnb2%YR$_0G;PZD zp^|z(oSY|fU398Wy2HX6i#;RZ0YKU=HXOg-y>#LQH4bT~d3*MYVeKrwke{zYGS)NS zX!~r9T0=7T=jSs`0ezrCv(uAN8aC}8GH*>BMHsgIt~x+L(L2YQn1E&?_O3t}O^)0Q zZTGgh))bInCod}I0;=hWG<7yM-Zn1QnyT>}xO3CR3-V7)o4nhhx`_&SfGU(?!clUZ z!g=mN*eaNHj5%YvIO9B8=(`96Edl$xyX_>s4oI!u7W|ujrd>nc)&;$1SYX7$Ah*!! zzD(^VVIOqjq4ZB3L?uV-gJc=WE1Mf6UvrZ&J*@yc4ZK(J*a`MvDRlv0jfpnYAtJL~ z%+y8H2R}MkBfkf3z<3}*5<5CNz(58ftg@%AadCJ$^_USViH$CWPCMV2h0~5dee0^t zxXOr^GXrvpx+tAC$hp-#N}4u1Sa8&X?Zkp$Qae%!9)>?fA4^xjHgDK?cT-Y2aS^0> ztO^gsjkE6un1jgx6@N$KUxf$KKKS+Bp;n_SLeOj?#BO^VsyvtVInh1{!0m*U5nYXp zTN29Oxk9}-@`F8!k`h?*qm{(6AY5lhF))e!!)%2SdIlr50fky@P<~u6?W7W;hSED@ zV}OtQy{d41B9?<}9;9=0sqVBvWd!aR1a!jgAi2m*#iBQ=8y`?asd+<$&3oziD~=qu z-syQ>N~Ysj9Twh{T8_XJ;Ef#CbVyz*`pqB8x=31`2V80GYspY?E!Nd%a9ET{DQJ3d z%=Uy5k30N1Dvs;w{kLjeXP`{eZJ+W}uqWl-rt`I5j9smc*TAx^*?i!UHN9i)Ql8yq zqMw!1VQTydN6ZMPYLn9Po5HvEyu9;V^J(Z_QKylO*8DpL*gZy$} zHX^kd%{?tu;m+Ww{EC5JG~p7CljQ&nZy>kS)$scXss zI|0?bd`~j-ETr_YE6|s)tXcyyfaR}|ql4ob~W{q)^9da^DX(3hex;kpFADiLyw>+6SP`hM4wwEpdIavaRd8Di{4XT%P%iP zverW`nuHIGHirz5W8Hu0XQb>ITDIsk5McZYP1%q;z2koR89X@gxRa>HDIyPwM-l9-7 z)EW-ZwHk<(GL*%6*+}%zfbUtUdXwyhiR=sp@^V%dn5XGFWvd4hC zyw;*+37Tl#pt_qZUc#=IfdFugPPEP}hUsGJiCa}owCO|rV9>jfyk$m{rLYvRA8}e0 zFRBAtoxVQ_x_-a3hHTeea|)eC_umX;no4RP>Sacw13ThbicxH|Wce}BfH{mOcz-`7 zH2pc+5E$E(zca@CXj$ndP`h-H5m*5RP~4SL%7Ny3bf`?0 z(VYIM^S;yI3fAJ#M2Rr*T#1%GjPTY#jt3qlgsgbq^Bvzrux?pvE*=tBn8VJr99aB@Qe3@UzQIf8RGr`T%j<=60SlqA~Nn- zf~!@86`$84UvON&+y-A1lEb_BH>@lC7NkF|4BI>^JWy?38JCr@Gloy4$*1<((>01V z$sY9Qp%b7QwR@W|fRqz{ewla`Q6)P3qVrl@rmIz!G6;{yk0|=fGp}HtN zp5w>g*88e*6-xYc+Fa+!Z^&bR3RICGK1dE#sCO15-Aun=|+zkO&gEAN`%WZERI&_Pae<3tok#uw@)1dgmEBC^Em14DnmkYRZRBk z!3j1OrUC$YPX*{-E7jb~Au&4qBi5L!ZW|m$Wl##jcI}X-b)F^4X(EWoahQi{hw3>M zy)d&@tGaQA_9)p&$r;sUn}cor-kM^TyA2dac^joj>!KbQMh4w>Q0s#MH*XUN4P6%p zYjMH}Xm}U^+g5@sgc%N}v*K&(!6N58M3YT@19UGYc6#KptuoXZFr=Z=nAL@(`IW@E zM+bH0AGVd*K~xeUXp{VE6V!#kHN%-uj$eqN{AV7|81@D8dfg)B^+d4`boS%pY1)cv z-aN{4aVS1nn;W#m(NtvTe&VZ{mdbxr!#SF2odpH}PQMMlN{HsacjsPM(}V@CMjX!~ z{<@*LEa~%%s$*lMWfz8c&oh=_SwKG7tLpWHHT^qHrjTOni$-<=TZIahE_u&RI<1{R zxlH_GXS?C{t4}T@yT^GO-?|UY<59zJl?oK8y>SlEGf9|n+OB90A-{O1xBbNg z@?8lLyqQu6O-syu@!lAk$$|$5uIx|LUX?OtTItwQjc2sh6$jJLaV+$b`2r}}iRqD( zBbzr|E<@5M9ENi!6}6Z!TPGJwiqKkVa@FerzdL#DM1j7cK%4j3r6;r~Z_W07w++#C zVyw-#FU3J6N_>&fa?I$1BI)!JZ~idYepJ|$F99UrWe-*OHvC^iMC9Di>^gYf6MJhI zxsAF&(!skiIr6N6C%3Q;0Iq9FOChCZCOLY1gDh*+@862a=UaQ-&PXo8yLUbg-!Y$n z&>3-Llw=OCu!i`f31+w4X6B&}9(iLgQWPf~hQ-|dOkC!LQJ_E}6=_ZclN05(-NN#E z^cf_#vN549jRsE>20!l?`8u&Xo73e5J%nJQ>BL*I4;t(1`%|bxyYRi$K3l-%;&Z!KVIB0G$wH00s*aL z@ZH8>{!_~vwM`(Ntobwy7I&);%fGbP51}M<;-%ued#=uH8vkqN(M?gzA{+;L%Dsp- zkch;+DV2AbPC3wFzqABH&04?j=fshSH$2Jy?VQDeKS7l4TQ+ZgY&|0a4;lM+2$McxzaIy6f?G-O|+pKp^<1FUf8Hq z?N^&3sU~QT#p6y}8CiZ+7WgAz@1xa_HCgBptpYPgppY-B8^AIGb4s_@ zW}Q|5&_c&~mSBCvM+I9)WH5`#+XLp4VQk_w#5`Ynd8^kzoW&R~>(?$-`1MMDNu;-q z8`(<78Me;=k79R-j^C{g|E%WDtZ2kG6KYZgSqE!Uf}Y#3I07pUOG*u>lS|&kswr(C zP`r?JtlW{JsD87v$c*%lbM)hX=!HMSa>T8L?)pta! z0OQXg+Kclv9nUifIaov-OM(M(vSw=0xO z8WG-U0$Y$c2{a)ulK$;#%;G3wI{TAVE5L4-dI%1G@c2diQWBQB(w{X>%hj~jB0cm! zg^}Nw?)|#VykBfc4n`r7wNoWRO9J7$2>a4~HGzP&lX1GZ96{cOBvM!ODig@{QF@l% zuKt|?O@3qXj&lG*phrBm%)toOc7xFdzkLA9D09}+$RmhvVRrGuKZ|1Hs@UyJhy-g| z%7d^~<)P-v>TOUM1z!O|0A`970~@o7$wK@#P7$51v1gXmEtVR(nDrYRQkT8!L=373 z+Pg3HbJ*)xI%Fqw|L??S|L<1QQ2k@Jg2w3}YmaJ~FCgL{%RGQ@Ljk3WS zb>kz?H_VZ-3Nn`r_fA9pKD8x)5#7u?g~xL6$yT=3k}ciJ!M8e*-hyks)kX9hC;bnU zwr^y4mN${m9a?wpUexx-FPlS6fp!Kuq5hZoYn#Lz50C?BWMex{_k=7R|6-wYm+H@2 zr8?o~1>d5XpwYGqejBs=EEJFa`1O7pnEB>)m!fx?R(}=t*GYbvKSNA_nFM2diJ#Xv z!W99<`NT=KfcEz#Un2{ffeQ2u)p+qCUF$#6$~}~CujXL5&%tbs&%tY5n-ftro7vZy z3+V+HSpCr{fGAoebr0Fzk#Em6D4zM6^(sc!o1g4mQuIC1xlbhGTlWSV__qSXgf0v@ zYbSO=4R?*O#3l^&AGv?mIkV1$x%u!c4>08gYS9DD#+ZtOTMG#7@u= z`JtXrwd~gT(Ru1`?+y42rRBAy*AEBo+;$-llC?F0V0I3*2+=dTZ6n;Uv#8b!? zGIGPeA<3RhYhM3+D&8EvipQ;f7Jst4WvK1RqSC>>$^yOx3RIc+%2g^2OC7f&DX*BQ zm3gc9u$1{*itnr#w0xG7HNaS`eq~$vaE+I28jL*+ZS1(k3F&oPZf+|q)<5GHBuiX1 z@^y1Ww!8|^SR0J zy0PJR8RxbV5=7SdCd$$SjJc^`y6TRx^V@Ev^~Zj=Eo#TpW)ib{H#|UO%&)9Mj)cdmb;CmcEAGEXzJKo7&KKa2tDSzPIg% zYkQe5sa60&L7zJXZ#MI_Ufzav?J$vwN<$XxU)5#+=L_`xnjh~a_lh_5%PS#=QNJQ5 zHjlBsgw{74RE{`KR$Fr4vzUJICOk0wuKKncNZR~T04IjOd%<6`X!|YcH`FlDUHt#SsK3hE0|J)W|m9pE^ zW9+7hCKdq~VM4_?L>Z@4mQ=M?_p}JM-V1&e3ZDT|ML)vXT5Q4u;*q8)w0ndxZ$qfK zLz9w}kpu=Vnb7~eMj6TELpz}9M=972WKMx-5{BsO6vN@EPMW8}03hC8J4R6lb=_gl1`^_h=Ass1Us?G z2Vg{Ov;Vw~H7>$!1W>Y%i4VZwMeJr$PGz%1H<_%6LrN%zr&e>ei$g$OW|m9>|C4S( zj#s^ihoW)<`DAdchpS+H%t?(QF8?oJOq0g>_mx_PM6-MrQpICvw2geVYtwE@xv%MyW9adQL6gy-WF^-t3asb@~1 zRB?(~f&w-N?i8fqVR|&kj=0@CMS4=^SzKcT8T|3_u^Una_d~9H(J2bND_*a}LcA{m z3*3pEHH-}P{tze|D*Ig?Nn|3WJfg~T$%h*l&cDWsyMGPW{xs}gW#);ecUe?))@O)N zKL@lxV`m@UOJV)}0q`_aI$lqrG3VRb(XPrW=poc3w?)Ke4miX^^b6c$r-nU;VXz3o zq9`S`3|4Kaxl?g|o{d&U!&+hPAA8OAOo!@jPwR}FZO!acT;%-mcS1olAvu_Z{`3fa z-1-(5RR%{h&_Gbu9`@j0ryy_SfpTyU>CNevn33mrR3d5|l?+>l zmb8(XVFFU;q9ocWxi~NX`eu!`geZmk^);w`USj+dAD^Zu^CeQ6QeF~@Oy9x_9?Wig z+`b)`@Bn;HGrMKLoy9&MhQ%?y1LcWamxOlGP(^Yuip-c(2pKFsbrPNDtd76e72s5* zr9b{K%^t;4)k;2?7j@sXTulEkKZOkiFXoRj^?q$p-a5enxR*p-f{4>9n918Ldkkc5 zd>ACi5wmR}l)Tpvp>{1TVa>R=P#n=ykuP&8EmjR5f8bT)=bSdqi->JU%()&?Q<&PT zk7RKjrYUzoQmH)WC>Sin*7X|~Aa+2KGtTAvq{;xU3GSOM0=UA;m=CfAF~U{TN2&aJ zc*sMF4RNkT){e)=EB>|J%q9n;fst9}Mkg~{UZDD?RRa5qO>mn8zbREW4R{rld< zBV(mrIoG-n!b_RBxIXXj>U%COS&XLn3-eY!8md$7(&epl?HtUa z9p+LrV^e4GpBCi(?hrPyiHe7!cTCqvz%=N5TDptg&?o1j?uDiol{Puaui|$O=&gK$ z4rB2bN_-@)AI({ot`=6X*m5I3!D4mU zq$U$3)NI;PS2^A9Y+9?VEr!sL{xt6~&NSzDBD(!+$hNr-BFd+2(r#|eHYmHcKEsJK zavs{$5d-KWpqAZse(q;BvDfzkC^!S*Sn`inrK0yD4Le!3=uy!#w$l*?FpoQQMPqdj;zeOnGZ33hZBB2o5pC9WZ==Y5%pW-Hv&KwF@r3 zY7nAZvjWM-;gF=Q)y~zc%Kb`6F} z-EFEqK(+adQxB$``wm5(1Z!Hgb2Mdbfo)S^Ro&3ZHtxXr0a zT^9CNbdL@FNQS>yAFdZM%IY}Y6zQJqE}syKQylDYcLCX0 zhD_LGy2Kk8W3Ug6yptID4dSp>kaI~}3gKeo(_1MEq*iW0q7R`f=_=lMH+f-f&fW|c zMp*E180iO;ybMMME=w1^P)0*4*7^{^XBqSgVR3gO8)GnMwjS3O5JFZ|axpMSA3Ty; z8Zi;qOQWpoMt&4E~j0f>?LD?6VCK__8~R)rBGQ>V&K+5ey5qAJAN>Ub)ozRrxZ zWm7#Sfq7GX*Ng~YI68LD!(Q?W8Jb@shLb(ojM~5D21$BcGoez?5B@gfUvj&f!Wli`1b_};D9J7xV&6ucxEx~%{W#H* z8#Tm?%{_^Gp@$*a%JDcZXHyfQx>H9L&6(K?ZHo5Tt7U`NU4)DnXTIR>_Tu@@i4A&1 z#iadl6G7w67cdBLj_j^fw9)y`e$NV9T0YBG8zas`oOLU{>3mAee7xHX`?6{v5{s*8 zH&Rt?SBk+TuNLubb#C+Rk*qD?pA!`^Y`gWGu7r|O{oP(cRpi2SWxvS!%8v687z&u(hAn$8X%6Q-1WO z@dlaE%05(H!KaLrtY-0pfsfuDRPG+d=Lw%R_uK%KMoo;o6PzbP$Mmk=AL^}<3-YkF z!R0Yt3DGooYXi%eHn5yLtAHgYEk=BcPfyV7F=-Ac`g8p&e^?Cn_VFGt?W^Yv&nEER zpUzHeU3H3kbQ90;_WsN*Y3Y%1hVE_5?CwJ}nxX{F&C?ps8q!w2QM-2rxeImKLhrPV zvrhs}=_8C>p5k}Ri2Yy>K)QdXIAJEes(1Knk&wN z!%y&TcvbHZEgf(`2J0jhAE^~rQ~j;K?fM3EWOR8K`w`0)=5oJi6&SBO9BP^6Ulb^7 zdWkJ1+<2)V?W_)UYF)p-p8kq(ULD1zyQqjlO+NBbT+-}S(y7wY+dpYOv8ZThcP-z~ zCMQ}h;J4mbeoEJtlDt3WB=@3#t|zQ4Af$=iKM54>E3BcfZ|NprOJHZyI%_Br}Tst*PR5bcT5R&Jyg~7iz1sfe)RB;thv5D5_}e(?%dS8 zG{Jgu7vnx}Sxed7-r##QoWYi)|Ckb>q;06MTh4Kb(?x*=7LWQEaQ@o>{h!0$M{hnQL#D&TDUL^Dv1aa0hXl)@lU2}cXeEC2_&ywubBs5(4du@|Jzbz8 z^b<<5fka{(626S6YU!~G)$HOSmnOTLIBYM7k;!vf2-=amBdY70KrtcKJkJ4O$vaI? zZxY}B{A>1ueRwpO-EWym!+&`p`?E{q3}!tnVa32%<=A^r^!}G0-PeWegV z!k7ln58K@6aRr(0hkrq993*%EQJ%G|`oVCSDN{83PWdXd=B3F%(bC}m*MYWImGtFP}T5bzKJm+sJ#<+wVh(+bO=|Y|Hl{3H)=5oDQ zqOdplLK-EPJZ0SB#pH#3^;EMAk~n=3F#J$_hhN_Q=<(ajOP9x7%9;=$s>|~v*Xn#O zrOV?M5tCBqoFV>;RhLoLO%NZBjT$NyjL15!WmKl68VcowgoB8tSW?-c(euVuxJ+BNrf zJ)=P|m|ex6z*79y`m`d#Zc8`i;oj7UyD`lx0{da66#OM(F(6a2m3g2 Tv^eh<6Cbqx5%>=M-!lFWYAa%D delta 14962 zcmb`u1CJ(56D~Zq?b)$y?%1|%++*Y3v2E_ywv8Rzwr!v1Jtz5o!PiMw)s;@F(w*w0 zQYdh*c8`|?5;%c^{BK$F{9guw3;zH3|0o0B|C2pIFa2~~$i`BLtYx1o7&Z!V^_M#h z_?p=Yt*b-rz;@jRbl?#Kx8gnVK`wJsO@g5MNju$z{?LMKiH3;m!Hix<{Y-xU>V3to zBKzEj_8HwtORGpy4}o_$Jp*FV28wAck4+{~&G2~LA71Ig!^7_k@A$kPG`6+f3i{J_ zM@`f%;01TrR6wV7Tir4Y`5Sx{$mBgXw!PM(K9#Y0z z8UnvKB0pPUI0vKZd}_wGG)~Svgo`F@*(Y8Tz2d=Udi!>g-YvSjUVt;G=g2xg2)|#4 z&M%=(s$JxNv+cF2VL1rMGP|mT zuD&imM>K#+IDeUjss-vQ=i!+5w57up5BPikuA{qp+p0l3kNLItkzY@*DFom|vxmo;{ANQO+ zfAP7h^WfU&*KaqI~qXGi)o1oA8^GfS+A?Cyl z$+oZE6h%d0qRR8%^M$3az_KJr-j&sqy_RUS;<_~F1(zsjel$=`fo&=5b)ncJfFz^>{RiAhXx*)DV-B9sN zP%4*&^m%$<=72=qQfWIkB)tP7VAYB>L^zXUNdJOZ zS{TVcL52vxqNqO!@hLBn3vSK0PsP8?i;?(*;r~hYLNg3{P-*2?dtBe?M&Z6pu(NH(H$iTnr@zb05zwR;t!^80ddg;@^{u$U!QvdL`qNHY(x0xDeL< zioVo#K7b;+8vV!Ml22xQXIOk)pTM5wskHp}$lXj#i=A+CLXIN{zMf^bsx2w$13hDd zc1H6=>ROwLiuTCXCeh{BGWWqLD(l?m^)F0GxUFp=V{(wBMtE4{&Wd8e9b5i6(+u;5 zZgF6asq8`y64TQv*eoNN@3lI~*nH@NGo! zr0rIO$jrTi3HBzhd`GN{Dz|*X+lLy)D{S5`&}14*uhxLmh7csmHlB zy~(0|s|MEtLJ8%5I3!qG0PSFXo3S3iWR;g=c-tUO z!XN4CQr>@&dyd4U5Lku`)FcK79R#>Iop$V%iJ_%4N}&(5uY@)#`J5pjp0Wuf%<9z&wfOXvl!EPGh-i;~&x5L00fgG$ zd0c(FFC*p)$nZBz%q5+OL{k(on9@Ny=v!&VD9r`W6P4y`BANBrYd$sGw>KIQ6toDs zZ&ZSao^;^ND>l8sYfGgsUG*MP>A=UvGJE{|L?B$aUW_})&t-@yOBtFcAtg@TP#7oL z4?O_LLhE69E}aTl^+N9~W4{ z79by<;97vEMGI{8CtNtU1k z7ke(V1zBA-MkK9%(;J3v0bxCL5z^3xOw*t!vsl^%Ys$p;hwn?i(4oeW<0qf5H!PrX z+>t3CMlq%I{0Jo`b3!|xfY^se0;%*OPu|N5WmqW7=)M)!7iTj{Vs^`B{Ent>-U=g4 zX|H`9Mfm8;>rO@38Kt=DJdS1wohVfveE)#xWBr)jL9bra3379`349l@ zDcIzH@LEGY{^4AwY_u{Cp4F*~H}YZ6A38zw!TFqD7E6H6(liqen7z+ex$^Eh{G>i; zLM70^r`>FW0;vQXn2;;jYmh{V=YK8uWZEygaxhGM{h9{uukjz`f>A$zZmiIr(OYgJ zuOMbd#|k9fqJYEv*G;{-C(qZ)7;^gpsb1EbiycV}Fq~j7cS+_j;-KwGl`wd~=Tjj8 zfLpJcx4Y|R6?N~H^SKuUk>1pBqXv@h6;nGR1&7l!*xxBZNZ&p$>;&zbB~9)P2!$@i zEp6)6A;*E~w^Hx4J=oa(K4m&7BP{zT#(D$8zo&oX>TZ6iV0SMVX%{r%?8-CEr3zdX z-SYR7!`*#k%ec@6Al`>FTgLDMD~b+|)nru3Z~PRB`Tzd_-_+&g-F67N(Bw0gQX2a- z9?nOATDOWBa+Xr1!I+gQ0+^LUpeXAB3V#7Haw!nwV91;ZWzt2~(`K~tSEQ#9%=B4b ze`o2jkX&}`M59>K#Y`?oV76g1uPDKJzsuO=8R@fc90Ze#yA$h;WvtBEM~x`J;j_e! z7@T(7wET;Ol+DNF9w`E~DEBWa+q-Y3$3-*_V0Rsfx0+7fDCC^^HO?!2MmU>hdXzQc?R@X#C83$9uE!md-t#Sg{ z<^&t$lzFf0=HnGv^OYdYJ;unP0&)go05UkmME)4}-7CLrXc#AR_rEQrW^~tUw)p^|5}Ol>U|)%KPrPM5DoXz$h?Rz z6$BjN{}Q_V^q7cCVWF!a^xIn13i5tT@KuzCqdIN$6>i@a)m{kCGq(CE43w=s!^$z~ zVt)VZ;44oEqng7gn@1gPsne2*t@?MuEUio!t7 zJaU`(Vvm`q^#lyvp3CYH_86(IJa6y|z)oK=kB~*j8Cofmi2u(w%>?Z zGmfwzNCF&xc|sZO7_d_{fr|&m;U6?BTpEkx%Y`O)2|aSncd~jd5evta$1RFU;W8bu z&99RZSFM}f2A9^8+Fnr6;#@vyvN^k$0Fd&`P7sM8wl{?f(#zE>s<$4^Z;>S%O1_>f zQ{5>(CZ-CaYfMee%#f6n@yrMcz%%2zz$M(NR>d6c7VMrbn^F@1DB;|`tUtUT>0K1x z?p@Xrd2VWcrK|%_GrjWJBJKF;Q}#y=>{}Qi7Zpmtt;6KjxQ51Swvfg`M<|z6GO?dP z*EaDR*8ei0oHB4T&h(=ofcHoM^tUqi`ri$bTcsuq4Ps1|TFlw){@o;cng>&+m z=H{A+|2KfY`ieba1sy}Bn)pWjLeb_!F?J&5UAWNcvq_^Gn0A5r$u37}SK@r)b}`Pn z+xiw;sXwK;pP)(5*>`_!pfYLPeou#`y0ykr#wBlJ@r2<)l*PqB*>BC=#e7rPa6FfK zRCwIa(pUu;6=#nnF{7l>)gU&DxUf>kUFpg=JyR-ey7;_U8tGT=R)HKIciRQ9wsRh3 z7#;4Wn?Yr z2O&mDQVt2$k{6BRm#(ep26!VJjmG!6bG@ioSm|(q7Vqo*2lwB#v47QRo57R5(Ld=K z%EPp@1K<@Iy?(g5V7n-BZ1(tPnRMoKawuJrjo0@ela9Wyhn%R0TA*+mGzx!>+B!0H$%C9qWC=<%h||r{XeHDt{$)!t$Ucc^K<=^yk`n)u1)%I5r2z3td z=U->wLbd}PYHrf=9-)`Cq?PWY2^f6uXR5>mO6@5>+?IKD=~o_H<$a4-HVA19$$>t@ zXSy88S52C#rFVr)@9t`2dIE^A9MVY6JE0YAQVwL?JXUM~(zf$vCd9(Y7kwP5SA2PF zSu@AD9y}Y8RvnSE(nRhH^^o~^OXr;ax6DB%WA~l$&&m*H^7vEEjgf-jep~)eIP&Vi za!$PZOv?ka8IfEhE`{Lp+|Knfj#xi>`03mnFj*o3J9-dW6xZC1r}f73o_e@+uAYN- zItH2u@k&UG6O^=Js!&Gj#BRtm$-T6_)1?iwLZU0L&5zirPKB^JEj|Pt!wO4xoMvdn zj?UCCQD1fotD{?~JAnQZ$_TeZ$G{Tsk&~@*+xqf&D<{~BUoDq_tIAiSE{C$6R0HBu zkGi@KV%sPBS1!4*^~6w}1N+3>qz_oV3M&hbHX!-FK~I|lmb)ve_Ga?XhOanVPjlJX zr5U!&@M>2G{m?@=d2HiZwA~BaIlRu~p+vh11M089uK4=nTn}YZJQ37Pbssji&SY@&LvhZGlK-1i^=&4_L;SG9?3LcrK zXPSNIZ`?v}1IT$)G_OOc+HUT~$qS#GSCD#GSq`f!`&wubeMu$F@dn5$asf9cT2P0G z%=R&xS#h8I=wOZf9&x~cu|UEw$|kRFsDlL@s$rJpptNI%YldwLh_K3@hsKS;;*4WF z=p;5e4M&kd6T5ZK%=Q(xL9P3d4(VZu#y>nEO)BO}*Ddt2R4ED~fA>2xG8<#ftM*GF zA&6wJakm6)3Wkk$HzcGI7eJc-RpFtyaSmOAF*yxT@s}i~-a!IAX^695Q|;22@}dg! zR~YmmTuF#^VA4Sha1rh56)LiV|Ai54y_4h42pAVnEk?mA0~jJY(xsre$dxj=bz3?n z=fPS?_0W7!`;tiha9GPws^Sr2J-1p?8NyL(%^kpQnNA4 zBk>aY1=w|BifdL(g*xl?BH2!rbWIzIG{M!*3=U1-=g{-E_3J}qt~Rz90z ztRdsnRK*i{4i0Wxv=(G*)Yo6Kp6&T(Prh1Zp+bX3xB|)!Mn#C}35B@Iw<1IQh}+x2 zRQ~>}koq%mdWp&DM5@tMkTr1`75@A5nt%!`zcAvU2YEM#BviFvyMB1e+cJBhW4pu_ zwS}9Hz$XZZBI3E~*6*r}e0NjIJ90`tYAqu8PdZjpjNRoAktXup%yFNfnZAHtYc0L2 zt-8Rb4kl_b;!|A{37S!ZiMa1eo!MW86X$;-wLWuculWJs!GF)8B3g-zYNf4M z&%uv6x0k1+V?v#3eAO)mDn%8Rj%CQn`Ug-)WeEfaD#eI>nCi@wwK=H*ko@!&{5W|v ztAr6_0V8R9#WbpFX+@EedyB@;1+wlUfP#MV0;Hf8L+=vzzZ_Yel&t0Sh`IvC6-WoP zjrLnWhCL0`#^!hWK5AO(VAN&cK0Iw~}8b+#e! z6{>izB`374+vgb7{h7F8DI28syj+;4+g9xXQoGq`NLq~l`px^&i;zTS4=cAYz>4pJ zUb?m#S?kH4-IYdsPE@eUnL1 zCiV#P&CTKzmd}x$kO%)F3Uy)hnOR2v0;TP2pd(Oen-EKd&8N!y5oi#5&ODqa7#Fwy z@V?~1^UI5J>)WY#VLliLLV_gaX-}M-pl*JWtOlE`@WS%#?T*{p>kgyZxQem+&{kz3 zG1U*AL|UC+Gbql5|_zqH0|*X^~4C;h>}1{$=MPP9w- z15?rr2^oXnYfgG8o&SbUjypp*Xut(UrwK!IRq(o<%+2^HPtwSW*&68=LLUfG5W zQC6MhnT0yi>_#zI(h??*6x;KnBTb+>R~Ha+Pm;vaxfpXu@6Bo+744jTlL@U?%+|Qa znK^`h_5J+~aPN4GqKLgCHMxxsmcdMovh(2=>j%HAoKG^uB>?82Fvbe5KrtdR?OB4W zR)nQ&84_}wFQe|lu1HE_9iN&vo`LvjF)JarR?fuIbv^+MWNhGd+vH%y$2!-+OffD9 zkSe^+cz6H{E6=uCV9s@h&0(Sk@I=UpnQ`%njkmu4g7Qh4zMf^H=h+VfbKeffSWn&Y zQNUWElFjpGV1cAVF5AAV6tys#g!Wm^J2}#RcptC9PN!^ za?3MN7GHL(R}%xpQIuX(PM7D#-IKC!5r+jj?}@aUyAU{>Gp-Gg0tzu6wvd3}iI1%6 zQ`zRD3xSg1aD-(7i)h2pe~YYsRpZTe7K{_mF8O2TEkMKpYHrA8V{2Uo`p;-I_&CDT z)l>_lPw=a>t=m?>a5?fBZ$k5c)Z|g3^dS7f^Pq?ItoD^&Jj8O5h3}mXdcHO=@XCo zLqw|0<^hd5uXfEmYa(Qs+zjz4n?>zWHjeKz|M}z_dr2Kh#5iI({*rL$O3lq~NewOm z7KZK_NnQ~M($FPq9XY8<;9d=XJ9Abk+rsQh_coR~qQ`8XBg({lIqK;-$tsKtIcLsK z1O$E~)Q^T#SECJhIu{5ExfZ%XiPMB=iGI+okO2vxPnT+;P`{9o{Fs7Z7Z(5sX}Rf! zR4kHH%`S3o!U1bMI%U{bCN`!TN)EiuM?O+MOa$D^%wlYa%X5PQ>rK4SHpFRer7N9H z?aH((8rd7$z=K&%rd~j8Cp)7esk&a_e&0biznIuta?-)qw+gzdxoEUNv^gAl?Q%#~ z2+-x^>3$o+Bx!=9EmYkSbsDy;)gY@&tEzWh&G`-b)%}!T`z~CZ>Q1AqWZ{ySeFn||}!?RUUW(UET_s|)V2Z^{*Wc6qFEi+Ve{l?eF2y;8AW zZEv4JdikfurS-mRjEq+&ue}VdQ`Y?$BM{5+Mj=ay>#y<4d%ufY_fSD@r`{c}eZCz^ zl>LEG=^0g0%&);kxDh9n5;;|Y+`-`8a0uBSqA-B_4!YP)$Y*G1nBt(qD&&?+D|;}7 zy_Rsop64M2n!?B8qcVQkBN;TuYyTY4^-A?}@w3p2?^LRKd!q3SKle3>c@Cw1JPj z=)_H$c5s!kX`kd%bZ^iSU#ve44eA7)+18~Uxn(jqh_$ubH$qB7w8MM5BlDVjxa~=( zhm_1>;E;A>Y0#4)DqbR-rPeoq`Z;>geM-{$Ol;H(2koV|hr>rkzA?f4f!YlM;m%te zdO>c2xoa!&6BEUYHa^ZH1SFmYe(E$2L)s^B>rb;59e~nx0Btq`F1}x%D_~AW`Qtj=ojw?`Z z*L;l)>0{5yT8>nd1&^$f$8SvU-Cx-MaRNJ4 z6gxF9Zl@D0C%Ge^fKEiw-iTH`rW5Q=sR)civ!Nh~W#I4F@*O^*w5+;gmYo1?)w>%L zghwWH;~f0=)O5wC54^L+y(lcoOI=(hON-pL8m6K^&ZOFY>CNoCA%<>$1Pwp&c^%~R zCPMF11zS$C|M9*oMCNoou{2s@!WxX#rK{-XIYVbVBmrHd`!-R@lr}{os*^Vz!O{z? z0Es&h_^bxAH<@}L3B!-4!2G@q|78g*;m;N-LKB|zws1RF0KQ^Q)(#R$K-qLUoif0g z^H$ai3ePV0mkbsd`H3A46&1Lmnhjq);!PoEH&vhng)z4%V%W?Fo_nEf9A4r^rMlV% zIxpV#4pC~sOY{P#*AT0e-cr^c!aAT)eT4~NR>g0Tq4_}olW`y!pwO^-8)}vsA+GXp#FMXa0bskz&R7k0I(N5X{q&vka=zQv!2P@9mPh zbq;=!_zK5s?J@>}8KYu=pgX>-o^d8q-G?dK7PP4cguv8foEO6|fdo^BZ{Dx!Imd_b zHB%#tE5$s^%F!aJvBAyk;e|wDG#)?&+@UEk0e>ux91woFJWI$1&XQ7}QUZNV^ibDh zN0Y$h#&zd)|H)x_rik$CfkI;-Rl>TwKdv)OS%qg{Vjdqg014lXw(g~@pSf{=sK=gS zmhic>W>Y$WIc$Zv4`dQk`WGT(K%Rwq#&EA<(F(Bx6CE-Mclcmx8P$cZZ6nlA9EdKa z1QI?Tfj5QaK~+a{#h`>P98jE>R6~jnw4y=55)SAL_cL|fL1{aAQ38`yZg&9N;)1*u znSluwSK4JX*}>Urg}4?`g*aLQx z-QJDMg#`VnpZ)PKbG75}#YTkX@FAnDwQLXJEjnh?&tM6YrJ58%-zd!x9h5H6p@h;d zS^GVEN7PGeVNd{Vy49|X8#taZ0nwgoKE3A1lQloFCP%rBZ&*uVyC(m5l|8#7N|{2k zoNGc`%F?IUC2}MATJX}dj@K@(2pAnlzV&PR$SgX!>VNGQ)yj&|C$rQrJd1nRvi-S0 zuZrttCoX^5Wx3{=$y_!lFhxbkAnt;?(ATw$e*BHO%rp)K%%F-$1ilXQu+vwSO%Q@h zrL-i&vW`8U`26>yELtchdGi?|rNyc+g(Dz_eG1|XDdUZ6!T(hYkZ|6#+!s8!hkYb! z6=W6!q4Z~`0;6aZ)jVi>2Y~!`;A@sAjU7sDT=t%ELK$gy3xW`FS=+Kc;3K7*rVYbM z9|iWxE&hU#VpZnIz_IRD*hayF${CsMj7|Jr)a4;kRsN2zkWRxz7dxfpRa?|I2P}AKroX4eiR+ z(}JOv?q?JIJ<&>A*$b7fX<&otF~$rKUtLG?&NlZikOZO+xUsdTd{Fqjy}2K1Wufdx z^0KC!YPPL=*0na?UD@N>)ker#xRhJggV=Mll*UGJjTb++gneo3HmIxPM=QwM>lV;} zl_jzPyNx|~?IH1Ke`UVm5J?+l(6KU@odnpFYHT1}TbU7DHS;vQGXS+$wc-RJ>zqLjVG@(9WBm7Liw#t27 z{QY>s_6C}KxjcTmz3C6kq`$a*y}a1?e}x$TuK(uwWV&$Kx{bc5HSfKq@$Ww-rS=v; z&02T`njWp=N)(o{wm%2A(e?I}cd7agS?f#nl$Dfq*#q`|65YI_Xlr?Td%gxve3cg> zvK>Ipz8uhVH=jM$FEZXW>(7nQD}&R&r4IR492Jz!C0 zb_AY6gRbRp>&0M;VSND_xW1UykY1_ zX7QpOl>3IF1fxQY@JZwFR3`0GVE~bDuTOD!u#ali(p z%6aj=p8o*lWae%_gexk@&aaNmfc;|lSgHjI=$knnvgwYal0nN9xa%9=y;y2j5@JPCw;>3<3&fIYrvRtcNo-uxVKMTxrCvw&@GSqK_ z!^BWKEq9J3+rzOmAI>v2-#h3@_OCGW#L#;TDmbe#03+1S+(NOp4)-Oo z-oSvKW?aX(Nx}6;Z0T2a^co8ChRAeiEwK}6Le9ara#4wSu_zk&P1HPyJY>oDZZUC* zZ2lI(iOjVP5M&1)2jY0HM<)BUf|~#^KKTvDLpm<-;TFu)y1X<+^3r}wF>+&dSnoIL zcF1Tp5osLuKqEmJAV7UDTB!kPR4l)agF)hua0>{7z~m*(x_?J*E%5>e8+}PPZ|p(j z3zics6-M>3!BHtyHZ;9O_y<-EEz#>_R0bw=3lsy^6^#^D>)jt6l>bG!OKC9Bl3_~Q zs4M9eDJ0`*g! zQA96lTSV^_97uxqWY&2}*uVv!EE}$8Sd3clTQn&03ZXbAN_P!gSv9GxFmGkv=_X(4 zJSq7Sj0v9xY;x{==1>vAfUG{0`0*K_6{TxE)+nS1o+~KUyzGkLq}Oqu_cZVHgxd?7 z>W1>aEed9y3zH6iUWPh+QEiOm1l?2PO)cpv^3pBMx>Xt zLb!i=2%ECERVvcvBymAAkyx>V%8$uGfE_NyRb!+C%A2y}XSJP`#bG+xrn+%gCUMws z)K7b*mcdS4iqXh(w4*rvCtqEf(Z}e>SH0JBxG%ZQN+Q~O6zFJX8r@!gVR z4Vt9Vfo5W+l!Z}=>f^9j(&6tX{*u_&FbG?`Yc(<+7i0OjFDslGf{TQ?HK-HM>O;XL z%~?PgIqP#DH6@Dto}31mJ493$HYYYQIocv9Z}`?hI}IC&Ruivm+XP$}Q78af-F21{rSH~G^PiH&-< z1UsL1{!*GA*nhzu#&i1uuwX6bifo$0&jlC`$F_YY8bF=m#`fHu@n!>0@sPz z24qh^)aU%x^M++Ji5Curr}x)gvdQChm+~VhI%J4xcqVw@i-H*qF@vQ310h`SMMF|X z>d`sAMMd2L{{iYgYqw`=K^13L*8dPpAB|4{M(6$^xrw{?3o}(Vu5pK7VCGzidu#Ii zvv60vqlJ{VTdr$wph7**FCZS92Oz3#$3n+;i}nU0id?MPwfFcBUxO%D^y#+Q;E<*7 zWQFmv{h5wWpj zt*=y1axJH~`Uf(}Q;|f{X$r@rhu98q@+0&W|B6)TdQibmBG4FHo&f1{$?^F6=G}DI zL}k<0cx*>FboPtNweyb@0873UBh9J91kKr&t`=6X*s{~&V6i%Ek`syIs&pF@w)mZ& z_^nme7DH%A$<21yC+o9YA-rr&!5LR}Ao+y!^_vY%8O9Wsc9!^N^&KSDfCFO3u!zLg zY`=K%$r!p?=^9-UN?_CHdpL{U8y5h9OZGpH^q>??H31O(C$qYHn9yzZ4tHkMw?ZmQ zL0&;LWk#QFMlIbage+h3oA764({m4wI6-sT4mAkms>^b*BLm1R0qtE9a@>sKvi*)u zNZHyda6mf`7q6e3*aJ?QBC z$CDx2q?B?m*}B5?!`XOQ6ea_n9wQp5quGRPvO^=>2H_)Ly#HG0AIK>qf5D|3%T0zb zaIgx(S_x;A6lb*>w7j04N?Md~1TU4j~rNwhf_97-pIN*f=)>R5zN9F;IqX(>XTuwR zhrIDx9ph5B`Fd$>{$ujg-103;Ogo`|y437EG}u+sl<{wvjz2J z9w*gAn&$=^vz=Qqai`Cs9`C7p%_3lU zpeHW*8gFB<^rrr`@R;m{NBWVdM@>iBwV0MkcU6V(L}K%QZG1rs6`HS`7 zdJ&_nj+Km%UM*c_tP?gt}kY({n`zyTLXnTCL=3(Ns8p{n{3YhMK z!W^WBdxN+D%8KqOs)6mzezU461{l=3&;`Sos@p18;PpOf>(lmPzo5>#xekmL{(F3h zejD<6AM_Eh(v|KwNQK%RV)*RsFlM;)Dcu)MiBqfbZzEU>2q<&<<2Tmsn6+AP2;0WW4KVq!b4wW_99)ExJA|9QR9F| zP-G=Ht{FK(4%DK-OEN09k_&WO9$a)#GgfrOo@cFW%G$~N?Da>1lMcWz+YBWT0Vx?ab8zj;&| zilc)8ChH1pW7~pW%AwM!UH@06pQzY^)k%=%M%?@Ml?$;WLqpe{W}tn1F%XWu(6Aq& zAH+&C>9D4~dnxI8?j>8!wDYq#cy|4SFdnn`TOlFB`!avlTtzM))c=DU6kz5$@dpTZ ztDE-LN+hmd%@u2i!H-ohzFlzk&6tKwqPIH?ta6Ox6BlumzTY}Ml*V31j zdjD54Mdkk7c|wKJnFcCUjK-v7E!8=y#Orq&v=IC4pA;&M)vJ~h* zVCJ3AR{*cAo6j^_-iXaJl;cs>fxP<7q!}1-CtXdge@zuekYM4Gfd&_5KW6k$CD`R9(J2L(cf@h>J~)tHeLCI+ zeJ=@R%YK+*;BzhEgQkdLn2nGd8A$EF^Q?!4LU#ihpZlWU;6^vnYaLr+zGa{{R%;a{ zfyHDDPfLl>hn&7^ga+A01&Gl^yf)+ruP)|%>Tt{3b!`8)iyB}s-N0Ht$mRk38&(>W z26K?_DF}E9LSoo=#%OY_YAJdv5s6d>q9ASr86VPHD-3Yz)c(6~-&w<4s>Wz%&*rZ3 zU+MtSk=eJb5>}-ZuYSz1r^gekJSQj6I;5%1vT5agccXY4&o+R-N_J~XQtbV0H$>(! zP&GCmSw6p<^r_`J2h^80=$;4mFv%1GJ8roOjMvyOG|loa3Y0XwL>Cio#FdeDR)#t? zuc2R0Z6cgkMzM8eFm=~uDh5s9tl8nlUYv%p%IR{qpOgYjHSrdV!mJ>X#M7?Rg6I3xvH(BLzdib;dj zid6_`jwaiTVP%$=SoLI!XqJ-DSDtn84NVqa=u}X!=o3Vh)HHi4Hj{TYei41|<(D|7 zRB^a24j|vDhDnrqM}*ytZ8Eu_3xb$vXg4P+;8J7Ba`XC`qBqV|w3Q2LxWi>G!y&OF zuY*IHpQOV0BG=nOlCJ_c4~?%bVELOv38!0!Gr?E`1+xfeZRudSZ5wp`qvtFdzW;EB z2twzcv|+EP^hwGu9rJ=l@ojXrfyzZ1MTAu-$@G=0oZ_AdJq$8izjWxUi7vJ`i6_fp z_U$?4NN0DDD;P&n*i{up9Ar^gjEOg+>p^S@8l0~Y!d!*vgCct5oT-f36b=*<~ne^j@Zi{SHVV3LB`MobaYPg5q$CK+3|4zJO2d*0u3ZaH+5@UHf=VNraO{Nn2-tKTcy78 zMLyt^TYhXT$4$^6kuv$Y>fskVDetI1?V>vVDz7qyJ=2_n((3yj+#aWjAz|+?OS-f0 z%TPrK1)n-edkKOGB1HIJunoqEI9FwR1X;^=2p*%(n|9Wv>bJA$vV9Tzvu zpaDuU+d~jJ?1Kj(%6YKJJK2mK8+kYC=@yWyxh7!fML_0|gOROj|L$RAXDK3s=c1sO z)#7iZNcXY*%uV1^SV3P%@d$?xI7Y!&>Dtq;wi?qdv>QXWop;yh&VZ-7s%jPFk zL2%C7s|bp=><}5e+YRBI=+rb0_0i%Hu$OtdS>^-V8<}X0VQ+lzv^Fj_=j#_Tb9c)b mfhJma%qjURJ$NNDA_LOxeB^3kNOow%*n9f@-;C+c&;J2MgE>I} diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index 9f03711996f98cd8ebf05f968bc5fc7763a27412..a0f9c639e605d6dfbb7b286191ac9fd7a34b2bc9 100644 GIT binary patch literal 105702 zcmV)aK&rnK000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*D~>3jhEB000`A0RR91006rM0000000000 z008Ek0RR91004La%)NDZ6~`Ahz6-(Koj}pMft*EymEuK9k>XmUXmKc-U;%==6elg^LyTZ-hCd1%{?<`j?bCd+1a>;VVDWI_&X*SM#y*g zm!0qM+y6`dikhGg7j8@`|9P)|@hu$(^<(^_m2aI-Qpfj~|9$W0)BAtbR1~V-RUOsK zKijIBz8w7*PT${s>-*lT9({lD?LC%I{xOQ*7%Z7MKD?+_s{G<36ZMP29MeaVky0nN z{Nj7>%jrLH`s(7J+EMl23g)nQl(_5epmPZ?D3VfQrxK?RsFbZ3x@Gnph_~y zrI)AjODehlAonkQe({yO;#5ge{jRuBtzrrOchc5ZR;vDM`8~e$qIgUw_evwazbk6h zFABdZ-wTGs(&~-eD+~&os-yZ+_ezF}9)(Tuu4qdlc+|b3P03U7qHI9PHks5^T2K;D zIFkN+9$+@sYElS^cgglh&t> zFCNvG!lP(QCpG^PY>FdAiK0RMqHIZFRx~R)tA2f@t)RX(tYoYG4iQP=R2Y;@6je&X zzWP#35y5VHtu4jp)$*#_XLjk=<{5Q9EzrO4hE|PVlb7{Oex~HQu4lvMeL%oN&V9 zg36m)_optK6mtA3SGNyLbbJ)=1N+nKlbjjSY$ zT*T5b4%a!!b)@1m}mvRL11sXau)t0*cBt3Ft67s-YRkdcOSJw4j1{WWk^D~_7OC}2 zXoUO(%3pm#-zc;>ti9RYYYr7*EukpxHb_cA?ULF*eohgPM+-GK3}s?WF6xd?V1E}` z)IL7Fh?Ri(mcHk52gX`PZnk_ol=A&{O#1$lr6zs%0GhP?B+ksan=(uTg%X{nut zO&$khO@hWz?8Qf=b-M&nQme@g&5IySw_cE`v9(iMOD@*8Qm2#aeOuK( zQtynJ?CZ&zSe
TQ-ch#Tm=nIr2-#Qq!CkwMlCO5 zmq}+zRXv`ny5AG7& zod5~$Zi9Pp_as0DcXyZY!l1!jg9Hm)>i&#d_tWX>s_yDKA9|m&YpwO%zUc@hjU=y) z6?GDPL_a)USnm9FqJn>h7#%<~wpIVKoc*z31gW7yRKhexdK??`v0Jg2E5qt_HgN9l zD{@7SVAQ!KBg0I>DM^l`jaPEPWq0+wJ7|gf+Ou#Py5qcd(!R4vdKg23^R_I0okzp zCGOHmY;!C^4j!h=P1#;{iI7;LXK@hA8BKhQ=2F5j+BKcGS_1xjX!v!^e@H(l9DU$kT54KEde|oij5V;=U84F@F%bFr+ zmA9Hmq51XqCb)OWl&z;)Z(me#%AG}e=m7;Or&uc-OD=1$lK#K*@>0C1I!D$OWG#~E z^ql~{&DQT?`hA$L^#2U|vy2y=s9P}iR+y%XC>EQlsrs($wA5V69}vT}Y$Ye%efrdURX1OTJs&;z~l~ zXo!l^@#7{!bgM`E%S`)4Ql33S#Fa?JxBsp#R@>e27TH~dnoJ@b8n_My!ZPynPUhQi zjda?@Jl4c0jESBuq@ue z^F_Lhr%C~Iesd?bd_28U*OFL~AfwnaE;rjID=|v&ZI^#gNBidF$6xyCJ>5@od91!ianV6mc19=(!SQo= zN}w<4OYVT7M_GuWa*mBcAb}}T3XfaXb~bOXuJO|S(XBPX z!lJ8;V!)v0Ju{>UNyhkdfV|gq({2IiYV$F&)tmS>Lk9TZ{9RKjDpe zm4RX2DD$f$&sIza30ZRutL>LgOsiu5{H$>6{@CW#$|eL)!;cvlhR_jK3KfDbCLW3e zI@wRzAw4!wS#A^A?^t`b($P6q={yK}RVurbxxF+<);D)>NTafIDWjvD^OZHVt&rEX zs2X6b4i*iWa0kaqBbVREofn&&KWYeKvis)c8V{9G5Q}+dq*}-#;xDWZdzx(~S0rP+ z)srQNwY5`a#dj4M)O*Do{ypY--xkZ4>0mknz+byN$;2az?7umXtoa4QMTF$y9=Lt1 zhrEW`_j`%|Lzv5o6;L{ldO;?gcHpuUY=KK-FP{;A$Cmp&eo1Ej6lH7OQqai#Gwr)> zcmWRCzhup5mF+YS8Wj8uj|zWz-HDf1{xe}9=DT^ zI`%(8b`5$~(4`6NBfjIACNqu&m*t1V76^PI9|@zeGtYF~_!&5)`x_KMTjGFtt$kM9?(wBuS^=2Fg31j8XmT9wm>d6fq;o}r=7agUSdKH#sJlWTG2w~{klJxo=BPIs9uCsK=BOk^@&wl1D?*QF62JBMYE;|Lj< z;>CW%T(IPz;Z@kvVv0pn-h@{+r^q|Osr|75{m^07n|N}AtD>ZHw-5uI@% zqCGu>ICIXS?3}n!x3RsNlxw%OzDu|a53D)+rz}wvole4^B zY%trG6!&^%`_mf73-we#+rs_uex7VAo)`{e3^mK^!Nh@!CqCLD`Q zv&A-uewjL#rhdD^BfIfHp2Pb#<-x2k!fP0qmiksU`>+&9y}ug0)#!m6Km8fu>);9u zS@_KS_3U|9A^t`x^rHrlD?uDC(7o=EXbhw;VL zVH_G{T#)VIItirZJiXxfQjT{BD1$5K_PdGVguUKN_<_>yNc5@-*Np8>C_!TA7l*at zPQbR(zv=?iK2*+-8mI3Ho@S1EKLyG2CV1;z!*NTv-ot&mYg8-!8TOD^Cfs)s+MS`~~&}@jY0b zB{8hFrKtJ)Gg-O~U^dU6a4_Yh{>0Zb2SR=1vf=H$R=a7n+_;LFMGbk4ZZ%||b7DTJ z2G~H-^&YEAP{O-%34|YLt6*RXvU~3r?t6AiePi*BX^yv>YGV|>uk69`5&2JK$gR}i z1VzaQy>1%}S7e*udT<=cwj8f#}b9(RO7QtIZxsr^>-kr=OrqTWgE`SWMek6+6V&@+Crp%}Cc>tZy%5LSJ z7b8@KgNX(8V@~Z{uY2bT>pl?;(Pssz!ou4sbz92Me&Q!LJJeD{j*7@5Ijyqn8CdtC z(DIxmX)4bQCq9B&3br@if$`7(T| zf<&igW-fYO|JhYm?flT^`tJulz491zxos)|eaMy_ig=(E)|KN^hKiXW&+QqKV>B@| zLii_3^AbCJ6F0>tVq9$08_(!t^T&H>$3J>t)tn9K1k+ZhS>Ri`(jbq&QYezNPYUx) zc!Xtr^BA*;P&81I0W60cOy@OhVG)aqx&<1bY24(y1^Bf)*bAF}OztKOsaO;<+brd` ze5KHAeFo{(KX2Zm0QZj-@HI$6nsWipbHjH{X`mqWVQrEG_KSm6f^;_Dx^hN92xG>d zNPY_ME^Yxl_r=$($jLb&lON$O_kSPG2mV`1=~kdONWR%!vNP#vZvV}~W3q~XswUws zs?=0z#mo*9?Q3omCjVTpTi!c^y#5SlmJjDfvcW2-s_01y%k4!Z6T(LTo}mncuAb zkzjZ@v-2Eosf<7u*+s8CU7VCBs{6Nbgv>KujnyAxZoiRY7|;`U8DIvQOb-We%o@-C zB}Btfh(&T>z@ttY*dDa$v!O$SiZa_NxuU!H9VUty^;92rzz{)1vBl zXF%Wiz{Py<-M;KSM?lR@h2I}X{10&o-Lj~fpZf+qTwCPb%lKtV0(e|?ttZzg7dh*k z9Isf_`C{v4ea#&rJ_9MfaQ5r})p)Wi@^}1swi=wyeKNdmreEa0JsciFc_`ALXb6M} z;hSi8o0G)Jb0q%$ZkV_ky_6Q@v4Un@BZ~N9J{S6p_7wWqBuK#8F}se<|RhTQW^eWsS1%=f4!5 z!i5k`>fVBo2Y=_2U$m;v`3Y$u;B;~Nzd_Lyihw&a)Mz8LvuL4A7fn<1>@Y&h&*^Y1JZ51 z=0h*6Jg@Gz(5CLU;;y{Djjx+?JHvqO(|UAn*x~0HY{$d-VO-x%rBh)B2$sQ&J>xM$ z!D{I1m=uAmtBI3>+waQ6LJp|-1LX53MfF_Q^uMxfX0jocLt)qmZH`%?;BPu($0{c% z={}1?p=hEgn?cq!>hk=Z(tQ(+WX+LiWdGvv_jYnde}WOn$?lfFSA7|4{eY_kJG4@S zGn&&MjsIlv8xybLk*<7iq?%|l!E*TZy#bFVRaG=EEhQD}0Anie<7B=H3>=SnB|26% zqH*M*(_$SW?h0$Vo|2gCe_TMXu-c0c`krb{qbe;4nU+Y)!jMq%ra#TVr#VbUdD@Sa zkHOd4vMJV=j}eNf37zQC>r1gZ+AFzws*;sUTv&(_X#}1Opjiq{`yxs&vfHfTnrAN$ zKAf7-D$6f^?3?Ecr8$$@u-V@ZW_CqJr5!V^Ci{l&G?uWvK}Diu871j&N&Tq}-R6b| z-IJ;kD|e_wDagXC(Doa8W#VfF%>$xEDw9kG(vU>yc@P-w3asg!-v9)?F&k|q>bzo1 zU80I)xJ@L21-`k(jcw)yPEF3S(`nsQYkRQZ{P!Bi)bLk5m{Enz{9KmlJO0lB>u9#6 z`j|si+^<|X1<1VA+FLI}@V@~#pW1YIsQZ0DZ!gQ%t<$CwKDFf2@GDhRu8UN($lVE! zXb&;kPZ*Q^7GKV!{MvLjZ@~=8yXx)0DGk8nB28qG1NyP9=S0$xa*oUs7-`<0*_EzX zpMdthrIF(axcXm&gk6F7-)Yl&x88NNjBK<3N*r!vRr>bQ_W9n@n&xo>E4k$H;_iyR zoX`}*cmAY3C{Je_K4OBa%N9VX(Dt3S)<36G!r=Xzh8^#e% z;TnG@aME%E_=`q?1HQIxHNDpFt7B&qzwENW!mI5jKh`*rJqf9dY^!Ib#=g1lRR7W;_0HMbw z#&SA~TjaTrhf1dOAYcZ<$?qlae0so$A9q_Djzy3sN8W}*{40(}Yhpp97L0QAm7Bd} zE-COboO&R1#zKQE+D>!lHcNm{XN?yk6=!u%#46QP?H7xp&>xR;?|wVYv#3~iim-V1 zEXFER{g;6zZwAioW0Uc{8~8_fD-DRJV9tDxYJ#K3nMqibdu3qmgP7eCq4$OfqZbr)@JlzJj&38Q`6^| z{YPjF9+lI>#9J6_XB_x;`%R_W9{uhQi@2&80uBFft6)5819)?gAJhUBr_x*B5;X#M zM=jEcj`9y zWj5^kauyzp~RuqoQ<{UEiVjAAvyaI{WzZQw=<3fWl)p{JpdJ$jAZ9Xg*d&D@*Cr@Uz^JS zyfSc=C{Es1w<}j_CV#1)B3&Q_8~9oqC6Ha04IMYK)1YokoBWjAAwTDYg8%CDv&CCX zp;y8vfN2lqVtH_p#I}LV?8rZt+Lw|e)GdBvH)aQ+7kS;dSl78&YLN)+T<^UFre*Dn zt)7xPb%`L_a^~*X=P1<1F1~xh`)F4jSnu;Ki~5tAlrJWAQ2T%J$M=I}(ajslMF>GtMiG0icGa zPoB^G-hY&n*SJJV-D1QzR)Q!*q*9IbO^?_PJsjDX#bbk>z4SsaCi<%;(#?&DYOQ_? zw7wQ94uwmoEB4nhu{JMv*kM=8F|qG7TJmcR&2;OCMm7;FL@I-Nm00Q>EBmlm@Qg-Q z2hzI1Omce1y$rw{t0C;a(CI`U443sNASLR4{J4e{nm0AC%MdcU3s^S$dXdwE2@21K zy`$~Fu$7O_R?MwCU{4tZqSu#kKSu^z0*ORZQ{#O!i-4BFv_0z(?hu4CBaz>BfdsP8 zog4>_%`_YXQoE6`3p^`hqW=OTM=Vbe^(N3HN}-DgivdMA#=6MQ0X}PCK=v{-JFECI zB(lZI+waeUGoMC*HVbEHc%@T?>aiFZb>(3tK@yc0Een~S%@660>u_G`l=Cg(C3*_H zf-EkgKi-$6+<0~rn+hXJlGX4PemVwo zPJbGQt;|Xb@J#fiLA64inOpg>%Si^!QUSrw;_+-R+DC-WFFSvUM-FfT^Ds)i5!d4_ zV`NXUA44U`+4^xdRUCPG2a`H(U%98iZLWzlChR+|mqld+WW%1jEq;?2I^Bp6o=e&&K`xYKCoeY|%qs1k%a)xW^Ql8H<;WDE;vP@vt2PB;)vVNCdqNu>tjcK`DxkI(H`trIS^ zivbu!$mSBikLXO(m-0=j;tiGLC-s(9Dastt$}JCaHdVCuIO6TqvtkB6 z)_EG!1Oxo_B|>Qu^;Ba$_$FcR7b2}(xn?k%_EWJbHe4zkDbdJ(9%J`XKhopd&+;ZtZwrm&BTvU$`WXO@hhWC=kYeFun?JwZjC@-~`$9 zcH>JqW;`3T(Jjrei}kPc`climOHpAXTOS1UR`jWtO>YSkj9X7KywzlOo z=amPl$!}i9^ZrB~)1i{FyX-LMXGPzM6QpHAtX#F7YIr$jkZogrV1ObmN%^x<9396h zur4NALQ@)p|0;-*-sURK8;*Iw<+3+jw>16WfTc4|)IjjHGnO-`Em$ki3aeImRNii+ zdUP{BBR9_?Q~$LOGt2*;h!*Woi27;388L1kT$A096#OSeZlZQ>NdpmL&>e-mKQEqa z*?x=o8zs7c?U^8|3=!J&3a32Mt@?a+6zbW^rUl%EaE4bQF7&hfd6D(cA!|@1wj2?Nxc3$*p1o6F1G!$r%A)h3LbH!Cqh8k4uo zte5i8T!JuJ@#7uHu7HK2R|`}UfST5Aw)Bc|&nwYHSSl(YKqi}tMhmcI`(+NO&M+MI zC0QwC+s?_u)G2P1Gvo{dF5W$)02}O#hTuwd@zaeuk8Yj?PwtS;piT^6Ggy`8uXfNx zR%#FJ*b7Y$9^YhD%e2~I{K;q^{)T)KpKiK1q(0!wWLlN`9nTFN! z;zX$d$bQjlP`rY%t-W+KFF$6mq4yXm@}b8PjP92PGQmMSI}q0d0w=xAEpPr~F+LF3 z8_pTZ2Aie3I&g@~d;!6Gq1FG})5*Z3WO|MnP~|?rt^Yc)*3h1D+kxl z?cK>mCWymfBvLW(o;=tfOsJ-Z8U?mC_%OO+k797DxEMtd3=#=|a325>_&s=nu6LAr zHjOaVjmn+EpW)fnLZIr8dgvxu2)u*1$Powt90i~a`6;;=WT(CtuQR1`0gR#&T1L&l zy)&yA1}!7$R*{x30GMMG0eNn2O#&u{j~L)p4&&2+Qx<+q)Pb_@cd~UXV^Oib4`mID zcr$lwP&WqGBed?}FszO=$1YqsY@3GA0_0sHC=(^Hy^sOUA{CIu)Ue`#GEo{e$2ieJ z0Z&8*0%!#`L5Y^NKq2#%uQrN^GV?5{Du*)PX^YwP&XuBtD3bFL?)!sFFkKE91}Yi6 z+Ae&GUH3_J2fuBl4E1A`*Ds9JLK2}SPq@I(iL-lbqcc0uqK-N2^t zne3ifFkZnQ(jF);swi)3sn{9aC&6T+0EXN%iG;b&DP*JYqkq7aF-SwF7F>kwxC-N4 zkA>mYLg>mT#gM0Kjy3i}NU>yQSW~Kc3C^<#5VZ#a%f#LJ9-Iy^ZN<9$Lq_Xv{lWi{ zg&+p;=JUFP)dfc?+2EY{TB+1Afyg|Acqv5T!zSfaH;7z8o}QH!S<&jm6!|DGj;IZL z_sRw|j!Fyxpx&89VJd|8mXBjAr_WY(VW2vv zePF?3-@X+=scgdc6VCn4MWYLyyF>#n6HyhB_Me+=$H~9vPB`!!+BmgqssZw zN;kJJg?HxfSS;M}Fmf_K!SzT}J_q*k;2-n78vDysB?W*)5JM=rn~vT*MxkE=ot zYOG>|F~TNeP5|KfedTMQW+}h1z6mO0s#`RHfEst~h}KT(1u|ibeLHG54iFT3naLP} z(fa34%&4|W!nCoLVhu%)w3wc?6`Vx!NnGvEfcekgoD2}O0zxC}ISJQ!jE}B-NtlHW z(mx95(G)SBxuUR_PS1ZH+u-^QC0^&fij)#rjXv4gZHGRdNZ~W3TS&cv(&dE9*RaJ^Q+MycL(9sxHz4ITGZZ3 zJM0&F^kqBh+uqyjbYtIMkx;C6DL(SLF) zv8^1JHGr*SgbRbuU@fhkqkVyspT62@5f#0~z1l*N9vHXMC{?ns{K-K}UF4i3QW39- ztMT-D#ckhyOYQP^Z{w0B+-r8SnFB3(Fuy!l*@W2px3w_75}ar^iNZFgBzuHGVmOSa zDn9pUPn3Eg$Y3?4rBp{WRMGD>Id^J79>I!jpSomFNICz?GyBU^zaOycV1!BQ0kXyP zosh93jsW7QvcO2I^(phCAXQ1HlV$Lv|GYVxjQpj4wL7MW>72@p<#WoNtv-hx$T8dl z`yLOqYG+@1XxEN;YO{rSTmY>sFVR96PK5mR;urtr#1Qv|o(kk{g{p?LfBVv0Q^m@! zjlQYWnE1y$_8e7{4iDA;TV*7LCf!h2-koCGX8t>M~Am;33 z)K1Bv3lALK2HQ|odSlFa4T{g_KXw^#V1Yr&p6DpJIiyl7-UA#rrmf`awf1#^z!gg? z64l178Yxd6uGe=lh6cm_=Pl}?p>Z?5SF6>wWK|6hUYv-1A`@c5v21g+5~^a(n#6$z z42Z2qotGBzMjs`(FMV`Q!aj8w(M(~`ULo)~XMBSi**%;vi~|z~4*e`qx5{Ffq(#O; zhcKDK3~(?wuuBCr%v(STXp_05w*nkY2oxsr!(_SVlB9X9Td~+`;W^ z{~C*aI=_`ZVA!M1G!T7A*C2Y8gWyqF6j*;i7vP@HgRdymV5~ zai6qrsPyiBxh6l4wjGJwXh`K$u(|5!j$ySF41> z9ebg`-A|9ODF(8_GhGp8$rM0D{me^bE~EulB@;izD_Gi$pNg?;v_sD8DcYLroJB2^ zEs@jm(@ch~pmK=uT0~dBGWvjvjVF5*@%T&Yw9NoqKoPJAac4LSYO7~zkzQ_KE1KdGFW#6;O` zOuIfrX8(0QXpZXypt_R7!ECCX32$iRJe9$6zGEL+a2wH1F!c=_f4x&p!}i7kx%N{i zd9RIYb5fN;LqfJEDy0-i5Ih{ND+x}^CXX(X^Sv|ZY~_({@Yh-#1$+|KQWYIns1WMb z<>38fv#49qx_tQHXjq~-4GLIZ3$UVo9D%6;pK8D!+1PuCE5Xgl!*{3Js^y_)^ZvR85`q7tjayv) z8+#-`s{KL{N8S@P_t0%WQL`?&)a|O@%D0nOt^&2sNgs1$c^SzNbT%w;B?CAAp;0KS zEx8oYE(?(ED#nR|6jIA{{xou}i@CefS05G2(J{eMhr!6r@|``YSB2)Jbv3wsHRyRL zCeA}CozAMP0;5R)*g(vrzHK0)>`XZ7XE96h?HAoGE%EZ*2Uwfh+^3JzPPKioSA0j4J{wpY!t)&El_O9e=oZSTkJj(fx10 z?xkNQg6qIn!mmxz!twa-vAZWv78-9ZN6(!W_Gl!6Xe0zGg2p~ZM zR|T!2U*qXN{&;@W-I9cVK?YUs8D^~xBYVr$iEL@EdWldm%c9xS=u-q;e-D@f+*Wve zCe`AH>%n%P*IQvXbuHS+_04&&=%KxU_DF%AOoYDx7n7u)S%N*;#J;U%2#<_E^QdDS z;4!rN8Oj<$eBUO{7#Hz+#+~TDx0BbfI7?`NB$5Bagm*%(%1`2!|I_bG4GbQ=;0dOb z4m;e!_%ZBibmVV?2+F&RL>S%1fB*+{H}cM~>~3zDBW%T4t{D1Xv@-ga;_jRSuZo-4 zQ1&&rfEA{*GlX7=WL|X5@$+jK|K_nV+0I2&SG^>kc7Y@+LgwTL)(X9*ZS!z{IStn} z%SQU5FG64cl+Hx8%O7(53Lgb6iWR;z%?JduWFf@+Y^6;3#YZcoN2bKqmJBtXRmB-gC{@k{$zofI@d_1Ifc< zM?8DP*0QE_FER*22SbWw;LFmpzcm`^^ovQN{Chj$0YGDiQ)+-LPo%D0tGy_D0!5yR zKt!|5Qf&O*Jc#sw_Le=uHXZ2cCs=ZG9Ss8{3MtuACAFmrUwTD0Ps6Zp z`UBk|+d9$;+&+7p4W=x3PRkv%2CU4aeg27U~b@ zxUa#Z`bQyqBh&pY;kT`>OH zFkJ%<0nfih4NgkwS$238-ZurcLa&Uhmw*)Nk#!Um4k(9xrJ`1y9qJsL*MG6y+z3Kq zB<_gOE3BP&v(v;@dFak2$?EAQKUKJXhhY|NVRVaGp7aRkf1K$K#M&0*1q>10HKa^m zASv{zJ6t1uW?B>_@ZyN#%6>H1OjVHIma%V>nA=-CLgB;q83H27PpA@t%m~$nU|U?= zMD>$Q)SCdfb02qwcs=75$$CsOYW^WDBlQn!iz&?~(_%OEbXR<78l6>JL}g8HV| z(6_H}6KC;eL|gDQH_O4*(QE{u>MRZteI5RsOHE>;4vQ;PP&OP2?Q#P%4iUQ^zINxr zYxQ&0^4!0#KlbcUBTdwH*up_TLeyS-R7oTxpIsH^li+#q4@88v4ju}P6-gBVtNV=@ z4y~P5=*(7#_~Rz9T@YW(gho$fJDUQ>_!B|s?lg5-AudP=F(lSfFCFJAdDJ+y5X1Pr zill3N|C2wfH0%u_slE%fQRR!4sVb~{ZZ)|&QtBj5zU*(=IAnll)LW6=@KKO(?7)P= zX0dy$WB(Uqzwq1*Cs{rRdP-e(FQs>EJ7@WQoaE5bC_Evr>5OpdSFZ7)A&PfBtaRSd zD}O7%f)Y?}?NFau9V*0SXX!ejJZtN0TKkFxb`>Mc?vkwq^i$yTFC^q0YPNF_Kzera zEBJ7=&u+i8%vut?SpPw)1agcr6qO~Fq+g?wodKXx+r~I@1^I=Y@2Rn^%t16U{=h!# zTtS{yCmXe`Ot@h27=Adu-ovmtbVy&-{;68=h|Do+&>13-wWT;4JKU5n&1zC?LAXNA}|}z&a*~GTuhv; z!P4a;I9JBF78a81zxj#mzt#mxMccU%Y>*B;ull13>@XVzNGY_MW?++jB>D=_LfHNX z)?#svQ7nlkJZl7yV|3!?C|r~c4%q{fFq6V5!r1Vjtf*CdjR{XoQ_UMvqS{*x+7iC*~aJzUEhAd z=@4&W_~RiK#mgbT0P8#1MpR*55L?ORIHWdGXLaH)^U`BY+Qd%sJ{63{-IxMZbYj-A zYAp)>3@DY0+YHgsYJP|11sHSC8?+l1GUIZrjlh)Pp*4@TCN}lZ^Kz)R#YrP$T$yVu zJ_;%sBlQd3Ig#Z3U97q#1vL}jLI=D8LK8q4sz_-KZN+PElQF@Jq0oTwq~JkeMv$nk zax3R_^Dwa&(hlu0I}8>OMy?rN0pqC!lTx~4Js(FqQq{VE9o=T?HOYU&Y8Tk>B2gV< zTVOTSHuZ8kqO7CCHSKy$IoLy8fL;)ayjHUQ*u- zqNFi=1t@7^PGaR7$Jawv^UsnG1JgFtYceocSZ~NaKuBHZMkvCo{cvf;K*3jiz7geS z2%Pv~*O@6;oIp^X1!g=$0}Sy#-=-a&;)Tb}7P>UY)u3yB!AJ!$=!-v}77BwzMeGUK zT@a1BaY-un$qF^`9Bpw(kx^cu2=v_)WBDEBKJr~HOddXWV}7~iRq+|`zVNVpR_Q4{ zm43@URmZ7xpv1AFm|qzM;Z#Jbq#>%<{4{uHE`5)c;ka|{$e^FmJf#dif_f|GO z$~`5P@BQ;`aL$OF$&NZ^3%=LXeID(xX#s)IDhG};AtuzEjOf3TF)!HJY~xQ(-n@S| zm@m3E#f@#dey|Xwu-@=yO4&~68yQw;&91#0R8ONR-aqH(Y1|ZI(xr4e56sx@ES~J( zbGk`h8M~1g1rkg)*>)N?lB<|qq$!`188!CYM*8x%CQ%maQMVF$O@)cx1#0B8az+&X zZ#ekPFCtL>e-_?o0BtbN2pR}6Y5Ea2(k(*@wZ)R$eNilw#WQ<->=%kfIIuqPQ-vZ6 zn88Yf0z4?%dIzv*(RPiUEeQZ zg!^NpIDg>#_{~N%yp(Qg_m;u{iXR9v6LxMT*K;%7QlKHGS4Xlm59R(qkN%1fyYNy} zkbV-a{HDl8Te|U)kgtRXaf!#n5;zC)=;sU_v36w4GtBAm7_tOj{Fq~lKBoTG5PX>GNId`Tz+FxSn&~t;F+kGX&%z5GH-c)gw9G!?(G0yOxCEmU0h;|n3t&+ zy@YzYI)L*0h&M~a-QuiYO!IUYa@0)8ESjW*a=)ViixGIuOfe0!NF+LBvo#0LbK@|7 zB6Q0@Jb9vm3WriXcISC%r)G)cR!P3!1GCk8Tl^V27uT~-$X8nHHVzrPh#Km`Onaf3L(y_a0+YpWm{vENE1N&wami!aB6w0 zA_VsO9WpS)u=@(&R&ks?37i#;@U<3C!V`ti<&kr{a<1ITqs~9$SmK@zYb{?|HV=9Y z__IZ@JtL@+4r(`^<;KkxBU~V5XY(UIms~=l{__LnL{CRrfVS51~VBaWcr{4F5e9EsK>Gm3hxJtCKyQ#1i>tP~v_soxZPfS)dpPW$KQh$)6f>$)vOY%vfH< zw2PBqvRvj{Sc|8UVQw>oYMxbZ%4i89%Ftd=!-WI|4NkSO{Y5NILLixY%hT`|4M_E5 zAoe9i�qca=RbEtT2J+R2r1YQur3P2xmeqrXdoAvB#w-;QQqmCGNIygzK-}+LSLI zawS!M7^dc*Ihrw#KJ1^ibRr2i=vM^VSu$UKZm`#>J(-AVexvPTsSzrqHm*OTq{VdqhC9}dfY98 z@6pQ9ot#0fGR2c?FeAcV5|71=)A3J#>GUqM(1k_6yfdXjKS1SFu92^+^Zppe8Wu*qa9%~JSOiSdk4-pe{;|pW%yY53$imbU4{E>a`lmYSAQuekI5~5qJ?*0c=7#3 z8k6?8PdK!OkC=Q%wr4U{kO^0~i~Mke5+_9L9U@iyMtvSvW; zT^S=@v=*x%-!ygpl9+|NXyz^?inzg))-|C9S~xy`8eMnOB_>;-fm6WyQu-hJF<_SfEV=y)rB3D-9f5jo@!SvZEXjG@!5?j{Msd7q;_{DZX=@|)NLt=SgE_BXarNiy9~ zuiwl#k)!Rdhz)3cHhft!f|DB4{h`(;-B_eN7CgrpA&Timq0axJL^wKX?7MWAuo`SX zh-LB}&j#R(s8oq1e()0~)~nnt<)gO-c}trju!tsLpC8^FsRtkY2xTBD} zHkNk89UvLa%V(Roc(i zk7%;1kC3**zF}j2G1k%j{RU3cn^|du3XP{r*%z`x>`PZVxY6;{& zCI%FORwmda%SdGPRc#=WL39N^crBC3cph{~vF_8w>PphgM=omF8ZN%V&x`kBJ24mj zW;si3^e)&&-<UVo`N59AK$YW^LAnBMr0|C-hqqge~1l4;$)`Ywc@1(CR{H0 zxU0|D@0uq9hG$Cv2D~#SY73m)HVU@yXM5>eda9b!{!|Ii9fzm>`X1P9Y~p53gHPo8 zQLOjwP;93ta2DQGv4oJ2 zSOU=N3l`ufCpY0pw241{rdiMVK3)U3wI0RSOQ@BewD$ErHaocteQEOpy@~h1sM0hF zeW9$U&TjAR3*tA>cxrAKq~3S$1i9Fjf8jgtqmN8xCOf#Hu!y87QuVW7pg)e5U9 zW`2?e_)L4IF0KPC>Y-e+Qm6RDkHxRpo&h;Wt1YKG24aH6S@o1~lt`#Ix}8WedsZhE zDm4!9*gc9HR}CGCZf9`_tpmhovQyz@Ogfr7y5v)N_%V*;aR!@H_O!V?`;(LE1a%4Z z@LyK+`bSrSUfQ+J%!aI@$LNzhwinENGdUR>ESKWT_FN_hOk-7Wcj~5OV$rSw^Wozj zvO#t|;s3EJ0OnYV?+w3RIUh&~wEi%bgh&L8P*qvDaIIVIwKkZNz6-dI2?n}sVbfAK z%OyI{j~G-5cWtIz;Rk?X9q_$;qg{LiyZ^RIC0gk&aWJysdNP+uLD=rS>k9?G6edcv zosgavZ+Ob-DtXM7Zy1j1P`ep*T_a}lzJ=>YxdRiE;yqfi3PbE07SQ1gZD4R#207IR zKXV@wCzJoLYE;78QkbSQa}}7c_zb5+CMqHxeK^`mHY!2|F%D}u+6ah?#ViW0E++op zSy?dR1Q3^1SE#M}eUZTXldt%FdG$Wdd}xDgB)Y%W|IYsZX8+gUSz;i}AQvHE`6j|$ zuT0}NdXT1hNtG#WDd9gSwW6ss)TEtoxDYktCz}T>b6;7bM$DcC4W8NzV^t%T$Ix8vph7YU_Y-WpEc!o8Dr4U2 z%KL}1XlzQpQoFG^+=!&AF}Jel#RSTaIw~wID|6iZ3RoX>jCr42fuv$qw_9{hpGGk$ zI$Wl!OJpIK6f(jiu8Z4)PEL@d5|L6SstitDUn&_YsbHj_%wlXBzDEa|X-cLP!Qv>~ zTX>34N-1L02)G|sxz&P4xnUxnB^2vd2Hz>`7p`3X`|v&DpowZ?0sDt0OZS^@qsr`N zcffhOliK-JD%4g!i4if{suTbtazRkr3I1dBAq^f)D~+vUWIjaWfBJgssJ4Qx;kUH3 zKq*dfNGYXQu|jbvZpGatxH|+1Em~Xy6nA%bTHGbL7YXhbAjp?K&-dQ@{&Ck`zjd-^ zPWJ3MXEJAJ_M8zc>Sv+uA|Jk$`SwMTf3e&;O-V-_s<@0yumeNlDeK*zvislkFL8bG zTh22-O3@F2>NYO-HAiwU@JNC1$GI+9YpH#&NQK-_C-7)qMUwG(Eo)i5Cp8I>#HOXO z`9!XdIBY-35JX&xqSW8K&a~U(cKPIcwT4lUn!1@R0u0V=ThA?u`I9S!Oxo07epuaY zg9iBVm1{E(OAP(+j~I8wg7@x33V3q>HP6R8KA)$~d|>y_rX)6{I)4$-QNS&?^Cih^ zDjj~yo1fL>FCsQi-wLhlaf_y7?#|i=ZvEbs@!J2&jnaM*kn<>;d9+9Hc9`Ax+51K& zLX29IPM=u&cImCRM<2g-GPC_r#*|}oH^!LwVepnMI4}>z)PN$70M`4`j7xWtZYs3H z>qx!Ua4myBgy#FANJu2fz7lp^%Ss<-*jE92aqhd5A9^8bV*#jd_XBf_8F8o@$=S8E zjr@VIcKeC7tJdK|6m4vg& zoikF&MrtlXFw7j?y6=tfK0TSz8!Aroku%P+B0+ztpDfY>xY{$brWVvhoUaB$a@v3t zl-60~n^OJWf#KFozM^IFOBVYnSutQj(p3O&HDxF&r|!^EA(~2WBlQ6`toSD(cA*vQ+!ZWij@T>^71)9&2E6k3o+27 z^t!tYIZ?9nZR_Rnj7P4%*U3y{fkT}jezEo0TcPE6rb)oqB$|yiaZE}`vhu#K=L`ME znu{O$xXd7rMU2lETpI5&i53D<0>zOl^d5^)gJ_!UxO^kt=Y9I=6gq+#C{Bb5=uPA; zY~8604vSutIk|7b;8XpXl;v8a4E_@tOF$3RRr^8Vxx6~KgdsisUBW@KSL&x0JzGAo zh~2q`(qOY8e)ZOgz_lO-9nV+*EujyEJ{zUA93@;MEUO~GOFirv-c&B3h_q6ekxrGN zES@Z$%#s9yD3bU1vDVtbMMaTHIqu?H%gV!_^TPafXew1ve|bUa$O@W!)GvP){iSbx z$CgS|;&LI1r%M=S8Q~7IV{_D69B4phzfsrM%iMmk`EmCfHC|}o8DE?SNP^-0hgc}j zGfwroCWe7C5P$ZMa2EQF*P;s?J%rEXc$mT^zy5J2LM;d#*6pPw!1QJ2i==0Hn?(Jp zWT-%;MGZ+EiISj!kb#mOBa7G@!n)K8NzT5awoAU1buX;?Ir55D489#XC9jpQ4wJ!F zB7&KX1Y}LS{$13p|4*rid7{1v?U$eUWzvS4FBa*QLMlO+x0J!MZ#{W_%0Pw!3dIT{ zL=L+gem6>BD4x*s%uHCJXbFyMDZsOdxc(3xajA>3> zNf7&EajxRzXC1ruS#QR3hAn&Zd-FD+2G+FmjuHv`PJf1SE>bsCoJdW++PxPITolg= zmPleM=@+X|(IdL_`;vGZn<4Ybt*eR7OV8%TfpBhr@ zli-<~^6;UYvZmR42Dtk}1#+0kBc|X7k8HRyrPx<-mF4FS5xNqq2(1-VAdyDbd=M>3 zO%-ah4+fNHtkoms^CBYc)L)7o$4;^xG1+e;9HcEmsPf$5yz(6_A!xaF2~!s1%n2Q{ zAkfQzs#QspfnJX51?eD-YsixsVTMF1m0BuJMkWBq23Pi)eBM!*Z)wobt*6B(|eO7W3F&3H{n*=1)W&)5iDh{Q;|plFx%x zEB=FODBu3tdGMIBmttaus@1cm%|Z=>-Nx)zGIdya?q$X&K?@NhA`)c`s&a8kP^<9| zW`|vhe7NZzubhn|?Oh?h8>egZETHv2rw(vvoz-&u7?ney&%6i}AJ~;cAFYPmrgeJG zJ;ds}%X1$E=O=h&lVtOEY!~X5Cj@o;4ii`7^LNUG69y)g1j1@yNb#ncg6zd?x9=+Z zey&m1XfDZeLNAkG^c94f5hy;)8v?;{j5bd zGTMc|#f&1+@PRK%X&355F!kppsXEQil=u=2mS))LnZY}kQG1+pK549xOJN%3xr$R(;H;KqPSVHKEBd$ku_dOGUX zPTu{_s-MO8coS`hR^%hODvr_<)_V}%tYanU%+)Omt|>_qvm&Pk(Q5=P?|^rc3;Om; zk+*F5n-RR7zMn_$|8D0uF-mb%+#0A16Xu=;AZH>C#&MWmutH(q3UH@C9$A|;$XeBz zd&6;ntwoE}*Ss!=g?9N8GvQwdV&;?`h zW{S@0PP-|H2$j4dHZL$j`#Q;$7fW3eSMOH2rb1(z1BaYDPc&lTi&^i>KZU03{&J^U z7^fJpnkPHyKE1>Z_&ZOYUil6PIjUkI)O6&S=_5Or@ci9{YbPT2d(cv;NKAt!$M!F5 zNC^}Awc>i=9pXLu>bFQr!tLNJP_K)bY50crnQc9!(j(=)T~P(9;3m*6uCGov<^2Qw z2qR8vynvdQ1`_+_v)1#h|M0Hq89-~h_vRtuMYDhy_kYYC*F0UWAPI z>gVkOn?#sbp6NL&)umlm=3v%oQr=*);q2H4XVO}5Ql1~=^Hw^O2&oEoyMn?Pgm6e= z{r*{q$f@c0nkw#fmSf{qM6t~60eV*g>s)fZO7pG*qbb;N$9ga>@>jAfaHMRyErMOE zDkjjP)=k-c+1>v%GH;FN`mpb&`TMqgT(e|*N0lCFZS5Qsy4ca8IrgL?WDPt<%pAb0 zAkeUgTaMAsA9UGGkGph?N5+sdmZ=I_Y?BAn8NO7=Em2J+L)(S}hE8N`^FS70B^K~g zW&a6)HNhp4cX1Owh&ie*q^-%qE9`hxH+|pN_j&|_{s>N8b! z`6=R9&&`oaV`p||eHA3kVKXw3Ac^T8wf>3CS;wJ7xZB&jQ4$GO z!})k+C0C82hEqaequ^Y&xK+}Xd!K(lW3L0-LF>nIWW$DxU zlFRw$J!J?^D^Tyl#R5}4=-p?~D$I*|;P@eW!`oX(-+MQBCHJimQK}VtabV_eY zy#icW;cQ7|YH(QCAjJ9pbXP>0f|Y_8^(m`4@59V3#~j=5}^KkSqci(gn0ixbp zc+%L$k8YJadxQF?3BL;E=Ml{fMp9B>c9_^795ANwrgO^Fw`=dsp_%=-5K6qi0}Rih5&5`v?-MQNq;tF6U;fEFlJupM zx2j{O(Sv+{{YirIPJYqdX5PJ-=Z*i9*E~HPc0J2_ICM|R3AuJ5eNMNtNAs2>*lH6h zDK;$D$O0i};fi3;C~Rsb3}NB=cO-D>+0xrJ%_}WBRx2~w3CLC`G^Rz|n!7uj5~K33 zr8kVUj%5X~?Ao&^?C+vJ;B}B{fJ#JPO$yMntyG zRFWZFKcfV_Rwr_I1WO|_JQQzpBS7!nwzaR$jHGPCF#$~6V9(Y?kmEYrly-Uc8)7R8 zR7Fq+Aw_d?0#}CzOlBNW=fkLp>vLok^3dMWAe)cjFC0kw;+E*5vcPDJc}VEf3pE|-x(YJ+#%>O(TDQf| zXa5H!!|%mEKq-`tli|je@iWQSGuuq3#tPB)u5U`Aius21GQ#yT2}}?q`Yc)T*8-Kc z^$2hEsAj<;s^||~)1}*9c}(1xxq83y#ID5pT(v5G3H{SsZ#3iY*8G)O>xTFT-VF(d z`R!?DAm_>#s%c#CM8&%sHiKzAFID2gFLcInY^D*7;}ywoL-WglJs7a_XQDu^%fGz0 zUM+cXRC}0wa^5ol6()K|Oq>?3sg1UYt!eI&oq zP(JZ~VsbCeLH4Nkjem2XIyZ6`tTaBkcj(tLIuWG5^qHAD`ueW@3t;^5E)9+G<7HxsHh+x!OQC(Xe zPE^E+4*l8J#;9#B@Ul^5wTZVSPOn@m@;!qS3iL%Ff4>pC>7ozY-0;(T5o_)W_NO!G z;W5M|bB?%HROY#W4u!j1`k8IS8nLiva}*~0Pe07kaNeL!Yo_C8b*y4kafI{PRMY2@ zJA}z$s?{Ltj$_Yx{~WPadX%R?B2z3AgO$2rkE^stsOOytDv?)kbnkk;aDMgvL3pQU zvkpk7;O?(4MNvZpsM|IWBUv7S|rg}$$#+|;maBa$*P`&e$M z8m7AG|89P86V|Abivd)~sxH)3=$oKbVZZ=2Y1VYgZ9KKb;hY=U>rrajx$|H(s0jRg zh&b1(xP+DTY|a(@c})vSdCjWnXZY=`iVsSDf7)g~b}9GGdZU&R zcLe)O#8?*al5*H}(Bd-|E;a7v|Cod9x^)vVtM1-lXmB`IJ{B>#EYf=XkG-I$vm4+U zjhdv&{q@uI=Y2O1mQ@#9g_Rv|etCMg(C(J!>CNtd7SLWsl5 zth!l~4*L&n!|e454tDDi3VY4vA-nX|rhbtJzmETu1LO*e&+G~Arc=Kl*ld4XX0czW z9y~;lrsrS*$1Z1s^I*7d+?7HMLrWpRp%WIal$?7j9}v1AavDs+a?jfkN7Ea#slSRU!48Wolq!p_ zw_+clu!M$^dyNz12Hu*V>6X4s*;rMVPQ}A~(xF}uLv||ncW`Ii2zrzJA6vg2mQV0o zvSLh^AY6K+LFt$>_nhjB(hWJ?aaLpMtz$YCzghmhF>epzcuGotEgQmC0O@0u|^bwV0eGT z5b=LuCsxZelrvLX=7%)C(#I)er@L;b`U!_7!{%zQe+8P3MAXLD@{7cf({ZKUFyaXE z2;ILq59w08V}>Cfw=?QAiTID=PiRVJ4x%IY} z@kBJo&BlLGUA!Tv4jq0;I>lPw!HiC&7-Tw{zP$Lob z(uQ`Bj3GJlR|X$VcQT~Q*~H6$?~4ZkD!{w+RUznn=h#u=-S?_EQ*?v9*f`x^2dedG ze}Y|GE$~a13LHsLpORh=Ci{6`h->S<^;>E%JQUgW_O6f_%|I0?RQt((yOXMiR9-6@ z$F)n*ZBFeC*#_=^k+fRv?Neu9nB;`5Ulhj&N9>WvK8w{M%{`xZt;wVr9vd6|i*qC$ECtDm%#OU0r?yFPl2y!3&h$L^RyftCqi-rUsll#BXkef=#Y!R5)jhNbh`c{T`ore%BR z>p(`n1U=RS*`|bd>0f-urzWk{-2Zs>E~`TNu#DUM!ZX)TYfiXJ)qc}i<7{Ye<7zLF zN`QN;-mMqrBjarjLkSeA(jJ60`Qo8c&dS3PkQezM|E$Xq7c8y9bGL*Hffd^P`eO{a zP?&&=WJ_BAcHS^(amfl{qWA6Rj*x+2nhdplYXmREnO00gW_=>LnF^crLiX|VOh6y~ zgVu-+-~DX&g`c1oSZIsYH4oOr)b_}?irGBZEpQwnN$P3K>&h-tl2m!N8-l8PIj`sH z`?Vykux-%_)3d}>DPEunrenVN|+4qdT_s8c+?Cq)^wWJr8JYnK|(-3w< z4B+?ZTU6mml36VFZ9Rv;8|kJ>RKZ|p|G&6xP#W6C&y8Mmc}FKJ<6c_3K{0x_3iN!F z0cZh-9|GyTPWAdGnoc9+A|(Ti(mXWNq7;D5FoExIu?*Kz(}{Omfjw$jVsU8FlgZ5A)w$;8&}wV&N%Gg$I}k4Z1n#t}g!o z{sEi-HW{)t^LbRc(`G|Ph#~;Ud5@dOA~*x~WAh0`GOwSPuT7juM5td0>+1dFR_`yY zKCE|;xfj?fpPe|f8j%-?S@TP#F#K0US{-K+?APPE**hAN6C!Lw{Mki%k2@xPCv%|F z5r*8S=EqA_nR~*`OwIcqPKO9#KV@XB+i)M?f{=RvvU7!907~vd*%KWe>u5I%Np6wC zMc`fKTxiCXa+b~S}FO6_oZvNW zd1<$>3W4a_y|&C8I(Kqcg+`C)@`o3;k6d}DRE6FH4Z{9lct5Sv4Jj`eg^YIGG$M04MF!~|!EbY1bf?iElkqbu;1Vs_T`J#S0e!sWqu2-1w$oLjd1laMc z&%1C#L_623uO~-cUlo>~QSA682NM+9ehX}FCQ5ka)WDKD1lF}p-%<;~1zO5}gl%RX z%D7sz(AL?!QXcytu-Wdc0pHhIVi=eO2PJK@J7Ak8Yu0p)=o4QDZ7lqMw~YRIQvbhA zr~eVi)vIGSf2qsZQ4ZgWvx8DQ8!DxJ@e0?qMO!-4{#Uub%S7yqIHI zZq0O#nT(0LECiypEm-p$dB0=8coZ7gKA;O_U*N&2-QKdG?GaM-M&}bN`9pCWl~?Xx z?w)3KZlmFVGZS=3IU>5^RSpIcc7%c8H~EougtWB7p|pw9W+Ne;OG<+f_7znjpT)IJ zbXq3eut_fmubrSW2{&5ZN#U2-?0~@29$aj6n`r7Z%Hv-#xWEqS^6uCE%68OHGNQ5=zDX1cd(@<$59~F6hdR)`?i?#_U>RGR}`6@ z?u(ey>ibpQN9c+Fom|`k=Ycn0W4@g7UlN?tTs`DwwAFYgmtlLSr%LwHKb`Z#tKZQl zGU=CKKG#wC74xeE^SgR>bp(Svv8_Z4zL_*{-#6*?UmH3JEJ`H=`o%=jBg9yCKj>$^ z2+S0h)q2hAG}oBCHbz&*vZ)fMo~mLz_{3dWraG?j zsXn5(&O*j4eTXlgZ?49g#lUr@$_ne?x`usUBKj&Sy~)Y#hu38MbdHNI3pd2YemI|x za+7H$v{8!fhatbLN=Yq`Ocd!KVXi#AiyNE;>m1&sh}*8zeqkXu17HWpr~d2t6>-;u zAu>nzK(Ap5w-&FSjV}zexCLrE2oA`;p0zU8fr*%T)=;JK@Rt-NtklX*+=801BsV_b zu1fe2A2B%=-GN$ni>F43OZB9qTH~+sKGN=}D~)y{j^LR|@s3B)WNR(M?nJrSV*Nrj zi~f_|vM43(9hGovEo9n4WA)kQ|D?@U+Zik8juZ?&bzWC)RXA!%_Hr`}g}ojo?oB_` zvAd|^3$FLIWVW7^XFT|hVmQ0E94NrdrW-0w^!%2>@mYz30gQ@ z6#LY=@(lVHOa=NBms7qk)P@DqS}z%5(Qy-wL;lnbSrCt7 z(Pct1^aV5YLEkcki@ueW|i|DN+PnSL<9Fi}SG z*2Zk^b-!WJxQggSjPgk^AwjD;^CrGTcoJ z@g`z~jAR48`dU_SRX&2W(i@!-m=_v@)u3h{%l#dXtDwbumYHJTU8v;d;ypZg|I_s| zzr#@#d3qC}in}ARHOw<)KWM1LNIzsMQF>_A`L<+k_nm?M_ zm?p%W6sh)5)2L@dU1E5BH{D9KFt1dTV;niz44flVTR1@GFh+@()=fc*`L={wz&9#Z zKV%XC;ToNhb)|FA`1Ztq5x>mD;p1|!uMUe4^0UNe-x>|3I_Io}yg{)CX%;)q<(A__ z8gKQ?mV5Px!+(MmNWs=dJs+J1_sY9iJt1cEit@YLIz3;V2Ok}lH6z|zPKwVVHUd;v zW7fO%fqA%w@Zq(5c#7a=I^)CwCWBs-CuX<2sj-{Z4+7LoPejA(q<{$b6@~6UwFj@L zJ(cwdxMV{Mn-7j$2P&NkaLGm8epcOp7M4Ie zYE%UaeFuMr+hhEuqC|_w5|@YMY7aN!M6C@Ozw}#=sIKJ=-$skQY1jg&UO@WVb|(Au z*9wPuhivv(*?ElR94`0o!jld-S?44SD#qIyqR+-=Zz?^aLN@wyX0OjXTYG;tP!Ach zfQ7~wz~6tw=%_ui@oL!;()cBGetIIz0l+Ge4YjM*aKH@Ge-4Wkwti|NQN44jR(|u4 zw!1JM>8)XK!qV{arhzZtXL+17$DLHpv>h-5gv95alsR(Wrtx5Q6U z+aK>#k?BtKW%JV%B^jy8m3Ipf$q1a{Mq#$>;lm#&!>O zcJp!E@b$b7f$$0Z_uvD8Rpf2= ziEyO%$+@PA6`kC!gm8`S9MKq=7`B8w4@RqYY{Xv1*GKF-20%4ApDufoO- zbPxL8BR*lhR?-klUZPl{kT3wUpF|khPtvJpTZFV2+0Na)lEbm&9#_>zeT_5khAWe> zk-e*4uO8Z4q14fpF=KC^lH!<1p%0~;fp!818@6cgmaZEGy@9%{a|N8a(K8G;^Llh3 zs|d{~Rdo@}BO8aHKja33mEKlD=WUt7pnAZGnuBr2klfUt+Oo9#kJKZXo~LQYV*&MbNXtXb-iI}oAn3Wm0M#56}4?tdQ);!eN6quB}O)f!Wry4wzxF(c%V`|^dAVpPR=u@OdG<^3~+hIoeB5P(`U?J!8lym9Oi0sg} ze6gf`HILSLB|g_`!A_MnoB$7BtsI$@ajy7gaKk;!er-QaH*Q`>4T%wxcL=EQxt;;nh$(W;Cwdi| z`=(_>STrEm`nH_WS3o`mCqx}+*DM|3f~Z@ZW#8xNJ))#6Y??31i>`)E7`Ss zd>z1rb@erT2JW{xpj}w-1@M5UH=hX6@i!(>z(51E3k_aG_MoTqgP9;9p+fb3H%rHz zj?cR&wV1W3katlm0m}}L42}BMa7R_|wPt5z zIF?^}Kn242KUcgFt-5#>b)mhWve?Y@#XGSutzH1P3h~jP^|KuiRXd8Q zU~L%Yc8PfKtvt$4*V=$1?l%uA(=G%;t(SXOG}a0_}Puqj()_ z1?$_!NcZ+Z-R|1w>Hr?R>EVaAJFad%&b{0=GMllyyAjEmS_+w~wxa^o?9qK9yl|52N!M#Y6)Vyj@E(y%v%#Vp+}`Er<&r=WBOGdV zDbVOpqKBQ{@S%|;AH_oC9nTA@Q^G!nzAZWOr0>iAYZ}Trfg9EJGlgp(%12pam=XGS zl7|MXi)}7~PfHI5DeMMh%>5>dD&IHyk^WG0I2O`*BxmIQul1XBM!tQrOBZ(C*m~hFt^L%xpXO^f&o(13oR25X=;wd}W$I ziIft4?O}GSepY_NBH2ivu9m1!2G$fTxsyIDyjw6Hs)WEadmA@&uPm=3r68>Tr5wj@ z@iL&1TT2?x3|;9CD)Ps0PbB6PQmBD2X_g>HQ@8Nyt8Af?>nBcHXxfJ<9&?Rv z(c@ivgQ?Mm(&f#hSFhyWyjUrdhCN&R>=969w);W=J0E8iwNTmu9y<>fX;C?U{s`X< zSW|I~!O>Jp*r3JHXE2CR_8N?S(Hp+;@-q*Bk`QTPX@wtCUKki*Qb+=JZd!ui=IpdV&3q6Rv*DkENm(`RURT@LlEWoUu#eUdZ!&fIYa8(J^ZLhH@#VUq>`Uyg z%OPpq$sFBH43~gnTsUw0cww4n$jWo_SGTPbXq~QkCUwEpSnYBv2$i(F_Rv+%a`xU0 zlofYwAauiUY&7N~vZIj4h>+(Yt^;-FXt(pP56DYQz%;2W_XEBWnNbZo!pUBmcvp(S zMHx}8a+;gbD|#bRXvyC-6iHX!57qJAlRMK`@eJ@$dNgcGQ{$_!=bL?;e8gL+y#CH! zQpjXMOog|Y>F07d?qusi&M{eCOcx)6&rjLVJiq4}Be=AMaO8Ctc%@6U$!ya~e({;X zdj1rh9|B#6W6RQ5Ed6n3Wof5uE&q0#jcCk|{(Z&?`B7!ZGcpuEUc~aFSzwd*z{N^F zzl+YkpPkG0$7|e{fMxt8 z;DQqISMUyeL94leXYcv%XSXa>V$<*6G<@p7g9~D~5A=+ozwU0CBJZlmy2O8V8|@Qw zfIpkG7SYV{&0@G7wBl0CG)Yum~J6vsG(j z)w5?BBedw_Y*SF@Q$6RtTGV#+i)Jodzfy7`D`Z@o&2)Hc5ynw3 zHjQn?(jg16U>sM=C>Br!X$W@~M!nc)<^K0I&{bh*3>ZM<3J!|-dU2mz%DSfWyx!`7 z)q>C>f8&tYB1(U@ChL<9Tlax(mB!0?iTRu(jvBM&-$6?r#W*$=*m+)63fmkN1FA+oNo?Df)||a_`)`{0+l%%iv?_inb!=pwm<)%3U>iEJl~zx z2^1ust*s@vb|lf*a}^G}YC*(s(>6WZ878D-t0WIr|4eC#0q^j)@A)XH<<-vEWx94{ zpxiem%ciTgm2(r+ux~ zQuQ>5X`pkP%|M;kK;KypA%+mM6J_c*6MTK|cKwN1j{I+I@Z~xK4dxm!?WtPG5v z-Z783^OSZ9X<3@tkqa^onxkBFtCUmabf&yar9 z@b@Zq2E}3=H&ct?)BPO#8>apgqj{;!FOHPE(VbIhoe({=@Vg=8f=$D^oSkVKif3q~ z1+H00jIMY+v!~s5de8A(eToqxO8t?Se=7Hn?<#*hU+s8p2esA{d$oAuncYxT|7*Bi zQ+m&O(zCM}3K^oP#~~XRs@i<9tbL3eTU9S)9}_hpxh+hEo|>ttIsB(&4Z! zkKeW6hIdIBfe83pF037lJ(TXvT()D_r^^Y=^pZZyeuK&O$dMhqGD# zbkbuf{ur#ooar!3a(mm-f7F&?P-Mw_pCdsIs>pKmyMzlu5mhb#n#@FdJ*M%#K$*LU6#C=TIZv``x> zN>k=losTv*+p3z_apgmt+0J8Zjeo!AnZqC_2rfs@c^^#)?syNNfXZ*U63r1S2j0MV z81e(7T~tfZI??0#3)Yz+Y&}_@&c4`-p5O=9-r_d46I`2;B)W8&2O2LqB?qC|^r2E= zV;_LxAG5wq({xCcqmihzeUay@dI)yIfQI0F|K0tlhhMqgTHpE!hn4dDDQ6r->(Nf` zO_X&I{0y6@=fvL>&j*niBOsE}yBC0u8~k)LJt5WQp2Zy~r~s3lmoc8tR+wkK#OBc` zjLr*qLNRvF=$)-5?k96eiuX_<;Y#fOWY3+$7E3FS(fIqp##or!x4Fa>dr^}wdYs&S z=VfhFhl8v@$+?3_$GXHMvxWh^eou?a)q9MI2_lsirIvxl4)HxvB<~AQC=Ep{2o0hO z&fgcjt!JI?Py%J2i$1urV-aq?P!~>T-;d@h03mW`0J)F4^|@}3L(tz{S2;tN5MoAF zHG`tIXIRrl(s&h-6K9a#mwoajQVA@wy54!nu?NJ~hDE;F{{o4x)S`PDP%Zyu`J6NB zpysH>DT4bD1dt_`C3Xi$oC|!&$Na~DOe@#gaqZ-TpnGJ(p|FZzjw=coz0b#}eY_bO ztX!${bcvVRzVvt>93SzHyn8w<1M72fPI6tZrN9)y>k-z!qJO6oN;k@q1bcYF+B315 zJ1MT(NRK6?1izCT!&dvT4OU`5lAX5F`kJoYxEPZ+7O)vTI~xx)=I1qz4m1woH%WkSd(; z7@c9mwS-*eGN;-sH=j|KLbpBoIBLgrz{6qU;lJJ4o`UztH z^oRJ4|Msb5iq6ir{&Y!$$tQ+%8*nwtW)c@(e?4=O7TO^wH$DPwM4iq>Mqfh zaC>Lpc43r15%Odj_EM(VYI`;5o1ZcFEaN6S0*2DB z2`$XO*_j+mbf@~!;4wK%!}Lh#7egLdpQ*U_kIKDcG|fl#|Ij%Gez)oN3;%vdUHqc(YAlsIDeCt{(YnaQS** zH}3TLk!PGezU?;cUUb3e^XYe7s50wjEBhmQ``wA-Zs7i05FNeye$BrM+QE}w!*70 z-=tDu^)@6Xv&E?c(fZg5T)|K(P z`|&VPpE8)ir;q+ILivtE^0qr!B8hc&?Tl%#)8|p@5HFU!3W05C)!{fN)%>Qgx@I9^ zi@e@jz2n1x5he2PbNvZ=tg ziyfH09XOifG2Q;kH&5WOTx#B0VV>An3ytm&!S?O+xz=~7t#m0T6)K+{bnvrnAqZZYA{(-_q)%-0P@Ce(RG2MgoX?0um$DFP&HC)=Z`4 zdW;TCNAyT`dBQE<36Ov5*S2QDWG*sn?r^!6<(K8p-&f5~-!GtS-SPQgBMWrwK)=2> zJ`n+?vHE*;z8?Q*Wvf+1WZmf~xWVRyW`N5T=@_`C(zTVAz%3?_n|6gPE;tL;E`8vr zD|B#SgkH=@o9^43%22J%lx(+e2YBjHi}UW^$2}y~uU@!LIE!1&ug8P5=}zTV3`zH5 z_3fbyEP8((=jL=Nt{g|$epq09_zqjhcAe*YTZ#hUKJoMRv{N*@wS{K1-V4g4T46PX zlC%VuA)S_rXRP$tglMv8j0EZ*`w;f(A4|Te{VMvbY?d=5?yb>w?*CtwDUEl;r^j{l z!J_HWB^i%O!ljFEtT~ECv$(`;If@iT{>ei^|Dy$t`@eKRLSq7D(ZXKj2W;t&TGJFq z=5fU>N|%tUbC=kwtyo5Ee0BPMOPK$n_XqR`C4hnTrtSMfKrPZOrSLTp{Hs59zPBCN zF76$I*O>mV0l+nZ(faYtFrRV$O1`CWGvB95o%Q&J`UIEUq2@Kh%RHF+cnjwd4sw@L z3SL1tdRxqi9x+6dvGOaq7fbSa$iCc6(r0rOq@(#2_G9P;1kIhLMdiBo*hba6jdx*F zc3gIRG&EzzFz0fa=dvx?N(`Lxw(B-m5Ci?U6UtMg6Mv8sd7zW(ZYMj}aXp*;YnVt! zczV-<@$yUKWeLy*%j-?0%!FHwWCv#w5916ThN)4wee#I|=v*c(VQ(8@n0!(uaQopn zjcv@1f9ysyRdGE2B$w@Ygl(+c!GMJOqv9{Z9?t}X)AHSfV$sRZ)44vgrYGy7ky}(O zXqh}9uLp58hS(V6pZzXFmInWHGC*@;@7Zzr-Hf1wc<(Ig_8I7j?s=EvV0e}=^nnY% zVvl*1P*_%Yh&Az5N(FK|#^iLO)ipQ1VNY`R=W?RJ$G#e9@Jz7rFvrDGl|z^JfX18d zcdTfEFswX}vtI)`(S2C84_5$x939-MF_w21`nMXpln0gKtUp&poPwaN<9kOb`MiPL zTUPzF^HqlEjDaWu3I69v1vp*c0Rj!N^|<2)wiCEQiy1u;Xil`IN?`sKdVo+;RrjuN zSJ|k^K|8~nr8OBkV}dlcvPcnc&79~2xID>r1H6^ZR-2CUd>y!CgUDrma2V+jc^Y-z zN$NVYSYpd!+~eh0tVdwN8>;F#drH-je8EcQV;Fo{c8GZ$f}j+4#2y z%f2Gv*~OfHZP`zj%Q=_X|G4lqNg_J;d;9vIIx$$t5Do4@ zEUPs*7;!dKE&LYdOM0v=0n$1OtWtruiaJp39l{d66f!Tt?R~icxZvt-LT|mdtY=`| z-f(>&dPi-Ijr8!L>u_?FG)7u!sno%*}P=udDt$PcXB)@sG5Yl%Gw3R zPRG6_IqKr*I5u=#F5{iWo3e_(Q7L4 zKOk4z&jvAuFUfn8wHujqN;oGz}C1X4!4g?vW)24p#5&Qk7#Q2Cm zB0tiYWuCc^tl^UaGsIu~wK1pSpN?4fvz2;prnyN5aoepR+jEGkLy&m-KDcq@XVqwP z*?}pfP@W(5wM8Xwry>JPMg+ZM)q^`@76M(bM=F)KR7OfU=BP_#;X}F;p+6!7n?iR* zA~GyGDI_VH+;>`F1&~c$`GLLrZr$2885zo_bzK_y_Vyj7v|dbk$tjIg#=)7PpNGDe zb{_-JhsG-lq85Mo#656gp-q1e4Y2Oxo=Ua)u1II-SJcas3%&cjk7H)r{keV*U5nKY zP?-|Fd32BQjR}R^v}$fs2~d+~mR2ZEoa zeg^~~)~nO)-SN(zg$AB5G1` diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index 934536d..74314c0 100644 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,38 +1,38 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681444896092 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681444896092 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681444896092 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444896139 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444896139 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681444896232 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681444896248 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444896498 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681444896498 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681444896624 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681444896639 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444896639 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681444896639 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444896639 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444896639 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444896654 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444896670 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 69 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681444896670 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444896670 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444896670 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681444896670 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681444896702 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681444896748 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681444896763 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681444896763 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681444896763 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444896810 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681444896810 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681444896965 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444897139 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681444897139 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681444897811 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444897811 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681444897857 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "30 " "Router estimated average interconnect usage is 30% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "30 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681444898076 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681444898076 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444898310 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.27 " "Total time spent on timing analysis during the Fitter is 0.27 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681444898325 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444898340 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681444898387 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681444898434 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13732 " "Peak virtual memory: 13732 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444898489 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:01:38 2023 " "Processing ended: Fri Apr 14 00:01:38 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444898489 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444898489 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444898489 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681444898489 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681451533260 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681451533260 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681451533276 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451533323 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451533323 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681451533416 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681451533432 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451533666 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681451533666 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681451533776 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681451533791 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451533791 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681451533791 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451533808 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451533808 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451533808 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451533823 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 71 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681451533823 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451533823 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451533823 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681451533838 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681451533870 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681451533917 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681451533917 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681451533917 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681451533917 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451533964 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681451533979 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681451534104 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451534324 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681451534324 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681451535057 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451535057 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681451535088 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681451535324 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681451535324 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451535588 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.29 " "Total time spent on timing analysis during the Fitter is 0.29 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681451535605 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451535605 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681451535651 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681451535698 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13732 " "Peak virtual memory: 13732 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451535760 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:52:15 2023 " "Processing ended: Fri Apr 14 01:52:15 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451535760 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451535760 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451535760 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681451535760 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 70ebcb2..544e104 100644 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -31,10 +31,10 @@ C25M => WRD[5].CLK C25M => WRD[6].CLK C25M => WRD[7].CLK C25M => MOSIout.CLK -C25M => FCKOE.CLK C25M => MOSIOE.CLK -C25M => FCS.CLK +C25M => nFCS~reg0.CLK C25M => FCKout.CLK +C25M => RestoreDone.CLK C25M => Bank.CLK C25M => AddrIncH.CLK C25M => AddrIncM.CLK @@ -102,7 +102,8 @@ PHI0 => PHI0r1.DATAIN nRES => nRESr.DATAIN nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE SetFW[0] => Mux1.IN7 -SetFW[1] => RAMExists.IN1 +SetFW[0] => Equal1.IN1 +SetFW[1] => comb.IN1 SetFW[1] => RDD.OUTPUTSELECT SetFW[1] => RDD.OUTPUTSELECT SetFW[1] => RDD.OUTPUTSELECT @@ -112,6 +113,7 @@ SetFW[1] => SA.OUTPUTSELECT SetFW[1] => SA.OUTPUTSELECT SetFW[1] => SBA.OUTPUTSELECT SetFW[1] => MOSIout.DATAB +SetFW[1] => Equal1.IN0 INTin => INTout.DATAIN INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE DMAin => DMAout.DATAIN @@ -122,7 +124,8 @@ nRDYout <= nINHout <= RWout <= nDMAout <= -RA[0] => Mux11.IN17 +RA[0] => MOSIout.DATAA +RA[0] => Mux11.IN16 RA[0] => Mux12.IN17 RA[0] => Mux13.IN17 RA[0] => Mux14.IN17 @@ -131,14 +134,16 @@ RA[0] => Mux16.IN16 RA[0] => Mux17.IN16 RA[0] => Mux18.IN16 RA[0] => DQML.DATAA -RA[0] => Equal8.IN3 RA[0] => Equal9.IN3 -RA[0] => Equal10.IN2 -RA[0] => Equal11.IN3 +RA[0] => Equal10.IN3 +RA[0] => Equal11.IN1 RA[0] => Equal12.IN3 -RA[0] => Equal13.IN10 +RA[0] => Equal13.IN2 +RA[0] => Equal14.IN3 +RA[0] => Equal15.IN3 +RA[0] => Equal16.IN10 RA[0] => DQMH.DATAA -RA[1] => Mux11.IN16 +RA[1] => Mux11.IN15 RA[1] => Mux12.IN16 RA[1] => Mux13.IN16 RA[1] => Mux14.IN16 @@ -147,13 +152,15 @@ RA[1] => Mux16.IN15 RA[1] => Mux17.IN15 RA[1] => Mux18.IN15 RA[1] => SA.DATAA -RA[1] => Equal8.IN2 RA[1] => Equal9.IN2 -RA[1] => Equal10.IN3 -RA[1] => Equal11.IN2 +RA[1] => Equal10.IN0 +RA[1] => Equal11.IN0 RA[1] => Equal12.IN2 -RA[1] => Equal13.IN9 -RA[2] => Mux11.IN15 +RA[1] => Equal13.IN3 +RA[1] => Equal14.IN2 +RA[1] => Equal15.IN2 +RA[1] => Equal16.IN9 +RA[2] => Mux11.IN14 RA[2] => Mux12.IN15 RA[2] => Mux13.IN15 RA[2] => Mux14.IN15 @@ -162,13 +169,15 @@ RA[2] => Mux16.IN14 RA[2] => Mux17.IN14 RA[2] => Mux18.IN14 RA[2] => SA.DATAA -RA[2] => Equal8.IN1 RA[2] => Equal9.IN1 -RA[2] => Equal10.IN1 -RA[2] => Equal11.IN1 +RA[2] => Equal10.IN2 +RA[2] => Equal11.IN3 RA[2] => Equal12.IN1 -RA[2] => Equal13.IN8 -RA[3] => Mux11.IN14 +RA[2] => Equal13.IN1 +RA[2] => Equal14.IN1 +RA[2] => Equal15.IN1 +RA[2] => Equal16.IN8 +RA[3] => Mux11.IN13 RA[3] => Mux12.IN14 RA[3] => Mux13.IN14 RA[3] => Mux14.IN14 @@ -177,34 +186,36 @@ RA[3] => Mux16.IN13 RA[3] => Mux17.IN13 RA[3] => Mux18.IN13 RA[3] => SA.DATAA -RA[3] => Equal8.IN0 RA[3] => Equal9.IN0 -RA[3] => Equal10.IN0 -RA[3] => Equal11.IN0 +RA[3] => Equal10.IN1 +RA[3] => Equal11.IN2 RA[3] => Equal12.IN0 -RA[3] => Equal13.IN7 +RA[3] => Equal13.IN0 +RA[3] => Equal14.IN0 +RA[3] => Equal15.IN0 +RA[3] => Equal16.IN7 RA[4] => SA.DATAA -RA[4] => Equal13.IN6 +RA[4] => Equal16.IN6 RA[5] => SA.DATAA -RA[5] => Equal13.IN5 +RA[5] => Equal16.IN5 RA[6] => SA.DATAA -RA[6] => Equal13.IN4 +RA[6] => Equal16.IN4 RA[7] => SA.DATAA -RA[7] => Equal13.IN3 +RA[7] => Equal16.IN3 RA[8] => SA.DATAA -RA[8] => Equal13.IN2 +RA[8] => Equal16.IN2 RA[9] => SA.DATAA -RA[9] => Equal13.IN1 +RA[9] => Equal16.IN1 RA[10] => SA.DATAA -RA[10] => Equal13.IN0 -RA[11] => SA.DATAA -RA[12] => Equal7.IN1 -RA[13] => Equal7.IN0 -RA[14] => Equal7.IN3 -RA[15] => Equal7.IN2 +RA[10] => Equal16.IN0 +RA[11] => ~NO_FANOUT~ +RA[12] => Equal8.IN1 +RA[13] => Equal8.IN0 +RA[14] => Equal8.IN3 +RA[15] => Equal8.IN2 nWE => comb.IN1 nWE => RCKE.IN1 -nWE => always9.IN1 +nWE => always10.IN1 nWE => always8.IN1 nWE => always8.IN1 nWE => always8.IN1 @@ -218,10 +229,10 @@ RD[6] <> RD[6] RD[7] <> RD[7] RAdir <= RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE -nIOSEL => always15.IN0 +nIOSEL => always16.IN0 nIOSEL => IOROMEN.OUTPUTSELECT nIOSEL => comb.IN1 -nIOSEL => REGEN.ENA +nIOSEL => always7.IN1 nDEVSEL => RDD.OUTPUTSELECT nDEVSEL => RDD.OUTPUTSELECT nDEVSEL => RDD.OUTPUTSELECT @@ -233,8 +244,10 @@ nDEVSEL => RDD.OUTPUTSELECT nDEVSEL => comb.IN1 nDEVSEL => comb.IN1 nDEVSEL => comb.IN1 -nIOSTRB => always15.IN1 +nIOSTRB => always16.IN1 nIOSTRB => comb.IN1 +nIOSTRB => SA.OUTPUTSELECT +nIOSTRB => SA.DATAA nIOSTRB => IOROMRES.IN1 SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE @@ -266,9 +279,10 @@ SD[4] <> SD[4] SD[5] <> SD[5] SD[6] <> SD[6] SD[7] <> SD[7] -nFCS <= nFCS.DB_MAX_OUTPUT_PORT_TYPE -FCK <= FCK.DB_MAX_OUTPUT_PORT_TYPE +nFCS <= nFCS~reg0.DB_MAX_OUTPUT_PORT_TYPE +FCK <= FCKout.DB_MAX_OUTPUT_PORT_TYPE MISO => WRD.DATAB +MISO => Mux11.IN19 MOSI <> MOSI diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 1aa8c1d58c6fdfe2a6efebdb1dd2186ce40a1dae..404330b801fab92b4052803bdd3e132c0c5694f8 100644 GIT binary patch delta 407 zcmV;I0cifJ1E~X$9Dk$>M>b0c6~S2`TrI~;5=#VHVpnuz(Fas(W1GF?78R8 z5M3_6{$yC@v@BS^#c+noLFDokX|`rYQLM(DpveSh+SFnm-+w8tUhszNV~Zz~o(bym zCO$?KtH1Gumhe5~nz+MIYpGvQn7XOIHuqLHiXQ-#ySEXuev)67sP{LJJ6tsfDOmH= zcaC3?zs)H#3YG>78YWR5jg7w>so*WJ7V6g6bcQ}t(RR z5Z5VP&la;O5q~=(xcdO*)Se;NM9Nx&s(JO5*fhqXKA#`6rJvAk&f;ht<%8v8RY69# z=^|j+%1@&t{Kj0@JL2>TkHUne0V{$uifQX{Ql zJ_QL)Zi<{a87w4G2Z^%0YIbZLsMYra|zZUep!-{Xj5r?=9=zBBqPeM;r+S*sp}rT4X!Ov|VR` z=xX)#$K%qcRnCSjf-}?>B9|{u(hbv!d_C<1&1N`Nx)%L-A%D4i!CS759iGhw#&4>d z_!N<^|3(*D!1s`A;toTjgnB_i;w0Wi-#gVxegISzZxd$xB)^QZ+226!aNQn6$=au( zbNq_DT}GK!u*6@|AP%!|YW!VGOWpzNpy{+tXBaZIy)1$4MGfd69m!9|8O=>jY=;o1 zS}e+l(@Qt~ycf#ov9tJT@e3tu37}4M} diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 9667497da980f49f4ed6b9c96b5a666b5fdac052..9d0bbff4eaacc34d87d5a2ed7c6c5d6f9b733543 100644 GIT binary patch literal 22993 zcmeFX^-~;Qus)1yfZ*;HJh;0{a9u1xg1b8ecL{EbJBzy|cyM>OWpQ`;^11i@AKqVX zP1T&5nSSQ<=|25*PuJi;K|xicLVuj0plm)4u8&dM+}Xv-!JdMhpOu~UD}{ucm8}^C z5ARosul#Hr9Bdq4+4(6n6eyIeT+Jz@&8%EMWJ93g{^u1gI{!03iTv;7|6EM#{qH?b z=#wtATCHQRO%K+j+E`lOP}(CZRDF7gy!2qd1c!WNlwV?qSpfyId`zfDziL{!RkR(m z1{z@@v5cfvvTBxcdI*;XC{o&PZRe@vr-7Mi%AhXoV%c9(fntpcN*;xTSWse!IkU^t zd-bi5O^;9YZB@g>GBKaWb$4y|#%&dN_uaSi9N=^6XT;CVeOnDMsy4SivSO*3y)p!J z>yHNH?fck0pWEm=s0eG__&(_O7s$T(5R5$P375cF=q0-MDHd_Z-FOyk&7KCwU(Nyp`kKwe?zEX$O{>lW?H( zqtnr2bS{_!mE%-gVkfu-z%HCXmuef6BpYcEZkx7}kCBP)fLrZ`@d@|(0rRV7_dHeY;c^Jz6VJB5BfU|zir`Lu8K?b#DCDAMqt2V zKh0v)f6(;K&fzBeE8k2TxBsBL*8+>}baZXn|3L@#_N75?zrnKxmH$E8GZxzxxY_?T zQT+#{V`Fo!&JqG10CN6=j(1Kpfg2&UtzY#VDTnK6u4Ex*G_@{GRkZDIe9c*0PJd z%bn>d*K4Xs%elJHm@l^o@Uf06uF4{xxx>{kFl;`bKZrKDM6r8UUe>D0G0~YBV!dWG zwl00SY0-RzovxL1?TXcFv@rx20A3@cU=^0h+UE5dWj*hj5GhyL=@(VBQ%VGTjj>2k zX=&|pvpZ&#Pd$>czwrBI=M=a_`vbU4o1fU}7LAXP`ToQ#A0fu8+#K~zR<-+~4eaT@ z;>TlL3>_XbKViljsL*Zkx8-Jk6&z_*ZIl)9eSibA#~A3{P{&>T-MdQ+U)CYt)ZD%& z2Og?;14N&!wyR{uMQHbZq;L2s_a@a}yioqNjpEr=9Po++?^P?;^+Cw1ybsVD*rUlT zQ>0r&J4XWJDSOFH({r+AxrrNUdQLC*n_|wi#-|*|v@NDx#??8tOusO^pYAGxfJdKS zt^F=`zWKkAod#SAHumng1`Vox@2Tt>Q9iS#_O?DsIeM$%j(=cxpIfS0U~)CxxqmEgQ^R21vyjl?uEFBcTr>U_nv!46Al&?Fa?|gb z_E1}dw!tjEJLemg!XEv$j1qIu&kze8Y1c1iJ*{h!XZ9dpz8ARNvtLefD$D*=tgaJ^ zj4AeQETcZ2VCn5g_1s9VHQFP6MIjv#20wz)I%T_nxu9uW&?xSE$-LSpNBJ&vP1}Pd z%2{`}Szj#=_JDl)AFA8u=75=(IFmdh#Fr-vpt*xJ%Dd<@a;Ndt9p5a2d(B;^*RK>n zAyw*naMYpRw!Y}Oehq&|XJMOP){S>@sC!h1hK$_B#aty_V|t4M>J9LdG zzU?07x1u`x#b-5;#iXGzSs5)QB`n7EDVkCyTzZpKBkM;vf?-$uap~z=9O+8k9pmC( z!4Z}{*mtgJf)ds^GDadgj1*Nn5=*|MEMAP5M-~51$kjji5B+Z}KjARn|16E_7cXv` zDl;Y;2rInw-zZb3mRI?WVNF7x5jBjjhij+I6@`yJjFV~c%VBh*ZBck+-rnjdc^Gf3N=Z{|1!y93hq^F>@4D?gyHu37>j*?~ zA^OIfPodqXK?sa(leJjUwG(MbvEI(TebYYE)_8T7&7LCcz%=vzuAs1|iu(O$Na}0j ztr%>|%2Z&iep(E8JY)RvQ%m@vU#!Bvz*UwvDlY5R~oI!#~ ztQJ=3aKFz-6~z1+GmkPdg&0Kg457Zsn5mzO-R2{cOlr^6cvoa}Ev8Z!f{WNVnWRm5 zGW>*ZFMb-wh3Kg12(H|T6&guDu9?oYUvB9rh7$Zp>wM3TtwnN@QV3c<#+(Xt(y?t^ zZ{qJ-@5Q4&8B+Y3M8lv-myEF8RNKKr@C}_v7S%wPg#-;mW?GVm6<5IS>|KIGx&VIe z4n-frLfx2Yzg$^N?J^tD@%&kN;MyGO`_){JfQSs=RO&yoUaVk_cefu9D<(ZBBVPKA zVE-CqIz{_pvYYj%_y`0EUjqhs_ZpwEZq;%$G=gW_3f2&(5}P|W z`izVk&mD_{hPzQ%&FRwa{1gD18p(yhJa3QEHb*@2rgoKpyLUeVV{sicFEGe$0Kt`v zeClr>#JFB%N^BQ$&i2PrvTuOZmGwxj_H@?!!^0qL0sH}u77!~+J7{?^Sa2UVuWql2 zlE~0)moi{0Qn@&8yf#MU!u3LfYw$3lQoZ~vLQ|6qjcn|PqP6GeiK%;Eq{mrOOCcHA zrUU~}BaH)p#r}E5o2}sj55}O^x7QanXzwn`ciH3*S)ziTVkvK? z{23poo_GI+Z%nA@i+O4jIrMFZ(oj% zH9kM!G)~s*bgyyTGD~))wbUEi^!sth`e8oCGatQ!1lwr0>1b|pn~+QoY!WS~D|5a` z*1#0NM@Bi;YIL&n=F-;SQOTDn^NMsJQ32-kFO7yYhs@UFq#HElyU5LlMpTbCLw|C*> zc``pDsZe$|_;>Ps``W;7+V_rL?kA&o{qO-R*jUT5tMq)M2)F4Onjt)M(Ur z9S!#GZ|fg@}R-H0D^)`s~iSod^Do6z3C`g$aT?U z!S)TX+2SnklCx?~p`ntSN>rP490QG2an~fKE=YlaC_(`fI^X+Rq=q;T3PW~xpe0QQ zs(M6p@OHOF^np zji1yTY+8pW<6(>ZY_lwh?qN4bPkk;7lgSdkA11M^l53IOsm_MAV4K+q?xlhYVMZh# z@!S;EZZcfBkZPMSDe8QM92!}cMuI9T(t+D=82#C@U^p%aBJJLp|6cZ##3wRWQ@osZ z<1g-PR4fmjY0@+)+|iwYXt>ok4I*9c<*-PEgfESM>=85(juyD;Btop3Se zkB`Mb`aG3er<||^3jJx=EuI~n4S>Qjq9=pKBEvV7lWH;p{B<{xqt2h8iy2_e%lIK(T-&xtO|k8SNr^ciHQ7;54;9%1TnqM%`J>ur z4m%sY&db`Jc%xIa4_h8t@(_@m=(^&0Ln7~>b;xW>LuwJWBsX%*Si?ad6lM|T0RBzc z?t66HGu+4RHu9o#oU!(KA3N3EFBoIF@v-;_J@u}?ntD4>WVzMaqxF(lP&j@ z<7B=UUhS?%jSLBYMywR(^d+XmjHPU`i-N~u+HDyy*qhs%sO`S+MP`@l+%E)_)8;jx zqNqS&P2YDTl;D3E+vPebnE16W@6QX*e9F&zq% zJ=IfQFHS!SAwK)<`|<`w>~#sPOSdh5>a)#-uchKC1NND=mO zZJnia^aBs2ug9?pP0+sTSM2h0!i@}Gf9vTqBF0l^i@|5iCsG8k4f_%K`B`knh&LWu zv{>&B*HATBx)fZNhssug?83pQX9PuJUf#u5Rb`RqS>OK;)@UXtV7?=v^4o`ns!b*m|mM%W*}9BCMrXUm1Ge8;ltwet`JFE%5~SWEkDjjfKDO zrd5tH@!t*1jO`<%)ef?!e|JfgI!nuWUfrY{JF1yVxkdY-PD@O00k}0KxKmxF43UUL z3Z7JWdqrRMCIP80{2bBEmi19p?yo2+O>-rc9c-`4@co17-81Tni+xyJY^ zA~V%P0}?+0*PWciYoqt^(OjrAezGMtSqm`&Ia(^3SC+TTmm~Z@K~7K4RH640b%MIf zIr@m01u)=!X`giqnH+{BR()yh7*y8}dTT+^2}s|vbR5Bq9K_lfSAZ<3)UX{8z@t~| zU0K|z`NtnUmgO41)H6RMk%YvnE8+o!w=rXBn~ok;qyWvK=E#c^^gP~X$c$c%0!00A z)l7;nIl`sE;THb}zz`NN&>9uK zobJ)^oxG+{8n?HFw-@F zKDlms+>7*t>e10@c$*PViZf3?6%Clpq;kNW*Mey{=~nXrQu|S^F9eGOCQg^sQPI4y z?5rC%sOGVGMnXmBY5ZZk61|UB$J57zJEiRhcrZ1YeB!dZ-oz3k=TXUdny&C_3=`6K z5y&9x7)nPsfgSQNHTBW zBfggIxE@pMiJH(qaav8&zgXmI`0D(xE4jefPWMZ#iF@SO40i1a@OvXOmgdAM4lZ|4 zHVo(kzm1BRj-u=U+JAYEnPx z4Mzy*gvos<*;Y2i5bvWkAr^#(9;WA78Ij9j(qbXkryX6tO8B5|_d2f5wK0RPx@#%uJW?(3q_%s6QN=;n5R z{X0FkHpv1I#dk8geV(&qMZsz`Y_2^Y-#G3MeXpRE#N&vYi_Q-tSN{;~;r4@egpNiC})m!HO{vb~(e5R~yg6$m!yY_gS?;~V>EK}!X}9q60h zqf*C|F`!s=$vqpUI&>UKXW(c6F;CCsdFQS_LBl)T%vYM}lP^AZHh}M8H<}|j`n$<5 z3Z2)VXe5UN;*pzmjT~;82H;&cYgJGqqhIFmrNm5pGAQf_ci2W)3NTX=pPgAGYt1OB zD=g%0ya;`(6IJoyG`*H8o#Wi3+=P8?Op!h)6gBa@upmBJEo@F!4|H$yIuWyUm>$$) zt~QSf5#?_BM&uxOBn@CX&}S0^fw8+c34f4?+mVa<9{Ww}&Tz*qbzb8S?0W3afm+Lk zpzs!-g;@FU{iqU@I4Cw-BX)Z0_s+ktZitk$CWLA{&Pu9M&`s19@(l6q7#{$nc87s- z#{ttxl!Y$2Y>__s5_dJSsarj_#v}qu9+k24HnfD?o-$mfI@o0FC5X_+{kbB|hei=*st^|0m zakeJH*G-yWJ2FLZQaj)E4)DhuZ~jOaK6q*dOMF-446Hn~PV6HuFgVxr(s^Q?HzcyD zL=VxHByCKmY>j5aUv}k^Df7%@Lj-CZnK8hkagI6hOF&o+j&CLBny4>Lt&(yKy+B#-zx)RSUgss~(N&u(2us9P*R928gaavX;u>=b|2|f~LrtcGjH}-dpFtSW?6t z7xJPpj*fnMyv01gjf10FzE8dL0XodKF74;cH>;j4x_N5*kQB-B;reOH)x_Mi+&T%f z547b1(_S~Vu0HBcAl_m=^R&~vI@e(px!{keuzR#-X|h6f=;)`q5Mw08kD5mY7%%8Q zF}?M0Z-k@4UB9O@fzx5fy(>0gs?4<|z*XS=Nh;Mvyz6#2=~ex%PkW>`$!k}_8lj-= ztx~?r^P2(N8ni}qsnPeK%1~Mcl#|(yJTzZP&vg@UgnN>ba=i-|_Md$)!BpVr5YEfi zi`}+nVG|fUkhXObb<$m1Ya?4V?M*;9CUh?lhp&-Jjdo}!3i*F?T8Vb4oD}=z`|^FQ z_|7*`ZHev0WxOrJJzvva)4wg;3)?>?(;b@zgQRYBu(3$RcWMAunHX61etucC=pG$I z@jLb82}Y$X!eS=Lda3UM<|LIcqo$fpA)P)s(wjy5*s3;h)O2|KSfvxms)79zd-$YS;GkaYz7 zbso~IYU~|S-?TJqeZT96f%{Lf=BVhjQz@tMus5yDqCX+)*pSF63G1)OxhpLr8R;3G z2~jR5CjMFPpQDz7vGQAm8A2!L{j8y@^IO)KYdYX=IjJ>$!@qzT=f;zzetna48@5bc ztPP}MQ80~5W7!eqc6^lUjiLBakw}okKh_4}QT|2x=T*AeG7n_DGJn_(;tej)wj~1P5Dw1V*DLpi!qLuzo3|?S?Lnfn}j`|&pD_5Ir zx9x%gp0ZelMP6zJ=+68!G{kYe9#04vYE3A!kfkc96Qsh*tB)`>Nu<79JwUJD&7k6S zc0arvrdRl4T_*rKDXTjbB4HQYA~lx)%Q2KEO7 zy^<37Oo1|3*sG`ECknO|x2;G0RPm9|@=DC40ZLHxNDu0N1&1bJ80ko6m$fw6^DA|} zSy}`naNaqMf_qOM0>9am0&=&6_rk;9*XWa23c%i;hv=S2+lOKe-$-i{LP-lKli4>WPe;E6bZw`5+l&w$%qZk7V3aJFXzF0uT#^9@0xWw=zHWX;{ENuu#RVekNxnAf>_;dxh{4eQHJ^v z?K7Vs5(T-m7u!!l<8Cx(+2%Ddk+|evf(uT{7ogs62Xy!YDr^uGJvCg*XTA#`#21$;@@ z^*!OM91uYamFC_j&%!eQu+{%zQWnS((ptC*3%w2UD!@m)Oa?^%Pa|1ok6d%zB^)^H zGnpq=QT<;(Q=MzryaxK3ka%nRMtGVau+ef#tT-kte8yBNSY=m%8}FNK-b|}0^%Jey zePDA$XVb&S^P!M18n))8{{&@E`^0vIUofe-WtKK|gF-lvNZfKv?w|7*RvwPRCMC07 z+E3Yg3zBU98T^&iUHW=l@?V2Cg9n@!93Ee5VN}#2W%{g-Xi4)MefY7V+&oK^$lpBe zR(W@o%rZ|sD(qbXm3d9T0<1qp@Ck`_{WFn_oUJX}-!FlV`~u@=SuAExN^jB|Hh1%( z${1X#|C;(0fYH_-Cw0&&HW6^8SB`IW+uF|yj|=f#K)VkC2I=4>3OdW(iR2`kx(Q2~ z?>HGrrhSbB)-;bR?`yFa>#ZT78&avMV-gOhFO!elj(t`nH4mmvqMSC+MB>?L{X z#6&SnhSz97KmLyv)0+lc3gg$!hX;>95B}tZpT+?VMKj(%sH=?0^v=P4tFUOA){=dC zQZ8ygjdjoMkkFpL7m4+~!Tg$C#=8=AYr7T;9_={58SHk0CF%f7YUcDXH@q>ixPEUF zh4uK=ZTWB;f9M0gmM%iX(%pLdYaQ>sDI+)6(4Uy@o!WKvRN!SxJ%@rd5`_R-6F@a zY34-Na=`cdZ$z1C+JW)5&0L)$brxV?R7T>T-TpcJ_k=EIoYxJlF%Esx-sl@DVrj!_ zCo@@pn?$~0_U(HL!pNaOILcwUm6lr)>D41Rs@l(@i^P7@g5DNMKGUa{U5D6Tqu!3d z3Tx>qzFUZd{JC>=)YRfM3EYIpvOAL6L&I_J+d$lZi9(&h73o&bZV@TPDCD|^u@%e|j8U(ez>vDS|xBbT4! zVWjXo()b?3x!B2y^?|%iZ|8Ig-eY;UYpFF->8#)s!AI2kBjvpNV_a z7{%N>!RtRFuPi=akH;lFQYgN7g6vdi465gj+UonHOK*Q2M~k{vvd9UIS58|i+pN+T zDcjb!amX2Lg*rEd2TH~6XNL=$_Ws5LdNcE#b1BbsbK%Xr$v%8t9=KbM3k(4Vgh*-9 zlWoVR---XRB7??q};JlmcuN-z%xoF!epZ8T5n-P)f@bg`L)2o8( zMRNnnTU-x3`cS02uPo-n^re=8;4Q(72`|^&*Ww<7FUG82NZshQSBJA+ZH9M?Z#oV~ z^1ep*tO&;Y_wer1BbwM@2rc^hx!<*?*i3C7GtakP#NEHzp<$B0seYvs3y>bIH9jKH zuo#YQu^cdrN3V8TXMCj@s&HTuY)#sKpQ2SNM_}1`#NK%Xqvh&zTeWNNdKn0XgLN?{ zfjBA$Sg?@8Hcf93=Vu50~xfi2ptzl{;qm3>WmmY&8o3;x%X1=#5F*VcT+Uz_Pc|PIV>UqWk-hUt9 zYz*jmzIyyO+n$$#it2e!^NFeNkCuwf)s5S;xGS_r6)QrrR8`lkG| z-;pmi_v8k%Aw%kS+PeaH1bvvdk&O%bHcx)pZ=GLRCXkWvdTroOwx0s=&1b1X!6#3p ziXi_1(Iih|;3&3M}Z+r9blLcKOQcCV`Y}n~VjqS* z$54!J10O?Hw(@25TxIo(aM6}_a|F0{GC%gq^L4h+NE)hw?D*<_7!|J9Y@ws$-<={7 z5Q?Qf#Om=3XASt|Z>1$(&fm3qWYH!{dS^K>yX82tEmtBS=_N6mY3AQ2J!w_Piawv$ znNP%FSlG`rUg4?VWZxnzDr;QM7oAJK@Rrv`y8+9#cnOSJc~*p!4$RUM==Q@Hw}6Ud zCi@t*h^=P=0Lj_JjjWJ%+-mur0u?A_TA}p{2lB6TM))e@=GH>-k>IYCo3I{34k5Dq zTNX8tToLt+o;bJCGHsV$*ZI7sGdCH5fBo8kMnhz#bS2JzdnDCj0H-@xyFUrkWed7y zdQhb8OZFeE*{_m~a2R#DSSu4-vrlMs1rZ!@_;LxETVMH#V~DcGXMklc*KC6yTW>l+ z86f=wp#becj9p}fme7|rDS-z+XQ{mw`KM1~^9E04!<(9(Ib63x1J?;BRfRVm`f39C zx(W<+wgigaUo*@Hoqq?09f4`Vu`B3Anu6T1owksDtz)*zcMM`LS8+(DNCWoY6CdK8 z?KW+5^LXKXCkP@pgqt(mU(*h&S?MHPI*ls}%H$epNj9s$FbNzwbKs*KlEb zk<3b>RQv8@IM!x%9SPnH_}eEPfe$vflmpiQ)=g!{yV~?;!Wp*3(VVgjpRTEFyj{XQ z1CW`k; z^?Jy?`H@1g;1%q@6UrQOEe?%=1FdR*Ot0B=R>wPb&`I3q2 zD$l6agZr){CxvGF4)@>Md7$0oq}e5rwF6msW|o?PnV$^H+0d+Zmj@0CzAgxSIZGRM znC+||4_Xwx8^Wq=6DKs*e==Wk{S4KTzMpQ1qR%Dex>=dqxqseS?o3-=UzH7)^6JH! zE<6)XI`e1Z-uhDANahBnHSBLf;%630qZeX76UEJl_>GV{#%PG8UzhnhL}e;S5qeeN zO}2z|?ERMU$Cm2d099d=?%>;Ae3ZpgEsk(}%aPtYGxV!}ftE_x*c??AL30)v^NL!u zz|$(jBwodK4dVZ-`)47SbMcC&50xjOboCyo?gAf zu0PbpqNE7uq9oniP+%kg$ek|pwkU+J+}^PGh7)VfO%@{L4!ztXsq|EI{wg$|`RB8K z7r;_N1scF&?`a4FzpvIJ84+VAXM=l6v8h0pGzW$P`tgtIqQ<7vGa;qM)Ar9C5KrVf zYx`$>ET&P;i`J#2bBFoJlGCBzNEwnUmu|1Vs~|8Vq^;_JdIR^O62Y5HsnJ8|y=-2# z|0Ogt9>n7dmpj|^%xB2=j2CJDWSRBA^3Bs^{G5ge?M5i+Vfgk1W1t}tJQyAb7J+z< z{Bh~I28m%$W<}nCz>9!OAqGulm^31xa!R1XR%D1EOS8jNBwene_o_btuew6|$^v_x zRvQiStY5Ly;Z_j&atdgI4D;=tax68=UP(jR(7ESG9*e7o*&M_nS=GbFY3n*R4GJ4W zwIK|lxXss?oycstiZ-o4zfytB;Tpx--KeFz=Xy)i9gmZ@roAI|At4^$YKB)>foZ8+ zFH)VU_Tn0i5J%uvvhDPrnuFGCt*f~KpIJK%fx#jiN6Y(z5TxtppA=oB6KZ>k1)#Zzdxr=m?$j&n-QPC9wz3MzP-?qGb}IF8 zSlV}dOg8$~N=a&6dSTbWyBK-Z9VyQcE=%~Zj^pSPGvjyNfwqUL0CC~mMiy|ucWGXz zMoSi=70FhuUj@-qZ+;U@Ia=O}lzxazRZkV}b{Ed+ZHchF+RI9_iJ>XJc}-#xT4f{< z%2M+XFY@nlShX7>`j|+NmWVIZ!E}VUsVvwzoyb2NaI=T;j9$1m<0?MAwabH{(d&|h z*Yk@7HK4AA;k9C-s&ZaMM&fve3@!JW%Yx4aw&t4b0GwRPedru8?4+7V zFPVjB__?O3EdQVlcDokgbGBB?wHYc~Za9}0s9derC!JjQ!c5+4W>cBJkkjaRjp{9F z^DFmDGtGS%2yC9L862=|P(j{Df9GSU0y>EL0V%w;r4kjGRJ#>Q82c@r8`?v|Yb%Jv zF6iPXoQe=&{*68wPYwMUab}ufy|8$XHMbA=%Uvx_a#FuZspl+e=_ACJ@r?smc!g!Ezqg7mt=C5kD3wI{)ADo zg>+lKOu2*}*MCkn#NBTuGVhQEOGCc+_`YTHebVUrU98Oi0PmH5v);UMdz~p&GLr}%V)f~s;k`}m)}R7q@ui`Fc!aWG@*4Go&gZ{`A0zgwZYbu4 z^QnB{W~r8~_hz%o=cipg5I)g1%u;uR7s}fG`LwL}iUMLJ_P#3>qLi6+Hy@e3M-;k; zAI8wBdz3$~q4#K){l>5uds+)j@K^J;uTlf0B^A?lyOa5UB5&z$+2{9M0ERnq10%SL zUCz%s{REZZbv*BY0VR`G9bU?c$r=v}HptGSVK;>=$*0~6noa_ z5$bS!^+io-#}*Y|CZA++&bq!jtu?D-N-$0n+ICXdl+fTQBA<2Mh|4KhFBm=Au%}_z zzql?=A-Y1h38Dp(*PD0l&71g*?}kSMr98o$iPHKTM91vH8) zVTfBhpJ>JcX7Cb^PR8qD|Of)&ER! zZXj%R88`}lm()zr+vWbj2Yo+3*oT)tcYd1*8Mj<^Xb;@)DJ-s-d4j;8Tz52!G(ft} zt!w5*&}o=K&2r?q_BhmF0r`V!)B_~0q87)Fh4|GK|25AhZaa$Eg4Im_HT#=ggh65E zuI2buS5f&_|;SYHElxPaJ~x&>8|_APxT=D)=YOXk1<} zDuH7K!vN)aDUSb8>?h>$6Hf~tJ{=ghM8IFg)xMcH701KAe@$hwC(xYVsky9!ehcHF z>pD{9cXqIXOyFDai-sFJkJcQWPo0F!I02Sq5VPvnMlCNLV}Zx6b050ed$fW5d6eAS zu=pNL0v&!d4jdKY&)7D>s$cwhsk{qROB~^O5fXlf{6#QDonnFCPJljbo`k-^S}KDV z116%d4d<{n!di-`I&uUW@wvnK<|kMG0l_B}9w8)<%HTmc_e1>vK<$$Ro}44>B||M! ziZ$y!xk5QB>m6VZO+&TKV|;E&&>>r&0g!lGUV-*VQET!Noc9G19~C1>bfA%+IdCCH zjEY_hGKINw!xWSPe5Yt^a^sB{>)MZAs|fAi7KdThh0ZYs+Qps4M!hl;5Y!csee&tJ zkZ4@x^}oXe8YCF4j<;+fz{ppU;#owrY-8|5Ren|gU3Ezw5=?lbs}4kPYVj99Nww#b z|Cy3QCXFh&Vs6jb&tB<1V~E12qjfsYN_V{0Lk-3*3R0<2h&KhG6Lq9|X3^UZVcQq( z%3QYFw8OWq*Ufe#!~84s_$>@Vhe3w2)H%WA8RCYs4u|*pEEo9KD}HAh`tJ{`pO9KI zIZiEI+zM&h73j7pG$hkrKWUABl~r>8BW#XH5m-076pKFW5Ahi0LZUMB5EWaywYU0A zsZVp!8g&|K_EQ%-P=L=mI?16SC)fuy1NGW2kT~5?o%MtluW(np6T?a!b`5>uCWpFV zEd+`;o;RGoOzxK5hHLE%xuM~z-(JJsb&WN8wZwi+IUcv=7;E@qn*n?4;J3$puVw3{ zh9{d`nF}c?uacv^c;}-{roF^x2mh58s2OLS-BLfrpG|A?4_2G#%+(5H5MS-UH94j4 zC;+^`k>!MW{n1w?0oruf&p-`X=pM?wghqWM0B@~QqmDXy@h%GtXsX_L_Hb^bCN}?+1MSn`~ixwMiRxQj> z?-{&Fv3Qdl?X0x6L-7c zWB^^+3S*srP#0|$5b1D|l5j5;$h-?zUB1(uq%(<=9=a#HSd+;G*~4*< zyHHJilbIl!`!&!=f$w^*dAPTBAu;~o<++{EN*OUGYZ+dQWPlM12rqUNuvimdUZ-O< z!~Yof;oGWZc-o7V0uO3&ZXD!Z`@*cgmuqGU7pBmE{|>3nw1823)GONGiD+t^X4#i9 zM_0UOQnLTIDfCs^@;gI&5lih{ALe%o3}!bDUC6*?FqVrit()j;ZirSI@7es z7SpHxsJ~0Bcrvdu<_jt5uG&G2G6F?b#U)s3Z$esg=0+=RY)x=<73Q1+YTM1U`6|QI zPHQPX$ynU9Q`tjgpPD3~cz+?Oze6Jbz+ELH@UQ*}5zbZwY%zED4Bxo)=_-tiI{_#! znh9Gdn1j-unFH2Bkd2U)%ws}MLbR3f(ziU@>I%&lu%LVG;I9?=5a@&~j9P=$t%Dlw zQY|XowyH8O)zF;keW(RdtG#M|WOTpmL*weU%Nz00sK3AN{h1k&TBXDnlBz}>GN^k! zt)M>X9UrgPps*>!i7fv7VuNcdjL;Bcj~$sn=QtEP_o$?(h2t>BAr-R-&!dP-CVYM1X^dU zajMZwJ%|Ejh}l(7#Dlg5Eb$%UFa9i6z9#{zn9)Hw_l`AXnQD}TJj&%-b=rKBuFUzD z;w-NpjzWd#LZNBd+<7kM_9OSAwb;qv24Hm9y?%RAT9vA&mGj#^O-O%FhOloJ8R?6+Il5a+9}YsyaXk@x?uoL?o5I0 z3#)Tpm_0|Q5euRD9V2t;Wg9^8rgtk3B*dukibrgJRN*3sfZBvV7J_H*8HBt`w!UUZ zAwCbISaUe%3~Bz?3ZLq{=q@JyX7IPt)n_!_ZA6*8If(I#!R!p$NREO;^Wnmk*MgT< z7_?QS7m}jq!2s<-t*gwa);DBr%5Qd!9>o*$y_B9_wi!3=#YmS!^uvQOMft>rLL|L7 zfanHC;o4k$S);%C@F4uc$6r6xM3scLsq`G!9d;SaMpLygusAxkjEWRev z)4O6?6c;F5R7kYv1~3nNtaCvmQMDvKD^8pua`^;0XvZw5LF_$w*uZj&8IHs6Ue9%_ zimBunH?f|WZ?voeEkm+Qn{?mruex5Vo*%fXXW#!Xv^YQ8>RUYIf-N?uLK{im2l(KEs4! zA6uaTbwjPi(|V`NZ+WZel~Oils6|2c7Iq}kvVhNWIN_@^EPgjD1*?RK>xyKki0!AW z=32%H7_O#QznjHc2$2md%zLI0#QjH=D;4kq;l$6#7wlWCanloy21MHOQl7k&2#}vFE$wcFOVo2feS`}THof&4*(^(-N2MHvODX)t z25t*%CYC{9EC7m416R3+g11(>CG}T;mVh1pm&JTF)B^_#G3issHbSSjW1DklGT+|Kj^w9x6oiBnN*@q!V=*pGN&PkRIA_BVJt{%XFsKLx!U}j zHLZ8eX(eTe0Dx1|Yh^|`5{)1WvF#l)Yxcw+e&-DLqoSs_6sLG3=xHv>WJ<^;Zf$~_ zk%lxX|C%Ijp-4#Q->S0t*=xy(NCr;tG{J_Ok%73?Ajpl9SY<$5CHHDkIhb&V{l5xe z6Pmq=6grg4wfaZia73AKe$78BCdx@uW@;q)N*Zjy$2`Nda~Qx~LW{rEtdM-!odGkKCGxvIOGij}~vzj!(o`oBTs<_+grC+4KALql=F} zy>?KA*28`d+Ysj2MTYvDCe$ZtDm0=j{Ke2UhzW05Vk|?tFb+LMylIgaR z&bgN%Y$?4~<0+59jzGh5`@wR(JSM8dz`L-CZx!Ww; zBwgx_7V7i>$tSrm<~zLr2AXjN!u07W&K*y|? zt}Of+kZ?N}lS6gO@AVyGl<4%{IR>@Ou{v)w{kdH!`ztJ|m3Sv9d(J|trdsFnvNg8S z7(qWFzCH1egb6e40R_Qeq;hX|XpV{*p5uX#R0F=BbYp6X3!_1b{dU+Fm6(()41Hri zqN)1*h>AXW$P5($H(}#aIfQPQlI!Q8J~vb=W#k0r??*if%4N*&a8TQX8z1YLO%=hS-!434gLfqFQ!Yl1mOMo*MpO)O z(64Pu9Ot@X1J2Dq{6r!peu|Lt1#W_Yk&z@6^A7|)l+ygaQjF#ueQQp9`p^8CQ1E@hb#yRv|H0j6_sTvHpdF`;F~#Un(--Ib1P0~0RD1HAI(kHj#6pL
  • %f#u3^#?Qj8J#|;;p4oGG7FD4Ja9(>XS5tOp;%fnt^xqvrnMXp*;l){GrPxA z25(5%4hi*?3CiFSk(gS=W{~w&FD9%LZj1|?tT!lw56px)W?VNEmaE0hA2;EwU=Tm6 zc=MDA!qR74GlYxU!ov~<@f5TQO8~)1n*_?Txy#^kCE$#uOc1^6C7H}}X9dyQvkdl} zaV<5xyoLm&=Cqu(Nha(zO9N+J6+HO#pn#zT&YICFW?Z)lqEVf-wem^HZVk!=-+D?zH)T}hukEhgJkZ#Pl+|r=btQoc{dd9U(xb-MC{K`4a?`4AMy(?~z-8F~< zpB_<=uakbaEN<{=Fi;*BM-QYR$hoaxI^{Q@3$I8-?|pIFC*4k&)3CHaxnl<9MQbBas zbnBSapxYKB3uZO`VeNQI%}EKP)a)=6f>MLqO|4=xa8}hzmhkcP3XyKI-e6X<35?a| znAPx88EeIDx6RjaR!j6UP_B}*dejgsJ#KYwwCG(L0#bz&fUMGTky2W0_#M(7@8o61rZwaL9xPx;-bgFDrVTTc8`dY!$~nn5h=%hNY*p zoG7WHkCqOJg!+5b$bxZUeGPISn z<(;1uq>B>J|8N&3)bxehKCNctthJn13rsjJZa3ttrQKsazT7DF_ZGa$w{~hNgAPUV ziEOix1zy-_URY_+2VPijc&)JS8U-fsXVXqg`mMx-U>1ErmM$^jzWpIbOnP6;&wOY$` z1>H7FudRxZqa3K=1v9!h)(Qs9cMpo2&m00T@Eqy7$Tr!vK`yLPnRyP~jI1RWP-m5v zBO)`Zs0`jVy?mM%=*Ht4@O(WYpgcM%KY? zhps*%avENcW9r-}g8<^X1(qHc$ptNbs~uRnM+)APlzoNBFrANxWSh91H?lxCEW4^e zGODQ5kj{{L3v}Beysim6zt0MA<5~2oL}*ZImee9TC^hJkwgBEbSztn)ti=Q(q~3yp z?`6=*F@fMpn#Wtx6?tK=e7Z_toi*CP1ZFe0?+<+1VgfqwfM}Eadc+h8BIJa8N=4dk zxzGYH3=T`ToA_1xG`Q)iDzJ3umY!GlR%p}$ZempYFo-{{gRIsnZlXP4x&?qRo?e%J zGhCzA0SKq}THFPIVC|N>$_LJ?wV2?#l?3HF(2WmU4$In8MjPlx4LPLcxRC|AtuPB+ zaG=`?KX2O>-LO(IR8%CVMkt=~V{MVgjong>fUC?)fzao4jT~J>58QG2D+UV8P@JLF9f>b!)b9l(e2Yc;&xXv!KL<_ zr7gO_>88l`Nx|iKO)b!k4<8AnlBjf6%`qGvw4$&N)@m(6k#m&k^0wn!+?d(SiUsRg>7(kVWQ@aakb$a1rOPr)k;@*Y_aBYvV2-5iiW zHvl;)K)gf;x&dS2T2^3@+8O#Lfk}?G`ByJ6=fUicFFTF4fo{tM#M$J=IKXX2 z9K0~VG|&xbZ_lmU$(m;Z-SBDJT6BYCjXZNjCTzzgYNUillL{ama^|L;W_-|LQ5;%s z|2dVm;66*^_v|rw;)T4iLSifwGuDcwe{9$y6cd)H)G(fI zvp@>Ga9y;=q}x%G8hByaPTw~(B0waRhaKyTJkV`vQpKSRbbCSER*2ha>`@DJ+pNnpRy1L z0D)|eM(54l;{yJ?C=;BlMK?H+^}N{T_cVcSM?|_`w`2aXSD@Pq!t%HlpC<*lT@p7Y zKx?}b@R-Q%B+dzRLnx-qXrTqVA(V09NI}#(X5k-H-Y>EfMi$_9Sf}p_W53%CbUP|e zOQhdAo1+A}5gBXbER)$bo}$}vxqaF&gEY|XyiTo=U}lB1;K3T7QLdBF{pha7re!L1 zFA)e?V8UJrT(8LwB(%WwJin)Kr9T8+6M&_s)W=IH$2d?69;^ZHH7z?!s>0G1ZV%LV zUV=~AeO6%UrP`%|rMo52UkgHRU?~E5bBIuI_ zH*`t60~1cjge@XnZ#4wnOik?-4K8;@hQm%x+Sc(b$yCI76Lg|)U zMcmFunO8*Ow_^aJ!`b992HZAjwN0ewl1CH8?l2(kq-pa+00@?)?U4X-TRCtcv2-** zn6lTuC*A_cbBd5l%7X)DZU6|OnYI8BUZ+aQMvD;MN0THuWr*I*O2F+l zT?qiWFM(Kb-AoApA)RReK??v`Ri3TZqeTeL;-=WybpXQfyV2;XVb`xK=eIodS)*as zdn-V^qa-^#I4t%8Kz0j|wfg%qw=hu+Kw4f~S}XN$Yw=rbsJ|k!xWt-5tp-HNef-mw=p*pxYKD2n}%CAx?J^c(JthJgcXr_>w`Khu^7g zl~y{Z1~k{-D2f$iTWzLw3)X6ZPfy5%W%AC0Zl7`!a8jh(N@m5}ax|af1?M)p zPf43ird!}s9-CahKM2FXr&r~HOP2W^xB=He{pH@3=&lyz!nANZljt^jE~J(|65P%U zx3$SUsRK8^O$3l2-oR;_a9i7r+v=A;ZWo2yq1r14mXqK^BTui8@isEk*MZvx;g){M zx~2B6dimpaS-9Pt8#jKY_KMZEIdGd6ZacfV)ZueXpy@aVW9$h`pL zH^6q#C^hxQ-118rob<%TPZ1ag)s>Di!Fak{fTS&mH}pzdfZK|cM*Ccrpv_rK;Jag+ zgxz+VW`SD^8RTgT=9cn&@%r?P(ej?wcd~<`B`bdHo(nK`xZ-UuaV%>a}v5y+zwmjBh+^NN~~NV!}lZ~ zYP1ODDqgzdX22WVB%sn&hj81fWm=237H*KuXj$zv+6Jy)TO(_6J-YEB{0iOvF9iuT zbd$Pu-44sro$4=WvK~R*H*#Jr@B$CzPtI-W8j$qbE$LH&wg{0xz6i6;@-)zmyS8H@yJ(RT=tlP`3Eg996X3Q-xD_8on6&|J zM|Jv&T)(+nH-u~!$J55GLpMI;bFx6U=OnCnJHfmW=(a;azE|8#W|UcHh1W)r`ulHz zZrtsjOt=NQtrFQKk)1a$1iFzn2%SSW$hOO;KEwsO@zDt1+jX))H=MLyq<&u?=!RbW zXz(1m5oZ^rpmPg!;~@;6EIPM9w`=m{4$({-U7*_o#TpUf+=5)-M_vvl+ydQpOE;c7 zIJZEzQ_^jZXuRA6x*ZX2SCg4)pxZ&=wp^0j(m*#dp4TDf(CvhDJL)vr2D%YQjQ4Ad zG|=s|f@p)52T5^|fV9DBy-YZpL`WcXcM{;R2!!4=VgB}J00c#`2D>k`+V+U?H&0C<`4kl$X z!N~&L5R!5We7Z-R?j_s;-Hwaf5(T;oZPAS@nau80N*^=2K(|#oeJF8#i*Bfn&=Z>Y zTuQh}K&6DbiTT?Sn6?&fkgX7IYm=)3OYbUW)^}TqZVVm8jNT*%mTp&OotAzFj4aUY zPR)csH$IK!xvDXXCXF`~Z(E&4+dwy|Ry^5u%0M@!D`{)d4M6xw!t27$odsE~;RRxC zla}jtt|gG*wp$Bd&6~03Ux5i{#p$Y+HO4J4fp9!8>~>fIYcT=cwh56mr32k~%Y;9D zd)b^8=ypLpS2iTZe9t`TVUzH)^j}ra-sU{Rj?pLx@KS zWPxsXb!xZnPa&ZNx}nqVBs5!e`~Lv|0RR7Z0eq9sYZE~f$0xPbXn!UBVPh`VQwzr8 zr7G(-cC(q?jG6t>-HGiYG6$HB-|x%3-871!1VSkGXJ0%9xdWmf!p!G5T-ap+!C?nV!P=es zM?J+1)ETotd<90^q}15wZ@(2bQpXAe2S#8Pq6XWEeuCFpacTK~c9SG0j!(N#9CS`D zYno!m+SzmBG90)D<(Ab*4iDY6MSxFpWRZmnYO;|YGvbb zDKO>i-@COyyT+x!PTBp_7w2^_mc!{59oPoudBcA;@lP8S}Z5(XlYVjm`FFwfyG zW|V}i8;!&2tNiOZMX3=7STQ}4dAWG(7obvU81jrwHO=w@95TIJsbwIty=WSCek3NA zlv)~|g{MCoa7HNRA!dxYHVnk_`p_tBtDh+8fvDEzHLY5^P_8WW%R_1gdf{QQQY|l3 z&diU3WddDjm>m=kphs|X_2c&A<&mieuR= zk>riHtDqn~57eb>?D8D3;oB=Y+@gl9H=4~67RvR^QFz#wRR;l$dT%$C}mEcB8)Mi#O^7FPfN;D zA%YP{6RH(6BbSa*p#GJ^c0qh+R{OesV>++~GAo_f0@Ce9u=`%MsKaSPeE;KVg_F^!sJG#*6)t)k3 zxmC~&h+cX4x9K7d$bxxCPUbkt*;&yIpXgKV3NRURG;DeFwqtM&oFF|=!!_m|fM3K7 z&!zjTGjjDb^>d}9@pHBL&4j_$C2aAq<(u*pmnpt8zMLYV zXU&vA4q@IgZjO!XEYMs4sIxjuz8a-R=UkKC+dbZ5|Kgiz<5s)ep}~2_v)V~X*`aZ$ zlV~$?eXctaUCmHpWRd;{QSA z3=Ix-IQ;}>T6q40W_jh<=%Xk9=jV8f@yjjgYA5K<>OVh$I$P6cdS{vG|M~d^T};F7 zSdq_D{LjxXsJX%ah4_D2{J(+ve_Z_kQf~UoqFcIk;XeFp%B;L78p`atwt=tJL=WJz zj6-b%K35L9yfs93F23@84y#u0+0xZS6j#zGkM!5+@yHA5=>V^Iys=LEhj--{>bI&^ zDO~`_;kZC&c}k+gr<}+2+J%*s-i+ZbVWlo>@4T@`wQ2_=`pb^yRPFvEjXSxYEsN%D ziTgNmU%@F+L>NKgncZNa{@KF*!s!?}uF~YcwVK&N{=ud?epqebp)*@IT^|ZO z(>WBdt*gq@GZVlWjH1Fl0_Jx>(sIDd;kSUG-q}K;yXzZU@Z99Hb-1QHPv@a<UXPg2Z zNu=EuVK*i}X|(Ul;TA&3-r&GL78Mx>1KLIJb?@(ovikkQTLbQF9)wZlu`Rpn8@HAX z9AG?}QTaLV*iS#4WN}F5MlSeO{Y8KgBu=a(TgIlA^G<_u?}4U93V|7UVtp?Y3aa$s5n;T={qI1PaOF!zWba$>2BX}Xl@RG&u$?x4TARb+F7wb!f zU$19I%o8I3eo)m@V#A=7wYN5c$P?FzPG#i!r|~GCWV-%XoQt0CXE72P#@) zvCyFSfN|*j=l;*so|KZu9&CCUHtY5JDF8tZQgUvl-Wkf4hppo^ody9OzsT)sh$U{) z)G#Ab%Q&jC)W;^3te@i`^83Xg4AjT5&G*LVK)4WcDK6XxcISl^GV-4h0`Sr(kqOtz zXijs#2&qK`A1eixqB0f?ar2e`VdW*DFPmvL2~idIu1hIN7RCi#y+-z4213(z0O~{b zEJ&QdkR`9wDG9CfZ}y2oET@6&-<)jn17RhH+ZNe3tj@mfY$*W4YhyH<;3#hy30v&T zoGvtsv6w#?g|3wQ5lhX1aoCoYc`{Xi)_+wDiu;;YrR@kdRFzy~N7ik>a zei9Y?;+eD(OJT@>Szy2Eqp!s|I+GF;M)qy?nzgTgzK*u zmZk;fqhBnq-k0+;z4(#k)}1(C!Akgr9K~I>yB!Pw(%_i}8NH7ZW9wm^#AE`u@=Wr< z4roL3@AEC!D%Vzgg3II?m11Z4!tfTlNDp_tid z&3op#thPKiHYN>Nj-ofS)LnRVMFr9u=@F6Qqu~kzW$Y|HFCyknG9Bm}VHomn zezz?ynpVEke%e<+ z_&`^b?f9;@>IcW=KfWN^D4Ar5gQ*F>`L@wV@qprCxxBk*Bn8>T^xgCz85-P(Tjf@p ze^5Dq{`}zZ#Q@MMm(DhY|HsDQnq$MAcv0Liy8zv8uvmnB!r#K0vS<)42Nf%#%Q{VKNz!*Zj8R%@u$NNel- z5Nd!#S#jJhO#vs&%A=qB2@)e4%p)@&z0da8(=rthwyj;UAorS%xci%StGRlNaX-Lu zM=}!V3d;Pkmgvsb_L-C2A%!5>jmZ3V@LBugw*Ue2I?y+diABIMFJ`o%7)%68OqPSZ zxK}%c!W|!K$2~~-j8Lk7aCs0-^7jWLIX+aG$;>nV)!%d0>(`K?_V1XMl0&Nzgv;Jb zp@LZPFwjt`#W!=IVjJCoWw6)j8#T!6`^IO2)Psc#i~{PRvaF&q(4u+q5J+k=2gYbk z<$VrT;J8EwqITo;EEta(es`k5i+q&6`VFURC#ZPkDTQEd7^uGb4%@&C5yXKZ6VUP% zJ>Xje_NP3U1RgF#!f`k>Y;jF*9-(ZTPk!K64v7;v+Pb$_IT~EpycNs@j-0Wzgn{VT zQ8o&l=&gQV-^9VcL{PZ})D6MuLv#jToedYx&JZf> z`mVxLp=f~6LQQ)-hhY8&+m`Qz1V^Ilg?(>$o*_`!y)X8Y-az*o@{%0Pp4q1wFgO2z z+lV4r8bTXP9IY~8dpUJrZF_JM06Lzt=FNw2@jK)ET`I-tKL#WcT{~&v- zjl(J4=gH59(=}x&3sNjQ9vk6okrjSixvC9G@{y|xc$R)$XqYS7ooFAjL+`T?M=J`I z|329ZNaU=o#_>L0> z>U?{L$=T`LiZzSp*Y1PogIx3$+Jx`(5>i;n>{X5e25$9{jGt&s80km z8IQ6=?F4n`!)i>%Ov=#@hQ$Cr@PKb%w|_0i&^C^YkYFR9SuL> z6G0&A-=U-`9cT)3U@EzCf-51eT+6`WzC}M+h|{NBDk^*_%59=6<czxZXl!ZLp`ZtvWij)>Ki>o6 zvBxxMH0+=JizefFn>fTbFNc4dqXJnV)A;;wZUbbU7-1nOG|Y<@tfJP) zKSn>`N;1j(6;X9%9HMIZkF4Gq=vKQ8Qn6GjV^$xnV^iHEmE0{2^4Bj<4}1gQoDU?* zr&ex?AM-R%*ygVn?Y6vywTf^$Hca+EvAD;H#>z@2y(CLy;8u8U1ReAFSQX#yT+^h8 z{k;`DEXL^nn(eZeF(Fi7a@0Hrd46b8T##Fb_F*nGS$>`|+lZUC6sZ|LmSgVZX9tvV z)6`%J^h8>Vvu(Za0ip{2Mu0A}zH&>%>l=F%r|=gTai4zq5o8%qMZq|laVf!xsn1XY z2iHfn%#r@3AD*Rla#%>pL#*k=dv!lW=B^RK1McHvA~guePCxaOABdDycgi*}tKwqW zI8IGDo+T*~^0{$yN$xV+wnKv7|t$H@oL(3WJ%C#^2GStkX$=>FBwNd4&v6C-c<%y{vH)8t8Fjq1T*WhG;T?Lm4_jF%H|Bh0hkH=U%DP7H z47?6;iiK{R7+`&+EuOoQG){v^xTi2x5+={;Xp{MbvDLQq;nNBzNN3ff;rVb@iD35- zeCOAS8C%(s6uf&|UJxh~&idbLKd=RfrayDJI zB@pE!^hNq9Np-<$_p+(?hF#dRjfRboVTdA2yy}AJ72F3t=X^HIQ19kBvTg2mv1#2V zsn>1jbW@w!{yRKA0aI|J-#9=xj`5`1FW|P^-sVkq1#i2n^JI+{D<`cojI{pp2y ze=so5QO{Og?=4hEuf4pseU3(*89ypIpb)}(3BhwQJFf;VQ8+!O8asR06DeX=ngr~p zO)XYpyt=(MHkuvI;IjuT8+(FQ>ZZR34$ts0F2pBIrr&4o(hKqe(nGyzHmci_yhX!f zSi7ux`+ZdOm{U%aOu2@n3CTeO+4|k=)y8N!wrTj!xa)Gac&9^{kkPi4(@+Z;%~Y|w zXvNQhAC;I7L4}1Hs*3|ZyL?8(iwxw@BE|UCib@^^=kx2fPi#bkJ`|}0!JEjQQGcY7 zpm7Aeg7B0-e^xwrfx^7C_LX}R5O2KaU7$bWm|4U!vFub1BDN-;i7*CiY;g7qJ6S?@ z6+ynQi;yuoequEvBedwx7_np?rh|1Y!y_WK)4tGzBcVkl?EPNCi8JG+}n>pl#>r1_@+oLiTb`9BxY zt8+_&VgY%o$ed`M`-T2!H>#fo%s`*iCpTL5qJutizo~R5WlftU|JAp_*>@7FbIy$V z1(QMT#jm+WnZH0@HxNx+swO>jtj@pt092K0n6i?lyKhXgoa_yTONjj!zY_agmn?=6 ze%kr5q9XS{-e~P(I+C~`3bq01-vF^06b8bwVv+&wW|1?@23WXfR9gIkF12dW^w0es zAkmuA@?b;v$EfJlefl?sM;IyJqX!6brXAd@JR%c(=>rl1^+o@*BOBwO)*-A`m>Q+a z&!rCvyM_atBn`2bQ}xE0_&YzW?K_H%78BEmVoV0{!Pi1J&9F7uR-)9i51Awq11h6+ z_P%c<*ja^b3;vjX!}M=>@`uwWynQu~1NyE6U)sKNe=s+m7?@_`6qp_wLnhF7!i}&h z_~B>#HC{kARoUfYA!XX&kz+78Uz}{2Y4ydw(f*G(naqvD?}qWwos}Sek5r75I@s7N zg~pHw#WdL1R&B0k{;OYalRuWxdkCNmee#Ni{r`HKPFT&~-KuHoEt%xgF>+zev{r(v zM!jB*MIf}X{IBnNTB)|GdQZ`AT1grrt)ME-m%`KM_W@O~xz^F+J4M5XH@}L9j@0%a zW!@4_drFo_xY(oRxmJ|L4T=A#_M>KM=^GNnDb!2`cGUXWIQXC?9-MkL0i4~!yemAt z<98P(rjfciq zzeLn09XER{f~~aVa__Rs5`K{e{|>K&UWy~~DEiKoj>kx-Iv{>LU?+K4JQK-Q(y2g| z_F%!qdmD`KF;=s!GnXQl-+yye|6%Yr*F)T=_p9($#Cu3f)>XX+jz3K|r;pIoH|mtc)P!&}}< zTa`w0Y?gxjPTm#T4Bvz(I@tG0L>>uU zjg%e0hV{CxugFJZWDYWJc6K3Cg|{*_J8P5n_ZLSrZ2!+e z)C|QQYDO~UxEO7fg2Pu3Pi!;+DA2e|>C({p4%j@RfQzjl zpZ6O#Q@Z6#FH<{L0~ZT-%9AV(<*INcRWQgaEFads)<^nLVUI{F;)F#iV9_rPAw(I{ zczzEOhU(Af`9%YleLm`P%Mr1FSN90!t)ZM zXW&9wviHqOyFojI`LQxT>R!X1&C5%3txe*guO@=53IBhV z_%rEVH6a8V<0NV%%P?p`2b`gALl`0?uBwriJGVC7v4s*9{d1(Z2@x%T@;LyRLSTgI zX4h~#Ls8BXe`yu&%(1D<8mp}4k3ku|hOlu#5e@yD&S7jRisf4(R;JrC{Ot}?!<}&O z9d-~T@Sz-26Jwt@sj@?f4Fx7sa!m;$(hur;jg*=YpFYmMwLGb)_h?A8ZpmcX%%(KaPff3QrP}X?@uiWj9q$SG zcBh>YweR(Q;N7){L$!@Lm9_aJ(}@q&h^93MD)|{C7T#RXP_QrGb<~Ae(m$N-c@0Cy z@H6`A!{fcL7kPigB|VN&da`r4)T<$o^L$_zgdg}?L&p$+8Kww>Lpu}3B zm`w84>o3daAJ@nr!HFCT5exJL#50?K(EDWAi($FnSJ!p`rspA6Bw*ovYw+{WEjt@<>HCPf(j_R+zRx48$IPZvTd6tjiWhfkdt~*?Mh3JX-*Gp_xn&+ma>`=D4>rA-zc% z8&-`Q22RVGj>&TKhDi9mNez0ctS8pvcW|4#_Y2|*9`hzqb}GO}mhtflJh#bYMMlPd zzO(S7VXXN|Bxsq&2Sq90n*dnQT>eqRlDGc-DaoJ3>?9Z&Ig%U?C-k8GKvs($Ap^`% z9to*6U@!1{(#NM6ivvD+*Zr4i6)J-Us|&d){;0owtk3cvB{e-2$~Q)|T|=prs(3@} z)ch*8F70OlO3NQP6TD&{Tn0D7oG_Vgr@uqxPMMM=jJ@f6Dix)m^BH{$KVb*wmop*J zU}lUGPCO^J!E6x5;2Q@<52D~SZP26+$NoJbllzd~NWMG7_dh#rs(pNi8jjadGKv&* zy!IA0?3vf>^MZ|**Wvo-GC}+ydPB^}R2}zNhY3pfV=sF;zH(rWN?U@%5~+L6Kkr$! zBV&crt0QN#gkVXj#~q+fcB)9l8O_ImvdkX#M11L59T~0ISRirKY^ZC6H@*d?s>glD zmc~U)d71sIDkjp5NXe-D5C1aN%{7L`>{W_^54}diaFYqipT&SUt79W8cASNqlOq`@ zl_qC_{fbN9B$vUa^XC|BbgXyCnvcskrvgBWIF8c0pwA}St641Erz8_2afEF-=Zov) z+^T$jK_9TnKhImNsmyZeoY}CQ^1?&09J-o=t@j|lxj+Kx=?~|LXw4V<6Q4HJJ({4^ zLV{zrT_%|4geU+QZ!+q4)@$sBmRYhqeu`|+#Qf}*`6?1aWY=(oPO^=%?75k1LM75; z68A%?mtZxhkz~0nY)Ujmd;XLE?HCeK;SvU;O&uGG`Oi~oa=zt;x+tvjALErD>Xeev z+qQrFCtdGQQ%&Xuh9uWIG(ikNJl#(;&on5~3|FBKRwz`WJINu%i^gp$->v_4e&%-{ z@Ez=Pu3mB3(!*Z_TtzL{z|0MG14UvP(@e5<%(oDPhm@~dq^k+Xp8PxiXSX#~3-nlP z#0pxIQWGr>zQ-u|Z?9(sFC{{sOv4 zFuC94oKQ(jSoj>e*g=_IIMg&JpQtYqU8_|u<0Et)KMT~KzsA8%B{sc#O+U;2+xWYc zErB0lO{{~uVxg#nlc8~DGRp|qqJ~PzOMIX~+Ti~($Ae3gWyz?s1z_99i0$ZTY)bc| z_*7xuLwIge=X)svi)JH4OC{qJHj(694nW5bZxISTnr6OkXoE#kk^|+3w*vW96`T zDmFpfYd^CNCPJLrWFjK7o#WWDaIR%J;%k%)BQY;NGSZ>gG*EA;Hf51-Y)*d7 z!TgZ*8C&Cw;Rl3=3j2?*XvhY|d5z~^lUE`E|IV@xbR*dqWJ6xlP@NzP8Vwq9e9E6h z<@=6_0I(s8jb6sk*pGAWjeoc7kx|cgeQZL72YHg-iMjg?WMsnRD5^M-UZi`U%)Jb} zgg3ldd5^|(&Z7Bem?HGW{Do+L3~p0`pgE&q8@y#-7w{nNu|KyYZK59Ty5A}^c!^MG ziFFdn6Pq?XCq7U~_N;$J8s0ziwER^s{@F>wWMlS&obh_HIy2AWOqy8PgxlF8=GT;$ zq*QT3!ot7q(3d{;z7nn>nXeg5lo0h2J zt6=;Mz`O_h!~@puat9Yvi2PZKJy|8V z?dyAWE@|k0bi_dPazeNBx`*t)<743cDQV~h>M?YH@xK&es)C?)O2Nn5ZQ1{3lx%{TkO^k1^KbL$S zWLg*VWFf#e=k3jXXYj63>eWzsiTtJME++Mv9?+QL|7vffg^_1?Nifm3oz=MYZ(Y#y z={g(p7xfdgwfe=*-7RwY_2+JQkPPyBxVlKjHj^Wzl^%Wci|(+IJt{|{(#yq?+N@CcjaaUwzGhr3zIHQ_uU$ccSQHc&tx@x zKO=$vZ~>n^oB;kBK9AF*`{+o)hWCK8nLCH(+fC7!YwuFrH?6pb{D_i1n%p<@$=x47JEgk3J-z^$GRKvC?$Adr00mFh@p}nljH-Xw z-P_PM-_B+huxFbu?aSK8Hea5@k(V0us@>=N*I%u-#l1^j{vDivwlBwEdc0a+d*lHh z{sAUcxoPb8D|Vj;+uk2T8o3)Ol5``%qffUS4C?ROx4l;&>YaqodMr)pENk)whR9ok zm0~Dye=h!P5tyhjtdsFsCJH|7H^i0R^vlx%Zt)JyQu}F_90dcjP&gYcf4xF&WZWc& z%8)JjFv$DYhOf7NZqh`#90M*LVHq(0c8E7K+sXs9Ufw+IR{~P5S+juw7=T|X3I6(6 z+06ksAPanSwIOUZe=#ZyhKKpxEOq=KpgBJbpE(}^03bDc{SEnuS=m5-lGAfLct+_g zX*(OfT9vvkpx1P_bqHGyrY4%|GtNXPGPkX74I8k*v-(q}o}l=n=GvANd8Z^Y_oQ9c z56j?hp&O{es2b$;G&>aTaulgw>_Wru zQd?BBfZQQ&$kiLKe`y~&1->=;Y`%dKxnkS*)bt1CQ&)i zR)@aV>pkZxME`rqB?l^-=)>UK+S8;}lrqSHUpt~+3hFnle}v`|cf;R;Ymu#d=1$uO zrVieTqP>OS0Q&pdM4WqPnJG(3wevsPR*GNeiwfUL!9?wZy%bX(ljc$U4d+Dk2~a#h z>(xe*kaxf60;Mdp%gmnkQ9zOue%eSShJOzCPPZs}xCytC?^CEOh8#TEvWWn%`yIUV z$xQ)zas;{;mA~eVk<4F{qeWj51#D4M&P-n;+nl=(Aw~qaKQF}N1B9e~87stZ%R-Vq zfuJO;Np#$u$7SlrO2fcpPxQ$y20n4MSY?RZ4b#`#Ll(43Md|gO<<8>$rrip#TLm=P z`v5&Gq@tzJ?%HY_KEPCA%LHOV(`Rlm-f)DH=nId&cIQqaZ0-&{Xb5%id2D2{g_yEW zL6-g5A0VsS>f3Je!cfQGO|hL@%A<({r67Cyclg0F7L7g_`bc}-Yl6*D{F}AVNGj2d z!Be}MVcOU(cNH$1jg$)|f(4=r&NdxQc3PcV5-#6Q5amn-+}$Qc?o>6JoD6_sAC$@s z7-H#->^`p;AU-S7CGTM`ij zAorY|bYBf(pW69TIMjOZ=*P~dY?>ZLNL@$AGpl3fwylJVpHLy}r4ZRv=e`GVWR&1G znM2DZo8jc6hPm1$VMEUZdhsO+}(v_ZpuNW0xE68lRC&Y2}KUTX7{A zn&QtM6kSX)I*)&HO7jwnF@1X_+G*-jR54xx`-iB!#Ae zwS=}nMQ()J9-k*EQ+zso7!-X8(4hd>9$x158`kkYCLy7V1Vb8j{0$Ulw z0)w(^_LLzc5bhCSZ57F91kb9r(TT|A|IKal!Yyz?r!WzQrhxnnev8{OmF+lv$^D>$EDTbI|*6xJWLm04f^tK zhbpiOtJ=ijUwr3B$}n*6P~*oxfXu}4Mg9W=>a8qkTCxrG3`MJlZpgc&&CN5Vw8Q>{ zSy0iTKakLpRiFZKDp_l=T@X_{85o+X<=qp)=4Fox(7q`cfXNNe_YPXg_X@FXC9g%wc=BSi8utw>BLY?bt87h|7j_&s733G1=2HPa{Kvp=DyA z@odKH&~D{ZR>)m+Bte30qyah{1`SWHhXHNNg{%zYb(SqBrVh~>F{!s}1!3L{gjdsjATu>~$TpThN~_B2oigdJXju^&>6 zEapP~(7or&hh+|q>RyOM7A*Z9sCfX#er3M+7V}Tb_!!^@&QL<{^M>x9>Hl3C_`{;S zi}F21$=M+8tt*7j0uh(*%weJbj57?vKuxK3b)U~~i)f{JEFlH1$J-;drkF zYUzUNA&i=>aEr#2pZk)E%xVW8_>sKyj{2pB2@EUPS);lzji3Er0ltQljg|p#A*3U; z2Yeaob^OsJLh1_P&55FcU45WJkE)XH4@;18M)=|){R$@I-Xp)YzrLl%ep*nf*g2c^Wni5+cbGB=_}ac zm5!FH+wz1{t^HKiE)SAja%<8Uh>*|1u#SdSH2=@hkW=TRm1F0lR1;>xQFqviLX3yXZEsOzaf~~P)0WNy{Kc{BtG&JVJ zj=CP0t8u9H`L9F^OWj6_NPFHvQU;IkOiV9Zh3*s6|S4A!RoKF^13~Z)@SLRxvtqOG@rhq zgN1=*=v%QDtQ$Ss1YWbQi?*b72bl_x^vqTJThfH1%nHW|ae|V2>eCu9?x3{qcS2bIyhr{l^)$u^U!O-#%cM%ZGcz0MT)NGsT ztgP!+mDy=~E?Ix$NhnO2T|L@d;9YYvWMO7 zqT74B{zch_&cU$=M4kbwecO2!d7yo^ylz>zOf`hP!oU11ypC%j&2h5*87lIrjGaoT zPSlPz2^UsMR-4WaC!#D~Y%_qJx*|f}1b#%#&p%1+bNj!-9zQwUtaiT+36s_#7 zkUdN3#OWJd7E$(28RJvRghk$y$4O)(lv{}u9eM{ zE`8k?_@1Q5J@dR9&e;d_5q$YbGxT=ovIy@x)Xpo$*rd5ys-|U*;ycUcepmQq`p3!g zG*GF235K!w2U0uMPDymF>y%M>_s}{WqI91t^mQf%_OyO6p0t66uWJ;pDWL>kbqTTp zgDK;0pNfP;;hAd0{@NSSLofy)xwC=|uCnqJM>DUvj()uxie8KbXR{KemGEw#l4{5< zEvfe$axg!oZR~nf&Q5-bvo?<2l~;fkXINh@t^{^)@}*<6+kAhzgU*_L!{F}iMYfEj znqJ0&B`?#DVoi$TKo@@KIIZ&CO&L8e9&(>%rs!>5cX0t;n{YqOr)jdu32^jsJYWf; z;am{L*7TIWxn0}IXv@%Jdvp_ux6Y{E9 z>02AG`w*+=}!T4{cwRk9` zX{+D#PM&@jQ}SU6@wMMj*+CM2l#~&RD8MG5%hV;Ul9PrwA2e0pfDQbbWr#reTxici z`PR50!9j)at%7ChgsBWGXbGhc!^QsK5AGyl>MU;8jZ;^)BZ8yuuZn$2$aVry_y!W( zaLC0dWB&{~AT%y~19i_`Lxf*sbgV|QTfZt)^mmr-iOn~wjJMZchA_zig6C&}CF-YW zsa;U8xsF2Z64X>&e-;v!@fJV+@(B=}<>;bF`O0$Hfe~ZBLM=#F#4AcdF7&DuwvHhb z&kSBPQ0-Rwx9eYp<0a=Ug%E?qD`If=PN%~YgqTrKMz!sW36@T*a&F=s9E5q7nZciZK_?vc{HXDrnY6%T-Gq*#l^d8 zA>bJd-CAkI9ibzzDGszN4{|RghRp!~faHH^TX zW7EZy1!DTu(Out13@>jarAx`;;b$~BnR(a`nXFzjSL42s0J|p}B@1=s<`RHHA|QDy z1n){#Zl%#i>S=a3^sW<^x->t-s1Jdm;B0>Y1b@*du%iLT)-86`>XgcE_X@)d#IARZ z{_d~}Z7RHC0?LN8XKuV$z$7~ysgQXX56D8e>`LGTwF_KU&k(vXSU0o{l z;FDFP_12Un5mW~vcW zhE=ely0j+B?g=4#V`Xh;1kz6w?p*B^`=J#zrFa@%ua|hkz)w=pX3C5o95pP|AshX< zX=qd-*zdRnE~_ZLW+y&IJH%G+dfi7N>wlo#`R7C(qhqdLWk04Z?TS(ng|B^@zWe*S z%9dJ}gJ~e#qcti^F5FbfDwMSOWDsK@TsOVkhS3BH*Hm4d%>Jy(0eLlqEJ2YtrSD!U zsZk`oURm9^J*$0S$;J_sIr4|>ZeU5pi!CcpvX!*pY2J#n{IBPr2N)5eCErfR0;Wz& zZ@pH%U72pmZ$ccCGKH&U&?lv=s_0xcp;)-jlRR^=e@E{&(Xo2Cs?5Ry#J0LinpWdl zrrXPHd`9dPOf|V{C02?I7v?TfF7g)0;5mYY3bT&EmeHi}2M1$y-mpvR)v%VqZor(0 z=$C_{nhh*+m6RXy0wgVG5McQ$j!MY^*78G>sW6^rOZ-$8&lqgi;P?*G*Jv(&`FN(? zT}&JL3?#gyjiM4OLAo=fIViJ*a8t56D_CN`Dh9N%54}Hc_WBpRCm~a7lOe7U0B&e8 zo5Ug-r`KMIopU$zIm!9rnN@Ydg*pij(>v|#1CX#Ra^G%?!Rqj@QChr;6%pj}UxTDL zvBeG)G#yvi8LYH2eGd#F?{GG7P*eS*>VmDk#K1FzubRpS9~gemxv80!#pv&PkGH#T zThN1Va&;<~Y;?4==d${Rc`NXca#DY%<1TgI3W4tQLRvSiyYe`JZo`9@Ut#DZ0d~6@ zcRCT7cwmTk>w^->QF)9-W@to92~>wWt8cTrqj_`+GUmPDw|9E`Fe9rIZFxmcP3Xol4o`X6SD ze}C1v^l#jbQi8yb_{k*OQkBEz%_5~ZbtWN;VgS%vAvY2k>WvFav>IG>W+{8A*UpLd z96IzPF~xhK2&C&%gK;{tTFzqAgoK(-y9U?{jw{vQ^)WLLkg`YS!iIc}vAE7;NUX1~ zi5*jw)vHpU@pSGEJn>otEJ7Hta}zF74J4GSp|3T14r#8p=Nl)zAw1*HnC`xRF5h5z z?zJkCXR)p1DYjS8sY7l#vMw(;+3Z2D6EZZBb|p?H(u>3fF!M`XBjEnE*Rj~8|F*Y( z34d>`MT@=a)}@Az?+e*k(+2M^-ztO;nH119VJgw`*m~d2uob6m3e%~NzK-UHu-1a$ zs%tU!{@y0kb~HP>0%m^*GG_3JrH8%Mbx+@)G)FnCU4*!^G74g@(l`{k=s-jj@xNWn zfuX0@aRxhle%Gq046|<`!Lm=VUveI$waUj6y<~xNQw8@#j$E`(ykyw|_vDO*Y|J4o zgSy^cF5rfGhQ8He`2CnknmKeD<|v>jvlo2E@!=oL(c96bVWm!Qa+Ljhjt1rtl}~WE~?))b&N#L>Ts4EUI#tByF2Kf;RtAw+2ov#_jhZ)naZRzvh*9@Vh3{*z#>^Iupbb<+ z+ft`Rr2c|$jfdWK8aNgN+Uu%n{Qd6QMe$&WGL|Djm~x^HJsg2rPuX-)0DYZ{($7hVO_Q%795amm-=UZV86Z)E538qch{AK3H=jla95^r**y8J2}L`jucvsy zl|MpaaMs$OL@{r4waj5bUR6E*us38e*g3T`d70^DEBNbG(_t8a~J z;qej82eZh(H!;eRi^i&#*%QF?3{p%#2-45cp4DbW+S{=5oIT4@?R4DtDA>F6e^<2I zV9TNo{tU8KZx-V0=gQ3{IB_3dU;CO8*RejtBynkF!e!tt3O6{0V%rz()kL{cz9eq@ zk~XOV8Kt0Htg5{*agDT9Gg9~OBsRHzbPVXvG#;>SPV)PD#uewpim z{;6~~(cpRW#6@sVu*33@ZL*T3AikrX1UKiv9W05qROaZQfUceN7KxYVi~Ady`_nmX zXj2ea<&igo!49|uGxI@<=jYaHzxGo~zyg~M1fN0ws&sHjXx1({3B&cE!QwsSy>%e= zKk1*}cdmNfOP7=m{s~pBFe`yiLZb`hVy`^hfqUy?5to?%n$*Kym)`RlJ^9^sv>tAX zh2E4b0d3FlU{}$}8S$@M8~5qHJ5isxvA=979$kkcbFRNEJZ1`)48hl0vPxa4-EqMg zPTxc?7&YNnjd2MQy=F?OpSV=ic|vE~4+hHSX|?$Ddejz=D&juIIGAZsVJD-H(J2WG zCLNU2&4qQwE&Eh8li8it@ZafnW^sid3UFHv-1r#bTMLn6>1SP6NZ@B!Q|i^Qw9n9R z!`vT@7HShEAZDk#t2}7oxCDOMC*GPcNMZja`a?r(kCV~vq@hvz&g)IOP4|hAoViM< z4#&a%Ty}S1MiePs4BL+|Qxqw>k=Q*GLmF0ia(fUH{5DJD&8aRQ#Si#mA8^yhlNWb& zZ~Y|yT3w|b#_03ksrNipW^t~6)jS92k#<{(mT9Tk>4xpvLJT+u^Oy8eOEBr|AWM#a zkHrm95L(ndG1=G7V<}W48zKW&BAu!w=ACxN! z2YYT17s$F!z2FLHeia94vKtAWctgB_S@g#p%&AeoW`q<3P8UW&*;X zHp-xiL~gGWkYKz~z~8=?%8X413UB@wfx>^;q9C3sMi+R%77T(V`G;(!*S_Yrur#ux)mr&wTERm=3m*{c64_F@e zW9r6d3=y#Y8lDck^0Q6Yy;qMlVj3(XOeH7@%NVjoC=TuFy{-_i+ok(!M62GB3#(la zT1N8$;OCW@(T94m^)^>=0jr5kZXhTU#f?!c{9b5;7!I z9>O2}dKHAmTpH>${@0j|K?t~m%jiWgkb5YX($GR`Q2gYZ+^z-$dA(~z`#IW=OL~PW zaaw0eACldl=d}$g=v-&r-Hyt^uikN01-i040GXZSOyn#22$7$*OTQM@8T8w+zZUAy zDhacCKlRe=OLg|>r=@T=XQk?Tzz<3vJc?O|#=OZ8C(lM(LOU&qrMzi@Eoi{(Kww|?muBpF zp_5RX%=7J!O{|HK18;|G*IUR+iLjD{sN22R+v&kFhE8E;4I<5$>LSNP?aM{t1P&Yn zvc?ZC5UJUlpt7-*EHUO!|9gbu4S4xubZ0&HnnCp{d2S6zap(stuEO) zvT|Cn2DNH+IIe}boAN&<##sNq0LKtG@64=!Q2C_f7i2wkceij`Z14uo+9)Q6WP&GR z;4GZ8TqZEC(gJ6Z158)a%&MtNAYBp30WE&}Byg6;Ss_s$L5YTA+5!{2#Q0@dhY4`I zA^ljlltGIjj#dqnTizgADKFesI{Ux4z=WE0FX3?+e=8GfJ}QOmsiq=6pjc2p!>RjxLvfo|xxPo&&dr@>9Tm9E+a zH^`2Pn?G>r;Kn63I@0ihFsgH-43b$6Z(u32=+h#bgOwV(RgqTo6uNO)u~TG6%dRM$ z?BJ-OG zExJK+JOKlSaMM|pSF{TSr2KzOt%}yd4YDmN4BTz>+jN1Y+$>!pA$WrpSb9nDUJ*wx z(SfDgY9<7_trRDwd8NoOLb$|ETcF!n>Bi{n}`jd%kb-F5BFlOyFvOp+dctK>_jVuUDzei(KQCM!1ZdawuB8!w@ z_}D2+4@syGMwnnzITrvHSb9!mo2)Z10pl-e@pQ&nH7IMl1;1ci0D#-#w%UYZLM`s$ zc3&j7Sd1(%0f(&+nODoe1m@(oWrvdmUNBkJ3KNt;sp}EQ!OFJ%@b8U&IC30*vf*kFzfya3q+ zk!>=vzyvajx7L;!S&Ip9BHQ!1Y@X9f%v(OEAcZsD|MGJJpmwS>p40Ky1GB|n* zbR*XG$P-Q$=(bVEFFTFafo^woXsun~K^^F}QipbN+*+X9Dq-}3fO4`xw*v_nkOsQp ziL|xo2FV5qys1kecReYi0kKj-yEx0AJgu=qLCT17s0CMTcg5wfJaNrTX}M}cD3=r| zJLYnfpQH~HZm8>~biR?q8`dTbZY17*CE$L$@C$HTC9*pr^M6dyf*agcOLYXNwYTON zbUP;#Ak${i0-quja&M7tCSkSFwde*HpYtv^GK5NxN(t>^0u!?f>Sk_E3xsZ#&^sa> z@nsX>y(w;+3SQy-jma$Y-WQB40EE(fU1aNwEYJ-AXpUVAAXrNGBAEawEl9x4BDpGS zy^aLB0mzBOgh01l5_C;uhYFFc#R0WXiUd&7!AN>kx{3r7IE>JnTGIldSV|pnvKBxP zih$*bkRU=lfDlLvgu-!^Sgu7;wHBcqS*}vER6=hRM4=ZhiT0?-ju=_sg_U;L3qqh9 z1(jLNS|bm1ORxW+3?k&BxG|wUW0nTG@pSA30b(*E+|Y$F)yV?g$O8_!#er_9gkR^3 zU7#DWwp(;Zt(FA1F$LW#vNJ{&=!U>`S}vQ=K({sGvP5LtliJIvb`~qtC$r9~_FlFA zK7NMNU&B#3x;uEw05TbXdNat)I4*-E|yJilX%!EKU{K*dqI$4Wua3ZsK z=f}Me=tkr+Q10t?7Tm6B1Y8SiwLrHk;&wpXTxg&hafaZ6l|BO95Q@CxM%RJcE^+h! zvL5JmStK_F?=tg3pxb5%yQarpCNt9Qg1D{MvInKq0^QK-h&<-MxEtsO7%LK?fo>-y zXsN(jYR(F-0nh933MLrlEZ}Xq2E1cjP@mRe!ftUZi9**iqgg96I>M3!Tp^)*l?#3c zD7aK4$ggQ(%%=s{S(tEAf;T4F?vb-3aGz|M#U8a5XSt=^OL7we zOD~A_fl{XARpx^E&AZ@+E@^k*EbeM=g4}8dx|y2VDjHmv9^aN3r>!qYz!N&WN3Jlc zC_+~Gaa#wtq1zovI%8xlxS<=v#~m#jj4aR%SefP-RiqnNAG<|%$>;*z5KKC6OmM3O z4J0h96(PHfTabXK1o<5aHmahAw1nk;k$9zqH1H{9kQ-~e?WK^wgcTy=t^SjycMH5k z0%h<_5^pVGi9kHCTI*9*E%5@@Ck<}gSW8o;#RSgcw#hQB-KXGIOsfVM!|l3ud3WVB z($rWLxK&6N!FGeDRkYc~ocziNYo_E-SnTM!o#OUDCbxy+^D zFY1mEUU69=-1e1*Mt~6LX$t_^IME2!H1jzPoKsE{xZtJ*i0U)bNS=Hxw zfG|Ct93Ynk$VQDmTe<;Za&cdP+%&QvLS7IcTMSR=CmL})|5x9&+!1^zTmpVgpL}*@SDy#XS(`1Fc!J3Sh=)AGQ5E#3m$aMt>}dQHyeq5*Degxg%A+xWPpmOd8Tt_e5ZEOkJF zcmp@TW`H!n4V;z{ms@y_;Z9Jd?7jd!+3;C4#5&5e#XaJ&Eey2aUz+p5<-ZnuOR z*UlqwJ1NXqymiFeP2qO38@H9OecX8PxKh(&2PDYT6T<8$!;Q5L+%5|@CkyHu&y2PU zTCcsY!TQE)8eFxxr2%foh1=a^IPSph>}cFpNZ>xowbAez`4v_5oSFwamC66J!rJX<23T)qiy#NQTTwj~f|t z^4mIXriz*3jv^!TR=TYX<{{owaM~=@w0a7 zNp@?m5V}kj`9BAsuf+?Tbz7VWbZ>Bz%v2~~aEZ9mX|!(P=43DE@QByFR>Mt#`HlsR zTo^c@=t8&E(u4mQblxHg-E>x6x7|8*r}~TY^bzRhj|rVDh_@P)k#4*=c1>4Cr^!6E zK(~uJv_F|jwdjVx4fs?MvXz5#VrlX0JkIJwoBaBb?bIOV64-nqESV-9TING7?Q08x}BA7#}Z2e-SEIF zXQg$38zW#kWeIR&%v!60e~iFW3v|1nLuV9k1&uxe-MB-0F(C_dyOxl^G0^Rtjq}^O zb-OJSNWcxIT%g-Y;kG4lR-hZ-GcrcSD$tE5DJSJqkKO<`bfc&`S)`kU_R#IROz5E- zH##P#+eM98%xYY4fZGLG=_ITJ+_)e<&P>r-2X5x|g0KZQ&O9RAj!Ll4d+F0|s)!=8 zcrR~n(%r%hVQ01Qy4M|xyTH=z;&emHtjKABrMGnGiny&bZh@tnG(Rp6tk4{3wZ$CVS}avvcz8oW?qq?bl)e-Gjj;=KQ>`!lYu6ES)oGj37xsI<*ga*1Tmc;e4(&tEl zZs2xJi<5QW2AR)80^M*q@AtY1fo{Yav(%MF*P&ZFW(B(OUi9K5dIR0gN&TB5b)kW7 ztEE1JrIU@P8}SA=zg!G-+an-&$7}>{7i8r{W!B_$OW_T4J0hickTycMQ_^i!5|$mf zC51f71#nBr0^R1M)Xr|){(k@f0RR7Z0eq9sYZE~f$0xPbXn!UBVPh`VQwzqTLRHon zyV=Zc#?1cc?!bGf(OMP;6?DDH$l;VKoHu4dR7rHMX2DL zArn&*8usyaXFl)yeVMnLMlqB?2*v*FiN_#!K=eZxg*=A~JIp6I=s+o0yL0!btC)d0 zV-$&}z;KI{8vFS5x57s1Sdm~~_l;uMU^~%I@cLF-T>78gAjygC(JmARol{Girr5D| z_MA8j`;JbzsoR8x{3O9MTV-vy^6Ks8wRpC*b{pyhpPRWSw^VJWt#7+p*?3$E9JBZC z-dvzv<5FO|?Edkyi&-$1!|4_sSUPv}C$PbGpm9)67ayJ$1|pJTA10?W*X9mplmx6B zjl=4T!pm7jsS*2FF+GxbzHs~(pi*fV^0Y-Y&2)Vnuxur&Wgv3BXbN_IBqkP>S{j~( zr#ItsMkwY1W{fx%48(N%&?s!HpD5{psMh8*ty;TOiRSy|AvFWN@URe7EA!FWxlyo8 zpv$oGXywA1poE0#zhHVCnk!S5Y*7)jgW>`70B)|o|6YQG4nlPw&%j%BArk~dzj zf`atiSC_J|!}G*~Z?9}~lj>Hs(QKZuK(1#d59LPCeyBL}>D>Bx_^T0wIu$v40`Ie( zLPvnfTzQ(j3XLp7Y+zi$?2=!tms(o}RCXc;Bs^)E*?Le)%cFgFKYL99U2roUg!W$m H009607X!Nz diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index f01ba5bb11eb05a65e582f8033e660d19a676ffb..26ea181a99529919cbc97eb7dff91f4bee62ef78 100644 GIT binary patch literal 20565 zcmZsiWl$Z#wx|hCaCbH?!QI)oYY6TZB)Ge~ySoMt9^Bm_xV!ttH}E*;*1Pq7yqcHJyN6bJIZO&G}jS3nRW|Bw7nsHXfs?EdB42O*nS zE#)0ia^N@om%c)%xDjg6V?l&(Ah}1m+r&-x4H-?zOzUU{YCH_}7=}m!EOb2FAM3Ls zVfgqGG2tW8;eU~TM;8WehljuG6-pwDzHe#NDt4->wVZBNcp@7ezn-lT!=d_O4)f7RTLqBuARHkrc@G5DSZ4)WGbj&oKhvW_TL6)HM3X&quwBB1cdpq7}d zG>feHt?MJ75hh`J=6E=YSz;!LgD+3T%vQ*8^DCJ-LXnptiPq8On-C4-Hw}w@BmHrs z+(rs;<9S)}NqGOj$!| zp}VQ+Q0byoKOfDKJiGltB-d?B?5rP4mBN-Y2Yk_VcNz2EG)!dVNEkICF4jGElqWeH z{F%lPiJnnR15?c$A$6?c5s2L;q*y57v7gQdU38y7?Si|hURqd*O?UB6Wo_l_a}pc1 zAd3n~yszBz1iSP%j~%+qwy}nr6UUsT2g|l8xeaHleoOD~`lf$Ve+eZqerGDb7LN;I zdYO-nF#B^8&ae|h@~dr1>Y!deFgYVuqN{ix-M3)nJEO+k*G`KHGd5;2StWiPBvnSS zSQvV5$10Da#^XAf)N5%i4(#XBAAb@vt&`YfB)Wuf)=l|N0%TC-Jq_oeE=iUVs-i2= z9_cSmp{BaNXPo z5h~if=46j19%Mbt%{ET`wBz<6*5M18DdojVJrKiw=>PmjG!e$@>WT8)bKi2YMwc0& zTg>jWTf5exB=UkIUaG*stee~Jx`Dq$nHs+|FC^hk7l2iY!Eqrbl#q%xX(Ac=$2DQD zF$^nijKC76L75#A(-vEhd zPvqOGsf0%aGX(}sc`6w=^XKmTL1N2IU55php0T<1w+^QA<%2$Yfq#t8#mNH6la8gU z`g8l5*Zb(3w2ZQ8j!Vh)MXbnKL*s0iToH=;sTpG-Kk0Gt?{fLo%zoL*OeS;o|>GlD;WilPer{to!CYJ34$b4{sSyuc&;qF#1u&>4k z5~?+(I*nwvnqd|OZ%y4}WjA2i8+)ie&L4+DyW)pS@AW?X_wRZ)K*HfZW=rSy-A#X< z0t!Ot1fPFQ$hkK7W`6xGqj@xftxQ}A30!a8(JzKStC%c>UNQGorzvDnQ966t*t^zi zy@FPsreDA_G~scV?{}Efi7tjk#yGs7g5>!)N&M@yYkNe?-2#gpqxX{$v3C3cw^i=? zjirN$GrqfYk?RS$XZ3|thR12jK*!uzX_lRm=o3|ynqf`-w^AX8mQx-31UJ`$v)^mN z(_|htXh6l?ARpryxIymKj|n+IE`y!tcKI<-w}?_1NFheQHq0T}svn6mE7LhKt*`uT zBQV+IQBHakH$|k&&bxpPDog|opFFKRD*9Mggui|aK3bT%mRKnjX;zHC)6=)w z_q0dV+C|;Sx~$)5=Fq>vBSXZ#*vs1AKnuIdSHo>2Q|dWDVHh8tT*U=1N})?kvtS%m zc#e|fn(FX|)%xA3(LeQCq3xvS5LY2$4-4*~#)Qol0TO(#Ig++1WM?R#*5>t4(gEP6 zr7@4ld{#*wv>tWTMIQ9(gNf?$74?oQx7ew%%2C%@;dWrnS?ZDf!em=upv!rOsf2x{ zJ3S6|r`6{5q0$BL&zmjpKK0!3FG4YqabW;Q4O~l#>{7hcbOaAb^vBWd&F4P)=SEAU2}}8?6g}Rf?%mbcRgH<=X&m>HVfk9={K!G8a6XMsz2)u z<6Ce&7rh=0hXv>Q-5UbFbRg9^ao_>XDA|@oy8B4Km-}pwW8Be7Nz};Q5ww@5&WhL;l7CY20SR*SzcNbb(URhd#|S5M_k?0V;f3z=CUL! zawRM53vdZE)zc3@@yxqMl*wJXCtG-b90Q~~J$>|y38VC0m~ZUfb!Gu(#lw=%f zqxyc+j}D8rtca=X%QQ&2Cmza8lNcx_`qi(B<;;=_QEoKp7ix@0jyaUK56KCAlLC_- z$QKDnI6vPq8*e0m1r{_&?&HRFWekjB9~X@bg)c#(ta`wVD^TsqZv+?ulLX z7J4!B+ReQi>CLeuSKr_9poZR;lEAJq`%r&d8<6s0^49&(d(u6aRmb&Ek`j6$t=>@R za=zH2{%A8+=$bI8L{5^5L)g=1PExYw%3Ss5VzEb>)YIj=voF>S+QK|}-6ZX!nKy3welJ=$ssFM2o+E)Z^E{1~9iM$5X&e(~mBZ}?W1yq`~7 z-b1Y~3+;9jw`SjW^A-5c#px$2Gu?|hRQOTXXFFj${+78A+h~5+dp5_&bund0nFs#! z(f*qIe$bFc-7%7z`(2UPPd;wplncH^Ko*V2qcG#3f5l_9t+kL&7Ri5pR zW!Ft!lKrmtHJ4K0qMHmRPu*`Z?!fXQY z^yZFf^je7Z{O_YV`Ih62>7mYNO8QTnd-F7q=D6(5`<;2zkHI`ReBj}Oc&rOKxA?*6 zLy!hW>BC00*U6eBH?Zv83-g-mV`WUs?b1Zwh21uf8NblkX`Q=TTl6_3%WwZ zw|w`5xQ%+s+j9~Nd;mA-We_bxQ>1YSIT{nv}eY&YTOJ@j%# z%iYvn{hGq0h+veSY?g%_6WIe}xFIOLT05VzyQ+_^LB~xCTz4u%f?{A>1FK@aZ-MNJThQ&L; z?S5U}eamy&gF{@rmJm~gGS~LnmU*9v5#~mj_UW{ssC+lG-#1ggWl8|Y7%nkuhr!Sr zqetSH&C`J6zqsZ)J4W`EIJQ(Lq;4$MTHn>Hi(XQ(zzIZagHx6%)UJ>44?K6aPxaCQ zt}oKae-1&(ZBf0TnpMHQ4GZz0-&z6>43g!1O?-;p)&bPj2FV|Y*8>-J+hv945|Z9U z?{ldR&&{_(FEZe9RnYua0Zx3` zGHmSr>zm~xFcrU)VW*NRaGNWf7jP4Z1YhnsY` z#*9XYUDso^Dtj@3mZ7yCl#HfG44`n8!WYZ7Y&I%bLF77F$yf7O)PVFEaPoycT~qNk zYzXoapBlnwE+DZR_>wr&;FX<6Wk01$1^10A)T}@lk~=Ar2m4bu$V|yGg-mxp_tCz< z2^sqPYlfFY!{Hx2GP)j?1@`XDX0rP)4WFf`l~p@QDob?QIV|A!crLu=tO!QEG-!&$ z6k(ggm=fh2OMhG!H{fT#dv3HeXPl&i{g`XsOW2*XG*)V!eQ;ceu%{ zdDRtr*(*-~`>Uuw1A+qam(3JJZDrddlIz0k!r2kCllnGqb9b9_5ZJs$QXvufr;Zcg#~bF72dWP#S#%yAs)WhL&|^ z8B({vV5~GJ#+81T#H5tx{#|gQ$q(r^Q-$a)NZ=LmMQ(G}zS>T*+A{K=K&B&ZkTLT_ z-{p_nxTg$5$glW~|E@5S8FoU0T{YEFb)56IP^c?yLs@KQBYy8s8y3)(F-;e~C#~vJ zMP{51&6mbSQ?vAilRjaX6H3iRO@6$}MsJT+vm&Iw$)l zvmnk%pM~7r@lFvWxg+j=YijO4BRAEgrOV&cwJU0E+6wv&osydmtwSU>hdD_BOPe21 zUTYT$i~F41nJfBUN48^=GqxA_tJQ*H?M-WIF_?8r)8~^oe^zxE4V$>Nd#vml{1-M& zzf^V(LaKWO!BjmweAT>|#Qu}16SX!Xg@(^V>{M8{B+GM=f}!Y@6Fjd=wOV#Dz;sH< zPh)UrV{bd~n6ySK9%%gyq+E<(%H19*%_;)j()mq=g_#DSodyp!s}l|L+=2RQtQL~1 zl!kkD1~h*gWT2{U0pmlnR!Y(YX5a&KOMH>3d?W7b@C^B=PxQ*B-VdE8*z_{|pf65| z$SnWH1*q@`rlu$t1$^)a9!xQQ<(?uLx3foZDv`q0>17OLniB>sPstl!1kGJV5|0{t zZ0Ml6Di$5qj5HAMfZ7Xvu3umqX$TFEBY(cZx|Kw)AGhL5rmtv26|L05N;|4b-a5d@h8&k9_8 zRzwW`xnKAdNNp{qkp0k%p7Ah*uIO}j-72r=q+9Wa{>T|ZvfVaswkk6Y$|0WpJn&f0 zNHHT?Ut<@*#%pB&Vw%qyj*e&Do4s)eC^jhA>~>m_W&Xb?F$tB0~UUJ{%{@c#?& z6zx>n)Mm=!ycaeUY!@*U*$<28ie7i{WvxC>5Q#_}j_L;OM$Z{TF+yk6ydLJ}dSUKC z<=Gf4wY-8E5!w4cw=@2YHPveE5I=p$WAIYCJ4L6J1Q{A5Rm|i6Axjz?4T}jh+}-z( z^SNI+TdL97=yshv^~c4>k)Y0o3c)kUOUd{+Emd|JTm`PqCL9YZxKrwX$cdSS7OXriZ`1?tK_ zChgGEq}9h3qjJX5w&>@@;%Km*=jJV^IecpQD9ones(o&ffDoBc(lD8*P#M{74F7t+ z8+se8H-4}>QHVMl{2&yXVrt;@#&-d|ZAvWfDbX8nY|~atv-Q?aldt#t!B5^B=TwsL=^_Y%;4!w&VATw73vQ zq#~HS8~+UrQ&%9zyqGlxI@ChY8 z_^>K$$B0Pg1($I2!XV(JPG>TS`)XG^D<{n)nWmrkP)l~gY}K@>*&u0Q)xB@kwmD_V zf(lw_Zx4G2I~!F5o*QN_0YRQll5klYSCX3DEayZEeMAh8j$TFErUJ% zLWFBE)QI$|mWC{ZS%Ge}AqL>A8M2TV%cO--WIXeni$jN@jX%(`_qGvPM=(Be4VM11 zFxpl#R+>C(F^V&l-aRo*4I9e@FK>dZ6h>V;h|n0tRE8lA(08x=eQQ|1oNIB`&QYuM zVMm8pDPdV`2#lQTG8TCDuCXKnuV6j7)mzxo8{HxZtF5!4rlD)lc{iKrySRHA5D^s2 zg}a^;_i?DkYmJ6=@1m){XK-nmsLR#;Nx}}o*8!OPI&K|ygMH9{NFZ{Sw|B$S5m!=6 zUT5j^Cdb{VcBIPwMN+_=97$%wm#&TnhuLjL27j|kqk_80!Z9sE*StNXQSJu_UtG1SE~G3tA6nWsUTzhtGJGVBk_W5(0q<_INF+WR`0@v(+QbZFnWRE%H zOQqDb;avV0qBhq8Jjzqv~LLuYC3K(h3m4nG1>MA)%#AMGm*$`ALbG_xM zzQD3*d0{`UKuLw|K$#ENZCaGO(f(EHzHxT=#~RiVb5UWVxqXM!dAir=7Ji@KS_x7= zOoHjBT-I}+e8rp1;oaj8`F5Y&xRq4 zK+cO=EW3$Fww@P)B)7BHZoi^e{pg&!x^fnIZmim%@Tysa9lE{tFKl~ldTe`wGfI790())q8~^#XU&&5I zD0jOV+u!$wRN~OL-a2G4J@bNL4J7 zt8-IKQ%lo*NNAJ1*}hROB9O-42ZMY>WI2@H&ucsUC46-Cs?>x?l^HlmvY-LWNH+%O zsbGmQlu~m+DhXh(wVW`IP|>m+)>b0OOHMEVlW~-aMwMnt-C6RgL=&}N!`CVn@g1ZK zB#+W^$S82oQ7GOrm5)F&!0XI}J>JGGqc%M3$h>=-_|4fR`HnaIlz+(behWQ2cU~Vq zdM?YQNBurLAt%lSuVX)*fvbuaZl^{#;V;-2^$Mp&6OY_ySKtQx2p3T49JqwTUq6H2 zOnuqy*jE`jqC(wkvkWn8*X;>7YP@#|wj zZQVTkAK*QOVvWFmy}hJ8L-L~lt#|SfdP+}?!Xv)X4-$b1b~A?88~EZr3OZ?#zqn3> zXFbA#{8o8~;I%J)6XM#E$!(?zgK_nWtWBfgx8GrHW_C!PRB~TRV)!cndEeSSXI!7| zxXM9p_$py*J3x=vg~kLySVMh1Fs3kA*Zx=?jsKcB-y$HF`i&xUEcjRpibdL(-I0PBtmATy=QBU1h6s;e#9uX#~ z)j(&(jSs-im@St1dn??0sN5szTDZLxV`UXkh?r;^3R_PrI{a&jLezWJF}8sZJ^)d( z2o+As_t4TnVUa>z0Rxd^-7I&Hye2EW%b9D56MFRw#uA0sw-o*U41)KxW-A?W`-Lje z|8IMm>c_FiTc*aR`Ct#`!_`6nhTs!RCs)FK$q|1GPSy}EoK?KE6CE3Q{yw1D!mIE| z!QxIGk}vwNHa9-CBR#d#_&#n`EK^I8{K0-wpF#ZcK{Oz{egW~TG{@>_FSpz_pSUxg$kyyA>MMRR)AJ$-=6?|j1w+ONc z88g-?Y|BQ10Ni8FBZw1=p<&K zMxa>uQT|qNMdopdavE81c67lI#g2%oIZIzJ5lZk`SVPBmjK_T-u{+V+geXQ#WT8de z{O=K-c>*(n2+6;`V~IaKSKYWh-dkqB&0Z^F9>o=GCQ*GDtC@D z3{C4#^BplwEH}nRM+1g>d8My}vr!<&@%-X=qY#I>BAbaC$%y=5Pbdsm2{~U7=k(%j z#a^t7+Y7*Mw0`Jw9}=D{bFcAiL^0r{_$#Rws^V^lpFqQAav!F-qrfg~=hd5!_{%tu zNHJ2*h#%s!26-p(31qQ>uqV+RVZu8G+e1KfEtw#}NshERMJI`imcKicY4-k74CbV{ zvQVI-;_gT)7X_o(;xt*53m-uvfV3Ocg2CLhcA4;IEgt~?(l2fPbP)H_F^#PR`s zVj}$-uMfXM=#3AM$~9sgqg5R~>v>hm@5CQK9s$Cgc*VaHoFGdxOGb9j5ytxovekb} zc<`LrJW1;&@R+0Y#U5^a1)zT*5Aem>iD*l_C=?4yUx|2P%>gI`p--k0KF7i>{V4iE zQ_L*<;#O>Zgi59t3z94@lIEt+^9LE^?|J{b(kwO*^Za_e1@UHE{DinmFJc0iqz4~UY+c-;sua^6BD4d+t%7XeV^n3g)i{aX)m z=+nacxi{A0#(2!e8GJaNsi3dhcEQ;n(9-s+>IE+SXhJ`xO=6QiByxB7x?>arJ}l45 z9K1PhF}eax#!Nc#ik=m(eQ`ynA=57&wTm^#^};~J(jMQOVdn0ow_=mu-fg5?3qOag zO#1?{%VTN~?aWDD3tKqi#p?eSi%F9AL3KeQKIOJILvNCG_|=c&4GR7oU?5BcW0Jf? zy;s}Q4XHWigV@vQtG~aFvY{iKHH9Ug%?^+lV;!t(g8R;dt1IOj2MUoM9j+T<9exhJ z+sljp^hI!0U+xHsk3?rfx)gJ}r=;FVif4P+8A6qcvYk-ZzRH}a1AT}bO9P+SMmFGJ z>?F0NWJw-eN>KxboZ%Cq$X*%aJR&DLIm~XU^h24+>*c|J;5}++1`@gu%Aah=V)2(B z?FM0V$Iv~L)I7w44K6i>Rxlc`h`%Q#{q`%BEF zm@vf#NWpeaVQc%R$%_HHIcmJHoBiKg)CG2?tTU7 zz4m#PaC5Ca{SiX=%#cGnLv996-@8?MHov{h!wQ4OVHHb6 zq@s%1ZMRFAl3hu_=^nm48qYETNH$y%frJ=%Q}7JM=UdV*euQd6IfI*d4E`#Ye5nO+ zOI+_Ts$siTD$8udZBV`e5qQ;_ua@mxA~__Yf;RvFx+s2 zKF>qVUznxlw(tW?hanuw8s=W`SnGIla4x~ZY;S1-%AzT4UZQxeNu@3b>AVeM={*;@ zB(gozc(29;#CGIyuN`*|TyR^zej4V!IgjO>4<_w=6vHi#oSV{+I-1_qiO->k31B5~ zbfrIORSEagZb!bm?AyUzD1_^#%O^N0H~OFsxKZq~;G_zZcsM`#^KJK>4c5tY&5SU4 zs9MqcLGZc9-D*g;DwyJl_&PC8R8crXbsiO z=`!m^3iHys{)bU(hz0IO@#86M1c-Y>9Nwt+0+UCz&3dK5-iZmB;R^%OATNonC7*T} zAmo##W|#;tKFyYl0Vh<-rt1;C{ub6aC*r_@YXy16rRY5ad({>oQ?P_u<|AU zCM6M=55AWkZNR!wrKPX~&auZYqQAx;Wsom%rM}^$LnR17yb#7uNmAxGkXh7<@cI9R zc;1M=H4iMKcq83qxy7Y8h^i4A>4rW@2@f& zHO!Mc6?>{%itu$nCwfAM3D4nVX$!@H>&HfT(Fg^d4`hPC@LoFi1+&x`-0J4ZeA;fh z7sMurd`pH$2=_!Mft*e+&Yk>Lij*o>fM)N>yVy?hg}hj|#UKRCP~4a$Fzzrx=wT=N zf-21{AprBtRcuN+?WWTG$c-XLuQEg)>PD7Z6YDYma<^@UKTQ(X%W6mJI0a^Va+d`2 z5`DlACy%?c9+TR1i_aEUosXx!h0bt4ISYRc@ep=W#BDVI9Wea>b;cjLd9O&VH198@ zKho>GsJKS)2_a07zZP{FSZx>N$@tYyR~|ShtPL{L#AWw>%#}HO)bYEACivnxaPNQk zf0}%c{*G zX#m{Kj4@^V@9C2yl5~(TEULZ!fyX%J-^>&R{2-? zj~ZJhPfjz|I4e8N6}>dvyxhDrbi9-_(yk>{^%BC|x8W{vHmcFF=9S0~oqMBYO%Ar4|q_096x{%IUYj^a7{a6(NJ+%7zhtV;_SXNZ&$L`!|KwEt&BDrcCg3W1&M z`CN-0J5~C_P}%^6l1e@7+Z}901!*$!i5m4?Mtk{ocDJd&xy%q+AIZGwj@Q^f0Zra6 z-M#lkJW-3fRP?|KNFiyt!I;;J|I{r|OHrz$FU|O2Pk{uIS8wY2Vn3H? zPL`S*4#x}CNCPPztkg<}+M9Bb*Zd7Gs7&_+gtcX^Ay4~@IK;M241vr!i>C?~&UbsS zm_f;HxyD}8ABXn45K$g_HF$8?Y-2_#{6O}REB^-97hgW^XJjsHKa{;4<{Mso#|#UH zE}#l2`85hewi*s9G10}H6+U%VbVQIRMnHRe3>EHgZ+Fp-tj`KcSsr{D zHXji*q~+)WHQTYPRsM|{ih8Rc*aWD}1B1zDP!T0 zRZS63Ed{|$Yzk7euW01%mT@Ue)YI7 z4G3M0c-~s9PdBbS8C4Zl85<(nYRL-(8)TMa( zdHCAMGenk>jjIh#2Ov2IeAn?nzIwtJgRkV7f>{)5l2$@&ewOX?=z3_>BfTl`>ALNC z?%A7mC1YDYs*E()hUMg333)E49Y@_r2YBM=PlsfkE{qA=U?r7X1uAoumuzJlJnGzv zZDj)5=zySG@J!1=SeGCW6bYVj+Yi&v0K80rXDV;SYMaH(D!wws{qA)@-eyoTAadsw zS70M~XLlWx*}E(S&j55TQdVv6dDYD1fDMI~x6WcV0B6z6(uM9X5x_N5+dxNzaRi?L z;%~8RdY5Z!C}m~tO*3b>RyV6~%HR~7MH~ikW2A!E0zUPuI0YRZF-@w@@k!I9ymod` zuPmzr@|^^4_ffpW^BleW8mD^W1y1ReKgDtGNm=?R)z%DBrgrnhwIj#d)b$`);gi0J zw20WzQ;HJMa+T)6mdEqiY8t@P&G%U8%j8Ven1a;qC>wb@JNJ}|P(3?)JtOxmw(w!L z!qR?NmkM3VVl82Jd)&R9yzCnS|BLB&~Kz^n5_scf~%5kv^Ml&af!r5MOmw zynx}avjz7=DkrPZ&FAIv13ZXH>glQX*%%tApQbPDxv+LrCcB}NNeKCkf^iEPRrml_ z=1n6&NS@M|??+XNLS)uRWL0w?^oAbCf1_zv;$B8#2hQ}#a=t#Ft&eO$WHi`Wk5)S) z@X0Hd$oW|4Jjku>;Ws^S5qA(N3z_yG;ST*0^SM7Ybg`&nW4W~N<}9C7H|3D3?xklJ z&BCVZM4v(GRDIr7ScxB7AJ2|2E8kN)#x$gVaiJDx zfhcT-+b>QEpTGM@9oMvyXHJ#6Us0f)^y%p|P%N+3iK&R}iD8_~*+J(;olF|69byZ6 zX4gKHjbHZkI3yA`9&#kaOhXlqZ;sY+wg=vcj}>izo2A;$*BD*rTZo>X2KDzldd`ll ze|sJjH*1v09qBMC>LZk5Z}t=_!rQgTxLq*j1c3BXK(0|2Q*%K$J_U)Jv)@hx(N04) zt_=j{SH7L}b~LpoZZ3R6@YLFzu~&-(-2iI;HO7Mae%ZzrhX^A#-pMukrF zh&n9i;m*wZsOH}zD5Vfx!H4`M7G9C(hIPyBbZd*5%_@}j{A6>8-mFtC?Zb1bx@K@< z7F(s~D~yT6Ak61Nbm|)3Pjt5L+CF+Ut&!l`s&>N{yy(51sCE(K=^{-Q%Gom4VxS#b zqk<(lIfYtH&dL+hT{lnu~N*yY&37B>p0FP zXUfBDJPJ_Qk)Xjw7vk1@HRlS!%~6Bg=}o>*EWIwAmn9WQZ$Pp7%FI^_-3OHiSjV!> z(zJCU&KJ=TphUAJ&Iila$^oyCO4r0Bp4hy@7=40sk9l6q;Rp-+y?2Tpa&~=UQ>RfF z{OE$6l)mgN=8!YE-HxB<7dah$o3p|6uLR{9Lb_)p+Gc(E8L(8Ma0I<~3{Sc&b%H>6Vz;S7Msl!yGnOqG{HL9CU6KrUp5U8T=Io?;Wmjy|+2yXqC1t z+|7!W5`N8Cce1(vL5tZm?oZvio}hTX&}a7PaJDMbU&snIp1*eDY6m=ocIJh^+viJxieD-a!ij)`1jnr#b5Hm8W<|H zfYGFeouThE)EMl%*SYn;XzB9|=6SpLcIJO>*{c__!^OR`DQn%>6t&q8g?v-d+&)&o-DfYXm4Tin4=GPb(Y!^D%L#edj{$^ z@Wk7kCFt~=%$e~8660OPd&R6iVYsyE=qe>=0!Z+X((4wE>E0Lb%|MT;m6 zD|Hg>P}A3fQneB{Z%588*05z!OYMPXcF^#fH+_#S^AZM?;#VO8)c>>%T!>JEqSem! zC{nrxQ(&@x$kz7gibt3XPnOx6Kt#2WL{@w4@sOj<%WPdI4*C3e=fbkntXN}KsZ)t~ z9iohl{ZaY0hj^OeJ1y_q7L{+<+$1A_hjjO?Xu)C1xnFKb^ZxYddgjT(>x|yyPK~3o7L;T9fcwVcK*s-7$gY1j+P#VC(D|{(3ZZ}W$J1@Jk#I}duRbPH@Sky=8>a!7-Ye-ezm#8Ip zdvDFXxNr51%_uK4T$s2fr~t2xEt~WKrP<%CvkhuVT8jDpx%IjG+0b1IFIx65k)^r* z1!D1Gt_N{)01f1&mIw-KI~CPyV~cS5%)9&bwcTLs=` z(GQJkQo}bGyVv!ha;ecTm)NCNBGB7Hc$Lf;sTn znNaM@EQmD-XA*U}QJAA0D+s6hs^s%1jVkKrH^Jl=kt5N7Bg*f`;YTU$jrQ#V9G(+2 zbtsXjF6g{N>i`R;?5a@`aIXzQ#t=#C%jJAhjEh0bAMbU$iECWDq_Zv?dsg$8=C|-=4K5xE)IR zei9QYZ;KgEPV(jBnXP#QFiBnP7comx# z+aM-KH(uB$CNv|JhIp5b&Y!dVSSv~oWYyb*}TH{*$;HC!YY|H z4)jI@s5tkt%fe~uNv>z}BvLl3d{5uELN@nM8tK7PIg71WcR3O?FnFFN zmPV`7Oq12Hclk*oSz`u)By;7TOHWo>G#?mHPzd%lFO~`0Ahw5wUI-2_&v7n9{gsqFZ>nCVQ(n8x zhHR=HBp|5yhN}&$r`B1>B^&eB+w5H`eDrLlU8zsR$zfe`mr0gSMuPh1GD*sD zZusBzzF{e71C{{<$3 t|FOcIN2DtmB_Yb`6~(Q^H}cZe zYiZozVqGyFK$2Y8{4kTQ@UBh=`MHHk4Zw&2ITAQ1Z0j{ih3B zTrDH*UhiHyUS9lnCi`5I?rWF?C0 z%b4ES$aV)+(ZOuM*j$ASyQK`tUn26q_!GCz)yM8SU(VMM4QpxreWbper@;KVV(>pG z2~9=ns??!6&QwQuIZ{X1jXBbrWtDPo^|ow^9)9>0YfzGW84cQq?^{6t5L7OPbr^W< zVP3xP?M1RCD-h^h1vKLNH_UOTZWTW^7aK1%_a#3z4J1Fdh>yW(s}!7R8;`;1kROOK zCfx3%NZbzyIGtW2I_S^l&?Z&#HBD;w?57_;i zOcgrH)dJs()B=UpzKP`hO3BY6vxt+7yCE6cF2a{Go)%1f3(N1G;ZrfNR=ekFk)27? z)@aqyHk(f)`|U!b+agNv?ufKp3z6y++Q|q6RkmJqQgqMp--&f6tZlYCQgml*t=k=_ zzkJ%twb>{XE+xqWv!=R`1G9y(-COuB3g7+2V;8m_0y0ZRFQh&rt=RXs$MuonfB}Qt zvaRPJdESDxJF&9uKoP}i)jKh_anw$7Ac)xi@C;4kP~$~W*h5QBQH;=s>m68*YXBp_ ztlr#`0*9N6H_S;Zb6gqlQ_D+zn-k*jZUG3D(IC}H-!kV0712HC9bBd6gX(WhW{h9I zyQPEXXCnfB^*2|YoU(p?MYMW{xaQ4z;oCYs*XRPK8=Qni%cAZ<+=$Kbys*SSjk{Rh zQ^5QIz_@ZB6Kk!mY%Z#h)TC7gU0h5~w}x*dQm=flj(DJ?uM&tX7g=I}_Xf9@aD)5` z@xV!g+aKSNe8pIw$|8G#$UMv?x2eLd-CV2O9gW(LLU`ylo+LD!AKju~$5-X^Iksaw z0zOi4pROQwnU{n+%OM_sUk!me5U;I}%UOtqKve4li91A?8v};$EnTz^s%B%B z&c41SxR7IT5kXnFBZOjesShrfZ65a$VGrxrmm@Lf6EWv^2`hfoPAn}`1?wYfLiK`o zNw6bS>7nAqiTA5f!PrgPR-8X2+?7dl(C9{Rx#A7nt#mH%AQrkh6fd?q(@xvUc> zjm@IUq-U^`Ow$JPABO6ZaN9HRFkN<*C46$vSO=tG**46nlV@i(SncZV&zG5(ktt9346}h)fH4dsnR0E z@2Nk3i@Y+cU>^Zg%4q7Ypayco=~@D7@xWl?YsAQ2W@rJYG}RP8;_LH7{8FnBUmw=J zEoCHM6|yyErr@q(w3j(!Y`-GZ;g&5mli>O~OUaZj1pAtJ_p}N>89-N-TJ<<$Ok`z( zLXwjfEBmG{(tE1$bqh|A9c1>=!reEhl!C7PVeNUan+Kw4M>P!F<|ZV*#(qkHtL>FP zk{wf}27O)ZF{bhR55i&kfZ>DK#K&>!DM+k6{yTNi(e2?xb`8;;TBh)BYSgY4%bxat zvLto}cq=+zJ6C5_mlJKk1~&L#+&55t-Ju53)!l4P1Wl3U@guINA8GqRr^0;g-RvYF z(Um#0j4rYk1ki=Mt!YV^TPVH|6+av8XMT6zk~4pwrD-67`Sx# zzSNx`m!8fIHx#8DESXEuZ{*oa8ju|=etqMm0m1OFa~Ie#1;2ZffpGm@SB^uiHIBFq zpmUd`zd?hRB*a0 z@15t(^aoqh1-drhe3SKBSHFWf!W<7CU!!^fw6Gr;s7lWnJX3!Pn98C*{<995XOuMK z$MEwt&#_0X@?(YAw^}}_suJ*i2x}1JaZ3TIq4%(YcEGZ(xL~gm<80oeSK3AiX6o{k zYY*G*RCt@dUsz-M2)V9!n7`dlc|UqSECc_A;*ll0S2&ZKo-WegG1#u0-W7z|g0jy{ z6S5K=qTjkWp`X@z?1Y$Jck;|yywZ_>^Y!>wx!Miy4(5Hj^u%{jSd;sJpw)Qlwequ( zIfaSA#&hS64Bjjaha$cJs_bQ5&yguUgw0euNSS^rQVQ~d=f(w%0h1OL-~7J5BCM- zl@W@oxTAaxWNEB+YDOo3VEZ(oh4WOvTT!#$jb$&C)=;$=XP_b9sg(L7pg&6Mnyp8V z`ZeD3eq;c>=k6E#X3X4b#FJv$L7R>=n$8fal&JE%K1(Iq3oV6qw@u1o$g2O4!D?2c z<@JN{b*%CAl<{?q@%5$gHA&CL%Y=G=m0LNcCm*#S=1WY-jGd#T9lPc`#0*oAtH$zs z@RtvMnu~dinQk}&zvlN`=np5>C&l0HUoP6)``upT?v^M_Q(t0UJNoyJ>VI*;w#(*3fAc&@6vsWN2{%s9ai{*|RGk2ol#b*d&^c;o}!{Za?;WNY6|8 zNtdrWWTToYdNGfnZot#NNLb!`m{;qy_vL~OeMyuF4%hCHYi6%3*Y)tUK}^^MEwP{`s1sA*ychiSC@{ci{eA%MZfNKl z`S*ZaIhl&=po+y)ki9_LU#>tvrhzhX#jV?V7*6!wUoO9FB@q;qM=xd(I!)Rv%KsCc z4`T59NPmoDl!f@!K@xP9f_{?i zF995X;i2ZL`=BD=gMGsz-PKk1;byqW=|>wj7w^NagC{*hReZO-I@GUmI#1tx2RjV! zq)8RL8F@>0CzLR@y$&zOQ(XL@$Y2fMdLOF|4~-8G4J3Y01dLNCWw3I5xmKebn%xYM z!e#+;PxnH)kU#sj7wdw^7vlMAgLEnLjQpCTl+jU=f1#hpy0V=Y0!iP7JWm!R&t{N3 zcAG(dH-O#3PkQ{w^Ao$w?+hS!{LJr!{J}`S^SABWxBj8=`1!>+4)p^v zW+ZsMPxqym4D9d2LSqE48)_M>Sh^kF$(V-Fr|FQGVRix?nJ#qiC`Zg6vC7%2zbGoy zZt1Kjgx4xUrpMIUg~m*eK@N;+;lvYC8-v*G*w-o}rp5a~CEXojYA1w%=`vi~Smjnk zIVQx8m{5Dm#UK+xh}q$-5ZM}vGh3)jc`pKHlhKOGaTX5QVV@ni2!tT5up@_zRy0En zwL2`tK3%*t+H$d>9;;kz#*K9tuJxhrVDBF>p|KezW=9S}tbgQ?VTZ(K?HJ^WsDrp8 z3VCcKO0b(Ali@w4uIG=~-XYf5FNv!4PJ^|KSY2`ua!igB(j8)^v!bD9SQ#b6AUH7z zL+lL|lW|~FMvTKFEP^g>ml@_^A04vP3@O-hyHiy`8L^hz(<(GJp~NZ|>$owF9L-=~ z=j=l5V?So;gb+Lj?euu_DtfGI$Liv}B~h@kC!{WRp|QHyAv-L`|E0R@uuhH{78|$E zz-HJ_U8IZoBjz!W@GzDn&r_JwV=JKO^V=Hn*DCCngv^f8?AtwBaOJhhtO)nyTGws|&(T)M_TIk)XXuE>80#=>Q>k59j z7Gr_-ty8qCK)VmL3lwdW&v%KUUE zr9SOuMdL?XDeqQAoA1-^P_$!w+IJMK$EV$=XorKg1@azJw3(pY589)OwjXG4Vd=Mu zHp8cFQMBnkZL6Z~>eIF<+BBc`gre>2({|!a;D?<+J01D?ipHn)` z8x?ISX#BF6&;~i1#-`gL5M|1CoNhNqCXXQ~x(Q-#_LZ9zot$0Kqkl(_0E~gN6d$1H z*AaIDoiwwe91F-Dks58oUiUgEn3k&ORB&W;-4zi+TdinKMA4&Av>Ep9m#XN* z>@|vZhKHc&T@W)fRT1ZejILV)fqKch4~qB|vcppqEy}Lwj)jCXPMdMqMbcfI! z8;53Uuk!6s&XxE3qFXjs_C%U*CKSzpqK8_o=!S?QzN^bmCOzyca&*iE5i$Eg%ncC3 z-VE259L2mVB4&nf&2O`bxeV;OnWjSwXR$557;`h_n8n!5w4z<1h=X-&HhVco5y~(F z!@(P3GEKnl#+XYaum^@9-q|VVB7rQ7%2*yHToonEj1ppY*sq}8Yn2fbY9_F0rcoFI zzxfiXtr@~*k?KFQIJ{cts6M~0$fqb7et~&);+!l)=2GruwWY^Wwh#76tDAw(GIz}ig_$XNOmEYdWO$s->~xS zqL4qlGmO-|!;B~-W@+#^hZuH1B1Aa6E4o_kv)BzaA*SU?CWxi863N$7iFpja6>)dCG7@1upbF1qVMlgjl;g zIclHbT4Jl|GrSqRy91m%CbaXn!pZhad$F^%*qofB^T*DCg4NXBV=+5oLSu%t!ecR^ zF@m>fWNZCcU1CCGgjii-GGZ-fH!L=gW9w~f7aX(Wl*H9k+O`_yI5J9z)z)r$3=$*6 zERAveUm^@zeHyDR?^lPmqp{YHL1KN(`~aOE4PO>5UYp{;dul^-#I(c+=I0o-jF=rU z88Jd^0L3_BwT(?%UY)cZ{4ti0PoIbqVp;~=&k8(sP%yxUyR_Pv&{hy8Ul0p7rF}w) z&8Mwsh8!|t9rv}Qu)|0X`c1S4eU`)F{{sL3|NnRalvitP6jcua& zg#x84wRCp&?(USGhcmOKD~ThaiiM;CErB3JjDOIOfR7M`U`U7+Y$Sk)KkyL)Mt>MI zCZhjX2=U(gTN+>zuMZJo3e1UU z#4{~dGYwBOTxrJ?RThh*uv4}EquwHzag2k3NJG)3V*zA{cng-^{`lUWQXewLhd5G2 zX+uRlES$L1djuwuG0_M3+FZqsOLv&4hUK}<+s-5G1{gIu2Ua2$!Qb28{P5zO;*6Mb ze?Ka1twlzC;~N&&ENUDcfOi83?H&YN3*Zp}`0RthbpRe2fSVo+UJBq*0hq%#h#AXk z>KjJ~VDRrjHZKFoV+2NfQ~k|0m}w zWKHsADNft~ZHB98hO|qm0*lA>z0A1D**Hfkwwmx(%k%{CHEgtdFU&88il~5ro}ej; z<7jb1mxeo0ltXw|+&*2#f#Y&^%#^K4P^(*}0mw<>DoA+eY~!D-mdy*w+0%3@Iaxdh zfYvKLGk3v^xtj_DwHl_a!}V}n#Q?#0Y6@ZhO8mZ&b6hABab<+lul-iM$(LE-%haVF zk#?@ssp4tay)e6a{AC|q=A)f7-p?!p$|--aOCK6B7DjejDk$2ur`DgwT?`W6Kqq0^ zF1g`FBhx5(t^2z7uqS+z-LxeEFr8fZd1LqYAc8n!B+M8V1k4Zz;ICWn_CAIBQACg` z+g8$qnJKWyQirduAD)LvnsH4f%q&p}d;FmsG^4YtEgbIb+S=Z+VK%vQ@U`PR*-nmR ze!j81D=CtDd%7nvdKQSIV$Q1$M0*i4nw}$?0Ky+0n+Jm|*u1WNYeSYTHCewK=ZaH+ z+j8TBZdS$dQ4^XqkEEXMxP1X7BZA2QDpPaQUQ&zLikMYHFV)jMCUzJ_2-St$&( zIx4a5y_BK3RYbjCe0Jgx>to8yNL)ZdlKap6fI<+%MHJJLkWgt!BPtD5lg>jFQ-tA% z(11@eQNk0UYVjTH?7ea~JOpN>4YtaUJfapB@X0CwqUnWv5?s4*Sk)~Ls@hFC1Mo_S z6zy7Aw;x22dHfEkNmE^onR{52g4qYZ`P@b8F=EUDYqVrkRqUvzD~1x6c1?kSO@JQQ z!2F6Dl=&XXQ0?VE@O2Ux9*%WCQF)n{?orVS+h46Yz7QsIW;)EMANWzVr7LqU_+ugg z`#4l_^2}I29`m_`9`cQS$AarQ15TiO1ED=tTlzl_ILnA>j@7jPR11>P z`f0v~xNW8i%&()=eA@F*pXI~(v4PrAsNuDXT(}vF2QAlzpxMB5qGBeayl!dHTnMX@y{0(?WS2=)`JNZyx68eU@Me4B}vG$Up<6}Y+*#0~6f7WWM5uR>2( z_{o1OszA;H7);F;ynqpUVtTfQLa;&67zHzGvlSyQRSH(>;t8S__6@gl-cM>BqLk5# zmu_IKktn7}pXJdzS-`eT7WsS=icug+N_O63dHkwsc-i3U#msm?u)@Cig^b(JMuWyG zLC?y*XRk$BC6{^sVbZISUd$r{hry30oV4Cd{BrV@-FGp}7))-8s1JmOKZTu}v%0|Y zfqPG^BR|A>Ti%Hz#dXF6ena>I3JDK-QX{^|J-00960>jv&v literal 20463 zcmZs?V{|56&^9`;ZCexDb~3Ri*2K1L+nU(6Z6^~OcWm78m*;)Ych>oFR}-jdxfz%ln21GOEUZn4IXIb!nYbBQ zSQuHDn7N5nWQc!RIGYlSn^-u1+ajZa{!bG?>HmQr1PK3&|H*5G|K;u=7d~*=1mY>5 za$;hthd6|=I;7xY(DxJM!o%$u?MXT;j90%1{aKEOCkDYq-DydQe^L_@*OZl&o&4Rm zRaGYgZHNqv47626N7k7a{|r~M7pC~SKEGOgc6M{oaM|HSc0S`|SMxdZ24wR*UI4aA zRDb%QI&jK*dsKC0JO1J+ypgp`>b3Kax=*OFNTyG4o-kYTrdz>2mNq75Tx=@yX#O>i zkyaT;bMK#Stvkc#vES4+N*YvtC@zsr=^JxjJWms5iYi_sKMW`uK7x(mo3i4bN^(_< zPYp_DBXH1M$93@ak?&>!q8@g@iV4Ydk_jLs@OL34C{ry;3;ucv8h2pgMgrdVflfs* zN@P23r~~7e0=bTlD8J;Ap-v9Wel{T1bOgK6utM{gZc}&)4GLmiuuk8<=F8X6Tsj@a z+z;0~qgcR;D;worVqa_Mncl=Xhq||4YBGJ>vloqHb*&c1L5OB1vzorOmjq(K$2A6? zjPEBja52VFBud^TW|}KwDzG!&qmEIzL>OoCV-i$LSro>ux2TWhB{QD}&`*P1T%#6U zkH&@Qkr!8S&4@+a55=)d0NC?N&iOnJHI?z?f#l7%Rs;jIn$ic(G4p&=tVJnS9W!rb z)C_tv#&U}u^W_!}pImYHtft6Se(3y|T8Wmv1#8L)8`iihWOw@yRmS~H6nWq=B=o*? z-pZ8aHyN>VOkrvcJP=udsB#5fwHS4!&)@lMJC z&bUlRNvYLbhRYLo4b4_*ISzdhqt$w9SLR+6#h01N>sz^Mo6GYFx|^pMrDeIxIchv+ zsTvc!Kdm%ACV9xc4j_?zNDQ@2a9eGqj*i5q`@NG7xU4BZW91Wz3yBV=? z=t%A?NhXNXTBXxV&8V|*zH69LWnj~8A2XQfb6_8yOqtlZQ@Q?nR?FoBTr#hS#QT!(uf=_SAZ0Qf{YuG&c1;|8?kn>1{&r3xe$w2Wp8g(9kF{jkHB6guzJDa! z8h%7ocUpX3mF{gId$>QHh#W}oH~iMRGCaRZfO&DodueO}ehzj**>ed9L1O|YczIN{ z7aL$%CY+-E3>*n@9Q>J$3{0#_X>@rXICtd$HyI?v?!FGz9-gvJg~u-^!Y?f$WTLG{ z;NonO%dU0uu*cXaG2dsxm%j7-tnw$ib>!skt?o_vjeVBIeu3(qp|9*gfgJokuddzN z)w$!bi;w#hvHP*^WS*jp9N+U1d?4U7GMMxZVzLQ3_mY7nau*`2cxQ>oxi=672G1Gq z)WhJfb+YHLiRV$q4-y|$??LAU!Pzdqwoqy6GrvXbLr)r;U+t*rdHlVq9Vj%T$14em zj0{2Et$xiUU}1*HIHYOiQPDshA>O+Q=oq1rGJ@G;_<2#@ZcpFFJ%tvpO#t7&%cqsq zO=-XJ%#o#GfC1cK>Q!xmk+$|s7S?Ay2l;m6R> zY`6OrElXP-=dEJt4U^r0H1F30?Wp()xk7_ntFz#u0OXA5g{+y43QxjE)QdZEt~Q5V zkIu93G!-8|g+5~3fv|fU%v9pw<8G?*DeT>e6(eb!qnNJ_Vl9om_xK~J^wDelYW_1H zsfO|%H~r1Hy0ZV0+Nam&{+mpmRfmxWLHJ`L&(B9guknt875F{0H;-T3S~frVejNxO zK7iZcG6L#vq;`ZBLjl_ZjC}kIK48S_*_2@oGyR7WgxgfnP^n&gvy3^SxuYrr@C?QQnmDD5@Ch;SlODc~IzmP=+S#U1Uh+P2^vFk#B#Z4v zdTXQ#rAC}8kR{?5Pkr@%vk+?*ALV7eYk;F{dK;be8RKD>2OQ&B&c@Na2jLdA^)6Z` zRF8$Tc8m$^F=N=K5X~w6ZO<%qs=Kl5gzxL2rkfX)MdE@>hYZyP`VKlsLh(dT9l!}#hpzIct_AWM#^1@ThAQ9|z0%+>_`54pMIf>tj zacC5GP#vR+j`RE%KWEky6W}6s zUl0-Zy3dggY@_VFX`d*pX1L3Z@_rCj*JplNDE4dA{q^ZGoawDKq>fh-o~v$19Tsq4 za5b$AmD;y`Me${t~{TxKARWzBohM z{LYrET{mXu51OleL+IDQ@W~yLO7Yb8GBB$0RZMe7lXcr1PWhoM?=!pX$Vbun@>BN1 z<}u01qOBj!;Y+lu{$t2*_7C^@m#?OcrC|9e70ZQL$gE6%qc1#uE#-@{pH3#*YuGE! zPPVc<-!nm)=3yb1iXZFI($^XMOLDid#EUxhn~KE8P?*+dVeH={Yd=V|+#bM3*P!x* z1z!DI=?PWt=NfOZ&dv1x0wf$1g~MNx!DJBP*7}!nR~~fmPqynZ82vd%a!e zm$VL>r}>e*;xRLv^Il?6f$L_yc%|Ipone8NS#9MDvfQWQ7=`uOcGhV>I?U`9>yOz)F4SD(cxP~tz(`(szg|`=L!`~kf03aP1`*NK7*-m=FR~&UG zD}=(!=4f>BE{z}UkPq_WgHx@iHf-+Qsh_XHRB+m>k3ezuE4N#V+1QsKwQMEPZ5O21 zZ`jvud{0pNv9~i#Hk$39VzhuWVfK!^qtJcg|JY`?gbgg3QvEY6w z*`GDH+Tk8ue?PBC--#W<+mU3)^=H{+(=|>oKCPz@bl^dMlJysj-p+3G!1C@MYZt0H zmKTFcu0CG?Tf{S9TcdzZRuGU&RIoSF?RdR5soVe*U(;#*yATv+mpLq(t_{A7$FsY|DA3e0~SmGPI>}jZgX< zFW=rWDzGxk%W?*liVB!(dgU}0^f4QB@;k34VIzlLxEdBeDqsPTMi9#j(8R1vnWfeLTqh z{xaa5hdlV?xgk3LN+5kz>IHy70gtcAGB4768%Tmb^;fZtYbb>UKY?6d)VfXr%oN!~S)&(A@DtLw!XeRJO0YHtQG z1;Vwz#XgyK_rd&yqZ~6sPjKhs=G)(NK*KTrkAafu& zD&o*EeykK^>?*w}yhDjrkH!6;+{v@Gd^L}M8lXNyRy^=at;N8;KTyx{*)bG1?TPme z{zF;SAu}&ppn$0q$9!cYO-vYa`^NGJ?Y;c#@P3Gf`32MMXnc}g;vewH@z5|SyTrzR z*CJ-VKe6!%tGU72K38aI3TN}()OlhJ0>nHXM zePe;YvsnAiE3Wv1bX}a6J3c99VEIO$)Ue{zw*WsF4l1n%J&1F0g+|Wqv*qqPNY*!T zghrMTFF$y1m=%Rhk0zQ~AQbjqU66j6LQt`YbYWc$O(Tv+DOukJ%+HbQ)#xE5@d(iv zC5G<=dl@CJR7l`foW#Nvob9Lo4B$^D{i_F4eKdRy<`7mMUW`ljvsPYZ4XfhtWmiJ8 z8>So+Wj1OI2QshmVCn5Ii(S+sm>w%uhE;+YuXGuniD#1YAS>Uc4!m|def(HUiZ@>h zOGWaWoucSsy=(AQ{`#j-+{m^;pC_e+m5;5WRVG>Ua=9;$D>p-Pq{Tw<01UO!+(W*s zVny&9yximjUAZKEhkCQ<=1;xS6-?b|nNL`4QYCraTyY=C*6si()S_GX=t~KSt3GD} zNeLD5fpsSE3bRrf^cny9jTDDeTH`cY<5F7Vu!IB*LNnfToc>c&cclKOIr~*{1@LPMJAHZ}H}w&m5_i8R=R0Thj8JZi$N*Gi^DCY+llN zzH_(cF85pqoE*g>8^p6}&nJkTqIg}dX&mm;^2^JXH<_30cP+E*Pd=>I+4x;g38?EE zwsxD4wcQ?gUB{3dEA*0`%>eV(C;ZEQwsxE|LwbUZoZ4fScFpn2+vX&d-P0oKUP&QU zPnd98mk5{*>l?Ht&72xzmbUZ^&%L51yUC*wBeoUx>+~4%jRi{;&BjV$rpXq5y!CPqXLDPCt&`(?{5L9%KorSb~Gdh6; zx*+{HHFKC=ouw1=$A&fh{g(&SI4YOJpUj)mbAy|s>6crO#S54LAjji_27TGiVDOJD z3T%+|jZP8l3Nn!OTkS)cR7^puTK^$$&}xm2(R5>su(cUO{gW_`^Kh(leb*H|!7oY{ zCyhhtXnRQAc>#AYu=Pa*CTHVWwR4Y0y?U4-akUsD6NXDhHm z4;>ur>4VJD#tvo&hNP=pY~v0uqv+GC2k82F81O%p^8mSr?Am$ez^26B6Q}F2VoTB|1TLhp%>V;{YCxD zw6XGoEc>YiMc(TGy0qIRcyXI=z`~Y@ZxjoW%~5^FaiRe&2G8eJIFy{*!_3SEsvLDl zmhWG7?v(4EQ3ZF%%%mFazb=n;?OK6>#B>cZrL=3W>-DC z{n{CYH0sPU@3n|hdftOWZV@BPJCySwE3xKfT1clGhOvHLgM;GsPw%;$k)IC|)5ow8 z<>h}KFeSpf1)aujPhnsa4N%9PN5;}TuYT1%V8H6eyuB4kOQFg1;ET}4iqLWg@9#m^ zCt6e#?>e=jD-d$QK;$(l@@*JjDp*tB9idoW&IQat%!oiKaBp=`vw416XO*tz&@6Ny zF3!!XQPupex1Ih?%i4KruK$XSQpqI;WR>Z3Szm_K1gK71yQBg8eyU;TP`Jv7XJmTz zN;NSk44AtlF_p}Cu%tj2I$_nzzmm>dAc~isH$4>!8I@X1I{(%Vo$P9*({_O~%TnVG z)4qYn*w6|r@AfF>HgLhcWgFP|_#Lwwf558#H>Z3Y^iJt791(r55+-687i1XV6c48`pH_klTI|F zOC*|rC+gk0%BWU0vx`3*8IjJwl*?U*>A89gqoD0po0>Cdg1+RL_fPeDACI3tEz6nl zi;^_+G4^05x>-=LT1 z(Ekm#sSe|SXZj+w(-o!d0;hXgP2CSzb&cM@<>gufm&gD2lzqC^+wS-KMD8>#)}L0# z#MHhVX1k3+t&xOcMgpfsyzI2B3~_1|7AUh}+bPmDE^FX>0{HgJU7ldz{K#IuA7SjK zwl!aC987SjDb9OD=>}#dwQ8{D9hvL#nXsZ;%9PSm!HZwU-5Zd02Jc+1=B{Qq~0KC zVZG-rd(rPslc42QqDT3hs_iZ5d*Z}a<j2$5DrZ@#f7e2b`J3CjR=!lQ5iRMjuHfQ0EZRlHQ0U*qzz;kPPf4s+vV}` zva)EHaLo%33pcs_+zb6LSK}~b^^$#kCcKTnYb&^3uIgz%@8B4Vpyjn*n?GNUvJ50l zm~SABL=Rw%2yoE?b4H?2Ds}Z~{V*tuK;13(%zUbD32nuOdWBu~*>Ds252xu|gBWrq zB(geY=9bJ{0?<-JGm~qC0;(~aHb+qX>)f3b!Y51q~5>8 z7s8@$Q_Nm5S@bXgv~~BaoQ*fk2)ZCwh=tRy%i*CY66*Atnr2y-ruD&ndQA=O_s~R( zb9(k}*qxc>+<&r4iRjx5YaQsfg>N~2$4X$o%@V=Wuh=|+TQQQ{fV-|7+v4o-)m6G= zowpQ@iLEnXC-TO~jmCYzis&#;TG#0vfd<{u{cVSek}5G=4Cq|s`nu_t7G{fPTJKD{ zA+b@7ON_}0+&M=Jmbzlmi2EZ0T@hx+KW!`uOb=ZKb1SV^+0~^8LK!)`tRm;EfEw2w zi3rStLkG8cn)OIL*U(B2OvbX6pw;~2j|36Smks_xswyQUk-d_EF5jYyZfEWW^)#S6 zVtJOgW-G;^?vSa(@+7M}Qu9#PpiRz8chbb&b!%Mncbg~FW(F6RH`lca^Qz9{Ao*%5 zkTn;L*3G>$D}OwuXR&KNJQ)pqTAr*6uSK0qM7|P+r1bVe$jVIrDUX(^HRKqf7}qN^ zVUcSQk2}U^YRrN^4EmDo39Ine0pc&GP~+`_sIV@?>RR{e>I(Oq_2u(Ag5%*y%~l34 zB50cvmOKip_KgE#$A~pUsn9#KqdE%Him9gJX>akq!1^a;(VXf_%RbGG761C!iMdu9 zv;2;$&A_o@o=WD-HB0dz58GHu*S5$M`-s6CR)eZ(aZbkxYp0W$2JgN8Vu+`8iMDE2 zv9@ZPqBbZeEf#a46O=Ux*#}eTSH?C>o9e=SxiNat*dEmVEt`vT-cFTk=)MD}MfE7% zdHrQGDqUqn|HN>hR{4I2in8$3OiX%K?1N+JXG#>_Q9AXCS=Dbpt?ka#?A$7zaWuP( zY>3?NoR@fmfSOErB57Eo{GVht*w;8C!yPJcQ_IDquCUUks=K8=jT9_ac~#;h*@sFOksFo8eGf4~w~ll0dDc%py~bvkesUYr2P=v4BGKwOJb&~^8w|N%-6}m!Ljr*6 z&1;W!VXh8e#OL4*hMMr*Uf`045$6=H{3iCbWbWFy;rEF=hm)u1rb9=ZUf!ZIA0-O7N~ z$aezR+7ihVvPa}wSfNnQ%7%omxV-#eZz@FlhcfJ^VdK6`+=!Hpgl+Vi z;2t4j*D?<}R4=1_gM2C@j>t$NKlu^^(L)EBTI&(vml}(oEM|J$`iK@2Qc;Q-JXCHA zt5km3uMSUD3DDn^!utA48d=Hm?D@NhKkH4pPP7X0fS-V`bvppfd(PtXyIZOD0yB<4DFc@G|i|JjIgwy&6sjM>8BH2`;}C zQe|4yHGCNP9*xx9&55CLN_qQ+Fme;fMseqkddn5P;O8EqfymWc(K*;qP$k0g-|!{FHSZ^)xo`cIhzrR&H)kAslDTl=zJ`M4f5!Df&hGp zuEBefRI5Y>`-wte55KZe#2dnb2Jr`la;WX0o#dyBl%4Rawt*Yi(^q`YkJEc$KUnAW zTj+)Ecme<90)iLb1VQxE)`Tb0Zj{p>3ydTL;;$Y>vI)MBr=s!gye5tg$LJ3bW16^2 zF;1|N-TM2R{!`vJTkz|X{vY&py^t~I2>O~Y5V3CHIq%UI;NE`^2@9 z?k7ht)GfG}-i8s82{+k?V=fP-XKwQ2C+XoPV*Kt9BzNiqGWOs(8Q<|^{g-fVz-lKL zuXLUm!I&emCzfHvW4ixiU;8=#H?<-6RETJkJGFKM|rK72gf3-c)idE@}i40 zJ7G^6<(6j(spsI9IFg25K~P+k_--3|m8-;_2>4b?Z{iHLC&R0;HjsntV8L43k_Uw! zbuqpEKu<8nBb5>uSx=~+9w@M0@hje~prhwDog_Y>5cv0TWLq$&g;H)puhUf48mf*+#~Tt!3@oPWy#bo=Y@RAXWfuL&Lxd7Mh1f@HQi0DFN^ zmK9P$#4{JpBa@^)p8_r06Is2xnbxXwZXiJ8Z2 zGu{nZN|{805v$VleVtm|@g^Oz*RY0p_XGul3Xdz5^$i=d%=>S%@{JiO&)Ndzk4J#( zh+qyM7~6teo($#IgM|slpBo3WrynrRP;RZ;wQtY~G=gLOfkS|#mm%7%2vBdxRq{!o zhl$AhEXXKHuIVQrE=K$l5c{8q`A%zwEmQm<6v1bd>4!_cGl$3byvu$6+?+ zc}@AeGlFQF%qF<_c4$@>OY(UE%Z+q}*x;t~D4*!reIr+CS^PZHk1O=yN0ne!9J+?R z*xm^ol*?DIMZ5RnhLUFbj~8iCcw!z;fEmH=6ns3sr+s38G-c%zxL?k`OXTvUSM%YG zFIwaZw7#PfPWMLey8c9?b0*B&4=8^k_W+9HWC0!{?22SfVloh&lw~3Y<)3x&b0153 z6Ot)Y0jopP)$u9C7dFRz${*4)Uw3LRMW(d!n#~Pe)|cz1X888|4wV`yyz6bQ?g8mc z?yYs2R%j)i{Gdiy_ac~Om4#%Wuy*yPK|Ex{8K1I*;W>Q<+f`T4p<6?6TIt-4r0H{) zgrTT6tn~rdy$5oK4z+IEQ^&hmqwrIWdGhTs-R>;!qMrUS-uU}Wq>@j$;Y9@gTwn=o zdgeVSw8)HpWK^Gz2H(y}k#1x>V_IZ9Pt%SOY=kmM(j)W>I^GR1CK7I;Uab< zJ&Bd4@6n^b(99Wy*AArggC7{B^ur&dix`DoHHu~>U)_pkMP)|ig&j$iwG4DreANTK zH)05i%!E?sB8v$6{4g3Yfh8n>Ff`%|ureaXrOU8)ssusM7p-tXXlGYag^#AA2W-Nk z0YjMh-q5aVQXgE;_QgF2G1#J7A=o!6K%afHP*1Eo|Ab#~vUXDx@+p>uLX8*SHgoq#wwd6#C?MQ|tw_AS{-E;Yrdu)sNYct>^=kmGQL>{IZB{DU)}IVZqx%oEA> z;Pw1ifs7D_=c5t+1+i$9*m24sb`9xND|}2|3Oh`dp?vAF0A`7mWJCcr=fOV+3e$K> z7c2UMV$2EOmxF6N*!!Pn-)*{@-e5X6!mY+GFHAzzr7AU$bio#1L9$37LV=_g0mz*O z7eh13n|HpB_p(DK0P?<(kQjFlW+#zk_uq=hAfyw{H;bPqVX~IzzXo;sk>3k;+7FUr zN|s+O%!A~B{c@RbXQrhe@Ss-&AlV{H=!!PZzb!ejLY7PbygzUb$<5UhEjXd9kbYv0 zQjz%(xx0S9R{OBXdeIZ^xR81XUsU4tf|E;O(ufIT_!1XJQFg-LEu=g|T{%m_oA$8r zosfiHXyXOJXoxPwlsj-8xbL7ybYtAkcWgKgZ>ASI0BL%lWxyOK`t#dffX2QVw#+CipzBc^dQdzE_%ebmFIjFNY&|ZX0_*fRQMPQqGDQe{RMdDdG*9FZ? zu7Ce&DvL3yj}&`OjozLoxrHc+lSe{+83Y?NxNwdl2$7jO*?qu~9|g~CJgB5a?`H)GI#mF(yAI80jooD>KkOII7X*rUlHmea5@Gs7UlA0nyT21X-~=&SQT`|pJ=iJUkstf&8i_hW zEMG;-QP%jUFBIavP>R9TZ&;C8TErvYlKg*gAf_K-VK=kbqlVo;dkeGB3b_ zlWx$AG_n@ACbt<2yR5}!{#L6$UeDQ2H8nNdjb^RW85`4Z_#^qWe~Csu;M=yU=l=>u z1qCv=b61UnU0R&@VB~MEO;y6HhI&@|#3Y)_uV|#<2L~EG9u39~$Fts(EF18FO9d4o z{?Gz#%my|8T^{!J-Ur}}%6PLRrm5#q&EV|QEoT;c_?gu1a@5l1H{a)v0&N8Dr5R*p zk?&F0(4=!9u#Qb?Ub`-k#kU3EIi=Yf1&{oAyQm;ejGQdvz<~x!pEqx*EG!hx?In6r%*f9xH#w$>W z81&>TdX9^WZ{YJ;|8weUuNiLZFY7lRr~bKbTxr{phdG&YRq8*Y9|7n!oGZ-u+ZwV* z(ne)M`++e=;K0SELsSKy>=gzjX$h86|GNc`x*88GG10}H8yYd^$u?Vt@TdJzSohYh zn`N7_e#_t~)xbgMH5{nL=`tnDg{x)$B^LGYpx{KZRB9m1W!le!vvwx@KWe`os+qXO z;LuOctIRXy*ose>kicbS#VxDq4nUj@(%nd+Nf2Hogt+50Fk^0Kl9`JP(?DbyU-_4z zA(#=m`OJKl5iP`jT&9oHDvZRJxR}aQuToTr94od{|!Sl|8If43@?r;su+yvX*oWy%SSuh+_@2#EzF+_r(>OEe-0jYYRW z#0m8Uv&%;5BX4MtdG{qzU{hwY#|a7Cmf?5m6#qyr9Gn%}J)Fz!9sR;rjGU}t8@+Y5 zU@Cqg6uPgKKq`QxwCIJdF#L{K7EK6zGdALz7`$t?x)8@cGF;&1=oClYS0{^;XiLFUt3`TA14PD4%($X1T z*1C9G1TgmlMJzQXh6{h#&1a7ayvzbK^Z`hb%>eLNm z<>P99?ioEyPZQr$$>GE+N_0m9-_yb2gkrcL4Ku8#m+PTne{S+pF{5H&lFZUx2ZU>~ z5erHWQ7I`p>}BV4olB_#Ey44mcti%>kX7*FV#rVR*()n2qg$^!#u zlALdD3flUjA}tmSlC9m`-*z@HGft%yZjbsi+#+ku2Fb2*x!O>5j#RRS(!H>iLuY$1 zEl>J!$l|2 zK`t%luD6P_d#66Qr)a1ujz)=Wx!DlNV;A?in)Gx(fI zz2F6jQ}3djWzsL+K4zO_9y3>lfA2g*{Xajx;OLMD(oiVw_I|AOb1FuY{8e#y?_s(_ zA%=U3kqb#-5DB9xb9VS)GwEmH#aH?-H#8^>WFqW4jXmIQQ`V|SP=y$y2021-)mqZL zc9(rz#_ldIZ(c}Rd=D}`&zpj@oq<$7)!hd(eq=z#^>T$qbc}<2g>Y@`hcHTqTaFOp z=0_y}^hIzG~NwD`XmLL-L9ZAYWwinf#p`_IS86^efiNGH^C5!Qc7+DXf&P{cQJ%*o$ide2`#xAs5}CEzQI z#m#v0%8gf{$K7#>u(p5%uAS-}d0P~=2y17cL>1h+QPlaAI-~gND&yHX>}qyu7}cXH zeQlodP{(LnTjbUv$1Nhj(eiXaW}WbbkOZyhU^5z15n@T`dm7kTR% zQ84;)Mv|3Iv^kzSjkT?l8p&N?Ex>$)vu&mub{w!Q?Y^4r#TndcDW8GXV%AR5wZ<*3 zBS2+6>w`Oxm7^*K+_b;U+eY5Ls6vHBDy`CB3+Cjl4jvffw%Q53`2$E%bU(TOz#Xtb zo0M1q!F3(RDX>5P(Y@h->=L?o`AO;x)6<*EF9P6>{ao7%J@FR;mid&r#}ytmicado z>}{$DwYii+Pv%gjtr`p9Ci)O>{%LQ#u@@ccfE=)KN~^qC+w*jg5^b}&a>Njzx`?^p3OH(MpHu^0*h3mj z{*TSKIkVCKrFFumc|vVA8i#az7m-V-(5*I69Nh9hw)T=|q^Gie9IsI^nk^2JOvuwL z*cd+uNN(V{O{_Zbjjp;$m^fwVb_~pn#iBvCYR&N1N8M=cVHdxF?Nw*${t1~xVHATL z&}Q$Pg4KRlM)==C{tx#5hpDVs;r*|c>POE78gP@NGaFVtZJUMjg1#0kFzux4diu8l zsCj78d)?rJ*x`ofn}p=cmSJA`kN(EOW#Fd8)Ar;`g;iTX22^_&S4YR}TZdJPyYKwe zFgroPX?FpzKhg!@PER>Tck75k?CeccOhFA;seKK0t?j?)l42e3iX31C@1IL*YA?Gi zpF@vY$ql^`na{NJ49>q^&2fi`cCA>Qwd@^wUKjITu)~S2wGg($WXtOzxfyhcUa?nv zL7Z8)w&ha0gs9vYn0qd>r0XztbL3X-0dM4LV}J8bL5^bPV0eaJb9>T9UJICYnu2lZ zc5P!Yc##R+#A5Yy=Fq?DPwtUPeXHmUkeL{$F3P&EZ8QuahRCY8bG{hK!s z146g$N!@Z4d5|tNrQSUl_5M~?3n6HyS+T+BQ11}YNktwTt6J%EaDSd6FgrEqPfVR{ zV}tq(HrO>`?vjmmdh?fAy_-jXk4@k=PSw-Ot=(oVC`3+h=KOv%r~f{tQx+GG`X~aR zI3WLa{idz!uW*4~m?8%%Aq=;>gNMQGs^*yrg$WkmDyZvgI`~#xG)iJG_;uk{Z*xPB ztH-3Rr%pL6t9>b1z=AW=nlvr#m*m;K}BQdrKndf89WCSed-QU$50^uYer$O#% ztsJ!+ig>(_1WGtE;vS1%{8GWWSsEM zM~eM9-Uj5@HHvjaZp>a|BdCql==!fGfx?zSj~I)ebw5zi1!k3{2+nv1acOy!Hu1`V!}!pnvKNi+J^cQ<`o zo*B;leL2XcuX}|KKf+hMmc5E=>Nqc`ggEFck#-*eP_ z+$MACt>!)2C$h2OJ@8GKnwRwV%$1K8s%SmO?J0;l+N+```P%Nt!CArva+{nXfnCK+ zcUd6`t(X#@8it3huw=cu*dE{CENAD+Mc&On2>lBU}#;yLn+RQbJuxJ7637o;Q#^x(4w#mkBF`+3@ zSi91#)|bZDo64}-#wjzl>5_jjp{2T5Vk9#}TM|-Nsax(rRt_Tv1>K@(8={1)yONAK z$5S*d_MyfHUBnSEoL{}%N!Pois<1)%lfYtwteDJ3JKwp;CM@Z@3xkD(2Eq9re#nc4 z%Kd*lt{L`r&Z3D3X)vaug~g|`r!PfolyTk*)@U*U7F8rcHK(4cz3DsdUMa$|ym~qM z$Bol$G5pY{m>XP@cQ$=@=GTG!>#Ld`ReD*1y}ub(LM1TAu^)a5*q%YUfM(1ti6akd zRoilhbt1NCPn8;prZr7Zy<9X;|NF0>8}Efbby~=zIc4^ftCX+aEo+ot-U~&nXPLHP zncAM=+EDuVZF63m0j}aZ?Tb7bScEqvAr?rTY^}IcLG$qIONFqrlqD%Q73vx5*V?$u zEc9=bk+bU2~lDmU!m$UNq^SutHP@3 z%iA`Q|6!~sY`K4@20!YKhd35b=Jn=Hms1r6sQ&vSzGE!omHz#$QqwLyEA!WzifYyPgK`o%;)LT~)e18Jtez{PEL zlTa+OvgBc9eK6RFtWFuJu++|F&2MSe6fIOc5}C}etk6*HU0Nlwo-~7W)>ABLJ{wjN zEqq$X6p4v`Y2C?p<}p{z4=PZziAwK7P~3=2`EFMMM{#4nus{iF0~0qUntQdS!bC`S zB^pN&XYs7#4|aZyRVc_eLB@4qdgBYadMlwIi*VLuPLx`K7*tgH7C&5cncx*yF#aRV z&@nC1=YfWbJcD+NQiYl7@L)?W!%RZD8vmE1{YS=`ga9~v*1uW4>#_e=kM;kTi2tWz zgICmI3(Vc)KW!&S1)FhwZMj-uT9%OPASOS;9e7FC;jyNUe)lvyj1d}1<+4G&w>b7K zeyzl*Sh!8wCGa%(UM zu5CcydMN9#I0L$iU@nT~40d+FpI8jES%3_7DfzU&DNewuQJpEkZNg%?2PTiADoy!X z^qt;+7Jny&h=S2)suX>v(zgNQ6Wh(FxY;tFIbTUNBs=-NwUmuZpriUDarR zz1YkCdXbVX@@~Sw=;)v*@vfp!7T89m$uo~gwjwUxO?07Biax}@zt!Qhs<7t~NauS!hQ0Z_A<9@=zuV#VJat&@Pg{!qO!ff^bH&ph=JV#E$ z)Oht3^3al%7yS^(de8e8#R!&*MZ2*v5d;@2v+pf~`|6ml^)DC89m0Ru`w`?juq&he z9tm+gkoJ-6u==bQbPSU;m4xwARBpf467|b)cTKg?N&7Q_lHCs-7%1oCV&MEqyF)}< z^Kc+h3}x>RPGW=a11j~7(b427hqkH!+R6VAOLt{$cTSD8GP&IU^fUs{8M2jLy!b*t z?E3M`#uxd?JrnZF+3ChAE6A^e8)%jl^rh`|bDkOGSHleifB=eBw^6B|mnFQyur$uM zp~&{-W)GTc?``=_+wp&wT5&B*>-9%jq4*|#13oJgS+0wE4P*L996{%h*~T=Q@N71(d< zzj_)vr!>O_rI=y4>iC{K`NLh#5)Q>?AQMm#gIQQ(c%tj6Pt{i)9*^Q-PXicN)X^7o z(H98uFMgCxb#*fZ17j&54gAmLBNaa~zBYkk#A|Xq&Sd9Z4+ZX$ZO}rws(Ie~6Stf> z4LYLEdb{}KJY87!wgqW94Yo0G0yViiJY<*bqE_m)`(~XnBywIDv_cNfekvy~as^my zAvNqF0$nr@1Merf5`~C|l9hQF1XCfg&&`c<9wdEU<-LYVY*?ojXDSSK(*chUGgf1l zp>u&7F(5)Od{hkXOOT;}IJc(ec3lV!dAvY)2G%I72ZZR>*_@5FI=alLrS4Ltr^fxK zuX?TrJO72GZ8weT#Y|4dav3LfDw|`H7*8=Li{>rDI=<@S2S2eCTbDP0v3kJ6PSFtDhu+ zj+*l0<&}9Ti1w|QH05Oz`KTB#RZqs{uGGCJzn?(1IscsVknFO&TZHXPd0Na0%A3c} zCW4&Qb~Am~@^`8_K68ZqQr}&ph(btfWjA}@__kO~zPvVv^|4hsxzDTwzJTEG_-e@E zj8m52sJ+VfwTtZQR`iH>18vot85r4qG6-f-00NJv+%=qT+&H296r670KmZ<(T@ym4 zWt<=N|LDGSmq2l@Z33d7n}tS(#ZAj{HYnovrcEC$DdthYLP+BTK$eAkAw z{EfW*=4GgH(lE+{2lTheKO;$jxuGCL;JNZM^~7bxK+%_Zgk_4CUm6-f6~T(RNy<0$bLk1TsXMvqL>_?tlK`(66qy(o?Cr|GS?oF43GLEJ)H_LsXkk~+1=sm|_tA5M^ zUV!T=Kr;E6E!mH(_wM_KsDK9#o}Ivv>v;TdMJ{E+eWZF6om)(-(D&U7sv#_C;L2 z+9lFNoO%ZmzP0ekSmro$)!q3N<`3H{j6Kt!x$IP#bw1yI;FSJ{#x{#zF_P|8^F4<2a%6A|p8q ze4yNbOTBEqB&vVPc`xm=A5&UF&%4YlmVR;n;IVu9-YK%Cjf-vL-=pwMaAcjBEohp} zSwn;Y8?Dw>tEu6$-Ey!}r>&9t#|nWSi$VY#7WIuP?Y^I@c@phhpE&B5>2?!t_xUG$ z6x)KxxtKh6Kr*>NX;G3LkG2l>Nt_+a=Jg<%TtP63BZS%XJhea92qNA~UCr}R#BQ|` zQ>zo(#Vj_Zj&CQ~lfMQ4li9NS&D?XG>_U~(ARiH9Le{a|93Ry;ywNS-`M!D}ujAqf zUWQ1@vgA_t92CZ%uD_Qp$Q0d~3pChX#qI$~@uXoVl_}=}N9bjYmBjidaq}JASBk2A z#=gzHJK(Rpj=rED?%L-)qb3K6H&`?vA&ziKXa(yN6bYO0$Ri;ahsIF>e_en%J^V49 z(h~aJ0RiD8&@o+y-L;G$fV2g;5QK<3rMcV@_mv!)pU8hs_}4EEX3YMH>!HRh-oSP& z@<1UI<+bOUZ0bb<=Od|da?Kv{>8o-=^y6|a)WWv2mK*pn-S4-I{~qxDpjjY(lJ5?C zZK_Pu5G~|zZhsb9go>7nUQRk@?8VC?1}~f8y5X|gZ6BlUuF9te$}Jc4(`U3DL5hMSyz zs9|&QVeC41(lb=WciXE&eHy3p^v#{vVR$D^s^DG7TP8?C31i#q@M=88#Se-M*6^+O zvD)y^`0&s`>IX%@IE7LMD@)6@8s*UJMu-(Q3YdGY7t)3N*|%L-7eu}g&tF}nOPOcn z*Bqscj*|RKgFM!i&Abpu`cugBWI^(52FYW$8RoZFd7c=Mr{l@Zo4KE4ekSt##4hvv zRdDY3ndc9h@5dD7$87Q+KYs^~BmIDkISH&+HU#_oC`W?ebwdi0{>X}D3-wOMG=x6Q zh7_nA9hoh3*EmPQABoD@tABW0sNM9LaR{$fL`+YpwF^y{o`CEV*TRV>qBa4s+p)V< zMna4CgG#zPB-Bm_0n=r)wu#Cuk8@0j9SNcKmPC5M-=hcNSt6dJt4z;OkK|(iM>Ojv0o8a>zxK`8Hu_S zAQYG!C!{+hOlL(S&9EX)NI-C65{1|sDj{Q^xQqmcM>rh1xLszLhkbO!QZuB5x^|*2-dhq)Hui+n z#V#~a7dvE&<@iUc%NFb8gkgzs`%l;md#H`b9!PWG((!4VOr5H z_7y0xz9d$$0+mZ_(Cq7+r{$i<8`HR>+8Nk!OS^cG2dy%uD~Nr+-il_h*CnxN*&&V% zwLb2T#j5(A7R_O~-Pj|r;$&n8Kz8*OgmVZ&0#k^;W0bpImU6DYHaV< z-4j0L1RyO})E|2(8D->??Xstp ziE*2_nBmFLTD(rDwfraT(9hHCOf`wRY^SRKRYK0hy%F*H10?k0CdRqUAbj$#IOAK5 zjBt2rHNmUP;keo2m4NeCkXO1&LArDd3f_Cu)GK&=!yZz3$65TP^SNAW3I z#HAUI!Ks&c>~iD}1fC-910IPNW`Mw3X%8vdUZC-I*~5yqM?ia2(WV8oO^UW-Kzl;b zwhL%aDcZK6T?rjCc?}^58`1BVBY(A`9Sa(5xmMAR0qq{pu2;0h0pAUZ#*e2Gdy}H| zgEkX7Zd0_w0=~NyjUSjL_8vt$FrYoCXww7Q!-}>?K-;8fI|j6;6m8ppHkG3)2vY;v zc8c}{)-Bvc+&oRu9tG__(DqWahd_f{OVbtY!GJbH(e4Xq2P)b<0d1zD@l)KC*P&?q z9yw{Oy{ zD4=anH2FO0O^U`(#ohs5-J@s+g0^1K205E1rrTpclquVBy1n>s(aB>v6!Cjd4+hH3 ziVn}O=pHCyk7D2~wHFleTWJqvDjEWJ&Km6n;aqnZsOS#tb@>^_Lty}E<{A}PscwUC zJ@4|j`L2e7d6|li1xL;rT^v)iAy9O9s}-$|DOwLj8(?oeQ_)fRYqTMz=sGC65@L?Z zRK&?Ar|VWjpl+jUporajd8VQQ-TStfqN@T$$G2M1Cb(`n9KpcueQ4miJ2Dj&a2;K= zov+7J#CO|{hx<1MijHMd*!Ob}gI$k%z9@JUpqvjV-BHXvsHzsj7bdSnL*AE9%-Jz( zrb7(HJ`{+dMSPF+Va)rqoW~4%1bmMw+BDGk9ONcN+YvPGC!SEW?LgxV_oozXThJZ? z-_we=HE0)t#!Km*Qv90=+FGPrE85fWUN>mlD%w+^(H%l_^eTI;_UaQ5!?|+1Ky=II z%5DhMiZ(&fG$`7!)rzi*DS8x&u7RRyfg(r8oEa1IFvMI3F?-|_b7f4-LxDA1qu9ib z)?5~ArUxO0V`zFlF`POGWtfIu>h=8K*n6NYo4}3{;qcB*IYo$!1(eKY7Q(VP;j%bk zdYnKd5gGO?s2k&ugbYUl=Y3f%_|2Dy9gZ?|_5YzEUKtqEI7LlyPumROf9#itWu2bSg5_n-+8Iw93h2z~B!TwHjGw%jvg`r~o6 zbK``BPYVzdbxCxo#ErqTC&G>brcXkm-SRo?k3=tg4%cNuOizqTyOzYw)&yir@j9>L zY>}I*gvSzubQj82@+Vv?Laz(Qm*cff zRBrNl%4kD{F7!MF2R}1}M7umQYX8Z#Bv#XZ@@DWZ+H>xh(9YiqC)+RWCC=6ob8>;s zpEw5!S5tS7CG1EDO&Hb+k0pdA2;QQRuk{mkNeE345_L()NVJ^Yu*5)4thb3>aKer^ zrmm*aw$(Vt!Er*OwszAKkOU!NX@cV)i7;sOX`;5gjU3sICR#rMN%S%E19W;cd_%N& zZHfc$$ra6!(2^jSpJUWA5_TkHBnXKCl;B9zHZg5^b<%e5$5SW>Fn&?-6=Z{XJ(-*i6fzkg`@&4fq+Daf6$Pi z(GZ1TNQjl%NB|L|M2(?FV+v}1}Yi^NfoRIh*k$`F`wjE8|pL(!#U z0c5Cn9hTns;Le^>A2Qa5I8sGz!$bot^jx@d1SZlk(Fgd}T*Zz{cetp5*EhQ;qo%@_N2RT;mQmmN#)Y+YO(O#EZUCX*gMb$Scw_)RbANC> zfJX)3=KF(}0C;o&=JXBX#yC0#AS$3*%?q@l-Q8 zj#U1=?p9?9NO1>_EEIyqi(#<%?AjBXVIoz_d{A5(o-|Ur7fUMf#;k4OFs_iSc3Veh z`-YCE+N#<+x|&MJz|EFdF;3D|=Ic_NxB=D-SJ4b<0fU}9I4o9!doTN z6U3JwX!mx_tALKEgn^NuD~jW2aYL7eJ5f|XdY9ikRnCdyc6Q8^tx7PfTc!cXGI0qs zym_YSPd3Z$1?}ujOK>Mg7D3xt1X~N79*kq~0m)4KS!z9hPrV?hRr~(;(s0ZEX>}m^# zJG-{FcWjtN;T(MB_)hkdGnrp+Z0{<{@f89--hZrV#~5nHj- zo~3B^Jo0+yOEs4fj3q0DfnG-?_Pv)fG`E_l_p?uW4zWF^%#6nQq$GLZ^!KO)G2BEk zEeQpcmNcT$P&Mg1KsiMieh3ZtB$FlF5vmd2f@I&t+u@-wBWG8 zB`ej}L)5~tp*ZLMq^CoaD%yA98ul8gVv6)x9;1^5Y|CVk&o`kMC8D@^=N;C^x2lGR z4US&Sj29#;?7LscxczK2Xs!};t?YmLa+FPSoA+-fyBgWWIx=uL{CLbs>n+4DCtlor z8`F%*{Ux|)G!cE zpaKyPLcC5K=0a(uWnYET_O?`QRn%5Xw;(tnZ{nl|$Bz7H2`7FMKaBqXGx2t}PmUZA zmK-}X-;C#J&qYym2j|I%<0!#>$*NC=SK7D}#`!gluOaCk&VPqEZ{S4HC%6n0leHBV z=3!JTcgSf!@@2_PjqFQDd<)5qLck5pzxC6Yjpxz$g@X)fXm@cr;MNIMz!vibw+-i# zPaJcY8s3GhGZAC3TZ19kxQ(he_}ggxy<%CGV`jtAGHXkAye5yhc{h4n?~cYCV< z+sbhX>)K%VF@GntYQsQEXkZo$x7-}@tet$+-hj6pbbe9hnS~4+uTbE$mbL{_7b1n+ ztCUn)NSMk%V|N;`I(Ns+a3InIPFtpGsF-mIF+n_;%C{OYA+&ducaRIoE#yX*jU3v9 zx*^ww7n)iqSr96fKBIlck1#S&8C@7wmPFEMhA6#k9DfeV7H4N9%vzla=6D8~PJPLh z3rLg`3QsA+FL}YgRv08JYp{O&nWqjCryFY=H>GV1PqoTK2*kwF5cOd&33kvvg`rJG zer!`CD#F5`Fr3rbtwvoCdFwGm-ons`l1~L^(1hX;pqs<30c;O10g?Y98LX1ym)}jF;h+AKjnwjs)fsHJ!oyAPmXB+uVh*)ajQXk7u7ASHFFj9zQv9 z9wkOTO|d|8FX1_C8UcgKK<@=~duuQIYrP)c1O26-`7thM3+z2N7HcuETe$RA+r4%d zUVnRm=~;f&h3;yn-|sYc$>{Ux|)G!cE z0D%YyAzmjAa{*du+0AYXw7o4=TNTl2=@tYh56{n&$xjlm6idP&Z%)P%pjaC6lnLoyhn_9>?+UauluWx-p_WaImnx2!pi0 z3b3slm$0r4c7GppLaQzerGyq{!EnpXAL=ll>O1GUkmVO2#Wjb@0-%YWA4ploq|M#8MsnP86Rkn7A> zT)BWmIic{BD*Tcc{7a2Nva$i|C!cucAaT02#&J{G*6>WLT!cVOEe%m01(V=4+NUtI z$=FY9W<*U`7?y@}I=j=V3nFhkhR9nO8By_>;2fGz90GK6v^9k7(K#UUKO}?IwGRvWJz8PJS4xbq&WMS3+So3@NOFe88(v`fOcQXz zxtUXBBi5y9OEKq;2`X3Sk^|>zyVmO!b;*uPw0|q8Il7sydK`Y8OnD2xk`L~-pFp)a@9OUurljGvI57XnP zM?OZ0vClFr(85c23Y$j2ur|I>}cZTm_dpoGR->SPPOIMIP7(5Hm8#CxU4R}{Z nD{0{0o$=46i*Dg5jMSXUSVX?3(1SiKKm`hqVDT5T%mWw!s7td) diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 20855a6f779f63c1e523da30c7b70c63aaa063f7..282e6a416b70f3dd9583edaf91f2915fa6cb1a51 100644 GIT binary patch literal 18217 zcmZs?V{|6L7A~AjIKdjSFfk`)3vL2 zSFNtDTD5l-3J3^DB`oN73IbyBeKCDYWg~kBGaG9HdUhIm8ae`gCo@Y!0v1*}0y=hD z1_oLNI(l{j1#tpNGe;u=Awx6A@3Qo7|1+V)_g_mO#c=NQG&%IzXw3M8l z;2X{5e#a)4*oOJ~BOWG>fLD%ZrgDyu-0g0T1ju6ESt8r|3;aN`9LSN&&&w-9MMbr! zC@rK`npZ?L6B4pOrBsRfmHr)k>i0Yc;V370y&WZ)m} z>Q>>8M}bY)=~4g(O!U*A!rY!?nEb5dkEq_P%X>5o0q&aib=Rwgzip1|EKO%LZ$&p` z78%aIIQvB8e3lP6q{yM(S|pca?U z)8~Zy-&~i|RZP+*%!Y1H8QDgq*M`aF^p zuYCpma;BNWaigW$_BA+%%R^cP3p_lwzImy`LL7IfIS#4U#+Kt6$Hlr=qtuMa9b`Aq zZi$a>!O2LXde-p;UaH6=0u3t&7A#2WO|f|;h6#NV+2Dlq|D3mbK=Jm?Lv2${Z#!m- z=Y7O%l14t@EXU1&vcL>B^G+xY%(b8F%|~EE7mBs5hC*&(v<5_z`|`m%$xPVUT-KvB z+<3HYm|DQehV|>$eIO0KcE7+zQAPqFq|Pm)wIP|Q-sXCrzC%tu!8-cwFadXCw#KZ3 z%ebF(W@OBuX)pMY!`hI}M87+&SiEp{>o{X&skS!Tn^6x;O|SajDP5uu)LX)x7T$DN zUF*L`{B90{lS4L#QlDrM_aZgxb{~T26BD?*;2|mu&(%?*v-KNg9mdZ@YVVS_Au>pQ zE=+?9_CCyzHd^Dgu2}q9gNS5A8DSjqOlLK=?tH6>u}P zAVaIQx7)hcR-OO^e03N%K1;S9dK{`@|oYF z%MHa-8TY!i_jWZd3Ti+0o$L?7S&v}%v^TJ%)MeIv1&3^sIN+#@{Zrvt8Sm=KM*E30 z>P)hK$ZI#%6bw1X@2k~^9LERiJhrx9gNAhF)FztVhbx+~DGJ{0VNL&4BhRKLGmpa% z?qk+Imtt%nIH`qDug?Sr1%@~ z=D?uNZB@(@IY$9V&q(%0#q{uRp(BVx=5*;eK?IsJO$7Tyc9&9Cdya-?dEC8*mV!-% zSaQvqxvDzf5N8IMB<|%~M3Ze6g|uax;RC`9v?bzi7Pwa5ZCqWcM+WC~?8kBylX0{w zY;VW!W}QqI#_}&&c<$UWhu|G5=l98cfrhK1+9IZSW*@9vx9a}vr<6KXiSUd22~&3& zG*Y@WYq3MEBTkquMhq;E&6Lpunyb2VPVkTtx7S!!Oqd>3y(3_5-lT`7{T8k@SCP#6 znvbrdH#5vb0wE&uz(@DK7sR~~kMlqoO}phQ`pvDK-*;Akz1#??i?U=ZPm<`70b!qX zN4Z13`l0V`ZOdi)sCs(IIn{CCGq;45VKQ0d5SLOf&0V+BCAP$BE856o1c(WH$v8jk z@TT+1%c%a4>HN@%914)}xZ@fjOUhev^Sqb9J7u2-qOKmDo;h&c^K#K2E*D8Ua9h6e zeWHK19um9`m5!AoxK~(AKTB&}hakwD5WJd5C&S5Wq1C#}zAK#4Y8fn&9;S8CM>N^) z8QPT$2?f6}H_~Xz{FCDJt^P6Q4)uxnbi!nDLP0)kfj47;d)rn@8|*31^Q7f&i7^S^ z5EbSmnnr2qIgj4h3miJ)@_hC@m{G=elM&>8C7@Qe?7EM#N%!%pWzjjTT?iV<9}2#y zOr9X4!M3vE#ZFlcsZy733(o$jtMlA1dR|VifM5U6NY-!1-R+_}+R@Ww<~7g90nTD$NCq zN%U!(l)}YOa&F;aR0y{^b^t^#Po4WiM#A^lk+*;g>_l=&Kfuu_#L*<=-Y|qpGDPkf)<%DqBIw@X{)9R6-xc>mHInk?)Pm}mhrzun>2ba#$!UN z6zxA@I8>(rV`ZBl3r`_%Z(Q7WbiNUcW*^$8Yw7dNA(~#Chab5Sb;769LTW@#$9WZT z9FB5}qPT7376rew5}4&fXzT^cJZXf4W@MAdFR<~;3}hj z<}M6#S%Jhktr8Fmu+`B7vhCiG#m%I~-p>%MO8D9y*AOrOxf~56-TosHF%9sbMaDJE zv+Nv$qv7%yp(cR5l*cPjkEc1wejTew!+&)lGvcVq4GE${>6g4r{Z12idOy%asuO~r z)Zvb%r-!*(z;@^~78TEiv5r%rTvQX8rx_70r{n%BqTn?`R3H`Dus^gVFtW_dq@Lp{& z+vgf@#+^V7>$qblOd4My)Fc(Sv9T+SdP{&6CZ^-01m%D;0d(W$vb0)~vjt&elBmQ_ zSWA{oN0=`#eW@Pqo?e@eCY8?9zn3WkzvNjsIf`WvD$mFo$mbGa8Ye3Z)l%h!{O+(X z+Ki2{of3pn7e^Cj)n7PJ>2L<}dPk}UpcGmFT22jA{S`k9F+WkZ3GP4CE|V&PTS>8Q z|GHSl@$nv>IYZF&2SwIl7AT9^AG-Xxl`MraJ2V$o3a;fms~L^BG*``Rvz!E1|%iTy`Y!^hd*r z!LS?kN|RiJ*c;U!Ow)(ln(>!EzgYIz4zQ3-WRo@?en&{+*)(wVmy1 z-~ftv4CV5V(t2EKG>4r36qeUb2phnJO+?b)`EEN+)~Mg?v){N zeF?FPvK-*p&WGLps<2_Hh=6Br1@?@bsIv`{Z;GIC-#qf0$R#icT=>P8e= zP1_okt`nFL>UGdFG7#u_P>1B(-1HjHa@>*VlUsen* zrZ>^b1$h6-qu)+LI|vYRF&-IR&34L95g^%NzqLHF#cXPS*%RaKgrR&GtmUjlw0xx^9Y>zR&3rCi)8;2iNQ#NvV#Ag=7|tkXJFZ{j(Z+9QjC6hi11)VA)SybO;YKv+ z>eo7f%w-_!a*lK{C?-n&r+RTWzoKgl#wZyxfVgtfL%`;l<`51Q8m8F6Fd zb+!{R@G7A*!TE%z;%XoCwtlO~%m17#6fzRbCTB3;Z-H{n}_5q%jXYQtNSE zV+0{L?;Px<4L2w9-2tKz5DD_t2aVIjSqBnUD5iye921@ax?1OLmnCUf=PYH4QtIAI z=Q;n(Bc0#9mu%mJ%>0{p=R$B!9slv)_)z^W^(qA3K7>on_JBk09t0t`gGbi5Jh+j>cdpqr94xL)CMObk%(aF$^2 z!`5bQxV`x!plgj>hIxTh=sc04>5?zTxO!$Cm$FXr2MNF_6iDByAc*n*BSCtKfNpho zY#0ZPISgr+wQuZwF?ErCEX%Hn(5uTedHY)Qs4{O_Dayu5vZCbBf&PySw?It~yXLdo64f zaR^qe&$jgvHzZZz6g>kt8USPWV+75{*dLO03;(wFRW<_>Mq9~s1$u#E>Jw^DybjqC zc6#0v zVpYk=3G304_Q)c*$Uc^kY^(rTlVTQh_he(FGaS&!Wy?hlkBHx#@gwRcOL@zyY}U92 zx(`3;1~qUUYV9lVG#ky8c2%vw>AIFQ-4D{rXUiBB8nMBI6+lf5zSFCaw{)oBzxN6dHf$Br8g98fxtxu_-~Di+{e)5o+Z zQ!1~D*)i>Ju!D?)+Wfso)F?4foC2u}WZB8GkayF;P8y3gDL3Kd+}Y;}l(%k}J)(Rm z93fhgoS9`L93nUqM>xVv*$!oMo|CN-ckCi+6GzNAeF0&6i!n|fc7Jw#w=Itr za}IF0A|-8vO*pZ`CJz}SCm+87$rL$>_6^c+uvoUPukm$VTx7YGcpelzU;{S7Q1L4#5V%xY(xt&O z6moEvoBWx-=vKYnw32PQ&+J(Mau;h?Z5bbvqW2e)8jxx5 zK5_Ld1^u2tek6QqryKgLc`{4Q$g-&UWDCs=dk-r_t$MGeKcMoi|!d;Dr`i98NTLMmYEEf6N z-4FU!U8Se`MuT=iOM^v=bc!=_Wsg6eVVx{}$!tL3XC$(2Xr0}4^c{(e z*Y9jboj_W1+y;@*5SJn6YyHCoWa8om zu|w9;X2#a|;S_LiKRn}{+lj>%e_cR@x?6>1Zq4Uf8ptl*_7vB2;%m(B zGMI{`zXVoL%k(|Z7UB*JdnJ)X9Z+c~DzA3U1Ar#caa+&*(<#{MOvzmFGNWPo<6Q_b zJBe1>-@sUx?A+`lvf6Ec=Ol zzjds$zNGpQdc49w&@}O$fdK_XT z8JI^$zX5>NfE&wh8F}J$EW2QwYpvAVoaI1?D8v{0!LVQ|Z5()#*gKgksUX>n4?oIz zEQSOzo&n>@a*0%!ZTYzWfKN@TqHGcBXC67T1~Vr@Ql=auK*J$g!IeP60!Ft!1^TO< zoRZyOo!E97hJL8Ul_{>}Um$8m?xorG^n$!`sEq0)g)$U{EvUtPoUROd*7btnKm7&b zTHgK(`RIriYZm3hpCN>^-Uz9ydCR=v zJp4J>eOP&wf=8zGSG;Le2KYMh?M|+&c*UUtzvVLFkVBUi3CJ_ROz53ZCV|grteU=~mWAnPmE~!{g7Xt;j6p6aVohiRzUfx#?1;Z09 zn6bTjBNR^2_1UCZl+0cRL2)v_)g%$|XL2RGt3QhPK9h+^FDTN4R3hTcs;%eTzioZL z>pcM!sfNA@W`7XgXE2u3+@rZ+Y3cIuucqE?iBQSFZb>9n(i?f~nbo~#Iq@P8Ubc{& zST*8XN;^qHX%@Rkz}AQ^jGet6c{bVBn&``ZmhJ54qg%8$p9;~81^9dXF z`&u1K0`K#GTBT3*?^E#1O>?-H>Gh_0?zAmGPjMBqo>`c{yeZVj3N|=q^*nOcc%pzT zJFk(2Orsh&F1*1G8MvQT0_%j0#k9|1^>6j#gO+Pn5WdnGOJ8Y+~E#NB?$C zKgag_Q&#nmsHlqwTW>70V_}aK8yHNeX@mE32V}q^!VKJ5L$z$~cNkAH%4H-rpub{x zVaHtwc>lIv9p4FKKe9MnmmYl7KA$tbOfLk%pQhvDGCm!wv&xSdHh9+dWia!!el#E6 z3eLFY4rZA9>VIrsR^i;;3X$E+b&L8m{gh&hi>TSMyB4xr{{n9+&)MVJ*Ws7O$LzM% zGJV(Wk3aOtKxlft#{TCy6j5tO-6T=diSU+8)U7#2xW&A@6_QbGvcUGH;C|Zs-G3{OQ#OX(@_HC9O!UV$qQw=W z9%_(OcGe~T=GW-$r8RD3o^iHuytXYqQD15<&27|LTMe}_dv#(jy0`>yN`9Qw>Ui^z zx-!o>K;#zcba`t*NL1?JZioA8$nTaVgf&{~t0m(b?7i;)$6=ol{GM7ep4-$*m1t^m zU->b|N3`*n#C_7#e7gek+Wy0m191E+i?pHN4#U)%7G=~QRm zvli2qU<`kP(FE&NWk{OMss;k{Rz)+Od6yXezX7aL)}wr=gx}6@$9e7$U;YE_++4|_ z9kf#*O5+0WHc04;;?!Gd$>t}&oqTOZ#=r#cay}}biwYN;w-*TCG_IJ?dH()c*yi_5 z^V8^lg~BUr!4wGSm7$KGybB`jM9P~}6&gw&EV%cLjtN*fe(zHG;Z+(niO?Z*GCu>& zp8nLD!2FC@zJI92-v&baDp5xt&yyFP&j)k-Z2EJIw07KzXdXkIs@Q*-I>|Re#LXHR zkssn|X1Q|&M8@(wTKAYdeNXqQC0=oF!(F}2Z^GYo(*(Aotav)?}-LVAGo!OJ2@ziTkaqem<`Jln9wP4 z%BGUt-ycs{)20j0*L0s6$QpCJB>iW#0OU4Cp8M7J>E`{AOUMLm?4zKc7UH+FPTP|w zg=e4-D(*-{$ObDOvaZ}slU0+KU6avWS(}63t~ZZ24i7YEn)CCMb*<$b=( z<9oaP&qWNBJ04)t9K=)zs9Mg){E{RsXu{Z}->9gOWetbn@r>r83LYmc)a}C4`T8)# zN~}wR`a2E*b@bl=OQeVGyLrn!jb%PyIwY4%HJ@WugE+Hgs#Y?pFXptIB?>v)1|Rb zt*FT65fdWovgSnha(gx2=g0R7J#JiX%ZD>W+ch9oIm2J9oazk^Qynugm}5bgB7Q)f zF!Y@OVh=`m9-QE{o^Fs^QyhHk>KJ9=(SW^t0n|yv&QJ28o6*~m>C$*` zGQA}b30f8+r9X!3KRa0ryo6w@=ccP5aODA1WM*2~zhRsKEIE?3sZQ3591c`-#f464(c$%d&0_EXvqg-0E2w80PX)2YGJsUtI?*&^UjoCL0{9Jl?mT z@_oQ<^;VlBdb`2cDkZK~#YVsIWK~ph?}^_#v3OKVi)orei*ZuBV1Gb`OrHP#`o~K_ z(>Z%SbSD+?bK(g!&5OkyTB%0tt*a_HpA1nNVDvgP9!wl`jqn}`U4*7L`0-7 zprdEaQDTy{iLbJ5Ei7hK;fI7{LW9-5aDlwvQP7DY%?gS@R2-EbXL#z81uID})ibMe z%6dNl~bkgzLhTaC})cDN*iyM}_LnmfyP*?l{7SviC6p$i#F-pOnd-+|NJg z#?~1QObqXwocs;u4jPEg`@&st!x{M}j^4*tMtlHHCQHhqCM6od@*7VM1B22RlRhVCgR`k z$HM$9rSFYpGk@2x-tPuWWn{q{sdQxjL0UYEinSW|G5e?}T=_vgRSlYeuyXwpRJyvX z+sUDhn_dwq>9%eIKPV<)Xl5nsFo4rRL!@Zx1(t)ESKkfcWx!HE=aP&bk52G%O@(j0 zOXSgVBiC(fZfjOpgg2lL%ESK)4-8WS?{eD6U6l;Y4*>|K9SLMC4M@y2=M;ojQkjP= zSLjciQNL?*9xFTUr;sauhRekyag8W=A()uo9+6hHrjhA1qwF4K%)fB5ax~@o8?XC+Ns_49l{AP4fX7Z6FGV@{N0wEH^)~$^&V*0Gmb2S#pyTSw?Potdji0aA5DmJb}fXy?VsaMT45n20P&(SX(HG z02{)YL(*=LQi>7Of$6(hPCCz{HaCP407?G4N+Gm1H~fnqR`dv!{OT38L<$Af3T;m>mJ$cfkbj6gKT{Kk3>zio%P} zBT1t#BANnt@J+L3k+dCU>HE;39KPO@uJiK63Aixow3okgReuIT87!7k?+6Vg^15!{ z&8hckfsI{s;W9xF&VlVr{u-5OdmeCep7sT?&83Q1TeWJxw0uD6DMzPsefC4W#p3)HbrW5X2uuXlB}%KS z4;_LCL__&Me6ue=)o{#wr>b^L!*x36J_hYl9n}pMfj>^Y!FH)`xPuTO(0zpN=2e-l zzyVPKtjE{m`j+M2aUPsF(Z+(v)e}yKx(gd7+bI2I;%{_0?tBRu#7HUE>mY8^C4 zuY}8`zBT4HD!3vd?a=xEQT6*m>YWQLEi}lu$*DZEvH$9WX}tTTU~QI;>*z2*o`02~ zU1Di91uT%EphL~r;~{nk7IVApa{bJ~)V>YyJwX_85qi7Gy7dT1DFx}R&o^7&5Y_RR zc+zBk1cyxl9X=SGF%@Sb9Y~J=@!Ja_&|-(g z{}Oc>Kgo(dfdN*R)popSr8?di=t|dT&<`?hUg~aQKn4+c+yQ7$W{*6?uYN-Mlkk=( zTX|!XYIVHm648|cv{iK9XL&t8ewdWMMqg_2`BY-wOxS=IYHS@~0y_O1CPQ3NnVnQz zZabf5u>~jUc$+By;DZ*+3_C(l(s8fkU^Tw{E^usq&LVH*#-PVa(ROkq-IA!TB+Fao zNh?ypp7dS0TFHY2o4Wo`T*!OAmu=H{444}_``6pR3JQMZx-%zpl4paM7bCQ?&Qld7 zseGn@oY-rWNP?p%PTQ|47zRLxQ)prK6PgGCF7DOh{|?P_()%m`4Ny~ScxT2{Jmkq!6{)XQ@}X^Cd)|QycCw+)RqbxHE=}Q z$f*>kDdohD$ug>E+#V_`eunAVNG{s-yCtGADPdXNpAjTP1&X*$YRloN(X*#M zGa;@-Imqy-ZRP23H+9J2rj=FqO}yUp8Yxf?osIo0hCp$xjVTUVbctCHsFr}$7&wB4k%}rzUhgr8!@rUb{rN6TnJE06(I@_G@`7L=f2?z`f`86GKZDFaPT25G@PbT1U0 z^2%q{%cC!TMeX$#`bXAg zbuGJ0Y4_EZxIXX~l(2z5dfJp!-QKxvxU^v{L-#wNWjhjg(uwX63^u_Kd* zlO$;}vC6B!TkjPc@UKMEpT3y!5$O4n#Zim|$Bg7aXEJJ23rt@G#X)CKMu>&75$;Y{ zihkss7?4t=;p3SHm<}^bDQRs3?X;|b)Cl1WSGk2izAPM|p-pOUqpoN__V|p?B4EKhEk>1ECLiWG?MRuy z&bk%v>o|wAC4UZ`S6AvCJqXfG#Cjjyf#_;H>iukexO*h(UEX?Mt2It%GU{F2dSB&R z1sQLk5j-b`?5#6ad4JX#=aY{867`Py(Y`L;orvuwW4+((K-3Lp$WOH`S`)72cQB=m z_!^h4>I!PR!#CdeDJ9&N)I1`pK0;iXSiW>PBTr#nX5LZ=LC@7p3Bq2ho zCf6!yiPxxf@8~7^=io0LW@%NlpyMcn7K6Oj+i=kSXoQ$LrHa}_ev^9}n%s!!5*7vK ziTV!`A}z`c!vYIXKqS){^2u66ueGw0rn(3Xa#kcA@rr%wG?i%qlN-gqbf%^4IH@!X z-VxF$X2W>qe^_rX@4&TkN%_^*-FJp`t0uj3IZ>BoAnbr=MEyF3CWm@@29?aBg+!9# z*}>}afc+el(wK-;r$`2`F{2Z^pq*kl=j2XR$i=U_(q*LhsyO!a&Z-%X@ArUYNv)n( zq7h>X+RILnPB2fK01mO<>%|y*$t~Q$%`Za{yFqkvwMy_@a&r28|F)g+1X zl;UMu9cMzUWS2NdAWqd23V6-P0Zgh@ylEnpPEORU@;OzFLNEz-eZ=rdlp%+5<-MAu zbYdl%NK7#-xo`!GN=!@lv~h8vwi6E&vP%Orxq2QlOCg3*2gEdSzdf`Vonhvg{}uCU zIn)LQmyd=ei76{hmQ3U?y4p%*Q%r+;Nt!1D@&kh}oTLJgFwN5RB4%H5_(Ww zFk2u_(`vtKa6<7-Jc*gkb(aK*;(kN58z-WaTxHmCC<-^cPGX{cb3MOr5*Um-o?;ST zYm?5fE4qbA6n&pbM}_+Eoycze?EmqQN&ihS1Ey`qo~1F+3X56SnC45GF#kWz|0NXv zAq-U0pb;Tf3c(PkoF=L{T@L?mV)m^91VfmU!sB9|ipg*jPt8bgE`HzyuiDD6LIWIr z?YK(Pq&^-Ae=1q;ANfT}+RYLt0meJ2dG4KUJSH^tBg=Zs*e^OsdF~&4>l)R`FoAdg zGIlc)@4}@Y?kM|vFRS*2a#bqHRQRRavlU@_`5eOlOII-Po8TxkCOP~e#`1huPb)D@ z1U#r~Q9`j*Y{Dp+qOqY+BH70Dz%3=jFVgL7v5y*`0`;n>Qxp99^6(y@ofTVrDW`1z=0JW?tW{yo|khjMl%V#jUTXG<8lRuVSPq|6L&6Z#w_njXEMiOhN9@?8;LI8oV`L4?#)IGsv96`+!j3WM1dintMrph~sD5 zF3A*0{H)6$zCa+nP}8k|lRjiU@v1~#SK<~E7G*lHAb z7EsGu`zK^5%npGJ>O?P$Y_1Q-fQ^_TcKfl0*>p)qvqBm@B^(q~y$&J9)dRDK5k3sP zkFyU$HsOwc+QKZ_xlX}8EshB|b+`wjF2`?cQJgR;NZ)Q`AFv)wfqq*weSz&+Wgy#} zrQ!2gq2bFmPtK8PmYA+Yyw5NmC<&o|HU+MzI>;D*fnH5D$;=&nkhm~fcMIP2<^6bj?8MNMMqx(t1`*g_hja5Wwmz%_8rIDv(|s4x zV9$@RA2Qhw5s;yt9gpw+-NgSa=|Pxucsm%oXI(%?$J7s*8Zhf`zQp7_|6R9~g(1Ks zh$L4<@1-RW-?#f6)=pORJb|Q4sa!!Ym=a+M7)2fJYa%yBd&^xDdjePR>XB7LD=BL7WFva`u`Gk$v#Ad9hN&ANnU+l*`lZSD{^|eR{e$~x{>hE^j=Iu% ztor`(eVTSZU)(-HR}%;yA?uIc ztlhI$plRhUR=q^|>_eSnw*oqu_#6OyclMkY$kWP~MC&nx&Obc&qwmv8AZb$)DhDd= z%sHO1Y%Oknb<8BxW;$op=_)#gr{DW3tJa4v*N6F{#y{_h@>`vv4}`0hh9}31v9OsK z-db-8c^M?z42j0-!g5UsI#7AD6m`5$UQ4&unw0qsS9(^&4BfG}HTkPonw%mKxXZjj z{KY(0mXl84-z}S&NzAJCA%|n|Xacq6p*tH8+mxZbyb15?&W}Hp^P>$#BC-Q;Cjz5^ zs|(QD(6Y1-%~UYS{Bfk*2e+$ms)a5&B+cmj4m7O7AP09DE!%R~m^us#3o|<|sG4k6 za13k{6{;Le3=6HUb}nOewiJp_pNq9uhs|Hzw*o#t$^VshwV&cF&bJW%omk0efH-P6 z{Y^u%@F?0-*N`OJCQ3QsT{I0vEKpC+WvadKQzN32H~`&*NfnxV3BSx=ecq{w6PZe3 zqWh(I0wD&bTmsh?B8KcORm4Vc8hN5l-z0h-q9NbUz?L4#5BJb1 zc*i?Bfauq!^)E(9_2;dz%K)^NhEl||Y|i0RzT9`D9?WxCJ6xSufkCDzt+EA|MsE+& zz(HxOccqmxnq6-WPIZjtLc~{woRgLYlf5}}ZUxb|gO!=QHOq-;V1XFcNUsy?bu zK=9t$RUSS6V1wRal&?eZK)a&A7}U*1h51IuG)8=HCKG#mc zHC{=iA`lXQZy|NJrLjh^XJr+8^T;yXLQ@S#577z6Ft4gyt*q}?@{`^tK4*^8Z|ER8 z{?!OsAo7qN)PG0Ijgrvt+F&G=lJNS9Ce%j;&(mkjmj@(^dz6kabYbbOE?=Weg4NVf z=30SgH|K9rq?VcHybo|4O> zFg(lm4$Sn1Q@nnhiMby9g)+v0Qp7KjplSTE=t~=lt#sUtAIaR8QC)o*8nE}pozqKu zqGsS$=+O4O)I>}@K zzhd{D>$ukj7{1f1yG^#?!@9-|yIIpekfAAlac$om?X;g!bzVaF+6UuT{#r;X)}CID zj&2_M^W9_LOo+Si|2lDOjC~@iS0GM~Mze&+Wy3cNr69}GY~y}jV^e3HJq6eV?^#|K zYAea`XX{A5bm?F7+=N^;yIu}?U(>r@I>To0!0gVPACdLQ{Du6k`|{Osjo2u4HVEqP z(r}b4@Mh0LD%f|S!7^{iZBH5#BP;0csucHZs?r}OX!YA9zHe}kgb(^rj%e5KtC4MT z>Kaj3j_g(KLEyDUjvUzjw<5j%{KpOz#|(=$q=iAUP}9#69sm~jO*ObpCAdv3xJ?DP zt{RLEbldKf)-8rpt+OC*|==qNA z<-g;IAMHY9#?sZ9odw%FeH2xuH%VeAnMx~|LcWDczV8xt56-Q4S<4)?{=+@EH7(?B zX;^Q)uCP}TNzOLoKQJ2FscM@Hi`KvRrdtX{zM6EWwziuJY|f)$G_<6O^%9 zcRUFm6X2~yjwh@N=!E5p)b$Q>7#U`}bl(kd0|1^O6?Y5gW65)OahTpqmu;xZrM5#3 zlNE=`-f^F5Nfef=;bm?phG%XFACMA!gTlq?rWU<$I;jR|o#S(0--Dqvve%=Xbc0RN zfST|HL{#J{;xoT z5fs@Weg(Ys_(@FsZJbs{ItG1?j0iw?>7DT<9oGf zA=re4hx7$ZZv=RT(AOqs(L$39I>$%VBn%8{hrnvNG=qv93LcGQdRn~gAj#PocPQ67 zgHbpl1)w=QIezIF^g9?=E#XvnHa=U=1KpTY!b_NLl1;FDR&7lO!oghZR`{L=zFF|- zSuK9J0fRAdN$?(zas~w{*b$jtF1^3MIA(=v8}6y0Jpb{Wqv$vtt6#Jvy1Qor(P510 z!TR^Hxxe#PC;sGtOX~bZL-TDxK*<QzyfN)AY0w z5Cg8kNx zuNT#Nj5wq;48Zr_cPW3kf+@t@U<}+XNgD)UqUkP7(VyT@(slIfRij4-(M&Jhq|I9I z$SU>L6)whVcUdm-2wXUgGAIcyAKW?9dbN_53l4%^S?1y8d?X`IDXHW8wbv%(Lg6k{ z!CTMrUf1#TQq<4Iaz|@Y3gd~I8lqD_#m{anx@F(~P=?I!T0;)7;p#81tg7~#Q*{$8AflMQ)yR| zu=a51`=!wx5;!uwWXE~O$nzy^OPpI(YzHQN4XDx2nrkC4#e?Vb{H4ub;4WgPz;Fea zZ`wjneUf4?T9)))$6`cVAe`i8{Ro2^Rot(BU!gIhtFvhr@Sf>)w9G}f3s zCsV&!4>gE%8u&X?nm+k8@lRVXdZNRwYD=w=o3i>h`EnyR{7bWG&$(D^$nfaF`NFk}6>sxGxgW?IgCq0EO32LJRIVV%abKW77Bb;IA8#uP5j13ZeB zBGx%O=VlOf77wN2CGD{$C$q#X26}ZS?Xe^W_t&cNFg9-_p~8vuz4<8y8q;TrF1jVR z&h)cJWaJ1v-`3~ieiB)CJ4VjJDcZJ{G zbZ1#$SK-rGs7>E5PTJ*SF!}b##m-Uvfm_sMR{w1Uu78unoHNX-wA31jDJQQ7qWcpp zmQL2*(-NE&%G=G3Gn^ovu6(OUOCc}gy8Sf<$R%3O)%@s1I1LMom! zLzvJNFnpb4sqtTm;^C=&7?)Qw`m%~n?ig>H(ze-QpF~?x{!PE%JVWnD`7~g$)Zcrw z`SviJnVP)(f!ue9k2YvbzxeJ)sr{9qqF6Tnni?!$4L0daW7Il^_2T zV^Pngv5q(~-46jor(d3dv9(Np4Wtrmy%Okn#6wqhx}-g-zsKv6%$_3ljK0`sqYPQA zi&#q`K^&u1@t=|9M3eARGB20YUO5X&W~3iO-t-9Bwaql4JXc%ayc z(-_c#94?TolU1u7Imw+RSRvUJJGzd-ICF^GV_~U^WK48wZgGpILCTW~ODUW7@?upD zpb(-k`lY1~zI5y3_AxFLPqC%aS# z!bPzd{evKu(x#ZF{(}rVT1LnMyL^3JA$=zpMze$q0tz38ze4L*^y>G)=Gv9}r4CG2 zA?wGX2WPewFEd)_CNyk-1GvvQ4ta{yM@U2pE`2V2|LRU{$}|R_6xO>+4$XH4e+3P_ z&w%=*m1+gi(BazhUpC_*%FJG!DEkatk^%EGmVc08zx9)VY*~_la>X(RjR&30(>16T z9~i`F29HDchBhq|rgB!{xDSee{ZO;8-~T5q2GaTLPfJP}F*{;1VuV-+igCnh8ymJ% zounN%Qivox7A3^AjQF1wD0NUUf(O+?T4F-mL700DE!>pu0VOt`wxbzx$cP2*t4U$> zm5W9+TBdmCY5U9%Wl26HqNbaca3bj?vT zo10-t=9%mmtdw`WH)FhQ#20&)9SK-xb@BcfH=Ry{_5R1#mHk&oTi<4iB z9fgTFmbd`dnk|_TZfCIyc)g}&_j!c<2BH>Dg3V#o@PE%M?|xjCnWQOn*F)S?+IYwn z-&j*s9hmEZ_X7xZ&j79k@H`JZa%XTofaiPQ<~xHo0(gN37Veut#-^%8tVz_?zw99fTL6XoCAuNURQDt>_@1%;p-HXB|(vF+4On22hV z3yN^f;aXgE!Z9h*nDUw5k8fzLYIjdxcUR9Nou%D9{efIEFy8Vq?vuFkT`tLrXkbmV zB}Lb%-3DcB9~L;YLJ_gS(meiVfA83 zMQ)9YE^yIS0?%iPfI^BNtkQ!bvSFl#rHH&;d%EKcuA-B~3ACcRY11~GP;dnWul>N# zfY^yR$#%lv09Z*|_;JV3*FZrvV?NC276hzfFT%fTZ;m~L_L0I1m1#-|!mMVPWbq@H zJLXQo#Po3DcHHadskzMma3HBfi>(j;Cg?4XGjPW{*j}K zv6fgzdd4pzGa^JWfXr0vgcDPOrer1@LsHCjqPZs-QF?kk@s6F}FRO+FS#8IyY3hoP3_Vp@e-Nlhp16nP zm@cRd(-EDyv$9Ga4 zs3xm>uHBv^V4`SEf>ANAVFLgvFId0V2i`)5i6exya>BeNN4YB1lJ^h$&K*H!+@CKTyk(G_)x~olR`%pQG5y3Si69}Ofsr#RtRy`G? z<5k_?Kkag^a79E+J)XA1O(fyM@ISW<7cTB2NH2+cqGYquKn+pWvGdzdCk}DsLcC3p z`DV5k-hKJYm2)uBx*+m`ko2ja1h!tdnJtJ!x_Y;jlSFPI@0VV=jycV;0FIQZ6>&Um zNnj&6De==Y{j&jtv=}A?+PvMKioQSX6x0KH`cshH+l;v%An##ohPi=;MP-}Pnr(p2 zrHLv{MlXq^bBfmls#KsB*-BX9W;1Dn*YqGErm`f5oi@o-q#zV8x{|Otk%;e;qm9p^ ze-JCOhl-q{h4o>^a@Mcjt@T`X+>bwEhX^8Ht;axr-ERdiD zFrzh7(juIviSfJ3>E&^vbj+wFOn1P6M@K`#nn<{bkKufV^$6KTKM7zK{CL1hs8K2g zr=H(`10#VIU34(iv_W?s$2QAS(v(l%C}Nz%M-y?H+$grB-8a}Ypp)GG)%PC&00960 Dj6Vl; literal 18080 zcmZsgb95#_*WhPj+n$Lhw(SWgnRsH`wr$&X^2D}nJ5Th)=H~t0-97uqo<8^9Usu(+ zb-UEvRT$sCeXD~1{-wWtv-rALzpRRpy@Q#JH3<_JJrg}6iLjHIr6CDB2O|k17Xvdh z12ZEN7m3nu5*af`BN8z~Gsmy8Vo(2dF)8>TN8i4cApF<FC8zMW7bJYj3`GvfY~KgH%>GW5MIdt9fyUu0e{Ap3BYEsy>v%F^vQ8qQW?md;a`W7CguuQw}X9b!&Q3<+%bZ^GO5is_^} z!Z7a^p_LI#kwf49iPqv3Z97hRefYzQ-YN<7hH{jH+zO9+MR_M9(CTnI>Ub>7{!uf> zKYgVLM!k_iW=1?CdV^vWU639XID@5#krb)uI!q}jDI0UK-W)^8wg2NEMLKWIzVW@z zoTi)o=XdrCvmu2kKDz~XjwZOK0(k3b@aXkxeTUKTTQr?s@yu0e++dwKOV68*(e@|T zu5)8YT%Lx9;AIn=^P62Z-UX{0Gmjeu_Gt$O5cwnlKCc^sO(s@J#%-6!ShS7$3axf_ zgWmk{!6DbWn}|ae2Xl*wetUX}Jt3jaoX161a1`hcpBjGz&SP*Fy6nB#PKImy5H^b{ zW4%D&1H>=I0c=fq0lF5NXgoK)LW5;_i*wkxP6YOrRR3$5%0gaGzpkmg2HzCmXF}N> z#kH75o8p}DVp@L?dkY2E>=1Ree@S;>N-%Dxb-3YM_nP9SUElyN=+WEZ&~&rhr_M{r zvmurt^50zF639Dyyl7ob&D6OB;8(D+$FW^vGDRbN7Fg*4q3&$XCiA} zq(*k7Sg^D~W%JZ*FF=|x;}Xpw#PCjgVj%;+|9j-tuvvk8`@Fin za*uN?-X1o7n#@+CCuTr^oS z>9!}s>`L^S?E~SR^z`wu)W&5N`G-2>Ce4%-J=gEj&66b4hxt6#u3wX#0`oei*Iox= zx`mB7d8P>bm!OjclIZHM7LCiG74C zC{C!Af~nipOky}JeI|nMd5?L-1!y9So2~_lL?BJ^AjZA!@^wB)nnmJyNI@tFG5UM> zrhhEI9PQ`?sGNn=X$AkGP(lLYLBUEH?Fs~v3ty} zyp*JOW<2kDnR00x2OIazbzQ>)kY_)$L$i3cZ^k}uVy-zFvF-(o25LH6`Sr(o&T#RO zo$!c`w0O;yr`-;pj??$0mQMUGOxA`C_fjpSn7cGgG(QoMm*fBOz7tw={gbpE74qc) z`8mmDJFi+M^xso988vvDJxBx$8NN{fQ>s{Yefk~h20y!QwjXW{JD>FTE%@_{^4{%1 zg4rfpE7Khfa(u2Yj|N#y<45DOtM%u1M!dM6|Dq$1Z{CC>uY;Gbc2;nw2V9?4C8h{s z%D&Z2t7BVT|9HW2@i|t#oLV`4Jnpx-4ej?vCTd&6tZ4F1;-pZ*ez%$vOM9Ip%HbX) zvu--S27Ou?K)(-&ema2kqqxmMW;HRE`twWQsHR4avcq1vRv#MkSMQqbyB-A2az7&m zfoS}77&`LTvkY#ooBapo91gr=vSB`z`&`6Cw$~2N-jOCH^fgSY21K*f7A>t!nWjVG zSEAPAgztnYIfW5kCYJPr_6}!)xfjFEN~ROnxb3UN2ImvB@IbwP_=anNvdKJGAuZc^ zIMs!ud@uSVl=rtQMZ>{*t!DV>c2?-fOe`LW)F;m)d+#TdyWiS()RnVY4DfEx zSvVLA=6#O&n0T?J$VQ+w-QwY(+OebUXQ`_tr6?0*JcTVy0qz$7_2PKSDwxG!iHeM7 z>+9f|@;nytltai!SMU)|-j|i3Zj-Uacbw7>VQ{6barB+9e+J_)Yu)O;{8pLM+j# z)R5>*Cgd**{nKOud^glhdeq@zY9_A0#kgdZ045;YO1A{b9d`s<9ZVf^!j~o9C=Ou& zmC1NB1glg97qs!nsZqq*p~sY|CBotYL<;P;R9CDbwaEouZ0IR(2~Kaeib(vA;oz{w z)y72Ft-R|@W+Z4@pa}5@nqtuiA(6-$Q#cqfbgx}wHQr;CVdctJ@Q*&pTN^W;{PeF0 z8uh{D=HpGBuYFmm=j|t5zi{;8by5G8W{GfctKMlRPBfo5JtThS##qjb&-JSTa^&n_ zXeRBss{F8WRJQ?5WKo*@6u;74HR?g?7CtVnq`ikJ>k_=^E z-pw4a^N=Qi@yYl>MvHvZnwuUhT!;hhW|}$#Y^E{e*mUyIxa}}1=E94TxC%^Vu9u6B z&0pA?%FWzPy=!Sv^O}VX$D834Z=#oG3QqmOxGW4=!|gc3d&#tf%o!O=+jZ#hLKHTU z`FW(1J!IZ1SNs!0?0$*^WTf8M)zY%s<>@fWvxLopi-b(dP)(#_q6K(r<3@*CYO{3u zWdk@#CBBY~bBs=at|8W94VdxT@`P1q(#~vDXkh zz%@TjpD6?)!EqK#lP-4vXaA?pP*7K7XICU&SLCLOc=AF^2xbgP?_NAL(POcA5h&r-$Rj>149V-A_HVosiJYLHXI2`4(Y+!!Wf63;~mIlRYd9 zQOIQB3*O6oAgK=u?!2F4r$+A&GiiyNXV@4qLvC)#IskY`@pJU_h*s1-I=rFcE0ILk zWm07=f}Bl9&YaCgEL_TIRYokDm&eu{2UhpMTeZBnTsQfosFt-_f7Gj&Kw z=;^vbu79jkFC{9NB6joQTmGIuk51OFRFO74RsTgqQ8=d2)E3bYD5qiiMeToqWE3#Y9;+6($QIz|SK>3Leqy2b66k}nvczbQ3b+Q=Jn$pbWFj0w z8(n0v>Qb{x!VWtb(K(7q8$$9k2hYZwIJ61FTc~7MdeFk1-;?c)0RKiOkz^-vS*m6B zQ#y8BQsm&LIu06PoR>4O&O6HWsngVmYgY(OG-_{eq@@rc+t-7>(&Zvxotq8lR@{WJ z(a$ej0J^{=9hutpoCSXt2GrI}gLFa~+Ss$50A^DX1(p6`?{XAnt$ifNnxVNgrG^b6ujrCKrbW_R zWzA;Yoy;Ryb+qs+Gh$+ox6uXNx%n{~&K+EzP+;pQu*mt`{vu{rY=M{)1u*6-pygffDx65(b|N+RBXhmxq z|I^R%X4Ah_KeHSZKbNGp$U+R#KihASi0GP9hQF)YtBPt>TlGS!*6v(e^(tSLu`+8D zEeIQ1&i1)plV)%X&b3qaa(^%NbI4iR)Q?*``CzQh+zeFco>1y+NEg1J>XJZ~benK& zT2SyZ4>A6Yx0KMJT!CtGMm`lhhSM9mPecq5G(jgP&XHnecd&s+S`_nVa0&|+< z*Z}7Uif0I!DQ_@q3RH1`86*t>Y!w3bZeeYGq_0z}P)EGV6|UUi2hkg*o(!)?f#iuG z2h;tRNqqJxPLY_nJ_+YKctwq}5ulMTl9EYI!E!0f>m+b7Akw;%tg&o76gtO2 zF8`kU)oK!W&apx)Xp_?L&}ThvRuFe`&Vx|U@bD!sU-I!KV2A|`P>2Q19+^%!Z5yNJ ziLXm8&8l8C+%Ek?b}s$JS#&BUQ6ZI6+$I7G8?O9BMC<45e!E))zlj%ZHOL=StJ36C z8jeIXv-BvfoL&T(c{+1xn%1co8M(>B>DM(&=f8`$+q9oKyKhV0S-EU>fvVS=nVeqP zi-}AO=7LVHom^uYu-r&9u@7-MGH|YpU18~svWoGhTmfoQ7Sg6&a^#KeYgh0zcx1)H5&30hfM0dc{WTl2Yky8cB;VuUP2Ci$^?nVg<^+~2`JamoDBj*z?QUqR(`jwgQ$NF1lzZstCJ(F&c+}_o3*W#c;_wgm{;)|g=|0w zaH4LP6#3TP<|dfZDra!m!9Ia`&eqNyt4pL%6V(F2onmMnrKvT}{D1G288;AfY9ago zGl*+ITU}0jW9aGUecbrv&I9W)cNpAH0utLEVrKj^?T0si?lZg-^Sq*3J9=|4iZ76E z7w&=0KP8O|5GvN(kw`5;v*J~Fe^Ogr^mX9$J0MH?sMbw5aeunRP1nsBss5gQmeBou zT74xJQ9JZcz_luwpFdR)11t+M8Cnctv}5o9a6R?@1qK#L^g zC-vcoXc|KT#F3N?8QLfOMR+F3wFD|0lH#tN_~0{d#N^9OWnqbNMz+k)(z_07%va! z94=bx8i5p<_Y8%DRUjht!2#$>@+a!C;p$<=Hk^8boas>$g6K#Q{SltsP+_tS(|d zMJ=pujLv)`aMKK&EGV>atbmRU0PPq0d$?i(%6+F2-2PfWlf<6QPjjWt{J4xS^N{|M{B z%F08lkdj#4DOumKOSiutzrWw~Pz{ZH@^C4h43!EWEw3A1Tc#?#2x zVTjr?Coq3;W#0Hfbi)DTC>!6(n<3haMQ32|+jIxlbO$y1tv#v0wB5cw?Ykq3p&k1T zUzGu#+t>?EbeeZKh>cSPdT;xFM5oX#>f-5x$9Bu1r(viUd-(c^+cHHYgc{!K_L0-r zjnj06FX6~8Qd#9X;Kj_UxtzsC*+-;-h_dt?I%Vm#1ej#gYQ z`@D#5UJcr;p`&O{B`h|FxenPNof{Bd@1=1>%)Bki#@PI@DUJ>a zaK??MKARkI5Qqe6j*N?@QFzJUCZyOu1wJlvjy`eWu7||w`14K2=o<(;Q&_IYa#$rc zF@Xlf`S+W-Z_X`3f4pa{JnBuqDO$>4>$l$ntDZP+h${HjS=Pr5ihSfQGe40FpG1@t zK(|7y$Kbuytq)ww{78YEyb3R7P1COVK6_#NbilspPw{OihiC_52f9C?r_KQ6(;drz z3@J=Q_qHWx`t!5%^YxkacJIxXL9I$4GLBiy?Z5ajzjePT7(jPu>Wjj+Amckk%QrXAz)4fc8PO zNTN{{_HtE;21B`~e51BZbGCVBD3QP*S{e5AK4l`R^XqZGIOeDSfE#N~qkc^Wiy-DL z%4fy^JV{Y9LzZ;oT_|^N%ETdTzT4b~qT9IQne@SF;(L)@dW23wtU2d;N%__Cu5B(x zv%V?glNA5lWa9^`cZ|~SJcz-VX)E=UC;jB8sTl2|nUvMzw^Q}Z>dF|-436kTwkM>r zgCj(gwr|7+35Eu|K9=Yv0T?salgLN1`q}HorA&ZAu#&v!$w)bP{R$A=bb!0 z)QpxvtlAseg2`-GEP+7E%KYJ9*p*s7TSJIRjVvq{3|`mIKslRAN0<6})v#0&J9Y7- zwP5uH%3i1$!ZJ$Vxzp3Z?#846X$pG|_^UaL@up8BP3Si!N zLJ?+=Tb4x7;R|AnofUh3AubMcXUng5_1>B(mWus;2XpM@k~m7(9b*pW+0YnuWolUz~qgI(I!g)krlMu4$>RvEAUzML2E&Kc4W29GDitnF+N?bUJ z_IXM_E}dv77O*mq+w&I0k82|PU+i+{NquiU-J2&HLi+T+uL;(Dx_JXi&vaZ1h}n|i zH@m^`d`mJ_!z(;xX4ECdDPbH0PJstD;6|*W$+WqFR@`M!YWv0P< zXEk72@y{+wGP^l zx$|Ztw~Sg$(_2Uh-~d1U&tH`3#^|!t%!s}_rDc*~kj}qFB!*OB&B-#LybyEGhbw3( zNK<~JWd>`(({`?e{|$?MX1#06Jj7({&=zUOcKj{NoKx&1B1g3%yB5kjc(l|ibwj_=PuboyZM{l80ZXl90`=aLMIB05QuU{(078G$g|Mn=`EVQGbcdaPB z-?onIO}r-Y4ZGN@g5Yi4cfResIIH+A=erXd=5P7_?SkuoMe!rY2-mT=lXj9?hvPBg z>uvDFy?XdbXWs3>(0?E@06w#Wwg8AevwE4&^z~Q(%12iYYVXX?%9a|= zJ7{05OIn{)rMhG24<(xF=*sf!Rg9o8L((a*zQT}LAPWQmu{aYvZe?_2rkUK(BkGU7 zz|XlQmq$^4ILh6>QjY|d`U)#onuyb(c=p7B)HA=qvFq_oIivlOt};*@*c5uQ7d;^aMWCu^?y#X zPj-u1Os7Ml5vKv+k^jN*e*A-v>*dwK@XR1yP%%ou4C(6Pbo8)0PV(i)v^HNTC%vcO zR{9ebXQ?6EUDR^Xj1|&V#p!5bcdYz1jTw-aGYC>;AL`e<`O);KqDwaZm0lFlzu{s ztHjjs&cr;>R2kSra!woR2^kCNF@Lf*BS4R|`G?>oZg0j13d5&Gr%QJiwqD$jze=gS z1mTJ28#esFCD`b2+t83*-Lc!FG6vAY7dtYNnA^%Y3}=KV*Uv4D$d-oU;5R}8`%`!8 zZY5RUe)=bI?%m2k8v4J$c=1us!t6nkPh#JK_2XmpnFht1aZd=EyHCnm-a7*Ez>yGE z<`-!#{~wLZPc?lb#NX8!*@@^Y9U;MrbLW!q*3x{;Jbsldeazfid_NRn_w?2)=VxCj zjanL_s327$dekJfLkmAwOPMENf~uK@#njk_FpWMwN6xdE3c)ziJ_$MiBO}f}?3eX4 zMCRbfGm%52uUJ|PP@~zE7&*bIimnP}KZfJ0L~rPUe*cbLa4mDH>r}dg^hcyI>ArVu z!PW_|rLl}u6)up8)*L>KlCJIFEUAG!CxaMGo74CHvbK_|L0Q5pJst|5AXZp=9X9%6 z>V-&)ZzID-Xyq!1XH4X~{*1CT z@}zt9)@x!n@jIh5MwaeWOq_g8RM|5>i%rXl{Y-jzC4a%}J?3ADK8xJ=*U13yp18I7 z-bV*T&;V2G9J-kC1Hgtr`!K zP3LUc_3dpx6v%p*c-M#rlhjj+@nIS{C2<0|pB1NFqNPT>C;N~fJdefrLAb%Saf-Y(;w=wGU`v7?^bt>Yq~1r-Nj&Cq+`0lBMZlA0Bn~5BOO1G1Kmd%oxEs{ z=T^hYkaT-C-P|&WSpPs3#a);eJi0k+?-I&EMcYSu7>ly!Y!z|9h(kqVUDRhf=o9b4 z2eAKswAclmYGFb3qhkMTymG#$hY!H>a{Fr7&hb8yxo34AE4Oy{lpvwy`!NOA{~_oe z7s1BE>aD|{wJ)OM@gy4BkPs=y3zYJi*xyVeu@71g~E#^3B)Ik}_O2`AGHI-kU+>5F+7y%6qVz~s8k+f^ko1o{apShH$4e?g{VP0vG99OOb=G1;DKWt%Lw zJs2YVOVx=+oW&0MckW=*E_9)WDDnRmHSzl=TD4sUDca0H#y}M>6Ifqsxg+L;>c5(% zX0C-bnFMo3m8Rg{SYPg>uB})N1Rs4 zR!mVIFkT_OTISdR=7mZwm(3)zy99y7Muevs(`P3`;$G2vjal_tS_2_qqZYo-U{_}s zHZ3Lj_c6XCncem?b7$U{gzDHzDv_H08X}XRl-)w* zI@5#T<^}6Lybg16ZRW25oApu*GJTE)+m@QPRnH`WIXC|Sd%C4KeTobvUZZhUT|Ijd zc@5FO)tz}*0|Pdr^^nb<``$bHW>vF2o1eW#Y%81_rCD|U$e1#Si>iA_h5_uJxb|it zpZy)Ty?h!H_qPFHFjY)18j$J*>_Li=HKqtl>51?9e+i6U1@1*xX@*YG$EPyQnp4=QJdY6u8K4I>v+nmpfXP)49qfPXCE2EnmhzYYAv z19EreY%8c_BJH?$^0S3gd_O60g>L6pATE>7mTDCU+VGqzDib~*2_HS}`HODzh8$I+ zKfHcz>#fvU-HE_Zi5M-P39Cdoq?*@YSAgev$C9VCu5;x*ZO=blu*rLVMz$Ud~a znS0lDgs`|$SQ1n)iMppyk{(#Zn#aV7eEa$iWE26OKZ^oQ!wuu}4}Xck&fYO4 zVDNnlX%J8S9cnDeBsF`ZtpPiG?w(qB%vU*biKn|2p+>Dx8gmR*+$B|UL zqj)zno0H}Y1E-Xpt29nIkh}uqkr7C*c3dS*v7c2`tK{5-vK>ktD-JsRU1)j`b-+AL zH58i+E%OQs$1|6T4&8#%!2cD59U?P#%6{)Mt{G37?-H;CRk6rO+@PT?o^-`lQ)Rff z(g%|lV7>1rr}NE^{5l5%@4zZ3PKP$Kqm%LG5}vgCFU~V^P@D^fxOXe#4b2x}iElxY zy*3e~Bv8C{X{enn!;ya0G2WaF!oSD|C3!b6-dyyJ*kFo#}kV%d_8H zTs5v7_Z*v_ltlV-*d1t9m!sjAT$RCiAcG)Iyrnt?D>@#vEPW9$;pmk2M#{m7>bbBk zP^P|+BkyVOZD_qhMsbb8&MR%2U6bSCTOp670r6-vC(UH9QNjJ_=$K(am!nzLpRyR) zN=7S%MbC|e&OI>ZoH81c@J@|>J46?7OP5sn!Q@!S`-$gsr~9Sn!dC7p&pA26MiMdq zQrpQE?ibIdR_;&FIT^;Wc%txlo}U8@J*wu|<->%gs&`pDWe#n&0nLaq!!1S6NxI*} zS)`PfF)m~+Nq5;m%uX#vW&;b)xs62>ZumJ;FS`$Y-nLO^&%&;5`5|s zdSZdbZbLFEr$pMs{KGIJX#Z^ss@z+E?M1p1uk;aEGr>g$=zS+ z7EdW7YN9PeF9@X;x+ycIos_3a^@U9|EYc9|;+AEsDu0)+GQT@T4Wi-E{esq@Q2)!7 z-!!GM-^=D{EC_waRi=y-jfU5_%!cyYaH6{(tLRp!04$BR@f2?WVFS!5N)1Q$wZrn&}fL6g*ur3pvsJ{#}yoM3rzqnTL3xo@hj3-z|SDF!Vr3x=Y<3wMO zaFYGM6jte_ub$sa^nV~!$P{4g35^gjhpy>_SN3;3^#A7kABg<|BwVax-@*Q-AN^qW zgeIY*B2#!X$F9hb{Ag!#AuD9igsp4CmK zJads4h-9*cJ+nFp=n%M9G5}@?x!vO;q7Vy_(R8lyV535fF@&y zDCZ9i2AE2@W%_m0(LS+ua>UYf{>+_+CTQS_fEk$Pp3 z^x(#+2uK)|eYtJII8S#@z1eC?z3FO_e2#F&I9~hWk1rO~EcC3UQ>+e1^cR_v_%^8H zw>o+s6h{mh-%sKVZiBY%nMGiOG+S5V`Bd* z8~dV4H5kwJ9)_j1sJC5l&V(Z8sJ;7_^_UKLcsJPW4To=|kxWOKmj6eSmcO-iO0ImP z^b8%!U8TxEX$aGk>CkAZgZ$Cw_seO3{DR54az`f!?al>6vjJQ)ng{+U^}_zwER6R7 z$GXDsO{F%Zvx@UBA_&y^)pIo%AzSJzTkUY9-5MJkHJBK|CEX%kOup3zhZ>DVeBQ=CS^VDHhUcv?x3eDK zR#m*!`KD7_Ub83;)Oq&yu`433{Z&=#cbvEs%@0HN0Y_J*tqS(~Ll-$v+`L7L9bWJ7O0yOEK^7KC7^pmXc>R$V?FM^ev+ z73}#Wd2P-wzs@3vhxwjlTvh=kw9nP}r%v)~A860syh{gPtPBmWu9i8?2$60D0(VWj zW9;vA3&0H|lFf`y|7&7jPt@1w0ey3)%6feSokP4z!y zvlW@u=s{3L6VV50Yru6@Vzg<)dAU>H-Mk%t)GA8c6bw%HMjr_e8-SQ07$8bhUPL1D zTO|v_0ReFQwhe!hYC&r9KNR_mt zlkWnP#T?@5A47m$nj0TESRo%!3hs(tE{m&GW!_R4=>=S7@N%%@sFOuW4~#uG3&{#? zYBZBRMKjQ3A`M);rrL{P8W9Do0VxNpYB2nZ#O3}PiB3)U=(I|U-6wHNAre80gju$b z(l+>6XwK&l$O#;n zYoEkz=pQZn8;ZxWkTTd~)8LuCT_|Ty{sg1OZWo?aT|94~G#D&s^{eG0hW1d8TXE~? z74#visT$2(hMDMbcXs}Y1C}CyPAV~7`egE(DVA7C)$=S=_`8@O(CQk@+m7pr{BS2F zIpDTmmwe0{cgM0qOyuIer-3MfEk%Id2Ra3N2QiX}z{R&m2C66vX=!wFYut|!g$-fb16E_7RMRph@CIJTM{{(?zEZn5R_M0W554vh z_SJQDnH7e?ZI8eZ-l?Gv^N~mO^fB$p0h1>_#6cN2KbyWS5LqNVV$tgCm46RS_?4mT zak<#$p~xWKFmp*0^Z_45Z~WYdNBA*gnQh*6mMkZ&C^s>thY=-12&6clwCSdL2l_sw0woed+IaYL zbXo@ec_4+6vd3Oh!yvY!FtN>zP zQNK1iH~Wk8K1(t|pPJw3HD0IRc6nqtM(a=Sqy?UmjwxO6T6*ll+NiSXD!JkX8D`6pWkC_IKw?1?AphiXjH2aJk zcoZp;qjX;-7K*^_lX{*NXL_In2h9RS6X9J;1l}|vfG_Vm89SW#o@uJH^D`wWO8>K% zzVCX_Wxs|Idm?1FFMBzOT`O{yG zg*yvDXs|$6i29CN#S!wBBcWcn6y5aAs8cEf^70q-B|Y>dHS{GNw2m557mRIpYU}je znbvNQH#PUyVWDi%jLn0%fNtFzwBRf28oJ(V0M?t2hgnXJ{|D^Mlf&Rw+nOBiKeMa_LYub0u*`kBa78+RdO9;Maad@=3V>zm%qkGG{`fZcRi9d5CR+GcGk?0+4 z(BacGA_A?sTy6n+VV7oble+kEVLH4A&8}_kav%_QV{?NdZWTLJigFuP_TQ6>^9L4L zm`w=vXl^N%!;Z`u@2fLM*oW0Bf&9NR@eGjlxt;6bBF*68EypXaqmUUUO)^$$AsuXD z6IcW`f?c_*HeiWupQi5%M^&2iD{W$-QHG>#gK#U#JA0rlEceq)hv{wCuN4>RC-VUJ zN_%fV%#kW*N_6CYH6?#42UnPlU=e+hxF{@UN$y_Eu$_aO7nlHRH-KGb|FR{9F00SZ z%QakHC^{OsEF~9$HeNQttKNFw)CkqvE*45_XPIwh?SOp(+(EQ~@rap(P*14$uX{m} zv_~hgCt@Ek^HYky_z^SIi=xTc>91FzljGosVOF=eHu!A1>4Z}#`ELX5VjjC#P!R2% zR?&71=ue25b$boL8}#AMV@kkhEy3INc&`tv>via>GS}hlOk85=LjYXQ zVC2E5?>@u3(Q{?f0|tp(m(7n4btN!af4LA-$Cq^$*#De9K^M))gQv?48$1XblVudW zQ6aiiRu{7^7JQ9LYG@y8uBSTRd5+byIWsXIKP|U6ZXQIrF0`ma&I(Z17|`M{8uFcW zT?dBqBVTq>_3_%Mhtjm)VE44*waB@}lCHRJqFIK1D?%Nb!F|a5$=bupQgY8QyUPuQ z1wl^H&>bF$z)7yuO8DNMcW@9nJaXx}>T&etX=UMQMe-C{tcNp3QM{sp=AN5*%<@8$ z+IcRvH3zz#N4wbxG?83|o$XkfFmW5?{b5cu$UhlOhTwpHJYtzAG027XA&D`i^)|mRyqQcND$=rq z#>AJmM8~S<4rc%FA3V=g=o-X;)v!Y*Gn&Q%vhb*_WN8*ASlg?q8G4W=HZT>F2TgdB zEyZ^N?uQ;U^wIf~)?i1fTLL&q!(A4{e#9~!MJlhY12*jpB4Qzap`7dGSbdk`#@LhlGKf)UP<&B zgkMnwlO0muorJ~BAW^UO0}8Y^NEP%wr6Tnq;Zjm_TZg%d4j*!YHd{*8<{9_d@AFAq zxQsG?kz72x^OW~$B@g5t2D_>(u-W;@MC{Sf#rJEk$=Zb?fJ7l$$Me@Vi1bp^&!zIl zY6FYnNtqhuQa|v{?ks8)UVqYrEbLW74GO)=VyvAR`Pu$ZP37?>KUYYJ3xSJ<<6;Kw zj9MZ!pTNen{)l0T#t%wZteL0uge!q&Q(Yh)hQq}?NVsR#S)gO1;6e+|q8g%d;(GPP z@k>?`Z{AV3w~yJ8{P&&GoPO3CJp%W4@It=7ocS{=D0Uj0FT*_E2zBri;m5jdO+O%o zINlb0EWeQSlVQ5^;s$9;iitpiwZ_{i@G6=OnXu$y5GUHequSLwaQ~(G(oG}qD&kCM zZo5~f;4mUqCZp@6OW-6`d}u`Blw61@8%ZQm@3Fr)+5$p!lSYji=67C>ftRn%T@R~g*MPp9OusPYY3(Y zMSTA3Rc6aDYZS8WDl=2FfHMfItYR$&Qy~3CDqu6+CLmoYt~v9R|NTPwqFr_7>1Na`mygQS6F>C+)j)_xah?bjAi@UvBgCUNk0hQc z82CFT-$-#Q&;KE`n2_330Llve3CD)cPy*U#Gik-ie<&vn6g&xp!Sjhv5$UB6+K<6T z=bol1&hKS-rH^YX-^P$NESot?8%{RfXC8als%s88_CHXgL%{#-bvCmuxb2-DV ziKW{#=T4fg5ea210W2V_5{k8GOo66rPG8RtXjf=zhlt8a?U0%gx63@Yo`#B}OkLR8 z+`JIBO}$9YlHjm?Ghf=&Xw{lM5%*hd$7Cv3H<0-Y_!j;o*6P8G8Rf@g5*8iQgP(}E zNhk~}pwdeC4nO*UhKW;l;rsKX4WwXChw(41?3lpzfEE>8;aC28si|r^W*zx&vA5s* z+Ei-^Wu~$pXrL(Sy=B2i!HLGSaP~u0Rzmp8hP=>SFR$YK`CA%&pf{DS48mowilb6H zCLhACj?IZ#)WgWU)B3DZoF(!lSoQuDtuz3!Z#4+C5WeE%HX%n8-uN2fs{wvo?Wunu zR%i6c1kU8Kv%mQ~U>T#%g2;s75rq{{iMLPGcireeq$1t*H9iQVp8?1B{zF7KY+aX7 zLI$rpR`2+bQJa$ioLy2gc)7E!%`j^?rnINpLI#fXea;oRi2JD*jI7gRdJFYxGLdWF z&sSCVvf?9k^RFvCDH=<#5rx7MAVLE=>rQzP0iA!fe(1{<>1J{7N%NTO@76A9<4kN7OIEeMwx@-Oc=;a7)GUxYIQ2BjBX`M&dB%^ z#R<`~)R$I-zpsv6ZiSF?A_g7Jxn90t@KteWT@H=O}dAShl-@50rGJ{gcGAkMz64HNo`;{jn?`>Gxlwv=A~G z^>RBpzXZhL$?G`^IF82!V?aI__AmL~-q(=W(vB{BoYWeu-Yd&gG?l)4}qfF{1^Fg8#N9`<-#zgl6YX7bMwF6ornaA^uha z7YQ$Mm8&5tEo{mI<4}_)Rx!7kNA@!B{8!{VZdi{OA$24Xx{yG)#LT26(kJn^{}t8+ zDf$5a|9AnER%>h&RTRE`@TLzal$J*yl-9OZEd@$hYTMb_ySvlwJe-*=T}d2CtJs=U z`XCS#t?^GaBxp25K@178f{g?a@drU;(C81N#`yXNZGuJ1bI(1kERD@)jJ@@CUn5K`mciI^n8N|TqZ_uOYmTDX+zd)GPiMzqrM&aK z@idrmWWzwDCaK&q0FuF8g;%e=|L;()3t8+!EG{EkCToBfC%+g!3KMZGbpft5TQWo3 zp2I5P_1f0m7ZCOvh+32co6Bn9|DIRg{kSwePE+8n2e_%U^MEV9aeYl~(>xEnA3&&k z7H}Pa=X>CDcLvu3c!39QxifepfERjT;l5d9Y_4f&TI7LYe|OTn378i%^!DQo*ScUL z@Di9YFs|1vN7f@*MEUoQ8-+QrieKPJKp`lbWx~rRwx8Ms6H#q;K_RX=T#KqsFd~H- z6F&3#@C~ii?Cu@t-r4&|S4ns8U{ekmm}q?&_eorNE|+A5G_a=GlA>`lmohLtd-6Gf zTb78kxMa#>o_nnBz7WBvK=!x09Mf! ze(V|f8Yrk{EPxr^f`HZRMfi99&GCoOK2mt0GEFH)m^BQOEPCWh=e%i{h#pd8!W6L* zSQ8KOpp1dRE}w5;u)n)^XEAN(&`T%w37x`|)8mclT|%B59v#UO*-->^l+4qz1JRCO z55!7Y3qZt!M#^B2f?Yeh`x_Iq)Fk|FTFXuYuJ7k}MuafoA33ZTWyE@}cj6KYXRIKS z$icJUA_-zd5(SkA1e6Sp_rNhx#a++~&y#{5}?j_3wu_-4=Lpe3R-xEm_@j{q|e|6GbZt zM#X$R8v;;ye#PE0@D@5u93iZgQ|2u>%vGt5ynnd*{1Ifv{Ry-x8IB&D!ecx)&_&)e zdE7gmtKkdW-b`pmRyLCBt~H+>K;ZuqVuj&5bX_s@QD}6}HAHicUD%E~afl-q;O&acx3InN?#o}Uo`;Fn z1(6qoxKDi~ufRw5gay)HuU?Vvx_R}+ilK?H$6TDTwcF*4%F*?_q0(xq*g7Wt-NTZGg_DnJP_M-(V&JaZh+n zph^X5k*x$3Znls%cuf!DFqI`a=(J0wBKe_s(UpYFi9~##9Bq6SxezO|hl-q{1$BSQ zR<{Cd=B*|avRU^l(>>^W@K#|t@KnGMb>4vMG(h*&Xn%DzERdjuFrzh7(n6f4i1E40 zspWC1bj+$HNO!=2N5=xfnn<{*kKud<^$^)bKMCL*`0;=hQ^QmYPCdW>CPo4)y69l2 rX@l-Oifxvqq$r=cQN%cjkEY_3+$grD+&9=Xpp)GGwfa8*00960Ht);_ diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index ef1b0bb7f9a79835346ca4e72ce11ca01c1e6f7a..d46c28d577929fceae043c0c6358cd022d387e4e 100644 GIT binary patch delta 36 ucmV+<0Nel40@4DIcL5cVcpeq@0dWivgVZ47lSus2|Nj3kM&eHbk*lW}yb%!q delta 36 ucmV+<0Nel40@4DIcL5HOcpeo_0OBYh2B|^DhmiPJ{{8=7h{W#!k*lW-Nf8GC diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index 557f765aed52f35045574084a55a4af6e14a3169..69845ba368fe2dc80a24b566b75255e95afe8537 100644 GIT binary patch delta 1252 zcmV*r>yyPwbac{)2ea&Pz1!dHLZ=ec|K_8Ra0V`@0Zss-h5`+DMRhv0knXTuB!W_@$* z`*gN@*zDZSYF-H|^=jT-2DNt% z>xUXvn+%c*Sbs;;vxw=fF#XtKS~yI1j@Gta#@?$5A9KKU4v0XoZq~q>VqhJx+tag2 zgm!-9Ui(N5K>KMPv*lpupdF15e$67bOT)Ga+iZ|IY`^aRjL8mQ`D}R#p+qn_}4zy-`vQ%ek0}Xxo%i$_hATm5R?*<(t46ZV+~(eRV~7sS~MJ`B1#djWjk29o&GE z+ofet1n>gGl;2nmkHRi_ZWCrn8b2?hhg=JM3qOrp*x7gFJ`Q4^HJ zrldm|*_63IkyR&iP*VF^_^fV(Lp0L`8Vsdw*ndivkEk>1mC0m9St5ObMy9|TU9VL$ z4|+v6bmXiMTEPvhh=g4=rsxhDBPqyanh9~zS-}~N!_>g0WG2KElM4s2W!O-#3|?Vg zm?n|@j3WjkTU|c90+N}gddv%xZeaPTYzo~_MSB#??kXa!&qSK&QMRl1roTNp(d=<)zhKfXbyC3LP{sw)@V4P?gL>bR*Rh7K$-bg`B8vrx`>8L(x>dfmDxN zhwKeAybByz^D`!5+SKa<8e`=bZ?!MFp@kz<1E6zIk-JUpD}f{i8mj9sFREK%vwzbO zgHTyD6V!kt=6yjcz2Fg3pK5;WK5#}~Py?EuL8!V8EJJvwddGnqXv}$)&ImK2q2Udj zyP=`5gQ36*J9x#sTDt}s(Trz`Ltx>TT=7f^#Kwvf2RR2BDV`~QiZHQC>=P$eiG4Is7bQ4a`s-8|MbzOaNBJF< z&+ylyo!r1xnuXus@4nbLR*AhF8>_@Vk8X3O+!Lmt7KGnm;41_2SAWid#^7KWtN3dc OKdx5r*DU{&R0X~w|*66vgKvRRc9C8WH^GbvC<^`F<(8yGXE|>CP#?H{yL! zV|)8XzVVP+mb+j+NK z?HzQUd%v0=%zy2z=F3HY-`1Zijpr5`lOE5!UTS zepda3b$9tQV}E)kOrNxv`eN2ZOy3{wyo?$9V;k@}2OOtB43PC%3#@I{z`k7mTx4k1 zCHL4RbpYDuEwrwgm65Z%^Uq&a8QXKic0=1bV*6(QQ--$7uIEA92PtiR&)nCG)&94S z%f%w5-J>Yq{+638L8foA+Wg!m*p{igz9VZ!b8ufHFn{(!k7h^CuD6P4cDsNK=V{@* z*OIeut6uoFv&vYW7Rybbw=HVKa@|a2G*63W7wBQu?oA__InZOIjYY0NRhmO?E^L!n15>63@iatK@oEMiS{WcGebQHy{PP$Z=VA4 zqtL@gKm#trt_^%1b_)6pSOuD4W)K)gg8ai~Ai7}&f{vp0SGbDy@?>V{HVsojL~BZ4*J|$kWp=(XIA|;;^x9D`>;K&j67w4k?TwnZS!s0wy}Nh-QDy3_)gV{c+!y(m6?^1 zRj5EfKvginKNJYa^5i zgi2C`GUiUkgrY{~PCt3~-~LwxzTkgr0`i9aAO8>C5&Iu@1HSNv>BLiUeuitZK%yd~ zSJ*`a1|!-~OTcM#nCW^Z9+NAw~WBw`yfs5v|Ii zGNQSts3jV$N@dss^|sm>0HR9uHvam1+jKdTmD$teI*pV4SLeIy@fEM-vTs!rKmd%2F6-=#C5UY?76H)VtDyATNDm_&PlQj=|-DktM;-#%JDjm74`IJ?t7>R@qCU``<-Y zG?h)0r~ZyaoT1lJ#oO~ws{?a2CJq1JWih!&%V`w`MT`iZk& z8mf>XrO=!JI_!W*IUL6|QH)fwB zb1^yWKTnL*=IiVi-c*#E7!zLQnbumDPQQT3=IO^N89H^?U@y6NNe-UcJ7mORiwl4iXaCw?B*b<>6p?K z_oO@3{GHfmFosr#n1|DQswuTFt$L!;YsM$10S+d5iqmkpJcG6Nv;@m6F z=aYRnb|v2f|K(0QJ!st39gU_c`BtVA+e60Th{3kI+wEwRc8Js>diR&0d4my$KfacM zJ1YjxX}h7QXQU~-I`4R@O?oYWB`YYDWp{4m@ATd-mmi=j29C{$=o{m^#JgL9Yr)n4 z#b+$s7@4;-`?&sa(v;lY%gDDVSGJMfCNY*THLch9^`d7k*PeHAiu9{(P4O9BJmZ)y z5;x}k8dhU0&arQA{0z{M~}-h>5sN3R=%kSy6 z%rBqxvg1V^?rmpRTX7x??C&iONE~T9WhB>Q#flw!DYfrUblF%AZ7W8^8k96}-%VIf z4{Zcn8O2Bu?5^=DrUmWLN5&#fX^Vw@6AnU6B62%|Xosy!a&b6+6I#!S%4nTwt69H1 zM)w`doZ1*U)`MB_zp82FH?u7tl z>+%J~vAz|>*?K*7!JSY-|0m4d#>f6B`f6pxhQ(8Dtj8{6kF}ALH1}jq@ku0CB4gvB z^JZ*y0ktnA@SDQr$!&rtYRN4f>N~8btfJIwJS@la{w-|L|5%s}{|psBS)0fFc*p(l z2^6S-VL5Ou+IA^*#BV~gR zD6M0|pXcgxgaelz7sQYttvklfS_xJXMP$&Nm1@UeLvKr`gqPm_;= z^N8wm6^xt6jv2e1JyfD6_=a_n0QfYG6m)qVO{P7>Uh~|WG?5o0IPY=vx*tXPjb<{Q zkYubhp5vfW)Tc2s<|J+2J%2rf+xzVJp5ZA2ZVyuBJ@0wOD3bR8?jjJ*C8q$MMdrj$ z^6JRmCQ^MG z>3V`=lSu>rP*;+8PhDflbgQDLeLYK%{Q7CWvjpJT18_Y!D~+2t=#LgWVhq`R=#7a<5+olO%H_5sNq{b#y=Y!6d=?a3>`^tzcjxBFf8wlku?(9 z_Uh-B!5jUccMtU=wfR9*tUzXWr&RpX;sl7RNT4gL_Y^tw+=?R-8 zY@l_+S$R1$PfZOxU@3ek3cb^Q4AhNKkJZ};8NZTR(M)vzOH&JwT@Z*kB?-n|Y(rm% zWYb{z-+Hw6xkK~-^|Z0RiyD*D%n~CXQY+3>H-b;;9Y!*hJ@RzP4b65sm#bvFtcL9E zBvLEv4H1E$<#OY05;L6Oru${SB8bkHGGfS7Qa8!yhzCgJZl&R?NT zkTd?*n($85vvMo*ra8g~JHu?*5tuw>xuXj>DospfX&0*E4$Awy1CRG#wx!kMd^>IjZCbEx3pKDnQ#E$Fm3u$A zY#mo1>rCmOUvJ*JhRR&GF2}-|{U@+mmZS-7D*YQu7bfwW#c5%0H#1~uB;_a#f7O+J ziNXN@7FRa>hc9Od=lFcz^dG8@7WyCJ@SQPZze&L%1|XS-ZuI@xM5y)B7F?Tx;6 z)h&bRg+S2~CL%ON46dKvIEeN2A zX}#2tX1qzY_}|kwpv-SK7adN{hlDS)KkK5n;1J6Mj&SD26zDzgdd9BBi1i@dY_MXZ zP81yYXZ}uVg~ebTH;Ma8cAB;wPRUesRgzYLrouUV)j9B+zCAn*VI|r38Cf`sb0>QfA_+YM3N^}t$ds}N8IFYrP)<8;UJUo z6(%an00_FuUDE{N#qJ;`TTGwR*oJdeY+7W=KDXV)jXi$svq%V1=Fk&`ZO39QAXWV{ zADlcM2T}4nI-Jp8e4|pE4*KtRQnVQvz9<=`1zocn*i%3;H6w!0?j4PC*nTlG&$lS2 z4BKJoPAR9tmjC0_TT?i&c&`Bsm^_=(FUNyqRQ1|f3*bt)PkaWt8{^FDiIt!Gcw*&z zV#UzdNH~JvuUNspK|DuPTO;Bp%5fuim_K3W!-1K`Is;eGE#kkarkE(PmYe5pVgg@X zxv#Ixk9)^E`q90XHXh!^!NmEf(>nBH8H8pIQ;)_W&d+|hg+SBR_yv3$d;0i=E3!{X zY;baeO+M_~Rn9U*Qz1H2x)bM)vZ-?yJU|QvG!) z(k1Q4BFwZQfGpVrV_;}Z&TJhG@PsdvN^m>uUK!hFHM76!jrDPcp?1^0Qkiqpr@p4E~f|R(8u5o?h_74v(rXE4ESrh{}IBX$%U6IZc(Ihdt_Y?&wsO9BA zmMG1#1?S*es92;r4VGhA69NZ%oqF35q9uVfjX|B?G|@62%ilo*yd3i6=YCQfBf^Hz zd_I0iY`~^_;GYXg_Fm)&m(OGm;|HxNZ%7vz1jo!N#DT#z|84CK0S1c{A38jK$xuDl z4zPf%W8LA6=AkPNm|xuTiv|IkpTvk4JOzazXF(NkZ7Zi@hL$2 z(kziD{&w~+DHyg%L4F{};r^_$u1z!Y?~(L}jCYhqqrH8QM~@WK>$2P{Y79`B6& zTL8x?>97_l?ywJ9*y8%g{fZ4xKjH|IwzW9~F3@!CHB+nVIUjWnvIRDU!^mr&olfxzWF#kIhRd>hg^z5T^+T2U82Q zcefn)uw8@oM=VU95=o?A2R;GJD#f|(F`X{=70aW1ju|4^7V>NvnrgQ8I$H==6kOEP zTMbY%g*R-9saEZv{$EX3FhhBIiI~msx@2&P(TT^o(T^$Co1Mm=qersm!aAr{7c5CL z!L2W5KwE&meGWP~sRLn6I=+nyCa`mv`iRb zHdL$3!lf){1XhIBgZPH6Ou)iEEcsi50$zo-`<$^R{XLSU#}P3G1uuW2$HI^s-*jlx z(q@)+_%IvAxzh3DLBjdrFOnASl#N9N@x9n7pyMOtvx`NCJXdqNx@jbV!J~%dRZWo# zGdjyG?MQ=jlvd{`|0RlESX=9jq47G8m(KfXX}k(ipncCrGPTO?GbYn*wu+Lq1gAsE zwCOKeohn-mZgRV$<~p)e)y%%}-%W3M4N_8{it>-swKf&5%Y3Ert`Y;%oML&4b+Q)Y zYb|TtBb)}oO=r&a^{Ti`qHy<(Pq^zYMJYTtoDlqHhoGr+T_(jqIeePsq@Gws~$mD_G-|e6O8BOsV3_HJhC_pXfDV zd-r2}O~g4Vz)`3{WsYupTH{R{K?`O6BFAAUH=LpIelwg)U|!R0)H{wb^}sm*J4l4$ z7|nX@U6DcHzSZeV!3j>cFd17hQ|?F+(|f3bhR>k6RloidD?xoHwmb%|_1a4ZTZq@@ z7SE%+yCjS%P5xbckhRKbblV253Zv!6bNW7J=ZsDAT1^)5`A}e7Hc8oqX>jZHGgxlx ziUo-D)ze@?b&o8?;5jMZDeZQ@h^)ye_>UdU4|+Cs~LLW#Mg|A|2mw;%iWJtqlo&!! z2DwF-;|a2bx@HZFM_uz4)`Nj{*TAWP@gvR$dO+ly`k9(9-``+Y5N+gWi*Eemq0yFQ ztthMfWzSN&X;tgV^A?@TDs~m^%1VyvoA#AS4fJgZseKf#*Rv_SvM!3@lr>93uQEfc zD0=6+$lIc6Z^rJ$GWy{ZuKS@EMGC&%{moYM)w$Oy$bX5T{n!U2D>Bd7Q>LE`I0z;Y z%k(t%L<$+W=a<*nXQx;AF-}IW&>-&WN0_7CPFCaK4yo8Li~EkXBV>Z{Uo9AfXWj(O zt=-UO<{4f<%gH7BUZ-pD1`m7_>BA1$v~<*#y60niiG~LlzL3#vvwLkvb6y&9xFqj< zJpC2-t#Ydr2*wU5=?84-xFmApd~Ks3G&0A8A+gX;n4t}hl6BoY=PiGG(9%Fn_D#MA z;f_~X-I6lYZXeX$6V^=svY`$*F?X-KtJjKqm8@BTUgT+O@sIK#l`^i%&U$JI5Xx)O z*WnNaTH^I}+zDik*#voIOEM{lY5A;v%2GXMfNCFEU4vuVu{4nssn|&q21Tnvv6%~8 zolC~OJHQSxXe01?v1fufhv)dVK4TP>yTM^sc48>Gai7g&gFQX;u926A{JmcsKiQeicvo_w;l)kHRznP% zPHv{(wX6}RZaCM}fG1inBdg5h#|jV)pL*mY{&;<)qtv7xD)}Q^RNw4w>L$}w$W|pJ z82Dp?&S>Kwsb!K+#}kg#WGWv%mU@Ocg0gpk*#_D ze7=aRHo;8qf+R#LzjXx2meAg8+d}+W7kc8_ad*Bg+1ZumrwpM7O&fc`m(3-V+J%{? z2@N0IALz;z*n?u*!^OGniLwCWzQ_zF@u~0amSho(j4)+ zvo{^G7^Fd%kPwffN$)B66JE&?*_}mIJrEk8zP; z{juSHK=RS(0FXjJy9ldBV%c;g+?-WR-xJ`zbVOW8Ure%kX@k;MB9^iH6l7^XnQ#M$ zP2xvwI~heeRtKSeR#LV5nW{vnwR{UwO|UOR*Q@(KH9MtPI%kGoc}v;r4|MY*c;ckANEpV(($q6=b|cB6 zfxSZXw+`3s$C8%g<24CfVtR+~s8-Y+lQH^hE2q9GBkw50vMIR;FW?k71%q%h87WrE%dUsB^zbqhT z8^3HbYV$m}&j)U?uv1bdRyZ2gJEBsvGLX%eIxg!&nWQCT%s|ZF|+f3H%z! zsO=i~glQ)JtNm2%Nd;c{0^*{f@HPZ;9fe;&AK!cjIkUys4ZA=19o_0-N%3H?0e`0d zYf11GjxwXgsKaK3Pg>ADgF?w2`#XNRbB7|s^Vi?`?! zg2kaEc6LcL)MZ=suHqU~ZCBf8f$MgEQC`dU_jW+_sZNK7Uf-LuH}7Zv#0Q1A_o;X4 z;?%{oiZJ14y|X1mUFX{&Uo~-S5m~iJntB6zvhynvTeW2MO6TETVmv=e66Y*isxk6q zRFA1Iv{~W$Rt3f86F#pd(a%d@h8*%{U!uu|!CL3W_@#-Wsg#?(>%2k*x%n5@!`l8N zBU0EERH{by#vq8b$o-Vd4&!0|(eI6#7k?pK{mBOPh15abR(@MR{#oEVK=kw810D9^ zVdgq*dtrOCA-6}@ZNII0bYKH&ekAT)lZ5ifH>xTZCL00tP5`2;CP@c`G9^oRY3}UB z&RXQ;Rtum;z>NnHvGg3(KkEJ(=h~$CAwx(TlmAUxXLdefdZ@%jdDq^q15HY{JX|4M z(8R{85pkkI&Ti+&e7AR4P@o{n#>gFPGck)|{6n;)D2-x*A zJm(IwGnnO@e^(hEyg=)eWfWo~0;*}~$k2we3F)ns!wQjG@C|B*C!5de{v^*%Jq(@l z?t3g&)%sJ(_Mv9bq3GQ{_ZBb&bFDw$l@>w(J7B$&1M2=OCRUzhxV#`~H@t4_&CIzx z`x0DA(+^&9jEk4xm$)s_0iTv7{{#qsAHvros@o7;Ne*NQ8dugY5cAU|awb^rr8znH ze@_oj=Kk$6eL-}|zY`G)Qwk3x}b(@dU&v zRDW9(rhHQ1Oq39pNMUD&Xv5KTtwbnC&mOWoq-Q>=&~~!Es&cro1(iFm?{G=K>w#EYQlaF9^Y!&3sAgkI z(HvBYk=q9L4-}UF3j3zZMNQE*f7)-G*OR&j^*hRm#ur4hn(xL?9+*RcEE(haG_(<( z7kYX35erg^tl#U~nr6pP<^$f&!rDe z6)!}UNEwv%oFP^!!h;F1ZrRwoP&HQ7vq$?}jCGS2`mBur*FfXsGu~+@hwk2m|FW|%Dn7WCJF5qN6;v4_d!t)?UW(;ENV|^^@Jq{$v|8 zf`5ZPhyB}Vlej&T4RTu-`~v|917`3VXl$f?n8L39#Qj-C<+#HSBPxo3%i1IwbCf&J z-#823g__(5qxdh*N6v;F8|tq8)HlQ*5392eNu8j1u~Ub_tR>QKU*M72xh3`*+u$R> zA*AZ*H-=u@IcGFwd@T6rNo*ih$fCl&E)0q9FQdK{x60c2L&($sDYaj-S05?OC5{$& zR-Qhrxn83oGxRcmkx-nby0sT&XQ#D&A4^iXHR6ut0~YbAK1)ZTq-6kTItdrV`Yii_ z@NY*~cOrM-1caHpU2?wqP#l8j`;yQU)$=34O0*l2gB`}W+rv5dD%+%m)!xv3V0y9r zw8h;si7J$QF$?Omfu_@;r8zY(mMZ{YICRR{ zVZ7lXs+B=o6gnd{vpjZ5t*<_O*vYYe+2dD4|4H1a@dJ&*$!sf)yN_iEQM%#Io&~dm zHxu0s%c2E>#*uz&7J`1X9Bk+effxF_RpZ#(%R|5oBg>kIidTLXt+qAWx%BXAK`jco zLsqbCW-?iauYle`vDp2Kd$V2rE95m)aDy>NMI%1aOtMd4L_f{KF@2Cg;)zvza1U}O zZAR?jyE-3YGtVJ-y?BE$0dUXz=+!B!*gnCh8Hlml-{zC(Y?Eht4VfQ4;(qaXOcG~w=T%Itz_X7#n`fCW|F<*Of8>6 zkDvdEuXjxY1It#hQO1IlK zJ_OGrsLXrfHhW4VXg;(gJ#5KIA{gE>kag84%@5c|=2Ri|JiAJHa2z>!pq$?0&0jy_ z>Y)eE=YXCH3b>RjUYwU_Zk_VMZ^gwU$j{1hhNUS?=W?-?@! zT+o!ekfvM7@IU`rUTW)I;}KN*SAmsmiss-HJY|uWe7mchb#xsUWvJj#;boZh{t0;M zyc@eot}@!ri&kW41aBV)%~ngjwuP3!kUTdj%8gaQS1gPij%%3Eb&PPkFDoY(1ntAs zcZ?92d<=;GjH{$Q(ClP-SXzFx{(L|1Sq4Dm8+?FOsME3_`=G{#4}0O5ZF z1ds*s{wR>H(c0bp7$GD;nrw(y*d3k0p>?Cla6uizbhf+tf%E+Sc7XCxO*hPlMPx`< z+Qw{#SvBZt5m>svr!odB!gK2edq%YH$(=fuc(|fnG4ih!z zqDsEoNIK`7s*4{NG8sr;qv_E))#~;xUQCaPqHAmRr6})ZxNTbX5pUCT;7bGJw#xRG zvF7$URw9hhaVF?3xdnFh)q&@=u^=YvgwSerm=|m&AJGC%b?=K&p!wpmcwGJFo@f5z zm)@Ydna9*zniqXnb55ASw^^EwB^RhkjcMdG0`I`Hl5FJOg7B)%DvTUK&2#9&7Aa!q zey}{hab&kbI3Kieo39o@Ryk`iMa95Gxim+qQx~iu5}909M{0i+6paZVs%C7Tj|^c* z;%@k1)q80VsLxida*OVs!9H?MMx5CdnLNGu&KGANJw!mRT_c%7N$X&uyArL1FN zhB_bX)Nx8N#c)Z+Qr((?mv1}UW;sZ(Rz&*TUKD%F-d!xHr(?N4&h#-@K=C=s!kqi|+SiH9e$HAhU^t z;INeziWkpThF|lFJ?||Ij%_UKTMpIkBM*5qcklK0-J*%+duXtTbOV(=gKqu;)3tCI zYy^O$WCgCo46=20&h;v>W75adrfG2T>S#ha02bzaI!S1+zahMM27hUjEBp&vp2$be z=QLzCpL+}chn!E9AQ{OAakOA9Lng8?BBQ~=&$9?T2TMgxtFbLjtS z6?TX@iz!(h+t`k?lLyanimD1K8M%e!C71qyEVnl}md;YK%F2n&gI5Z5tms1TRn#!> zR(_l&?>^Xmhw7Ae#))kqYntB%?JU!tXQL|pjts{-pMZ-r)zA*l0zJfFUct?>MQbS; z6V{F22(NBk{F=J-#!?_{_-Mm}q7wJ+*eXRG7)?n0}Y@?~ZP4$ny zLzDEVV7^)tDj5Ka_p4k$)A-r|>m#-e_QUu^!W&dS+H%b|b;^p*OOabw>2x*6xHywl zIn&B5#8)?&{vZ zCECS~yq?;{&i~Vd8=rYe?cX>j#8W}u92S5;j(oc1%?yQXfCAv*&*BCWkq>Y;v0a|~ zhQEvGcH08i>sF311(Px^{Ue{>d;v;D+;Sec`squbI9 zLTB_$;b-pl;5dd;wL5Q4qcQD5ezX5_e8jyDOJa4aj~Qo9S@n=8pLsLbh;?lf30ewH zfBW8B8O}c12RD|ZoE2kq7@MT<<2)IMRbcxv71kd>J}Ib_a9U%Z2iQE9MOB4mTRgCH z6)jV46biX@tqx~nj0W5hlmAbdgk0xFFk`}|n*6q6-{>gOAj|3*zjksLxac)cDLV;( zviu%4Rs(?5&{RNs!4Z6s6~of3u{_f(%}M1kYK_Yx8UpZ;28;(CV_xOqusB=VRUQ6^ z#89?jCEtn8OgT5vSj$1y0Hj4gn_2azFt4$Ic4BOZ7~Vy?84J^q9-uM-_%VxF&VM{r zb(?blzw6 z1NjgCR5Qe|m)H#d|CIj^82HjOBfcr_BuN5$!ha?{0 zIUNf<;9NUhZ_gR}gL8Qr5SQr)Ib!H=+StNyR|8GL4`E~#u|QszX>x@(l;`TP4l^uK z-fs?e*;UN(;Otl5v_^r2R8X6ERG2lCqyuxZix_=uNxfuJq@Y>v)fge&fIMK2qG;{L z`|CDDme8!8(ce^c68vAqzp=W!!zn4p#`b)Z1sRQWh%FE#E!ZRXc2%d&re9CiWVL{# z-tMknPk3at*EIfzMIkXx-LB43vmM-IC%jq6gE%vx#0(VfZC;{P0V7Z6bFm0gi%jz8 zaK1oPxLG%ObsB~nA5sK4b_m%r0Awdl*);;8wT3=*yzJjL^Pb#_bvWGmBIKz8Da3+2y6*(5#7Kxj92p)syabEoz)8%slGg zr?wf-0sX0Q?heDx*+{OlN-5y0UMYZYl}a$@>|gFW(Ftc&7$bt=&0G|7?NRplH|SQ* zX=dq+OSy;VPq%Bh)Y@j8$BVfMOC2e+T!pZ|pq*A`KvnI6x+*vxV1h5ZN?PaXF+zQc zYP+7%#eAAt+jOZbA8cVruEkKZtO%lhQ=cnIQ&U?m87E14eSbzB-kuxzH7TxXJvl;# z8iVb&GtD)Fh>izqK3~3gaHR@;``ap*Y3@pM+k4jo7MTbiQ5-l|N58G zbimSx)-d*MuHeOc)2Y6?ppB*3{yW@KVSe@+Bz?r=4rCink5RV^Vl)8BSy;cw7l`z$ z9i8N`>Wlzn6rDJkivFvM?3O)wum{17ZK;1Fxu>V_2mwg9w#*hfYTEbkJ#Z+=XSAH< zYXSPg`k_RQ036vjn67F|3*;S140k)-u{%FYW<%dA@4dy!gc_e-Rg1nC*ugE9$Zs~x$o9j);q~}nD ze_Kg@Y$d$(fOPLHK6460(pFtjRzFPd&CXziZmHnDfeFAwbGm{`JRkAve-Ovf@2$kQ zrfT5T&=8EMwS}BVo;Srq`*Kiy!NCv<`3oERXMdXx%*=4+PNb?^%0NJuqf@TTNK8QRukObhPl^&h{riUYH3WTDCv7 zwAD_U_9ur!d1|PkI#6be^x7&~r%Qf!f_pbdVB^dGnwh+#du*~1&K8wg`@4eUy@TTi zfSJB$GGfq{Idw4G=mee)_?FZO2=8-nbU{c53f0)_>7-$YKzC_@?h4=PrI%&DznWRG z^Cv(|DdtYA{2ZaWJl2<|_+DDyh#<)?jrTLytBy@Os;Fc=;g|*NANCYa$ckpArSr~< zW{>{~(NDzUTd|*+`82+9uGDFrxK(OL?*s$gEBdbIn^7T~pDEGRjQO*AgswSY2$=O9 z4pZiOWusv5oE4x*7khSed{zfgmqZJLm!zRdi;IL>NB(hyky71-~HLl@A(w%-n^h=c+nqolgInkh!=0kUSqK7(c7FX$=@z_?#?YCprl?S*>LjKLcBv z>xF8?hGGMnrZexhi=bc%JyW{7i$$vWCOaq%>{08D%47R_zJJe!yJ{~*P0!&TJr^wc zFzCg)xVOjCjVBqRnbAfry|(^-lKB;xMe|Z|2hbk+EdDSv-Z)Y`k@hd%ug{L2DSu5? zT>DX)>ZXaM4@(7dq4n#J|8+X2ga)Zb?O&koUw9uC&X*^Ln+jlh6o(q%?8{WcQdPL; zo^h=2HHRBZsnxG`#gnX}SwM2d%Q+~=&&LkfB#M*RCR;!prV^dfto2@)+f(JN2f@Q( zuvbQ7t18n`?8$*WWw;+xhdUj>$L^1RoEy3LGQZR&2?lAqV~9ZYZDxJs@scH|C6eRz<>Yd58oUI~&Z%{=xdtaJrJAerc24Ueg5- zSmE42^e%{VlK*OY^RV@C(sTwy1Gn>klo2@3qBdw;djq%AKN_*Xe10jOApEQUCm`v)MpsQ+r{WXA7_V4CBZ%1L8~iCyPWb>4pDy@Rym- zgP!Iq?dzPi9blw$E@h&vNyv8`#+!F~()wimzF=wP=JZF`ucm%ae^11$)DkC5{f}7_ z&Q8Y9Uehps5hI7JkzY*N_LP4f`#o`tgj3p{U$v9;I0PTfM5g@tdj0V=C)IzhgZ-<( zLEE0H`M7$*=ReQY0 ze(rJ`ry6MoJ}~pY&_yM7fYGTR^gt=#Z(55(f1LWg!#bP4n1Y|-U1o!SR|#~T-|1q1 z?IgUIf*qNDw1RT9&o2@vDt9aJc-X*1lxJ|G*XKB zfY0I^%89fExV{hA*1OrvB6K6gH1wr-sPMvh%W)q5XFeSy=mzYyecuGe4mi4M?0hI7 z#+vX`fcbcFzDW8F_=tzfD%rgLDvgj(D!gH7J!Cd@-=Y7l_NWAW?8r%dPV(YBH2H31 zj>b`y2}TdRVOkqos%BZZ;C~D-nT(!)`|VXSVZTXB97c;$$V^!3hB`?d%(oEX!?$dk zP%l)9WAiaZL}AqYK{+WY?4GKFf5beICpQ7nlwA`KEZn?p8q1 zto{X>>&<&Ak+(2dM6 zZ>~zQSuY|>9a`_AeRv3or2Fgp_gAS4G1H=Rt!qFi(qxSt9adJaw!9aE ztp)V9pH$aBY@{OP%gQlm~V1&Zl;NI7hHTVwV=xb=U1 zF_nd4ffD565Gd*4O%#AZeQl7+LjX>f8!>#6GAT?g`bY)3YHd$nR*9vBIKD1Q3QIjs z3M4?_@J{sB=BhfQSVfrs~6lS8X;#p7(=oF)kIsW z6H(IoA{bxC)&0n)l7pF(jY%N;nG1<|{AXPKu~VumCV(-+oq7l!I_(vAW%KdOx}wEu z(`8i@qbY`GtNY!OcWck$vt{@B_*P`9AUaOr{+D7#7Xbp(ua^dAaU#<+`iFY}GAC~B zWVCL;Nu@6 z3==IvR5R-8TY-0DqqZZrn)sH%dkmZ zMvB5G3sw^S4D9|fljt5YnIyZhB8MAG{1kMH)~B5uK>!WnVv5D~U~(CG0l6s4-Cit( z48PV(w`wIZp~zQ3N<4U|CT5{tJAx|fdZ5~V(I$UnQ?wMzsNxB*_DNc!|k!4;DyyewYBJ&;cjV47cLY2 zcyg#DEhdfJ*h(itLbcK(hpTW;?<-KYs=lF$*!kbDgAIb^wLX$}J9c9xBw= znHJL7L#NVV6eLyQE+@cOY49b{={=?Ph znxnhIqGaqLHK_whlBSv6xX+w(c#d5kXf0VwF&v`3G$4HDGR`a_yn6JYNbl2587w>u zabsJIw)eGCTw>Q?3GHge*+;}%3x{+73_Y~r{L?q7D+$IPKu)%Z8Jy?Rf1|FNsOgfr zjI6}fi0r_Eg}6+iY;2j}ITX^-zw*#p)t4hdP{BoWFk0&iT*dv-9=@Y+wh?a2Z;A}v z68RTYIRwq>QvMh&Dd*eC|EYAi(`!C&=x`?~2hgIyj$V49x$x09%FP4p7ND1cqYTMu0)v}af zumw6Ou=|`0BCwv2Y|fjdghx7k(4q|Wjj$cFLx>jrK(jGWcAOOiJN9wL9vI^v@klNmvi!E2FT`2=B?Gmq`DP!SMDuiNEMJAl=IdzIt^(_MW*s1F za-!>4vo2I?@Uu2Soi)^JAhXN8#X~)2>#h{Vyk~f7jq8G*K#gb-E|$HndHI{jvuu=W zvbj?Q2&k7KezqO?m+)rXXx63z>s4m!plgp2&1AWorDiywYf}-;P=H_OZ-1w9cPJ<%>{W-*DMsjzhw2`_;~_amtbc`MBvLDvc*T(kr{W#(ca&0?w7 z`f{y z%)D@-ScdtS5?`bn3ab=Yz7A;3aZscA5oFfHL^~LBtrc0mo*ueNN6#tVwjb$dj2zp;a>-DD8s*25jf zUmMnb+jx{M)a`#zC9YHl!#eOTWRwTxk#2DkQVP}+P1Kb2K-wr&NqoM~$SGIi%gjJI z9NMqiQ}gls^R=^72Xhy#jX;2(bW+O9RKBo>0{wN(JAn;sMwJH{iAv5nXwI>3EptCq z*JaZprpIbd@F}@a8OX&p%LV4L<)}Si`>!oe86#W@WB~T8;`O|pFs(MSm15k4UL+em z)PEZNWp@F1oMw!3jO+0=AWp7)j0iyGLt5~R?7bs^LzD*4q8A2SWyPFnhl?Ny4?SSI*uLvHz243IYuP=^knnYWlvzN%P8weE}tVK~I>bLjwLi@mh9gyKhwlGa5OrDnJ zWk-wYvX2QGL6&XfzRDepWDlp{x6k=~mMfx^>@Fd6rWkDrl|_S_69r&XXj?5|D}Y05 zpLK(V-{KDv>9`N(OtZaA%RXTFKpn2bHova5fe*V(h8WhBRd{2Ju^!;$fZfC0sg zEQAu`+;0f=vtp*Pywr$j|3n1+&@YL`%=KCkakNG&ojn`N>Z@!0>L00IX6`B8`_{3=dJXc_fCD=_MyU=$CkMYJS@c7ibb z8d|g|-2+NuJncj?6p)b!+*gyr=#dEeQ9Ob^$?ov~0RRC1|9AnER%>h&RTRE$DR0_B zp|m{O@@Q?>Rog-zEVb?I?A_ggorg2Cr7MXeX$7|?l|BdrMQi+14G9)w`e&*Bq`zRVNscLX9b(`F!|>*1Y?=dgFV#9_c8J zcl9;pl7X>pFXBFlE8pdktdIuQG+R0>rU)pc_`xbYC}IhW)UXthw`85{tsX$wDe4t@m`R5KR9jBY`|8g>-^ z-F$uYA+(Pa$xxZ56eG-9hDjDZajkvc6ih@9DKcS-St+cE2YFCNZ(oPc*W1?<@7hyB z+d1~anS(;7@Z{8ZV|tg8CkKWG^98yX=qQ<|WCx-hzaEIKV=Vv?4}P`*1}WIPE8f$X zqQ#r?yJnB8eQi_%)IsMkG;Ci9kTP!LgmB;h6e!c)P%gq4A-w|%H63x-hTdLB`gY4xNTfG9n^o+OW*&nK&f z16gfHt!e6thYUSgS$71eNS?TdS2p?Hz#^$9Ga4sG@D{zjKTyk(JHlx*N?G zdr>)x5y3Si69}Ofsr{dvRvi_i)86=ZPr00{ToEBtkEZRgo+P|C^!K>o!o__A=_OH5 zlxS8Os3FQeb$KW1#37DcfVV0#-@*>SyDxseehDU87evV*Bz)>4pO#*~h3UewfeC@C z6>^dm6Tq#s_1KS3_ssziT14j~(B^ISRCHrnZr1^N`rJ+^o-w2EC!b)$g%L-?qFzmD zxHdsQ(nR$mqnkw1>BHiWDix?Owh~mh*-YBtH9bg(2`b4!r&Tf)$qyxoE*fk(T8QuC zla0@ye-JBjf=ZX71$8NHt6Kp!{Z>=T(~SG|)9vPa@SmbG;3;t0 z3nXYE%xKM&v=9%ZiSfD1=|yj%Ak3(RQ)NHAdn6#Ni8Pw{7*1VK50YK9%pEEtx zQ#I8+HK)4{ItU0zH5}+a2?ApM?_v9=)l40oEbZ-xnYkI58JLJgTr6!&h&ec!h?%$< zSy&iZn3%bVRiue!EuBq?#Y`-n|IK}V{-29Vq5m9#fcU`wPyZ*a#sA0MK`(sZvUOEm zo`bc3=qSGl3-`#ux`-!eM}J@(Pfg?;Bzgd@4?w+Hj>KeoU=U&A3`ilx5OCR7fMR$U z81!0e%D?0=6132PYO{rNk&@kaJ|!PEB`I%YpWn}$@7XR>tH&2UQ>|!VQ(u!Aozpz$ z-AilMwVzzm@6oZbv2g~xLv@|Rt{sW>3Ot59shk(>kw6eoZPL}kn`UI3RobI95xs&96V>4DqQ#)^Y zEuETzgfLU;Fw;5tB@eOV;!%Wl0w`ncwG->#5_u8I_5ld-vB!q*^fu8qKW&nE(xzVx z84!=&dN;UcaG_ESW%^^gldZEa-oWLa^ z3jgF&B}tiYJuu)Nt4nH^O#ATO7Kk(>!8)BV7dlqnxm-yPJ1H}~o|9w6>R^0?_DFg1 z2u(wkG_*@B_K`#z6$P%wS#h9>XiF;nW|7_{$OHSy&fjIfEkJk>8Rn2-an}*6z2GNh zpECN{zLGv4U69QUSnxz@f^9N}wPOT{U57Dp8jZY1)$bM09xZ|IXSC!H@YqP!_2$!a zWo?Vj(QMs)9F5Tx=m-sKljEkRL^8eSa9BeEXfFx523<`oV-KX84H>{j5Bo^zbW@#6s6WO?_7;{;B( zXfSY%^H(cE&pt7y*8p4b<(~WFO}n)~whVYA%TNhIbMC0Ha}d2}9&!5-u&?g-yc_QX z=hE#Vvllsex}@3ua}Ne>c^~n_VTmVQ2-`v*K$iDI@5B#=ar5sO$e8m2JBs~0S~?ed zKrmmk_JPC3xA~-niO+di9Mrv79!g;LFem-_Nee9Zugmq=Yyf7OaM!ahMYhvlJTlTC zZZs#H%8g^!cP!Bl#(dhEp!aQ&b{x7|!Buym*b%`QcMBr4bJ=z5{!)3%b4#aet|a)} z_pV{0Abs+#?rxXOY__5DWYJeyU;@lc&+~>La;zYM>qyHkJzi4e%dkNPRxhhvOf-pm z(Bzzbl=&*-ioAGio$$~~E#2@a9#_i#TqF( zM!mIJKqAEh38lUcz6=~0PXg&9x z0*9bs_DNYnFd4VgtxsIrdls^_i9Shmhb5Nr73$@XoCW6vDA0yGQpxr!U4W0x(I)yfADMBztej1w)=+mX2ZpT# zmy6dwhnFxHrcG?FBw7ic6ia2MQm}1CMfHc0>W-zez2lF~jbb_f<>Nbpt`pyo_3X#! zg=n6;oqGGTS9E8yXa+6fJHLC+Uh=-mv+LoNEht7I zK6K+Ce>&Xi^%+x+8;rYlD>J5uY@E`-c=aNSt~tJ!lP({LJ_>GJVCBSH<}V_C?Q6yD zfIaXu0&j-2qB^M#!B4geVz^w@LuS^px(Q|{ez>|{@Nr_OFn7IbgvEiqS0P0mC+ObN)y899xlI7GTK<|>BY?#*Sl;XR?@O4B4sprVF$?lL)r z9zTEf3&cHNDfB_4R{1hMZYC@>hw1q6?tT_H-HD&gaC?xtoMd+-@HoqFNfPi-+7~0{ zBylQ-O#yyYcz212%_`m=EWBNj7p5pLl>USj6}d~O!%MvHI)w4hjO2qd5oV^KstOfA>6=? z49WlAgGlhe63LNTt&P7P?y5{74YSW$eGV&gnb}tuJkvG=f%vvGq6u(G zr@dD5=@FYuvj^@X2t1`>Rtz#{b$DGcd~I8?emYf8!n(G^~~|p z=8r=e9^%9Ui?_q-@#r3;^IPK|{$!z(#%8i!(T>{ar*Kr^%c2eVT;&*nmyxRY#1)#che z=JPp^jwk@W-RRuUhE~f@0$zuoBgrvJY|h0v=>tf3TXBmk;);>!CIi<49)GB1RM84( z+V<7T+gMA-dYa0@Eq;SrduV!4)|_D0{Wgjoo1goQ2tm`T%#UgNgzcy&(`1WoJC}o* z01q5e{C)JZj%x*)(0C7itiGKvbuM;thP6Y>k>Ho~{YsOge(EH%<(n`jyJro|hH!(c z1wSgUac^QXPW2;#1Lb7Cr;2vQxP2F0U%Q5Z%4qO!CId0}He3?wkVLsPD@&-mo!=$Q z0#-XHoHA*6AywZM;y~2reAJyv-QWppr{r z2f}$4!Z|89VlQT|ZdYKtUe-@+@oQGS{6Vxy^eArf^y12<6)RBkA#AKy7KR7Yi$~Lo zThogsmip2mejE26FboVCXUv@RGz6Ah^XCL7ivE9UEwdkxBb`cz6|?6Fe>Khgeb!r}Fg4=2h39m9 z3&G>Q+{J88%64TOJ%+?iKf!nc#0nZ2(+qH@!j(9+Z6YFgY%(BtT*{{`!g^djX?e7# za(Q_ZH&-%3)q0V^9Jb+c9=LGYk67Cqv=cc3@$4M(TZ2sS$VKt~dztWTwSV^a?EZ<{uqfeWWb&zW7V|Kg?&Xk}+(%LYpkSQsB7o1F?m z*}8JSB_}#8=^7vb;u&9(ee@T`b4<=hmtT|QqxYf&99ly*M@Tn3bkf_5(PKOwAsF=J02+ zx)q&VFj92L7f+50EQCvtD^N(d#@LOZKBsavf6{#N>%s@;hAGJ!8=r(nNib>u;u~Fp zr;E{H267LqLGeauR7p66H^y;b)@fiKBU=+?(izq9D4>md8B*9y2$>;?wMH(-oL_bD zpaYcC&HTyHFW=}N$4*)k-xV*)*^P%XEI*R5KCad*do#*9Fnh0D=L2)hRjbI+*1<8` z_hsQ+bA74*6d1U5&jjWiTQc`v`Bp*jDx4SvZtlloUhv&V!MMgHX*nEGlQ16h6_PjH zI@H)AHM`e>7x8=3ML#+GWm?Nx?z6CGfGgVk#1wDmrH_8HobI#8%O@ut=H}TDm|Ef5 zv^6$q!y9&3(+(p_O$!L1HL*L4CT41Lu@-N)di4>l;hg}-rh*);ayubQ647ff!QVxw z#Vwy(`lDQK29yCZl`iv_uIaf&4Lr!BB&Bar8%3YykPQ8Q34BrJ$D-AWV*2{pxiE@4=!-WUoRcrHxm-RFWi!vam_t0794#ApaL zSbcp&Pz2^DFp!kI(gLMu; zh|&3ei$ql2)FSLd^VvSzs8n<`FqO7fD+pnUCv1J|i)68*3*U)@`s*mSp zc>t>do*f`z?evSOCc8gav3o+Lvmry|ajH&2LDFNw`DsDX=gi;C3b!e#LA?^keB5*@ zb_}~WdOw#4C}fUBPLwCb#=+VQ5r#|Bo8}o2D#YEZz`23O8Is5tHdE1H-4v|m1U*O^ z2HYwJp54PZ_{rR+SEG#ZsZzakgzQCVntRv39o(aieQ^T~H%lM!%(4rHC3i{NM*@@8 zXzx65^7|LKk1Pa^ck*Ae`StESVu*{h8DuWcSoV64b&@PPrvTdb+`|a5P&4a?Hk}SD zj%yYsJj00nCpP^5An*@@{~+`a!u=8%vYqea|Gfi*Bpf)x*?5@0R1z@h=P|mXe0F;a0&SIhxNP16p-4=gUr=9DFU|64T z@-r?ijRW+ms?#uZtEzm17(d&wQ%ZavkJ))UK8_O0f8X;nF^YHP9vYdTHR`oE1DdRg zwpbsHY&#QAjZ~u)ybmd3re{Xg?d@bPF`2s-uCVuI2>6V>|1@YtGrA~8-Ih#Q{u`#I zA4=mX!V%a~$YkMV*$#o`QbJoIA?hoAyVMal4r_H+bgK0}45bZT8?bP*KQ>V}KQ<^P z&3b+r?Prm2c`7kh=7pp>=sz#U3sxFi<8 zYM`^EJ6_$ZuYW4Zr4w+9{um+(9om@LYduKtR+h&%e)4gLubtTEnH2D#O3Et8*brwO z4revZ+ZX|(lRW+z8n@sT-QU_;tf8NKzn;AvIRWYfXz<$$y}ua0{a0+89<96kQ8!(` zst7;l^Ky5v>lydr+PDg~BHG^K%XA;CR#fj*@T?(6tf0eKw;A8t7~9+KNH1^tEF_?S zl0ikv(0%b$nEW;w#Ng8OjDYQc-bh-adc#H^9HTayWhrzuE*1ZNgdHi|hUfWW#)+1r z)?~!+af*YXURLYo`P&;rWDCNWc18A3?oiejEEd4}Zs~p3LXFpICYXEgzB)O==4y5r z%1O`JR7}u3yWf?-mrQxN%iLi9GSXi^A-8%{e5mQoN5M%=ihxySzRUZo4ZLnB-`t1~ z!7wZ9&(Q}H7L?Pc0mpEFAwSF=Y=lnANZnNOHy$4y>#^GG`sfHk+^8_@oGtdc1{B2^ zx1w?8{x#0cu=fFmO(}zi)4l|&0<+s__`NcuZM-Gp2lV~#!WgIuqCBehp&fh%;#SF$ zZrT--Hk2=~REibMdfI^F#lgIQV|*Jb$l8YT(U;0ZA>rgcb#F~X)QDjSuQs7QINJda zj=c;3%`S>lI0;~^^iz|=NT)dPJJ`{ra6(-YY@Cb&HR2o5O$;&qMKU-r=eK*d5}>Qc z?1OcG={U(!^+4gHW|GXWCFPzRV1;J|bjQ4i&dO+DKi=sBx3w_(S*=<;(Z09f9m${& zB*BxD5P=Q%-tkkwl3RcHj2ME!AVvWF;yjz)sEE7(NM!pRPP^ji!xjG8Zlt6IsN2KAr{ zB|F*X`MVya`qon3o`hvmHvxKfEz?_-XU8ajf`FjFq7cJQ{tg^u7iq<$i_Tyc!ci-x z`RQ30kME9#1&1n$Ld=FdVY}Nzq%K9$L{Qq{E+|+wvz9!mI%CIV+a%JV-kOr@(FXId z7y@Rhk(k0nt1<77^Dxkl=C2r5`GD2jEXNBDhkJHu_QwEfwYf5i{+z%Pe?qQ%VWU08 zgfy~7(kh9srg)u_v(JWGLkWM4`BKH}0F9QpQ~l%Iu+7To6=A~o(gEfv2iZq>l_V%< z1PWMOe7Yo=78d1nc!QH*VMe_qkS4MSqf9m7du*&?1on7C_&)HLlb%I8;*&v#^nrI{ z%smuGMgW+K?yd<AkKP-+Qtu2tTJKVEcVv~HyrD+c-D5D&)URtIahCkO>BeB z*+Biq$h7f>J9DaU8$^8z?rYZ)a}0~A57@h`VDA&pMJ2)QHDog5Y=^iT-#0_{Gv7`2 z)UY2HR$+9W_gxzE^4a0+YtSVkc_!p)!^WSz+P z8}-0`#&JZUT`(dW@Ia8f&vJLsO~CRcGQRUDXXF9+`YyDY6D`emI`hG3;`1b9wEZSF zsWave9Ah~K)ZC_LxwE}@wZc6^j9kZ^wt=(hDG7?7bGY6m5F>i(5H(DoF%Pl&G8+)B zhf_{>Xa--^+If28nKn4{fdOg%Drnk^WxO&q*%#5FnPxutOe*M&?cT30?-B1GquUUP zdB8Xl5)BRk?&w#V3T@t~Y>n5VV!Vg!U6Q$%kVW6x6P|yXdr)(fM%8UT30A*w-sP5O ze`b6hM$8G8xlMgTDSs5umVdn>^E?C?EbDw{pA$ie;1g2qv%OQI`JgIf8@GP>>~g*@ zWf|*GX5EK<#cuPwJMQb6n(BJ&>^xtp(cW0xZ0M@>8qLg|YCNvi zY-n1(Vfju|>FyJ2&)jS^nwewN>#iXh|D%7+i@|1R89|Fn;Ry^e)oo$e(>nOCGM*j& zfm1#a(9}zpVqyNl{+J|0y7{EVbK0~#vkLo`4Y^gn@V3^>W8ycN zb+q?PRE%45^M~expYwuhuo@c|-uG9Wvy-o2jQ&irGL`V{KDeeDPZz+n=$=)T`oWZg zr&|THjP{&#>S&V06rl^BrqyFUh@t0ro@^C+I&XMjmKeg>yCldoN#m#q#tNQ3K}C3P zOQL76h%XNYIwA1=qyWXYRn%s$Nvo%)D4N4-PwB%s=Wiror4G<+69R8ZeQ9E%s`aA; zD_5|yU~Y3%SfAV|a6pE+Vu@p-um(E3u38zhyt$nh4EE~SB*>G5nDxVrN>MrmlOfUo zo1(ylI=w3dMRv!vBn~#j38K(x<1OHnl33_Gu}Mr1 zfkrh<7#^Wm__-hGRJXW{A$1^C$kf6$8yGYEoiT(Tel|Ysm0(W%iW0$AiyjV3_pce3Px??sDZ#?8mA0(z5@o}xZV0=rqA1Pp$&r6D9mcyLKT-%iK>sOapJj+FrN5AtXJZ6> zpS&{=tx;LWKOW39BM^pwLzP0fY+mWeuFZ(B6PyCd0$l71mon1~O^%uc!GFi%k7Nvl ztF)-ZkUET2aXO?AK|cO)B`pPMnKWv4s4h5N=St-7i159400!KDGKDgW+Y_PDYZT}U$@4YQ)UChswf6=lIa-XDtlffHH&u&h>;` zK=Q;I8)LO^x6lW1|BE495P~%!${M$h-775Pa7$^ zt%2WG&Gld<Xvi{4aso2Mez05Pj4ECsTVf zZvTeMxE?wO3)&+^Yh6Sq6;0du?gajYu$SY`Au{tw`P8Gj-Js>?)zRLJpW~Xf)qDC; zGHEZkJe!1v-*Du!&T*Wq~XVb#@`!`7{A343Mn`@!lHbl%Y z-%73rDE}i1wcS#coAMtCa88_4e{gX=4RL;IHMY`2^TLtpLzwi=I=68>AVrG)+ZNv{ zt_LE}L87ZPMh*8u8x&1>yoD=z@Gpj*L9kmdp}V@8IzTp^=avt~;;X<81nItne3m9D zjq9Ex&y`x;gVaIBQaEDcdqlBy6sYm)*{BQG?v=JA=KYR6$Pw6?)4VoxrR z3hXDt(2w*kLk=J$1^C9pvR+0A?Y!BhGI4d(sw<)D)cWG$=b5z#v{5c+?$o$*5WJZP zZ;aS-r3k7J7uSATl{eK3Xk_8m#4c$sH;Pv7A(ahO@397(CGXHDE(Niv1d)!HIdpe% zaFo|1spOWJ4}^@;D6hN>nD_vFIq2~0W!WjMswMKNq7fr>#HUe15M#y@%^S_I3%MZ? z)w2@LlK`L{Xq{;E_rx1hVSmMkpn{H(1j2kc)_(ceSSc~W(#%p+?4e!SoLV(#>hlZ{ zmLQ({9q=Gz0kl#tw*J*>9aV}vKlrq{R=tDWAo^BWvQ@R>!p&v2=?j_0A3}QLe$B2r z!};-WYI6{cKi73IT6l~t)=Siz=3P>^SK^VV780rE!!t}{@)OCO8M`v-L#RefmAXHE z2{$=xFTwk9rBsVRRJ&ouy5TZWD#O+Kb#%66q?v z`ovZrG`e@9*i^}`+c`3Vn7htPk=!|gLfus##PHCe__W6{inuq>AsZG@Su0l`1mfpw z@r)XFk&`>`q|U^DpInYX~(20a7J!uc$wh%?KOPY%O~Vx7L6P%g9@bo%RfI>ETV)aglEn%m{Pz^;&RKUnEV; zhh49S|8GLokG>%0^I%2yRRB3M;mgN>VvDsw>vD4tWP!Uf@y4p~_3gzk{$7W7RMPD3 zw(Lz&C_b<6Jp-QK`(m&MffAqM^3sG!$C zeXN;2UE{Rcn=WEp94@UE>x(;#E-q})mHPk7F$rbGZ8YgO*j+u~kZ_Z~X8Uw2N$hup zsg$EIue2~TI1d688F5PWwqZk^5sj=supN-}wo0LNlZ7pd+uu$;bA!~~!;%7u@b~p_ z5+==^PZ0tW0*XS~>5em3BwqURJy(6?%4O%0JxKaz8r zI&_Q1`wUJzU8w%YKz4ZZ*BqBm9APwk3QeVu>1#m)(@qvB6|yNo+4^OCk(oYGUTZA6 zmsP$BiOnj6ZZ0fv-8k!)^|sV?gCHhA4(|3&*zDZctYgmyIXw`WHv>+C0$ye-oDSW= zAxG$@v!Xx(_QQyExgm+ZM_l3U9ZVw3itdwvyx84VLw8GVruBpOH7Ri~xdvOr#7nFnzg(qwJxMxk1_c+=k5H} z`siH8@Zsu8K}hQ+<4>K>^;F@RhXFR~zXV|A^Wskt)eOArh1spnNhMcH-*c%RAw_R2 z3_K@yF}G!EchQtCi0J37<;;679&Khr0?c20E3A~fJ*n2|y?RggAGZwFvOogeI&MzO zY-ZO(PDqtFp5x9*lNyH>ez`b!xKKl-#Ht(86%LHDhEZ>1_7on88lTUu#K8<$uyb`4 zx)41s?i?e?0N%+NI2dj{U?R@+?iS`Cukz5md60iV6wybp43g)D2Z1k|*+zn&zC?~v z3v{!w3vet}#V&>7y>Kp8fQ9G;XU^_Lb2jhYwaVJab2#*SG-9%;66LYfE-9X55huq4 zOL)j@YT{^eb7#D8#TT2&2AzTNg7s#Ff)jaMSHWT?muOKgZI zO^zIr&qs(?Yg$)T$ytt8LaB4SIQOk+$gMspHIK9qxz_=gEOxHGcj|F%@a-0+bb7;J zOGD3S0Z{eAcwJzv!2WN;t=ApG>Y~?IVbI_uY@h1DDy1wD`XO~TNNc$= zbTt_?aWSZf;QR_3QU~l@y0dlv>8R<1XRJbqRmg@=p&U{#=tahCT!e;w=t`(yd8%}v z4L&{{WQ6oGxcqHf*xp*+u$0|5UbJ7S#h^L60Dh?ZT5`W9N7YI03_Xop02wn%t!m|B zbNC@Nzxm2u=M4O6!jO!K3}u>J%%WPr27qZT&aHUz{W~)*EInU_Jd&mGu)aLlVroTJ8R$(? z5^ND^l2~}C8j4zYYD_`x34&-I#gu|P8E^D&v=fM0$o;@1HQPR$Yy0<2HvABK23xu& zuDC5LzY^h0-iSTE_$21dX|6cU0hLmIsaSKeW>jg%QdEvBt=?;wHpg~JUAJ1`5aoO< zX{My&*rL4pGUAA4fq67E6Gi476@h&r5g(-!1IWP!tALnMyl9Jio!U$$@#`9_8bPhn zQoyW{FNS8aj1~3-?>^W@h>041I|eG2O?P7Pc_2>{*Z)ihUgE( zc3t$J;B(F>|ypVsmo8^NiT zS1GBkj7&r{J6u|(xHjkFwkIX%(G;vCpju76 zmW1u2GkxJ)LJhkz&s^gVX^A#9u2acnFbV?8CCa(xKrU%bhY~8ry6v(AcAB`%E&>*|mQq_o=83*7MSqEO z?mfGZ^|n~(t?(>t|8QN4v5rQLho#}KZTgK{ zSz+xt<==K>1QVl{f<~WIRgtZvEm3{DlPSQO5B`?EKtm}36SH=n2~Ey&v~3tQ_nNO1 zAe*Y@B4-3?7tm%_1z&FMIp*I+K?D=Sv%)?rX)@7Uqe%{a#%rGaBZaxT%PAD%AJ@q5 za)K;Sf?_?&Fu7PSjV7CO8(Kz?NYEpU3uQ zIKju3U=>(M#0@DYrPiZpJ7H%?iE*cw)hZ^Xi<+DVB{9;>kq7WNv}S%8 z<4;$`?%g()VDPzTBNxF~vU}1N-;O%JV%N!u<9?kbzBGgSQ9bs5C1{lhROIi1r~*K1iv5D_&GNP zby~)(18<$KKbC#-P?n4i(mw3ixfA z4})wI?h2YDUmdiIb&#kb%8KJaBfG&Wlki|k1rf>0gg+p+$huy6xVP|=H&s&S+s-J7 z3k_nv|9#J?3>Nt5;g6X+#|EhUjqUf+YiZWQvD#TAt?EgIyq1>A%W%xR{wi#t_Jg7N zg8enF54x1feS72z^LFkE(^jGzD6q*O+$=KVq=iyMIJ8rQQ)V#9pYaXVA-FD}lxMB} z_|zmbvkk0u%(G9E)A!GR%5m6)w0xnRG3qQze;yCQUb1f{X z9|GA|B;V&0(Hsr*raC?k5*9qHm5&zSWOuNDH%fFH!J^LJT>(&X4UwGIIALi)YnJpq zU+9i?)1k4tsEMQN>WaEtSX@vGkvZIU2eu7mz^woIGaiD}%FVFE?+4izPiVrO+G8B3 z2^`9JGS)XG`R#JbKsS*)$Ku{bs$YKbksw5ufy(u)_;L5$XYZc0pouE(wSDv0#7FgZ`0}m0c^ueog>T1@8kGB zuH4j;X1{S(P?(&3Jzb$9r z->JI3kw@Isl=t7pLE=Y(oL$J0p;FB@CYl_~=t#lVAO}*9gIG0rZ=dwmCpS@PI&gro?S$cvCuG`94u34vpMizz16r`n!Fw%z9q>1Dy!FKWq zwh7uSBz#!hI{htbow?O$2kV6cJuCT|DYT$QwcJx-u%8G}^@I{>jUoo_I2!*@6qt^H zBYaG_Em7Ib$?;Y14VfPz4w|1BGZiIO(UnPk2u>A~Noacx8}3ry_{u{M`+`;URQ7RO zSPN_J7Cj* z7MLWe2-I3|Y2rnrQC{2w5b^ae#;`d(V(~CO(NU)2w5aID=f9?SW~*hM5A- z)>Zb|MOQFET%epB7nJV!NQ);${L%wug%tU?K7JO4zT)ae_cR8b54nQ_G5^ar;NmSD zi{8NJR-m>`@bx77BPxgP<@|nGcc9aQlvCmYW}oA5nSKV#a@dp~FHiM8LwSvHDh_kg z%*mInvT#22<6p7W7iPx8tHWPk3(@yqd>$48B8}mE zmpb0i%B+4JDW6=H%&4bSzG$=IZ~J0x5-U&Onr*>ynM-!aumg>dwfXA#tv)f}(T8Ov9;9HscG1 z>cy)wp?}Fqz9jv~*#hTdaC0u#=U^Ui&qXH2-qHr5iVW3|q#(ao%j|8d|JWwNy^-jd zm*A%OQTO(i^l_r-680*qZhxa9xR+03*0}ZFc$K@5G)wmYC0+3SyC_PtOQNWsD05Qf z8Yxx858C3RL_dAPaV@OztVRqFH0g`^=2_jg%rtyYcOwBj!w1j|_yN)1j9+Iz3-0jl zibNfThdXD}(w(dWzJOSsSW^?WC))Q#gDW=~9~OqM<^fNdC*n3(N#n=<$Lxt`BNb7e|C`dNJ`Vo7bZM)<+F;>_dzTAqBK-LVxM?e}{Lmd@;9pjCEBA`i@n*Zu>|J6)YzEa%+6W z5xfG4jb(cbOa1*VTiuoaoD0r1Iex`%IV7k~%c${83d)GaJxyEowUT(2hs5{A=iNL% zcdiFbW&Sd|rsyMf1QAnx;@(b=8v6|N6W@C;P%caAxQNRigxx*(uqeWQr55sv=0-UL z+d4(sHon#ACh{i5HxFXGukgfui*_8d|96xY3wqZMI(?r)5d|IFHT1j|5fe`Oio<@i zINqRvgnh;$W|eN-!6>36R7mbxI*gl7-Sy}z*PJneXHOqiRUqvyW3XN)m8k9<9@4o( z><9$;{OZ&o&4K6Z<|wjDUYZ_qYr|jOBnez$;mH9L9xO5trhfRN_5kv*%}coTBC69w`dmGMqrw56(dl4d;9P_V5G`>AIC5QI7I2Mtsx zsRCcZ*zh8AH=^qf}cn~c9FFCo)I{+i-rIWIi9KF(aEIw z_ht&}(}7dcPJP!fj5k>WgH(cHbB!j#SY*-J-5#PPO%7w4c*gpC~0p z3EtfC5SN=;_-zP^17gFW;|yzT4IWmXTFKSaMol~JXk!qQzHs>qpYP|T9JZ_8v#FCJ zHpSSp^fmsv;0#+=wgqKVyx)BYSQ7q5lq8}$P+pAg*u5XH$8!mQjmH6~dkV^1gz^QM zKPXBfgMTbu(Ee`g=;iewO)^%;EWYqw8OxOY)hEi`Q;9Fy7d<1V0*ykSH_0n}=knAH z*1sqg#(F_OY7%J0IPbxqrHgI_la$aqLLM4tTQYXKUWnNBrt{ zyn!0)_4|$ZRr@c0pgP#ozpJPN z{OQ7B-WZSf=IJB);_p1j zJ-M6$E4oK&_v!wdF5N3HyT*>K4`bopEStKq9X|f`mTRxj#{3l)LWlbn z)t|>N9kS++wK1`-hbw|8`Hk7Vn>#r;$N=@S+kC+okXY0#od~?Kpoxm>foCc1kx@kG zIMw^w*GZ4Jb$@Q_5*Cmm{Q-m}(Q4}IXD;Z;s}tJPt~2o&(?OdA_AB*fX7{O4(}LYD z2UxqC`scTp>gU}E-GXtHR)n^}(V3L-a~GfP@jrX=s(Del$Gr>kx^YK${Y~vYa7(y{ zU^DL;oN?~=1oTG82&$XoD0xdKm{?4!QiJYx3n$RUSFZ@Fdzx#Vut-J&0J%NkDSU%8->&tjB1C_$Yv@-xTt$Ixsj(xwYEjy!;gcP7EHu!lt!5F_1I%> zQ!-#VQE=jJydp2{5BTTVH42($jwxnZSF+$XZ3fT`43R!W`H9urgug+g+CK{QOg6q_ zCY891!n~Y!$dCibXqx??FD*hpjZeR3_XrvEFzs7^sVit?Vgt}X`&$K25wBh(q2;2P zV0dAXQE!!mLh%x@8`0u(08jui^xKtR**hHkKSlY?7>DBq)_%^eFveD@mfb3g7cxLV zLqHB|!h{8%R#_C|EDgfIKr1McAiIf@o8S|rCG02$H_i+mvdn`_2d-^0z%)uIs!GvE zq)`|GR*JthqG$7pj3;_a;}E)RY( z(^u32B@w-BIfs6-0MSqmYNF1Z+CvPj>L^2K`cYG*V+ZDV4ByVH#%nu8E@i6lm805m z(4j6ll`QPjAo@Z&`ZjLi48wS0_+%Y^k0e+^A(=U~$SJr_Ab2DKHpsH8d~*bLRk-*q zS_{9pA`v_XVfrFlU}?7?%*I!5?rwWJrA zNYc}s6sJzlAina=IQ^l}#8Ud4My1K`KM6kAwYs23fw$H~Fa5EW=+W>cdZ_68 zI7|cBParmDE%HJOoq;KV`6g00t_A(b6@4HxO)v!M2r}kkCtxqLFX)`LPabUjtz5nP z#N*ENW9r7qCE=BRt8KSpZyYo3{dQ^jlm0`BYxEZLnXWncwhKW1K-b(-T}BIQ_De`% zUM%3|%x!r-KOV60ujys2$a~E&P(qsncFiUKwsb!_|8mY-8^SiM)D{bPnNRN@OAy$k zdVh%tw3J&XwK%q1LkM)ODcvNqpk=>Q6ULhduK%#rn7={?&a+<<3hzfjwy3vW3kdIz zQ$7bh#pmDJ5;tAPL0nSFdCATo&I5-bE)C>(u>oKxH2kr-QXXM2YsQ(b$@!nyz?dE5 zj>dQbf)5vUqh(lJKeHx0jyy_>4=Fu)2t0@wK|R?EVE*lMu2dEZTdZucE{VlQwZmK! z>>8rnI)#P0^_r{jdX1$z+!}}6`cDgO;dZ>o6>5t#i!R+jjv~u;2wScB8Z=-`W|PFs z)I9JU!j|5`t;o&|4p)%bqai=WNRWFY7mfsp>CXoL#?>!}^xf1T2~LL(t0jJ|R^3?~ z@qp3INbE%$W(pcD5V4QKjn0h7p`M%u@nPYe80i>7#DZX=%8k(55EHK$*ooh6A_>l$ z98{~|5bEYMJV^N5)&n5PktZB9YpZr*7ZJ)R-E%Eik*@!2ynZoHL+H2G`RzZ=6iN$Y zUNPi```&e^jNG^5|C~gBL~^wdL1Lrzw-;b+ZrF*%iM()Xd1^KAkNxu>{hMsPzuo_w zi+>!~HpBtZkQYdTs7qLx6lVPSg_=JF>1-~*{9Lf+_>vxwzIk(7<89P5Sd|fq9oZ=# zC9E_FKr;4=%dcBby!I5u7rV!qDxJQ1n#{@!JIA2u< zazNr%wyDox=S2P8q_F5;DdUBoYye<4R$-zQxq@&HOLwRwqR6u4#!MGGdd?yzb4mGg zt>9UA%?tCwN?h4A7vdR5$_Evm7o6BtkIXacs7nsdY;%fCaNb4iCJIHKE-h^&DNNL8 zu+sZuKNWc0N9@iBQ_U-dD`U)-ZvJMSUHT0MP70=U+!F>f!jepiZ@m|+3+Vgc`e_*U z&U4u*j{{TS3g)%+6Fqc*asStuQJ9J61vP>aKso>I1Cl&%(d11X(g7657rDB4pC;E^ zdc?Y18x=7*l;&(6yp91XQo(!>FH#D7Ruq*ZU}MesTK@ z9l2nRU+<6gyqC^Ilum_0QCbiKOOt9q|LM^RCDVH=2oE_%Bg_B)djy6$(01; zOr|OVLK$c|vab=%q5ySoEB4`*geR}x3kDz+w-J_rOwYy46T2^tMi5JN(&U?Tyn z_<^V~X!L_oV|;ysHbJ9_7$sFfEuM3B?smJ|HgS@hyF2$c=l<_G=bn2NmcbS<#@=|d zw;4Ltp2gTo=)(cOqZ_uOYmTDX+zd)G&txZIq@v@U@eJs(aQfMl_k;ngee z{yUiOB1>Gv;xbyxW=-(o%;)1Lpd%a0UBI2qmdp^hb66F;-mrb&CB%LOQH!Tx^H?qX z-~ZCvAFa=fn<;X~1Kd>Fc)%6kys4(vH$MR%0tj`_0@ne&AOVlg4L1P1FafvD4Q~N> zQ34k3n?*)rO_OhN0*3X?rMVs~FJb8IN1Lv9LPzj2=rJ&^*DXiZBTLETU%PJ>=fNm` zfh~zbD3@i!%g1(|-3uL=YILCx*Bq`zRVNscLd{8^dA<0C#%lKU^mpy)d8o6jt7pKM zM-xnJe-YP7whCNZk`>ZmHqDk4jhp$Dftk6J&j@ZsGG}qgl*7&r9$Usfg@G>T@r@;r z5v9;@B`8I*EG49=T(g(6B5?24iSvcRaUz{9J!;Avrd17H1G0c!1q-i@`u-5p3VDfi zb`;f!tYD7-(0*lP-Ph1#>{da;SvB2MVS8A%q=8}lY9+CMhJWi8F;2IMTp@Al*e{u{ zx;Beln<`iR+?;N86?+gyFPB#3)w*<{OItDApGg9WD1IYwq ze*ou46NylnrW7M)9m6Dx9>3Z#KZS|tAw?#pgq6XVc+d{Y=pX3xdiw|Zx_b7M(sGWz zaQdLoDLk1PZ%pqp^5oFSaDkvpz#JuWN_HUa`1L?+J!=I-Jos5TG*Ym4cUNC?l9rmJ z-@Xm(9B{oqy)`VR68@3HicwBhM|&nNqh%ySF@Vfe?3fc#{HA2a979sfjimbEb5&yq zW61KMq3Qs~ymz9SVpmY<{qX%W$HaW1WX3I4l99-f3*Vp-WJD4Ll?Vis8(a%;O;)&d z2l*5+d=O34lem}g3r`jM5=Mru-14HLENDWN>v^QA)9OhJfG9n^o+P%N*DI@r16gfH ztr_ZyhbDTWy5R_zB6;E*k|Vmj10#1Q%LmyF#FjfPAv^bTxFem^IMj{7@fdliPgy z2ET`eVf?$H`rCpR+jm+WsHJ7?zj1q>z(moShEXx!#0CMXD6BkC4&FkCi7kY+a%y@@ z4s%tiBkv#cTs)4JG39HT58kht?gbG2Mx7(?7b6RdUz*jTpc3knS8GSGL1TS0|ahzDxtCS1ZX1I^| zsD5Ph4rC*UYr^7>Dix?Owh~mh*+SajH9g2-f=Y7GX_HJv@%pf05?bsJzaFEyz=&AMJcoo?Ry|0%8jJC)Ex^)}#;l<5>2>GODCfCMdq z9%nNpEyM$9V!ZBfdeNII2(xP8RM`(dJQfhMi8Pw}74R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*C>J0ssI20047u00000008j=0000000000 z006sj00000004La?7a`XR@YhQdxDzASeb|#D>@iYl}4;gIBiMG?FR_~OlU&VLrN>L z)SM(}u{{}SN-N_2tx887!19mY!?tn`YCR~#7!@8A`$G%^ke)f9z-tT_ja}EJ=7Ob<@Ue9{|KkLt4d%y4dxkE!kvy@4<#iH}q+G)5$ z*FJMg}=zVV);8BzVB`Cc;qdQJ^Gf%-tp)oqmRAgv2T8K<(EWI-aORd_{6v!)cDDbp)J(Qtv?xixs4Tr1z?YvAPtO2r5;+z-tc$L@Q_gKKK0nLzBkNZIre zsTZ>ZlD?R*hr{$4rRtW&!Zt21WjPC2K8^at=*5Qpb&80nVH{Hz)MjJLwD^qmD~&CK zdTgweV|M~%2HQ-f@{f~EiGkCqn>C}gIyQ3{#q45nV>q$XjfeALX9FUqjXvL(EYgn+ z%rgDp{C$#*na>t0SVtL+ViG15)`~8Z#fc=1Vmm(YjpMJ}-?!$neRb&CAD>SI+mvyB z`Z?C;JCw__|9wr9{~OhPK@h-p1FZesM3(m=f>+igLRYWGodTCIh*pUV-V$c?DETtM zz{69ds6pHYRO7{dY}LE*BCHy3a{QL{%`eKbq6Z!`{TbzGpKhC3q(qxVnoAPRbt;bt zH`*-1O!q20v)QP+9&LpeZN}&`TSK?XN5=2#gQwHYPTYT_JEOHLA6j|;V{KxHSb*N| zRm70-@%Avi4DV;2BYOVcy8B|>le9$H8ce5vT$dsjAIB6(Qk8AEA!(j%1I2E-r${mj;olkSsAZ@ z4Js#i$Z7h;eQM-qV`M~^XVu5=_|R_-~>0yRLiBEDE zw&s?LIQ1~(q}^7(99HKe)31mLILBl#8!J^b=^bO~rHhxx1hzK2aINuX{MTPx zGbXBR#yBJYZ5V@*CV!bp84HxG)A*Y7y!GpOKV{fNk6{ZrPQx!T><>>$@9zti*PoKr z;##NH?Gfxf=55~TSHk|?e@s5z{@LIW&OJ^m5b&CL&Q2BB)qIyU=p2oGZRFVb3o=Ns z-K-2tkF3kE{Rro3e`L0xRSD$1YOCW+pAP3YinJt;K_q}pO~&1bPqSlXD|4{uqX8qn^*24XRsFthZukmlL+FR5{yHp!l)U4a~ zK11fGy>1^BWB3ehk9R{1S1%iNAAbh(@)Bn6RQ^Kc@zIrQpLy@I+crP_ZFHquIc=7- zml;WJ3h)d(VFLU|ytFyFUJ(lGjvK3xZL?>x*3KXQQI!6%GXcc41+lp+^deJdN6XL} zUvj z|E71>7l?RnGYESNZNbWkME>#vM&OIviOX>@1S^-oW`ly;HEitlj zRuww?GiHlb_rHk&e^;P?SPh75$%~(R9m72-A{cJ%eIjsB7j1?A&qB(Ce=Z5+r!qgj zF=18qc0u7g66uE@S^MB4D|OMGI7j+BER`lP&>)?w2EA_TPDT&UrvVg`zOWv2PVUM>!etJ z|72Kyx3S(Hsq_kza+rOE~y+nVV*dczH_CMAK?`+y<(DQ_AVBY_Ea=h6r4b1y*#9R2C)XQin zTX^gXI(V_t3tP+zle6@D{9edq)~xzI^};T(?mSpe6nY`ktrIGQolkusvn?MO84-Ny zi!)QhfV`vi5iP@xmC zxleMBC-wlIq24hB7Ymeg7F=&oMrq5s>}JCbk1ZVghKm&?k25%f*eWVJ#qE$vHs%~v zji`J2t04aN0vM8{i{S)IR*}@(%|&^Z{p`iybwq zJ>XOuFX1c@w2gn@L4pst4UR1h^k3ByhrT3A&IQ`=2?z-Xyf!%OF-Y{s&P+%}DqVD% zIfdZ@oRcl%+K&bJEH9BRT|hb{KNg0aPb0J%C!q$}+S=e+;c%KlGFzW3IjCA6+e?A7 zu4No>cH;T0O7mS@;;Q*@RN`?qC)whmdo>Q*Wy4wCQnouB4smcYUB@Eu33B*m&N#R> zyHOS?;B*N%)*GiFk!l}FCG_XEj5i}EGF7Nc$K#t{L@a43sZJr+0RTAYAr^oKi$vSL1md3hN0eq@u;-H2wT8#$blk z1nb8x(B+d#_~UFiI*dB_GX!|%_5_dnDdNV*S+)P5;3baNz6E;aRJ0T|>ru26wdsaM zyTFhA|EMZ^Gf(j5J6TwaB@t4} z=K}xUK2otBtxosEA9qijbG*L}PuBUoZ08d=_doQ={cmde+ynF(ho;ZftGB5oqp|Y7 z(P|onErrxcUmD)fxqH(w;Ze*VKo$Z|0a3RRVO$`BJUa*@ig@}gaa zHR0VUGa%zh37qQ=p4laSU?*~?y-o-7pW)>+&7Q!UXdZkn-s-~mG@8wt?1J5HW_zOhwbZYPAGWR<540C})$>ano5ega z22x5@^Mt{wbcYu?AMf^n1Kg>iISjFs2BjHo7VW}(c2pVpCv+np^^11N=hiw~BTmGt zwspiSY;EIc5Ik^5{ql=glf{cvrqeDrrkvAf7d{BegIKeR-@5_x`?K4MH(iES2u5sM z`b@=#v<)^LGzXO>elR^shJL2QtqMASL-&wi#346i^!6V{UZ!i_#3@n{v3&Z++syB? zmI-!efuveB-hu3p20W7!^j~}q4!qFYxv5JZu3x$4zU2?CtiC}6b7<&MWiQ{Aa1$Hn zmE5OM=NKBxgy&`OvIDb+FU)fu#$g*;#`ZF7nJ$}X8Z4)Y{4+GMC8>y^Wx6t(0jYz% z)a=Qatg{REXLYb;4S2+R9Uh+)tb+|V;1Sn;YS1N&;^U#;xz!plK*a+-eN<@#~(`(ond@iLM0 zi+(K5YltN;XN(tYFf_DGd6n`eW$@!ziE+jlGdxw(7%Q)vRd#_Na|Po9cs~BvE6~o_ zpTGB6rfY0mMH86GQbiM;X@{q$x!mEYnyz9EIqLY)?)l}mW=tACdkqGv8`bXdZVeAH zfy6J!*{Bk{`O03-ow_zWRnt|h^&@9)YxIC0_KEzWZ~a^VJaUh|-6kEtGu%3wz`YLR zi3)neRMeKKf&Qde7BKrFA6k&5Ex(-u%DGWI;8jRa)NH&9+$HDv%(rdj+&!S2yjp)P z<=i`CR%lN0cJX=+InoV#@5k1 zo9qHTXvdzR5?+8Xynbvpny7$Vg~z%;CiyvwHQd_cx(S7t^6~EFG0HuKN0j;nnF5tMQrP71c=N_?@CfLGlIsJUy5@jQt*A#SUD3%xqO4+2{ydball-^bvxbVYleEVSo%CmQg0 z@!sf77hdk83GpoC?B08uJo(;xb<#VZL$-*X-EZf1StcCzgayAMK7kppTz6pfGzA0}GmkT@Gtb@_4yjHi~Y6N6(l>75XNBFIjr;&&qpY=*9%U zxEH=8IcYbZUiW3LJ>nK}&Q5aL80#&Ih$&qekl(EX^1C&Sm45ZRB3Z%dSHIZ<^1C!3 zzdIZF9ZNK;;>_*?`qgh<13%VivvVFV#PT5a1P5Gn$mbA+Qi$C)I=n1<24Z7VWJ}Ko zXm46Okj3QjV+A}9^Lv}klCy4a!#p^uTt^eSRsJ(xX75~<8N6t>>9C7m1K7Ke3m>)H zzwD6DITxt&JASS6?I5rb(W~2|*!e^iKfgu;_x60T@w^{6lbeuD%YFy~hi9IQ2h%TK zi&qQAx_eX;ir4SB+P(h%y#me-{T{`Cp*4GgXsgK6(_AJzlVzcJ<}{gm(BYh9@iYxb zprD7Rc}i^t2RFA%-5$lxP0cQ!=idKAzm3XfPcO@o2K{nbs`dpU8+7pZseC~La@cst z?P4-gdRaE5eSyK-@;i|D8D3j{V*~Qz8z11+FTeEz@;fphKihwroNeuSY(Rdi2h{Jx zfc*Fny7xnqe*V24#)HKs_&x@0?DcCi@EGq#*NVMPnBQv*Yi!o-H8u&xUIUs- zWQUw4JZ09ae1OZtki%q|!NW>1T?@P9bNL{94W2WzR@cQ6?NbRG7QMQ?27It!6+dFn z+9`buzSwxVA0{NLt&RTqSiYwEy#`~4eHd{AuGepwuGmkIa^1((X0ZGoXkW6K(ahzn zTl+5x&-=m4xmEl&B8XBhOU}>hz?y1fBG>O!ns=LM!YjvP-K?W|VnCX#jde7S4M>yq zua4%C0conyU?qmxmoQoE@N!_nAnrzvkDK1 ziEDJs{SJ)wIy?{a>)(j@`StI&!w0%VNQtq@TnMjKG=#5rkYY;J<2Ig=Z57V7oIlwU zFn?CvlVA@&ZoRGL+Ax2vkxIm)hqb|DFLrzYyj8*@R-5?c^U_4}19G*UKZ$wfPjK>h zSt@!9)lM7&%lXyKgVlZ)0VV9bNtsxSiI!9oKOci$_gRt?SQXyw4S1ImP8Hsq2E2<6 zcyk-@UTDB$ABh}Qwq|{ZezUT$%ccY~xVOuK2Aby@WPv(kqRKA7m_w@g0W%#`RVw7n zVSWzTFFD)HA(3ah^B&ImYz{$}To!0C=HohFmJ=B3HTYF{7X+J^!HgcTe5^nnIJTJ# zEFWWojOo)2V|wqEIHr-6l=B;8Oz)OGHj7r3?zCB)<#w4)n9W%}26spn?$?k5zt^o} z(KhX3aHHr!ix|uGvzUP1WMH|E28oFaSxn?K&m_CxleTNe?TRJ*UZtP;_QisEzweTa z=6B>7#P32YJu6?A{9dKs_2l>25@tlQo1Ari2j1527xkHp8^P~;q)}VH`+K!j$+yJt zWX5gPx>DzdLB{mLDe~t#+KgR7Ho52tx~&~bZ@v)OLhY=QU?)9h`k=0VzD5Kk}5{1@3~UY2diE;cr&!Y;h) zW_BUA{d;n^N_X~&#;<8i4+_h5@NFcOMg*8+2bLTcEqmSzf=x+E3741(TSq zVQqD1e=_3u<#@J#L7t{{%<(cx-#7ZD7*J<3(I5IkN2wBWxi(qse-{X#xS**d|X4jZl1p^SQSn9 zL9K4AAd9DYS)b*%GeBTN{L4=Dk`8>JOV$$A`HrKmAK3!v6UYc!hfH6-q9y!L>4YjltL>jl zd-!58uGigG5HLc%^b)a30>i4~Yu~yu{u*O0mViUA)Huz5jq%9e)$94&>faGHC)k&s z|6P=-^t>YByG4Hxx8KJs1(~2jLtm|axv-lWJo1!qI>PcJtRZcW1s*XiQ6kq=vuoIX z@>6&5NBsUoy{<^ASEQGVz{oM7`YvTzz<%#jHK^Ybe(g>~uYU4-;xGJyYZ6##ZC)Ba z0QjjSjr~;aNLrc%g5?7)t2)H*nA&F#xF+iNC~r}_Cr$l#)rMQ$uiZYTOTKs~6#8RQ znUs{)=E)%`nZad}E^3za%@vY*((x2I>T*zhyM9*jSH;WA`X##Za<;8+O?Vuuo{&8d z!g8+Twf%Y{c&A&jqUbE$_5M07hviWC;e(cGUWk%y?K=1@1x9u)fVt1PS4>uy! zV&OJv=1IenAPG|*zq=ZKfR>2N(@&^LxA!pK*Q#GK-pCu8#oJh6yo)j(KMYkBc^727;~LW5cpD|!UWFI$ zAIwueD)zgSSErmu-X9gldtI}1|3(yi8q|0@wPC<`2gLc9MEBC?(!Ems%y_SF7Vq0D zjJI5@=F}K39CRyeJp1GN36b5B0prb8J|gxzmFtW*Q5bJ=vvfZqDn1Qryj{t7EK(aK zx|cpT-dBquaDKu3-e&Q*6VJulD^@&VsEWKxio97F`z10SKaGU(_}0?HBDh1j&Ul|F zjMqcD4|&m4AJllelkpCT^XyD|30#_yze?9;ydJ)NSB3E|h}G>i#=9)zZBpdjE*6&0 z$ave5@#d&JB-l3PI^#WG7_Y~;_}@jvr$LRkCmD}J>sh!k&3HX#mGKJW?bP7~ z-&0l$2J&A& z9@Ki{vfh4)#*cI{D7^T&^|*aB>-E?)|9G$-1Y(lW!p3d0+C5q7zoRxS^mh9K0&gJ< za!Y{p!~zSG*Mzn_dokE2y7h2TkI;RKx_^$_ear2EJpP7_CymkONJDl};TM4c`eeu^ zdyY)s60(?d7L-BVZpMJ={48p{02t;Ul+EoucF>?!8Rm4_)Opev=5xJa_f$!Q~lA7)}opH)WVmEZZ^C*HN_-XVB|#K`q=O5<(94inyX zuHq>T3{ZoOx+l(r_vOk=7%SfV@B`cK3VW6?oo|m8#8+$d=b&Z{lM@nnjf8vzK}Wuk zk!JaRNh7%`4ED+1#$Y_HT6Rt8<;FE^>(OakKm5TCraOH|DB);#=pRBb*s=!J8j`J6mUu{(c%K+}OMw2o8t~#pWi-CKM;16(2!V;g6;D6;%u`QqzGtZObMipUg{lsH zo+wjV`bt$$pB*loxfQ&kI+Hk=>BV9T_%V0w&DT%e8wUTL5KRmd;_o%h?)`v}tHMtY zbFOZY2|aC${%vY!dJ7wo4=6V9j(xSV4cq-`v943X6UCrEu8e!qIlF4z>AQtg6&(cj z1_ivQiJ;%=1s%~vAelyqh1OAR#}P6|##2~OOU-75)=6FdqjNJdpAyfdLiecDe@&Ta z0rkQ0Cm$9%>1Be!b!a<(ieZlU6BXj$@5O&ccJ`so9bBDl+qR#V>~%p&U|K#ZWeHjW zf?Y$eAyfw!Y8YBSs*(VbeeCCiQ;Q8NUkWtz>&jJI!Yygm@gBhQW0KT4&wd26%JRdK zBu6Kp5imsfV$o7vZi}S_&PAE7Md18Kzy&>43>~kJ_Tv5e8r~<`@%~t3S;>1xFWyUP zc<*V)`_~(IAMVBbjWxVCw&TqJ3~75TyvQ-ew&`(zc!&k_BYL0_{ znJ!fC8vLu;1>&y++~SW9#B+%^4**EG4!0qf9)WMWYv@T^Tesu0V*9;2F*hUSr8gGq z)DJ5|Io!*Rs1j9DrIWrC9G7evN0^+vzs_0xY>K^6-ODbjzL))Gk?iMQmNR~0G2YAG z6TprV4Jo!=GgUWse* zlDCeoc>G=_)l#_VdT59c4?{HFI8SAWBPNq-?x}NXGfVV%0{1=AAl_oefU|YbEa6GB z4&IS8PB&k~TYmF}RB-pG)K4nAn=cMXNVqes7~4Z-O6Pz&1AU!XnSpvdW&7S517UZ_ zdo3~07nKdPO-*sns*2LJaQ_AIG3|Oh2IHi>%KdfP%_(d5-QskuwfnTwuE+C=@9$H) zFD`4xG10Zw?o&>?9!Jza(5H4^Qr3>Z^~py595q%2Hhr1?)5xDD^tyQ`E#v@$F5@+Zc>Lq&Jux0QXe?e{jVAazV~>%r)~$@px)N2<5q|3zJ@ zSaF8l534^D9mK>@kB__tX1TMZbk<~gVMJrb>nK*Y610uVB=mY%8653VYt(vJma5vL zGP-OFL&=`hwlaCiGQ$glCPlv`Qu{sNYjoY&$}Dc)%4|{J{4Uj|N96FdSpeQ9S=~6< zp-X?0Z#%gK>dNzr{VL52W&+gB^Mu;^RonV|5!nKNRJo)6>zdX7U)48PA33XNqy9Gw z=G5PI2L(Nwf6X#EIz63XbwXUy7WAgD%+P~R zXiLes8;Ks=^;*efxZh@M2jd?1(*=z_bw@{^`qcezC#O51zJ7Zt)Y1Y1Vk9iFx7aBmuMbazAMuO`Qxcj~I9GLCGj<`rvWC>=?xnaVz(44p^U zJ{}flRkOrFr*9f`Ci@l9L4P(KfmMlW;J}AVs#x{A>EI(##ik={1<<>k+H5*b3dJmE zC6vYzsch3xV?iE_`%T9eRZunRzT7J{^34a=tj4U;Ok8Xvnlgg2%C1pnZ>fFQh>DbG zd7vT#=2f|>BT8EmyrjO4eOQyBfqfoV-4@H&RWFv`q;7*4$g}nJ^`eIe{TS%~5>7G@ z8?uy|4D?26T4kVN;d*KEItDuI4D`#Yry*Dqs<)-yCe@%r@VMyM5PWU5D?VAns^1~V zL*Zfwh7)7-E~hp_kdxCY3yvpJ*$@nBmAP>B!x}HX)hxJ8V&>HSbso$=l`P24uf~Gk z@A;^1tZ=a>o!4Q-NG74wL1nX`UJqcHc3bdo)#{Tm8&zY@z+Xe@Kyo$ATnyHn?tiSt zg8i!XlgWZC12xmZ*L#grH>KvQ?v=>vxdj=#X2Hit!aI}Q7HkuPRn~+Oca5Yh27_7= z;{X1e8VequL=4s}r0@59RW}Rv>#PF_m%DdfQPE|$1-~xEk?}NU!)nM~h|Mt4qeIU$yN1jTo%CfBOcpU_(l2{RhHIHjn)o9gk5Mn+v;p2;5 zKX?$X79p<_b@ZC6Ov-{Vs1+gpFTWAyuPri8$Ras)e+?(Uv-FE`ShY%21BZ8bKB^n5 zHbLm;CJxGOQx3zpW+iD8gO^0Zt7HZ|rgjnrT&8R>SaXc|cQqCqZec+m?mwsgMPSsp ztIh0G7Z|6-K_q}XWr^JFwTlA7G#$eoYfr|A5Maq0LH3KVC-{BeOmQS zVAO1L?^U0_M}+jT44iCy{H(ws9Jj#GTg&cf+g+-4Pj^lD&`bEQoh1HiCW${XNql{| z$6cr&f+tkcpCA--ezDf6fw_;I?Q+-QAIv5!v=-+a(Z>@ZLKz1Ci+xsod{s{ z@a-Y#izSR^QGUFJn~j-VAG<~`j`*R35x+zA&e+sU8UO%Ys++WZY%EoO<6$Mdb|pIS zTBdBVQL}sfY)x#O>(hw8p#DW{)ZA>iH>QJ><}t6A>Eg>g5I-VpaK=ZAuL21KOMm__ zS=}0qTN{rtG{D1(eE7fPG3~#qJeHq$8!z@CUX#3@YX+Udj5X&5Q)R}VlyK3E_jSHe zMNL`ygGrciPNIbwpY~>yW>seV0`>7{Zp(z1o9t%0#sh?;{?{5mr-=9QSZbUC7R2%bQsd=j^ zEctelst@nrDolxsSVc(O#{Z^ha?TSzgRxaJY8v3&JEt~L#(@io zDC2FIPL#bz7cX{{`I)TAIMV^UB>i)`d6fOSjD{29Cs*Fk{8k4KVynW8B`s&ckF50cI(5DgvU8z|F(N*5;{F;&e2frW zv|6fqC!T9=(L3?%KKO4m;RnrC$|T~M+wx94a|qyH3R5xSWM(1n8 zJ$>(OX3alU?!@!RqUL!1MG>uv=Qf_dTZ~9}o&)h>+N`;&Kx&`*2{X^^qauO_^l9;j z>^uKF-B>>(k$h`E-27P(4~08Ht<#_q(ZrxlP;+ZWBB*~$G&@1PQ5V=dzvpk`1CEbC z#Rr(TBWTUtaoujL4KMG4pAxU+f(Pnd@a^iAzv*S63r9kCu`&s>yvmzJ^^&RWjT;ES z8e~cm$fS-<4+?oun|eK$i|EUh`36@V(u8w>s&7vDX7%Z%sTY=AKu1_kP^LoI6(+2{ zZB{2*L0C0)CAJIw2>btdVY#KB3Sn1Ch+tU>TYNWem#jk%^(pKzFDw^RA?yQASPO%0 zQMHF?AuRJaxWw!)d0{d0R0z9Tg!#P4+WQ`VLqinYuP(i!;IfnTsF#)dtf`Qd$Fxb- z*Eh(@knBfRg0wTdII5TmSsy86y|+Qu`F+aD=zfN%7dObt9O_d)Yownh zS$>im^(dfr;$aH+X$sis$-%W`rw0wS>~Nw_Q=nbbEYA4#X;5}JCHbyt9_B{er$O1d zP-&V;dBF6Zr{4ufg7g-o+^5X!$!#_XgFp4evrkZmZ0JOX9lGz^f`Cc@o`FOs__$yR ztYR@w?X6gB5sMv-ELiSy7F@3reOOplQ@18}sFAN!JSsM$V#7pi2v)8W?2uq~otF@< z)}9%rO0>Bm;i*8O%gBhv&n9gY`vWzi-7eUrfkeZ%Rif?xOiR)B>;7OHRZTSfS?g8PCF+2^D!T7OpCAdao9HcKIRrz~@^#%jkU z!g7h=iTOJ2=ufmAQZlDd>Co|i(1;Q1gRaI>|#z zgw0#;e9y-oIc=%RLn;|xN4QqRj4%x;_2XEhec#mQRyn(7IzWi@^^oYY^e zofDT~zOMKb*RtBWfvmPzTv~~CK?2W`)ozt#K=2l!enM7TI;B?Ir`4d9 zXd7j%6S5lf+ZO4=*PL9C)mBR`v)Tb!X<@P!UoU=!Yn5XIS&grVZYA1ziFHPnSzKea zy@G9;QmZXwgU}|{4$4aWx&T9Zv#fST*DuIwYh)$9w&s}1gR;^Bl~{|P131UEO1=)8 zr0x~FRS~V+6pc8s5D^NM!(c=f*&@sEmDU$3_GOy{+dHLJJEnb3E2|xoICCYpj1q!0}W^p(m)*cnd-w`Ri_>={2St^bftZ>O`$k0_X*!%vb4q z5;jlj=b-2SzfP*MV3jbHad%YmoRVcYJPCmZ)M&^dZrs zBT)!BbUC3KwjtwOFt9FI-8Lg6CQ>hYRN2hh?-U$;$qQsL5;BZgsR_b-7?0CI2^pTCf(?QU%eCMm3lgui{h#bvcnyqr*|oPT!64146+;}U}T#Uu5qk4tz6BWky3HkOJpN{k*;&R4w>ei)}5 z?yOOU#u-VrKxHlsW+P@Pm$Qcv8Q%x0<*1*pmc`oP5n&k4XKRC_&-3Y|1hp}%Ow%}K zw_mlGY!zRx8DdmA^WtA!y}C=leTo~VG>_D#4q+6naHh9Wb1quO7$3#Ku5{p4| zr+OHBIt%A22)4&kwT^9ql>K;KY8q4MFoWkAIN5xONl4eikMPWm#dT{xu1|g(kp@%j z#~RTNsoh*esmpF(GAeJCXmj+fN_3i9(HLk|R@iNBQ?f7(Qe9A<3hIN>FsVAG zry<;Ajq1#;+lpI1Rkq>_`Zm!)c@KhT^4=&`T&nTaZN*jpqin@1`pKO^tT8Cgjb=rr zoNg%t&A_}ptL;+6S=ru2 zZ`#i%Q}XNMIZb+MdQEd$fk*9ngcWo7%zRdOD>*oLEY_zMR>awYn)Qe{vv(6$1rZ7f zsF7#l48xS|LQ9ce>aZft=5)nb9PhpMS%odBz0~a)tx;IPtW&fUH4iKNP_}o`yW9?L z0V`^rW~|S^%qEnDHHwru26wHFgs z#Fg^I^BKE6}i3o!N`M7+0 zL`RAr*KbN%%I5-qu2^u6y>FCfQt{lhJlC1NQ>EVy4GrFJjC2c26*c2XOB_elu$+R& zYl0Q}@yQ>09^-|YY#do!#IlfpYsPwc4FEq<;CW~r4BQ!*S&A~+tlKV4^JjqfNH&F*JJg$~3^kqQZ@@hQkU*zp9|ufdeZF1SK%K5MQxqn@8=-Wr~j zlx1l}GvHqzc)V#8?b77G!beHyr8GLRc2dHsh*i3un)t>=plBPw#-_`tiwAlXa@rTca0CY+Y@ad=BZxD=2isqS(yiJHR?-TW-d;Wad$L@{d_h^^G?|6Ei<^gOI?GnAsdFlqOMZ=2i+e0|; zrzr++hB>)Ozi5|Widap5X_*e)t7XakM-1|w3;w&U_%fz&hkPo%6NI4C7oSk~vrxSD zYk0NIV!wW1Rl2iQ#c`cp6cJg*v>%)CyrAG`Fut9_zh_0v13N9#7vmxNp`UreTcaQS zX7CCh#hU$XKgO0MgYQu6$9Rb}dd0+9b=#!8UfIWl$LlxF5QR@<&wUBg9POdIUvscE zYtHH~QYEBf^iX4bat~hzJ5~eZUhR;^dL3RJ47^h{c#)R9NMS@+Xvob0T)|6{(w zT+x?hJnU5mn-FY)kSFxLGx<8UTQKCp{^wrVs4Gt?A4^?up{iN2jx$ z_nmK!JyCuyYGVbvOx2$6sKS6RzFVg+y2^bEpCS=2)%(`oc#%pGaYmJv!hfq3gg`Fq zQ&Kri=7Mwje9C$~boKXYtQ$V%cH#Nhetqingvx%-{JpJnSvO-E@uowENVD+m5t(RfhiMTBMa_UkOHrGF^^U=4qd{%v*J-|8GUqoe|GgB})Ht6M zR-{IrjP6zIH2a;yqPsfW$CvrBP9Ikj-`<(~0Xa?!Hm3qMAsEl~`R>6SZ>M0$vA^?N zEzVhSFCaiCm1XHPhsuiqi*rc9*(9Q+n0IZyE^Hm~(C?gd-=v>%JsUpWSH?4Hu>{t{ zujti{cRj%hyYwgLi=(@rdxk6Gdcn-xGw?l7F&>KeJfiWiS(leIndI{XbI%;vy9&nK zQwL-2se^423^^9xQQo`Z_f^5)QMT#Z+eG@k=8)LS{uaH9T3c8#_t?C|9yGW0gznL> z>2$0)H#^O$xIQdfj>$#KRpz!Pu20s$@If7nxUPe36bw0zg>R@SqJ-LdWniQFX4|^^ zVu6S1&aY4DTMzT|mowrA&hhNto^fPxc3J##-EW_nKL;LTRdIGeejv_9gFniCC(e%6 zz=*Rt7;#nyTPGNDY^{j14QdBArafOjarV4$mgtLm*@NbBX0arYQdvKPRh`$dwM568 zeeRhK{i@<@uWX5b@qg|I;_OHb3?J0Nh_gBv?+YUb-=5k}EWIF{CHij8Ln@~#mgb4p zOf1O=+4y5L_OeS=EbWqSiKX=_bDG2w^FS30UUe{HsSdVZunsgM+w|Rp@%J*)Ew9l%;8*RYjY!p0n%)b|h?=6VuIsKjQ zvQ9_eA!&IHr=>{1B!E)UD_oXZ`hn%=*S2SX>Qvq1?T|nVb)IunhVznF%Q?OJ9c#FU zJteZ?@c9eC!ne2!^KO!&$9i*m~i8FFGg92vyoU0e{+7LYGuFv zdeSjQ|mGP`fV~+Ds%lD=(>7;H}}!}FqWgV)2BnE|6=&4 zX%5*UvDjPRDZy^k7;MrnT0Q=q(XUt=dB(6>X4w_yry?qgnpuvP>765IH_VZoo9z*M zGjdbOL>K92LCtupbA8iO-)WE_P3_>VbqmC$xy2Xo||4RhqU z#^!FtCUW+pU$lt*EoT0r=3&!2zl<3xl{e}fx%4GGN3zB-M{c=+=Sar7r5iN{r(>R9 znkIy^*TFnEuVEhKo%KzMKfYJ>Mx6&4LzZsT7+igm&x1Tuw3Pd3jbaZUj^4oYAoqoq za{a8Ga&lwr#oJHtJeB9y$8O+xg3sVsx>0?!?k1lnc(=k*?jxQ(Fi)($f#!){e2%9d z@eJu!)wW4L-u2-8uy|?`zk>zU=@XxmfEN>Oz<5?N)i5|f|4R0~*=HJ_GlOI4dY;cX zgRqpx66@^=0dM5_jQQA7uAjA2Ue7*a|7o&LpAL}&W2l^Hncg+^LL?v9=K5_&^Vz~1 zXgrCdL2r@WqH@Qf2Q*MZ-{9v1v|#p&d9h)iCL7`%9skFmoIV~qFt*fUHh z->Cj$ez26sC+7=u1n2WaH_*)$ z9c%F4mb72mC1r0E{o;406#GGY-pCo?Ekof;2!jLh-%kA*)%Wm>DLx@s7QXn`UU zikjJ`XenwlFh8r@*mfz_4)bae6LBG(D*f_(2xmIRGv9|C7wqI6H}*cn&I`~n*U$8( zyixWcx&O8`?6Y>tf5X!4h=S)v(XZ~j2Os6EG3Xww8ZUpYcCKNc#aYmZz87Y>${S^! z&i5hyJL+!6eb&W>eHNepTCPyup*}Z?|MK4?u-TY0?z4)x-lze(Rr{=U+Go8i`|b1> z8shq>V6zq1CvM>PKl$vA+2&@5>wf%xtG?rTvCc8C=y!0gUM>G_yxF9Pm)OEw&gU$4 zspPvf@_4z~zJs!+*oO8D5V?aer(2nH<$k|-DKd87DBPY)!Bj^J-9fRC%@XaV|nqr<8!P-?Kg6D+84XM3ynh!h1NoROY`CutR=W zD%g=bxi5WLMAF|C$~%?8JM`yQudWa83+qA&882xcxltmV&vXE0-@8oR>xbU4&B|gn znt{otGQu3$)%*P+*^KY5IrycrwSKJOVdsZsf^AjCNAddz3VQ`W9zTqfG|=3j z{^w+yg~4`ZJnU6R6PxW3-p&fVTcuwe-bKl>M0ou6V{Vsu4S3k)`B~CmtA1#CoZ5+jVSIRReAbk$JC&N4sZZxiJGd`v4ocJirAfHF|UuHU)=1)Hy21%o>6buj!^1zV=}QOTlWXd!=sS3)K9Kc8UH z?}ZN3j%ufF9E!0>qzscaJc6}cVfW%?}*wrsV(v{|3x1$FRfD*Jox4N5c2~t z?}uf&Hh#IBz|3yY^|~L{)qLeDn;A|W4EYV_bw4cq<|f^lBfXsHcRZ@<^i!h}@ri!a zq3iLM=^FhOsGJCWkS?ZWDooaSp{amv)b)I2Ew#ZHUU$PW9RWEp#?EqBoqh*J%k<0T zWX@%7Mep3!gYqL@>i8{B{OWX|y$)8V-`2!Ws9k>8GDZBnt#^nY<0!^0Tnqnsn*qsT zrUQ`wAR3p6p6#CvF{EW9_<;BC@jqdQZ%ALJyjPhW^4b-TtlW0jkXDMT{}>R}rUdI@ zWu^vDmn!~Lgf9X5W3_=gzV@x40#=Jd9vadQ4hQQIk>-UqCA4hDq5f6Mw<*8%oliae z$mWs2>p!YPVWTMOaq*uo1aR!6i}m@-)DHgI6_4NhcF9Vjk5ACz+iRXznd5Vv@E05Y zpNXcYVU{N1*^L1^l0K}6rSUG8kFJ#fgb;=p+8(zLpRcM-ca(J*q6d=?5coeSL+Adt zz3pwARd%PJ7s_YklvgAW6qYDcnu!pdMHBHx?|;)u6*0XDCn$aLYK$gE^a16)YGYLc z>@&amW!JpnP^U&!yBCNV@_&dnHedOj?|tH3ixv;vp?XM2`+&!}J@wRPp+BU{e2{{AMOu2LsP9t-^~q=6JtkDr18Rrk(02aBx^agLXgeME z?@+yv{Rb0ds6uwb9)qk>h3wxDWS838LysZCAGBNm3r!;7N0mE{S=8(pzUwe|Oir6? z65_qPZ{;o|15_yYzCc!gw#SP1tJ!8#>H|6f(w}Ab)H7$iB-)|y%x%DX=5%sF^+Chk z9xI@q7D?nb+2=-3FkJt#v!p_x!f;KpGgT6jqFlYn^b zr1BRiGmSks{^SFikM2!iD?ABC1|)1MfW#k)EZd#Yr{DAJGn=1Y@yz(sqVmxsqW~fG zqB5*rPLPL1;>#1N6}?CRcO=l|FB_y_TZb;lPC^&7r_3G1jvaYl!>@Wp*ur_2|mA zstyf(P#xgt7YB<7BXSzJ67sK1+{2z|n;HW5?W!oTzX910Ee_yrOM+Y0{wfGp%z7dr+AP=ZSZ{?}_hv&!WZK?$X83 z(0e3QFAHzMq)J%$WsW(@dL7CnuwLC(>xyi!wo2@ECGQxHRXY z=na2{Hy3`k z+c;2?=vC&z4@!DNSg(!&Xb3|E8r@uO7cvu+aiVuOmsykKa

    z!+mjykJW;Iho0AL zhU7)U1F?w#aCZTaEz(4;HZ=7qe|^y7-3+hEMUA6fn10Hsutrg{9z{!0d+EV!9Gd1n zf~LwYKl5A>pYYq59{<5}K^Uho=HX}Yd8gt(z5)_`3*JcV2HH^km~f~D3M??}5J)+{ z{hARXq?_NU7T+V{1#ZsIkHIKsq=KAhH2y8#;^Uks9CD(A$>QU)+Mb2(k$U_abtrVV zyELG=%~nYVWI0=5vk5nk(Yw_K#QH{FaK}5&@x|+w5EyUZjHV=frPe z2`|F1nH|8zmU6r-?xI=PY(%n*2noKqEZ8H`Gacd;vP?)8d=%|g=Eoxy!;3dY@d`PY zJ6P_A1(F5*h?`uNz2a9#b4>%y;Y1Uea+>}l6}g=28)(iI&HaLJmOwep-A>N@qe2T@ z0OxDY+y=Z+@xj)slyf{A?*i#T6Kh;Cj%c$K@uCS4gAK94`oTMFNGfyvcumYwF6Ux5 zXZ6FcNk3jyAa1cuuAiTK^11GS^MilA$L5~04#ilpcFIUInlQ(Ub5^w*r}U;q}ii@~)F#P zwwZrThu3ZR>OSnXP4lbYpKCwODZB<$z?4nJs;tMC|`q+WRbSb$>_mRVb?a;ElFyTcCx=;e|a{dGa^fOw0aN^8>AM|DuW# z{MHGM9_ZlrM8L48`8|hWvpSf!i~o8ee8flU4KIJC&2&f3I^6{-y-FO_1l=P&Xcqfj_@R3h4BwjWs-`_d9Pg&P;Z^AlPK5I};$bVkf&u-gd;N|j z{j4>&8NMy^3k1Txa+daP&_q|`N{#ypxhTNU#lwgtgB5ayPT>TqEiB3zeZ;*8cqC|k zNIb%;3+~Mdp~KeF~gof~euYv_2A%s={@uO48tLxln}9tjHEb+||P|11ECKL}&YO#t5MC4v6$ zlyOq|K`;cH9$?xQ8$y_#2binwe^b;z^`VbRs@x7H2KPW9a`a7K#CUsIi~YK+;=fnz zpnCrMbnTvX0e(`sjyofdJ~1*Q_l@niiN&x#Zd9KG-1mG6J&d!BtyAl@VZPYt0G zhhh|9ZCQYSuS@kPz5jyq7+F{m^bPz`!g|M*Ay+)U{(%mKNC|5|fov2gNl;j!{+%(f zNez=21Fw~2x%J^o)bjoZf^XBrsv_{VSBKUv=h^wcHpu}indIoVUK#Aw+_Wkoxq>Lz zB)$=rj1r*yG3>+Y#XT`_N)p&4?9hyTRM@N43v54g=&}ViVY9p^_B!>d3V9y;!q3!24aQ6KvsK3H%4Z zl6ee&&sPSSI>|iNK~4%qsQwxioZq_?2Y7QyxBqL*684Z@7 zLL5kA33#L#@oaW5y!gSF$O4)>96!G!1aH{U^gExNrr*^kAlxitIx!Gm_l{wz7cn-o15?-22fz>QLBhv-sIcnd@LDH*jh4 zUw#)mU#2%5d|b1m-=g^mdaP~5J~qB2#F!u>PZjLW1{gM|!!!H*i2BscUl(O_!p>rk z`HMBDd$TCVR?eevfr6jNzFybv)tP&?-KB>3f^4XyKc;jQ2^QdDDt>*U@ZVF27?map z^qCF{5+TM>jTR%R9ix!CW$*z9)F*#DfOg9rFrU240zU#??3-lwtv+hzE=-a)GA{ zC4*u5I+%%DH69bop@lVpKfW5N#ZNit_VyvISD^8(`17wa5Dg7`aH8Gp7DwQCs5VPCcD6 zy4=A8ifQu=2b-J0;QbmB^*>PG+f+WfcI~rIY<`wzB{~#(E;OSCSp4%(QrkoH{u6C) z)4K7k0UUslK<4A=dFLbe^;Y1Mv!7gT}5)L1>UtW#lG>FwtB%BFRvp$`4#ObM8pFJQbp^qO6 zjKO5`6kb;VoM8e&wu_x4Yo*lY(@v-wsKDu~L zO!zWJlGrMBu?Vei3V#*T>U*cgg2@)&1h2-~mTWy&2FwGEa; zvO}xXhuGO08KmCTIPBO#Lm%SwK#I{OeQXXH77l!NBz>sY$@UcirkA8u@+Rtn7Wyy- zNb8b5J6q_ZaOvp77lF6Yhd0pR!#F^PEiLr14>uhEM||uJl_vi%-;y>YeOOaT(KFTl z(bU<|XLU<`elgQ$Qwx3UNNk_vnJqrcTli-g!Yge|`fO~W5BHMb5O1R`_4%btpA9Ya z(f1&Qv{!$bdk&@fx+$)gC!9@5pY=(f*j(+Ozi|4jYpKsC6Ao)V@iy8*pSV72OsoGq z@mb%(KP#f(L#B_Nl{dv(T%V(VHi(a%bEqo6Romc1;=_J)sSJE-R%~dp^%o`^Fn;!H z!W~cgM3YzZS(W(g7A|%hX%TPwPO?tCZIlwHl_8_%T75p6_zRklcR4<;vtJjwZ1Dax z$0OU)FD~wxB8O@|cAo{#F?}aPg=}4jd<_pWf%ClMLtEV(A7ODW;0N6*_*j=t`HIBZ zY(35c$xaHuF4^L}QDcmD+3nAqKASaI+sI~OAlqzhviik2dq+DPl=x_K+BYA4A5*_L zyY(^mesR{lynjAB|E7OF8+3x)uWV!Pd?(toD{Rcnp~R;WB`o-C=_mTR= znWuw>esPX#58p4&!~lFYXd-UI$Im-E2bg!xRL6DK*#7S1S$uj{e=20F4F+%)C|BSl zszvdAZjP_PX_T!7r%N__F$USr3%XY~L`V@khQD2W9&)m=)-Qeq7|r(>JZ~kzXK~AM zYSS|~Yd)+Ia-EQu^q(ddMwNRx;#4I7W3;J^3X*dzo8)sqwoRfXxV1xa7 ztkjQe;N04B9_7t75;$X;6L*CxvskqaelywncJ<{8W=%G!R%`3W9G}^LTHr)>0ocXt zR|37b){p2A;e^&l`Zqntu(K!hneXP&|G$u}i_g!w*xBl0$H~?;79uRh*?I+!ox?Vr zsd^l%Q^tK=msq|_C!R}=oe>n036OC`{lEG0Gw6V2(!<-%jY^Rz)0i&G9~V zj#cyNik)2=GsudJ=d1PU;$ymjbE0Kj`*m(!^**snHgD^T)v}o=oznL4?D;MT=gtI) z#KYe%eSX&2fHix0!cnvOc8L|BtOX=&aIWP!#sA^-i8quld18E&0XC?^Z)1Ox08~y0w>HViF7EuY)4zl=GUyTYS|k3Ty*!_PM*&Uy*mlPGUS(mO7oK4F8;+(6FgD(2^ z{wsWzYoJx|>9WCl-B@6p?k^#AnK|&(Fbj0xb6j)CrO?{^?$=ntQK${geqKMylW-!3 zYCb-$Pq_WHn-97WeZ1AoJ6$&Le6$Ve#9I>|O-~_VgUuRqYr5y1E)4nOb&F3ItU z4eswdoUIS&aMau*vx|OEzsm-O4*g5jW6rvCmEc^doLnGVd@Stm1PwofKlHYn<9AJMhn9t;g$9|E9gz6KR~nXQ>;fO?xpDgE;f^ zPHbzfhhm~7b3n4|CUK5_8R@jLn(+wft%>Q^>xk^0u>TH)}VtzUhv^!d&L^xMYu zQS~L+I33YDB1Nhm3%b1yW0!Htd)}i7p=q4{Sn9+5&z;J1(|)_@S&p6in{0f;CrQ(m zt--Ou{nhjo60#lDVtqDUH}Uz=)Cbvi31>9v7im@7!0)S=-wsCQ^;=H$vS|qo3E2*6 z^RZ35XlRmcf56b*P33-_i|wbP)Fj(c=bw#wUcWJ^;Px(&i2M5yhl4)n(?+XlkEAV5 zNa(X)9oM(e$E-;V98{n#PB@X0LF1MEjn5V1FGeBTl4MAyPZy#!AR9Q8MKWm7Ld{yQ zN1XV1m8eHseO{T=WBhEBf97=ir)v%V35T;uv9l!^C7RqUlsctiLy8?jbBV^m^2Dbp zc0Q7D&DQhNhaj48-k$iN&k?<|FrtY7GEMW&PuB2h+8=z2)2A(;g9Gq6lIB3{#{1-R z(sh$QKjru=)o-ep4PtY(f8Of!;e8U`izUV0)i{S@moRSjXwfo0B2_#0o^&{y<)jfD zrPMUP{jjsaUOg|_s2s8QTP@p9rw+ui~R386?K3@?hsWg{Vj|KbO z;j4wi_Xt^>vj?s_Ly7w*^%uzD3Hg|Oo&FOe*h>2J=#nrhUlAoIdAOKb&NX`_w?7%Wm&WIJ;HuZz3;j=p}jhG)+8L_V2@uMe&^hroEBM-F@mqj4o7eqt7oV zmKRknZi(}7lI`{CKdyWvZAkUXgHp8(-WOm)VSYQRz5lv|qwQj?4L;=fToBIOgyU?T zs1}8J4cSJuP#xn}{5r{?orX|htWmk5#bd8<%x=0}H`%%nPb3n2j~{WcFY#%5e)`Q$ zpUvVko^ae*K#(Jm4uw54nj_rjTgql}eLxh51=A@8CR3tOtypK(N;EFgH1L*&qHdG&CVRwNwzJ0%63S!+sc-1Lpt-pt`@TCF@eNFRKDH3|{F+OzFB`5TiB(50sN7JXhw z+}HE@u_AS;A3)YhS8z%wHFMRkCZcJ&Nmr%MXLb3s^ch?^#cUAyn-m>VrHaWm-vT{A zt8wl~&B(S;wlhAFU;uU@{-x{%9Gu0%*%&+fIlfDuFH2OGtM5Ez<0EwSt>!bF=%Nq5 zKw&tsxl63jY$}7pn%4&BzXloN*B#^2F(VQvR`W3%n5`*`6mYt1VDJVU>(W(%vtD*@ zqmLoex9z$;S)8`E_I!p1&_~0D6DwK;M0CEs4zmrwh@(t7Vxw)x>C*4Sp<9v31VAFAe;NUz zN1))WQl6FOF52pFzA^&C{rMKvEe_ZR*UeRSZpqv>>~JEfF7-q6iX0; z`z0ISHEKAKU03(eZ0j~QBd&Lqx|J>>8U3|qq1bFq%X!CMYXFCRQiW_?HqenlXfxT6 zkL2uDEui{fH~RB4)g))P*ii8o*}ySAQN~&pfdYJZ2gCGH)#5t9{hyI^0iPqvh7+5s z`NVM&_eR8a8=OdQ`fdd_!_L=P0(>%j4l0ZP zO@YTG4|BVvUwE!q=yUySwA1%d<&B1<@|DgK3v_MIVB+{KEMey$Hm*PJe!~cw`)X)n zv(W_0X#yiI>u9b{cobgFqq?>j$!QJ?)P9_u44b zZ)$Ymm0^YD!MwJxJeIr;-Y&!$74&Yj}7xJH(3YB#&Y z`K*xB?iFp0^g28*ygE7OJN|xjiI2oE=_ff%u?rLA4 zY+70k-JuzuU3BXnWTdn6is@d3cS=3cy$X*u&+pUn74CY)cKuPJj-4s_&VLnQ7Tv0n z=S%mGyzXJz!cQmILCq(>r|1YWd5#o<$UCh2+oWKQkU2}1ij)mrF1q|4F{C?ho3j$@!jZ( z$M1dnwx`~?`ROO0P0#aEFLiKqqQXYWFUka0qO^F*D7|jVD7|*dD7|LND2+@RrA5`0 z-jjS-HDE377)mFU87>b$xb~5RGBotTB^P6D=ve zs8GC#wa2Dk!X9adZE;|NC+yd>!}d0Fyk9#h?AJ{Sd-0^O@0}F(>kI79Xg0<37OLLg zW^>@bAb&IV*49qnpP!sx6|>&=YeXe^?+t|<{K^GhQ-euN5kzI*kW5lDD<(FXZxDB7rBYs&YT4a32OPI4f>BLb1pgl;3I1v8(pqi zOy9)bL`knRhiIk`_)QreJ0p7d-8sIC3DH)5=X;-c*Xz@(y}E|)11_sN^h-j%MgLyG zg;Jfoyl)-kU9ocA+LaH5zWn)vB&Rjh;lD%uim~D*{P~8NN>9LUa1s2+l~D?VIsR`B z_9{j_3+04(EmR4qCCVh~yVQ;j%bytk4x^#3Iw&RZnd@IrUvO-YQ3n?t?tAK~%?}s> z5Paf#x$?0J0>F&G!%YM*%9$Pn9x?*@L{tbB;e-U2VP%e%a}{WdQQP6qQ#ra-1-xmG z1KzF73G?_{SL$y}3uS%S*_=y1Zjgx9)ymMlTbabZpQnaw7;0~njwj@~NhpsDkq+`- zsRK6+uh?Fkdh`C7=ZG}_EFq1rO=8pGj*i%$4VI%Lsv`E{3eLaNOYAcuScfc{h1ma4 zAvVal*c@@7nD%twhxB<3Qr})7^$suf$151~?rP5X-wKHU(J!b!?ndd?H1M{e=6&_F zNOXMlc?yyI=c%#QIq~xRc`uUp^57r#)prf?sxMt$ksei0$5S2+?-7LX8((#Qu@l{& z^!w!ZL^spP-0TTp=nsey<6AeE+7;ZTnq&!oi$CDAR3dwh|BY%(_*%;E(v_Bo#6Mi< z4}yYA&Xr(vtWYkDrVF2XA=9^m%o9~VDKu$*ywF@*hr0q#wDYM+b6`nxZ5ZwfXjS-i zmUsBtCQJ%nn}bQ=YfCUGd>uqPvAll`?X4)Ryot`3*J*j~87 zb_3!DzYT~RVrD?xFjD%#1uZy2Q?*ERUPriYKIPnaG?-Sm(%<~kpirMYGFzG80a z8~J{ROgQ*d$C-%x-G%tu;n8F0H>W-ke_iiT{k$Op{tzK=J`jOw{4h zH{piGi`z#vbrQJT&VWzfyOl}QcPTSSpM2)sW83ahWoU@`G*q_7($Mq0Jm~CLAieg{ z2OoReBD)zG4(P=nC`^bd&wz3P{#$0DbbvgjXZ7A=*os7bOWFp2d13 zJ)e|hfknuBQ z#>mErSsQ&mmJ|cWr=~IIW5?sfx>=|U83c#>2vROvY^~;FOJP4a_C+}T;0&VA^^7x! z-L7YSu4mbPvRRj|5*)vOiydlN1TZ+g_Lj)-1ic}33$y7#WoC8`MB)q|B^}ds5IOYXT?wF; z4fqUnEO-M^ypUek3s&R}G}PmT6jR!LNEw_WBhR44andv*{Qs z_C|$q`4(1ee4Rmcw76}y`EsBlaRBpZu#Sqb3}9LfH7fj$%;f{Oyv7B`{-?fN6lM3 zUPruG#}8ynO)=>AJv>rG&V3pX;HGtjYWTRFw~Lp6kK%cLIjsDUkP#PCFT5t2Qvu6A zrU%V+CS0){?|gL3)Y6V6Yi#2xp*xp=tqa!lo>j8 z5BYe9kj~sXf4}PVxA%*58NERVC0xMG2L^<;1s}NIsGM-a8x#HDLO0=tH#G*t4R44H zh#THa84x$T5i=lecpy3;Zg>#Oig|#xY9|pKA67s77T(~YF{GR`l+3#}Ou)AG z@D5Kz)!zZITRu3i;ob7Vc@1{U2j?D}!y&Gvw|j6NkGeW$=vc?B1O%&*6L!lNB|LAV zCf@EviBCu(=v%U0ly|2__fykKKRo96l*fxLVAPv_4@jTMTwtFvZE1S-LsD%SBgcth)tcp zrZ45V!-*YcaLjrggQW_QMism9`tjb9sY(|e)bCEURVud%$0AQ8M5`JnevNzlnuQI* zGn_~&;JA2=t&v{w)eIFlv7`ITbW5`RY#W?G_}D$mF(Gp{*M`qC!9H>Sy|^WgS(9-~ zZo}t##_>;h+UjFIoo*Yjo|53>Q;ZL@s)uNPN5 zf<>%)gj9!4KSS@aJu>0zxV!yPio#BeB_UE#M4OQ+;Df@xMI@m14Jq3-x{Z=5_KuVQ}6CtS&Pq=PT1cerH7S{PmCq6kpH6xT?#? z1mGNnysD-z&nCbh)gSvAG&KdSH|u`T=i2m|tvYAUr`6vQp}1HBY`r?}mBWt6Hd{rDK1)?Utk*~9=`k{V zpI1Jk%sC}b+G*RSJYW46s6RhD$Xk88RNth`9^|ax`1_#pgz|ayLEg)PnI2Z&uD%Rd ze6>O49$oJdZG4~S3u*(8b}OkL(?N}0TS+@-SNoN%KYrkOG3QzI%kyRV+u95#&{zM_ ztp9vnuajPkw(78jlDcI{T#;d*NBKIX2s%@|fC2RoV#qBlSJ6EO6^Q z74flN{D}Wy$=Sr4XC`~q2VM86?sc|0KM4&Tk(ttD$xFVB0z2jH z{u-OkSKcd{$bv4=q-?XEZBXC+>U%;6X5+)DZJ$cxrRvZg`Krzq*aW`FOWl{yMK&_? zJsJN|VmsQg8~L0vxTnd|34Tiy&#N`Q4hVKa*JpKoR@dh=x6YSMcgk1mB>#CadR{me z1s_(w<;trCJE43|ZO}r`gSv)CM_$>PuQfZOa!j!2)px((ht(HdEN-jHeZsp{cvUcK zJ1v^jPfLFG9A;C>BjOQYqOnoe<^xJ>WF_;*Y6k~~{6Y_No9}yCjb{aeE@PTlT(3H9 z8x@lqMRZ(s=G`qS*C}sN{gO(4DjB(E3vZ!HWIw4o<6&6kWtH$;uUOb8TF5|O)^_la zcU*Z?nR;D@1~TJ&a)<@l9hviNbn9r*zF+)b5I%M2<$dAhZA6_Ix>I`Oef>3Zk?GI4 z9upttwVkTdkDrZTy*MSgiM1<|0eR8SzJ5cOA*oyEe#4*aeV^LFd2r2R@5C=LiC8Js zVbc%n6%XI%u$#U@#PfciH*_OG zX{nAo?YAk9uX*^vN#Q>@`sPXDkFNOUN#Q@Z=7B-+asB992gMH7%dF2b=Tn{aE$~L~ zvnLoH>#x$qehIi#^>Ro+vpZQIbRyez>{*RN00XDLPij_sH4afr%5h?AHIB*B4~|iq z!I@55n`gAZrC5l5U0RR7Z0d!N( zYZE~fer?j4*xzl`v=z-og`g0@Vxgh9yO|_glAUyRA}xYzL1~K+6-`mFq8ESQB}XqF zJo$rORPa*Tlf5eV4=7ZS9)#M91rhvax=C2AfycL*?|a|8H}htYS|~+CW3`#B7GNvl zAi~O+o|`iy^8~ZF<4RGsq{kd7rdufi!MpW4zv~IZ|4p!+EDuox{PS1W(g3|dhtlT^ zkDC+Bl3|*{|Ky3~_sUO2O!18Z@-`EOu=3MwGz#MF@b@Kv77qBrhQu=sm$lOzTow+k z->(lK(>MFd4$GEwZHyAUmmrxwS6$|<;;#fxSqox%E2 zVfpq?oa8nlXk;s+E($}m_B4GDppDvqlkRk}n;zn;dTOzd@R>efvskm7%`(xW+n#-V z`N^uU>JF%i<*8nJfu*%`r^BW%so%%WIr9=OPIA$wd&+z}vO2S?RVJJ5r#vX*?CVb- zfFBV>G+nMfW#nM#cxpwSS~m(KLD2vVYm zzf+ZV*VMSaL1gG(y~%3j`uuF=(#6Dl<;Ebz!Bs;e>c#514g;HIU|33qw9SHg#W|G7 Kg!=~o0RR7Cnq+MN literal 28357 zcmaHSbx<5Z6K@CvLa+b{t|35x!!3A%gy8Njhf8ojLh#`35ZvAM(8Ial?sCC7{D6bU z_kFM4pRcxRdbVn(r?PAs-h^ zKYFK6jojvvbk2O0k_rV$?naLl{~H+5FU{kkW7cp$m)`p=TOC=*8?Bw+XQf_I^22|< zajt&dvbuB41MK61i@8$))v>Jf5IICVRfwH>uXoP^a>F?d5uNv zE|-(=Z7Zi`W#Ss6z@iS>8!U4dygHSE;)}q9Ut-rV#Ff zy<8+pqHN_&(v)0ABX`Ay*IJVNG%Bdrw*jUWB(Ed?uAb_)wppZO_D@74Dp1FH^UBfe zV_~+7$>*q)8R`J+w$Gh%?^Go+1aHL<76Iu15q;gw8PIvaLSOj*avM-w-2tnvMWqKFaxcU{tYyT zl8VT7$#a~I$*Bak;C$LHttZgCGVFMfP6Qf7-y;US-9Zp)r?Zr#F zRF3rZM)5^()azhE?f7!5q;)r?s5$S(=KiuGhHm}b&Rp9T!|!8UEgLKb{8&!BN))j1 zvHsq7ier;fJK{}`o{8RJqfiiDdm6Uop|;S`t#T{@=6n9!$42PbZ64zz+y*O#1=3sI z(DxS(a)87ll+pnT&65@yVzzPk-<(~+sN_?DNn7@ z;WvCmuBmtyJAD~(KQjb{41F-jR-p!OOWc_3WM*te;aVwer!5aG$BLY>&aTa%Qn8H^MEyh=WOs3x0EV=C1K0o5r5c3n-kA2Y48#wo4MyBdHbS`B z2~ha+sEc&P_WlwmK(G*wi4rN7F*?b$;=^Ro)iVC($h$RLQoc#E1@UNoL(>I!YA&wC zwRI{$wZFlF6Q%m$_3LY@3%=9K!`{a+F@_w6r~3{32}wofw`b{I6N(fIaNkhjc>z8j zg`EWi9@qDpEcK8=={ev+dJuQBSPjV^4a^-8oAPb>99)=6T=Gtqa%1Um3pu_l`zyKQ z&JlUIEtUTkTsp_w`Mm1jFDB^=J)%&SoLF8_#$!ITH_qPf*}_D3Qk$+jnS?uNtPJSg zCFcTe=V3EOm1DWEei28#+T)3CIP|4sV_}f5LWkI{m%n~iXVmBZ8+U89^^X)yyntmp zJ!gt))FzL#<=qCUXD`~VD7(F4l~GV{TfPnEBA*e1?ePOVA@4FK3zMdbHEf(RpEym> z63`7ft(|^!v-zqiH{&ERoc?LNJCm$c%R;wrvE@U43grY=;%3*w;R#r4x|e-KukD}! zgl;)`^7>IHcb6~FV}h8}u=1#g&LFCz!P$0@@vsc`5R<2asm~=8ZZc1j@%N#A7{=VA( zh|V{`oa-;{mj-~GdXaAbb9x z4PW00e)E|~yM8l0_pye>CIW@+_#XVk7ym3kW8@ZWln93FF; z-kEp=r~R<$s}MWHBRf>THbdfVq0jP~jjh-LWfN23B6YFJy6W)>N9t`@1FW{G% z%-*u2o{7>HMBbS4;*8%nS4Hz@q~>}(HF{!!6YfZHmbIvSyfD}`?@S_efX?o?RA6dn z{==GYLYJTgg9@RTBftEbis%Jy?>QnE9gDLuxU~mgNM3_!&MQZ_G{cHe?PcC4O+0W!7(` zBL!sj=78)O%QHg~kmdVCJxO433#D?aB0YvdghsX&=4PFhGHbZ|_f+vCb~2)FN%I4$ z@H=0mPzL^mUyvppS^Imrbtc)Fn{snvcZ{V^1J*J-y;K$Er0?D_M4O|n& z1-L(Me@f^S{+xb4*KL^fop0@i-}gN@%x^zEcMUIyyzY=YYJvN^T` zmJ(BAc3*S~jRUEkj$GXJ45G8@;F2VF6q`-(m|+y$R-EWgHHjy9^5-U^fWBIBa?t}a zg3mjApEF^x|Df6nlfc3%thV5OTHNzV6!OoTY;VBGj5aCkBQsd)ko8c>m6KS zIM^O-PM;%b(<-6vq*ZpP%W?trRX~a#m!`hSLt=2I3|1$gXl4Tmu2T)uFcGwI^fTiZ zW$`8rR^aw!|BqhZaVf@Eq9|+Ds#2c}KA)AVcJJfIO5or9ZMKN6{$8+DUF`gp!iDxT z;gf)Xz+^ zL){X~0D2QJ*8>LLU_D5!=H@4uX7)$A#|_qFY&laW~d)c9o)Ip<(_`GP3KL|e>DdrV&< z+OZ-TzC~V+kN4&})~lI8@aY@d!?i&B%DV}wrO6H&{p0Fy`2*B z2FHbW(cxuYB@Xl#y~E2H z8B7Xu6e7ar#p5H)lZ!g67Q~1Bs|#vB@nqQaA7zbjMnX;$I;~RjxlSvOzVtKC(D$TD z-#7F<&c@i_+Y)g?$8LhDPuT3m*4Jojk{8|F?&joIqRDoch7^ByYY8xH5(%kqXZ#-q z)&vYihrQGLqQ}Fu2Bv)XEnP2C&-lpuvoR!p$b~u-zJJtPv2Kp(lRDX3W_X(9zRMMw zSQ^2ybHm22sDI%L-WDuHIgMv^dy`)Mg$?i%R+m-;iS7oHT^9 zK;4`kEB0blVpW;PY0+(`Xmb);hj}|n^8}!;%P5j98gv>%sPS2k8f8LlRKTCyc@L$E z+?vqI;3G}Zo=KHHuwU1nD)BuC1suZyt}ORf(cMhZ_OssA@N_>)4zNdip|^{Ld8=_n zOtPrn?dR5>2ScYaD_oX{!FHvW-jom0`6-xu&8lA-nVAtCam^8-tb}&a&PmK2K$`{( zl5YP;(vq`Z&M*k8U#9=SLHpo|o=O)cnv40)S0H;I&?7(no?(9UFwe_=!Me-BXW&-N>As*OePEhAx-CY4){LMPTgH?1>R=2~3<8O1$)jE0KFA;e!M=0<0XFL+!s2KADwB6C9F zXG3063d|i#lw`h$KLkz>)vV`eNRQ8mFz;$8*_84NSUo?!C z{?{XDjm{k}NJ({ygo`?45SeG&+Tl(R5^kir0!+dQ+9^N3kZ(?W3m33}ygKuJsMY$D zRWByykh0$v&A^dRC`p)Q9a>x*?hsOJ)ApNpr(|2Yee>)5vUh^(_H-Box}46f9>dQf z+#mT+149R%?Xma>cpNO9sVivJ@`Yu#Rw{@=+;@om4q-2M!dLPgn`JQ0u$!Y9epL7E zNxl*>EwdS&`Qon%U`h3>e9JndI#@l0!t9;q@?C)c4Y6Son#OpwbZcgR!zS-AW_I&v z747bhoA362WNF-wb%Dk@S1H76Xja%v)55xFcg+pjldpw23NlB@c2+)UN6N9V=;0CM zzym2i6q(-?_q&SvN0(PlSXjn`{`Y$5d&GDd%)(Fa6_0X>KbhIu?d3>Os@)4nDv!8*rX|TKS!5w;F;uKinY`q%`(>CI zxD~)1$Vk_c)N3~dVFN+Cv#rEQsX6clL?muN*`6;9m!N@hF|`T5F@5FO5Xp~j&bX-L z#3IWQusQ%?88-=hce6TrcgewyqJ$2Q(U`Fz{@^j zQNsl}&KQ#HP*ydiYj^tg7u*yh6xIK6n1t4R$%NKED+1zdhU07thm^pn+&rDd-IBeJ zF)7Qyz<-*amyy=`x$BzAZAhBiLJ`(u&K~v?Yj!!srKsY0zckyJmZZ%3_)I>?-RK9? zzjC6~iR-{0Nf^8QKHovap*#GR&m?4r-{U(7_Iw8pSWlCze>WdlZt{Q;Jw7|*=gaIW zafC}oD9Py(FpiPUz;R0oWk_8I+a&?q?o(KQ!Nn~o6+Gt_u~+|+d$P$9Qgv9Iwb@&M z?9GV9p!H(3TA~LcEKDp8%aybZZPBm zWL)jUdmlTiTd$qc36hqaK0M92aCr)S8asU~uhF^5ugp^r83*=q>RklPXBLeM`G(C+ z^HAhshUAFDp|G~{aA@XPkmg66d6Mx+GamkA=Bb!2V9L$4?wI{$(8u@uPh zS`B_C*>%z$^J1?hulMvr&z8=&^+>xpJDMJF@rE5uzk~YgQtB%~0^sp`C{f zrg}qxN`ESJ_GMe_-u^rwPX?d6uwN6X4QD?Trv0n%D$=_!EP`g_m_IA|ciC5wI^Bvi^z=7w$OW znCkUb$WfhP&NPp{NN)f-_-7s&-}ZuKb9L?KjW6mNC5|VQcVpbP+ouV|u>r*~;_M8C zDLKiYhS(nc#$9LT%SFSF13Pp#h~#i~x1C*CnfkCePG&qnU<^uoPj8ta@NvrCW38{V zX*is%HS@3O*mNSQJ*SnNCPH!mVCm*7P`)(|E#(dRU8rsK_+=Qyi{ zpwxSetFg29erZ*QuGGYlk2@=D)4BI2#BnD=RkbJNStq8ejsAR(jVoXc}SsxD5NJKH31l0-fU@P5cc1jp;oI&_@c5|Qw<}cqWBC-ZR z{L3`|xlZHxsPBYc?G3GsGB|s~cL5rL%ht}kv)lsW41dNp#`n*Csi zU5oEu$kzEL!baonjfM+7%<7zDD*NL^Vj+wFLo%DJ^9j{oYB6R3BU;@%k-|`7P$$yc zLMs+ikn0@%YV8C z-!35IkAv6{WEsl;a%6z2s=67Bp#{DX;1pMr`ht~|JD#!AwGX(KL5V_z<0_?rp2;rw zmW-(&itDS0bbpF#Oa%>o3_L99;UWlU6t+_G{?1?mEn>IN-eXG070<<%Z~Y8CjNiiW zrocodBp5`X@f6@*Os$^Kd;1%iw^gG_L5O3%Y3^xB%>oL%Gcl=HfHArYxcZQq3USMi z{KA?^EI+AMxojNyC~wTZ9BgEcU5OC;z|P;VgZL5sH{~~l7){V$-%u{2P3N!Nv)(-b z^-rB>g>5On!x)3oK5|I9@E}XP5j+(c4oN%To21xnT0 zz^@}Naz!EcvRB;Oovk{;ifAry&4}4bUdZ-GpZs13`Dpb2%P< z`({$Y^r^u)3odFvS{>sm_K{f>$F`$$*b`#_u_p@gNg_#y-`%>q^mwN9AMBBBuU3?L zbVhoVYio-#ZrjU1+6{KU_AJEAkjA)(#~Jth<7NXXclwgeJugCV+;A7RPP7w19l+A= z-?*orMUo>EiQ^5uLV2Ff?+zWVHHUF8i_ar;=@)#A+vA#EU+ndg4kHIE6}Sv@ND=o^ z1JCLh)HabMn6SK>xQ%Grkk;Ji2{mIEaf-I~USMi$j6pumkVeLwxc5W_f z$Xz**T9dTGnxY)=ZX}Cld9s%jYmO@Q`z-U#UnNCbD7iYTvY()wgpuBIo_R{E^*sAHP+_XplX96_EE_ zZv9>C$03f>B$w@}jX5(BRQsP)s>gB`ofspc*R@MTHx{Kq_6gTE#o}E2Wp&(Zm0y42 zPshj4kz!=Sg&Yg?KIDOw*0XiCvh4g-h!}!*S)X};7}FVDa-TMj+;^zOITq^Bi5v|) ze`}kDJJ`hDZ!ln(<-jh`TiXj6Jm z^Q`RRF-7bnyHt*|I)e@j`sRe)wIi;AesM?>fg16>%4v&-cz3p!KEP1WEGt4a(;pdk zPuBnLiV2>4-b?kYB4~rjA+-|WG$kzjV&34tGXB-r^Kr)y<QSEqBfTzQ#t-v$ zK2dJDp?F$;L*(bht(O6;!|X4OJ>F9wF~{rV9J($6LA5V~=Qm-RUq)ZT>c-|i{CITf zo&_J*nmS>e!a*HcGiRc+(KnMrbwfUKVrxN0-O$Um`RE&VzO%LUn41x@wS;3&mkH9T zYi-XA>ixm{^8V$RhGofo9&fAVPhKRXZa-{qP#}piU)J&{QjYlfplMI?lyW^#<)8pY z#WVjXH0Pct7n?WMK7cV2G{i6n(gKFymoEvt6zd&ar*#@Xu39Wo_fAT+zG0G^iK~_7 z^H2D_c1dNjgJ()RhI5iuP$2b+&s&K=9?z3kNUU&}mzdCQB3pJ#M~`g}?={9~D0!~Cu+0xa1>y*A?f&RC=T&ZqA@W64`%%EZ2sI_{jjaT<7BT-UZv zdsB_Y>7&yX)z1#i}+PkdV z5?QVL$tnE{C;hKmVgklh))~(u^1=kLUd`%i%imXRTlM1HfT2Xu`tLc4#l)?W_Ejp^ zu~sy^YO|)mm#p#{5gC?c{_5b^ra@C?2Tp~()eo&2&R$Qn>#1C#^Q?QlqQ&drtQpnQ z*w=h?Mp_eE)XVof6=L+>W3n|4pn~%xh(KlG-hx~2o`p%LtV@+ZvirF;@FNG)!N=qI zY`Ld(srv1Od48emU7CcZ&?gD%wPf=Z`gr=mD&=;8CMb7qM4ds4HH8VNOmWaI7*Ja{ zo)Lt9mjfiQ__EgGdG4oFI+tO{P{8qR+J0Ld@|laX+`Bal?vptF&M#Z+IPnb}odwrK z?|A%OHV+nUD8A&^wsG2x^JR*HU+vO`ApOPFy&u9~?k3KRR+>Xc5+Sl#0bQ~cV_*ET zIsF`?0&0Ay2uc3Qwc}hG@(!%#GRw~QB6IMmr>me6e+*D<2ET$`o|=D8H_n|XWxD$* z9{L-9IexTmc30|pv6SVpbS3a-RpgJmhpX+)IQvg`|D%S(dbG#0pG$r^&F=D_MHf5V z+sWkmlDpVy4*wn&4PYZH+bq!T?nzqeKx%V9F<@OcM;zVz_G_u5B_GGPqO_f_{(Wr! zYD}#;7;>FCn-hlHB7cO(?kzIrOcxpHt63>Z%I(T)QRsn{+dxa3H@}?NGdagslJup@ zQ(ey0+IF<3@Ywg0{Y@Vq9~7YIOB^D@XSJ`;Uw4NN?7(ko@^k3TQ3gLStRzRSJOIc~ zV=LGmyNpsyOy7r@lXt7k3S7X@=f{j0zN`x8s4f4o(R=a&EooZE=;~24rqVrn>L%>DCPX=kEb#s9eDiaygqOe)K zcX({b&b!%goB4mQI>UWJsGhUKvXIs9mzxv$dJ=^cu|j%AIp{l7A4)HO`USl=Wd(eiDZfrs`Ha+O!?i7qq?Xx6@z?L^I&r>iFLKL#tyuB9@r2o76? z5-JUvnB;Rr-K4V~o_=chXK$@-**y!nCm#V(^HP=aXa+`mxU=uwgc1jAxq9!rcU)6eG$EKA)Q2agn{(7;^BJVREYw=R=$Qm-OTlG)S{T3Jvnn~bN^lx zzcnYK;6WGr@WJBiz=mJjQRBuh9?{5L&WvJo7lqQG_#6zaaNL*7=(dKhk%ne*vfDf* zx-LPE7slgvFwLFrG3@}|=Q))}VoZ~1o@d2c?@gSv?cw8WY3nAD6-t!R!A5WV(Es#w zGyOJ@S7^|G8&T#!WVc;G$5fzvpfRG&NkKh}y(LDx!nnOF5xf4DgIy=h=dkjFxbc~B zg9Yq1#|Gf}<@j5Z`d)=+>AY#p-iuA!PT8^y%|HmvO}z$om)9+c`C$5Ch@sy9n`}_^ zacRaBXQcGr_A{b!0UF3FohnQRAJ%RR@5yIF2a8Jza%$J7SrY~lOAVGwQa0j#O5pet z)02+efH6$IjDAehz0lSEtE4Da{-|L*(^5XZqfSgxoQbolp!51GVHa~T9mlWwwqDk= zZFszrMGs%VCL^Qh`&rD$^!BAfuHJQ8@jDV!MLtoGrt#>Q7SR_+oaIq_kFvRTL!M0u z_D%36Gu+7W_UxjR{z)n%MqZS6)4yrTXx-h(@(Vedky^y!DO=wY6TWfLI24#lWY2Np z>}DIt?m_+0JX^hKAlD*6Sng=wVYv6V<>s?ydPnfaVoLpaIc(?7`A}T~Uc<0W+(j{e z8#)+=Aj8w^F2{LovTSpcb87vSkhL@(N+$HDT$;vrYP=P8-8&--yt0S@gqTz@H%FhU zV$6v;zuA4aHuJk}6Z*u5xu?purtXr()-~1qIh{uGMO91|Y7(*P|LA4Ks^i;tQJr_* zol6||D)=ajzWyUS+v_Ao{TGR)dY^RKoou&jJBI;5wA8J~p7J-mowfL2q2*g;vT7l9 zc58F{R#Jhw@-b}}3l0s72TsgTQhueC`l3gcq}$7CLAUtQIY?wPBVcSu`#4nvWlDlI4+6R6! znob^D`eu|m2)QaexCL;MR|6+=zYss4`$Q5 z!FJQOy%38s)AST#aryP>chfmtzf-S0c-H@!>3S&jL3n(y2{^|?zX@@6DiE`~%HMm{ z8+9n~W&#C^(*`fAhpprRa8!VwA=Z-|KHwEEmes_NkxvnzP6=IbU*5)KeEbDowO?JS zOB0#M^C@0Ex9JPEu|Lykg0>D>LTbhLB^{HEr7oOkNw4eI36P4ZPS|gI0Y9a`C71H- z*lK+EnCo77OG;fymgP9r#3y^|R5al-qLJEJ>UHvXydM2PAa064WfAUF>^#W?R%}e&#yQ&gBWq=RZD5fhC>!<~>2)BNjU|{}(mdgVWzX z2(Aq8{(E5W8<8EiGm(BZv7ffKrdl<_7%DOSKc-rp z4}4?yA;!B5J=d=-=DnW51lN)_G7X3io26fJ2ZzG=nA(>6L6%WgF0XvtKTV$5%kXr) zXic)haCK?<(&Vpb32|v~p`KkvS{9t4r~SxDtaXlCQYuBp-;Jw+VV4XK6uw33O}beU zS`lU}leZ{|%fPeGkH{SB5%f`4vu@d)d{r z{_Pd+Ur97Y%dcB)#7aQ6vyM5EYk#d81t)K>b2alQ<7cD8$C+!Ha017F9cQbSR}y7t z<|+JhVd!!KPY83We zTKLmDNyElPz;ox>}d+&`6bp6Q0Wr~OF; zIwS$VoDt=MYV+X0hjL)!cpaG$<3(l#M2jtE zlv!GXDmLkxhAAt^d!u4RiWzZWAKymoUj9LM|p?h1=w*B$?c!(cAVqJ2ery*^Em79V9$l`@zrS8-e6 zwcmH=9r0<~KxbnDZ~M`Yyv8u}*WNv!E;PJ#Ff*jDc3EA28ZjmTv zlO&a^xat&9N3q5i>I8>>i!OCiP|BS@X&-WjdSjN5YYz7CxGFZc7jD=@7o>Jm$?9vZ zvy_~)f8JUej07>8K<>7@>QHF~T<&HYd*5K&WOLTX)8DA|$zWtoyJ(+LL zy#y;5vtndV9{4^~)5FaF)jphS2`4@3yEGlyuk-H;Z}<8@-2VF?r>1K+9`~6v>M7x-+ z`%X@U?O%egf5fj!*McB{jO+4rP2V?e~A&F1+f?<^Xvt_0O|(_zqBD+x;cY6)r_-U z{Hv0%KbF|njPM}vOI^&IR+nP#uim@?`8tdswHcSbI$hxBjT#zpF>A>+Byh2174!9z zgwvNFYxuBCTF5o%fqw`}(xq0(HW1#;h0PKimsHF-e!FVZHF&W7_C0I%x}kAtn!x@h zLam{Y;CSqr$UVtL#6bEkKR0-c2(hdEc-hS(&6CWPyOC1$%2lzQE zVX7!9#a9)V(EzK|{%q%d=*omJ-nL)WT^=+DVemQ$H5~3q&;MSVtIv#6UVnoAXspPb z!ckG_{xs;CRNjapD!Ur}YaG8cn6qj2wT*Vb%YXaEGKst4lLP|#KC-%IX0=o zKAqZ5aS*NQ_wz`d=J$@X{rt1ZHhCVMI&q8j^S>|Lj5ZoG%lZ2su3YD<`B@;d2~6B< zdI-zoZ+%rX6gsbLb5c+yog%s%4&^03vQT4F3Q)XL$y@jMT)429BHBU+4kmS*IjmI$KuQ6;?}6KqhwIMKD$MATY- z9*)5D&(_KwL@OKNJR^o5-9uPfgC70QtS3e_|u*a6`?NXR0ZtFg+XY#w`SBdRloku^5sO!uGW@t*N&l}59Q_ULo=uj+B# zOCckquOhy7jEB{CGEG1vLLGdZc?m5^ik8`W)U*8A-L&6ZV)$$U(6zuwv?KLZmFYY|lht+XR9K%-GeuxuziE+YYt0JY=BO0ByK-$bRSNrmD({$)jHI0c#4Z zk#9zg;B~jD(Hm&gQ)$R)_|TeYWTw5VttiOnTV4gjY!3p^NpfOoDY0mm6%xw#+l+dY z#E{sbT22)^qIU;JIzzb)#Ctfw>sajTjeQar*})Z>q)?Ah&q<48J62UTx8*Su^{fDs zn2|RYS!IP~QreN>+U$dpK>^aD4C__%wf`8e4hxdcTf1|j_8zKIE-E~)mqq5&y3`8S z`EYOyuOeMpObJV{BHt19vr0+rBu+JU&@8*}y5zX;1;<3m{C5T8QG4GdLogA7f88;U>Im@Fm z#3*fO)jMeFmn~J4*u>%_nz#7Lf)a2H~B9Ti#)=#+rx6p7p2B)lM)^*a?E$ow|KKVm;r08SI!? zuEfkuE!i$9#&H^BOQ~1BAWA4+ZG^q{+U2~)%udjieKh_)hGfStvqemS-M1vF1s$Sq zh(Y+dz*CI!&~tIiJ3n?C=^BJj*zFmuGa#4f66@sJIYv95l6h?U_9=eipV@B&vH?Nq zNkv#Z+a&9iRTqO9!OdIjQ?N#Y_HD%a16B@j&xMg)Bc0IC^~Qy($&lSA$^(R4=pdy_ zf_rKHcrSZwvNEa;O=ySz%$gB?F+eab*s-ww=rP?er)@}G^A$2e#N@7>W3>-kzV+(2 zdL-N%&ptp%<=s-AZKctU79!^KtY^)# zE9fa{zJyh!s{+aanA_}JH{wn~BrXSYCl_CNG2UI?_!OXt*-_p|G)xlgRutrANseCL z`Ei|vKRF{QN`gW2iWrXEkUiQoD|^e~>I&^y`GjZp9q&Ge8xw^Cfu;ZZ)aI}*4o6NV z7ImeNxCo^_Zoj5Kd6H4WsC}Bi_I|Gda(DSA;g6B_x(P1A*KISvPN*YF})( z4uE?0-W&VQp$W{bIygfFu)rS04gyA=+U)|P)lrk#_;|>LL#iq06D2M+iUha_(H3s> z92RK*8x{<|YbSsd1Uop|?ptAq-W6^~ixX1sHP&$vX&$NtHT@ZL)pQ?+lukL0y=*}e z7bQwNagH4laHY>_$huNcD>G#0^4R_Z@_d29y@F@5Vvi~##eIL7(^;>2wu?LiB4S5R z$$O5CO`dYB`uni2ZWrAovUCitt)?{7UE@L-*-{l)NrWw+7~;sepPT?)LD|+byztSE zzzpy?S;uM8S~r1-$&F|Wu!1)9Usso^Kl~(JlYx)A!CFt{0Vb^@7BfT0Bh}yBYr)Gj z`g@I;3{LI^=;+mgBu<(bblGucmb4R}EnhVm9q!RMxodug?jIr+0{5IOYCp!RHjiVw zt8?vgG$kXC1i0tjmZwc?-dXwpx3B8TT`9N*_D^~J zcqfOUp{N0XD->|oexx(shHe#FQzV&Ut`o=|s_*fH)9(ckU{lZZgcS-dO#cejP8ZPz zh_DM-h=?`T@-V0WXOO%KU~}1)R8CI~kVXE(u51Jw`&sK+fBpCHyl0RIuF*THF0j*S?H0pS@RG12Fzu)|iz!2ut$qcgiGY$KcVbH~U^pq3ILdvO1j_+|8H0s0N<+w;3Xwd?Qe6Uf4uuP8~*Uizw z+;!*)mJ^jm*burMeT&>ieaB;F@-6WD7x{p(zz9>`2PmRaljX)5N_1;)yWye3@X|5c zc_L=rR_z%Q2*zxjgD+2YJ0{y{4mvuaf*Wxj`1sEumL3k|<-0tk{Hu#GJ zsKHelgE5^{GStxh@RTc@hdsWt47-iNjtJ1$u$Qlc)ds)OL#$Kw*}3Q9N_UJ1Q-|zb zrR_#D&AvzFbrHcNJ*QG>86vqf%jmqbbo|wi%reBqFW&}3(~IQ@B&=tDHfDW~+jj5n zSY19dX)^A@d)3F0Yq#jHe{?~a8rBG#z>F9R)2y07EZLC$h@@5LbEYasuw1C;eB<$aW2=WlZSJdHMHZNSo5u7~`Pr zuUbo*q_cG6Zx?yDyJi(k=yc7uPu}4@wzVO)iygh}d=RLo`~BQ9;LhD3SMPiG`CF6DJ!Y4u@KNL;unSSjK%5k@_GDUp2kJ$0)7|I@iEL&wFq*# z|5l*mE$0GHs9l_PrkH(J8XYj$1~+`I?m6O?rH3jw`tl*51%D{aaZRErh$pIudng2& zO`>-M?%2|Z1u!O|%k*IAey~FI(~&Q6*EBy^z8Y7~;Y=XD-bb!A<~GaKw?&Gf%h2q{ zSfX0mA?%A*>G~yP!}Zy164s#|ulIToI2RiXQD6nG)6GLqs-4#K!b4tk1a97Q^`G+( zHC0oY(aUJg>`C1bzXsazK*D*r@KE-kYE@37n&GOjSf}XH2HLorcJ=L!7h>|zGy4lj zt`#(=h%mjL?8gyR(vPI2T8X>2QcGvlG?2dNhl=$j{QlesRkhF9`|1}^A^j%x9{ zK*^WLROvG_2~?tpj3GV%wtLi`uf*HY_a?fK_(FTpO1x~$%(@aX>C|Why&yf6(;2y{ zcON0HkI~kWpL;fpv$3xmqi&Ihg2*~Y4xH`%jXzdZJ_6vc*}O#RdiTKJDJnSor_bel zQn<%aBhzs8^KW^>FcM+!z?j-;95XvxGBcR@o?7Wi-&7(f$i7MUk@vkOEZh1_qTmHQ6i1XNVB*Qd1AfX5Y>0O=ffxDc6 zFTi#PQsLJw&-btxkV08Vqx5FPF>1d zBO{d#<6!0Z`r4w2{)ZXJLyb=&m*GmNBsKhDbMcu0XOG3ck4Y5_nz`&k$}@4JGx-Mo zbmdGHe@f@&xTab~efkwj7;Bk79|1x*3a2_GI^}2#TnXavfXdyAuXS>$K_0x<3{{ra zrxeu=*kC-)D`)n`z@W7k&mYpG1}Wc?@B24m zPG;$qIj}Af8J8R*79lo5?(_iHzyG4j)rx9^=Ho}gN+MZ3&R%z+*UO4a&0#B0RS9OfMre&@#o0Ur7txZM_m4l=)O zAi3z7??y>UnmF2zw1U_Fz(HW8v&Qq~X`?(S=F?&l%Z-p2fY2_5OSJcC4R3U6wnTP= zicd?+7qvgt8JAn4wMNcnk#fn$gxI`APuI%%v1B|RVbt67MGhc6wWI8JXKMTN4Er9c9k-7uE=-{H zy**6N$nZUZROW$3=DAINmVpzS+L3wYZK_=vw4i$VG;KyhZLx=kI)Fg{)=HnY+8>Qy zU$d?SNiITroGBq_f%C$^>OL+5XdH++USX4f&^fa@U{bD%bql2)iN)om6a5nTx zc`drtPVkqSVyZlluQr+*lGGznrH`@YC{HTp0fF&HI#hs|`?|XN#(}Vaqx-O6^`CW|#jd z`q+HMx4!R@Clyz-gE2oK44Cg5j5)t@>CIjr?oDPtl$dkwqiPU6(>(kF7qFBFcVoOx zXvjX1U}%0^`Q^&Y6Dv1AdhfP7^{ec?BgRNDCqUx0$|Ojz{ct4i7YR1JK6xohB!D{- zNb$cKq+q5(3iel|8Yw=}K*FS0l=?tIcW2?IY~=$J58S_EwS@TI1`04oh%?G0D15E@ zceV>#)eyF2+f`9&S}#5@!W(Lm*Yjv z%j0JQLt&&e%yO(s@6Udn={E{uoD9Fx6D}N7wc|pd_j1|TN|!^exgafaJ^G%_`X3XPwDqZ5 z0z#XwOEeuR&(!d$^aji8T&k-}(6(c3ga|YGe3c~(Ya>b@ee!)zfq*8R)Ga!oO~RR{ z(!{4Ly(&@Z#pjBQIHmRKh(<#QH)w(Xh&9{<{$e2+2TD>emvgPTKqLMpI4bIyNRlaB zgnw|2QxJEuudM)*G7Vz2A%jo(J5V0)MtCM0HI8;+PU}vUHL9BRs9LJpOAltTYnuBA znhv|bkFmot7E{Fqn2*noK2yatu>HbINII;bC5{y+fX9La-S~VscBR++&ho(_2Q4vy zcSO4gi<|tp@?tjzbAF3N6FfE=IX^p(hfB`ysQ8U`;n6G3R!bZBjfx)|oO1R#CE#0=Z8vZu+&_MHY z8aiwXb2;}rn(_VoC^fp@k??5A*N)L1yl9td)I>V@=STRSv4ox_7_n4> zAs^XbZ_mDCb4(^&kZ9&Q%+VSEFYrsc8%>W#U(+49-xr|6HrbK=Le!z!7xe1a4eQpg z8|L-%^Afg3Kja{JIpJse0k6|9$CF8}^wZDm;`b z96Y9`u!L`qGEiq93-1ewH}65|GMs6BquSiFpyRsCxuX`_PsFw@c?%y3Tl68foxZVH(B3g~ZD*GN15hdZ3f9AQF! zJn;aX$+F|Nck3cI9EcbX9B;S}>pC;s5j6$FsU#V1#DxqbmL&P$-Tl-z38nU!4BG|{ zaDP-xFJSSR@e7IlcIgz%hDb`-)SK<;q%$)9yfW`ngoFKw6>C%-8Tq&B5De$y9Ri-n zmZTwuyI1`?PJ2QPSK+jIqTA1D`xH6i62f(}cw_c8V|Q=6bL6*^YCuUPr(NJiK?%v# zJxIO=ervsxPpKyYFA| zf%m-ksrLq@{rkGiEPJ(wR;Q5q@7-co#N3)J3u1nbawHip5OrsVNMpk&he4BEC6taS zhvoNGXNJ^)hYh54%6{L|Eyu`6eAhL0W`JSk1Z^Kd!fxoUFGdXs-Ls?%sEhnBeU)7C`7mn$c!udq(c)hF#ciFy}Fx{@Xfa4DL+h2tDFBiZ){}g?@{oXd`=6mgY)y$8KQpWI{!lHvUfZ z7;pDKux6#6YizqSonipbN18==3<$U`b*D4|LH}MB1sl0f^*f$b6en)q5IO{4BoMCS zzkieZ99BfF*U&$eU>}imQD)aUt1?q;mUY%98Y*gW-koGyE8%yG7dnunMlFt12=YRg zNHd&(7#D~{HMa2oKPH?>Ax+91PqPhC`ik(sYy+VLKJ(OPryl-qOE>|gXCaEMhQrQt zmvFYW!1?~f2b|r)+0p{%k0ZO03lg1KaO`iQtj=ydHel8RjR@s#((D%Zr9Iv0I`XOH zLr&PS^=v{@>7RuEWxKsPk%G@9$z^>0Jn#>|GBfoD2Ns5-s#0zD0G)1}J;`o6Ri2R% zE~ObGx+%yO>>7kg|9(H=@UAI$JR3Fr0E#m~S)U(Fxai~Kn(>ru(1U3H;pU*mZauQ` z5SqkY?Of%8t$X-9mGt51=m80Lds=DYS|7Ui@UhoDxa&G4CYuwZIC1u{K&0?a2<3Y9 zou{#2vgyNyW&^-o={u8b7sThFWV@qRwyyqMEKV(V2=}CzoK501`A6h~j&Bz3B6L!Q zj2m-_YE>LaI6Kw!tm0`cSVMr(Ygm`s9zw%ObwGg+5CX&W5Cq?OL1kQMh#U8EdJ} z#}W?lfDRj5=)*is+NwNDe8yVn!&9g+BHY{iNiZ zEk3JT=!5@BTatg)rQJ}IKJ320Ay#>fq)oj2Qo><3g@0DJ&}WYNk4XFHPS>!!F~ z27=Poq|fT4Pi!rZAA186ec0=?(dRQspK;-!&sYn63}>zSbK<+Pg@4A>m$WVEv$TbO z;`$u@v%aN1|0%KwdrS1O`MSy0bCM1As{dLcO(uQZT9T+%#qw0$lk{28LLYswyAyA` zIJHeeK}J=TWuN{;;)74wOPf9tu@>j1#OJg~VuKS2DRKz^V>QvH5K54Ro&PGwC${%= z518*y_-EAVn0U-hHh^c+C(umYLcu8!l`-YTy0tKUxEre5Q+yy`>eH#}!>2~?Xu;>Z z6Q7O3UD}e*w5ln9Tp#c!4B53-JnUBRESOJb6H@& zuZrzu9HYS8=y76uGY+Sb z*?zRYK0SOMPtrEX*2Cu!hvStMd(jWwd-!bAJV0Og>~(WUB;La!khOazaL(u>Q<_Fx z3OGH8#~hzox?wKh^vGsJ;WH|P0*-a*l&?&j&2F5%RH-bR(KQ^(Dg~S#+4xol`p|b$ zdn%(5@p{w`&C4>`7(=AkT*moT$LE4R18IDmPa~1Se`LF;59k_B>{8}q=-{l@r%49I z+4G9Q`E1lLR1V5#o8GY>6o>PmL2>qLA{`WG?#03RoY1qZLHS%9g3kp_T!ZpCq=SY* zaTW~0XNNo6Xd9zP6sT=v^K;eyA?B)49VQj{^vnm}o(2%(XG2-G+JOfS>tumXqFNQ- z<<^oKoJQGdaC&6BCAA z>s+MHH8}fP&N~Z}n&8~5xtDWa2sPRIza?913Jp&eO&_5Ow`{iu9G|uSqiBO3#E&Og z;4@DLQEO9-Ha*8!g78Y{v)}D^_^yW}TMwU~bFs6^#ZDj;^$9kK*WLfoeV?4lnKY^C zOf~kXV$-gh?zgRv+1RdQ*`rDq_(sFQr_4LHuj0Bc-u!&c8K2oAo&yKF)fx}F>y!-# zE_Hn3*+PuBtu4pguS9|&M)&Dss%`l2VPepbZNnc|`b1J?Rs33Vw7gGjjO(K!-bS`4 zL+pEa2%Nn`;P9+rP}x|&2F2Ml1kS1wm)zN4 z6V8@|#8_CW2a^TadYHwzB95QKE$ z%lk&J&&475jJM?D=eG_2v=|3H^P7*Ii)B8Iv2*_Uc4NWY;8c0;wb1XB`&?Wv(I&VM z*FE}Zixb+|Bl)#4D$%1#;P5jE2j7BoM>_OUvuKrZJ{mCN__?Fy_}T0BRR?A3_zknB zSD8;@6LiOh`}J+9q-cx&I2&~syFqggI8FKhCqb9kStUHKC339|fRn&ECbH}CZDvG*yk z^&M`{=jNRreUh01pPKPwU3yCR%qfr4p1#%q&Ytr4u`WF&IP1&fw5P8%fWx~hgU0o~ z@;EiJJtcf*4Z-Ka5PbHQ_t!l#Sp%}IE6)ekrKbevk_OtKcDp!)K3j&+XU7owoM@>J z^B{>igt0yQ3%RlshEC&{;~l0wse99z>bu-rwNDQU%+@+e5dGRA8Yt7(&mocBOAqI) zn@8K);8;tXU6Ejz6L;$Q&uH)5n|f5mL5K6SoX@&NlUUaJ)bGVMsjtO>hEgp~ViWpG zv`*~A_Wp73V#eW_nc1MNK6CX2*Ms7;9Y1!qVe`QTUV!SPvaRDDCGLCM&Idn~XmZcQ zc_Qyr)6{e?^X}BgWIGZk9DV6_ee5u|lPKHD_9Fo!ezRm6~MpdokwGV=ZK} zZ6UJl(hVlRL<66ud31sfO1R~?zPNi)a!HJbvVY>|qoNIvZGPHlxxE-9yAn1gA=?hg zHr7Hm<32|?;a$*#1D(1#K0fb@`XJk;r?;EN z!bUevM-}9};|m#WV^o?HiEt`@ER|-11!?_}TD3TjCq4|%0~)8sr|F*Q$A{qaOKDw$ z&l%Nu&H|YxK0odFY>}-^w%A;@fz7>x*x(nOY>WjmsYy0}M(phtG0U<&msELowA@~RV`K32f)1D%A>Fj%v zu}WndY<4ziJMY*!jT$!s&M#PxwC)KAXgRWqh0&e$(l5T0wp;$>wl+Z2kU(1CP@!W!srpVgu&ixhXKB65)RjAG0-lo^$W>iG8gN zyiegXrv;z)C4I2%^DX&&uV|A@pV=+=JeBzDR(YuB`zc@BD@tI6~*h`MJQLswJOi5+B9__h)8<*c`P= zT{^|TN_>d7CCY7Z?3-qYgT?X<@7_W%t{3IFzL4hLH>=-f<#Xx2o_ICShN}Db{#1w0 zPTgyoYh~ml;4?-}eDrFCQrQNt2(b7W&r$8;pXDbZI;o7KSL=e#OVw|) za0;^ZAm($`$;8J!U+8eP%6hMl`0PnI?*1ppVf`uf+H7beMvu0XEz;9xV}*}TOdsgg z*)827h0iV%KdX{#&elD$*1Gg7I-a?XjE5_Oy7kl}(S%Ln024?Q)vqn`E=^ zP}wTkRu3rK4)JI!n;uVxWU?J@A=@7&pRzve9Zz zb=uz&XIYXBob@enOtvEf$aYk;N$_EOx6$XGBpWf^)&?fqnE_<;K5Z+T`E(E)m~3kY z&_`WE!Uou|jotiS>~`J2jK)o6Fpq_h_oIubL2o;l$>E z(}Q?>pr`pzkfq86oDTiGqUAe$Gud?EygV^P#=BIX&7|E)J*otVwbB)wE|eO(*;ts} zp&ct?YqsuLv;1dPIe#-7ME)j4MlfBPY<5l_o69&~n3@fzpidc5L>q8=1e>2)CRA?H zVVLO?o69V0{J=-IKdo>+5o}tWt@C{bRVwrOOWBt`4f^!>$7nX-SeH)eKhIQSgB}6x z^GxvJ+X1`OiSbq|8-2km;B;ilE5qVkZ0R542cMlTu48+T`qn*^;Am^vDh>=0oae6r z4)Znh0lzv@rDlBwiJcE5qRb)dbY6vCRSJB1n05}F4+nbHuh|v!Nj<9KJ;?@h)l{QT)j8E( zl{ll%sPgL69{B}ek3N6s^f~JEQFC8?j;T*rf4UUvQ9nr$i#aO5A(LY7W*ltL7AL*h z9=^Go?`kyBoIX7^u(=nzZB-_|NqP*T)GeF;wo$&5Yku-m=<0qz44BaYc1ybBrw$(v z`)v4C6Cm;X3q8zEc1ti%^Fej+cuh1t-XOBXZ|p}B{ZffCW5CkKo?!xsw#sF>IgH|H z_UF|rjxX)207;)k)VKigA#zpwmf!O)5 zie0gqbb))+;c3zIvPAu&4!M3_7W~d$ft1Sv44Nj(Co}z4fs~S`iHx_W_MGNCwKvhU zIUu5U+tcJM!Dc6ZR|C7>*_wFiris)s0rRozXZ&jci@uGrFdm4RDpfWERz)j4x?x^F zulwuN)AWn}t8_n};>==V5Lt{SlH{_?z@k;IwOzd5!yMVQd{lmKifiJ<$C4ipdlWC- zzO88bIE&hM!|G`E!)xL5QiBhi!fEc3~|tynKw=9)D4w zr}sjhv7Yfgvr~Gx>avLDb1Sl-5$zG9!ecIfR+)J@$Mf@ij(0-+S*w^way-9Qf#=t% z5EHT+Yi7jjwl!DBmHEuGw+n603va7RXnNf@NN02pyaY2Xtp-hO9nX5{6_e>+!ZY1V zc=!|DOL(+-ekI-MLq4Z`Sz6keIztSbP};8=Ff+efne*^_7QOL)6?)lK`AJCzqtARW z-aOM0Wb(~Y79tj$ynFX!YJ{N`^gOIpi?s9E&ZhA z;AzFg@`vB__1hkM&*bBeJ~a{_U!*STfcHDeQ(_ETB5o6<#ZyM7GWnEhBO{*_ z09ZeJY*8QV@!-8H88k6W5V9d<8(;Wu5o5HPxclL|zFuWaEWuW%^w?$tusPa? z^=A9EA=T5nHq>IOLw2keR;=mmQyn(#N$^D#_U|N{>vgH105-3ih!zWmKjM$xzj@o8 zs_Ti62t&KH>Uh2-RtyYBdy@VQSB3q?tHNG)>m59tb576K@R?TN`9H3J!w_5 z77szGW`8gQrJ6m<5R_{6QKQno$!BIOIPO#K^%;XwfX<%IynZ3zfuimacCu@3+ zNb|oXq|IyIu>t}?q}Qk;rvA(a|2~+Vjzkw?^IMpn2x9XPqQe=WPeEr9tV5=62l)>~ zDIcQ8g^aa5SCryt1gXaFd0X=LYf>+}<$^U2FJaBgjGh8=6Fwc*&j^}ZjK$3(L6EnF zh%^13jfDN{!Z0KM*b}Pul|A0R=kA&uhgFK zwLagetC5ipB@2fO{lmiGl2OCUfZ0|kcg#k`r+&!v?I6ETq|YQ;Sga3!t=CtDuT}c0@U=EaeC~`H zZzJ24mC&)|bz=S}lKr*G4Dy$BufwG;wij-&-H^D!Z$sjSm>C3@w-%GkVWbR#s{v)W zVU&JS*WkZ$q*@8`k;T9{uFT-rJaNw&6*1+Exh^5&yoh}FRUqHvkbe(76dtw))rAKV zu@Y2UExQ5w=K=wJrCS2?SrNq+Y{^e?at!>yqLSNXYkg`u};5ymJ|(C?WGA ztt};PlV|%-BT&a1lLT}vMDDmiL>X3{)CS!xWW%64;Riv-CTs3~*xmNF#m4Lj+ud@v z#l~<6+uiODf$feOhQM~m97ABcBbFhs-SN&4*h55{^VpEVmOSQSjm>MABd)}KYTX>c z62i_oO{rlC$_IE8E`1YjSpMFqxk*huo2F4U(f75$l&Etf3GUbx@po!pjhzS@x>M}L*txwEXw56@>_r#K!DDVooR#3!UvBT zj;c!K?(BMif@3knbxdU(Xp_J>C;T>ixZyP%N=s^1d*Bn`)=s%GUBHLKG2;`Z3jccq zjJ}Jq%@qzhksMBJaR0fZ<w^;Dz~v72iz+4h!sHGx{{1f8=MspCEzU8 zJY+VA&1F6bCE$$eq74o=r{I}v`@2YYT^RWeUBhXkkI8bQ;BZrEc6(0#X%lZ|O>7{P z4o)A+9hjDJQHSw`!c@RG608MaMs@w269)$=vsTzt%D`*>NdYF$L-yZ8` zp>D`9IDSr~ZZsl?@V|$d-ELt6pM)+wLiZkhZ2in}B7P5x(9GQ)l|gV!{u>2n7`xqQ zHn>*$Tq|31XJv7{SQVQ?qMqe3XCs8TZaa^1Cq)A1u(H{)X`K46?PZ)Bj;cy!*&;0R zK{wNfyExm8SRX52l`6=#S=so+IXUp@nM0UwK?7&0>g&>Vw2fJa9#x7*coFAmbum6d z6|FLkpW~lXKf{Ss%Q${7=0A$!aLS14fIfcT>u_S#{pTKs1kPIJHnP#51kQTpHaOhF z7|vKr8_=HwpSF8)uMhFsBpa|tl!M!D!n2GXyhWs%*XXrDIgkpyxYY$}*?>>t5gYV@ zC=Zd73_<(FLZP>%pMEC9Q}85OZZd$%o5F2dbaJ;AoVkD(Nr88$2QN01@gfX7d*DsW zApF3!GzcDdi^JfpZHf0#_|QmY7b4(%2N!Ep_T-Rek@6nF{Rec=%?@zl%+qmch{P8w zEPZ$&DDV9vbw<($LppKRq{BqA7azR!H0{n6dNEbemGkrCZi-{J&y_79dFD0p6ig~$;=_< zV%a@bJj{Q%1)6($2OYviRr9bQ zrjyFUV!e!4j@@LJj_#b)kfQwprQ$r;WY3R2!!hYi9h!k)=O3_`dVN&Edgn-BF$BtZ z(Jp1Y$S;TGYn=D(&p%W3rWW@f(mJrIV90`%7!&LiFS-vA16!QpQ`Ld?1@k~iscB#E zvap}4?85%#LXsu!^&$~3XOzCI!*sw7N`$w$51}H9w{;#%FBTZ{j@{WrD^??Gd`KPG z52L@Ov~LZUthGyrj2Cb%HN{IF^Ct``l$CzS$f=Woy_~>$<%~Tm3h_GbuVWoNvZX=f zJZVTO)4Ca2c+J7f;@2Z!_>fl@L+9$2qUXc^em!dXP-s6G{;g7FjedCEE`B^r6<%&L z_-*P4TCLOA446z-YqKU;6*Gg;tj1Y4-df2r+FH)I2A~6e*wcj<)d99xnHNYSxSJok zSH5_0!)}cVjBt8_=Kk%V^{LI~QZ(U<47uCYTc!!qM_O!x4*FBtoB}S_tiZggsUkMm zBdgGm+6>ttQq=ZdcHmjAAF|fjR#gSI`hUu{<@|>$$RvOT5yMH%q>E}&0p0V z1efu9i?Uu54KKb8i5p(H8xl9X=r<&8c)@T;-0*hDkhtNk6uwHHs42ay#|R`5Sv>=KFbG%zds@L0Lw~W*p?3R&QgWWPxJ@&^dkGV+o`z`ip3xY50 zU$t){Qo3W~dVxhXa7E3cC#<=KN@0Ej8cm6VOF))Seia|U(pRM<9sl68t?9$uF5#9;dH=m$Cb?n zv3D8AWCMq~;pkFn2B*#jHovv8TZ|3t`47QASe3gf%6-Xa%t?Qx%zfnM^f}ARs+VpB z4R^laByis^I_y&QeHIJ*Y-9`_(Mg~qqM^H;jLnpxpZ7S=;*XT{=nvBQ((gu!|*q0=DH7=oaSlw5vWNuiZJg&^T zM1EQbw8Pa(>Um~up>3DAF@{-pC^<8tY|0x298-Vj^SRwp-?@q2sDOJ-BekLRA>EFe zymjR<30InZoa6(@!_MF;zTL#`_c<}xJZJewuQ2+Vrzd`*u7n{`JUMjr9 zDi4X_IrSM8e7?%d>I07@3SH#5r2drTI|RRw>Riv!HGDRyjt+BG-;18A=lOZHfkV5M z)Yoajv}-GA=WObbGWQO&!-svdmxp%C>!pX)J&&WpnQ;B+!+wSs+baF7ui;X{dra|k zNwP66_#%Xzvd041;<)J92;=h&>jWFd$9%m3F+5+qRIMEw!KUhiT*Q-?)9NR+xtS+8 z{>Be&OYlz$M@69jdX@V%n7F@qR(hY6e0NCi^Qu#xQ8{1bV#OminkV=vm4`)x)4biv zbJS;-+UCo)M?`0V>XT~c8TJMBhbM6}TlMD!=Zoa{hJf{IU#n~Ca%C6?rE;D49}^G! zR+s0f&$wvaAsJ4oMBc;dKTjFk5JTtHC#Qc=u=#?~K3DMd;xoIz$LzjIeURsXa25z> zRDBIbykZY%8lU;1M?B|p8xP`fmSo+iKJ%1$-e|F=>c}4P604qAIWHJAjJGe(DmHSQ z9qPM8v^J>RsBFC8N5;4K4Vw7%j52mRoyuob?i0~jl6STGpw9yNkso3kSAB`9 zy*$2tHu;gZrhZEkjbYXm;%dL-*d$@b5}#cv_o9Q!+&2BLnP0sQ)Jc=_o3|Y{#D4gj zI@x@WO^FXHi4m@`Gi}(~@@e5cCtodA8$W2fL^k6`3ofgU-%Ka`d0Ba%+UKf$i+teu z&lcW%trLe-u2;Y3)Nil)5u=Ng>5C6ac{l4$@>K~=+ey(3rD&}cZ*L>M%pDl-^x0Uj z65Xj&9uf^}w>E=weOdU2l8lVa-MYrV*l3Ajakn~6s=icx(MRAgw{1~uLV+I&p;tZZJgA2h9h zUAaSYTogX`@HVmj)(&s0AD6t0OY4)D^ds)b)QRnN;=??(Lv{L}7s0La=W)rnN_Lqg znAw1mxge)&*CxjW;c@O_cA>Pd$q|W=1n%66_|wI0YKPCt)epUAbLh!G5$sBJ*z^N? z`Tci0Y_X3UVD~ixc01sC(~rHSct82RrvgJ54Z<9BF*kH*K*Faw*=fH;dGqS~S6&tV z%89SLD*TD%|N5%%SFXNySbTgp@s44!gY`1&Ge`SWXMKyDiM#D7hR6ETbipoRsp{P! zk$X6Hwm#@Yw(AHj<5;B9->2AK#xc4%PQ)+cSnCW<^o_T5lidchwe_yvYOMHFu-pgv zTcm3%B-J#;}0RR7Z0d!MMYZFlvy=~H(*zY!K+DgsF3PB;F zV!;rcnY<)Zl6mQy7ikcj29&l40nro%E4uLmH(9!I;mQxXQ9+PW7ux&*|A0aT=|ZSo z2_ksjbdoT(1}tdXzq^Ib5G+h78gy{>M+Ot}8zk5yiI( z$eB!N!pN1oXbQyJ@gFMyS2*GcTM|dtY}QQ+a9NtzxZf;r*wgKFWHFgHZMl!4;KQ5o z2fJiaHD1t+oN2KT-9+|pZSEz2CUHOmem9E(RPV}^k3V)arc!^D8w_Wa|kPu_c~exIsPnjNGUSZZ868%%kU z=6&p(GcV%eBo{+&PwDSRKUDU#N@p^|lmn$*c>Vb!@DpMT(XL5ZIYlnK5geg9=ttU{ z=^{{_QlC$5@`$_Vm&mQ3swSoIH)(PQeI95vsaU1*Pl^;KWcES#iHxmnUxLDwO#)|R z9;9XDSlUtZlx&x4VJ70oPbLznLo^j2`r4a37D7rG@o%cq?wJ~OZx9*oui0d+dVO)e sdgXF#v3g^aqTp(QGwQ{Qv{f)6qLg_^TLm7rawNfGR5lKH7;|qqI1O*z*Rlr$gy(u~O6nBH2Lzlr} z*57Iq(C??dJJ1>E%um)>fS=#%eLzDod(iIQUcU6p{B!;9W!Lz-mC4%x6ACBg2X3*K z6Ze~6*8yw+rXPQwE$x8y{&+w#g8IYybf+^KvxIjXyGZwx#4ZiVk~GDxuEq+u_&Xrv z4v2r}yT-c!2T_`A>Dlpa&GY)Fk0Hbl&n5!`YX={w^!MRS_TTP-JU6Cm9*4dX zM&!@_&h_7YoVN8V>YsBf4(!J!^ALPy?cZ@g^JAUla!2a|wa+F@`h;NL@|V1GS{Lw_ zr`;=Xa$Y9v6o-`XTwX|CT**qCtIUwggaWo59!c5V`9cGAg=H;^d-Hw-t~=gzQK7A_ z-x=fqa)LTQS2Tpy7}p)J9n6PSsw3w;L-A&MR-T{2T*luI1%kb(8%|oE>HrHz(p%<{W~Js&72!}A>JN%8~g7NT<{)lF0BF26W2psXFmMF!8nwR$V%=aR+q%=|wjqBiY0vsVopK^irnUAc6uyt%41Y_W#1W|#{k1=Vc@(|m ztC&#iqqyGZ+_d;TjSV>G6o{<$$1h!(jBL~gmK0h|C(`v(hletelhQL3y%&p3KL*lk zv|HERwisF;S1rgG6*n;2Sm)0BQUc?7eXSiAPr3&@n_*nBo!^pG{gjcy^*oz?R}zOY z`$H@b>xWyP>>R(egx>ov8&f6MKtl7-)=dPb3hM9TUhCj_N)43@r$|G~j9V3qg>MK`H&Pw))5FU>*d`vr`p}h(#47b{ihM=X-L~Sv z9?xHG+1(!)?+}MUb)(AiYg%74;7?kyt=}HVY#B3|PLmb2;y5c_V~LgDMzbH&m3-7Q zxx2-TlEj-eXqG;Os({ElZ+2T@mEgNVkJL0 zsxK%!zY$~UG2k8D@2vAP-&rTx8u%s=l#=KZh4%<3QXooq$Ry4wbz0(+qz0vG4TB9r z$~Me!$(&2CX4&G2G1}>CuM~cV4_p>+m>F#lo?1P|`(jo{s&fui{#d<~V8~a_PFJ-5 zc03vl>H%4-3`fQYlmXX9LD#8)XLJgEiomIh61DYDR*b24zqHD=e=-6n%Ts-P?zmbM zqQ{C>wzA6AJa0L5Ku#~han}_%&tdX2JF^zFkt~`F_ib9uvw;Z+%f{B0i$xdf%zyX@ zV;Gfkm-2w8>#)_|(ex8C0hR^((_Z}_RR^qVM=p`hae3}z@zQO!4=M)=Io8khzGUL3 z16Fo4@q%l-fwD-GzU#LV&3`%K^(vOl>c>2?PhMATIi%1JiX1Q1)zCW` zr>$<^k*hCEuHkvbKynzy;%kLGwjoUyxb0V3>I&sR*M@pfZpfx;@rt;& z>O$#Cu5|HAO)7p`&%zmNuAYFXejwL7GLLIN)<1vT7+!?@To7eRR2X#Qk{QYf+7X6B zuUXU%H815p4+Nym%aOTX=qjA4dzy>}k9)bZ&g6tIOQ8FqFM zJBg&Rr5z|VBFTIw?!n@s55VoQqe?(vCBf+ zwqCS9Z4)UBUg(@q(--s?L~)84A=;Cd5a&ycgFff)?Xa;Wpl(x*w^2AFb+L~B06;17 z%thJ8S3xVQ;8l_4Fds?p&FJ82bWsEoZ&&%BJgR>jQ#Uf{MGe+CKa-d6*Do;I(h!q9 z+^Hd3l%f+y%Ow@!thjm2JNV$YLgjty`F>VAs&J#VJ}{a7!ua&3bEZTkw@{ z%EzTF|6Gar*3&toM#1kSMAQ+hO>6u1;ZCJJ^{mRc&J}TB*mWCSGuqIv^(nsni~C9o z*%@3{yl2!jlZ}a-Pu>d0R=dG7B`aiS72mu8fpyR*F^5macBeefL<~!nEw?NUV%`Fr zi1yqdsh~ltdm#0+A*VURDaAdHl^}Ly@LK!Oe3DzX8uR?F_u?#fxn#I^E`l$)R#PAi zgx^Wro}m;IzQfXx6}qPfxy*`+EyR>d{iJ5|G__zIlP; zZujPlGod#IGtYi&R^0rURO&Q5PSmn>V1fTWS75JuNU@_}iGi%|^p|_koMGWr?|vd| z_>h~5KY-eyBR9kKF{hqfk&Y|L%tb+TDFSVNV_Mapb<_vO7?h?!lMq=v^l(kcA1)EH zO2bB-p?7K<)ThE4T4ThK_Jqs@lbL?oedQvV!N!=*W*W%o82_8hJHAs*&>wr|5}wAV zjHTY_^OPUX*ooj}*CD{C#q*x4!ue_MxxGVtj}WMIxQQuf%zlZOcXID4X|xL$#wX~` zlsr+bmFyFBv>_10-KU<;-_2G~Sn7EOme7$_Is8sLq5zzrLZN1Nz8=x{FOt#MqAlBs z;@ftSqN+G+8*%l|Z*l}~hsKr4i z?_$x;gxfwG#?oLk8RFz)Co0IGP&K=EQlKmo@@Ase^v}%YunN+ z&tT+VDd~SWsQ2q(v6#5*90>MS(Irin*#XIxEelg2|AvvDl=l6i?lh0s3(|Ptxt(1McWQ=Rggjpp*DjwcVlaM44_glJz ztcQX;1(ue_S|>ynWf&ka=Pg}nUF(<$49HLtd`fxjB9sM`v0Qm@@07`mx62eHZL%n_ zpUQ2n%rXJTW{LcgyTxT@{?d>iyB6wB@Saoq)rRE7h*TrDAG;`5mh>gka^L(GDKP85 z!Dtu)PK}ms6_?}v>OOhc5Lp;JmPj}Ze!`e@R1v6)?dBGh)4maO@B4L!opx+jA-om) zxVm6Ib1+>R2UF18?joMs?1>yNq!Ahn_HuLU`zo6uusL3`Jq|!wiNYnbWzxZ-XRn)f z_;V~IT&-U`OFiO}INf!q&P2YzEEDE$R?09h5rg>2Jl(Xw?Au-Ya8s6hHO_mJdo=|? zqGXl1?Gp0eQWTjTf&vrz7}io?0P}m^2O5UC2lWD$v+AZYCCwz;b%V49+A#OLwC3_SeiO+NJdYnMfQMyQo3@~t`!G(+FsdhI)_grtg^$U*>hGc%(v##W z!f6_kQ`uVb3Nf2oSnxs{%|Gz(6CK|ixVKaHRM=Dt8;QDW)xhM9DvdS{v6D~;=NcUO&-XT`3R*I)gXp7{%Ef;tsndKsR0((*cc0sl6E*_1XgZiB(WwsQR=xW)0}SSU;HfFJ9(&Ca%( z#zNzDM?tL2WA4wWywa5Aea1Wmr;>z3Tg3&L-@PeaSbc>~Ut6>x-|w@_eBY;y86@xw zN!e$Z+4aK-==R!+hv$aExHa1v*L$ilqBI#>E14}y-0_( z{C+iaot~0VPM;S^SpN7;FuN}(yDlB3YDMceH(0AA*i|tvp=t+g>z+!57QbzAC?e}) zMR4do2I*A~4gFX$O)VhP+ba>7AwxNkQCSMSFRl+H%(B0G@Q|WpAI$PMAl9`N+TJD@ z0bL8i6a8?>v}7S0DNUSWHG_Jo@HC>hbQchhr0A>kzm-OEkF{jh}wp zD&C}CITK?Nv0zy@WXHb00Zf$2Y}1Nt`w}Ey!nw4|MSA>fH_fMe`pWS_O(w!d3d71r zrl(F#P)(S={?x-VG_uie!9PE$q)JC=fSJ?4WN}u*(f$1bb5ocqy*cX6_`I&-b7Jz{ zwgo96pgBMr*Zlk3yPa(@*_s*Y8HIRVTfuOW3~!Upd!q>t?RxYOnek0xNuoCCcyaoQ z9vM|StFF_*%lQ2Gh2-P5?_G1}X6c{W)xmWUm$ND9f8{NCPrvF^n$A$`h90-Ulw0$h z4sX)MjsA_!U5;I`IzPui5Pp!eXf5TLaZBKpaOB=htjf$C1B{5H^O^82J015gcAbnn z$~p-RA6^(Q=rmYJlKetzJ3PJM-}a_W{~)l90iHhC&lVvTCbL^w#MaQVBsn3cBzLM1 zp=^cwl)donUDs%D_t;c9O#D+LKYPdgQRAQ+&e8Us(|zxO2?8ETnx2tH(OZ*oNC|)R z<)pvKnAcXU>|BV9bv&upJ@bO$C7kab@{N_3sg)U9{1SN`c*w$(RJSnW!`RzR+s{lT z)D7Z52(65q3|6vg4J&=Iuuv)^{)|WuFseF4l;lT`g`B<0fp=Wm*NRn5uWw!zF+Jwi zmEG&9+2I;R`zu`62k_-1zB7z`32ET5i4l!i{U^%3R8``r&iAu13p+zAjSwac7uXT# zvkm9Zh37b+hQ78sQfdC1T=Wj+(`I+SyJLyJqqZx}C;eS)h?T4%_A>EB#0=s%FMPSM=p7VnU#I&sCSG z-{x)WSMPP#6P^0DEx?_;i%qWU!(K}K?VXXx<7)p&dhclD*venmhaBn54hD(R(U#w`;`i`Dl408#Hz$N##8&&iJ=;xMD6sRB3$ooo+~7M z(ckpK4`MEN3enK6VroOxD%}bx;llGpj;nA~2i!le+x&XpmDtm-jHiM6d->{}URc>{`p*zhaB&$Y zWtaFK#&PP3QEDoi;w0*HwO@dhrj0-YW*%@1*HE6im>)&unLK`*dW~YR?3*z=&^$_9 zvK_L*;E!U7=O!NYqZo_InCko_UGS0?JKdOnnuMERwWTAMR9mfblmRP_qQanFLpp7* zo}z*`U9}}Laj`-AIlNsLWzKj0pAG^sY31KdT6L2f$sBscj3K2r4R{K;mb8hlbTu?} z!%AAmvo17n5{RfwKbpgs za|LI8G}gL_wvbxBsV>3qHmN9Cs@LV#TE4N1uaBh6dh++`dAyi$Qb-nt95vt^R`gA!4#+BcJx(9!YkcttJ#XS4oF;7vcZ$K#GMFL#=6;Sg z5L0-qnv*t-%7h|5;)%x~c(pn^Y~>=}D8*+#`#+&He932K=0^>i61H`^F#VJnb< zSySaECuBZC5$MxGgpc|*vAAaFQJ%<**v#5Ykk%OLr4uE+V^~Zi#+pWg1{sRd+QDMO z;E!_p;Q`~WJ-oGs?w~}m(puM;zeuo@mbiG4+DKs#GQg1?P58+zcluHLk`ij!qLEUh zbO!u`BbmeV=Pzu1?IxCufAQ_8OZ>T4~L3Xu7*mZB}nb{dmKrIJtpE!)6>|^ z(aRCHUQJG7h$U9F%B4z&?Q$!$=90sXEu}n!Y2)>D*beV`yQ)M)YYmrI*uIR(uJBd3 z+Uusogpc?p8yXDMgW$EQ`e8t$OHvcHP5`=6rAFl`T;u8(C=YaR`24UZ(|HARSY*kh&L z0LvfdubE8a-;0_obh!P(${}5YiH^WwBGRzfm}2}?g)x=H@I9Gp(-}dj6yt3bBZURA zIFhDUKapl!?;2XB93_f|Os3#q9S3+q@yYnB6pDHx*N|4gmtiA_MZFhnxv?m5j7L__ zU@fC)jTv>4#AG7ZMvJ)@fH+QREXPfu%!R(L%sWR~ji@iFgykAq;Z>4L zHbjVDd5bA5zYs>A(OQA3qJss)aEd9~gy^JOJhl=u-;2z7i|w=i+d{YRD4Afd7MX9W z-acG$YKB`N*!aY@j?K7@%1AAGvxW!!1>tlg=?9QUG00_^joQ!SmfyOQ!;6~QX}8ll zdgGMmQ+dM`QiSJHS`Js-e#9x~3%Bt}l=zn&OVg;9=RProAyffIZly*^-!mzX- zUsrROJ{I0XW+4p9Ik)Xb4D@4m5ThH{Z!fTuiT@Q_tBdNo$>l>b!BTV<3(ep1i~h`$ zHI9`$U^5l#HF?bP`06--xe*bgl(?N(X2F-oR~47gcV*vTzfP%UPSBZ^;y}#_RBiya zoHN)CH6lgeKk0op;OVWDc!{6ijN|=7xT){fbK*V#{`V-9VwE#h!+|lsUTe7xgNmp_ z`VXhcSe;ylX8ITLzkNt8<*70jOrkED4|#*8YQ zL5>h`h?_>F>9Jvo_}bafb0yHsxJjOWf&b@HD~ZU~K4?kb6Z}J;yw!{x;aG~u7lld? z0Te9dJen5$7cHzRg6RYQ9|;DS*A?Wy3i{|?O;a+jxnY<8EMgBqqx=_*4^FjQ#`vFF+Q+yr7Q%$)Dt3y3m7)$ahBk{uJ_G?OW5$+M-yKiJ)07 zx_e7Y&;!|??!Wfh)GVNewqK?x0<_(rwSTFSta(BC$4{5Z3yKiG)Sv4cGW^#_Q0?>2 zwK9Dt(DnrD+w7dTlwq-2bTr$~FxTBi*yxt}q(PQP#KE?@&Rcb4$y44TXp>j~DiHt^ae{$`oA9td9}Rl6sz^c@-@tCKnI#X9nls1u0XhE3VTp1O@>I)P zp3G|AH&{$+u9WDg`NaI82jE5qo?2@qmnxY-b2auZyGXn!Wx&)d2kout%xM2NcGJ7LXkfhMXeU7iLolECnc;$e zH5G+5-(FIt>OMF-TUDxwup)mLQgi0AyU(oFQ^_kwc%TO7A*YI52zu={u0e?XSM7kq z@=$Ijz5v8z*7(Tm$2YjCySjA~?M7jG%9`ZwLm5n4VzZ)h&Kt$U@f%H>2)WBcVXl$U zjS7&JS}*vvkXtxs2A596tS^Ai6{~#?u$sKO$GVN4P101BKSjac+Vm3^+SXJpj1_Z* zUPuVA0;fCGT||X)0|qV)ScWOn^3;D+bU74ft6nZkJ%108>qKjdt&U4oHW72D=*{N0 z%jqrVl+2>C#GYGGTb3F@L+O&7psV&l1Ypngf;)hAqUsLVbN;|kWvwficx6Z=+Av?1 zDr9l{W&mzu&j05kT?0A^QUkdNhL-#G%q5kj=HQ1g8In?mV)HjJE{V;+XeZ1~3Mjj< zusM`R5=yZf6078_f}FORKUT9xZ`|ySy<|cvHfiM$b3%}H{^U8#X*5TRv@C}<~2oD-qm7_KD#p45@~z7|7(cH>*^Ke^9mDwh2=wGcau<G3s<<>wa z)E}E9+YLqhTG6FxoiK%n7Od(PIZ0NqdzuSfvwp=gzfvZ>QjWe-ZbB)0K0_%kDq4+^XJl>&nbg?!fk)g#MX%kp{H?ovfP@M61IF?CKiJq3O%1 zmY;tSQ+uXAQhEt2PS0GPQkW+97H?(s*|6%_l^zPA(Qk4-@>)vv#d{X|b>MC2B-^ey zhuTnhWVa!+xp%dHmYCJ4%QY-G?PsAM07XMA+oL zl+6oV|6HADLUz^(*mu4mpmFDW8p{6(>G%|N6N1`gl1Mq9!#zCsm&17V-n6RV(79Xh zC4&<0R_Wl9H>1euyLFU@bcCMjH;khR)TaDw%6V-777C=pUuHnZE)TX{H z%J~;)CTpl;Z_c1G0tn4l3n=G>xQ92_wW#*#+FYrUuV>YYdl+z~lOMTstVL2=^Jy1J z^}m3`6dOCNkRt%iskqyg`mPZw$`iRox+z=)-MoyUA}p*ik2zcff_#jjMb@vAuZD99 z*J4kL10*P~jPI{@x>-;b?P7s7@@<9wmuDBKn@PKP26Z1;1_J378-Gt?9d|UtKmCBZ z)QXL!Q&^~>9*kc31a*pps6!r%p@3dCOAgeGLI(F-vX_bV^d>z#LFYZ_I*vT^bYa zlJDNc@jZnNPsRs|q)_eFBiNG1XNFR}^l|+o+&yZ>3N1_>>VD{EVP59qR7!Wv+c|2k zg%CmoTlh5uq!ZzXR`CB6)9YgcE0jzwSn6Y1K+R|Zv4XO>DX3f3;%}Ue%W2-owlj%c z@~THCRFBvNs2(t{dYD7mBA|K z6ijr5dMGe_RDy_E(e`u^H^gw-Qoitl1qTJ2lg2=wA^hS!OKe|oUbddW1(I+u9v|N5 zD1pVs@tRYxIakI*;N8h&2VdA%gy2(MPu8#iRA%4^@W`#(cmtN6p;a|d#|4#H(szXQ zXD&e`&!O{K!778@PYVRazy10bNIQYS;R7;t=-^1KB;GpCgk4fqP0U5fgYn0-{Ahz| zt+vt3A>zKzMhSL;C?3Q4b^St;#8Ut!gfRwF4?&>Mi9m&j^d#{`3xss*kD2Z$g@`4O zE3WvF1UXS8D$|dn$ZP?39m&+LR15{4%wQgrx{NbXz7LA1Uyz+-MJ8yu%b^jkp CM(^4H literal 9800 zcmXw91yEbh*Db|bpt!q3a44?Dy+H6_1&X^n#oe9a?oiyJxVvj{m*Ngz^6USb%$#@M z*}GTH?t44Q1oPdycNK_GV0`z^0{meIU)7B49n5U3Nm+TASeaNzMV!nmzmsxuv5>Ox zFtf2Sv$3%9kSfcN%9%MDk&1sea|HV$X#NW^MfqQ(cb4z}T_Gq%{m*uVI??fnp;Fh( z`bhR09fpjblHBS$z2;i8$De4af|}H^n839$md_ta3xn1@JdD#;)vfQ=)`n`{NU_{L zXwdoDD8?1jQf`(c8EGbkMFV9S^^7zD^$a8MHUaPOJJ0zaZ>#p#Q)@pLdBn^7DdltM zJe%2f={Wb?f9kk8q2J%zt1LWMz22R8eqMDr{PXkA)l=H|RL9C%%K|~Dmh$W9#1B3l zFJiB%oO9WOr;JulyztJb$IEl|$vcEcbk2C1Hu=Wmbo>DC6$<+!6Ytl9nY}%1_@0W` zPB&^tYYqOLgz$o-ZNl?l-33j;h#>!1f=7SvE}rF6UUJQih_>GZ88^Iv&^EXclH=Te z)4Td_O)62+qj2uiyl4oKTDtU$_!h5M#`~N8>@h&^`>dRE`~QvLviIwfa2+RdL)HTh zJru3{u@5?SG}=Q~C;@QD z)2To!AkBue{y_E-aA3{Tb$^}K?tDP_0X~;KhwBJ7cjHvJb6ZxpI8LU7d4!yYrr6U9 z{nU5v;xJp_GB|ynr-kQ|zh97{CO*2&S&keZe*ALq+-=G~J|A8KneA};vMh>sAi0Rr zJ_JP*@62}bX65X#a)0s%Q!kXudxD|AzZ}LUn0{mIVPJs&@58o9=mVh4e-V3)PUxAZ$d+od>;i@j_(5#L1}#+N9eSchz-gu-|rO zjt=y<*$#8re;qA4p}^K>Imz6WxiBkK;=DY{L%^jD%f@H5cZDKa#Y`X{q1EIBcGKPG za4$g*s*8rj0q zeI7@zo5kE(F=s#gFR1-9V;GwUi0@4@BMd3MDg&)>_U>~QgYCkO%2<}D7@XlxTm3b7 zq1Z16n}Uw5>}E7^TfC}T*Ii1W4BdU{v;*b?UY>t!8L>+qVZUih2Vm#ERt30mtbvZ2 z_v0hYL(i%*$h0T|q+Ua*2)JcwqC0fit_*ABE+bC3!R*qlH~Cmfz6gc_NNF6n4cN9O3RZyp z6hhzLhES|xbT@siSS@r0zI-pOSUGA7d+;&#l9WGunAqV!?=vEA&3!@TZC)V zKR|hFw1BV-9oKHxV(o1s?QCmU7D>M&PrVnSRgv!5`sCo{KP$gtY?_a=73Qi$|BIcl zyn9H3SLoDW+UJ*meunxZ6H*dRRIqfQNmJS(-vzk}O1uG_e(>6*2x(?yS`uQ}#R+=f z`r10H^+``(`m~shA+tc=`I^{}3*Z9J8xw(Wf+6ryFnYL7gqMn;H-U}$RM%Kd`hq)w zFVzgeXkV~DY=I!XKX0 zYCeqiO&*n0qMA&Wp5czMoO5sAOh1L(K6{vZUIf9OK=CksA}k~XXyoX=5I23iI2}PF zsHQL+9ueDl32PzJV-&ky{53cSaW#d)F#JwSB@7}WK%g(3!WX&xI_0HI`3?H+IbMtK z@G{n4$^_8oXig%i*s7)QEM%9eP7x? z*~5KZA*mnmma5F~&^o~$N-K^c(sZ%k*;%|tSaB<*rJB)bR#(&O`7%YLcBW+OGLgTU zE?&M$pMaCtDs;e1s3q9a{`?)A-~QTz@%b5%_Koi`)}I2o5uhF!M_Yi~7~JcBqM1Eg zw1c+o=ao1wh1_yaUvS6mq8sOx9*-#)I{`PB|9#3NbM9W1ek?JM22uC``|J=lO`%BE z=yBRrd}q(vu~Ls=;j1o*fRxa>tX|(IrzGyxlqQZJnC0QzNSNjlPaY#$0p~NgI2nPq zq`k!iY^A$l9=+HE762P3YPDh#}cH40MtNY}f2Zr{ZnXXC~Nr6of(y6)5DemiE; z;s{s5x`_^2`LaYe^Nfz^TO&I-q@?w%5)S+D+?k<51dyO~NWppo`mB_UB+ zNXfrm`ZRd4|3_Xdm!uNjg1MT2SaQ%~lYe4_iHQVnP&~#$cpcSVD+U~gV&^MsG~(r% z?)utDtH<|`S3!Swu%J{)JdxZtFQ}wv+_%p2kT3);hAyLWJVM*;+P_LuEFHxDz)55Q zf{;y3yz<1{&biKC_S*H#0dAs;N-&gU_qZuDi*(Xf+gqB?+-NK1W_5Izagimi!0nv| z_Vd0SCTnzroqj9H?WF3`-U`yU^`WFof%-DlGt$Kd!iS!Ot$D%Ij=Ty|ox8e$r!SRx zMC5e{KXHJ%G+d)ir?=na5S`ra0vF4K!&z{43L~XK&1QK*ANt}Ei_EC%7cFyZa&@>R z0imK6-UB+z@I!pCX0ZHulR<>T8C+48JVj=ATN{Kn&wSgr09Kp&oJdLwm9IwUuxBl& zR5J$pJR=sylLVbh`2iQ_p3jBj!ZcFWiSBR$>FLAaGv=W461paC&l-EM^FJXZgR!l6w*T{+-k+eg53u@{Nz*?GSt z50En4h+q8l0Bi|0_iE)Yp@MB^LRG6=a6@ePV=COEIdU*!9hUd?2xGrN3(0&j+2br6 zXf>AK{&&i}A~$>0d0+TlS$8}iF3cwy5qh41`$m*Q)O1xR;@Jj7W`)A+D;U>1w}T9n z!(M`{DBNvt(SuAa5=Dt)Pp=GxY==s|CfBFXo2{l>H@Sj^T@h8*Fi`LCe63w(xVen>5H9}_N={iN=C*GMW>HbW)$p^K?n6kPL%*_<^;F&oKM7dNDk>>cYWj$vj8TzP_y{2kch#tE>=V-3uOu+<6u^f zSIXQxwqhldWYK|fl<7Jjc`=x_k!P3iv%QIDZ|NnkjFl7vB+(S(J+}X`4o&`S78}Qo zCt|{j`#H=h(UI)XlZyz-05L6c#-LJD-WE)>F5BhpvZ*t;#Jdnl)TM(Zrrpv!X?I#b zz+9=B$nYR;U7O-H0E>rA!~pw}f|~29)dh0Ov1S{f#Hzjk$BZ~%UXJ6S00!g|@Aq(; zGh2~dO4Z^zpItOwqmU|Ynenq&3dLxrZOkvkE|uQLu}d=2=1NJBXJE&*$pBjho&Cta zn@BTY==a%LPjW1hOg38uJ1a~9-I_cJOVprw%hIAew+(B|@Iv@c6O0-tqv47IQ9VTn zb|?JRdY4;V9d%46qws$d8>{(>yU=lekJ(vd636Bp+&yjC79>ii<1<%jyaW|9Gmg={ z+#1WdyC*B|oi-MepFLWu=EkogD$~@OcMXM+_82CFL~b)u9MR6Wm5VBUOHyahD<~;~ z-#;w>>$~lI(4--G{YqIGlmXI{1h~w9t*jmTT)K5YE__y*chZ9%7*_XHmE6uE=}4Y) zNp)_lAuMWXzMimcD*f=Zegvxuba4NvbE&GRy}=A*)cUdqzGL2bz&og6?u(U zfW{|H(>SG^E@PdC5^E;AAn~Uyz8T$1c+JP8tT^?8L-vN6E}A2ny+`brsY|63hTn#( zTfqSFg&5tc8%|tDUS~)_hkh)NUs>eP3jOCAQa%!^HMP@Fp4r9gd!x}SQ}>ynyu;Is zV7$V|5`6hikDnDu(7@)B4v(Y~38>v9-sqBD3yW)7adz&wfmsjY-BkVJnaC11M0k|< z@8Jx0107l*1!SbDAFtekcp9Ad@4n?6RR{kg55 z)a#0g5(sp=w<6~q=(SxSyP4c!L-r9Jvd+wyIO}u3cHL+jg`Un$xR!`C)LmH1y{tyf zyPVP%39kAPEh80=c>Rdjg8HdTB$aL^bFH0SiS>Z-n{v2tl|{FKqR5QFfr$$<95dE8 zGlsA+=DPkRf{XA{kt77>4|6~?hN>Cb%8oa$BVj=JV&oz4@ipUexjkjZ7>&uR#!DQF zd}?5exZf_4F`Sr7)FES%Yw-7K6RjwtKwCqG`=ZVSc; zpBpbowq}8CU#-VqGj2(Ou@S#Ci@5Px0Z?`UvJ8CO6|mbe;;Q{auV_a-r*$*|&OUO-PM0E; zVpV6MwPrp8UQJxgTx-YrfjG4DC0VU!SI(Y1zcz|{x8m?Y8-a3O?hCZ%OZ!fqT;r}P zL{5%JgG#}j_U%+c*)EweT&>6C&<=L9#%4OiQC>Gq@7vdT=uj2>mGOw&KFQ=Wv5I(7 zlD*a~+mjF1il*A5t+l6x6yqQC)r+tr%u)2Yt*s$(ltAyT5~ zXpQ&e$f?|}`$)Q;_vZot{R8)yYai`c9CiynJgqgGKRmKb z6!o{yfE*Vj*z403&)~?(ZdPyc+%Ncr$n?Cl5`~%(zP9Z5m6eqsIsVp=TyOGwEn&a9 zM0m+~Hn<=xxO<(pu+rN=~(arY2WMf!Tc9Uq`QRA&Ao&y}d! zGc$e&!<2H&M7Z*=t`t`SPLun!mM@=`TV!-%^9#o%S2PS$r04#D?M_1J*J@Y8YDdHR zt%UI1Fu5+CL9)eA@*24X)9_rmu0V}jiPtaE@#+)Ik!>FRx}6oXX(ZnY;!s%nmZ<=6 z+!C$tGQ>0QVi&l>4GmBzLbY`bgtJumaPz`F1%I=hVrHpa4-BxZ17kLQhzDZg z)uMLxhDp;|_yID|8udSKM9MfeU5BYEu+go7CgqK}N<##4VW%2#JN@4D@*fzlz9N{M z&X%@Cq*lizlm^<%Ya)$P6n@7>(VX|kiTKR z6JxAHh#Yn#vrDXgly+T$`}tYf!OYP7_hVh}3gBz3R@Tlh&Jdk%Kb%FZ^+OIZR)#SQ z+&oUmX>@XiM53HbweA%6xTmh?TH77sEe2L66W8^0WlL8Uc8qTBK7Yx{CGUHXTA?OM z1@702)aM(dWhnhPtI2+~94`JemrVjqID%eThmM{ZWv@ACVtOS@x|s!! zP>&6uAgY{AkXGc?uA-y2CE3uZTrki~ZOKq#Tqq+e-F27G<&<#(fa>IbKwtL%6dTUg zEelj*Bpy*m{H*xn3Y@3kNVtC4K7#7`v)R>h!n>^Zgex~7)#_;Ts>rZu{kqo%r>3~c z&BNjbHxAMIiXP+Q2B$@&xdvn!r81ec4rE@-xqU?sMw&V^-cr>p!wB=aeL3L@0R4qs z%r7e|y4*xlwZvYMcPb;N^_ptSd6vqxC5l2Hij$n0ro?8|WW_DA;8aGitJgy$E$T%| zicKTt8S>_j!nnvbQ;U<1=TPTrh&aXSSM8CM7>{ky>$QQ^Ld*Ii%PJLphHoH!xKbl4 zHnIA0d9X6|Lttl4DkXYxBM!l&Lh%--sv;gpk0hl+AYni$xU0S*)ntBb zV?Ku;GnorMXysRfc8xF_cbFNiJ=#T;1SZ0fYhIgrMx*RhhSEK zr`XN)j5`Jt>(*LIV<~GGGZ=NKJuqzFK^&iG7`5s>m92iy#gU-gP zzvI>ytnyx(k5$NqFPdhn+nB8jp`bUM9OAbSV^vj@ZejUfNLs>fhb`DgEFe^ksAQrv z*D`Fndj|L^IVoTZtdaP(RacN2&D!TC%BTNSsz(u-6{?OXeJPQc`&_LDOPIiAADn?yoftO-S z_i?oE^^@iZeY0F5U=A4@83{N`yjAGR4`T+D6+a@ z6`tw~W_|?AO?gN6>=mgH!hc!8WqOg)P$!zxCO(qWJq4<3*M zHGwJIJ|UR7q(%WHlA#zWC{X`Z_0;A0jwMzEGym4#P3RkWAg$CXJVtPR421D3d7%Mm zq+KXBD^3LkBWf@u3=aq9(R87cnn>YYXsWi`N0z`D5(hj&WMu1!cwpO8*7rvG7cQVA z?62^@0(w+}BuCWry%GMGD70q{BFIzMGe5Y^T+(_PIjSRtf0E!CGjNi%&ynFteEHux z6tK}ykCq;FJahf$3@QITdWs&5Ts|sn$;-E`FNk0%XERw6k@XdjDwx3y28HtG9zCjxvP)%cV7y^K`|2ILPZnM7_CH|k&QMo-wn4Ul4uQ|NFvdnvVu z;x^gdK_*d*y6Uk6J$x*NgeVcvFI~+4SW{1MCvKrqZqru(XF)#Na6B@(l(v zKjw3O#Jy!Mve{@fG-RvJdb5x~{inEqK3#)dDimb<;u(wE_lAxHnrd>nqeJ?|7;VYK zOHAPq$O)!Mc32HY;CbU^=R%3}1oFW#xm+2@T>fHWa@Z@H;0Davf|+fBHYcXnFKh&_u@ z(`j&Np<>=d_MA^SWto92%X!75ZV#w$?h^fV{Zhe4;j`e?Y#C~)KZ*>@3y2HErmUdz`;%J(XkhF-*t+F8T; zM~^Bg+)ww?BR8qPRVZ^kyO|2tQ;Y9s<@aTME{(FmO|VUqX>U(Yr*PBXHOsL~8Imsy z;sHH2YfCIij!q5L9vE;88=|}6+KEqQd}=J~D-xXcqXR`!+_faW42MAa|z|aKcN_hM4AL2r{qH*Fq=wx4oE949T!ShZe z&z~-PCl_YxiM7FI>#-1sgg__+0wLhrN;ztxjDg9F71fS+ujv@MPM6+PQ$w!HPBO?j zVwTjPqp7)^1Xua3idPs7pa=UpX2bv5W6|%S&5tyNLQ+ao$b)hMWncQr=WG()wnj> zTAiEj3&ptd#l}x9MA8Du;O}J4?edNED+T63>XSFQa_CPFA}#iko$}{5$dZ+R?s(xQ z+8kFt4?OkQNik=T1R|W6C5YLKMZbAJAV>F~b|ofM<|gk!+nqKLT9X9NOwJh)`c``L z@d=~_L`Qx8b|11qR>k2`cNlo>FQ|>hdOV<7-N12uKfg*yqx)&iwmd(VF{X)UcMZ3( z$=ChTT&9M*Bw+~JbUbEx*RBB+9~P%6sJwCQuB&%(^;P527Jf~T!`4%&oyZ;fd0xM0 z|1SD^^(u+X^sxvdm z=2h_w1SmwyO^ics%8{y(!68nNs=diX`QD=O3|^FjG@`*H6JXOKNaHPuY`)VZ%_5se0nahSGr0JoVdPKJ%N5Amh_Ez9Q}ky({D3Idl?J zIFUE|9TlgHIbc;gtD!n)G3$D!wvh9 zA0DVob!f0DC*7jKtrW+EJsuCHdSt*{rrEY>}+8FEpp33>tFCi0Ff_-LY0AkP<^@Y~ff8L<#n%Hkmn9D@Tu zwI+1g_Clx{2z3I4gZQ$lgB$b;yLbct1?lb06-p(x^Xq$I)PDHg38=6lCI8UCei3|n zS+lc6EMKijBkAl5222DDR!R^>;Ke6~hz_5OP!Qn6PC?T{=e-1``((^CT2k8IAf+G)+F z4UuJEJ9RET?0WkIc1c*hD;q$MkI0E1J-pfGWeD;=_(mk$eutc|aZ11=E;EY*fb(_sj3H#`KQFaGM@pL-nr+&$)#= zLW`7*al?4{qO1n>=_VVGL}8-Y+uqG3z*Wczr#^JJO3@jYBNG5Cc`gL9$7oJOGRMS* q%YZ^GnATSYBFO9i=?X~D?@%M<46vK+9Mi?6^ds?@gL{I9$o_w-QQ%Yn diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb index 6833cec5cbca77b409fc00bcf854ad27a8b148f3..dec3611ee97d2ed488407af3f74c224b343b7c78 100644 GIT binary patch literal 66992 zcmZ5{3p~^N|9=;9OQ>858#&#}rHfl*Ay%n$IZ;V-DJqqFuG=SlOvSl)}&F=gB-|KwO_xpSNA1$``-k6|%po@>noKj+K| z1^>2y-`=Mpqt1j~Ftc&6w6U}{bB#H3?xdNmowb>@gVm-@R-3GC9Lx^wHrsP1`jnab z$urTQ?}?WxzlU(0_s>v?3x0q6{o{q|KW%YyCmU4rO%L~OO-fa{r_%SR=~TFm!QlDu znq~gsA>k>iv9VhFj5frD$1XE_z4`0+F4iFQ{8`mh`9!~8Jyya-Pd6T-MkNaU{+3Th zP4uj-C2d`vJuE7F(fclRd)P)mL$`WDGL;WNpfe3O8>^#lLm`oX>pqAsQew=kPGEnecx9@%W{>^ZV|ku%g9GB>IBeaOlr@8%Hq zB=4q>%}K$nO#dXymXOhRn8u}R1^Q$|7-=YFF*Bo$d@DSHbbkhlLUwnt+=erl!aA5R zDuuPsFqS21c*TI^62@#3{m?)q;k56CY7fSmtmMH}9~Cp7uC7dD2tTY;am|j}txl1T zh{8V!_D^(9ChEmMNqKYJMRC-npu&vmom;%V?WX=1S99jHYJ5owYQM`=shRN4+}pdw z0k+;x%}NjFZe7<#T;JxXfAX^Crg+ubM=1}8N#hY@n*aSN>)o?q7geY^*Egn7K6|9r zzi%d;Up8W6i|+S~I-zkZ!D%3mY7^<}S~f9UHmz<`P_rK8n#CN_BnsqF=qM3O!qaDwVwqEYA4*a$H$MTDbR}nmpak^cn9v&yVYb9^Tn` zh~G8pxay|WtEajQhdSk0InR_+SSY6m3BQcV;F=s5(F;V~e1h^N>)zm58)NeNi!zS* zW~>g=(p0Z3%X!Ejd)~aPUNTwZAx=3@R=h~gUBHL_!HR`Ua|U8Y`% zU)Qq9szbiHjq16`DQKmwLA=JoH~2^ zoO& zU~q%Ce|rXa>{Z&B^P%0Z_VAwT`-0hYL$%EBXum&&Qf?>MPh5%8vgxqeP0fQne-Btt`c*k>{_~NwXoIJ^pIXIN zDHHoMc4<7_eYD`oH(hZM5XocL4WC2SUDv#8JKz?3Ilt$S{#x@pNb~sPJr*sfrGW@d z8(*(K&uQ5Fv!+<{+Km^{=E3aBYa9QaCM_SlWsm%B*lGFR#QDhe#Wy@{EX~IYbw9lU zJBHkOv0E}a9;c1nJL8!l-q5n{(7kMoQZVA$yuT{%79>`2#QhhYvHF1tY54`Wf3C6x zlAW<=dF=gsrv}(~pA zSK)Vj4m#+%XCm-4)Asyq-c!BL@QM||LcNfc+BDb=`V={g^6h2N@ zsQ)|b-aD$<4S%jXnSM@ZtJXIgw`-_w?_7m`%gc36cfQ>8Jdm~EsJX#q-AvaEckbTc z!<41#^lupZFLj-HeGO%h7dLa;Zl?W&!!ZpToy+;@uFnw1Z1;Wg^Te!ZwQPC3&kGd~ zj~W7l%{Xcpn9y0mQi?~pKW6(4#9tm6KYxEN@G|?bF|X*LIWS9KRsBE*RPmS&*db6d z+O?f{|K~#6n~MV*wr3p9)McxFI{eICsd0t+Q%N_PckRo1UxRvG_FkWm!3ou}HDIy1 zD9y75H!vOKg*X!p0}rTIu4(Leoq0h0gYkU?{(4+H(%eA@)~x(` z`H0r;s%#D5imqjgE+1K%Hy&J7A30MR=i1tVdO~$u>_uNVVyGPL(=*-fs<0<9|4OvB zZ1lJL^MO=}!Q7IDl0SD|xKycCX`)$aa^mPrx{Kz2H**8MYNb-xa=pOk8&39Xdcm53 z&YmBi30t##zxgAV2KHXgkNJS+IRm`^qzjQ~G#&eH&hpiX4FE9Y;XG6T4PxT4*&iws$ z&%n(7onXg^Ym|O@=QXNpdx-gXl`c>(c^R=H(+DH)N5B% zJ-b$fcHO{JYW1I&B*cJKm}|2x;|Fu(;Bh`C*1I2p(ygs9=_V*n6`+_*$=KXDHv);iBpr9X^ zw$~edt#0$X>XeYA)KT84<(UGDKZLpWE{|1qzsObGjlNC^G$g!N?EVmPQRBD3k7kHv zXLsp5*Rm~5R`Y)JCkSd4^DtxJcj-vD8SOe@bsJ;_c;SMlwsV4IIv!c zwCNxh14?{$>zm|B5wNj;AZ)VIZO57Gn*XAzYr%_Z%a0&d9n%lIi#*?RyuD28KZxxd z?EYV{s59ImOkevO^n2zlH1y5czkBC}%ubCDf~D67z4bou+#c(|{+oXTAqf2A0rbbK znT6>fU7K92>WKvo{Rvth9mM%cdgA5-q>p#(Dv&;WxU2=zS zP5m8nK<_;V|L56{S31d7v^-AB?>V`0t@kb6D$C#YB;TEoul?Jfz+T7K{47NO`*8cw z|2=$smzSkGSSgrVf=C*SOgrc*g7ByIW|*p7o;)&AS?Pnao$d4mX5hZAB?&+SRT(^$ zlgU=DeO3SOWLmfQ)}H^4zv0L~<3GK8;}%yPWF33XDl-eEYlEdM0A17n+x7qKqv&@M z_gfen?))nZPw)Q(gwX+(|*5B1TOR6%yy0RZ7)=U#yO1- z7)H^b7aq2mZb|F-ojgVQy05KTi7*!1m7hGJ%z*%~Ob|{@&JO-a0hq`Xtp9Hm$O{Hz zgHZAnz-Y~3rQEcX*;ns^+pm?f&h!NM)$tob@B9O&AlQNdmD4Z}1L$6pHumaBx-x}S zF6E(bGFezavu}B z-`Slfu+b+Q9z*U`-yBOp-{0vf5Rr0@nBafM`zJ@Nit+gW8xsEihNS<$;W$WoDyy6t zrhWbyTbWXZ?q1g%Oe)c3e*#HO?x3UeW$qg*L%qa)PgF2Yel5nlHh9V)@L*{%<8u6( zmZBr1=smzr0XEel`WFW#^ltcR{$IBXRmu?+ns<&C@}IOdp?B@i!vFfjx&N4*GTN>B zpQg`w|IgYD{!jDa&&{)73XrUlZ$19%=(=b3&ch$e!u#e`D;?zn&)`r$8b8w}oyQ>m`+!)_T|7h!AqBrm* z;C%h1D}Jk3xt<2kgnYMu-DURa|I)96ufvxPy}g616cL9n+_Bga<9an+f5YSKdD>IG z#$A(bu?O9EXY6`-aC9W^(E7GB`eS^}NDozqs+0$QF8mx@UcB0Z^o_z?EWg*5QM;M3 zzcruev##xv{uohzOsqL`PBp$R1$EQ~QDH{#&P`v}HeY|NQ8Us@mF7E8W(yv2^Ires zt{8mE!i1gQeDdM7Tf_aqsD#k_=D+{f9Mi9S)_wnWWEx_k!@hTld0Q3$cJGuxy|t_1 zgwo#?#}}6WCdo>|9rE&^ zE#RjNhw_$gH6;NrTdd4e3!*$xLCH$`eC#92mnplk6f{2l=J|ZjQ-uuHI@s1)O%Q&kOUgQ~%Evml?`xhvCp1)ZkdWveYC(;~Fji<_~GCH3=Axi7ngpvvHSObn(-lH2G79cRN^! zZ8tyrU0wAgahW!4$j9N`u`j0QT1nF3h2rvC$lX6L6hBCRUbgM7Qi(XEgX`KM%qdiz z=UU85@)Pf1CpN;YySu){jp(*-V57tD{fb>tNzK` znng7}V+pUNXi$^ETwQU47hZiP*kp9=iq@#`ryJXD?rn7eWcudBZJTR=<`_}eD7Clw ztWtX{`SL4S-Zui3zC-gwf$$cWpwuv7xGA75;7QhA^$tg?kMT!>`bL{KBF{)i(dM?D zR=#I`gl<~4tMXXdS5Z>r2gmuM6}x_<#guH@7R6qw8`w+UbrAQL(<8qf-G)QSAC zYST4O&+D6b2~&=Q%mA$SsJUXT^We;D`#>!l2q?F++fZp`9_yO!@IZpiplz$?x*g&h+?>fLUS()~rj$xfwG+w(K zwPSpvxe1ZE-hAxnqqHujs;7Fqfu%YBc;B^1_MtgPi(A~D-rlY5d(`J>b!yn8MQQ3N=!@I9BxOcjKK5crf-pi23%GxKs*_pQ z_E~<>$td?4Yum9s`bpCk_C1%J7kmHqAX>Cn_4Y=kAu~Psb!|cVV@GKc?@l-VD~ucej)zux6dOY=soD#DdpWK0UZ5#E+-e^W% zP<1FynLNgwzOG5t(cclx_y;Mb=ZKQXv2?d#Qp?B1Kf(@3JEo6S#lO|*7$3<$8Gq}@(IBz!V>r5n&Xfl8wpCYQ zuHN2yhwLs{jlnOlP?MUY5i7lWk0=a&B25)UEo99V_}>PI`6ZZ#7>ipwMh9ar|3qIQ z7m|CRK9GTso4oZSvskYf^_-y)%PWI54g?{7rl#*B`jW_cwAv1 zhPC)Q$vJx;{rCCJ4Jnn()mbj~*9HF>0XCk7=Zaq2%l;h_HZVfxrR604Z&G>_9Qr88 z@4u76{w$(N&~&;H(P?*CS*2{_XY!_*Dn!zo<%<7qZ7~bIz;X5p9;_sE1C+@Z^10jt zSyT(_1=JMoHy|fO9{HD1JJkdEq@B(km@|phq2!lF$ha(w3oKn26UFN57|KNJ{^UVAp`EdL5{zGms5+Gs&{~y zs3*;wuX4~Z*ajBq-IzX5lPv2yPb^ft!r&exOQzRUI*JR*scmq_b@<}gYi%*ue*qsd z?GY5wx7^nmLA8^Rk6ukoRwO?RdP_l$kTF-ke2BG!hXk;9ORTx%`yJM5#LC&kS=ik^ zR=uC&UroeZl^4i~%LQcXNZFbh&VfLupYS{T#xjD<=(;Q<;+K9FdUGLuywzmvs7VNF zciA>Yh3(OYTgu1q{pS+!>k(6>-7lznViF@x%?g5f^Rp@sndt!QDK?*=A4o!ueyv@3 zR^-}KgQ?J&2-;?1=#xgM;o47iZBFH$KiDp%HaT?J+M{P!Lrq+w@I$#q0XyOWpLL=H zu9KZ#Af9idX1@?0ck9SQ+2tl~{GP9L-T2yhV(yyH*iu+S6lZ&bjkpQ$f{e-qSqnC`qs4;qJ=$CCm z!DD#nIT2k4GtFS41?A0Z3aJH86?4wOA@>R`B_2U*%joUN&a?9*K*C?nWb~qQc1Zcn zqFJk~Fr|2)Y=3#cxd%w?|5*A2A49PkuK0}H%D0{PMEdk)Eu}Hm-m*D;c$!LTnfUX; zTyfp=dJ3gEmppZjp*Y5YL-Xkmt{KI;$`C{L_KlX8@7oMSWSwgkxDXbX^03t1F|Ab^ zvTcjDHm;rkp5%CQ<#6|}s*C$!w^SKMp5FIf=z>FDcI1^zd9XI975mF4msK~RP9q8B z`VZ(A`tOdW5f>(`ID68roeH$R&pmb^#AXZzi1BnE>vTRcEJtn_M8?pyC1E|#6+$L` zoeREiJKiZFAzY3-(IZ&Rf^I&78NlG)q&j)xqdAlpm#Hv$w%&&S0Nw54aqW-97X(`i8fM2A>4c3N0rf6 z!19S1sJDmemv4QE5TOzvUHbhJdgXo#dJt}iWEk*^+==gTJ^&{zz!R_B>@pom$JA)_ zqG=7G^7}gH4>6&h#;_usPHN74S|bAY_x)e>+g~)kSTV|*{D_LNow z_gIgRRK;k=3=XGB^4=v`R+yX=E2Rdy;B~f>#mhr882%=+tl*MgbxWs!am;1Wbs21Z zBdn8T|0B4^KglmKNw!IYU!f{T3`yw%1*VUx(C?vm+v68rk<0z*q(ck1*^^x6vq#SS z2irrohVL?iB6G>hQWce}$7(KTSJ~i!eO}1HLVSg(DKhV#idQ8rZR_wZT%G;dnyObo zrvisV;Yp~)eU}xN6Sqi5P;W_IDlo=Q?EdnBJJ7t_EWUH6mHalc5)77>no?+5MX$jR>z3(n(r8aGO;FufQfP)%%j2obc`xbYtnbQ@!>%hn*y<^D?R7CW1A6 ze@^UvQ7DQv1}pD@yFL#n~B*yV9 zUz^n($6fFRE_hVk1%Dzn!7A0(C`a*&Mpm5}SkW|$TV01dRfg!~NHgj$)EjJzbx&Gt z?o60kEQ z-baKQwbmR~0{2^gIU}@fBOyw*Excfi_A8Ye5!|NTEdH|2o;<@UZ4!U@Qi80YKSetm zXL-FLUpz3zG9ROn4w+TN4D9QImYfaW3L>1}+9MEWN@UPMI6>hu-Fsl-ei$LQF`~bS zrpq*OX&n6-)*mkTnl!n5|NPVgA&6gw$LRwmzcy?3GtmGqJ^G4?sr1md3hfshnvTbe zOyH0e7S>Ew0N^I6{z~;6=%}DMCoQ>!AxV+1T&0s*(&K6Z)?Y68+3n;8O*WAoB=08q zEMOD0NO(jloOI|3#;%;5^wrLym2le{Kd6aDIbvQYM03XsQ)EH8LRLzXq_gvg4@#fQ zNfSBMMTFx{AF75!jnKg@q7j;Bs*E#FOfe(tjyXwczKdR&OZxIzSQM4d!ko^l`z0JE z&!+RVRy0!dh?t5+twP9Uc2m{?V+QIyDRTuBBmVi5i}S69KN``)nnY8yhpAkKdloXt ztQC13N$5mmaeVz{Uy%%oo)K7BymccrMHbJN9ODprg%C$zr#3Mj&L5fIwGYv-DIYm4 z>B>1x@9t_uI61V1#WFE!?>Ismwfl>CD1x)mS9pn}FE-z8wuzUBaI!U`C)B}7i(teh zolJ{;1jYK(#LMQK2B8Zl^}EgU3rVjR3(!aj{SXU6;G9_ylujo;!mVQ=wTpO52~*jXHRX-Yt)i(0;SP@5)hVlCeMaY^ z7my<``?Bw{#DpH<;*zjN?LHD*7KM<4#c-~1Y3MC>8-pd0Hz>)7UpS zBY#A#8$^!Na5i=opRitiloJ_YHa(Qo5**?&dCM#Cfe&rc*AFdY!0| zizFbNZ}6hlOkA|AhNDhHgz_b0OwTdlp|Oxa;DG5WyuN}9u&-_(HJ_Z76Y-VQ4N&sA zHGV3c8(`h4MmS-VMbvVg+EmSLwMPqBFPkKTc5Up=*9u4zL{^gOjMGE<{p)n>NOMVB z1*TYgrru#D(QGEsXl9J&nIh{{t6hJcuAPe|lWwxd*|}tU6#ebh&|&#d3^LL&m_aP z#6H!ShFs~y7-m)$XVRnE*Y-MRoTi#0rc|h3urnd;Yl(k=>C^6wy%I3^)qo9!1j(tS z0Tni}epGI}8oDG!uNMA1@w(=fC0tB`kXIEQ((O);_C{!I>+5aC<3g(dJcm}1D(JS^ z_B2tDUZODEF7-=ZKA=mPOK@J+j%;<2c?Bq}k*xv0(KZ@>dzgi+X(H=`5Er7;T5t~VW2e5>qD+aBORTw+1{?*IjI;o8ItBLz-AD^f&N*(ri7#Drd47zW@ z$$O-2=O#^vmVTE7uNlj^Oh_#3baM2~g`bYVo2H56m*X^_iECQYA{j=g=E0tyS*Q@Y z;t-iAzyu#=(&TsFSiNbATKbi{hC?pvMlYmrLazrkVm^^P)hNXUo%D1gs9<}J!FB~o z;vSB_xM}p5>Q?)Oj0#Mw_L$GR*WsEIQ6W9W7^cR=O(AviQqbT}qheG7rpq~%76-W+ zPv=3XIuAcaAe|mDIJlfKP@BwK%NOCZ%b;b!vVc(MNVbsES zK5K51%zsXSPTW$5WBI&==>;l7F=y=6E?Qk0^aikbq)GN@pPg{;66-9zu~=u(-)kfk zw~{4MTk;KI&4L4k6cSlQsD@f7cE8@7p1Ycmv%JkJg~?W=MqN5Eo%9gn<$5k= zZQU{k+ti4dM-ffZv{J-dPgr?NlinA(D8%>g!%a5+O92X}r*h@^-uB z*yvI}oNZ#6EtzH|y2znx;^w@3VorMeUl<+j96Hvbe0~>Z5rs=9`Ks{j8uLbXw%g2J zMj}j2OdyV1{RRzjqB)0VlPWo~Q~_%uG4Ev`NPtNuO2qf43g|nigyAd&POX*o!zL$@ zpYjOeq)3dfNqmh3Oj>9v7j|rWp3R`|H-S-(?%^`Lv7l;hKWleSGR9C#Vz;9{22WwH z%M4P;=8RJjIH!CxPf=5+Ae0}ihZ9?{H8DcDIq4A&y~B84js)aWNjc-iPJVPuGpw`U z?T|lyltxLByfJ17gHO{v0orFzSq(1Y-LL9OS6qp|hN)2>w<``fZk%Ehw**M%G>#wM z0&9#CRk(@#pJoaTy;$Q`;l(Tt!gTWy^4GasVzQ_PBSU2OLVs3nU$JRr=RiRVHxzG@j;cFs4Nd&y z{_^j+5K_Auf6y8pw6S+K(Qor2)RhbbzkgkABI$Z)v>N3YnhT#YgEhxOuDk(j;xXX2 zh-XuJks9suc=@-q=O%u%kLYVT&)Z%qBeEA38}UUgqSL z4Rlh(-3KxPkN{Y3stN4$#}ru-ks3&OwN%7MO=rB^@F&_5`A;7;UUaK}n6`Wr=ZzRL zGa`!>2fA-zhG0%Nj;4v zIGgrR)|609X;+F1bDZjeUnpeDCqmbLC9BOk)t&qf|FFMsexdl28He^WmB;+mC7zgq z1~#=p^Lfn=BV^lDDQLhG%dE_0t$&n^c==OEOVpTF=5!IE07;>~%EOe7sXo~Lx>%N*IaYAZ` zTaMKLX8r>0`-rFe(D{im#B$sKh99&doqvCyB-lwXGiLvxkh;m|+OHW{{U3Ugy0i+`2r> zBqjbO#z(yqN#gz-@K2JEh{PR2ujzXsehROqcsjd{yYL9aWi^19dHHnB{K~dyquxGL z!glLM(>e8+OCeC!O^}+tcCOhvAymzBiSkdHVHG!tdRt=B33~A3>1L9e8k2kgo|=+$ zw>hJ?IT)dfxONHfdAh$$-av$P7E1cgu&@@nQClzr z@dekPrt*j-useG`O(tl37g=&%v&9jG>U1IPo?41*%pZSAKJ>;vYO~D*As?Il;Tw3Y;!g;JB6w8W{-GaNp= z1)iLed~9=uGs~f{Eb&MhD-~|FXVYf=aTCYdtuDI5&KmLoQoRbh6dQ2D8qxaQ$_71i zIvUdAY(pBd4Y4tz+4=*Wx0900d84|lh%WI3N6?NOt8F>1-Icbqykg3ND{`EOl7-Ca@te>Kl!u{zfvPa}#5e*xUKt~r- zejvjYTUK=vQI4m=6^LwdYAV-if*g0Q{93HL6__h&I zxC3@tB<~|7sxWvo zw}ksqE((XX#OO|c**5K%nkD_BKLIJ#QehMN9^v26hdy&6+{t8pQzGOlO(aW;>GNeI zSV2eP#* z8}dU!#)9~HIbrn-(fH>_jjNg?Vz#t5;hSVVB+|R4I>|kiNaCoGwT*0=wbum%vzg(j zj)dX(FaCI$=43&RNc`Y7#!kCa#{(m8PZ!e;J=_oKYUnyB8tSPL)G=r!58C`xUa24gCpXWcX9k)GSgB27add8?R#fg88#I@t-^4AhXJXu} z8=Z3$m1dH3OhvPz&T^B^s4&OZu>iNYg}inYnIf`tks*F;gV~c9F0Vi0VbT_IW-t1L zJQWGew#e!(Bx?PlKNSC{G=gm3n|wdmu7i^74Ts;h6<~8Fc@=~%^lOhA`_Wp}|j_hg6l9_t3`qI3TARSzK0^f6{B?$WQ)?EhfCxgjtPAUTnSE zh{-wKUYe7n?-4}Qs!#uJ6mC5g#$)ZqenDc%X>$Dl*cPOH`m*qN5t9aa9N97*!WtirlO1iNYR3}_^xKJdE)9Aa z!3h?N2nfRm*x^gkzAFrgV$&@R*g$X@bL#|FR{^Qy5;i;Ejb4+GtspERkR9FO!j-$h4qfA(TA5{qaI!O^H(r))Frs_-KbT>)C(CS0DxwZRz9c|H^By(E zHjAd!$JDliBhI?b&gat=BTli8nb+f>&>`EG93*Q>mdX)I)rFV#UWqwDKrBTOd%Fcr zFX_akL+JRGk}u8n9+oMLVo=E%NB$~dFSEgXPh8>T=oT(Rsz&bRhbi{G#2{Vh&r9hn zdA>YV{ONf{V(0@#L8br{v-HYflVm~Z{TCj8FCRAtDG?KjmTgm!Ct76b4Yl`q zgzF8BTnrJ;nv{Mjl6*4|RIQ$1+V>DJs~3)YNnNI}ETX&%4bJPz8%aEB&39~UFP+jN z`7&USVG#uD2~+ZISn~33Od{MWrkfivbXq|Sty$Dcok(!5dLw8m%6w!oXy+N|k7q3_%S| zoQJVfZ)L`S3cmq^?q~#~>Ox*L{*7*>jO1b$%pivTuqVgO>rZt)>%W z$2cnByM*K~PfjA6 zp*g*(1l&!vnM}2rBt=-fC{AsLMLRQJE_B6*_Rwof&;$=M*~k=KB$loPXUe~POvvIz z-a_;Vmo}?1XP@bY7FTr6JjO4(x%r6Rd*;{5%ut|LO$vR}YcjSHX2gsWp0< zHNQ#r{nd9xt$99q!$LX1m5fPOk%Z~YKq~z>r}pG>57T(Q!VKnp*}7) zjG<`osRkn0I>*W_JgHwr-UdA9cvfqTYQ*5PL>SLkRE2)0Unpr>VuMeN_Q^7hQ8Z+8 zPaWe|)O3+il8A8G@S>0GVXQp*VZNc_=|r&F z7#t05S&$dZ$o*se#ze~jUo@RVpV&R8**C~!j1>xEN06GFXFdkm^r%B2!=$8;y$|Ij z_%O|09Z|iOT3{AxA;pk@(0u@PsLPWmjD?^8Zo0UKdaMmj&wwI<9ph3I+m_7KuQ)fT zq)oGRV*&K{C1iO3tPX-C-bMcAD!aTpNY2qN181_`-xV(l+XgCG7Ku$dgnjxw)K4YE z%?HQ>g{Dq*(0(*>g__n13ZYqtV5w^Yr3cB583>{bCn$gzFnspmw#%CJ&`bxw zh%&(OEm>uhj0D!eHB23|Lb zUZQ3;X(bsY$0QIsP0FZ5?OJjGjBakczp57ZGrh;Y zk)r&kjud}4>Rm0Nbg}?mI+Re?o00V|x^3|M+1PhR;p;}H*Fa0^ETPcvb0CYzH;(;HBpN5Gx=`LAyfJ}$BK)$9(m14x zW?GX1AMijaN{&>Q9&i4-cn0xf^UoN|X~j%!6K@0XQ{+6ce%A$Tlj1e-vF4Q7=3n|9 z^Zgm&UxjNb(7j@+SUQnQ)|&z4gcq>pC{cCB!VE;~&2^p5kljGldZ>u$d%dys36k@4`$7T3J^;8|jb-guVItHxBE&->(Rj43 zLxI-TchWeNW59lhupRH$hMgY|4ios~Y_nL^i6FP0bWE&9D+jqB>-kR60Pu=AA1=NG zhq@K;qCv?=L?7a&#&1K|OeH731qi69$YvA>k3TK2`?0N9H?VkMMJ{B>OMhwuj*cth zW7cJv0x~g@SZmenz-qOpP6ITSDICW*Cq%FR=;WXr|0p3|)`O)P&~8u_6sCyKZbSPP z7I+2FNnt88G?DQ`Bv7j};$U5T?JwU;v8NR3}mxo|rb|%9RQtKog zIrjWE(Qp>+qFC*saFRsil7-jdr*C#Hn8Tx_t2Gna;BdTCE58}`6i;TL&yu6R)6!U@7DE3b{(1uot2esuk9rqzlis1Im z%EnP}mL{mZOXFbO|R)c+FQQ zZ3Raic1k$grI5*^Ik5qP#L9sMa*l(jVdErGTZ-^oSwhqR2d;CH2%xZe-UT+E&xO-u zf-6Ww13Cj*)YjKPX3OeYn;X$1RSVB8#F)lMkNeB;Ml);|#aTc@haSl*nqSx2PC#qG zVeugqc4iW})%7%k;#inUdlj=bU*{KIcTDH<8w^PiB=k*)Cgn|FF0QsB=h?w*^^#rvyA1kAHcU(rZDKNY3N`Zv=As^ zaTYSHsPV6KLf9jabeZo7X~>W{qOk4q@??pC^)ngvv{3k%gKIuclHK95 zg!x?RB_k|YxO_tf+4L8A=_pwZ)T1dO1##pm1noyRGeZW9-$7d=|5bTUAUUoR;AYL0JaN-D|DDr?P#Kr0iAh z+Y+K5_{;j$V-nTl7PZQnNb&=|F$}f7m4=*QFaj&y44(k zkrDLJqC5c2ts{~rSyJ)QX-%e$I0$bOUuMXRK;Smn<2~e2S06#M(YSqtI@Da;$yjiT-vFxf zLU%aPKz!F2+z%sal$2I*XpPA4vJ09I=<)pN?}{tFG-sb?MFKF7_w%GWMbPnVMI{2% z1H9BF6r#-(I$3gjj^HPak}CeTG1pg>>C+qz$_pB)immG6dhJ=CGz6hwxd=`~H&W9j zD0M;nnu%^wo{GT1gu&cmgr+wEqJApk!hRoOeNp+v?Tfr9xTOfw^+ph^DaP6;vaW%; zphXIoDRjmsT@_6=FK^q<`Rbh}ZXzg(fAwc$E4zHVP)0x1(@(AuokBHc3LLyQk+DPY{ zBx~putOlVsr`gYe1vxpP9ZeVmCPvs3Z$+cDzf-tB7H3K4cR3Rt;ZVyvupw?z%QT0# zK}TRNYpV;M8 zG`+U9=ofAU`$R76k6l^KIeD5+P~H_lv7n$86*0cC17V)+_j6htJ~kR*oE(22$|zzs zNc#4)!KH0vjE}|)yr`8(%!P-+C+ebpXlV~;yo8fU19n=N4(_Z~Q~y4VRCHd)>4LmJBpjGHB zdO*eymw#Z;=Yc}AP@j!wWU_Ch;`)MQ(k1;ucje-B`h|&EAj#?-|_s-gnd3CCQ{My?+@GHNWeg1RTyeauVGwF9et|sku&5j-*C+c z>1rAmeccRh4j>A@(=RhJFyeL>Kh0Mj3hZ_TbGyQ6c>`=*OwHct53c}O^~)yiDMa-^ zaYjU7bt>@F*7R6i2%3Hag0g%~F7q`<{5-A;ca~SPMM9lCO@@l(D900BkXWi!?22Cv zYC^Xj$+0f-_7sV!GWz6w_32YEo2KHwi`S1iIfi_PlghAsZu))HF2Tt8e&ZjFH!Jty=k{>sw20Ih;cJNTB{w0h$V>}` z8pwwXwMOANk%v~a3iZgIsUpZjx^WR%jPN5kFAx#tBC2+cRBa-tqP2HwZ(@WHD+$T(_51UO_s)B{*SYte^Esb;?!5sl z%Xcsy`<*HQN58vsaXMmtpnCSmUHxyW@X|`1Ky(>B8{^!U^>xKIpp~ALZRb4+S$Z5(Aq5&mf6w&d4{+JhxPLa`@EuXdumNVFD@M=-rlh_j85b|yj|P2E`)ATL;>DvT zCPI_O_za*V4H{YtZJ``>nq?i}zrQ+my7q5oBps}z7GQW02`(||jag5pZ-mjr%(8+m zlR6k~>js@7>Bf4xflZO?&6`v+(C5qR4+N%E-)Hr)A)*y{7=f68z0y6?p-t=1`3Ni- zZaVe&c9mi<`a1QF)Jdm@5K&Q0U#r%fP+s=$CL0v=X1ma8%{lXELeLE2M z&btvGd5!LsO+)D(_e4@ic;$P?M&V==HFE#q}k7OS(uCvu#qdmB^aL++E))-LI{%38Y zkPA`$l|ZJt5<()>7s=3(mG*Cg{QFn5+e}B$uk8V^k9P6b?@>Ky6|!rw2bW;pd9y%@ zuK;#t=QOa~%pOX~h#xRn>OymQ6+&x;2E)AsEdY9(2JDtN6?Lta z97h+4L&h3ktpp)!=1f1v<$S%MPGKI;mqM~uT1bD4GwRj^P$lcgr*cA=h&*?6Q0PME zpdXz;!5=}Te@^NhcE|Z5Vr?amB1zyzc*N)i@AZ)ak%Hz%xQ_~3SZpR)w$L7&+1qjl zu^Ef;w%KrWM`Y9zFaHe#iD>aKJGpJ8U(WI;_c4Tit#|T{H zJW)^C$Xs4PWihS@*2)Zz6+1^{Iqy6%CHd?OKfPo{LJlhfC-=nF-0#Zg-|!zzSf?{?zCgBxX<@w0b;e`Q}qm|!-)I4 zZY7sh=sXeX@ChfF=RT8NfAi0jS#TC-M8xP-QPB9U0ayRd-+gq zLeFSYT94@sZyTshS5?l3TxKbGl8_53Wi7Tzv-OPmDDsvBa^IN_N%%%S%W&h@y_i#1 ztS_EA;5_s4#qVYfQ~6l0En&?x`Kz>w(#sq##%tF`cT6+}H#RTU@e6~*Qtf`MzRa)6 z(Ieh*6eDRHC#)fza1b5`L|v$Tz+khG!)fNf+&Mq)3cgJE?f@0_FZiO>E^B|pFIP{Dw(dKo_x{!! zbdK{@{(vUG*hu|mDvNxd&kqtP7RVKi`nGWHnc>On%gH{!(68b%9}jv*Hi@+QVSjTF zy3QQF)7Ji`MQU4zGoSjK1l{cG^V~;sZ9WAE({RW(!`cgWZDK%(ERYtSbKmuFQ1Ljp5-{N2A|nE?9$~3amhsY ze6wf2==8J3*6}G(?m}CH;pXS3Wql-qOPcX#(?0M-Nfxu%)2`|oF!08FV1RIdyWo8-MmM-b=qE{i|6=x7BS?e z!W{|B%kOs))wa$%Gb$e!%_=@MTuM`Idlv6M7s7G&;emdRr!Ilg3oct85vntDek0h& zG&sQ&fV#lqeRHDj3@u0RY){_3v;^KXG}~PIiC8S#itw#N{jO)J=lwQz`8kL$ip7|J zPG-3d_dl-vTVIkSk!iQ_x1OEjRPV@~w1@(K@%J263QctKbH(gc)<+7=CARcT%&Tar zs(evT=ZsUQX;s#3&;HoONu3u~P=>ykJ^jlmC7IVdn7>hUcpFL6ANj%X`uhs6+>4TD z2zqt%Lr#mN@p4v`m)xhs*RO=F3Tc|>t1xl$exgHOJd++a9Ac_Y5_3uudJ|^Y-Q93V zq!%>%u*vhWJ-?jm-=0`?DDs6LzK_j1Q#x^F{>!C+WXEs3v0M+FHMEAb3D7I@Pt2>! zxA7ou$9&N*bhe){aOR(j>++@7nLd`b=a4*C-d$j^>(qa1C~KY@*gPG_key5}yE1)Y zRs(y@P`dCMbG;d?QVG-i_tyDk3+`L>Bir{ z!P(D)SZXUI{$$>nYfoZ$eKsTd>Xl^P?zd+?cr$F&Vz04O2a#-Qzc>GNS}n78er$G2 zI9tJj^NPgWj8k>5*llky3*L|@y+e@=jmH<=-T-UutWHN`ezy*}m{8)Pj}$8Mw+i1L zR5-qBZt~}=%*6_>3c65R z`KrOrC!fxe$I1gek;%a^!I>}mAEI1xNPHZk&yNgGt(r1j>Ux=gY^&+DWRsJu+ctN44w1lAI8tD~&piK-n2Ob>*(q`@a;hoZ^~ zD*VoUmCxJOmom%6q4DrU1F1+=?n{M=`K#5)OLTjS{OE2wo7*-H3_4!B!7M?Qk5~>r zLtS`e|FE3ClyJLd_XDkxt0)?Dvf`@YvQ$CETOsx|E7y;P1A4Y`ml{aXhWvMopWl|$ zQ2gYjQhB$%JL9o<<_i^v&siUe?lG`BfpO0U{23NyH?0aA<4GXfh#PvUC?-g^caXl; zBRh%DQjQx>LeeIMY|Hgih1|?%@PC;+=RA*EvrsRj3>YMqW#8ont!ZQ3W!aTo{&BQg2>(BxFBOO6-ef5Zr9!LmqB(Z{qTde4ZT!6GOl`tR z@A`_Tddtg9L525>cjz0ZU#ULqNve8F4+<12JCoU-GqUm|j;qnDK zFBg(zmQ1`eBl?Scrf+h&zIEh*b`&I6VQD&l`uu6aK+ z4f`-E5Yc}r^SliAhn$C?vxrKOU-Bf8-NcsClYGx#*+FJS)&(&ziPE-Scj?58rx)in z5VG05mi6pZY0-0WniHbUJn?x#jSPo3=})tHuX&T{&+sqLSsiO~*eKR*B5!%04|CfM z`-@CHSEW%zgFNYNSxFB0aye;_A4OqOI!$L2zr9o2Y%=sfrAwZ};xmt! zm`>f|g}}{|Z^wsgqJ%BH&TSs`2%AbBo>eF7L^Ik%4Bq=t<5d~*(4`K{FTK$?!@YpG zDDE_gk!zp&p7Z-XV*`Asz_iUH$|g}9 zxSGBS3l7Tp6BEqW|6E6-RB-4?>R$BI^3ZhOWPP~b_rU?1sia%AT|TDWoOiBLwYoq$ zrXSr?LcnPWhY3mb-PY?e{fS(OOJD0=oUn_pGhqJwQawsF>hlLy$)2>cj)G=(z$lT% z%kWPP(mP-_EsA2^UG|KH&s3AS$kb-pdjS>@o(Nk=oJUv(a8TfTW-9(qBq|slAeau%)(bhYGmRQB6r^Q5e72k1@w1=wYCg) zQCg<@`=)x{Ra&@*yM2*m33#SRV-%ht5|y>vh{C5wVl(j#k=QJJL?j{+pB*WXj+coP z$izR66iCC*YQ;YE{;}9G5Q+MP*NYTL!J9|MWa5v2!uYyK<5bbDUvs{X_c@ClBCS8+ z_ctE@?KL>EG+y!bGv@KTNpbpm{W4r;fb;UwM$CkqvZGoOo;^|{8Q&TSd>z4YCiCQU-O1Ah9Of@&TN(jKr|iOxmjZD}sMM7OdZ3qD3ExT~sd^Tz@0 zknZ(Uj4_^x)!Y566>G42sAckS_wx-uPYTV5f_O$)txusjeFY02)oab@AAZ_Bp4vTb)N0Z{?AOxN zKg?UD7OYYsk%&xp)FpT7t+|v%Fz-zM*38Xu6rL@T7LO+sS^coRZMSzdk3C+1_ENBB zfSr(8i0D~Oz0n7T%#Df=w$kx`BV!WqwUIF?_`^s~y^M*lNaJ|CiE>712x;ceWwIhPo1_Gd-ODF?3bp>#N~2WU8Yw=J zS-|X@wF-#4{}<7KxCV%-I{)5UeE~!~AR_)R7Bc&S{*`U{Pvq}sXo&S5kOGBVM>i!A zq{bN<*n9@0vWosMP6OhEnVPez z?1HfVS%+?XEMZV37m zkDq9D06h?}-^OLNX- z+UOIX%^!U9Td#yF_7A;8d_b3$E4#OM(JItwD|_WPP6=W6m-XJk`b4)sSpdbY zWP#$_OWT{Xb({n7;7Ukg`9P{c$ zzn(5ScexP-(M@__fvp*&Erwc|XaEi*NgVzHK0JBh*Gge>*8BtH>0Uq#TtGHvUZUHu z10N{7kLjq~U9@b+B~PVm{cFG4^f6GLvFcy>gp^Tk>4~I?*M~%vpnagZ7PgQRA5#bc zCuo}A+a4GX`N0IITMg8-0hg-21-R7i(zd`6@4`q`{#Rs)ReP83Qu}%`W93oeRCT*R zGf>ywqa*skRW|IEdH!eb558PBi9<^^T>l7|aDzW4;nN6j-7jh6lAd_Z3*G)r z=LB1X!h?Y>@L@GQYJ;(f{%SBWh*dHI`^s5xE~xJ)wg>*`zB+CT{%ePM=_kSr9r$hD zqd9#+cbZ^9^^B1PPYZl}S6c?0SOf;+Ah>McyY0EFkI7C_3ve z3H5!&<)zQb9;?pK&A6Sf>%Jl(kSUUvlr&= zqP$w7`QVsPqQGo=0X&0w?WO)>&`P9%h?S6q2yhxOsV9BYxVEe=Lpt~cilnNSY;;&1 zNtXuVzWChEx{&US)O$bwS&P=k zE#nB*47D3VqD)+0lv8a=B)`I9)Bjd~Ldp`1=NJkoPYkb}>&*X&u2Ml{+)9=c+G>G3b zE!JLoR4U?3wh`}PjLERyt`wM}vcJgIeGHD)4g)zWivqeoe~K|FfV-@``-cgV4G%K* z)TA{qkYK{(6WoWK#yZ~*2fV*jzx;aOHq^m8_ea&2bN)?P&x>u%RZ>SW)jlPl&G~PKEMpo+zx+&oKul|TE4A&rYfTwGFN*~UR z7QKzV;Un2FiY>J|gj6SIErYU7yplWw0wVoT?G)L%@OBisv5v{xL!iqwCb{vbp=}~r z{p;QT&=EyaUcRA?#aV!VMJzCTm-kv6Je{L+zua%bB{x=m!UT;N8W^pEwwHYAnH$*n z{U-CdRo#eWGShZpNCP8{Acs{{_zm;3R-#qZA~G=ti;?$S)2 z4gfWk#bKg`+qd5+^pPdnUemh1&-3SO^SQW7)G~o6^mk^mDh{*5X>4RQh}2ONVxNC& z(?A{qk|!Q-fR6O#9IA{xrHVr_bXf>ZnC_`zA<|W+>2w~5CV>);1y^H8-isbTl4Ak) zcS>~Tv^&0-)%vLe{nB&Zxv%|HnU#Aw-w{UI$5Iy*>uQj#p1V-~q~Rgh+VtS-RYS(< zku=8@(Dt2lgZrF@clKAZDvf6UHnP?K4!o!_Vno?dsb$32ez~eqdiw+PWBc{*EoReg z5St^qLF7MgX(XwnUZRrf?`A>CI>Gl&lhowXuM=GxazhDwdK68OAL+5&^~N3hpL0X8 zYOMaWO`1pEy?h2zW$oZL%io&5k zeJAyca`Eo-zCgrg?uEkjlpE4?r0<4Qh|=Fm4Ag=ayZLv?8S{sF?+@xqXA|avncSqU zhwmb9jt85|$CIfGCl)r+74O<40v?yadvj!1iRdaAJ1Ril6EI}e=Ov|{&X2V>Ljr^Y zBe@U#vocw~f^p!K=xJei(-?P1uk^eDcQv2b6oI?X7l6}^ri!hH71=z4a(9EX4xsdT zeBf2(X~oqXFg#B+A!%w~_yXftz}P|aD$e27kIP{6M*RfaEWh|FnSr*7dPb7gq_<@k zR&8_A5ru~-=+IaC@k_u8JSf;^xUozd?p7`r(DU8nzmuG5ENLaX&YluH!ZH`hiaHDWM0dXV!v{@Q8LNzfTv@HV zmXs2445$Yu&^r#4@$}C zBWrt`W;Sk5^)m5i2h4XXxBlg+4=KMOFL{0|Y|qs)TZQ3Tv#8l5>!4|;)vI81dtgyn zSv%G-9yP`X=Zi2i4H8)6C+_%Xr`)29|0sWpp&L^*7-kGP;Dnx{Sx`r`?h_fcck})u z10ANU34SMdq?yZibv+i#V;=ga3G5#pHA}=;-M1Cthc-_qd{_NhZlDqUU>DpcxcK!) zI;f`Ih=QlH_orY(-b;f_%#o8F`!AWY#vE@<{7RYO%-&8T_^@fvOAc}3#UjytF6cMJ zvc+3lUwSPS-#b=(1-3%0uyPf0 z4Iq(*4_>c3HXqEUu`p@0M|fz2sVo^Zcx7JQvN@q~YAg4!pRPSs-?@$kOJ$JhT8@C_ z`yU^xk~>t)Pf&Kdqn6NPlc&`>nb+-3P^LpU=m;0oiS#UCcnN#2bOP_SAF4XOlE}-~ z)HEuLcPC%>ierxg@FM*M3nZV}5ttn?#z#FVdV(b@kCeBTV8f3=9jqHpYH>js-1LgR zrSy$)x$`BCF?oIuIH{Jp8oi~Wy=F?uo|;rkY?{WN)#&V?BXF;a-9GU#((G@9gPfSY z7G-Cf){!_-m7t*>=8AY*XWa|j* z1#KDzxcTh%oD*m^>IIIU-_nCV2BFDC@=Lhez~&}Kyp6fWVhT!ov(SWene6jagz{)D zO@o{V`3%%>n(fKo*`sj8wsHk8A6zatqTC(vpy^NtqRwkIT*D5N@5=^v+*d{}ou2)X5p4h?V(4)l}-61IiOlIi>Gb-GQ;4jg`xoai{P323hP%sN8XHsC{Dr01M6Nr=n3nwdbzPv_~+VKg)of&cFe89g}_q>`oZPPWsYJ zeMuhp2zkZ?I?`w3vW;8aA3Et}eV%megSsSogED107@Fbb7Rz?rbRxjSdv{N_Vf(L- z&Ug(6ZKikNfPZ)UZxz%Toa15tk4`=SEvo;hioN9cl+WM#kV#~7On7xNJI^AB3zWTn zgUA;JU%4xSCS^?zN@A^}S1)yx5#iDsIdn{KQ$@}eFJ6_KV*E>kjMV>E*^toURB2T# z$|+$gXlmu{l?d`o91!B%hy?m|f@JdzvCHlgPG;3L?tWb1EmN7ULGFHjDJ}dwe*gc0 zq_$XH73c9S@-x=aviC6^h93keF`>1JhB*@LZ3iI+$el0jq)hC9sPY<#lxdX9&yM=L!mFv@{ zbz4BRjf9G}zHsk>SXzaf`l@9(xB;c zMuw`yL)$(sKQYpRDp8Hi6ZVnR`iSa1>yL4N_)#^M{F-}O=688CGl?eD&78^2V14BV@&mWu&H)@90(~vA48nft3SFi?q zon0e{z-$LoOtHDVPc-VCEpoVHZ@NJ!D#eC!`1}6hp8H4l$9sKm4YAbs+w=AajGA;T zP_;)RZ_4F$Rb(%=ZXe(L#n0S@K%(Q*ysfIpzau&|Ph8R*mUzrGN-mBO{DX0}xo zHzR^u#mUuhjoJb4pkxYlxDRY5`?Nm0DeI0@O;%IHj73U!F7xrRg-4xZN%Pk3h1_pP zr=<6!_er3yQ$J2`Mb}z_hqkFtmIZUY(|bCKW|YklrxUPzCN9(M>(2X+n$YDz{K9Z$ z)Wgb7u%D}X=NRe6Xff8!#`@hf>JchCV@pV5Wc}r7nCX>u5AaD+k@(&1^>6X!ORd_d zh=+4h2Isp0cWf|NDis zMd0+Kz!vM5j1kHmskGwE>yGD*PVnu0 zHXXl8^3|_ts9kYG%ugY9>1Rl{cK5>qSoYTaLo1IZG~W6dlw0T2DUez?z8v~k{4rb& zr=-?c3cN5YwG*}~oJpDA`E<6=D{1{E|AD|GsbyP_WoND9PFGC8_DRtylSdk-U3MVz z?)Xh1>+r71-?>6|(*ZoBu z;;{VHs?6%o{RVaxjy|nkX6|XpLlV|yW~Sv*XZfXnOC?l^z3r*vij9 zRumScBM0S0qs5?sFt49OIH5e94l&SFn|kC*`7hdI@K%!JS*yaVM^FK10nMZRB<4G? zH+%h7oQGdVlQm$^(aTfi(;9+q+GZj$SvkO%HCA6HA0`GF8f7?c{5k4j*%E+lB6TlA zn&y>!s#&1gu&n0vaKOhrzSWg>-n0aBUAcM{tCNi>{&e)tclNMZiMrJ!w&j-=@yYw6 zHW5-6)MiH~DB0&LAmKfwL_fwZkvBiU)&;{44KbVZ)1A*F{ZuQ!z&HAE1O(nc4htY< z+#T-~7HFn^0kDm)J*b}P(GjKAF5lY`l$dFo8D%qh>m&Qwr0(2eoPEd_-(oI5#)Ys; zt{5HCi%x9EsyRLFz04fzy@VL)5QWuxryVzu zX_H9vvjX6t@#R<#LD~y1Wz6aTrhTg@m2I(d&fLvQmaf+7HL&L4f2&4JR_$g@ z`_dm=3O~&G-_?#Erq}^oaop2si@5S$s3FBuO+gPBi#ldnj&9d-I;~@%@Uh>1m#Tzbj(Q- zNRX-wEnWb;9JkQ97cWKZj>uMB(2F=x$oE zxeM!IpS-ix3)U5j_~{Wol=Ywcb9=lg1-@$5;eIE`Q>a~G!Cv1D#9{{!Xh~cw-pf6Iy*#U}vMiBH{r!;h)RmLHC>HQm+vdu@XS2Js-6Pu-J?-tKrMSQfWZ< zOHE|Evd$y|7P91Ny(=*Ig{_;opKaOQ;r$EF*n!w9{`V)&bhdd^gDwxI96K!u=1o{l zk}%iR0MzPf#sHutNYA$PeValVIAA2^i;gZFvwUCv2H0THKO0Pp+MD3fkWAC#>};bv z=Nx>M@i!Qv!IGuh+rbZm=vwdGQEIJV8wXhOP(TS_?fJxF;@qHx z{F5@HHv%0oet_tEPm4#d%3-V=7#mvBRVkjT6cA&D0|m zU%!Ta9OljEdz@>km7zUzd4&1AlbEy*dpvFAW&h%^58#T#?q^Ne{2M%7H~-8?{nKx0 z*Gx)~HKt1iFufM(Unl#KQ>_M>o z)0CGX|6vt8!uPwt_%NHA%3DPw5CfHJ>hCg$A|(GK3H)YKrE_%v1Ih;O*6#CUu&n~l zd$y@z?I`U6X5qRt`DNv4XcM3%YOPsS0noBd4rA)TC=mJ=jbA^}{=mczMw*>i&)XNL zsC?djWD;I;i7YuZ@ zr*C(*1zEQFOhsH@!75lc9?q7IN6#x05)r8d-mP!}_I9e;ExKN&_f!>h+xOgR{V^U{ zI+*?sFpRU0UffNu&PvyZ-m9?zI%KuZV9U{!RXn9TQEH{f2Ei8NfoiI)078r@fp5Ge zE;sOuNB$f+>baa+@%$_L^+|qZM8C8|5llbk{a##9DQeC&wCTYm5EuM7id@P){q9he z1qGHJ#euqU-9*D0MT!B|4T#F5zQzIYlKA4+ak?*O9~-O(w_k=X?UEna-w&gl-&-bc z4o^$}b0QzWjCyom?d96d2UWzUbxKdxxM?KEcudGNmDmaMA3Sd%s{DB%)5{hN?QeIM zuK}piCTKwif-nmA6I(hG$JoBNVC&iHR_r87%zMw!eH_Ykyq<>_^ima5M|P_OKO4zn zt`ERw=;|e3&=v!hadZ41+ZVWNF2PH!oeTw&43zrTwm)X?aL~%UvuBiUSBE~*wWK2$Iy7^6j`H@M)hp&Twh1B%cH?%;nc-9y|W&<*zV6J};Iw)31V*tq@8Zi+N z%`fQ2PWc`<)I_xJQLi<-{&908;Mp}6|5UkJQ4;m?=O!>~;N1^RHgHzT1MI?~FFC3n z2qX%!YG~@`Sa_r=Ys^Ah!ar*l5nF-J^6O?``J`V6JgJ|m!VSQ-!U)FTi2tblZ=`5S zU4Oo>-`^9{8bCaq4?5fd2x%1j~eNgI~`nZ zU8vaClIM%*J2CzGpOWK)`u|w77NDf(lv;8Zw@j}u%pLH^vT^2eOJBiWd6x*?H!$&b zPvywk2LrWPs<&GkM3TEuzgD}BCuO*&cZd=xL+^)D77~u-Fw|Lpg1h4U?$Y56IQ3iA z^ozHCvKZb0eAo70tSbh)+(_JiTch9skdzny*N(g3>1bk`8b3Ebv9{ZZ>S(u~h zgyV^=Up9?89;Hqr0QXX<1Grau&yr1@8ppz<@xEx99`1SIwdAg^ztX}d{uFTwn2UC{ zojLfB@tPZ@(xq*+;}Z~GbA@KC9ptsU(U0=%4`*Ta`lbtMj8K(y&st)(o3;@locz<+_o|Ojm`se#ZX{N4Qo8 zK-o-FfV#e>zpkqcC+OP`zB`Us`YM&%(s+j-w%q#tbVf(N%TREQ^WthTV~;j48G3LB zzpgKD;WJC%^QVk+_2h9|v+emRU?w6BunN$H5$D*aP$yH#g^&m$@>Ys_hN81tZ}E|c z>!1&Avo(E1ZAxH|{%F-m@_^2<8b--H<2o*ovYCQ__Hq0hM+$XZEKRAY6zHkel(3$y zcW?M`Jb+r;n2Rjo3O%J=O6?U(Dtb5vY^V+)T*ghW-Do{={f1Rz?Th^VHLinm#C2H= z_)jrzgm!7+XnHJwd)jPq&J{+#xye&GW7qLIdO3?||L(vy&h=`Drw?ebt$(^IgiqYsjn`Zf^O`U2oaA+0H9{d#-j#in0~2$Y~LQ8;)hYv(+#EH`RXvi+kn6^o3=0h zaU%3!l>W4S4)L?&;ly9nhg(*?xXE-qKLIlVT(4ez@uz8ONkx!Fy;YT|*`^1H)q7hOquaz*809d8qNrW92JC*vmh3-!_CKLs#$Yj&f-67b zzYloZ_#RQM%%>?Ez0xWF9GJ(zeEU`|413KS03Y2A#D(I%^ywK{ofnNU> z-Q1^>d#pjOq}RpGnAB|7g5S%d!#y-Siszw09&DDiYe39`|$yrY+Qi9v`txIA5F0anfgxC!;*G-c7_th}3M z3}=>Pl^*AQF>Z|OoFmn|&`@>tCt0{Jwz#;nr-?-mXQgI=SGU|$l&Decln(_C(dr-N zFOIA)J2uG#rrGy5J}SXEjHXhh=%YkW04{c>uXMHrP``g%Ko9`@-Wv~0j2d6O>se&y!HxF3J969NABi(rYfo}cNOA0oAn6j&CY2_$8TnGh$gNyhJ$BKzyfSRNpq|re%CRI_?5jqq0f497?asL zdZs!mC5x@+JxgCuE!~wh6v$w0B1c$bGh$VV7;xL|Grc2|IJLsleWda=AROCk5}FNG zO!j;Wnrh>?;Xg>q5J(XQ()|(N#AoZkvPW_V}WHQ}+nhr<2soJ?8uu4zd zq^tKiIZ1NrA(PJEu!fnuDcR{oa*(;NrixJ3n~? zt}Yw+YvxkNiF=>D=?8m)#Yv{Z=0}CFx&VWj%`yrnwwR-aw0_!`|7yr{fx<0B^A~q z8_ejK%-n@8jRoO8V!+o|3Rq&NYzfN%4D_4@ z$;U#Y{=)(L>+(8{=+{C@)*_&cIe$K`l%L{Ys=CtJF&~x{j~7{B$HN;UAB|39dg6=V!q+ti&LWk7W1YLtZZCi z%_%wbEPZf^dU3HuLpXW}b>F$+yx=NNkDs|cr~>ve@JtyYzbS@ghk*1F zFMzgyA?jTFX-(44=boF47&Di{;38|75X(&mvoO?bDGStC{>BuaDrE=e zxX-f1DlbLjKw+!H4s^m58F?P{$dFCIoRAu?-Y#~CX*m;l7+3k`vxG|#+r$is3lkc| zL%M_nOthFnoa_oojGi%-u{?Q{^ieZ*F6+Vi!SiLU20cb(^}xH5i$9(79c?8Z=+_kQ zUn~hs3;$xpGA(|%YxPO+hE-Lp!YzIiZ8d{&pHZt1CZrcro*r`zxta4;B4NK4ETy)^ zubCzN@zch(*Dj7#d#>I>sn;_S2!_nB2BE#ugUM_Z?RnFW=QY??f;XR+%(%aS>!3%|i7vi$7ybn`=t_e| zUe(UBZQ2{Z*B?oksmt8c91iy3HBTibvmFF_h(XDV{FRV_O?vdQhP=TWm`1A_^LX)` zdW^lKJR1a7FuU&x;{~#TMh?k;h}L#vCBzptWoRGr@vHC^D(6gyAEy`GA5|$DKi~B2 z$gD941X&UnOOOiM8rm_C=}qZ7Y~YF}?_n>u+Op(s_8d+y{gdLC`uF>b(5Z9BAKQ-t z=tIX2xVv6{;ffb{NDV4W1!#-@l8ia^)*oa20{W0L=@}6}NO;Y;uIVs8u9c3xy+=hd zrbU)KM#nuDwjKz>r=I7JeJkeN(&vrwRS~v*alav;s1zmuF=2FG;aVZ*T69$=lv!K6 ze*^ldl0g3Epq5o>Z&@%h!{(45v9cF8F_6)7WWO9x=#61J(ZlG_sPPrepN7tyBbSg^ z98zu=g9~JvWLin)kgb-0FeNyv_?^;Kh0miMABnzpWoSnr4cCd@+h+2B==T1WkVZkG zJuI?k!W~27(_oXaU9$0|R=r0;yPUVJp2{Y~9Gocc{w_w^>v!v_y!7;(9w%jS7zB>k z56Ul21@b(5b|3Q0%Fu)~h1F&+q+>s>&d}6*w!C)sCOF+^*543FA?rFwtv7pt3yr}; zU&n-6dD9J*u(Eh(^KR=wU*NmE$f$B2ZNJRwAcJ>!)c7i=8$~HBV)&XLY5wYoWMv1nGUd>^Ra2E|-e%be(rW-zJbK)^ zA3P*4DKjS5{Ut3@0vHY*yQ%B7#vQWt#O0Jqc6d(@=u&%$W*?yyc)df>;Q+FP0^uYN z7eDJ62i!Y^?dl*Kj@KL_&B`gbU7P<$wu}6Wt44D@!>lX?7~OYo!W&b!E>%(OU_avb z5(a4D%ZC7mSX12fIlFPJLX_YP#qQgxRG*G*(>BMi!}3q75T5`v5S|*d*=%sEv*TkI zUSqPMs0J-Trw{NAw-<9Bocp%t&rA9t{Q1E+EP9z$LiOZmnoRHU?>X-2dWi|%(cW&h z`wNI%#j>ICvNGGTNTRVl;s(z_Yh(A`qt&&lJ@e(`u)y266zW_nkmw#iIl~D_JLs9} zumnGq(+u-R^8fz<>zgvPiGFnF2R{{$X+=M_q_1di{#s2SL(J=8Jp&H*NZMQTnf>Cp zHCGuYMC3U5{0V_ffq>8BVs1xR+tvsRA~iwFG?rYP4w`Do3eXq?0J zZ&{y)_JwA3a$q08(yK3Yu@qD!sGjW6%hU1HPgyyB@1uvcFzd#LES zVQL~?1rTVdd!N_TP`8h??!8=@Lj$h`Y=dcPC?y6$?QST<4#rtwh+JqM<1Zy3Q&^zl z$pdzu>A^YD6LfQam=x+3#j~mMDI?0~$Z>ZGA-32;e+tB=4!z;(`9m<+kF3ibUuKQ5 z1pxO5TDeuFEWOYN!3-b2%0J)tWvtAwVV>-gxTL5d+;^|y_Ge~UwLU%VXReI$?6c5x zmOhz;CCF#WPCZqd8-+6*nqTbt;n(=h@+V0uImQ_=u^Nl0C-Q$y zWXr*}XSphdeeh#&e2K74^!T_XOHezT&8TCI)Q69{s*oj&o&d1ks|Z zRO)MkCk5Vzn3{_b6G|F>uHEiiLmfObg+`x0IuG)0uBmZ6;42IiI{PVqq}y{MSv8Ns z=&ov_AbTP$A>M2bUxGZK!j71DJV10^Z@wD`<%VPDKmVeCyWy5py51PI5|R5qB+R+J zDQ+3sTUx+17{Xf}J1I-p{>duJauC*gF$-p=Av?584E`?sbVJtFJo06*M`49rAFC!~ zT@`Oot)-emDQVK;4H2EJl0&D$)JEQ-9p7wBMAJSy0CGD)0>un=lp!NmiNwL-1lsAl zXeHDByv5A1cWLn&BG=Wy%m=sC_xiYex6$=v)q7oJ?<)$L#JxV~bRDW|e9) zFD;P&I5||E2}dHYgE~k57zQ3X6l8*b&}@^mGIJvv-j?bT4oP1QKeMc1`zB;?Sx2lA z7VWMyLO&qGtHn))*%193mU-51JW5R~Z1L)P`OP8)aFw zSYokO${7q4a;Id~9a5SeGPhMOwRYim?X7PgnvFUbHds?Xs{iDfH`mr~zG&J`ek(*^ zPltYSN^3aaS^po$D~`5olvf`hZ6eNS{DUj%W?@jFhDMzfUL%T6q)CwFS+`?2(*8W& zbD70M66AE2UrhZ`e_mG2wA47df;-zm-i6)m0bY#?0avgzfXd5jCn#fE-{HTA8jTa)l$ z0RV=hsg+fPISHfWhfTs!r(~G1_aq$Euz2?zPD(=};DGpR`|7(E902S}C zNH?taRW{tY{j)B6aqog@wT%oi?A&0LGdZyX^HoMFiTU==L#jXOa3aVT7%yL+2S~E# ze7k0?NSo+!r@$K(hIqqg?hi9h$#VaDxp-i)@xk5A&?T`JzH;h3Vztur%!?%Q+HH%T z0z=V-6~W(2%%+%)Op0U29M_T$dq%hp#_JWq#iigY1_ypVuFAnLph@WS5T~V{&~8|R z33a8kpC^|aPJbTkya30Yk*wE^S>7~U{?q5~dZpb7wZjjlR=?5tpUy);l<)U@{LP*8 zC>i)%H<%?-mD=soY)h$U3mLh=-s?YnHzfOf7u2C5EB0}a)ioM8*&2>q z(9pFl@=x3iEpsq&El-1>yxow^*3q-}dd2l=w&}^?{u3QRrpaDmMl`L1$8KWMuh>nf zq*VWF67>}XswQrbwzSF@6{-`v&)T_ z0wW}&8wZX<5!!O@>-?v?5Pi5QtC-ol+C%PelvoYF9RF-M#gjY(%d@8E`879;c&{}@ zx1?e{9vhm+g&IhfJ9h!Ojef=jH~me3 z7ko2Gm`xNS_~HXL6z(EbpLp~O!R}u0AhIKQV~JdE01Or}X|*}VOnZ#?+u>hlxO6o# z#X~bGa9L;AwEG*VhK7{&Jc2?jUzBFOlci$ogyPU6+tRupkhdB2oZS&sT-ii0EuKj? zji~%a&m1v-&XJKjRuG~6eC-V;GAv>|s`v3MHz2nF91CM`et;a$J+CiPs?Q7{%CUcz zEpY@!bvI{$Q!PQJ4rdb8P2UNO5U*{xoW!$T+|dy74hx7IWhk_BiD1#(U_VOFRBjm{ zs;^oBF-75Z41!3{jO(^vZ5oVaNAa{g!r)lK&q?2n*5&}7Q`(*d=VK&0A{Kviza2OD zFjIYS(oU%3NKBw?aPx&Y&PiW&F5B5ORKqxnqH6Om;zAVfPOKM@w@g~z|CY&o7uFyE z;{d1wjD#I6dCYS7W8ODnqo-^yD^kAMm35@pWGUEKa`M(eGbJ%H%sh;1lH5m`^R|?n z=ldFwO>M#$JDE4&yhc4lPVbuR6jxTLGoCm0$!aLgu5o#q?~fHcktl+`Vg`6a4EKO*T z4TRqfc4_E!K=eDDI$gaA1KA-euDNeKp4x6IIR9eGSc+2(m33Y3PiJ2kHa zw?8v+BS18HE!|b3U%^kOCR?*SouX(N_IV#;#!A;69;7_ORYv;u*VqWkj;cP!s<|&Z zKXSb?V=HT2}a!NXB_OTEw;6jjjA8cxlzF(W<4&U1{FeV6?tl(LiJDku`X>4nogY{F@ zDZ5)2bBS$uL0;?i=2CD$RNArhz~)(YW0CRk0O9UMGo0(#?n(truBFFxEVvkTPFq9j zRIcOJNc^Hjv+Ue>()A`l@knP4AL+k@IK3PK$e;aQcltq3<~mIJ1Wx+b8Pzo9rDaXF z9SM%K&U3BHF^0TDhh0rnEQkmqswL0-iM;$j7B86uF@PQW#(%jGzi-MBRcDm9o6&kt zDYY4FQ_`XJ+>U1o!c(VmksU^?viKSo9b4|M<+ZDp{EwsPEjYa{`^Q7IbAdixHjR%^ z3De4h9>XRYDMO=jAEMcoG~lDHXNS#Qyg?ktoAk2wZ^Syf@3yTHV^g zt!tZmLi8$DWo~<#j;G!%k_c`O&!M*v#gE*x)O-J+i07$2dD5AU34YNO%LSTDFh7oV z366TYTpoAz9Jv>H6O0l@1v<&~A{PS%*#cS^i36XV!!hOPTUQg~wgg&2KU;jttSL9K z!{T5>GRoFJ(JHl;xEb?tFm`1<(uV+jH9uVu98n5&uxEg5SnF#1$(<(A4!)7ZEmC zn?BZU3+i<8f1tbF5YM1Y#C53H_C)cr4))DSpgPTy0*}NMqo1w15rL%8>HRf_@ra!$ zEY{u4wO0IweyAApLNKCQ?mIEqJ?km}aWJMs4Atd)`ny^ev&#nL&ByBX^-}k9s1fu+ zT!qWpK1f{*0%q4TWyeZm%ZE$)d*7wxoX_W;t#K!jIOLygl znydOC)m)hkYZzpDBoUKn={H?DX_3Fupqb=WaTeg)@ngGLp086|$E)rZPlw@ZnerR5 z$zrWxi4682XkNz>+`gu;>f*H2F@0K?cQs;@_B#8Fkj>kfi$Vkp533Y|JsV%|1(pQo zR>=^tkk3m_OTNVRT<0SHd}e_wm${d5Y!2YN#iR|F_DL=aOEH@I?z^EE^$%gC-qfGe ziilyj;m=0pm>$Lb(R|LFb3j)M;LhR$F(rlBPc4@lBLQE`RmFb2AE-L51W`NzBzv`Z z7EQdB{}Su6g|h}N-%;Pd*yOC32fovp1khyuoS`npZ`t|j2_m`)S?-vtkU1H-187K#0qo#eHUoLkod4bz;piDeWsHHtj3atlm}m5+x7rP*cG>| z;M-CdN2D0rY1%c%a-Q8$VYWBuPpRJ3OsyzgfjGoTJVPiw%DS0u#wDt zEJ42Lc{*bn*~$ygo>YGTCsrHP0x49#c2r!wEN0kddHKi?&}eQ^7VcT~0tA(q@>38r zI9NiiN}ami>DmM;%yq8Y{MPio5pYD;mTHRRtB-*DC=*1Cu&r=QrGNWHF9mYa>P_3u zT9nwMb@$!^|9M#0NWF1F=UWu?a`1|L&*bShC6VTn(~_4y&-<`cyfbfYnB|VTjE9ci zeo^rh-#;k|C!rm(z^2)pjjdNT%Jo(2yP zYt2r5GI@!uk;T!%Y_=jK$tymr>bGH%eL~=SO}n$5{CTOEcV~NFyabuaLPgh~*^#eK zn6v>UbQ{bSPI&a#8iK;@(+8SDwZv(e$Anfy!uoITD$TyzGLyRj^jztY*x76X8SUT8 zZ!sN^dxG*^r^kc`xY|V<6 zYmG5oKtj^qbekc9?I^(ZGd}VCb`s7q;5Lwa06X2_e)rC_JAFYHztRhXmqt^Ee*=F#2La1}2Orp&=$20u(rUKsZpG49?$<4*>o*AbmsSn_tZ~YA= zFBSfxZ|i1e3NbCZ2@Fq|W&t|Iv!k08A?$Muu5iX?n5bRYAygc}+As|ww17ziTg14A z!)}rgEc@p<$t>bmz(ad5TObAj(ze4rHJ}yCVru3JlFfldGb-` zuVSz7-@OChF7RLGe<|0Svj3tGn z9^8cfT+gMbChvT}aGc)Q4Yh8_e*ovaJJ!<|`*esnv99K~s3cSzG@4vx#7JFQ7MyF^ zcBHB*vgx60%)@~hp5#Q38|q`oet1_MHZq=5-+R0uNI*UD#4B{v12`@9LjvT;9vE_C zbxXwjStiyjC{T7|h*(?L@x%@g(1s-pU1`%_-mkVc0`3a+Y4(}d9U>5sDaXmA6SoUl zBOX_SZ$r5_KtI=S>a_+-v7T2QvE%Ix_CWYcyEGDuX!vN)|KU((@sMrSd6tZP0u*(`#F*aOjjI$np?OA+J zQWxK}YqJiDM|+w=d5U;a7MxUVfVA$?judoJl0qUomdpiFM`lhzL_OeQuRd7AoWW(( zd1Ar2@ovzEK(y=U8OZguNs#Xy3|{bJEiE76Gj+Fg3}1hsLSu^2j&o7Vl4eS@`Dqze z9TX@j@6=e?xr0SSm$(3);p@@+Rc^#`Ymt!`n3d#djefTLh-DOzIS4i}hMBh|Xj}5`$Z~+qPmR`60YLUyCY40DZ~s6sw3M!{69>kk$tKhx#^gD3Y37OU)f1m*i6zJP%RZTH z)?_?~F4+8QJF?`NbU`aHjw6r7Mu#3hyt*w5_myKPLH@IvB~Escw*Td={i1g)nxl%z z9gSSlIg(Xxz>4odcY$gO1I=?PQjGsd$XrvMXNF2@`^b9>O1L^ zR)Oh2a>y)=aj!CehB!DvwL)j~7SNT*#tRj-~r?x}ruT#p*0<#)CU=1w_0rej}c+B7{yAE}UPi zy%xujdh@7~n79-y9c7eFNxX85RMhNOfJP3eyrOVjtVm1me=P?~WfgxUl)>=6iy~36FRnr85G?r|H7FX{4rQ8=MY* z+pqa1tE#%+YG~CR&>YiF#;Cn6iXCA_%lE+efPn@p!9x$!AG(uV3~KW2^K1!B-jvac z6>V(}6dwBi%|S-PqorD@tQjpM9D=1kcB14EkotlmPk?1uZDStg9YZ#Q3kg%gU1Hw~ zf_!1GUV%WvN4694B2_dC(UiXJ@WTE2_d_+qY67&B}u50L^|@RuJFEM`oR&K>Jn zTeaC`SJl{(Q5p8Evd*0=TSERLE(5mj2Z%|%rQb+yo9RjU;Tpf-L#x$-tTI?~zHk9c zw$SWGu5WihkHM2Pzs-&AVD)&YqsXu$bOhab9c)BZIzR$HYOT2pX*-Ni?4xpJRSk!P z7Un={Vdx_#y%gY0XMDeBs1f?_^{-$A{h=*}F>~+#B7v*2-hHXhNXG-fxM%W|`+eY) zC)zyle4MVpLPnwivOM0S32+0=s!~kD??lyJLJtc=B(3~Gg2N<+D-5WSD?S7WD-Ub( z4rf%HNVFf9b48$XKz~+ZD9wSFJ=B~Ba+w=%Y0!KR-|rikW}%B21x4mWZ}L}GQD@VbuG{#lUJl^DO%ulgfn{Kms%f;QL)s8-V_=lqwfRNib$#0o18`G88vX3=1u$QEwRvgBxvCPw4R+EMKhx|q&yxPgA6-C1OGWpPgq`2SFt1A&t)=j5Y9Uu@@M`ho7UR_+amLZg+pj?3jSxtm#Q!!@|c?YdElkhX zcm`8T(^#m_)ib1@b+$8)0lUg`M#?;&{UgLQ2&%&!26u1Qtf2>-p8A2o1Q4Z8!|^4bnXD40(-*z8ht?x$Xtg?s{!3GqEI8+i}w<-}dch22Kwth-o_7kYN6 z>l_qCH?8Q-A-fKC>SpJEj@eTDk5>%pk)Xjclj6AUf5^Am!zmNd#v!{#Uk>i$^XqA!G&K0<-8{-fOh>>(R>Qu5 zjh2WBV5!pfgNYq1Mq8{R-Qsj#&6MDX#64EtPmiZ0v_{~c zLpR`Z+xwuawh+-EaVUa-<2Um$ShCWPHU$*R=z^CFra9tg_>Qrgg!GDm@$~LmT$Dn9 zG|UMU9~q{3k*1IR9Iv%^9b0T=Sm@-78+qm%6y~sn-znw#UPMv-Ngpal{CB0R)gC55 zCZvGg0L<=32?k)?kn^F&ZrD!L9XbB){J%XlfB?c%SNu)ZlGiB1ikx^YOzLUV`s93# zPtrNw-&E2mj2#3cxpU3Gy#=(Bf$sX91_1%4r2#J^F!m|u4@^I6o1=#+Wna`NSfuiY zJ5Q#edIf`PZj?15q_L3?6l$Lpxw)vh9&uVj z=oq+`kR4?rujNn7n`P=`Ypq$Mx|$s ztJ}4CygewnB9&LFBumJ`KF#;ph&8#fE0i6Hn+j1A?d+BL`ti-VztcT%js6pBrP=ol zJI8VQ{drm^%hK+1QdjX)>16dA#`ZwKFlV7~SoCq{rb3Sk$7!brI$XC*y5$+lv zM3%?Q)(gSZG1;_J`Dm%DiJw0%(!*}|uquCfHv`DQxp_A1HhWNj@S0wQ`IMV2rjv@# zdgTQSFM~6Y1`aFgL!z7=WrWv}N^h=oa*sL0i9D5(V%)T%Lg9IDw>dt^8;I;)GLO^U z6-)YThTL~Q>yv=GFOA20J=Y{`q}pwXl?VDpTpMFur`r*dozIoWvo{Xbv-K5$iTt?- zz!|q+c>7RL;5$*m6T9Wt;T#k7qgjCVD`abm0lg&Rq#tb_vQ&EwwEsyoK4?bYtf&*- zZ=s}^OM&MXDe^TpUIgYm+#*oCv2s5`SQk|AU0h$8_?Pf^IUgBAZc$SFP-*+)nzvwr$wI0A_)f;lrZNknF>f10=fFO^UTZLXf!{gbO zXwXX5CHt(usR+QfZ!Fb%{~cnQ4)*aq1*wGBLj(Oc9x9Vx`J|Z-@a{tQz_!X@^UCSO z858)vF}l?$h4K`jnP2~u0UZOhrKo4-={1=es}UA?(DId zGVm}PV^|#LK#$!4I$g0SQxkKnpyVPL9NXW_0;K{~3~Ai5WG0B>X90U)ix2^--Sx1K zP2(8|^#y{-eEN;;nhqh4zNJ~&Q)1GXz&!Eh1yu-HJ|*Y=i&43Gl?t7_Mc2dsLhJ;+ ze<#888XT*x|9$kn3Zw>DLDur}f+iFwjw3geqTcoUs3%qXDtFu&0o?IT=;f>;uTN!o z^i}9+`T3a2KmQ+(aB@Sq93U%MS=JTyG~cW=MqeDCV9nnPFZe0%V$WFlfr1^c5M*>) z^#o0gqKc2=lixL`&b9n4EGx7n^Dd;0?Oa^Ms*NV~)#i-m9IsiJH0OWl<%X?!U=%P~ z=-`O1h7*|~g_{#w_$=4=7$7<}hBga@%$UB^1ru4N{tyF0Bcv~x60!E3W!1s2z}Be^ zJ#ZaG(c5($&+C{s&D;^c(_{GLrkWAVcJwoI>}-d=$^Xg=B`uf16nNbc2KmqT*poJT zROHvjU>Q>Dx!j&yBhv>H%VvP z$T}mKl}&;#kHvh7upcRTQEhL*Hpr?qWSx!CJ-pNJV)gbcDMOZ83`dc16O#PiQ5R3j zSe%eX(MFepCD?S3s=G$K^fy{m7yRR9hyOA1f=_wPUNU<3JcbI9Sns#1h%DcqF| zvAPpIm-^0rs&g9y1;It{_NV?3`T2hxXd2G9w!mNU)O(d-^8Qk9Cs1CgLa^&oR0j9=kCqUv!*0Uv^8Sd>9?X~S;q0Ffv^Wb_^`y)6)1pY@7cmMR#@*%dJ zrV%GS^R0|c4)%yD5I&_tK1`|(%*S4W%)u|-Dl8>#2++-nL{D^5)0-U?o;=qTF7tgY z``kaN-uCnmaDp?S4zfM!*5fG8K{(I1nO z5JYs4-T3ta6L^rAc#-=D)@EmZkvph zK4?qqQ$O&>Np?IEtbsRWsivWr>cg^$qJ8Y8sZ^oBuh}JJ}(;~7km?nX8 zj3Pmns)Vp3>amy3m=+`wi8&`$yiv>%;?&kj0D?uBBUvw~N0GTR|L-JNQU7&9Mo^-8 z=pWQFV>srl5=PjJY)G>ZmbJ{N#h?Yc@blWQnzWI55*6e(_$>c3fr zc3RIDDlut*B%Ar0%8coD25iyXuaY>~DIy&I`gXleEx3Y})k?<==}sss{zd~&X?rzZ1_{GVix z9>6co6jVz01RY-vKbGb8?e17~y(vb&gjhb1^PdM>*a&Tjy#yu&n06)oJH~qPgP*x|x=g?Hcv0I1yB=xl>uE_R6|eZGGbi5>P-}{0tR*o7~oL;&k!M*5SK< zajv%U)UzfjM$1qBh7eN>?y`o6Za6$JAD=R#iK(RI?t?{6F9oaQ*2)6$x2Ja0<9bm{ z=C@zo54COs5SZ8z1LgNi4e_><@e)ysWs@{O_i_p~H-3gO@sHb#pUNjrnhz>ElkK(> zHiBHodNe(mibFTw1YwGIp%`2Vo}Jt6b8GMt>&8n%bc;ILyWtpD>xRw#RW>h$+bqJ* zf8-ap_8?Ao8?YWI`&)i-JVd?^qKs;Fam5x8+ZKcYzM60bhkt5`J<`Scqct9tbM-E; zh?gjVVMDo_!0+?}JXTf`uJmPhp$i)uGFgk1+&8%@!d>IOFr7@fhj2OLhm*BceYrq0 z6!RevQV7rixY6=`LGa;ZrjM8f%CWfyEFXdvP*uI_h4izqZFvmtUT`M(&XStpDCNAFE1v9SMJPO>?bz9py|+Jp9i@tA%ZQ@HR>e`+2<8ux1R23H zQfCQ*!9NM-sEK(q73MMp0lRwF#tpJl4*@y~e%C%pko0luT{n|nhSTpAI{}rxz)T-2 zDDC)jav~xV&Z?Clt;hPybd3~sZd$e35|&yvqZMfUzhzo+!ZfS&AGXu|A|3M=n;#N8 zgT>D2Y9fzNEAr*u)Fl#cW&ek%=+i#sFZbR#Inv`nTPyC#U^d*6}Nu%Y*QJcQB#a zysD_uEy)w&nJHQ{Sw?>aHkmmFbe;?%#>VJ;nL3HM9e_Ia=uz~q=T+g>ZR2Q&-}X;9hk+FJr9Vwif_yw}a)Kp)$_6s& z-kFQB5RFo9k+Ou2`7=rm%JIp%k0 zdc{a@ynj^tQsaG61uy2y`vk>SPYq)^vt5=4(fx0#H`js`tM2pD2vI?obyaP+> zkk{{vjf!~_K*N_O6vjfb;?fA%O~Ty9&EeQd(G2^UA)L^bqh+m7Ay2LIqa>~H6a`fE`@yp|hs8A9>SpQ(FnLQp_Nna+M9;lAk43}b z#HXxN{zV!JzOH|S2%J??-4S`l9T69Tc8au7b;aw)QUwHdBy5x#^^^w~{{CcO&IAa2GJoFF{~!SWVB;bu}5bq5aQZ z8?JSa0Y5l#+L@POY5O8DV)6Q$kaqUOdR*toeSz-lVZ9&b-#a|DxPA@ov94dIFgJU9sW|Y9{!89#1w5PY zS|>IM+cd~okWj$pQ2G+Tu1s^UBl%zY1F=G|!0EpEQ>&I(mtK~d`2)o0zftMvw6%}x z*cj;T_?NfA-$|k#0&xv2P1SzLgLUWl%M)vWBfU?^pce#%foFD|>OD99ucxtk-Q_r1 zy}c^#)H8%^Iqh&BI3hAizghs(GwrZg56k8G4Clg9tzwpf7KVGDt163hc6q3hotC^Y zDOwUyqH)rB74`Mrpl}a<)AGT3*hJV#FSH!hNETiLEGl6#2uV2cAP1%`D*|v#uE~dF zitpuds;3cp^%0Oi6z*(k$^tqS7ymax%m9$2MS2C^8L{rg)k|PXD9%`B(TID5kf#>wz14$}847=UbNIvc48(p>B6 z4{I3_=6v_TjFvcfM_KZO1d6s4p}UY=fJP%I<-mX1KM*Pk+ieSs&`nyvQ$XFpQUf}! zHOj3pTTsJ&)k&HXOEba1B`U*FXbmvDN(o-fjkKSUuB(l9o6ynZJ|Y>PEK;VKDE-Q? zGO-#$6n-z;2|dmKc}Ie5v#NiH?B z_eeVLZYqWG)O16q_=F84$@XkFtG9II(m}96dP+5odiwdT+8{wrdDC-iey+Xf5c@@aS0#n-SD zO707s8^t*er+l0SrZ(Gkh9FF9{S?H>9bWw2P?&5nkV(x=&@{rOVAU~R`Rbj9$1FDT zz`d%amftg*QC@eRYmJO9Sc_$7Zm5|Je?t*xMnzn>{66VoE>HP zL=gu>etEywv`z=*h#^D>a8zFrn0!C+w{FbonK|!KxW7{K!nE_i&fummqX{8Rh&brx zt8S){p7+a1JCK$&^(+k-HNP@Z5@bi#4ep$`ie}V}IB29DcaEN!!KeTYl7ByGQR|oT zgsE6YK>)=VWDfVVuhCZeR@V}ZYJUHe&Rn4J6-w4ul5+T3M(pfv=5{^Ky_MHOg!L^G z)E=3|o{uyBH$k^m2a+_T?>Y6w-&CU*y>lP>Xjq^sH?ID#<#?C7^jZ@ToJ1OHo&OQj z5c9sS{;on{Pq0)_Mvq!rXG+RYHYLp2x`2~#5)u!E`{7EQPeI~==1Xwvg#~D`L((H; zhNC-+?9w2M!9j7lhf*@9EI#pn(a&oOZsizoQg;L17JC7yYWJ}0-B!Zc=J9`Tv9bG* zNV_75AWEanYUE;^r(pXAbb1?x`g~a&lIn<49F?>=4bqV=u^WB}_b2+ZtNY1+1)Kc) zhCfhxL-1`P3Lt+h*+&q1uwH%a&FKPTU`Dq%9x87GVGd5_y`t#*)yv!k+gR8GivXMY z45=jpjGj+#xa|fK@~q;PY6lyOe6*{~eEv7N!Lf>zK&6fTd%63SP@RguZ|EKFQ~TR# zgyr177Al$L>e=7TviT>2mpSn*=cz}r5KImZ7K=Cf2K7~%Uq073t43yyKKK{vJbNt4 z@9MV3;ZOQw?5n=*hC)&H_gG`%p+;;5Pzxlj32V@_a_OR>!db}Ike3vh?F6WSx`H1t z(4Co-#~bb;cd*WGH7HuR(|C4P%dN_PmV-}Zm1`Q=Pn@2$hj?d72Iegec%tHQrId+h zmmuYQ_d;seuJvQ(<QOXnHlP+YAGsC-(uILD_=I}`aM`y|M+ zmFs@;egfKc_+U+p9jLNbadysbuytvL!FF}|fHfYd4@5o-_akP^~zf~_x zam(T;jGydF>&pgDv3JP_n&RKJL#%pvKtVTwz_$oc>+0XbqC-#ld%xqVv%iCsBJZ+o zFgMWkC*N@MyU`e-dyrht`eP5WB>zH9aND$H58VCr)4fckt=~SjSz_4|8C9ss029)m zO`+fO=iG2nAlpm$%%AVF@_vW4?an}s;hQu@m^Z+x37qA)!-a@Fj1yeREK1_}tV0kA zda=;SyxSuG^TFfw$+l-o&J@No z=%x_7=K$xzu!$XD`xA>e@$-9NcGE{J5XWecBmJzT3xNh>JzzK*$%zV6!?W-H@$-~{ z{ia`>-Rl8AF_05CvQUJb1$OP3R%CqI+}v&MyN*rh8Vo!Ms$Q?!V-X6fFbx&7{KssF5D2v5LCT@4H$f zU3d#*VWoa5y640b^WRrfdR^T#!%HEG;y_9G6i_a!Pj!bM>H5h^i|)8^-&{GI zg)1TMJ`T+hVj7P16tFJ6TYrUyXkt_^uX@8323(ewZ5Iu1gFrWK?shT?Tn7J2WK<6; zqJKsT4gmz8>8MQy08w;w-oWC2{ zlt&zXe+1BMN>SF9q(wcQ_Kn}lBJ{1cdEu!4ruo@S{lrYo*ZalN!M!+Y`pLo z20aX1*2(07en-t_CM6f-ANEXdWPg=V#XAZ0cd|NOU|miz0B=})8grf%nTVZz*cIx{ z9q7$YV(d#tvqFK)hbg_}vU!M#K`rQtZp7gcs&$!}3qW&<5Q#FM>bruNi_;R>==k+z zZ5O$X#hcRW-z*m(@c6(DAWch7r>H(LrH7iqc>y`gmcS*lQ8vk(0{h^0I%il|vJdwo zvK+Ga!(w{cR#=h(iFrCh4lAF4?MPsM%=xVFVr6<~l^S>bm3HQNwi`I**Ium*?qG() z0TZ>qZ#ys|eATH5#IM=@jL4&ez4)_@qRe`Qex`|0WD$1|*2b1=cW1%0FzVmnkUUN; zoxVpq6(mcmH=~(*x)r#*#ze7|Ofs>4H?N!cC1Ac{;xdM_{5fx!DCC~?&<==#=`YEf zQ=jv+XOaI)kUEVDhv=p{~T)k-41W0|9%%Q0EtoT3tIoHD}0GcM*lQ{fwTL@MGc{xt7 zVs&Q?(4`&pjDx~|ukWleKpH>y;0a^2-kT!(5@%zgjbz`Z)?5CZqo)HHx-wFZ4`l>s zLt6rIrRa-2AT=r^1?9G2_H51B4JG*{yTl=xNx$KOKUDL?@v6r|`*$*{zJEqoA%7De z0TQ77qaHL;0byeOJ3YyNzF5w@M|*$k1zqrwo{LdkM1V1zS^Q+DLfcBtgh?p<^r_Jr zV*aKdp?yQT;w_mzeTW9ER^=VNc)Rwkp;L(>=j^;&so5ISJ< z+%(kDo-0Q=QO6boIZq3n)3cXWvjzKWzKDHgU5cggITI`wkJuCzion{46Z|;Z39KeN z$z~F$4I^mi4kILT7jqQkfVc8!+l4z#8`NT!vn5{Hefb*0LSx=% z*0O#WVrps4$p zyH`vUk&H_S@hl2I0g2^oM?Wz|`o_&-h0w_hE%mH%y!rq&au7-_z8J4dm9Y7knkHtuK z*2|r4--TeU4*lkydAfLsf7f_I%r%F8yjLDiQW(J(BCKhsK+P9}eL>%0r~(CaO9mKJ z(^YAXpeNX~ifKp`>sKyCDtAo85E8e*>E{J=`ku@L9qFCSZt%bTF&)2=l~V7G50Q%` z$Wc>aiNR*m6_*fuFg;ore+rlJmI>D3JnJl2O!v#^^)VRr+mOyLP1^W11-A(TB@9xA zU5Wp@hW=Y)O<8xDF-hNvj_z4XTXHg7B_{?xS+U$G$}R_)JOjzEia0S1a`i6p8n~BX zxS-LbxKH;4;Paj3L_14s%SIoIW=~9K8~^2Uqu}3cbjB$k3%N>}LjJcd0XXR}T_?-@ zN0M+k2-cOk>X9yzg=AbE^xa3>itZ&1bii+l4Zii!#DpqlmV)8IM5KSCm(b1fh;!37 z^cx{+^oDk^#?@45J4!%&wi&kn>)&^@4^hCJEWya2B<>>w$ZofV)Gw^nY{B$&{2D-p zZ&Nw*5@f@l90T!{e4fE;uA)F#O^oAL^xAC@|0{F-p~`?me@zU3paE73Y+CQOw5+0A ziMyd+-F{n$NPQudI-swo5mP`sWi8kn%RO4LAQAZN>i~`QUMAIY%buYkM)%!5b6?Vp zjlc;JLel3m4KxCh`dGt`u%b5+GA}93l0BmfiGW{=42q+L=BYwEb$MgSTp)hbJL#iO zu#8-;6=eMWD#U~?HmPAUzoM}=MZF?jtff!^qqS{F8Nxa%+Gs3#V-pmk zN1whwDt`X_#RGx;j(LQf;C4vvX3l)0PW!~ixjP|8j^u7&$*2kgz-^mOl?=W%Mw6m9E$4ZPR%aIxH~q_)H@m}VjYQ_#Vs=-_k`Wle;T{)M>fCjuVz~#ZK+X2Rm)rLQ8glIX{)VO9ae0mwO5f46eVrV4zpIP zsx^YzqgD_V#NNb?oe&`e`KF)0;QQNs@;py+?m4gXI`^L3dk>5{qbpM0B0L-1os$62zI}ZPvgEAb%qTD%w6^wo(;5f{ z^CZ&b)-Ju@o6O_4-Q0H)iQki1D%<1+Xm{$_su=Gk?2mO_PBKz^&lV^a9dd(OwOYl3;S`!Pceso^9=@dA0(ARCzWYi}7u+{H!$@-Y>qfv=daI=sQ8}>LyPkJP@`WQf?{v1XG(rI%_?(GW->5e z;LFJ}$ZftSP|<&Ol0zGT!0~6-ibNk)$IQ79=Yj!(9Ug*f*(>QAb6;2ueet^160gVm z(W5hMaZWiXmT>qOdY^ems5+ma*$v1<5LHdZXYwq3sQtvT0O_Sn6|5Hcpl2QE*kmZz zn8qk8ut1?ocIKku!-JY>0yYWdO*tq+k>R;q;P-#nF&|=CRZy#Di0$}K>_3kH9V&k< zfYlinY`d>1`Z&^-ca*CpEsa{9E%D!ET>glQE6v=RgYq)Aqni&!ZgLsx1Msxw(m$K; zf-cuN!>C)4k{xlJ{?ptBoB%O=ICk%zh`&GUvI_wJr1Qm;jULdy%=YEhoSXgpp2DJu z04?f;Hev`<6Y?6gRwqV-+Qo45Z{xd}DcfLIe}=Yu8Di7F%u}>!r`CcdCkze-VEGg~ zzP0dOmL3Lb_H}%%4Ck1JB7@TwWfd%p`jKS5oxDHiHQ7?*{-^4C-RfACzK*@-hWR<# z*(JNFu@d*Q-N9p?D{~9M?Ls&AWQHmwt4iQhQ;ujKDF4!V>-AtNm^FHHQ=fY8)t|Y! zFVV`%p4o!d8v-L_lE;hrs`vfvp>uKou}R3np4`sQ7^1XIK0m%&M~bxF=q|lzVm5h8 zd+d~8JhYTSGPr-5w)vlz8va+f%OYd`MS5#`u_nD$oFq(p8VJYxK13+xa|bXaVXhl*v|!1yQ$HITkvcJj*cV>$wmhL(hlf6Rb*Ww=WSZfK~~=A3{psR;JB2-e-`Z2wRh()5mgz z6N3v$LL17S?$uVI?R#PjLVQ*`9Bw}~=IP>S@7}acdN9?2KJkry;R48RE9ULGQrAc( z#YO)Q8h_4oe*`uj8&18=Mfryxw4gDeUwml13JuJW5Yn+|F+kAc&1Q1v^46V|6OKOp>4+f>jLt{^IVID97*}n6gCsEPzH_(dDm^ zL`S=^8TEu`ua4*D>e;>t-jpArNGlqG5Yq<#i^O>4t`_5yrg^s8?m}nQr?t=&l`5%&P7;8!@rT3n2(a+*_YI|PK9fKKKw(OcP z@NsDv4Dx3Chi1Ix7irHE1~Q&oQCuW7uo1~mHhwG^7IAFtd|}X^=1g1AEh|@+kO(Bv za#4ROl*8EXGr!&l__nSXwlCI&)m0MvPQv>6yaW>^Fqf5uy65ze@`z8+Xfb>`<`62sh9 z(`7hpQK zpWpepE%t2HcPw3%&N`PKYp~n zLhJ9?CCkGOKSLztA%UK z&90RQP)EosXcsvltqUU6Ua;NmM?zYb7*Y{~?D^Dff_>qfpf9)ZZotNoQmmWD{Ll5f zE0cX_WT^mbv-j?+Kl5|B!h4E{yVig0sS=^Tq`5FN*5><2xwSfrh{%d7D9u2@8uOBS zLeObNp4wk}Vv`y^T4@cmM{pZPm$V2wOgn1qRV)#4=g-e1#tTKvT4%aV`@jl2(V;rR;GtR0dP{{Z;sx;x2Z zbFQ8nae;Ylji*sc8{tXIL50uej=VbG^nKfjrp_n076iSd&&+4#zx8NkL2xXt!&GIr zq!@IFYW3kQ-E!HfV!^8>Gy-QGvK9H^lT0*0>dEGu?r27=&!b-6Xo3kqOkUs59o_a0 zr3M6xr0y=7Cwq>KLB|H;p%q_;YaEvOp`jD15Pud2VXVEoooZ_#*)yrUaO zMS`gIA@$FYz50%W+9TP_*J&I57-HV0 z8*!V{K=8!#4RwXsI!{bhIWhrkzd;3M)a|tgWH2r?E|`M>`KU=*cAeA^7SL934S zB=n1cbaJ&o&De5km($tOV2h$z*RzX`!pTlhyRFhHHnU6#{DWvOfE|#-Sqy+b-)zOL z+(9w2<7o|uMSA_Gh)~1SJRX%B+ak(qX$Su>O>>zx^%^vlYIeeYJx#U{Hc{?yRU7gn_*ahsud*ybNW|SsHI&|Z{T3D+JgIQmg<=o%?=!VYTIn# z5!DZ~^CYb-96fl%ztzSzZr_~2-faSGL^YF+ut7JuQXR`g=jZA#3TA~_5P!Orwm-US zB1U@hh43VY-30oN}t z1aT=Imu)h}IHw6FBQElzm$JfvjriFnl@`5>70rG$hD^N1YX24W8`aoOW(jHU&EAP_4Ny(-n-GTepW9 zQAl&Uk87B$q%IR5k}_g8^MH*%no9v9jIq#Kdccl!8PmT2d_R3`bCsv@=O}BYi!0mlo#tGg;KB3X)P(X|uJ{6IU%6ydTU@NeDn*f(APV*S4QUNv?8Qg+Q7Uq=I+LwtT)O>9>@t zAHnjF31qgP#LHCTQxX^Z4+JtBJsV&FNlxBmFioB3zRSSB4ohz4*DJ?IK!>SU+Yh7OdhS%ENy7H8&zKO>13y!*r2Oh&K2RS3(E zp*oH14+}7~aA-6Ci936dhrFUCmMX&}xK1T1CN$18@3ICMQ;FZ6MF`@=mQ=26<~RrU@3c(Lh21COG7(b(b_EjK4}6Rg?{72(x( z_1Su2l|JL5@M>nib5)F9afHWE4#XElnG6DlnnFMbiRSjh1{TJY@bVg7ltJ1H_94su z1(C zaL9bVLp}M~3k<1_h;i~nHfV(}(Q;iUi=|12pTUd2kxLKur>V*to)Dw9I|+@`+*>Z6 zFhMn6mgJHHuWb0b#5dH3+iN#42E+7&=ZM-@tjpH!f!m}I7Ws}8^|g7B`H7K08T84@ zYu440pKjkPAN-4mfLT~|gLQaFp932sXF+3qx4zL<`A1I-Z+yC|RlRL46s^LD5mhov z(?X!YQ{}nHgiXUPeQRsk4mmx;ubcsS4MYB4Dp%(V{1%?U_t^pp+WE0D&e}1yKUfAk zCitx;AS~%^v-WnD%;cZQ1d4Ij=e6EQ@IYQL3OY<45cqckvXE?0il?A?|46N2!C8FbVe+UA43LTSnNi(x5;NnY01 zt5eNdE{4pV6j0kK_qGGu74F*BG+ht%`D1cqy{+FbuvOBOY`g6CDVaNegqhIpgI(&m zJNU}RjIMF*&&;A1+z2z}?<3wWH|PiN&g_UD{jlhhvOFg4-1rL?$X)~QAvnBT7y4dX z1^XlL``NJMaWAs!F0ky`YiAy8WB@-DwS3U5`=ti)g>7LF?S_9G1dB_`L#AA}2!eSS zqY}ez*$W7u?<7YR)~g0^g-E6!fFktomk;UA>y*uIH-xRnJtMovs7|DpaAz&FVqU29xvDFlp${AAuCT$@yyI#<I~NnGmWnKU2Oohxp&q{wnS_;z}tp zMm8mSW0nx~2;_=;2n)32&e+6%=#ls5tH4OP8QT|?PBVomu2{D_V~6LevSLar??x9J zUUC1!1vSeh;lm^;ev)-=^7SZniup+258LK6aaa;N{3mO=J)M<(!b%Hs&?eP}19i8quLVc)e zuPJeboiMRKlQ@v9hRWMcN%c5S{d%BE4py;9KWID6@UHI<~`G!+p!{v?VF7nMrvCB`csM^}F_r%gU z0Af^FFGyAQ+pvLH%6qoS(fRY~ZdY!Qp_L4Ed6;dY>s)QrbjX1p(lIZFlnzT&YSs$j zNvC_VZz}h=-DTL|Fif1b-2Vy=3IEe&bWG-;^u0ha3eBm{Gua!C>(;)~3;p zgUR-qeeB{_v6*&8GZAT7^ASl%iN6L*%%plT!z!HT&Ko3$!Q%JYBQP;x&)siNUUwJ> zMu-9E3Ffe%ZyO9_QXy^9lE*w;A!~a#9H z&|=Rk$IQ_@Aih#1(}}S7`rUaa{PdH6ZSns;`o3^+4%JX3ynb#!{NgcMut-)QC1$n{ z4+{Aa+td%aaT-Xe0e=qz-bttCONTb=msdF1PLq-fwc{lK9>=UuZ$CNc1Mq+lXCpf7 zr)F4>gG_el!s_YWCX};CR!0V;4_ChFZBv9Q?EaxGKZGr-eJlv(bq*P*vJ^LjHL`OM zqF!dX+~@7KYzEwu`VL<_(E9C1WC;UFYPbQxkn=#-y@NE&D4XuaWAjR23Q}<5tiuk> zSbS$Z>qaz2GU!~4ablC&o_}qkYRvL}IJQ-m`}QTrkW@@CIg!q?_GiS=<2G)z)3z1u zs6_RVcA(@UkvNWzzV^YI4vb6;!Sl1O;^KjH#0xgks&D2TsoCJ^R8uTTytYEFSJcR1 zgq?`p**(*MTe|t`t+YW)z3O%(J!R!^Jg_8CW#U&=yApp{yVv~V1gtJJTce5^vJuYU zTl$j;{^N&GD+dHsra6##Wo@o3(a~{&FMVIfpt5+CN3z3ImVN7I9y0w^ZH05p--S>i zE%l2-t^$kqVSVz88d35oODzfJJ#ELb9jB9EcJ|R4JrBdz5_u#b8GW zpp$&wgVO85MH6 zDg;%NgpqDll$G*20!TcgqHZmZWr2EexLat?+HKUhYU5&-F9^DErtX)aLy9pJvL%H? z?wFN?q$Gw}X^VH;tAk^ZYOK6FI7JLZ94c=-+D!Em!;JiAb!Pw--(KrqadBXyL;Idz zh~m&?a1kJ39sQ`*+tpxFpjo_IpC{UpZyL0(yy16;EZm&HsCClq4Vz%b5BkMz?)g6n zZ|d@k^Pp*Zw^5~@%CBAQ$%yQr*-x`iY6%{mdcy5$tq)rHEb}V6J`#=AfK?Q(uj#ga z!qktLC?*@OkDI{y^!^CXrpbbuld+KFTX%Xaah~5eplSGT*mn9EqoWK=rG1Xo4!ylW z3?%(XzW2;WSX9?=Z$EFVVzdQFOf$8eXzo7+XmT zLOS=0&p5BX2JTx71fJ5~Qu!6qe_}+gxZNml*L^yEFEA~id(UV0&4d8)W|S0P3_v6+ ztxu<6FJYbF`cnKJ4MXwk9)Imz=x)fy4 zU2@Z@ouqX;4i#=Cse7aFMyL*qpNAweOFH78tsS1TI71!Prj!<<(X?Z35XJjD8Xa@S zwstQNw#-R|e?~u%hwPtO(P-@-)SAr}7SVRkZS)mgBbCNo$X)QyMe|-y5iU8$K2&w` zMv)#T=7X8t%?^7XBCCR!gLa@_h+vRd) z(#EszW-P8)Nahf(>)}3~%G%<=sh!FS=P~~8M@|_y<5H=AL!^svslTD9N6S-ZgPZA) z;UBo#e9a)6c8Krc#7N7@Nrl=YnOi%T1BOK5Iy&chh()%YHPc~&bxr# zIwh91^b*KNn!6kC+z6N?pa(^>Ff5>Bku3gGS%V^3`HZ$`mLR>&&H>Ts=c!UucapL2 za#>^Fy67;&_v_1E$3~$pBg1q|2lKwEF0)ghkc86%%EQo415S(cJK7_J?>NYB&`E}SWuM%-DZYsfn zgV#}p{fQVPcg6pDaP96ZPAbV=5BK&pfHu;PjO&3c?bvhSGTJ_4aawF^(UVdJXNS0} ziE?J+j$Omoa>h5aF&wJ($10dN2L^Zd9kn^kb4 zpxu#10xh?PM}`i8)cDl_CjufHkV@c9ekCwhJC*_`&s{~B;R^MUNn z9KEM(-}Eg_AY5%_20EO-k?6R7@4gB}GQBLWY#1_&4&}iKF$`(*Lo?vLquX?IYORND zbEBxBO5o(E?ti1Nit0RT9I2a+P#)SIeIcehAa!c7eoy(2TsWsUiT0~5U_GSi!`skVFUQo!>E9%F_pWGPdD+z=|K`d-iM963Ts2y|n%Mk6x+k_g8O66v6Q^crkMcchxa z2N;|-&l$f;)GIKS?K~s>*HR2Pf)?5RSol=7pzi>M9ByApj*lI|bm?k;YJvgSf*iI;x9 z!ySgbNjRtnQxczk_5DiI_eg3cQSabHnrA;dVW2wc>jlW;j?pYXlyKmlFgB>S*1z(Z ze2;imT?c2%nZNYiwL{$#jf;bVV&AZ7GC`=|)-#84+ZN(gafUQVuG5o`;-v z;tZr{on@B1z{KV$ow$EMg-3vGu&zUUBV z4gdgqbxG&LSuKa*lT&RDZKjcqJ5({vu{>>S`9dK|;)0_L)2BSC^)O?3c8t!NCFcVT zEu=^jF1ong`#9gD)~_>#iQ|S#kB3hK^+0dvMf|Z#7Sbof&H?^bp`G{r=v;i(Irejf zwO^HVGk>F_YHiFb0U<#T?K@T4csZeAi3uCud0fN}-IH2NLn!S9l$xrs#vpsS_QAf; z0PNkK4RpC`82+s);cE&myJDHK9J~sxw0YkA#t)SNRk|GD=U2XLkI()r3c}^kTbhc7=W79&cVR!Hz-K3PLJh2)gd5ntTLM0M zG<5n2cKoW8g9a>O#;!|6`|@P+sdO?WgKiVI+M(X ze-C+~0VfH8$#T9fv04DRk>muu6t@5+|4cG}AzYnx4R)Z)p>$vsPj?G@BehkPpflBU z`(&rt1>y#63@A`yVHyCrv3wp;LYM&|Ja@8%Mq-ISB*MNU^(L?6S1md4u;qNg@Zqgn83>GP#WfC8zBY3L_fQ|YJFl#RefiWQ@ zx4!{2UVLlZz8Wzw5@*H;jiOti~L>gar|h_ zl6jLkLE$6$=`VAVc4$xO+Md7Q!1sh8Q4ld`9^6f0ah>#)hI-{VAl^KioER>v1a}yk z?Z;-$Jkf(TWKHoGRyonQ7h4Q=xIa8jw@9fS;rx^kX8QZi8@Xh0ePga=0b_&P9t$?f zzatf*k)s`Whv99V#|2W^;G4EQ={Gt$`1~8exSnacTwK3MWUXkj3ynM`X!iE}03=6}gV6Jp>V2C3-+=mJOkb-)fR-p!p5*zv47(2Oh+6AW$? zTM!W_7J9(Q!&D8+#u9 zeRu1W5Sy1;DCcA!fug+myRkTkJb+B}l2y79^y@*jg7Dx4!RO=#Yzr*B z&{5Yb;g(G1S-5di3FZ6?;-_OQ^_lica5S{>@zE#T(vN0jN>Im4@RiKE@tJW9Z8=X- ztHloM8^U2_TI;ZeFle|m3CG+-KBOU+2Fm(U9{|OAiw}7V3jGH@(S>bwziku|H?Xg! ziUIWbQA-bylitV8n=8oFfEKUsYmM!Peco-m!sRimgqJ*(oVVN=PU%QT%4au9rR_Z& zR@zJ1P1zIwxSYD&;U42rp)ryJ_<&iDd!bG7_DqV|&;RoLW%N`l)~T<1`x&b4Wat<7 z^598gU1TWA%IoU!*F6<0HGM4);`Y}L>LIHDS`&9D63ez@K@c7U;T|&8 zM{==wN-B@or{#;Xu|;X%fvO6i*bk$i9rBh+kLYadwU{wM$ zqc)|iw|TMVUf^6A_zhs$JQ#<;uMgKligar)O`6|^z64Zp=37~$)`l3f{z}^{G@>Yq z*@iJ{{@^H$s7L_ZQ2AP}t=#C1zpSidfrbMQ*Vqq9y@Iy8l)1s2535$o=3-9nPMJ-2 zj7^0RtWJG|&zi#rzDe3*_<-vn3K=MVYe4zD&1!HGOWFFNcUI6ywlSgVdEDShCLuG~ z>4?Ms&f&A^7r)hNDaabxZoEIr-|;Qm!jnJ)KOUKqWyFynn6jGsKC3{D3f7V=DhJmt z&DZy;>0C;XGmz#xxpntWnX?dii1?obhnYtVPWysbBX^z`j8!)ch3_O?=(oxL_ftT3L9{C45O zdjWD+oc)(frpP>1UC*zdUVsB?cWX}GNo&!oKa<%Xx=75#Y&9G1<9XEZLxV3S`mIZ6vhe;nXU3A9gI5s*D@74*Ge)`KiStPm z*y%u8vaX_!af(}FK7fRT;{CmJmrTJ?|~x~(ZpPH$h9rud5*C4P)8>Y zvSki;S2cl24%#%qWwn8=0Pas;B7WN}R~Q^k+|tsd#`}ck>XVBiRHe1>>o5(|9`rs3 zXY>Nj>PGZIgoOZvTK~DYng#sIW1DWK8GfH!<3vEv&j>jIDg*nVJ(QLj|6DL=X<%Ul zoeC@FJ70pkGJo;97XD(_Ve_>&_A?o+q|6U~UCB^Xs<|xx`IzkW^PFbJAr49<7*$Dr zPIiZuK-Y)I2ioUi5(tk)W8S$Kj61^AJB=VKC*u@j-UW`3##AT+9W>(kCCkzeNivc) zN=}4o(-0-=!C&N~k?TsDKZwI+z4X&Odx3dtxC^t-!}2|D+&O-?Z5*2|EG)^Gus;{6 z{jBTv?2DDBvBC9gDZ)>B!#}uvZ~d$@XT%i{R;bvhp{Oshr@6INX{e#9d(T%}U(5x% zYp8Lo3tbuzLG!*3&a;Yb%ZR&s%_eCtX{2r*-!W0mV8}reuC+iKJh@xcc6!7Luo7B{ zS}4qAu3F5{skdgSnj*LRp8^Jg=Ej&3w306jCOaxBg~l_cHQuqAbjP0yOIe(cc7J!n z7yK&V9`biOktoKvfUkOE^h}Yk1!i`rDUO%99eA zZx!@2@0--|NEs0-hYC|CMi5j&z;b4?4lpZizuyq9@q!imq1Jt6KP+TpA3@-&rq3M| ziV%O#9=O?jp;_17D+qyf26Z2HP0!UV$T$y$0g;d7DKJ)}d6x>Gy0{Sg4a z^dS#PPXSBy`j8!(&cAP;S|bR5$%@-H5k%F+|Mk-x(HpsKtG!6a>xU!<`}Hgw)<#HA zC(E211Ro+DA&uMFN=CGgbd17q<4J*Fd^;lx`@%MTm`+N!P&{HRPUt~<-9H;myt-JR zq?;(2`aaw3Uqa%i*yP`Bl@gB{S4cg`@B^eP5{{YsTAW*20*@BAp1-Sg6eb?0F*Rr3 zXbd=rLgIsLTF?vxK7vXjNhHlKv+QbrMTC5*q-pWN89fyYgsL4BH_q&WlA9P5G&BB> z-?P>-JhE_k4)ma`9p*DE_~VQ-Q>fo?s+?%|3AN{$qoBl`1y570YxfTxXSSo|0wtUV zcCBiP%$I1+)nv{03q2polMd}t$Lc# zm7jql9y_iETHoJgAAo!=0+#Lb<~rhkKcY_v5nz7c4$ins|(`SbK}#yLzXsj+;VP#-tt-eO${&7~GQJUm^+9JhnT?`hQf*Lk(+*~2fJE@!9#y?bGBEP*3e@0W6YV78PA_#j^qX0CHhvE8TNo^5-iJl8%${ zfKzbAU)ymJ9Q>1??`p|z&(jWtCkG#UYULaAI#O1?TLWkPE?*0)GNF`Qt>H;K{*2Ne zZv1iS#}4x6@w-E&N{Hp0)X$^7EwzH9;-Ql&*D$5Z5;)X((XC+v@a*uKc0h2G<_VDT z8Su%$r{NP_*RYQhqX^v3qg7Yih4ni69d^8%&;haVsCuYz1+J1Pe~|s_C}H?S&($`5 zaFTd&OQw>ZH!+IAp5V>~mOp2$x^Y&a)RtxSqr#*?u4CGv?z`U(evjo-p=}EF{Q`7|h*(N70jG3}z z%rZ7(#nJg3SLNIkIIEs!RTtUvEX|kra*v=w+w2bIEyvGn5Of=^jJXqTVm6=0Lz_2< z?t2GYCh`nIf`4dOHJNLYAA#lpNv2RD1eE zzoSdbHHCcHq?OGC&6bU(@A33|n+o4{*O^020XgDCA-kn z@`0+*_Ilg~wMW_V|Ilz)BY7P~Pd99Goe_@B;^x8&a{os@@pkl*b0p?-op`YvsC*U^ zc)N?~S+0Q&Z96#Mxoo}TSS=v|Z;ZVv;zT`5)&nYjDOKv|z1NW)h?7hZ2|&(GwvpoE zcCk2}#IiqFlAJv?#fzDB*=4pD$368p7`2MUR0GoQPV^&e*WYv=OLutkItC&ZeZ-cLtb|LT-P4ht1@rAe}!HD~1&hdH5;&I=K zjkDS@`PhAeUQ!12*ofyQRm8@U>0J1N4@))I>=e`vI32yl?+2&vb7Y>Y4b!`dVwNf4 zNO!h+al?#KF|k4XwuZSP8Df^ySo#2On0NEWYJK7EUFF^Ts{(Ota#`WfD-yOFQC0eP zflaTj%0HJ!X@kJT;wcKEB!{jCq`AyzM&2<|(XAAP2N2_57+ zEh2YEZdfm4v4~e`Ve;f2r_kDNsg#2SWm~IdBo^VFMwcW1aSGj6mDn&T&vdO)hCr7c zu}5xcUUK9@CvatVcJjf1GQ@IO4%_fNEl`&H-f5<^n=={Sq+DXQOzdi~PVJ2`=i zx&xCAij-}iE$4P2o}`&wCqHlk{^}M^I>=TgK3NX#0`<;yK$MBwlI03ycBhl9ZrrB> zn6jARa@w?e{HFt;GDLUTu+uLPJ|l~RFV+44;XV^0b{dSbuz$%iaHFd@k424GkirLo z=uX3}4zd%%x&z|`vh0X-LYQ@!If4AXOQ*#0cByB@V*l2p#oGR*eu}+0?GT^hb4e)h z0}WBzbQs3O#6+jVPJRBYfB&M1;Om5v3S>#QYRSlr;L~guJ$4OQM}@ez%f0H@YXDMrL~sc zok0xCp!--_oYLo4Rts|)lCg_zk$|%3TXH;W&LiCWowykdFe@Y)MlxlTNt&NdHBwud zPuA=m0Xz5*QsnSNAJ6zrySiAW1-}Ih|D5|c)$F<7^X9u+%qU6 z=++Zede)#Qnv!zvzJ!2|H2m13arZohH)PpSSZms%iN5v{&i}!7+ToReY>#n8c*Mh}TUy`yHDR~>8r`C=^j_hUaqD6a;N06#`Jo#Md{r{Tes6c`MB`lBTyXVf-s8+Giyy9DaICi0cVf}qd9C-MOVBfQ=DtyfSoZ2I zr;O(!GF>tTij{McUG1ORsAoj>+6gh=Z$}!Le{8k8iY{Jy#3<-g_w^r28TY#727T;zx*kQZ z)$ogL-l2{(Jf(WyTze{;IQid2JWXmpS?A`wP}$W~_7CBT0yUeQB2y#qj4znVfu(IY UrchY(&Z~EO{07JD|Ge%001qJwmH+?% literal 64781 zcmagEc|4Te|398Kp|VvJW=xVcq|G)=4K>qN`||I7N(j?mMke1 zF=8y0Ominx7>vwhWQ>_H`&_@n{ds@h-^b^l-=nLUajxr}>zvo}d_7;UbC%7SGv~R6 z3izBeCj$K22EGp;KY!t5V}n1nNuPNuk)&I^JDUk>Rs9zs$A)Vr#9CzJ@I%YK zeIL(kWj6nj2a+;*@MH1bG)+A7BB3Y!1%K#*o}PJ+5Pw&$Y%BfEe<~F^B&Z%79|7RTh z5(OmnCL`SX3-B}(vU_`}>BtkEDXdz2c`EuKR(S+lxC!NXGY9A1-fTMZUgtcd_WNMF zW_4A9+L7wiGJkCMdK4!w$J?#_rS=^Q{1 z;GD>C!*~R$m47x9m%)1%IIJClM&6D~)u*+Y&NQE#X*Tp3*4Bw@ZJyS3Lnq#r`ez8I zO2FN2d+bNAhj}Oc(GQP4J5;kcH+@A<&fhd_R`|J@UiXj943^@C1`ReM#z7y@@SyePYfR`@T(o)pTgAKDfI$)7^!*_~C_N zwFBoSZXvF@FH&em_2(({vKKoAE~>h!6CyPEt7h-rZfFDhVI6iaQR`E_7AN-P4ib0$ z$rT}Y)8>B`;4&oWn#V<^s~xtuk<#)pf4@-bgdDiPOz3N=VWsJr;c%iR)%Wq=8zO3c zXc1jMAelfmANQ~=Ygbd_0xWlbAfD{XoIw%?#hsG~Ytg z<12MTys*j3ZhfphzBgm$@wm6k(zLE&GiE1{KdR?`H!VL5b$AF{W|^9Ajtb*Q{+{_% zdVv*`saG|;DO+PoO~7UR)(%M+A-bwnxup3%#%}s2+&x$Q_nk8{>l*w0_f4%&#GFBt za8VUOF9e}(<>z_pw3?M4w&S)|wJCMxC%o>vPDst{E8H_?ej+x4Plt7DT&&WGHy@|w zr(=`T@0+HA$&AvROg}T|#&=sxsoONHI9Tj+ue5D`t*QAKYzIm8#42-k@G{NGjYlhQ z;X0aCw`8B2*gjtDV`6d_Owti_h?p5K26cN0YLuw;_1$6DYOSw8G#XZ)v3oBt{tiQ} zDE?0a=k{!KSsqYE*?iQlt+`9w?wSohTKri)GgejBl7}ynZ)!1fZ+a(}8PNXh^0*k_ zP^L)rU4~5vd$)M!i~(%KZc4|l{TR2-l(QmFtNPpau;#ebo8--Y?#o`-shpk>+07>% zPS0m#u1o zMgJM+{4PymS86^2^%Q7vrJ*qYrq&Ie5U-*=>t~FqXgT_?!Ze`ynY*_2LDvF-6R$M= zkLm>XkNjgo{dX{$aPn_+mjlH)`%62nXq9@S9M<10uwVWUIo0?1)wWZ@``BgoU#0FX z{QWQnwE`H~uq?}u{I?reLYE1(^?}wkcNZJd&hPQI1rI!KE1n=wqYt(C`(3^}eQJ$= z(wmlFc)O#d?BLFfBYGh<7VA!o>Y>(}uIc^A&o9()PncQ2D9|H6K5O;N9CE&)L&DEf zLsuFco&ru}LIwI#%IgO;Wrs4pz0)dPf^yh;vi6_4Lk8FmB(Q^=7Z`^vpW~rT7mvKI zy5QgX{KsIgcF2K6Y6tdL=V&d+UG9EhQPsK`y>3!JIiXSzY-Bp)TL)IxSQ16zy+Cu$0txpfNJ`Kezfbtj3 zSS=r{>4Kl2?Q!b(tuqfXSYV)nO+3LmAcMzc2^F8;yb_J*FAM2T19l$fKO-{mQ+QI} z@M!zO3+t#`)~*>zch%F`aAU*2UX7cqI^v))NbXs%ptBlORdVW{?&d1`D$vy zk&N9NsDi%3|5Y|c-{x+M#TxyfrD@~0qDFI-rqn5u^`B@)3rOFKupQwu+TcsNJ4%wC z0+V(E%FHQTLQ0#RVqDqLiR=UONh{N|_(w7%NgiQNjtA4UK7k(lU5I<{=Bit1rsb!L zc59|!1p6~2{Nqm#knhc%(bR44nX8+N?P&IU^!&q?yVtK}sa) zp=zIwYJ1N5w$qGP`9ga8VM)-XHhD3zQduYn6T}EYS>MD>?X|rTnT@zU;_~Y z5T@x`m1`~Fn@~gG4PWy`V1k>dNh@Z&{gieHV&K?|8N{mUO%t>~URjs(BJ7&tw|?EX zR^{F~M|VEMWe8v7oLw1S*tTWu=e4F(fHYn0dqW9_K!gB@J9`mQ1t-LtfVikr{9_%S zlXn7B^J#%NlG)i40QMuVqEV&5BZhTCqSUJ1r1~DiCTuIySnv1xO+a?k0?5WhtqKGI zg()=zdh#Ismhl?)P}slDn;TE!#-iRkom!z2QWOTn@f+>gqZ3j^%yv|Td?x_1L~fD1 z!(fv)pHZ99bvJIgU^8t7PB^hMGR2O+w0MjQLlJL2_ZyFF>oqUMmNC+NA+;*`v0Fzz zvv9K^0$Bb3xWd{~&eDKlRiG-yZS=B`J9;zlN=loJO_7^=Lo79_&>Cu0UsY!`GyPyz zR!pqsi-a^^O?42D|EUk=d>p4Ffj>?!nz=v|`SJHhTEyMFBU!7(9qRz@Gj4&Q9oGGi z3ILoT2KECL%;NGU18l-y(%vHM$C^zBfy<>gy|87TY0(itjw|a{|GdqJ)%cIoq8wI% zPzl`kY9w%FD-x7}K2Ck4k05V`W&;NR0Z;c2vj#TfU#uxM?XT;n7pX1m4g8Na151$q zjC-FC0@Lg|^J&&!*T3AN^Z3Lb^sWmCwQj!4uI%MRAnTR>-=HWbS;TT ze8N4$Rn4mSq-0HIr`GL#c}xzCV^FjS0y9DT>tgW{K9|(o;i;Y0NSaKS6KRnFPja6<_B^f zna|=o2sUzH_5bz+Gdl9(@$9_7xP?zEkXUJjxtefRJ_zlRu#wpP%An1z{(dFUER2kwjk=S zebfT~b56P^2nf#_x1!{>RgKMrWg*^0)(&^VP_0{EK1c`jpzwo<=T2X9g@&;Ch|D9Y zch=V~24$je>V%-VvzexBHq#8ANfDD~b4Jo^bJR@p1?=T?kXy8E4G2(E4$-{7Xs}-uH6)UE$ePU(3v(xlIAx23~WUl>Z#m zy29=}@AR@pW6=`Mb@MBIK9lKz(R$|xgO?rsx!^Ay<64tG0UL4n#y|dlUtu?R-S}sN zx$)lH6CGRE_kTL!b@axan-hvH>z}{g>lWK}rzvH9eXy{bsJ{44$ zLbh&sTyHhN&UjNl^8*{i9l_-AmU&o`$UJK;;cexE^mdlmm&tB$AZ!N|f(BVmet85a zZ|z*#hdA?h#~dY9Yj^pjdhbJTnSRd;kIV zyBxkHNjC)Dv!`(9v-l_2z{*%b*AoCv3E*q@LzdY*?335a9|euWP3h(*o~C2>Ru?YZ zHe<*hPj@Hy8URcDG*@#gc&p&FU(gd)!rITnKPWlK>8Wp*9rovhyw{ha&|-fw9TXLE6_<>a~h7cGtNlZrMO-z+G(H2JA(LF(NYRoBXv4$j1$>T=n# zB}X1S4j-D^6jkM-^6+_!`l8Zu9~Bf$XF+PzbHANos*gApqqGeR5ZTF}!M)fUbDJz{ z1J|%ok2bDT2`;oYcl|vEDpAX7JGHf1%Qr5$!oIs}r+!|i(%=zatnzS_uP$u1-32BZ zR-mHUK6htyImWmwpdi=!aU{xOPwhUHAwB;{4KH~e2opVFb7@(F^ySHy_G*rVC-v&b zh&Qg8+^k-}v3BO}8ZGlG{hUd|e}{&8yqX^I3w5Rv)Z(9~zB`5u*o30x<%GMpe>EK` z)|n#eO!=zCKTbs-!Xnn8N?U#`k__c-cgq-%hNBbwNT`HQg{Xvz`>4{}+cD7YJSrVZZC;{a`SqfTYG`&NVd+FvQ&09vO4BKCN7qyPb++~4q@r4 zIE1xWhpH{2ZsT-nI$gQ_c;7};(e0drZW&>OuVr;h7SnBmonA(68Tse$Fr%tfv|b%g zcQxDM)Dyz92``^+cjh=bm9P8#_Fd|+!`SF;!3oj0r>F$gN2q4^gmO!i-PtY0Gn~Tg zjls;72ZoP4-L~-6)9u9vOxHg@c^$XW*Xd=zc4v{b!TIB^{8-bGD>^UYKCrAz5#`Z& z@5AGh4mTBPIz|jvFLg8+B-f-VKhP6Sv|Tc6X8ieq+SGNyum$2KkOSa1PXb74*EESb z8{L*sJeFT%?A|Oo^YO!}SFar_dRX_niz4v;&*2Rghc^6pSP4z$38f!w9!#-ijKdr_ zctHJEZ#4U-P@074=2SdnI@nc)zmji{{Kg42#;A=rxRtp%mB6Do*l6ltz2hQxbD|C> zLpi|h=GYSgD*}@Vf^}8dY!d%-f?{1)FYg&<_Wqp*@EW#_p%ipCky`G7voTKt;3YBa z10hCqXt6+)njQOqL8jV0!gw>vB-w1rPW@0TTd-ZZ$g-MYb(As!S5$|#$xWwqZHfLo}>Csum9&!j0Q|f z&))rT_FYf_8?)F}|B^gzW)vbvGPF}n(Mn2EQzn82Ib)$zwzJLQ%j`IC3r8qD^M$VW z?-D4j@^iYQmxNZB*}fG*LVbhnXl1Dt1M%mX3btGqbr z6Z(|!pv8Z#&8)&(dQgd(3s+nw-g^%bvpqT@4Tib~rYDECh*{vS^7C?9;H#GAI+s0J z&wE~{8WD9O+;5BfGKIgt`Eo6@^-1sL6EmaGJjC!aLEu~?dn^T~*LZp7EoBO!c%ZO^ zWESxLhuEhqcc=)L>HVEcYGLrIU`{eO!5){Leb z>GIB`)Zz!z6Y!?@*G#h&nZlpCY{v0mX4FUznY!VhgNct$nbcT8*OXWArwyXF$tzA_ znv~i4DS4M@WNOJsTMW!mclL8ETLPOk%LVgB&1R<^7cPVJ<&cJLp{_)xvVr_{Y_{8v|uMls3Z_&Ub|A;U}o=l#AOMKIu-Y2Km34Gsa)uRfOyD zEVJB!4Nwh6LW?>Xae`M=Wm*p*tVww%HYOpurDP=d=c3*~9VKP~JmVF+PvK=F|;9(5}T*VD6JQOv7CbrQ|5uM(?vBf$)Q zLAbWIxuh;m^=cSt3?m%Evo~Gn5IY4R~mK6Mfow;3{wugJNTW!F4qkcoD zl=u?dt(d-uY(77hyyvi!Vs?q0o$#i12pSQe9s!oF>`>A);Mi1RUL!=*k!_VhDy>`} zee$~t9l+&=Ve|!WSEh7Tr)Bng$7r4Vs*^J>E9UEBcEK*=%$i2!jBW)LM8#2Wzh$!5 z%p5W~7efq46Z+pmvp!RphF^d9hQ~!WIV)8|`e;O9OQ~;QTy#HGQo3=lZ%ZX_zI>8B zXPi_jMZ!-_zGm*`Q&nEL&UGU58PUePxmd_etw=HZdp;_#$So3 zhL%ALw^PUt^=_?tPUaB1q+@f$6xH+R5YjgCIm(uXs6PycXYhBcw)%7ljrerU}{XIZ=PSu+aq z^?N~W6G~&5RQX%|)-&Gr8?2xwt)7@HA_b*dv>Jm@;D*H`V^n40{wCN-M^-`BooX{3 z(WJgR@~v>!xM)|~hDs*gM@Pu}w)f3FQAz^-(UGJCyKH31cu}ip>KRdHg)8e@Sg$Vo zlW4W)aEllyaD&XK09k7`TG0~K9~plP6F0v{6aB*^O2K8FJoU=<+|toMzwtkGMf<#4 ztgT1$tb-v7;4#ZHKvbU8vq20 zZnic3Y2t*{S7Ixbd?1wNM$c(c{xI+nW^=Q){GVqu=z*g{ZH)K%$}@o-o{3~aCsq+B z=m4sKj`{TJ${t*>@=ScW-iiXL)TxFpzMsV#qT_V=KMDHCjiF2Hk+LY_+05P&uS)fi zin+AIlg;E$X2V>z{LU(j^`i;BJxP|U9f?!C(e~oj#t8b_Qgy|HCb`vD9pGl@65Din+tB> zkZ$)GV(p(Y6HK@X2C@6cMn4?0ZcS8DqlCY{i}5!Iixc_JDB02;x`MHs*-Pn?%N?bn zBS~WF9UMh9;F}{>>9-lPJs7CzZ6@!+f)Va+`1wbsVY*J~3(`k;p%!7O(tII|uJsEc z{62wyJjI|38Ex$heX6zEFrVG%oP@TVv=~X;IY8#F>9)KO3{w+N|EPsW-Pq-06pKoZ zzv!#5@s2K&d1PInp4C9I4Z3z;2%*l9KRi9j+aeyK$Lq2JTX&vaPKA(TJ!Gz_oV0ve z7PRENW;O+AaRUVZ7Bc7(mb*aK!=|jKYa$%BTfy>VY5x+DS->UcVt_P}o$%)Iz@k0Z zp-gmK^lz%1w>BFS(P1ThPrhI_jZA77NVIUGMQqApQ=lfLt$t`CYAF$M)AvEr+}C-# z73gd!k6oR~vo9BE8W|z;15Q;u(v2$#*{%`dd~(V^;{!D#h#hQW{yI%e)p@onSci2|GYG zu~BYZ#r-*;qal=O-5o3r>tl_r!KY;(}pDH~-#2IGu)^ zw^?bu8|i;dDPj+0D&`T_uXQ3wRyY#_?)73OYh5(EY^;3e#~_`Db2X;ulXufd;|*m1iaWC-)R2CpEzMc@l22 zyek(;?7Z;|{!GW1J%F;E;kf8dXSh$}E4r<63DAI9V@1hyI2RefI5wZp)v!@cvARAecE(38 zmi3=o!m>Zc-~nh7(%%F?fmas@Zt6pUq4N0XxJM1;&2JJH*&fpt{-&4eaKblva_Vy! z;kkiCiz|P;70s_-DU(-?-n;A|Jr|R?<%XL>1h&rWHm>6ZO zTG=PP_fqA8GOmNvB?ONt=df0H(O$MAD@@{}zdI|EQkZ$r5aPzkNyBS6Rc7zw4N#&n z9Gc54Z>Inb^dWXyKJA%BBIZ!;fB?3`bTr&iFKK=VTAP;`{n1(37K1;X#d~EWuQOD} zZ;OEy8X1u~?ZnIPaC#opHd#eYn*3aQqM#>L?*6RepfNOO#KA5cOE|`gJvb6;AdX!i z=byL`qQt973iPKiy9US_X2TJC2(PcmZ{>*CG&M9hU~vbx|T{|rN} zx&y-B#>(+_BYx|rAww4V4TVmIJo?~z`{9N;Q*~gS_NEiGq-6x;C4JBLVgdULRj$}N znl3_N3`QJ&Y}bZOo-uysQfPzeKncGvuL$^MQp;qht`S4rm+Q;0yev8Cp5@sP&LGRo zMj2MVfdtXY0naO{9u9U|+!bY4Ew{r@CP;hx8FE5M(qgBijmFA!Z3>RDx5M+(P6DBw zq8?8iu6+5zBda!lVb2LTjp<8lxbudPXf$#qC<&Rt`$M7PmE0pi3R8%M{5J(LM&WzSBf!w>6RxImlnSU(UGk`%ve z!l%>Hay2gEA>k}e5f=>r_#P zC-uB{6ddj#BmUg$rCbQmzr1_mwvFZp9j_~^=sB14X5M(9x(xB$Z0cSDfhnXa&4fwc z{%-g5_S0pyC7yMr-8koW>m7ktY+e|s!$}YPsgot1pUEqzZIF_b@?NaIp6G$2Y$g~V z2uaG~D0)t23cr2Jlzv~t_wshH4-D%}O|l+|R2`>Sv?xE3@j6UdNQbP=7;ak)80{)q z%?3Dq=Y(-DaUWC3O;fa~KclBtE#;k{IV+CM zeM|m=Ycm1yWlI$ye!k3{RxZD@IhSG*3?q8kDRz_WB%@=L&xP#N@=QtOo^g!z?j-@& z6#2M352|SUh~5?XHQ;?9&G;1~`1J1C5Zz{}fZdZRC8$l+J!r7R;uE7kJHvzw`KFZZ z%OK4zvb)*TYooCXHs@cOPYu%R0cR3cLeqtHU-@x1+sf}FcTn;EwWG>#bTDLVXD2A>PTwtcr_`@~HXU`^`cM zm7@ zc&G#NJ`;p>e5HK;uw3+B-$s|&K{j|eT{;eBNRF?ZM5_Qyu>&wgW-zFd-*3+mevp0E zjEj;P{zGu@j&-lSB_umYIWOB&)GD^qV16PeS-dRqzm<=)lZgdO1cBal2u_}pcJod^ zwai?*kU~>(9}QG4{4l5M4zA6; zgHqtoaC?t@AgVrFgmLY#Bn`g%Fei)Hyx9?5>k|UgWOde!V*ND0`-QrE&c$b(A)E9{ z^G;BViTJx@{qT~Gil0r&b09z3mC2Kswz4dJA&(4U9**YHi|k>RR#$KpSE3Gl^AhPj zX$?H3;Qyscgk_H$OMjH;V+Invg$>?kOaEr!Zw--&o_Kqm%P?HjpN^;K@ zylcR3K7jNk9NJ+xaHzXSoT*eSW_g4)O2r4uhz`=T!}7k>IrrwHLw`I#uPs*(x;Sp# z-6kDfTNa6~bq-O2LqQv*=Qg#z#nCFZWS>cbzYI*mo5WoD5?!Tdy4+*FgankJrrSp1 z2f_S>aidod#HF6SEJ-l;U3sVIX(zYFSQU-4St#MNH8OcsS|jgRQsFze(pjm8ycX zFbXwIM*NboN{@~yUvx=+bvj~l>?l{iM%?0mB4_?Fdq{9>5#Li`(#Y`ME}BXXWtH%? z?HG;xF8pN)bT-9b*?P>6f@w?qSUMsh?B~`;CLsGdGNt{xlJ7`i%&M_ZWJ9DkFsDE- zmZ}$rWD?f&j(Bf>=iTo`Oy-Z{-g0JwLK%*6+*bhK*XDn9(x10+7@dKWt!)ht@9Ftx zvSLhZ6Qf9UG(GDBps3_3_7-p`PnLFvb< z@gL)?T{!-Es``z6yZHt)oemDex;0y$`90}%E#!oC2RFg z8}ukhQbk6WbR>f66C?jgXXv>;_w)kwBO%Wp*JF0g1oAeWR3D4o)ul74ViAl?h7kqp zq6ii-cuS#tLewtPu7p;PC*BmH4-1iuip`6s>;c!(1LcCc&D?lOX&J+BqR%5}v9Tw=(?^Abw)et6yiIQ@~*;bX*E@2R#BN{szT zPx8AN23|CcEaq4fW6#04Qv91I_Hjwp8@qRV4vB+YH$|gsLqqUg--QKs(Cwq79Ya#C z=Nr{z+QT@(WoNl{DCL|^p|*`7jXqOkz(4847+zNPtAvhtb$mtC*QW@D6rpPjJX!zI z`^+&$AcHQm_hK<9CF)Cm+R5bJI1z&%0xOKC8TD20G<&olNjX*rN>nTz8E(8`11s-> zuJGq_&e#4bO$VgR6@aKA@+^`0B2l}!Bu@E(EDHK_%sV8ByM&QDtpy5N&Y9w(G&BPx zKWreV*}&|*XfY*Pb-E*6@{KH7GgY^tdpnt~#t*>{(R*~-L=i#C290SS5Qz|ZHju5p z;-bSiNSr{xy_MVEr^n{>0OFyXqws9rO;~&!B3jD!d?1+pFKS_8Txa+uPBaI&xscc zbvP9(ABHBCX%m`3xs7SsZD}7$ShWz21$NqRUZrGTmAumHj-%D=l5|d%EZdtZ{2Dzd zG34kI_uaF>e3W@sT!Mdsg5$KO7-K))xkyHOx$Et7V4=LkG0Cm$fWYx_h0`g*kyXN; zT$yH=^JR=oo8UA@^foTqr>`#H8-|%ccxx7=kUN$I9&TCnE8tkVfc;oaQo6akle+3S zvMdmtkod?^4~o>Zh=|tE;C`Kv zU9P#dg-7$VQQpyQ!yp_6VKL8hOtBI-EdLlA*ZSOGRGZlFw+-dIUbmioaJK#x#XVf? z-toX#EIu$mkJz4Iita}*cUfn*Pwjuu&3QH&NzQ2$mY zz4&cgb10g&0Sgp^pr9zl4NKnKI(J>D68#bv=xPWPISOb@{vj()8D_dw+B}o@xqRb>O~TGyjoP5{)R4lwTXH)A_861z z4rf4lwGF9Vhel&tsq%Xtp)o~(C5XT=tVlhHWBuI_V#u{z8N~<=&-c;>9Yz>5TpyD?Pb8SSIBw@z3EHSW{TXm z;OuN7MkeFh)x!NtI3i{x0ket|OYF?)g|ax(iE)6Vi3XOPqCM1I4Xn zDjyzbkq{9!@DM##htGIdDYNS~<_{_t5d#XJRopTb=@we$>$8GqYMB6UU7u6npFMiS zP)e_ETM>6q+(mvJJH^9?S6F(9e4tF3k6z2F;rJ0cpp(KDm-3%hSEie#O`!7b#en?+ z#oSllWM|uie0(uq1DY1LKvH=xUD!79refIloe5XpFg-q6N|ni!KZz8t9#3%{Pf@8H zOkC$sdp1Nt&x$D)4S3WO`At;G^_F1Kkx4<5T@%?!mqT2R5^e3)*9}$-(fPVPU@}FD zL)+hm`h_##emY;TzN-AqDv{Zl?5Gj?0AMgK&l&bINt?lbisqnvz|GezPM~yFFy_7e zc5dm^**@e1-7%AscQ45QyhAr^5USwPQ*>C?l7M@nLFTwV4sm8*7Ia@)atv-%eEgGS z>Fv!qf%nXk67+=q^MrrsDfVw04zfs4i=>M39zF=F(bn$cjI&Po@$AGCoo#l#y$22GkBqG6WAN*93gP@o!%W3Z9K+>);9h^owE+41 zgyMN}%m;7%HGV_#N?ab`&{-TIu!fe2>KBlg2wMHlj+GN8AmL(N*$|@T{i>53QCiO) z{Go3&kIGN6?O9l(#ONy^e>Uslx;WeK+92t@UBc+%M&4J0OMh%*_dNfCkrzY}%k$qr zMcHq{7SL2dqI)Dqwn4@jZn*AuU>+yPXpnBAJ6(ZQC1n>nW!_`Y>IZtnl=Z zg5UH!U6Catw->^v%3mA99w04^-N&YEwQ9fxl5Mp_)Wg+6^xRdQr0 zD;&{c*G@Lk5mxVPg11`1FRqL_6~t}x4lGuDQuJaN8MaAI<4APE;SgA2G6n^7aZhwr z;8?C*@KA5X;yJY73>ukZ)=4y6B8%NchyjxLd>(sK80?d3!s_y{QzF5lc`WjEXz5p0cIJ za~|P*`chag}~;`s`$odoweYiHIofQVAar`YHgAs`P?| z5(W5Up3aozPQn?nI2P32U+GLW?|*F{y7aAnynvmYDKulHeHwx>|tqrOQ@l zW%*_KXgmCErhMSpZkZMzik_4YEL7;@58k3cjmp+ZH~}Oc*L9}jk2NekAH7i!7kxKX z@d*W4#7#S6;-VXz6_fQ9#Af(7aN&boVxl1-Qe6@OR^Xw-qg|KqJ+dfowbF4FE*&Jw zBSv1j0vE&}r|3>w@1jI%NQOWy2CUm(i@=y~z;Pmih$e>2hD8H@Peph7b91Rg6Z4y+$Bc&BpT^b7&GQ3(|%H1N}%2~T%X%C0GXn)g!T1u0Z% z1zFaVAYlQI8p+FV|(pE*p_KDNh3%sh}!Ltw-L8vN`w>GqX_=B}6GU3jGV+ zF%RM35R43YMVN%v0b*C1DMq@DM1D$D^lVm+rYn4c8DvI?!QPkO#dRz0rLbn4;%zE? z!Kq<^Bm%n{ZMQZUR&S5I=C~>wD7a5e(#6{~CUQ8)Z&RJ*uB5)U0oyTsXTo-zcJYVA z3vA>ZecI^~78pvHWjeq{DTUNxczpihzWJT52}PiW)75+B^@H%jmqws6-N7%C+KE@_n(;-B` z)~KY-X2XyHkEVh_CwM<1*sX$Z_fqz17`)T$p4l8$Zafg1J}5r5|AwdLp0lM>*2rPx zbukM}xPFs1hD)(qxnt=b7?k-H7wvi(bMa`Mm~r|qT_#CJ+xe`s_FL!hmZ8GAh3XKCaRRP?8P&#t<&BAz< za?b>TnW4<*SLE?_^Q?#ymcw@Ua7BBMF~*6qraePz3znRF)K9iv+e!N8EiZ@3uv&_i z{rS~6qS2wHM(em{vGqY6K>6bjK`6n z6kPDSgkO1PSC;I_mp0E~c{UEdqmL=(+Z!nq(;sw%%_1FW39^VP7P7lCrHpyZHjcHB zCCOCK?AwPb^eMVQ@&rLsYEs~_*!-ocM@USEQ;7QV8>GO!{YX31@I<-UgCH({opu*6>UtZ{qxrbansLC< zBi|7KR(Gl* zW-}o&1;zu6BD(d$D#EX-+s|tP6MRKrNf;Jv%s@Je{%W7D6AdH)tzE%XG$GZ zpZegkC$NSH#kyc<$9Ul3G+5LGj_2%a2gieCFTloz4^`nPg?0$Q{)77ncX_*chMbZm zPmESLE27`a1HXX{CdMJ9mniRPCtRq`8g+(igi87LlKX8nudOQN*&G~mNScc~*p}{Y z4C?-ly>mJ9h~>HMT>ajD4NmignczH@DXW`s9#6uJe}5K9%+6y|qWUpkA52*6Aza); zKud!{6oM6FrP(~j0+6gX|OF@Oq!mE#DG| zP@&Vhqk+WV(DmhTKF%TGe3K&sdZ9ZKkIclyd+xub@$+FrdZ@B@g@O%A-U^Y$NKK<8 zJ=X>v1g0tDM){MB>S2n(lyvb_wau`AEy|SK5v3mk#is48>A1XoBSi)i72j1vtYcSW zUf^Vd13e|m{^k76KW{MM=6xh4of0~J=!53vzFn0V{b`3Iz??{sO>98SZL&3iCZ`7in{T_&{UJ`}fNdzVJc zC>^iE(HpRy+}7HrqmM**C)E`DEm z$+d;SiUup`U_zD&5pg%4;*+kpu$l5FP4XEKhF*%Mg8BUCt3r&Ol~Os*AzN2M_ysc2 zf=bdf+cJ}55LV}c!A#%nkL+A(kJI2x+dArGx-~h{*a6|6BdH#ca_IH z5P&J2f{qbMXXu!F51?|VLUe-fBWT}F_)tH_@#zMe(*|JPTmlmKY&(mwauWTdgF~xN9yvoSpRdX$y;I)R$uZ{N+E&gVcK3PGV zG$b_xmW?cO$q#f+;L<5#QvHcgZ=q?K;3bai z@+sJRq^-{Ku;>#ZFNc+Qy@Y_7TgPydk+nqlXP3Pn;MZ%ziS3Z) znPJba8>>KqqBv`9C*_YCB|W(aIbDtDcdUZg3+pr*l^f4|Nz|DNCo=-l6*UCpa069t zrNtvHktqQ03^yId=qeg4lhE@L(06O0p@b~>eI=v?P6BjO#jFc|JR6l;04?O`D8Oli z@+*qZpp%I%556KcyM%8rYa$oRnj>=j7EXD-+(p_e#w?dY2JL|=@x=0*fNFE|81w54 zg0him1Oa<-rrbzV^0V=)9*FNN=O18{8P5uh7IkQYztoWGnU_0Y)z!wkpa4oLLt)3wR z(nV}~ri8hu*FlZRI+ukcmgn`taI(z)a3hb|40bym@7cgsT1qHO9G;9s;I|CHZ*wqe zJY=@mAt3}yuomM{(i1+0=?T#+XGPikI?Q`Gb2blYvr$zNugKqlm)EPo_N(BF8Ia8| zV+AG>q`HhA^14x##lSfSDcCnk@sKbu?_@$t$4v$EU^!QJV2G}N2Z*XA`5%R zd0JZtU|oJTMZua^Nn;dGfdjM(nCA-_Es-#dx%6-J*WNtMMgnK;NF;uQMlM}D9+@it zJj({XPM5x5Xd09bkltud9sE{n)-8IC!o)VEZyzM#EccDD+&XCK4;oZK@JiS`I%FTG_DRhdmqVTPcEJI9Fqvoru$r10j(XTsPiJzfY=lF zl}x=>9SdLGD_#lsI8B$wo%`1Q3oZieCM4L0l)iv;$0Fxt@eb&xBMMLlpd@IRmmiwl zWcQx@b#1ogiruj23Q-fBHYnJt4a?ePfkSX8P~WGJv(K+W7y-Ppl41#*=aVx`x~xQA zRx)%5ap2?-46=B0RS6Ej%6DD9=v6yIISFqAYgFhkA(brH$4bd+6N}iUnLHXROfwBe zdk)iGb$EP*_E)S@17w7!L*xT#;#jP_SqoG^_EIr=!;l7#mI>_k_-Z9i8&;Wwmw`Oy z+kl0*XeG%h%8_?GEAuPwh|O8Uln9%?*3mNtmf5IC11@yJeIM8H;;%uR-}%qWf3D5u ztoJOs9Wqc87^Qq@p2+l}T5F&Gdb=-oxn131aeVadB#QUx(tqMl?_T^$y}-MQ_?-Py zCF)rG|HssK2PE~q|F_Ltm6}^K-)UxQ?yZ$M%2k@Vr8M^-bD|=dIZDw?)7+V>T;#-o zU@pqN5;yKeir_*N_}xC=e}4aQ(fgd|p6B&?);;IY=-V&9i5vFgj(FO+ZKPKzQNC7C zYM*C4WN_T_pRz2vbiB;d=}vS@DW&vybmv`ep2X9o>oKccR-bB0L%+Yzzv*U)R&;Bb zJ7_0y*FE_Ivjo<7us!V)wFKlvc_mkaPOJ_AzJ$1{i9m?F@6L! z1&g&B9sbRIR8XpRSg4~aVYL-6Mb6-M6WFs+8elxVbkzEOJgIaX3<%*LvGjlXX`09l z`Mz;bN(-~ZzY@MtzcSlNw8V!y8gs&*UU!)8TI1E!OG?P1Xc?VXsSd7_bCvwzC&vEx z7`{~apCuUvNrQ@hVWX%hzR9VNtlCcDc*5=?aI#ue7x=Zu@yybCwB;o3KZTn z8TJ-SlJ(0h*>_HioT~1+``-T~TTFOzis;BS@ra*JQeH)J55+U7L|5}-yH)1MSUwI3 zWlvQ#n?<1rANl$69>%h!oWU)gv7c>j;;9cvjM6XJ)uL#5IGX_?qmO zW!-hp%f84>N@;Af0rnxj9eaHd&s&bZ3L6{eX&4@Q`JIE8k>GT4LEdn?dn|X?9+}1YEk&=KUYu9!(ib$A%J{@Lq;~b? z3)9!CI!dN`T-^t|yU$kG9zJxt!TRD|Z^PU;?~T#hN}nMrv7b*y>#L9}jZbzhzDi)L zP)zb+tJom_K9T!@)16X&weyC$+!@nu<}*6mR;NaTT5ylqAgzk>@HlBT{}vd zy8Mjk@gt#5^+R4*Ozrh;^VBz;Khm$xYrAB@A&x2V{x~aA9H%$%lu_Oo}Mg< zxdhU6HV=Eo!spJA4uA3S4@04q&tH7~iiTLtk)M>Sp5ae#m52Q<+tG>UQWeRw=U*f5 z8NMDn{QX4gRg;*NZ)B^v+DWo2ythF-_0Y`KvyroRU?uc)R!v$_9C$GG=`i8ZtpG6v zj!dNp4~4wx54ctaEXkIl6SXWMFnk<)RpD;M@lv!-Ql0v_goBk+g@VpK(}VqnZ+a`t zv5ZSz<8H|H8e;{HkA?f?G!ncPr~Vb?pYSFx!9!3L=X><(KHsq_4%EwR_mp8ZCnDc+ zqV&F>m|fS)8+6fjjW15m-r6|-j(Eet7txpTOPQRsx*e;gAE@X0zISvtF!3Z~?9flC zCE3~A{p*z&GZSifs`D8^eqXij+K&&0D>TxlD0ceLp`Q1-cSj8OV`Fbr-^)atGiA9! z`tj28CB&%h#xIH5`rkI9pr;QNv2{!tpP3u)8cp1plR04a&hMz6yC$Zi2s>5C_$c7| zB+a8}eKy(V?ueBK7LyjtZtQWrkLevE-><`>toFg{^teO*n;zmSNSEFUB|N^gU`-z` zR3uy!6hZ!;QndN(ovd2Q^>aq(zZ??6av#wweNNs1T#fjya}PhhOA9%1Q$L&yE>ppr zi0Z1hSC`-kZ@=#83srq{w6@UzDx4kPvCs%p0k*Rsn3SxRYUSgNVao3VuEvE3MAWob zKP*hTU`i*SH#~W>#iqOV;3LHIl30Zcoc2CgTjspVd+QWHIXC8hFQvwY>#8ETHzZI-vQ-OP`#`e!hc)ZuL-ch}mp zABmsPByjFu%{YIv?^xvcIW_9#&$GT4o%0uM4-9fIso!&cYOAnvx|bgNJ1rYiJN#MO zmZ|Y%_9qQC%#Jg%P-yZkDVFQd`GI+>^}2(rDfG^YzyDKSE9JBDSNbjvk7q`lV?hZa zUqlvj^=#w@c{=h46ka+gRH{AS^ZqZ9BHmg+Y>npA;#q@f8=8IA;ht5HFwQNPGpUH) z(dwUo<7>iKYR*L0HNHB@6iDfDt{H0|@qahoKG=r*C0U_(XQI4NiF~Es=6S4(ghNAB z;Des-v1ebyvWLUMCMC;Hisg^(UU9dUmS9aD{4BR0fOwi|JHzTTUCDkdfH z2IKMfgnqea_sab(KlI7?oRyWb(>>}`d)}1{?+E8sP`LbS1pZ^bJNx$dy#m-PlT#c^ zyaLYAb)&iem9rbEEORwH2)ThBto%=qLx*=N=TWekX+!dvCM%`qe}%a{$-@P=-={YC&|K50@g#^|FqE?txE~xNEyDS#T zqLclem~v{s^U5oWljx5&IA7j$GM`;-#q(~)sHY3m=KkSk95#KrgO0iCHF87a8p4JV zoHTY=+@SGl;)_b^Z*rxL-aTaP`|ASH#(dYgTGk3as0%1$9H)OY_9qOZl}h-w+@yPk zN5=2KQ?D#DtU8HDt&Aa`LyY2oFZNlE+i{`YLay($vKrg@FN%3+Kbq%Tq+R5^lYg9f z2Bf=qZu(ia$CB)P_lts~i72C`@PaOhSA5UD9KR5Y?H&Eh2nQBMeKE~kHeV4-0-yO% zoeq?aHEEl%&7IG>mh7L~Zbgp%*O+boV1?abzQW3KlJCVz*-c`M{V)sPvpYT>UmIf{ zcwF8=tHI}3;FD`28SeT(f+_A{n)wYbnXG)ARhN(-c*1V=_#q4cgfEk1V*kyyIkc{{b^s$C~=jJ2Hw?jDyVW&to&84`p82{OYAM z;9buv$1fCQSd<2C8EMStT~ZSzyTON6aBarPiaW4~YU8D{SX*4T zT=&DS&%~UugrBa86Pb@~uV8gVmiF1jJ-9+Q)}andiOS z|H=zXKfJ1A5zclTQ~$Oh?$bqw)HwqRV`cxs;}3bk+lOIx_k2EGleM4OBVK4ZFN(In zn!I&g4Yv;M!E#3C&Dr?}RJ+ecIocJYJX)7_lTB zd~lI!13-WaNL)FtlI%O8K)yKMU=*lEg+Q8`#~F_ehsZn|_&gw}iycW12z zX0`V4JanD8N+$6U4oVV!fD3Ub7Z?#@g zc(hv)#9nyJt(ZIZ&>2(DYe!Vy_mW){^^q$z;Ch5kV$rTwhFaV4+bIZ7ICeQt_Wa5s z8$n*&&5dI7p8WNU^1B;~|GX6TiZ%MCYvfI~!qn8_b7C1>^P6fhU7nw_U$oW71UQS; zn8eigK2p%hIG52_+>$Bt275i$yf$nGx+HA%a4>i?ZcE*b)N_3rp^YdKQ-G zb?b2o;KP3fJuP%I2nE0P3O49=IW<)lIqLX+H`*{SemBFA!MC20tbJ*{AX!^*Jt}!t z#3Rogwr2?0OZV9{#Jvlk8Ct!20_MeKeUxQ(s#6hLhSzx$U121t>f{LCb!>73|GHmt z?#1;FhD-*buL`5C3GuGKHgt=N5E5B$H8e}yEi@cR2p}86-jUPwLw!32-qq?{NUyLu z)>a&D5$o?{p3(x;N!qP51SO_Qsn)Na{;nk;$>ZT|cGi568oya}$1~|Z^-&?m{U+O8 zXSgBmeJ!|wVa|np z(Bc8-;z7^CK_n?m8FOMpmbSseflUfJr>8Bf^23C8{eE(;Ad=(%o3+r;Lbv;&u7xMI($R9VBM+%^h$ymfaLG>tw*F~z!w_>G z#-gtem+l3A>vE z2e-L4tACi}Bpq3ij{1_dg-FSyBY)Bnj&x*_j20wWT@$)Q8X_I3kdD3?;u9&BBn{c} z8mNHbW|yH(BIOfsnN$PiG2DzX#Js0)la7!J@w>5xvDnRUPPM7!$kB*+JUEf|6Li6~ zS?j~(da@}m>D{$ufs_dfi>tThq+6C^gpO!Lo@NB`ipPQBVNZa!g}%CFp@o6ENuh$C zmXw~lbKy?c=8m2^tdQk?DM;K}H3IbCu%4L1tzpMx8R7NIz>#gk*To!e4i||DU8Gsd zM1bUmXOkyK8Kchpv;U74E@^pmD8| zoS?%qToBsR+U8)5zqx-*o5SPYqavgxXOKcc1MVdb+Oc|$81Bt2JbQCV9Uz$SKfxUC zu`?Ev9_eN={}aqq*S<9uG`ZaFvb1M6v?aRvL*pADIGq2V;4o{AzwG}cZR!7$Bs|34 zECHyPmnrs7#U#(znMFWFj=BFy!q&ELRc+SxxE=l?AivHyPdz{Q3_~x!2nQB69i-6tHSkNj5#zu+#8(ZU>P!NX)Ft+l8e`B-z z#?D0jQycX0-+Yt+gPk0z>@VZmdkC`FzbFAwktyfsltvF?O6sbc^T%IdPaYXGXDQdu z&t}E=u6uQF?TQlX;67@RG3Xy-%TdMCO^&(Ok3dx0jibBi><+#%>s%mVkyg{A+H`6a@}J}URThz1vARW6@hx_;>7Vf{ zs%`kM4u8HcOrw9^L~r-pMR|Ac+9KL3HzjfHz%`Kxk({_kkEDCp$cVYE;6gUM-)QPYa`;rhSK$1l&h2>wrH!Nr`QzAecWWY1`%Z=fi8RDP15?{5EjPOl;#rRr zdHZCNijawv!Cx@f!eWG^#tK?~feeEg;Dgp!_KD_d3`mXXvrjX{f1`z#pw)92uJ^LL zQ=V1$UdC9sw9O1y~+fO%|KH%jqaq0h9Wk%gy}sw2#PG=;eS+hJlH5D4p^GOYT7m1mkYm`(C??P= zQe|HJ!M9Upxq#+}38;vanTy~ljEr-5!pW5d3WqI|N-98tex&UOE1Uyl=8a1EnWrSB zKkTPkLnaVW+d5!1X3b+M0E2jY6l$s?gbbJt>JON1H#&q!iK2z+>o)GzjjBEUr^Kdx z_`VNC5>R6PpAw%|w-3Cx=Zs`#m0ppyKy$x$lw6R<08y(27Ft$0y2`xRAq?xh1S?!Y zh*s1A_gS46$nQa{C%Sqfw3ryg;W^O~z)h8{EX1_en1}pR;dnq z@H@=Qh%o&)pYmo#g8s~Lt1rBV;PqXWdx!jJI!JA;lugG4X|yRh-T~F#<6f-ayn~Qh zXE`ugW`}!nh^}X;QX49xx^KZyUFd6Dpy4|Gcpgl`3C4$}NjzzS^_cLwa%(M2pgW|u zK4>~fYVF2$OtI=4n85kORF!$2!=@L6-;Xb0M9J&6uvs1YM}q!%|B<2c`(r}du9A!F znkZ44*Z8qR;xEig&0qe}(>EAkub1y-JMtGf4+pZ;PnS{d394%+Gn)2ulbo+mx)ujE z2O+z8tJlYFt_#?$3ZXRVC_!x9Zdr)qy`bi?tuq5FaF2J^UsLCnleDIQ|-9x4|L`n~C&}cAEM6MQQ-x#2=H{vPrpq5Ipb>Tc>#QbJM8C zdnQ`b&#nMg@Eu<|KDa;K1$h}AlMRpq+$O$yN~}qqzk%xwwEK zDtU>KalsS+N0Rhhl}uIE9WQ^+);0 zz5#C4yVHR)Up>Z#nCYQdctUNz{Z)onhj=`miq`FbJIFe&r$g1@A2Rne3?L12}bwVV0oU0TgZ})KLPZ--% z;GZPoNuHcXko}mv1+P*X?@@X5d7c5rp-U1Wmd21|7zCLSfEik zx{f?{`~TW9l$Gc6`1m6-Vc}mA>gH5P(Sg_UB1Q2A*Ifa@hb%$%dDVT>KX}ktFp~*q zx&JwZy`H}nWU`B{VfY@^?shlnTNiX>lwIEhNE&V(fUK!t> z^Y~fAy#{`E?ydqf325d>kMgdyev*Y4vwXo1yn5a!&51%-o zzzBKUALW>p$d?!XKv2I6a*LIB*EMsG`KMygV%KCaP4)T2j<_BKXmT+4Nyj>lW?f=x zJ*=XvF;KeWvg_qiZXvM2PYSBYIWghni3p@tFGq1V% z69;k9dmJR6lB?4VxG~=|H4#UwfiDFqG5OBcW}wIC6yx55y`kque-5<4Yj zloZ(4pDxVtmS8`YvUMcRbNB`$nwU}LK$y<6>%7$U#E9l>=%@3m*sm~^LQ7)7xqL+ffY(ov1%`LUQU~`c~&$AZ>x03iG}>( z04aNaY;Fv0$m6EZPq`I;`2(vK*f+=yJAS&P!R)#do}v^EFk=5|?M5z&>CI|vOcH<^ zQK-@%E#hyXQ^HzOP7vSUV+#iQ1;<6`thv8DiQ4-UW{++P5f($#-$(xP{hW8W5jYY# zd9yQ8?NrlwMnx4HPFScpT!#rl^H;AHs5~-Zo!yfYZe9&IC(?;i($ML(w#MSyW~a~2 zuFU3RbcJ3Xe@i@+8T=9t%L}t=L_cI*MBS2&e5iNI@5zD_+VRWG$SB ztk|2PAzy!NDIw{vb3VJx;`@2jQu4rF*OkyRbz6g-`>gfre7QDDPYPh|nw6n+E>zMy z3%+5}Rz&Zln<|Yewc%2)yenycxECQnjet{^tdam&9Dg_tMY2Jy^NP14mOo{pX1Wk3qUMlvyFn#)u`A5YV{D}uxbTTQwa7B$_X(ZHNd>bZj?q<)@il2 zd;Q2BShFI=nAlzfS!{-fFDhC0xpBO)qIri)PoR1T5lzHA+wp-yATwy`$n;mlvkKHN zGrE0w7ZtGTeg1D5@Fb<8riUcbbV#n-yngR+Y^PGDLvX8|=g%Fdb}Lf!QDNZB^Sj%} zoSO0Kz!3{jH~j+HC^tFPBUAy{cKh#X$Le9k>LaF5*FG}tV-7)6YNG&zyC(u;*1oeM z;sqgoONt;_zJAPlA8@6}As)BW8D~-iXv6;Ekyhajp8j8D_ur!Bc#X^M;U(t&)Cd*J zX2%O%n2&Dtmkr5ff|f1;`KaGP#Nff@dQwK6-re+b(vzLCC)zPP*Qkmi`<)EN%Ux*> zhV{scB9Pl0P0r}~VDFFMfInOYpS9}yh()@QXIn9(+ywlX)SQEo@ z4C$cR4%wId^bAD28ttC!hm7t#dH_14U!LH2Lfbb9l3vbo#~t$^DNSR_XE_GUUSaeZ zd)eQ1{ib(5)n&&vVE#mGqGP~I-djSi=z;a7>Q~R>%0Xg--!PREje|xf{prs!zO%oW zA`8mb~YZAHB58$K0 zqi!udcip?fj6iHI$eo%REZIXI57(d|(1EYHhkuv*DZdbQMnF1_J5D)R=u*}*DLszf z`}vimYC{L}Ey`&NuHNBE;!|mhrQ^>?i}5!VA&h*u6ACPbz$zU+ghd9M5Y$Xpgy4ef zs^8v{4s`?a6l6?FIs$)FWrEFbyJ_imLe1VaViy}9Y2LwiFz_PR9=1MfUJQ|LPwc4T zUzj5uPK*N!Wm{e-7~m}iv5Py-HTKS%D*eXi*-QvH7?JXBo4v*4%YV%P>TGtvzvUYZ$GGbi$zWEyAB?BkyaD zw`vZ@XlsRqoTa$6YDRbf%u4{c$hB8zAwU&RQl&MWU~qWp;twvBfSTtSoPtn6u%@<0 zS8PNDTWDcH(&DDw?gRhn{ouit$w8H~mxJ}D>$TU^eAbSHsrB!sd{51^4;dI9N$+D{ z{K7-7L+3Sr1rHkJ&RpF+O~x{GEii$7l`exiW2Cc#{x93b)c{9BWe(gsRbQ59<~z51C+dX3OID{EqT`$tFmTCwU>O1zAPo{QU^ z0j@c|wKY2qU=REe{4f6X>Qw{0&)j;{Ccjbob5Zq%e;%dfSa|!@Euj-yQ;QUhrjuVa zgZnDu%g?>t>6V;Z=Ge3L+7hB_jGn~#XIfDfW;8)@LI>P;&~Bso$HKFNlFbb{Fk zhU?wmpbF^=x$7tW?FSKy{K~(lrZqZ5$ZgKj@c04&<

    V6KU$WLVgi`9;|Xew@jsm zSmMOhP|12f`&rkKUcj_J@9%(04;Ij3SC&&=M~gQ%R3@p0p3pfh(%&=95CNVBP*3O*wz>77Ggi5Q zkzOC3BNJzBWA&G*zsD`o7MO`+Hb2cbn;Wq$cIyJEzw1V=PPpXm_`K9DIc7QfIUx`- zr~U0826ZTo9Z_rvB|t*?MjM`_+X@p7VRzTXYXEo)n}fB8Vaab6EtWF8n9UZ|k~&@c3GFZ6m`3&dqGfV-A3qpl+9^PFt~@7v zhN=_*6r{wgOB~AE3%h`dZC+D+*o)!&r*!ZVHGvO+4gr|6_zN}CPXI3+_TT}?h^Do@ zt%*zYo03y~Ju@_fJFU6Y@}IB973?1#4XWuvZ)qvVh>-W3_h(t`Um z>w*;4_SvQuyt*UyqE|W6T9sGegsOP}yrMxcPsy_jKB#FK#3&Gw2 zx7#m@kfKRP>8cTyc{tS|vzW@x*Z3F?Ldwpr>-A=5LTu}-f9uhLEsrB|6etkk?e z$ugxTJHnW{TLHAu78MgfmCK5XkYC69g&tOhAF&{f z5EU|Qg)r=T@nnHxXb+LNBEHp7&WO!W@wgB2#EzmJOXB<>`JyO|?mhM8!<_`SFUNQX zB?Yp{riNRKX2p*ueXI_ic#Kt#g|NLy1&+mG!G?WA{!_%e=OMzxQWnqwTYDDLwh|#h zVK}vK=YC5gcsbG;t+zFkxJ~BEz`V20f5_W=k78Eip<1qCDGxxtM3!I@HC}9;$uT`U z?ceq9XjlO~)Xs6lF0Nq;iJo6J**>MP?xg7N65`_=x_F{3`DhKL+1)5suD%i!U6kvrDOYwAsatMJA(L)g_~4X#GYN&Iul%ZY{f< z;I+w$intbz0l5{m+StciJPMjepRkKl@_mUxu#g(p5X{8`)T*L5ROl3OnH3D{R=Ga; zKnf&m*86c@=;5KJFZBm`nRKSiQnGtUlKr&`w~irXmLs(%~K1I$G%Xt1rCLEg6@h5|4@2YtfH@=ktSTM7JqTJ zc{w!4DR>0~KC#T0MPwv{OII7vBIMs*u50F6*gaY*)4_mC2)b+fRd}D`bwTVOd=OyZ zn(ygT*4kH6(1KQCjAG1g8V-UQTT?AXfd7ZRo3PUKf;g|M%a2*eg*| z=+4^c+!y*RUJh7y&*`Yt2(o>v3NY^V+~wOQ_Ul-Ym{lcsS0o58^0?Chj{jUKB;N$xBzc!X%eh13-|F zD#y@haC}+`KyXq?A>g~nw6(MuWSbiK4}lTS)QmBeyDjb8m58)h1mi^rVu<6ZTjP-% zbkAHC)4i>kwu@?`)u5?-Fxc;H`=!Qc$#8f!pk*$UcI8UFP4?*)StOsQvJx6Ol19=08wDk3TB)) z7%C6@62dK-E8Yb?qc*z6$m~Uxm1$ZCd;7O<--=zw0N^buydDLydWzasvW+>=q&;&8 zNjy#EPe1aH=-n1Pl8Iea{eqBHwc^)mADImOgxOx6V)LiVlpRMSr4WzS-GD9 zwa|oxJs#u`hfL&wx*SwZrcje6us1vv`fgcZg9DBh|F&b?G&=iTR4k+d5T899#;QPQKK@=zC{zoMYj-wg>0I$ZDkM4!B3k2_&b$_ z?@<521Fq-FWD`7229(24rpIoC+6~P6IFkd9h8R!kRO-a2=2}J6sdGNwI>gc0kG@}D zwU|P3g7SYAXPTKSw1Y}9)idYZbxQxiE7;ExCdrW~CXcfG#@SP)S!FgCqP>k^CF)cE zL~r|EFP0r(5+B&MZmD|*Gn^;TSj~Q)1*SsDB?ft!uB?kvl!HPX{?5oa!u%BI{ZYXZ z|E|jwAJgB3u1gaiPYA})965~$5Q!BT>VstE4GXh3Z34z^SQBW7*zC0T* z*QKm2y~)&YY^9UG0<6k4rqaRDXt$|=c=Hp5Ylp8XB;4#?OUR60)y)<9d>t$C@kS>h z@!(PxJNzg%LteAIu1isOSin7PZfW}ut62_SqHF}GWgn2^!kde+Q(X4~E253AN_%um z4G^0hDiLCyaHD(aTP$R{cnW%qv{Yvbcn^Ac>84MC)b>HF49s5T3%y=^$C6KFwv-%> z?$-#}B+n#!A{ZiAcz{E|C(r3P;M6X0{IVpWen~?hWs@i+n77%T?w4Jiqp#-pY?#4W z*L5!zz^ZV|<})?=8Z2LZpwNh>yti8SypyuCeR0(QLrhI!XlSRT*2#&VmjVCx;qliBe*m8Ub3%{sk30M8qgA z$({(h-EQ|$xyDgg{0drleWA|o;0N@Z!?#2|k8A_92j=3yb>HJy<$z;TUa-ao#NvB; z`nQ(rj->~q!j1^I-*WEV!=yF4LVJWo1ZMGI_4LTse=+drY>&q68IXc*dVP|`Z!3rH zsIWwNRK|AzDYxYCb_73c%Re__xj}ppg5(@Y#|pt?e<6{Yv6Gi_X%-+U1@Lej`U(As ztklnVI%M(Utogr(wk22p^K|mS2t`Sc5;uPb*WFy%tI_auT?=79xvUx;ju3MdcGTG^ zl9Y^2tZFDtSBg8_=f;BY85-8O5%Ti&u^y$4-DOJiy%-elBm6(pd18#o?%m+vd%m|I8 zj)~%~!dnbn?{;zJhKsWkx_UeU8W;l{T`S9Tc_f_{y2%Pw4jJny2J|JRAbf=F6LZIO z=5p?|WSbd-gmo&i=4mHqWwwhsTam~YHk z_cHolWco*<%kIt^8e!+bQ2??15as6{(ZL0oe4EK#q6C*`P2T_?0@odjZ%Spt!ue*w z-+6lcE>s!KHOx4Cn1>p+2r_}goT#swr*5@pG0*yL@BddUi)`MJ*TkC#+(H$^0nx!1 z2rYiYUeP6&M$)Hd)ErQXroyMecK`13+PJY%gj|+865gKf->p;F5r?lCZKh26Jg=?F z$+`U&Y1!ylaxCmq_fIQACf{LkP>ticVgQb_+9+MUhFz+0arY4Feo zSf;EeSQwl!7*mNn&W!+(1H(oca{uT4G>Z3g;$L;_HynLAHrU;?t;9*v*}eJz2blB? zh~J@%%;lNN#AG|bh|QfYNo2TJ$(Y>M<1!qx(16kx)6v<-ozob49Y8xx+-vG0sEV~} zW~c!+Bh{Y8B^&9>6#`=a$XcSiHqH5FrBLtqm79JR17oBO~ISycE{eB+tL+zD5%+h#Y| z@y}VkBSZvU-8ukc48SdLc~-EGVgw`TN+`j{ynCwf7qTRfn}y>|9d`pHq>CGC(dwki@5!VW4qB@vf|68s5CQbjLZP-kCw+pNFGp2UO5+1>(eO zW%?p48LW;ckB|KNxrF4&S&cJBZ|P{7MI?rET*W9a&ooQnbJLDE22$K4K(yu-kx%oF zukS&s=}9HI2ff>)osepDkSM-DK{M&+f~E~fm}6@Q0Pu847w`wo2(kE^dM&$Yn1emK z(}4h0y`bO1V=W~2swQxbJFRQXy#kwh54$73y$Ctbv-H*;s}W`*gxca4-DJC~FUeu^ zu!oFy4yf8ZweD`wPD+hMOi2e}VB;$+H+LRt%$KN-Vyjxqn+hONX08d=mEh#IR<{;N zN1Brsn?9Dki=CSrfnOabD;|3cB+W@1{C%M)EW4ppG#Ge+*qoUfjr=lk5{?Zvx!?dB z%BKevprpQ3&1#|vV|(@Ny8eR8ftcql4!7UBX><|q7vBNe3nnq!!$+gT!Lbp@RI_WZdTbl3Mk3J zh7b_l`(4oWN)De%V4`LXbnCccFOA?#>_t4gxeoW!4(@BbZKACaxaR{eI5eX`pI;_# z%pvXNUex;i=snbPGu~u7T)}SUR#w}-Dcw<)yQsMVt zabWeyxNwaHFLaa8-(s4-sHS(T2IZKi63(mAZl6VRL-Je8;(pq-^f%8#jNjD0aA0#& z3c_NV;tjKS&Z+091X1lf)9&*-Cb7MSj*1sfZ0coCm>A9*d{3TX8= ziI-l2`zc3P#y#B^wcNtK+En#xkbRI5Q5eFrncic4MT7iCrS>ECTzpnyTeyp2F5_0- zJe(OzG=aHR0&j+W2exNpYtErQWe|FHT3mpMowGkp)L#M0m4Qs7e`NzYX7K=yJ}?9M z2|fQ&Im0iI7!={;+e*kix`6vcA~;0A_K* z7k4=_zKXxC zcS1iejzi=%kz#c!^d!7MVU=g0C+v{-P@45%-5CACCh_cwn$Drtnczxe^oG-|LB_RD zW;lUy-;FLZ#{&ijJ9%QX=3~!ymcV)(!FV9>(8&4wK$rZ4PgQWb`tNwG)VlU z^=A`Zx`BTqx=EuX_n4c$0vvxJGVV@0(zD+d>iul_>BG$r#J^4UYQixiodm92e=)Ba zhtB%qX^TM8r1U^z@)e`YVJw?`sf-rZ0V2{qTnAQsH%uNSDX$50HreBjzdV9t-`4)M z?WpT)VSm>cBsB;U@7Z>}-@f1^L|-GMdBfJZ5MTTf(5!IuZ0Tf%Ug0-P5QJD0G*(8= zJO?W(Jmzd->@7a9F+JSH42;xoD&K}ab-Rc%g_!N!$-$)XVQ!AJlBspKEiKYW7OHmU zFwhI&S261mho--n@X?CCF>XRr=#AawW*>C-wzYjdLLCa^3gdjav3|E?Ak@%YZU>2? zVpO(V>L%e86#6w;J-V`wQ|lZOdw+sXW}u<|n`3;%j_2y90!NL)K8NQ2a&ZXfz!Ghb z6g1ykA*T@Y;inNQ?fyuf&0++;^~fv+yYdK`g$_q-A>=iyzfYNfN?stvatF+cmH*J) zckAx$Yo7(Kio)OQf4GUT6Fjd#N9ik&S}(kvNg=H&WhTwbmEqw+#17y3B-6vJ*#|dg zf4$r<(G4Z9hQccyW~a8a3S|D^L|OmRb&A$tId9ryoQB`yDEy^H7=H@5Gu|DQ)%+W2 zF|9{SVwIh(*$hl!yf~Kbs|L+MJHua$k(wR=Mc&p`$6J zvw+<>N0eCjRpLp-F+9rB4$gtJs2Bm75qd+`Ftb)t&SGBvyWj*Bbu?Dom1gn_CDJE& z%s65NUr&RcCt9pfLt_|Njcz6~)n=<|D=%Yrk4vBp$v5lXp*5xj^lkjzk{H8Km6UuX zCG_yBIDR)GAN)+O<52abg(o$Bd5_oY{mqrb#dJPc+JRIEQBH&fzM6E`i@eFDa#?_B z_C+s7pOXj?$p$yqU64y!*Z!uOOEhU!j|$9LFjX9B-Jx@iRFC2@Wr3ODQ_{pk7)!-L zUPA1C&<6M`E0I6VBtGa$SLDDDHM`PG9;IZnFOEv*W7=KzZxa8#t*xsdq)DtA!991^ z1`2G~(9;zMN=U=y{=1Dl(oKo)5KS-t(p zLdkK{1hQAhoQYIG)#Nv~2;GJ31>UXT`wTAmVLCl~jMf8@(7~3cX*LqbucV_ZVmvO8 zb?W*BOYfjv|J!}7spg|1ICJZB)yrY{JY5Fsh!@aI(2_=qjXdZr(bbR`F*eqPRF{fY z?46s$D717dTBrBpZ@g->2l*@X$+OC`+W1hYJL2kp9w{I^zc?z=n3i0F3#X3>P zaFgz?J=-XqQT#~8u9A!^Xl-FH9Ru0P*3Utse+h&3(l8Z%R*Vauz9Ie)G#wNs3moXP zznz;i$~M<*YwL|3<}V)IO@nx^PVbsE)EiN`fT@F~O#~S{5muRF)cZZm_e!ktaXuOy zDR%>$PoyLy7c)_kFx4fggtA_G-edoVX)5Gfzx>*OvVz&-PG@&u%XXMf+IPFU_iDL1 zvz?0v5w{c^2Ev6E6IWTD5ES>OCHLJjTxg#UQ{4N{(r@_hfCEsmaW4C-?|?uT7Qw?G zv%0`>Sb(UR*V1H7DwY<%UW|~Q|74Q}`$|{u%;=cbPIIe7jEji-{A#cgVUYu~NOYt*Sfz{eo3t`n+>6&x2v3CuhJJtoL(S6sI+T%LCkWa-c_lHVp90oP_fY=oQD-VjgS$})SP9Ei8vqCJ8d5#w3Kk%1w z3Bms81)N65v9jWR3NPr6n6+k;f{Q)k~lwhVTR1Ydhpf|d=sw6 z8%O&Lw0-jyy~0I8wR2I9uJ)MIfxfbiYSt-apDr$Fgijj6Qb7#AVzaVuiEFu>3ssU6 z$Z09h$%&@*rpDo z2azT#glt@?92$**L(?Fy{|tas=%v#WBQJ%O^a8(WPjkRTw73i+gd6MUwP-8QWJsN(BnO4&Z62&^Im%V8_xLQExjrf`cGMjE0Tg2x z7qU=Dj#vEqomEz|v^^(vrhX0gejuR->a$%X?o1zf6y{5nAo%nj+`7Bzz;>cO5c51Y zbU{<2{QmCUgsMloP$=~2s@fy$fkL>Twd`WSO#YZM%5MJqk>rIwhFRr5Pl96N^N_nq zuP;;8a0!X6HXco3rIxg1c3|LoftA4w6XKbRzQ8vIH>6EdDg4OwJ#RVpo z;#hvl_KVKv^*GO#Vw9FN%^H?= z{g{|Fe`t4}0Jd?W!g3Fv1MLj*;j3FRzN<-S`XjFZUZ63bm-3x9u?T}g?5BXnyay&_ zi@1x%)WVzq4Aq^2pE z-%f<42QnZ}KnLc+AslL%dh;g6#-M^Zf031_Kvb+?AI)6{s5kRSe6`}BEuJs4S9HBR z!;1#sl_lXpC3|j5-XW{;nH^5Da-n5pV4OQ16FunE=V+CuRMWW;wJ|IEBpp7rK zexKL(hYZ|fEYCw$HA`+YcV4oJKDtggRlFE8ulLW*r(i!iO<^Xk%lXr;PUw5gzKyi?c~gi?%_ZQ@r)39%x2R`iMv24FbISI=Do)Mgh5l4$T0}}D3EcN z;A1d~{eBVgV-Y##+v>|z*#kQbE$?Z`jkM4ol@1X)UUInw5ebL%*E>xieN~Y&oj$KD ztbN5QM^eRvjJkLDer8$uA(Kp#3vxm8$&Kdof4U}oMmfsNy^7M=66;v&3hw`)4 zXN~x*sStR@O@W^8**)OiSss*auf5yH=4b}#jjnU@cH+rzNm-XK28!fH1%5(D?WM`0 zjIo~WG;!0KPxReDl@adtZKo=9+6!AZUSWqHOVNldr_Lr233Xd>%PGRZ7&Jou>6J$H z*(jQ^O*Zocl{$gteeM4x!)0F|hlO&7rP2EM`DWSNef0@`>z3|}U-XqGR)iK2~`~pA_kAj$i_xdL-_I7JIb=<1yf5aK3%a1I`nw>{Kn=qrith30zV& zz5bERWv@b^qY+xxSg8Che+~6|@|u76w7&U;**U*RN8%V z=sbleGuM!@s;Qy}46{Z5TvoFgHf}SJztysgRXMU?SIhf2(F}xE(egN+s?E|w`lnCQ z5x2EQmXmgP6@Amir(mwdYE8#`wP|8oLEroLVV~9;pNH&wq-^SNj{I%kMk4^;Mzexh zHtlI}l2C}k;dxKnz zU*SV;dD|@_f)4?!RU11rH<^a$9nw z_;$pjD`!FzJ|CJs_3L-02UV~M4b(}P1=59o?w-{ysT}hl`=k>^aCsPm5YGMv%JF~g z&7AOW6>%mk*wr56)OqPB{Y@LozvBB~qFcz$597^tpn$Q|Hp`vY>Z}Himd-5;9l{lS}+`F7ED&&3}tE1>5DoKbHW$qGVE~664wHtHWgpli!TiI-7v2t53nd?{! zF>K7R&F1%B-`{`xtRD5=d+*EZ^}KEAK{3wCd&hBE&(W<<)d;)uKvCxL5~3Fq=x1GFrZ^a91{XO|iKNTtr;`Uu`qdq|3kO$8NSyR_Q=U zqw~nfJAx{r!7pyT0@fXNLI&pUflNX9Di)Gj99|upF0{*e&~j|(I%SD&1%DL`)D~0w~PPFT=!rmMssrD&UxN0rTs*{46-R5Bn!n~iW zuNciC;=NT}3}5NcUNAefaBOY{QS-4dD6am%0eL7@4W+Ry;1yth_^a-$0alEl0qU%^ z+Nt*ZEDw1D#&j6>*a?WlLH0Eg*D8C-cG$|`Fv11-b`3Fy#Fm`g1tk(q`D1zx0?&dY|HKUduF3ZI9k-iC$gM*{p zGJU)ndJ~nwDf;=QYzN$7FuYxweR_4)na?#WRMX`vwdN7b_pB&wL9^OMM^p8s14s$Q zTG2;TNNnXBu3_;KiqR&{aEo{;J`^(EM9$VYoIQ8ecU;$` z(aNoRq{(DA&{7}haVB$q>m1UGyo6@VK5A)p*zkjPJ`#D5Y`Q-AtZOzDPyxx*oD1r$ zpKIN8lI_l-Mq(#wHC?BdPiTsOS;Og|HnMSCS5=uiB0J?n|6f0Acx-^#&@XHDurK7i zI`SquKW8bEUzS1h@kM`b2nmDL0Exs2_uTxdWIJ#-LF@eA(dI^?O>q?} zZtyaF_p2Up{hlfg?~Z)x=+H*@z(BcZMVLhxsEk&9cTt>C8m^Ni2Ggm+iyZh`5JCUG zRuD@Y6p#rpdl7Y6IYT+RKvilXs%7+-r))VAca2+fopTksvd+(m?ya#kfKeIQ)7W13 zO~-!5A1V8zeQchv^LS>kRMqC=ez3UZy;&e`>NVzB6z^1A{kkwq=yzK2Vp+2eL16@* z#|uv&)}0B0$>T_o#p^CzMVg(3!D)&<`&vH-{Zkq>j?BYVOhaCFE1VQm=3_yWE*U5)p}BKK(PTLXHAol^IuQ-5~sNZDV9b7USb3 z%bjEzPXYTQu~sLy#i12(OT2BQZCXFm}$f?yObTO`7>T1~2IqX^4&M~>?hVMmFGjAG_m3Dy6c^q;I_Ojq3gv^SE zi%_4#9uVm`{c_0bwVj$QYGJY#G&4*5Q~y?*geYL<-rYLV_p9-WgRv1j{;nDOYIEnO z(X+LbG2SZ@;ypI?NZskek$=giehk`ca~R2;W}W(<-h~m2x&3dA7hz)9<1gw`NZapXZQo~})qak| zOF*EI?MHsb!fv59*n}CH47H1Y8u`Pt{auQCf9H1)ws>L>vrI&|F2_)x4YhNi8%}W{ z*vxC+{ScR=R^e8l11(9U1ev^jsXwN1$~Qs}sy%8<&Q|THYNxyv=IeTddum#RPCH`J*D?Ipa6$r<41)0#}n}bng(4W1Wm!{Mf~N z#r~&`U}^cuG-FE3T77e>4{N2GfYWwO-bWpnpQo9yzt6A2)1B!Gd!L2Gb0MtRG=^C$ zw9Ut4OQ+b{$^%LCX<8@+z?rpBnw+>W?E@{C{ap?`3E3WS(*8e#;Eu@mWM-o!rQP{dxHXe4k8Ud zb5}0HiUGY0xJHCFA#JR17R&nO>4$#xA5A^dgWSRCeCvj#3}}LWj+z+xyq5l2lvMF4 z_?*1f?5?C34PkH8J70ISzPOv{fTd~3NYL#I44eXt;2-Gu=fsB4Ifj*WnPH01_lf9M z7I%zV;nt=-T9!!D%#8@#Lg;v^k}_d15#7NdXthrkuutRXVkX=N`C1C}e|mGNR?w`F zAG9wuLC0dE3kZ;Cc`P+~bO)Abp0vJdcYLLbxzBH<8p?Iio$eD_vsB7~00$^0l6uF4 zSf;$_exr`^%6D?;89O&KWa^let&j4JqR@fP)Cwce<);>`cPttiBRujbmZnZVyR11JADcP1(Yr zf$MJWDP7$qxdT5U=3Wl7r&*;BWV>sfX?E(56Z_ef&GBz34@IBmUuu4D!2Lu<`Ix1D zXdrQhWfy8kuq6?}ETe@9o83pJR3l5nFOXP?=p5+^S* zk91Ku-Z$GK)cn>PMLML75`BuCm6TsLYJ1S^>K@wf+LnK;!Xnz>xdZGG+!RZ7;?hqM zX*_(&sE>VN7_1sM$@bP_>Z_3=o*Lj&#%X=+U+V{`d+(3@7;~guRg5m_n9)+D3_2t^ z(EyO?sT()h1i93Q5Wotm=jeIl8=(`KKj#gDOQ1p}rGv{&_}r-paN|^`EG}=TYG!D( z*0@PpSRW_T?Oq0MhWV%mQ+?8GnCA?&D6MNrTO*fo$#Pg+ZUd9m88MgEnzn=`p42dv z))EA*H0YAK%l4XdG*qA%yUoRf%&mX2G)V>(9K2;7uM5e&;+<^`R1=9k3g{UixiW_* zHaD|YyJ(GMWt_$)s(40x3dZgWd;e~3d3GVw$JP0~C|h*WY3NX)XT1$02nXp4BPE0l zd*G$}YMtpv0-(q`@0S%_{Vg$wm{i(~-C^2A0N|t#$RF?@+VNwmQF6aKdIha<;EKWJ9;ZwN8tBMXz_NwQ=Si6xWB9%)~Ng9(ahQsqjmKwz;nx z7ZEyHM|22kT?4j;rI8x4p>%27r6MQ7+XaMAom%j=(OEA8>eb&Z^4nW?^M*A?hm3Rw zp*m+5*$UA&)LoO~P8pf=jNmP~sDPpb-tHXgTMAs409U6#8J8|@?A$TAK`*+U6KR=C zOCS9eUO+TB&5evJuB9D|76^8T#m^Y(nRyGvxBw0%AMo&;)ri$F8?*gVM+FTf5+Z(3 zBRB0gcMEiUrT6Ov1a>3B3xe5Iad9+;x4x%MUl%z{-Fh*#`UQLUyTk5Tg+P&2tY43L zuy}w^ybJR%IHAb6=Or=nDe{DUPb}-z)>Npt?wv5!ysk#g{IX)4#go|_Ez z0MvTa1BokmTGvCvWwhM6Fz&tD@o7VXGpMh%tVd-fQ>&OcMFWtKsVoe0qpEUpQB|v~ zlhrTCLd#0dh^mumO+TB!bGTc^Y&YDa0TV%ZgX<~{dAiUbYF%f7@%XN zPFx!2D|0=oa~;7oznf=>j0hUJGUi0HK-6WAe?=S)=vB!Hu;s6s9%!btkU>Ri zaJ$WC`ZG)|3#LQxdg5$YDATdgGb)WCl6#I|^6XI>u@g`QEPKOyXaovzM=vxF^mdUG z6-%~^RAOHdcM+(mx3e)d&;ZapIe4Vf!rS1nS;@kUC{bl+&x^BWL2J5@%V(!kXVBj* z%?uiB_`|_MF8g>2h22+BV@dNDJ-y%Wuk}D%r#gCiH@|4}^w03lP=sT$zRB`g=4DzP zX=l$Fgd@1D>4!+Tb>{FxFZxb%&r539ijpTKn8t;u%~^o}tz=b(_;0@yzIP4{M%&6! zq}bJvZ`|AS$ka8?Q1NbtqK(!tj^X=Yf-8;7)gkP_sZ|m+PwSqJTh__)8Z&d@XYKAF z=@Lfs!nV)eOvB0g?0DFKH64$@72W-&b{ytP@xE#oZOZVGZe2K$qumv*;`1U2nhGNp zpRntBFq(BFD|MQngr!&z)kJ=6e{&$V-)Z)> zE!}5`m<5-=i2*1}nca)L=9A+*ev5-$dh~tbzS}ofjcwJDUN@b$SG2(^R&DJ&vLky; zkAAH~Yy#UqETVX6F9Dm*&ssV>s(!3fPk|og`Hr?ASd5UHtdM4()Vp?(!JFdfyTnb_ z?R1Y?2|q~A-iF+ zDaR^DUn#nr&}FYgw`bqiBdkG3V(ewaOWH=2FR%V;<8FPMYUQ}HQA8azn2V=S@Y6U_ z|Fbmt*Mx$ewTaU~o)ypc66gz#q|~Y}BJNAi^s?6S3mDOXlwG17&xG)U^*b0EH!9KC zoxPPyj?-I;zZxbx5VgLpER^F9^c;}DO6>S5^S*r{$HVCDi?@IVEW9;z(*Ue;f8FI~ zM?ErLEtzNXPgQ)EYUiq0IzSsxzH30t%htLH0U)k_l7pb(=@ea>%EIriKY=4&zwLB? zudC$Uhf{O6k=m}YxI$fmU+H`+t3hBA$Hyqp6|TK!rqK|*T2t;_T?j63h!Eg@6*MD8 z!xGVA?mQJxM6U&dAPo3R=^M{_@Y%klIHcGK1}i&*UZ*TK+JVOs=zZJmgPxOdH1$y! z6IpA~Jdf-ON$&%yutVeTV9mUd68_o+1f2muRli-r-^rlzHGiRqL7l}?UM^iJ0F`gD zrXYbPd%f~!-TY$)$;s@C!&?lk?)9h+gyqxrK*|S^FVTUiWLDU5+c5T38h+8HI+HD zU465bO3%mkDsmk{Mc8y1(}r0!tMrS2^k}Yaw4Lp=zp0^i{u0p@E{Ll;iQ84WGUo#6 zw9q!#<0s@lFb}ep$GH$q#Xd-;_N-G-tF_dxA@xzJsX@8YcV#IM4i8HD5WdY!%=e0` zO+5*|<_wQQ3nvRMQ zz(eZK=h}LjzG^xRzVQ+iik`>VRR)nQLEkW`a`iX5w@ct&7a@h1snPy6?p@ zD;qcn-?;j{vG=NImv{(B%&@-#U8MJ#SqUC%w+joIx`eeCC4LZHZD7I)Kuh`unLT^t zaU3g{klf>Qq07Iqf}>PE zXF}Hn9{^)_=(RXov#wRW%*=I~Q~|7f_17S$4Dg0SMgW>2QV?Ww2%TX%S(%x+SjOxo zACn^0ZH*hV8o&F#WMDS2b`(7d@1Z@Hu+Wj_%obh^m~17gL-74#<;fU20wJmS&$lqiMeDr9d%0 zIamZ{lEMBt(z%x83WL4BGNoVmb`n&nBLB%b*dihTQ``!A$HbfE^ zov<_>?##uxslp`?z-*sNZ=&dva4nf%={sapI95pfsWa4XUVHci5R=GQqln(QI{yD( zqY}dre`?edF+^;1K{xFkDFr%T*12s$MM76*Wrgw8OAMUdiEVJp zBKG%k84BwGkiO!1>vA-@lCO*-f-%L@-wS6KlxseJP@`)AAW{tKSMOf58{!m7w09S`LH%TGt2Ga4xi{hGWVlp59(rQ!LQsod9FIRFv1F0R_wbNc8N_h! zWaBet%jwjS`}kmJ2@Wo?OXUv1l-Ky#_m^L`tQkFqM?|cK?ns;DDi(C8-r;WqMgo;c z)K`T3L%7RDuhl|>Y7#QX6Q=DA5Gqc7$8d3W@wWz>HJ{)(LW|ExCOm;M3Y=pz`tAp* zRo&1G)v^!C(8}ab-J{T_9Wv}?Yc6egj8~&sCg3K0Q9Ui((DRbanV~MfA9ebB*4LUF zJU@7dN|09zMqREi`f8X)hOhsqw+h94TSgkl2&a<1EJF8S+$t+0Bb@M8ZwRNLPp8&= zj;#Wl-Ig<&^f;&h_F|!3B9xBAW{MVr-2@F0m&5Xv8!O5f1GXapzgc!b{i{M9d1UqB zoG4uwwsH$qyt~0h`#55c3Y7f;VAs?!e^z(DF2~`6x8jv zo#Qsx+@U&>d-zoJSP7~sp=|tM39v@~ybZ~smD{iW&~z>C-?c{HxQix=x_Gy<7pQf_ z+t~Y&uFDY$og~ju8gK*M)@TYgoHf}#Xw}vJj4`r~b-L(~mjg=U!9=ojz}*`tYf{mb zMTBnFlnx>L)<;_bmU#wMal>az-^Jp7>_S7T>BeMYQ60BeeHu4nl6E)!E-Qf>vb1u@ z&no@w7t-yh&P7eT3!j;TdMAcv+*`HRx6fx3mG@u5^J-4Sd%3mF8{}wZ(5akA|E*tj zF71HdEcylj0NF|Lr92|hO+W*(qvJe%gPwr@?NPx|GIxZYq9hN*)a||8e--F<5;@XA zH$ix8xEQd5wTgx=8LV zgi0WTQ5)^ec|q@hjxQH+vJUH2CAh6Z+U^m zs8$J^LQW+944g#U4}-U>rBjq7z}#A0B2B%o(9YO@b?>cUcZoXa_|bA^i>Eb=rd(14 zs?o#o%n#a7p5dMxr7d0-l!%$J6R~qu-VsTxh?p=hJVD9Nsng8=UUYfpXQtRug1Xt` zMH@nEBz3@C?A>tmimcouK-u8g4}HVT0u~c=z2hdUJBBqIvBkJ6U)Lt31I9#30zu}g z)i+Mj0b30npDCYf$Ta{eQ7{6*R{A+IXIOLm2eH(|doUUNzEiL7+(ar-<{FR-XsH%l z9o9tuTZD`G>JW0FOSQ4$W$A1;s0UigxYvyHsk2%srl`0YVc@}BSav zOUKilX%db&)zoDf$|+~%0q}SUvF80h@R;Lv;_TIoKU-6&9KWjy0mrJVMq8i-)xwJh zndIzeUW))(GVqGV=Jdlk=63~o6I)Uz9j^lj->o1I^TZ2?P{!C5QD=l(dqNfHpGKXh zeEumK1t>#97l0ciFT~2kmQGH{o6|E?+b(bf|Glx_L4p45T7oFdf7%fy^*&k!2w+L; zN)cP57i$&4aV9y6gqwxjEu2Nf$Vp*lK^cIR&caAI?!Pa?SHVWvAiMiWX-V?h=V8D$ z4OZB#W_3>P6~!N$2rQk|xk2+sogWuvHt>&}bFbDJXi?uBz=>)x=V?ohZyTSb2vTaj ztwMdWoj20kdJcXV)Ukn1gTKp}h2Q%#KxPqZfntVvcm0k)OSG~% zveBv-RnP{rWtrY^EmM6O1=*3KKJeD#D`!@!+aKL%-Abc&l?o>XlE$a#*n?hZ1y zzyA#mXf#cv*)0k3M9{k(wyfR;!39nGT+!2lBXeKRe;8rq2pZHn$`$Cwz9g*Fj4kT7 z4}5yQSprQQ8p2U6A&&(=Xj{oiQU}K>wopY`pxoltY{^FrjYsI=V&sLj_^!b}S0?ho z2a}#|P;lA~-39Xg1=qxH3bGQ|{8Hy4MUy@@|H+&u4JQ1g7KZl1&sY`W(Co*|Gtoax zi7}Qip6Qmx-MS)iT+1I}X7Kz6(xx#TYv=1#RbG=v@W>gAdKnE#J!~L9h>IJbLy)d} z=tZ7bOQEN-a@rO$46pwWL^#T~cisNIbNiju0o5f^2cR3TsCBHc9>Uj5(fyI0Oq1!c za0cIarFyL~Wg@VK82{!x16Le5Av$vsN~T@)40w~PaWl+QU>}roFiz8{ubKjiWn9;D zL|CLRq*(aY$w>b0(+{jSyCF-@zd+B9g^k6$a=$dOjQDZUmXEL4svbZ25an6vK|JGN z+|8X|+ricxWUbc|R=dz8TXuB4@p?kxTXgf7vQB%*l<8~pm`SlCjMW$B^x~)$9XT%wbX+0H7yv)nnzMas>E|owA<(-#FMaO#N2=TPP|y#iLM~N}NGZ zE^*|E{;iyuHgtaDy69xwwW1>lMg0*l2VbO?4OFjn}r7B!S8ZI+p!smexw$rV=Q?p5RQ z(6{SkNA)M8+C*u@5U}Lz^UYR>d$2-{Wd}%n95$Ufx~NuJx9-Rh6CE zM5}n^FkpEvJ-*Q~Zt}*v4FvL2mraz(4LN9raUJqzy{tBhfAfKc4unNzHW*4QfQKjV zAeo;5t)HGj{Mm5+*!5Qhb}1--wTOIxk+f&;ZosCym#}fBrLAqF=S3g;;xuQscNS<# zTf?uj^k%#4=Ijh&isx+Q;Mup4^4AEY&yKNCL4uQnOUJN8|1o3)9=vT&;2@??5|WR( zt_I+EuT@K0)K=OR*_fhzfE)xxDnhifloLX91fnOBYCr0pGcszl948w$wM<0M z1tUSB&%29(9}AcsoeH}+`hAa=>6ieCfp@E1t)viR#JAOyGi1s#uS6$BzamG4qiT1k z#dwVVnVAiGOFj1UUaI&4;{#;wz@eoc=9Agf7H(oAD1Bnxpd)D+LtlhsLRiBUWH94O*UTXw9q}%NsA6{HD8pXASFJT<7 z-?HnxmfHdDMB-X|#JZ5;=h^oS8ZKRsEvP^Ih_?m&QmBQ%`fAE={M!?|mgBlS%}srQ z^sGS@D8lc9y8E`r9YxVQeW&t1(8SA&p4<@}(m)l3Fr7{_w8yE)j>0t!B@3?ei0aXDQWd^B%E9g8?gY+V+T#(Jl>kYd%p?T zrEh-owoh1^-Ca+vb~XR6Kx-K%X%1_ZA*0Pk=bHo9!bAVu5lVy~Cs(e@Rg*Lw0eceS za~w(gEL5p7?K%^EDJ;IyE@x{10=agoTFvh`<+K>;caJ=7zrH2@^45Z5c} zJ>`@Lj;0~!hPBoum9!~(0{2$j^172lp?9{3niMP5XzC{gwSznG^P%z(VJ>AY=#xSw%j42E6{gVvE^lRYMN9b_A!9yN?*L-0(k(@| z+GADJ+-aTlpntokGTc=)u9!r9+7Z_hcrX1n@&M&vkrw5%0~ohD&OTHlx`}43fF1qZ zfGW2U=@V~MSzpn@JhEraTEObRd>-1TLTlvvHlA^MxP&~&WWOpE({XOwb#gVC0r92c z!$+1Bv#c^mX$+T5RDm$1U)pmO>yafL;12$ueMMTN;;pz#&`Y9^)8QKX1^@bS|I<)Jx{j?RULcb#+OQ+*PH-G|f_Oz*W>;yvZ#OnQyb^Ngny8a?V^;_t_?YKcl#s>X$V;YGnJdLDYY^ndE+w^y zCRWIt$hBDw&f<6!H}N)-xc#@Sem+n~R5X|4=a;G8o zslSzqZ)&+{eN1ux5-fVm-W~`MbuaKzV`2hEId)x z@p5a-@SMI!72mXqd`{%G-9XNo3@8@GD+)Lz9mlBI!GWe#2)G-Y}P%C}*gCoT@ zUXeBRz(jcV9`M_-)db43n)epR#kKW7D}sc+U^|$rrVxio>1j74VHtkO$rIa=FmSE! zzL%|+uT^X7U4PuOzBgrf*M`v7bCcc2M^S;7-}@_PTzj;Zt_?jygvh?S-yiZksoqCZX}u_iK;VXiBqa)bB0r`}%)W#;RUU2SLaBtxi#xmY zBXXN-L!~^Mzjbz#%lO#vj`R;xti8*T(^^)!w;Px<}Ud*~XDh+!HN1C&T z^CnN#si7)jCnU&Um3Hjwx6P-6l~FE#l+>VFav#cT5|t2BNuGq@mU`zj3-r_|jh=3x+PG$U-)7rLSX z_MO1>xgofna?cXaSi^!oW$!2BcVk6ksenB<=K|Pf$AbY`EWoX)-pPkj?+0%qCBAp^ zuq?oR^Zf$Mp(EXD-F?U9CO5XA9d8@~lEQ7vJ>Y7Bd_@EPg697**PtYOYce$^N1t46 z<`x+xmrN~))&{T7wDzE>1W~KN&R#`Y(*58Bi}`w`i|MBda3wBPAgX2j>|jIjPeMl| zQDU6p%Y-5l?X_{c%v>e6QW)_;<4K&pO%0xI2L zVmsK&Y6;?eT;X>}4kHY8w8aHAV((L)1C)wJ|A0KorNx&mXhl1~@uF7lH0JyV)pm2z zW3rWy(-Y-xrYA`xVBb!T-QqPL>X!K0IFtWVf$sWgn4Z3WX`iBy*Qh|h@?$APN*gtR zbF8@VJfN6kLI4-NBB0H)9)oFX5Hj!4ywNOfS?x4o#~JPf zLT2;-e9!AeyAc7DFC~_-#H&={yZU7VsGL>ooYhP!*Idn)n z$F+boyo1Vo-E*w-yaGLGuj4;h%IRdBf3)*Ywknc)4`l&UmDews50w$m2yL&^3?Z6> zwS6EIqI?6DxE3PEHCYT)h&F68-AM2K5@N|h{05zvJ;QG!8{u~3HLpRa4SYcyn|MPr zP#unlv=m&`2!4#l^VYB^Ee;Kc-;w69FeebZ6Y+2^oUweJQx~(Wsfgk?`n}9|MW)CT znlp>a7ZxIhP&(q_xVe}xijrqcUFu2K&SH!GqrPLm}PV}~1fUUp5R zg|ru_DydLo9_gF)Ov%AfgU#W}Kmo&Af5Tn3&d*{-uLj+%N%;|GMVz|HVAb6B8A_=3 ziL*+ts2Wo@EBcx9jL~5k&A+~bR~OMdAR)xwyEhGC!a*huxYVD|hjR85nJpsr46Eo8 zxa#b^9MycY#ag*xI~{_pS1OyK@}D(Ko;*SXRfqMNh!y|$qNh_n79Yy57fPbkOy05& zbHiT|{Q;7z2ugZKWC1ymb)_vYIovlG;}+FAbi2d!SZ9~yGq~W##WVxQq6MN9w|bfY zF0HB)2N2w_M$E6Uj_W^6Him_M(lzT8|CZLn(sD42k=T~~&0KoL@y>Jwdbj79aQe_n z_d~EKRTSfh>67EhxT|`nX#N$QN1_v1VPR*e)RpXvCV}&CTWP;RyI}?GDM){?Jz0N% zzwfCy6-@SQ_2X z`STxUTcrawAg@HT3i!LSfC!vAc2+dS3h=D#&56M){d3nVxc*4$ zKe@YS6|HGa{LI<87HPJ-$(WVr+NYJO@McG#k$$}L?>nrO8qvR#15sVui#-pM%6HTz zbCJWN{vhD&w5NXuN9Ik6Xm$xYu&#-=01RkB((nUF-S=wVk>?FT_)eWBd99c;Y&*?* z2qZElbhcI4k;ju!-RIOKo`Nw%f`U4mRJNcPL{i}O1J7}zWtgqvJHPhFOLNj}8YkpJ z=BsF^JLV9+b;w_|PODOWbSs>&o}~x)T>}VCK07^*$@dZb8a--dTLyOTTk=b0AIe4C zt#3v<<2vlz{4M{&=Znjk`0tQ|L{CtGIm>sc!g`iRa2l4YnI^V0)h>7=g^i6gL<{u~ z2KAP|iFgYl$cT2Kw(>j9rS`US(2xpiC0Xn3l1f~t;QucSp)OmQcNcl_@>g(NQI=H-1IgkuhBf5~;GP`hI^Xh5P@8Z0{K446E{ZQ2@g! zT(TJ@@y$njwT!4rO%aunxHNWcZkfzEt=@J|SmoAR_Ye!H9Hw5+uO!{-AAmM}MKi@6 z8s!_==%y|!z+KxpCjxr8Gji}gXPL8w0lJMZC^DOcpArX5XpW0zs)HPhg}2 zZX!u_b0+T`fi?gMZUWl0a3{T-nl_lo^mtHhzv-vi$E3 z>z9B`E}ZX0y#w)N%Ny??Rjqv21q4iw*WaVxhF}1VXY}(Qq}63DK~}>2B2ci2e#dcC z0RlKbB@1}7l}0sUZ>K}Ec>y#M$c}c4qhPbusu?dWDU(PAENOJjkO0+jT~+FoU0Ky3 zD{aJ*v{8vD#-@8wv7|s90-M3d^yLoQO?0zmHJ}$Zm|}3+^klM5jdD(4by$t&+v!8&pS1yS%unL2 z9u-@~a^+@qul{)?tP}Ibt8Vd|V!F>U*~WT()g?>dOW&EyE7y!ncBS1WS8F*_anFj0 z=BKz8F7cqgnQ8NeS1x2pcN_(ahflPqr8*3azx72!;Yi>!63hCc4}Ru9oxKlif2_Qv zOfAmxXad&`#5*+#%F6@i<%yPUoK;Z`wNnZ#jRQAY~wr98%$)n z$dIE5ODmsqFdJ{IgLkWvs>H`&?!kO_*jcP|kLnx+B#>BgM=nSETJ`*6z7Z=79O5yZ z-05l1x&j~!*+>;Vn>F#0?7Kg6_2uQ>cH7kpQ%O-&%P{S*7w_ zil_J_b#ITFfN80^p-+phVASAMwj{0Z9|i1WPajwG#r#2#@>=u}vaqUj@+`Qn9r}Cj zAl;j)u`ocwJ~i$PJ1&XG;z5pskcalb&R~#ySd-10nNKEqz{Qpz6mc9Phou+_!c+Lt z3iKdO-JdK|;|!9)D=X;AK?plBSou3mx3)#mPw=_Ulc3cdcnTJzElF#X1eGQw8MHhs zj^}s)Tx9oJEW&%^(Y0kfNEpl(JOn95jn_aRq~PIDJQy=+_A3X1dxup!ytFL`y|Ma$Ml_j9;xl z-|&ii2MM0SAM>kUb^!FUJn{Nh4t<|L^hW){xJaS?4zj0*xD?ZN97fNrx*E6qFGTox4=|x@ z%?+3ao(gVLwOS5nV3artUTOK*2xp&yDm6*gF4@Gk5CqFc6i0Cm`R!zWYL*P#`=ct& z-jk+Odef~g9QefeQSp&xP{m>s*yV`-C1jq^!>Xaa@&9X$VdW}dDNL-I#-*8XqwJF; ziM=BLt2P393V8{l&eSU$=Ra4Ebm?jqjz)+tDzoF(cshLIxhUu7?A&8(uBrHP5J%X@ z_Oiu|h$|M@ybn8c2=ROu=s5qgF&JPio=rrff&~*-dWE z5Wf(doz_z8g1Hfl8bJoHfn;sJ?1rt<18xo0cvwQukkxR_v~$u`zxxXsc6Vqh&^>;6;YBHd8j&iw z3`}av?ChbIT28HV+_I6_w|*lSZy=*zIzU`HB2yY^tU2?XF|w5!V)`P3IGn3I0(j;0 zhm-Rl{C`njqLvBN!&({3{AN8fvHi3dBRM$;Hqa-5x7I+`?NAvgj@nN_Ka7I$noGvZ zJP%Hf38PykIeZ3yxRh550E4Nj;AaVkn%|kHB$IT@A%u(*O0QF+y9F1r9l62($}yj#Z%_L zYQMPpJ-okZ8jFa1S-Lue*hGG0?Mej9eyqZ>V`;Vg+cuVpmzYluZkV5~M+ELyT0T1{+&_JS;3Q(nxGqdrL+ zeQ05aN5Ui_>gLn4j$nG_CDTiMc@Q?#5%{TQ8%@ceBomm)lapH{qfb@(K)-h-E>uB! zsG~+NLN!K8hqtwTDs%IqJvi&PLP9ywIe{^Z>(94 zW_%P4`X9dr7DOfZE3t4qaGtAj5t7N>0w(u*F-|>hN~-$xeB>awVnTbv8YcdC@j=c= zmTx9lzW4^Pd{6ubMScUzw^lN_f$>OR(gl$Uc^WKU2z$$Dl_)Eo}Ns8g>l#^@jK zELFfZ{FY*{T7ZDGF&38=&uCuSL-bdTlc#Ak(GoyDS;N_t27s-qAu$XC2`=Pl{^#Mg zvE<>S85zF`OH;5GyeDZ6fxTw%*ui|`W(;u#H-2F}7f9;+uKoIW970KJaX4zVSSWre zNOPYW3oPs!u@7&Cio6|*9!_C(CSH84w}Z4C8Cqc;n}~p;-Bkr{B{BWQo|a*phyjrvDNi- zI|cfoFJ|d0Py1Kzg}mVe!I4Ws{Y*<>T^OYrmOC=`dO{rZm|VYM2rf*tWj9)M^x(FnuA5zG=eZR!hVRQY?4AY-9D7lNd-O zWbMM*>q^51nPUw6j>s9cn{Rz~-ZBYaS=yo|lnU(CA8^Y!81*^A=m6{(f^e?#(9EsE$uO0ZFPZ^X2;|~?XkYvW=TiemKAm{5 zxLp=zd#Z9n$gWo!o7+Rr$#Xd_>!pQXb&nQQ)}13QZ~{;4O-b91Fx%FiuWFv6F+=`x z#la!*w#`7auk6xo&0bzs?KkDm3^UU)lWtDc9gSP0+8du4jfzL{kJnWbWZVDis~htU zIucWcW(XBC*kw9mXjHuG@t5BXHncP-x6JgeNc!%yPNG1q5rS4@BV7)dH$wIQA(_If>=O7nsf-D zq7-Q&Qj|`l6RJoHO_WFxRHS22K@{l%p&CknC@u63A(R9NkU)S0LV5E3Jb%IWmp!}p z+`F?oXXZ6?XLn~C^-h%o_SmfbN_7KXT}HL7*tCT1RPpLQ>aSnC%@s;OAbO6$q5>t! zP5H4$7n*m0NY+^A(%YMOwwF>v&j8rk*2;H+AtR>A0QvbE|?|{iE?~PIxl;GrMex zLWlYIZ$6Yrv3{hp{GOUyz}IsAQWHEUFP4pM{APUWbt0=~p9`C{<#z4zqdjiSls;}6FqKDcV{r3Sr6sTGxnm74Hd=Qas7fhrz~n8o%@ z-a_=EsrnZ}R5@N$AL0f>B>JW0?I(D~Evtp#>L4f~CY6AF`qeK7x8H{v=U_;ysjldK z>oS;JDuwAwgRck2+B2@KZ~%5yt%7{0DYS9NzTzpjv}HL-VE}Ehsru9JDZhf4t zAqMLT{BL8WuU$9h-&>jH&l)zD2xz`0?-;Q&%WWL(B#7o>rraEQpauOayNBCZp5y!I8-?6aZMn+ZN_da8DzWOx8+1xb46xL%hu~RsTzFM~`pu z4Oj3+;@%Cp1;W(KS^p}N!gXK``Y|vvf*GaaHWTpl+^Qrn@=$n<+et9Qh>4;-ow0^?7A&2{+AqOmu&pP`(934wA9i^H*z1dqh?`ux)=e zMxR@ZUlAa5CylTY0RCFOG#hQfMf@5tQtJ`IGW!ed_>-#EfnE$z0aYgz%4lsnyVN1? zAj@sWncYM}X;B}yuhf6khSxbLATpS-Q@}|5*65C{rmw%7*9Job4>`ivqEug)#MzGn zuf8O5am2nBuM_Qd;K*pP4xdD+p0|s3i9G8%(utK0-Xn{$$*QzvFmU6kIhJ1w${)ui zZYL1O&|N;V7*_r5uO$`M_{J1oS}I8%%1N~78hbN8{kMQ*;2(@?ti9R90>y;w*t3)m z+KAnqWN+P$yrb58%k^aI5b^onQN{9L{gjBS>dF+$&7yYeZPDp*dll+P0Y8&$3W+Ct zXo?>>HS>J{{RW!Lf}*mIhS4VYzL7jpieo4=!b#-4*^A&UvgoV8)x?obs*27gPMBAA zN1AY0WYdM<*dm{7)T=?hNR)*jz)mm`iO#DIa# z*5qxneWIQ)GcvAK-#+9Sx7Ov|R(fC?+OLAr;PpQ(g|#fUq18V@%b!W1g7{OB(FN~= zZnz3^%{7&7+{UIe*q&`XQ$AnJN&*6rsF+C>sOHyoAbCA*hZlgAcY-tRIWSE?R&^#STi;b%$)Qt&tg#Mt(X?k#Xv53 zvjQz&GMPs|qWO&W!p|fM@njhn!FFuR0Zj(4X>hIv1ChbF7VB0t1O2>j#q%+6;*AO! z+c-ZhEUeCJsTn02$)2`pg$iOD+T@e2KWH}^KvyMhbT1neTH8)DYQ^8#?rZS{8bf2*JyNB$pv}HQ zelBkskBK{)hP7U0w_@{aA<+jN7aR*2q#)Q4WdDPTxvR2Zb{iXkg zpuxQQ0EjdhKrXhT>kG=;_;k6J4@}wIl>bNeTH*2o|JsJhm~75liz&;5%Ub>a*&Qc( zxyPE(jF|_PQU+;DFW1DX?rO1vC|@HZB4~TeMXWS|5dIj##X>?D&6gRk#TesAFyGJx zEvQ+0v@~;1)Lr`@SG2}ab!>-JS=xl$Pw$w{N~^~JkULA!RmQg?qhg+6=S1eLnR;Oi zgtCd!7(z1G{P84%!zx4WoE+joT6!N_4<*^IzHy#--tEdFsQ|_W5p~d?@CyF6c-HFDMa*}4VyF9b7)ZB^UCiP= z+I|eht5BWHrm$(aaPGlihLZ=;b?MwygnxvhM@donj+Qwj3FK13)R#ARYKk3U*H;|_ zQwh+lW$yX`3u3t!`~x`iP*yuFgjl{y9`pYQoEegPZ$x<;eaD%fA7woDrljdGT|P(< zC*F5nxZ};#tBWv~Kb$4_$+KZQm|MZ2VyB?J`=zq+8=WCO45|}6uMpd`BcWCe-T;IC zse8RTFBzIM`8pIVd3QJwZNriq1!@U*pB|5q~m4&^;@xJ~AJih|Zd^2u$YaOqKX;bUEU{l{`>O2oRi9Z7TfqH^De<ji*-z`Mr{P(bnF7 z8o|do5ze{4f)DLY#KL1?)4s`7Z%IF-oFBy}MdjlMThF0d?wYoe1UGj)<{08Tl{)Sn z!9er(`YaCT zR}BUESBze5$sYrSp-?R$dMp)Tp;}#7*GECl-1vR*BT4-228D`9HEH=eTq+PkR4?Hm zX`iM&asgY_csefXj`QulPy`Ksfo-8kG4%pvu;7IVof_ctOolmBuv2yujQbib8z-}o z|DnEBAF&IdTiYI*udJz)IS77UpVH!5vWE~W;Bq_Pwr)r!jFH>nu{=Eo(iexXQrKfh z)Vgg~p74d8z2?mk8k#pD1V+>Xxs9v<*hqusF-q}2$IGdVl-JVv@YC@p1O-}@h?F64 zoNqeP6{W}{Ftyxd#So_1eTWcauNlH&q+R*-S3LY&j{bcweBINJN^;q++@eSl|1bo7 zFTASIMnrCGq-Tx4AT&!AP(l52HjLCPaJ@T7UCPOD2@O4l8rqvP9y-&<@|6dwY`2trOP_; z9SGkgq|>zVrgWysCJ7Q7)HYtZER3?gz2AI{3(=MyO(JZ9udH>F`b*E5SEx#la!u)O zT_s$+6G&lEg8lA1jQj2|am*%}t^-2QeBTz@%+BH_nZ{K*ymbhoaDLWtn8&N3{fqO$ zvDE!AZ5%Vrk-Y-#;6O~o?=fo~z2;b5iUA(8KMB1T)rA|=Y2I7>wM+i2*M6b>#YNk& z!<6f1!RJeotKhK$7vP@YhvTP-#V@J$lFjR$AqI$?3q;<&L*L4ZKX!eGbLA;K4$~fe z!Vf&6wAuRV^gZy@Xt0vs1%-0$$IJEITQF>`$u?!P%$TBkE+-^;WJabP1Nc5qs5+Ok z{~4b(F`<2r5_i)hO7XA|&AEFiR6IK0Cz4&M2TIEuy< zqx>RO7**xJxYM7_m<@Lnji2_3!>K}T78bA&@dZ3f!%X;=wxKXMiTZ(NUnKoxc9wo^ z30H><|F)T9(2_=h?D7NGElyXc0VLfMQ&xjn5ip)`mrg<~{bjaNl^I;ko~s8ehI^WuCNx zQw?b-+vQC0{3+LzbrSQM6h4MvXL(Zd=7s7AkpzCD zlPwxw9nz$B2x~PbX~DgjaCZZqBws)H@erOdQVpsZ z0%pE3ubTTMlZB!3oW@UkhC1fEmo7HNCpHqvkqR~Zk%iMlI}~bfirYzK=!=PPvT$qs zA@o}no57afT{zc^-spL!dmxa*+OB{~{f3vDdj8fKH}4CWsSHibS|1Zej~A1}3@3>6 zVNQjr_=l=+k^e%k9n0u8`*J;B&OkjYF@92bDhlLzBde3f>byo;i%ul#;#_Z=G?U$kV!ta2??g>ntv#kc-T0-pME^{a< z`-{#=-UH+>CzQPh-nk|5Bm~L&O93=G@v2yF@{A|^(|G_7_5|0%SOQcwO;nzMH$#2hdL zzS{vQg9T}v3Ua=KIXV}P2n@ZKqmFsv^G5zlRrtLuwyW~80Q4VIPCfgOzg6LCEj}#1 zy(@?>bJzM(Pcu3P#d@rbAzoet*3ey<8F%7>TI1JJu9QfQwAl4Q3D>B#442h-tv2iq zfY8h-@!xPlSl_Baya82j8vV{z2J3@;V&#f9lUSBY=qCxlLdSx>gu#udf&#+BJfldE zm?mhu`3~jQ2HC%VXcVqCGmXPlrvu??&7-(%PD%O>Sv0tpFeE-tm=*ydE?Jjlqq)qI z^QoM)eI^*8JSM;5-N53Qv|f;MR>hT_`s3m*D{jn%6nHgD04-sWV8KfD%nS_018T;j zcrlpRy~Z|NLUDxg;SSfzDsDwkU_h~1aA)Si!0_-OB{c@_oC1u(wvU?u0zyrB$z-a; z5C`~hM(2tO`~42RJ5cFj>LNmvacS6HViB)(J z^_>-AcLEivbUnJ@DP6QF-ZzH%fWYhj$+$N|+U+gnEo6fUe!i?j!ZJ6 zfL6#e?;uFYM-(3dStKTLV|5T!f5CQqF=xXx6-W=ci`;=5If_h8+z~P5tg!}z3v%}E zjg|p)AzlhU`3ZOT*E)}FcK~a_Key8ND1;OMSgBg;U-9%#Xw{of8-~dU<&LU!8#xiN z0?GIv*A)~?+){AJmm%mzIo6H2i7MH$y+ltgWY37ToTkKOUP-pK<&@JU%xJ9mT2#Q5 z?Z231NXLn$O>m3a_$ogaGn$UbA)Hz|4Ir`M_Eukj;@(K6k{&ae>39LB9Rx0DD~6V# zAr%5*@l!p!&YY|X2!~N8p$NdW=iaHDTE0zb1b~_${XJIhCc;`fbFm5G9WYxo-L4&{ zXWJZ4r?!NL?kKK=wG}|xKJDV5@)|z~VR!X86xpbO(NvIJ*5xf$pT9cgWnS{SqP9?~ za^&};#l6o$Be??hA$6qJJN1#0uM>(hcPer;daK)a+3E2m*^~zX*^f(&oVk&zoZ!5) zB^RMy%N|1Djs5`M6!)N@gD6OrlpTi-k#gXD`tPq$KOxQ9}`vlm^v*-X4KJ(rS^8=)IIxRl>luyFl%{ZF&QhS*~C137<_`G-b zI0YcotcEvW9`>_hE>4U^x{IO55-JxL8zu)qYOi3}F4t)fEmJtTqL)h?KPv0cp-Rc66=;b@R_>vy~(bf|3Hhpx0cK zFq!icDzQJb)PSb*`)5Q5R`bre10VJV9BG9 zt}f{O>aVr(uqbwpcMTigr(Za%8O~?3q-Z~^?uq;6VoR=DD3`$#5%YfIwz{^DgnwDu z)dH=9I8loUi;sEObv>m(GR4`!8I9vOjaSo+v!p6FKV-TtVQWue+jLFrT*BUt z=h(wzZ(yf`qC!X*YTWF!79oV1{p6=fv858)g{F$|!mReJNU-=z+k+`OHX85+cv`Ki~MTG9%2VRmhF|%qu{dVNk`tB7kxTwI`amGRPJF?Z?33|zC`X!G@cGy za7S`@2Belnevr({3LnHY`@xso`x`1o7!kb3ZA(U&Gk-6QBnNQUO`Kt@fhoti`<_z5 zH0At|X6)QJ3pznzdwe4LC_lFq!RBE9EJ#IGV|DarCe*W3d_!xH>DRfgnuuh$4R?OS zTBEm?zuAKmCcM6ffC|hD zTiV*CjwPdU;mkYvUGn`&8?NQ5G~3Iwd{cZLQe9>~vn`M-W`MzDr7^w$!(A^aH?=i! zh5RC5SDmlia}$1yxUq>SG9XnU?Z7S>$+0c)$_e6H()K3_6a{{}J$@1JJMr1ID5S1> zblis}cgJXc*`gg>6q6?~jNl-fiq-sf=n~wLf(|^VrE4Db35Is5yldOxZ7b_0$|Sox zu@F||!8JerXs!p`6WMha-g6}sN_ZFoH%@kQkrypqi+Xrue@@Kza6r|ra8pEg?p~fJ zcMluu&CV8gsr~Gn2CMdY_rIN3dF$Vuba%t(3!M{ZoXZ2(F10hc5a(tD!eEk+u8DANC58BR30c=G`364t3ffrnb>N2`l#tdjw0@}M7w@s@Osr{5b1`=j18g*I4ynFB~)a$nLA zV|wNVgm}R?sl*XdplXti( zyN1h3iIJsK6Z89@FkDiT3k^5l(anoH*lQkB&Ya89dA;d%l$MBx9#-eFJ*v3Yr+~4E z9LIe@Y+bOeP%2jyzy#X_CyRS)Xc-F3C5;~k&>#5xc{>c!)-ud$q14`YSG!gGXN7RB zw?t;JXn#n3{0F)VP;MLAzpFJoQZ+bLPW-r#9lZW=fUkpgSU}W9Ozm~U(7IDUc>CKH zm6v)ofG>3~r5!xzMEuQ!(gdJ|VKbq}O^z^~{CRf=BQo4z0Cr<>7ZY4hO@ATl6wzmp z3<+8JlA*NY!vl=cd_;FYyPb4&@h12^mUkqW6nA(#$LNCe;;`o@HSU7|S-23g6xWOKeEIgY`WP z8B-r^jFY=0_6imqu||mdE46m1&!cfc^?J#!cu_ZBbXrlEhrZACF=^yuAb8=J(!Yk z)F5nY%{Tt>5i{P(qn|g3B-qjEToY4F)b~(u5uKit0R*J=^MBK)4|GYXyv0Qf?bdr8 z`N+%M-or1_O;yIeI*+ugES{gyR zJ&$2*u{(t)9T+z^OuvF$oDGRtK6Ec{H2F6u09OLX*_9cllGH!!nRz$yQuojL)p)eW*(OY%M5UJ79+#C2wsX63A&5M77BJjkpIE zRW2<1Q9G)&uXY%QLE{LlLseJ+Zbl(fI9*?V_kH?3B;e=Yq!-ILnEJCc}TI@L<5iN9v^k*!UA? z@4PCupiai3!EyYwL$90{j-5|C_ieUZx5_Y>D^-#|^HJ{aQUw8rp1LAz0_L>Vp^X1W zK<+_=*(23%ol)8(gem|;u$}lm{1xGyLl^yJ4JHB?JbfYpdQYU>OIVA)>IJ9O$(M zp24CH4HU(}8RQytyoadTb%{1x(;S(}Io5WKZkbqgNBpR{ zNv99m1p6?#C=}N)q|TvE&dOq(wf)K3rGKa_9iEbuusRt59rxP%w)ef<4t;XJWN%Ua za*wSaR9>I{1ZFwOFz~WCJ{n#>;?i(g>sMLURFm>_%k5wBUdMY_Ago`$KhVVDmb^@dQ0^R`17Z-J zRF*TCQ~pTqh(-rTr6E3S?2K>;qP7s6MEbNyZLN_(vRdwR(BzBqWqIxeS`AS=TQTKu z0b6fWf4_VYq#+8gI6%)?aaUDw*-=vMdWXu|dv@cS4AQJv=3X$NiCYlxpWj=1u}B^1 z2OQ?B*01Rtaq~?Q&^Z~MmM)Ugp)R&?Rcz{^Q4qJ8RmCdW0ddzGGFs{ev(9gbflfMjf+(&LN2B_-zPTr6$Yb?=+wK1i2%^fAF3nYR^r1 z1Dh^`l>2!21SrGgAFCFL8$SanAY9}}Zf;x}5<#W$71gdX$fvJ57uF(=gC)9*%fm~( zqp`H3Z)n$7tiMp|T3 zTI(aY!X!>0IZO5^Yl(!%>)yStynv%*9fhLB z)nzhSRRl`+&d zV#yB7iMK<=x)0TOCLUAT;Kdy&o%OyfS5&8h17zJ--GV}4iS(#y5>h%IbCb4ypCW>v zxDsUvz&kA^;3KO{rgI49Fv%w&b+h|rg*;jyAMYwyIsJbeLJ7 zJO|w=FHvj;ZoF)E*t<1A1^Jd^qx6$@AGDF_6H3lvdfNNW#F`ZMyy+i&U8QMeb{Bn9eivrYc|Ji zz;oc=|LcR^8-P6VH1XsZX15cObe+HJ73c(@4SUIUP+ye0MHGBe%w>pTqA^m)pS5!@ z&B15L$oSdsk3FLQ5^mT4rLXUup!>$Is{E*F+eg?*d^%4*X>#*!?z;(T2Jc}X?=SWq z3rn4tRG;K@N6)UeeA{+Zrppx{X7*{fQ0Hakay}DgjeSnW*L4cE^Ofml#fLxojxR`^ z7*^}(EvG8e?bA={ROr(`4w zbelMGyxqN)vu;V66-u)CTW4=88c*rtc&(!%`{(Zi_jK;wU+J0izdmO?rsMr{OZmwZcBz)?DM!Z*%#XdY*w(H)1>U;D`Es}E!o|lj zFigo>K+)6*ib+)ko%)H@@}OjUe9|jA!1d&oW%)`VK+CBUX<+(!b?H(FB6ms3k3jh?GGnBEwt6&@{q zf)g&#u%X(T|Bo$Posk8E_1Ce?EH%#&vo z{*OV|-^(p)9GT-V7WCAgM?|H&?Z>sT+n$N4Ohz(I5(7YS*6N#wB3{uiYfqfs{_H+9 z>D#|I?DKV<7&uw+uERu;!^gMZh{(QvrlK%9=bcP%!1iK{Ms>8_JxzeY4Svn9FMi#e zxQ&AfX*g_GWb&jPe|aJEjTUXaykXh!t-2|0Bmi;8Pv9g(p&5!%&MfOazU9_ZGMPWR z?g!b!B-9LdiPXJQ_hsb2ESn@W^*6jwq!aYEGg5vXpA=kKtgFh{Oet;L;3`G~wcheI<>c80=KBttM^O)QhTIEI5i1H^j*({Be;nodSn8?&K*znzD;Mv!x&=l+$XdKbJXpSd6HHTOXeBNb2L;EIh_XJ}hZ62DWu76Rl1wY=GOlrr`*x`1a}TlglUPELzpC)Vqd{{$zCbv>Bk z*F=|uet;VKW$>i?3J9oPx!Z-)9`E-r#pxm%)LWkSZ&5*9VQ&d%sTe3fmvEukbUYCh zwPBElcdSc5d%|0$j!i%8&dHpY+$9YeG>fPf4EGI&;(?980eAC2;}={^yX!TSP_;d78UcbtopxRf{ZuBzV0da z@WyV7vhE)+l+T_8)Wp7>Mtf$v&m27%E;4t2wk4N+qH|}_!DNZyRb(N6+0inTTvzSt zUdN36?%O&~@mG5e!P$PdC`qo`RlQ;v`|W1JIJ2A=rG~D^ie6Ise)H{UYdo?0&@MYw zn&Rg=SJqpR9>%!z$qL`o4YJP0NKq_ZwSV=hrtcTtc6@@5>xPwO8{~Sj25dzrwAw-rTf(x7#ho__TjescgbEO2%_wPjEcp zSz34V>1@Jf3U9gU@^+pTJheOMZW!k|WU25wtp=TI)QBZg4}lY#k*%WIy}zn>^DjTyz^aYZJpVbF)Qm^<=yI5|#_$y>?w^Ek9YHeBJXc;Ul z?Ogo0%dHAJJK-u`vBEzGDEJ?G{Zlpyxbb`M1Fa<-OzXd0vRQL2320Lfe+R+ZC_xCnzNg2s)P(!po0`#IeVmCH5YiT!$G)G0u08sWdDhu}h% z!H;jWp4AI!BOTl~xi9tfPl2tj@H{hWzg#fU=D`iCxlhuQ*C(n|_l9k*{Am;r&V*e{ z{dkKbKY!FTHSX(riAz@JcU-u??g}fvhWDjl(5o$2fH`Tf>iEUM-(wtDFf0G1&7@nN z^UD)jzn>E)j1=J`_j&WYcj7OySZd?=T1 z8;SQeNQ(Gp%Mma^fXjVk=h0|;d_PWxCZF)(td*dC`iT39+1i2IYMrDhlym8%XB;B`Ii8G)!~MQbcp!O0AIl z_>Fl}Pp0!$Agke)tH0MY#^%he)7_T??*#GH1@Mh?n#4Zy$g_RzUC^_*Q6$N)^uRb_ M%T4!)&J6u#zR6>C3#x?dHs`S zd=f&~V?M_W;WR|7k1-P_N5mw(+H?HehxJ+5f{vIWY$`t)eSe%hMPHfxH$b1*lj2X| z-G_cM)Q9m`^GWEb_$dEjCf}OB3zL5oZmSRRmdF!-XZC(uy{Z3{OK^INryG*tN3EaY zuZ)i+_sd=BB=*kDzkTgTwg1rmDgGS2vv}5}{llfj^J_GoIeXFUZER)zyxz!}$e+!Pv&)JXA>Fv$ot9{jfWA<7<_xHmVyeWOEDt_cN9Y^;dws(*+0!!Set$IujVu7qt++W@2$Vvec<{$@9y6_;kWz1`EP|^zDHr+ z-ao3};}c`5zsUD2wM_jxZ?j`x^zkV-9ig)K%?Jec|ecs|xyrurte2mpC4XahOXcqx-%`A#^8eF6l>4CGiJKS^ll`!=^F99mTm38d P*9MqAOol%IlRE=SsY|t$ delta 778 zcmV+l1NHow2ZaZaPk%oH00000002z@0000000000004yp00000004La#8*p>)Ibb1 z=?1~9X2qI4m&kAiX5bERh>W-cmzV*rke)-#C0GMO0)n6IxQeHQB;81qldFht?7TC<+o(tn*Gk^DgJBiJ88N#_BZCK8Ph3Omd~GApC$PcAC_;$EBV;V zR6M=$*D30AVf=^W&;I}QS~KTkraulOPkuY^|DNQ}jlZ%~JdCF{|H^oJTk915SpS~% zSgRt^6N?tQ5`SvGM))OkSE`$2ir5>lm{NKTgCKzdH&fx&rkFd_aEyQyy@p} z;x*?TJo9;Fd#*qGug;g@^@?dj+#k3Lq7fcpq!tg@BNqgih6#q`q}fT13ve& z%fICN+<)z#K()h^co9F_bG%3E&-k0i^Zd;E4?M0{)>FS95MQ28P2V5=8tadizmlK2 z9 z<-8vG!vgs$_`ZJO1cw$1I{5px=(|7fFU0HiOMm%i`;kv;2-W*V;{m@u_FMg}`OdAm zUi>|-iPquY_rSY|ctwvh)*SDWePj0J{{!PM$w&XK`aZ@#+w=31`LO<$c$H%2YvjAt z-&XxC{OWoh%kNZwr`rGT{2*`j`B;kQRDF)O#siZr{-Mu!*!v9t0RR7Z0b*icWC&nj IV3S<~JhpwWlmGw# diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 531fa4b..eadfc9d 100644 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,95 +1,97 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681444781029 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444781029 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 13 23:59:40 2023 " "Processing started: Thu Apr 13 23:59:40 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444781029 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444781029 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444781029 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1681444781402 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1681444781402 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(85) " "Verilog HDL warning at GR8RAM.v(85): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 85 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681444798192 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(261) " "Verilog HDL warning at GR8RAM.v(261): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 261 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681444798192 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1681444798192 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444798192 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1681444798239 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(31) " "Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798254 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(36) " "Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 36 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798254 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(109) " "Verilog HDL assignment warning at GR8RAM.v(109): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 109 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798254 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798270 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(124) " "Verilog HDL assignment warning at GR8RAM.v(124): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 124 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681444798270 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1681444798739 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 541 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 544 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 543 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 542 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 545 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681444798911 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1681444798911 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1681444799194 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "305 " "Implemented 305 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1681444799208 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1681444799208 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1681444799208 ""} { "Info" "ICUT_CUT_TM_LCELLS" "225 " "Implemented 225 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1681444799208 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1681444799208 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444799270 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 14 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13091 " "Peak virtual memory: 13091 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444799301 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 13 23:59:59 2023 " "Processing ended: Thu Apr 13 23:59:59 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444799301 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444799301 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:43 " "Total CPU time (on all processors): 00:00:43" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444799301 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1681444799301 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1681444800872 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444800888 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:00:00 2023 " "Processing started: Fri Apr 14 00:00:00 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444800888 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1681444800888 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1681444800888 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1681444800982 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1681444800982 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1681444800982 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681444801107 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681444801107 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681444801107 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444801153 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681444801169 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681444801263 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681444801278 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681444801528 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681444801528 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681444801716 ""} -{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681444801747 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681444801747 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681444801747 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444801747 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681444801747 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444801747 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444801779 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 69 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681444801779 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681444801779 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681444801779 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681444801779 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681444801810 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681444801857 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681444801857 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681444801857 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681444801857 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444801919 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681444801919 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681444802060 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444802294 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681444802310 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681444803044 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444803044 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681444803092 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681444803327 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681444803327 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444803607 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.32 " "Total time spent on timing analysis during the Fitter is 0.32 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681444803622 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681444803638 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681444803685 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681444803732 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13735 " "Peak virtual memory: 13735 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444803779 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:00:03 2023 " "Processing ended: Fri Apr 14 00:00:03 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444803779 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444803779 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444803779 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681444803779 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1681444805211 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444805211 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:00:05 2023 " "Processing started: Fri Apr 14 00:00:05 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444805211 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1681444805211 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1681444805211 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1681444805477 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1681444805508 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1681444805524 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13055 " "Peak virtual memory: 13055 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444805748 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:00:05 2023 " "Processing ended: Fri Apr 14 00:00:05 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444805748 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444805748 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444805748 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1681444805748 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1681444806469 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1681444807407 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681444807407 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 00:00:07 2023 " "Processing started: Fri Apr 14 00:00:07 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681444807407 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1681444807407 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1681444807407 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1681444807517 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1681444807689 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1681444807689 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444807735 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444807735 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1681444807802 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1681444808204 ""} -{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1681444808267 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1681444808282 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1681444808314 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup 14.271 " "Worst-case setup slack is 14.271" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808314 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808314 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 14.271 0.000 C25M " " 14.271 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808314 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808314 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.385 " "Worst-case hold slack is 1.385" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.385 0.000 C25M " " 1.385 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 32.601 " "Worst-case recovery slack is 32.601" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 32.601 0.000 C25M " " 32.601 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.845 " "Worst-case removal slack is 6.845" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.845 0.000 C25M " " 6.845 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681444808329 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681444808329 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1681444808376 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681444808407 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681444808407 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13053 " "Peak virtual memory: 13053 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681444808471 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 00:00:08 2023 " "Processing ended: Fri Apr 14 00:00:08 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681444808471 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681444808471 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681444808471 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681444808471 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 19 s " "Quartus Prime Full Compilation was successful. 0 errors, 19 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681444809260 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1681451453154 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451453170 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:50:52 2023 " "Processing started: Fri Apr 14 01:50:52 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451453170 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451453170 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451453170 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1681451453502 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1681451453502 ""} +{ "Warning" "WVRFX_VERI_LITERAL_TRUNCATED_TO_FIT" "1 GR8RAM.v(22) " "Verilog HDL Expression warning at GR8RAM.v(22): truncated literal to match 1 bits" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 22 0 0 } } } 0 10229 "Verilog HDL Expression warning at %2!s!: truncated literal to match %1!d! bits" 0 0 "Analysis & Synthesis" 0 -1 1681451469792 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(87) " "Verilog HDL warning at GR8RAM.v(87): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 87 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681451469792 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(269) " "Verilog HDL warning at GR8RAM.v(269): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1681451469792 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1681451469792 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451469792 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(31) " "Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 31 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(36) " "Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 36 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(111) " "Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 111 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(119) " "Verilog HDL assignment warning at GR8RAM.v(119): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 119 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1681451469839 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Analysis & Synthesis" 0 -1 1681451470277 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 553 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 556 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 555 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 554 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 557 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 552 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 551 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SA\[12\] GND " "Pin \"SA\[12\]\" is stuck at GND" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 433 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Design Software" 0 -1 1681451470449 "|GR8RAM|SA[12]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Analysis & Synthesis" 0 -1 1681451470449 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1681451470954 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "1 " "Design contains 1 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "RA\[11\] " "No output dependent on input pin \"RA\[11\]\"" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 56 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Design Software" 0 -1 1681451470954 "|GR8RAM|RA[11]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Analysis & Synthesis" 0 -1 1681451470954 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "314 " "Implemented 314 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1681451470970 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1681451470970 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1681451470970 ""} { "Info" "ICUT_CUT_TM_LCELLS" "234 " "Implemented 234 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1681451470970 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1681451470970 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451471017 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 18 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 18 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13092 " "Peak virtual memory: 13092 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451471048 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:11 2023 " "Processing ended: Fri Apr 14 01:51:11 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451471048 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451471048 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:40 " "Total CPU time (on all processors): 00:00:40" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451471048 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1681451471048 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1681451472626 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451472634 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:51:12 2023 " "Processing started: Fri Apr 14 01:51:12 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451472634 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1681451472634 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1681451472634 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1681451472738 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1681451472738 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1681451472738 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1681451472817 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1681451472817 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1681451472817 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451472864 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1681451472864 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1681451472957 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1681451472973 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1681451473207 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1681451473207 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Fitter" 0 -1 1681451473318 ""} +{ "Info" "ISTA_USER_TDC_OPTIMIZATION_GOALS" "" "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" { } { } 0 332129 "Detected timing requirements -- optimizing circuit to achieve only the specified requirements" 0 0 "Fitter" 0 -1 1681451473332 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 40.000 C25M " " 40.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 978.000 PHI0 " " 978.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1681451473332 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1681451473332 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451473348 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1681451473348 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451473348 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451473363 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nRESr Global clock " "Automatically promoted some destinations of signal \"nRESr\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "IOROMEN " "Destination \"IOROMEN\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 71 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1681451473363 ""} } { { "GR8RAM.v" "" { Text "Y:/Repos/GR8RAM/cpld/GR8RAM.v" 14 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1681451473363 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1681451473363 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1681451473379 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1681451473410 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1681451473457 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1681451473457 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1681451473457 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1681451473457 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451473505 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1681451473520 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1681451473657 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451473845 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1681451473845 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1681451474547 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451474547 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1681451474601 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "28 " "Router estimated average interconnect usage is 28% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "28 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 28% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Y:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 28% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1681451474820 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1681451474820 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451475054 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.30 " "Total time spent on timing analysis during the Fitter is 0.30 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1681451475054 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1681451475070 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1681451475117 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1681451475180 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13732 " "Peak virtual memory: 13732 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451475226 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:15 2023 " "Processing ended: Fri Apr 14 01:51:15 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451475226 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451475226 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451475226 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1681451475226 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1681451476607 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451476621 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:51:16 2023 " "Processing started: Fri Apr 14 01:51:16 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451476621 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1681451476621 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1681451476621 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1681451476903 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1681451476934 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1681451476949 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13056 " "Peak virtual memory: 13056 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451477168 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:17 2023 " "Processing ended: Fri Apr 14 01:51:17 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451477168 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451477168 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451477168 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1681451477168 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1681451477955 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1681451478695 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1681451478695 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Apr 14 01:51:18 2023 " "Processing started: Fri Apr 14 01:51:18 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1681451478695 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1681451478695 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1681451478695 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1681451478788 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1681451478929 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1681451478929 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451478980 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451478980 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1681451479038 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1681451479430 ""} +{ "Info" "ISTA_SDC_FOUND" "GR8RAM.sdc " "Reading SDC File: 'GR8RAM.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Timing Analyzer" 0 -1 1681451479507 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1681451479523 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1681451479554 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup 13.711 " "Worst-case setup slack is 13.711" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 13.711 0.000 C25M " " 13.711 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479554 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.401 " "Worst-case hold slack is 1.401" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.401 0.000 C25M " " 1.401 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479554 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479554 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery 33.388 " "Worst-case recovery slack is 33.388" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 33.388 0.000 C25M " " 33.388 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479570 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 6.058 " "Worst-case removal slack is 6.058" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 6.058 0.000 C25M " " 6.058 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479570 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width 19.734 " "Worst-case minimum pulse width slack is 19.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 19.734 0.000 C25M " " 19.734 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 488.734 0.000 PHI0 " " 488.734 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1681451479570 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1681451479570 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1681451479616 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681451479632 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1681451479632 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 1 Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "13053 " "Peak virtual memory: 13053 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1681451479695 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Apr 14 01:51:19 2023 " "Processing ended: Fri Apr 14 01:51:19 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1681451479695 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1681451479695 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1681451479695 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681451479695 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 23 s " "Quartus Prime Full Compilation was successful. 0 errors, 23 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1681451480510 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 7eebc4975cded25407e092f00947d48a9e9b1425..c52ad0dc5b25f07bb653a29d628fb45d62296039 100755 GIT binary patch literal 2981 zcmV;W3tIH74*>uG0001Zob6q0ZyHAs{@!14;(N`_@h;hx9NTGW?8JqrjTBV~hf9lW z1BD}Mq^iHY_ksbNGqcZd?68u*CEVTI?Cd8DP!b9R07 z(4X{fZ%bZs?OQmV4acLC5*<;=`+MG>M)TR~*I%|9)cbJJoZw!5EwNFe!)#2Z*52bs(zvB@S5H{CPPlEfh=mWa(ZalnZs z5~w5xoU88VCMJ=CO)L?d8WY@r!!`j9I5`}0Qm<@4G6N1fSy;mq4LJBDV+Zv#Sag1d z1RAY6psxoUd{Uv9Zw3bVLDl2{)O~XXM$CXiP#o0>lgI%m!6fKJ9DowP#I&p!;U~c6 zr^4YUj>4D|RSedi8YYp$&-tfMpP<~O!hxp~&Z6-&ycmx{NG=DUc;?-EXiW}2 z3CO`IH^C1mlEY7|C77ohq;41>t0rp?HaS%eJlRr#`B0U^Pi#w2qRHaZ9({q9$*Re= zCl-1Q3FYvU8Wc+ks~mzBib6ZnDu07^1V(3Tv4-tTV$aIm>S17(AQ&8YkPB_xmo&FC#w^=}v@ zZG-`_VUo3h>3W01O)3-1ts5M4x)&dy>1lGnX@BT^xW0T3$>gAu;2U%FrU`VgXx=m{ zykQV;nq_UErW}Mimp_3sWU%gFC9Nh0pw9U_%rTA76M&X9+w@>~LgxZ4khM7QT%n!F7T+!X zkNkCs@r8aAO^3JhD4g}~<7Xa!U%#>-HT2%yeC)N~f9zdewXb{Kk7plyrPKFskITy& zmqN?AQu*GjS%V34FY=A56I(OSwg0BXiuAXqKP_GnP5Yx+M#xwrTm1V1*}~@_-|imG zqJ9+iZii7X*nR5W-E=RLY3mj_Yg~|dG6*`*L2zO1$sh^<(e8r)o$~8=`VbC`ywZri z0=Bq)E+z|THsl*^3BYCu`RryIrP`2hvYiGy{4A9Pr`r%qW)aY1%xY2K9IL%~_Camg2e)M(yA|cHXOL4(jGqe|$ z3+;pELM+cED;x`E%K%ic1vpeCwON6xY`Y{O^aE_@-Whpc1$rD^I%bZDi%mT3-tWbsznQ>=8|7W=~ILC)r_Kbw&Lo9&?C#Migp-B#8 z2zcv^Cmi!4t(&6A{bQf$2oVQgv`XQNXfi zQtCVGOaaTEfr&~1%X^U!eFBzUx<;(9T zxu1A>yuP?2Sxvp+Xb}E$Qu5a>rk69i^5gg>)A2vwOQ*4_lQkjbM=8q}m$NtLE3!^C zfmKSiB;1?#(6FiT*g{_TCZkn?RgjpqIPk~f+^ z^uCPmXUS)lmQOG3w)T$rruoBYO;K9CKD)Mh`Ns3dYvbQ0^T#bhH$)tl*T$7av`weT z^%KrZw$96PRC$@TnEcLCsQCX{fa9Q~GP)ssMG+ma6FIQx!KX zRn_;Ynsl=4T1r2i^0)28564hVeegAx;(g$DNjWE^H_o-FW;h-%QNVC2Imp(TF zdQPh54ij6VH{9*8qfs9mtxEO@b1 z@U*7C(I&`i61?0ZcrNVO!_S%nmT}_ihm@upsZUetrNvpQCa$@?0%g}qhCWWJZY;fZ z^@?jC4r-QtO26nMF3?#nWvKhq+Igviwv>K0M{cyx8u~3Xaq4Y5M^v|zcspm=r}TH7 zbvFWfRlnjY&gd*Jefmj4&5h1bpx^JPxX~GE=x=2kZlqJW1>&s7{G#iv@-$H!LOQZWI`%+(@SaecrN|5NtW1>!VMs zg5`j&?^9JbEVcE}o~3?+8|Z7PTWalq`9WJs?E1x7s^&<^Q$O<**L_~Q;ydF+zcnDP zBwLQX`j)D=(Hf}fTT0w+wj6u)yW--Gm*qI6zn2vsM6|q@)kk08hEIt*t;Jbt>&H_L z$5LW~XK|Kly0Mq7>F@f*XQ3>|DgB7P^}{;{WGQt+k$CBQ-JtC{`T8lMSOv?aY5hg2 z;YL6af2E~jsLzoZZyd4f*?*V*w+Q5w?B_LmFE1=! z{LJKD_VUM<9Qpog8gf6h{H=dKU!OAnxSam<(k;Z_5^UaL{*h)|`fR*?u_)!4m2%9= ze7|}>!=M8*>!v=4S%VF;66&qb70~w9ed&XkwUT32&|8ZcMseP{FMSZRRyWN`#d|Av zi|yDd(g!hXEyt|Id#k}Ptj1Q6KHJQyx~1Cs2O}(P6x?V~h|iW5XQ_%CM-la1%6Frh zMPlN5VWtY8U7g}KUBBs7bEKFop3&k=B|eO7+f?da6+i_L#lrgmIF%cv*NXnx74fkE z%e+K=ONoyKSPoSBZ7(rD$8zICKT8)M^RpbM^nFVFNmtA0)8EjDRj{0;>t_k#0~D|A z`JHj1KKbGkS+A47b26vD@QVL_q2+~FpPM1xqgZCo>vJu|Dp+24^|w;uzesDjkfEQ< ziND!kc`K#vQ-QcXXSuG0001Zob6p}PutiQ{XW0K%J)mWou?&0qJ^2^7Fvc>GnJ}Q6iRw; z1O#pps;M-Z-+t|wCI*bxSv$@-J`&$rKX$hF*=xVfve~`5TMRERg6ZgHyw~{UynlXh zx^pwS8(v&Ag7nD}T6iOTn{vDi57USUPa$#gLv&c};j@%=8* zW;Xsho{gtp#*N^=lkqG$bGO%ME^o-+5=<@=?Ha3_{xg{`#)RN!R6)bbMz-8vJFI@ei$6z-Y*!D9TP33MXA{ZGJob4 zrUw`LXfR_0_JP1Z&JRC#sV9zs#Ph>La;YT*B98~3Lrx+B%o!~aK_+u?&UpmkW^llo z62T^s$St=Z)FcvxR1$&aWbnDqNkq6wB$7*G0vz(OO_0MaPK1!N^vWJ56JaL{lcb^u zKIyZQdLr;7N$gijAi_@e;M}4|MoEYB&By>hteOZwgEt3c#EcMvlBh16L5^JX-? zq68XYhr?snr1v33nho4YV;am3NHtAn2c$>@oPu%(z&TY&jdN7k|ueFlZaq*#s6967)2Bk-i2&IRATQQ8p>k$tnWjnouD z==|sxa)k^M4>x=2BLJPheaAVb5qcuhl4e~Gw}pfdl-32LNCcn(TU*8mKj{u67dc{t zpA_X>#EcPo5|ndp86)T<5;=s&2s&r%?o14!bI4A}Vg#NOcFQnE$T=STBVk@*a$>Yt z%qAE2i}8GTo!s-|c>c@-n_>9w?BnqG{m0?a$?@rM@bTc|u(ALC=iTP&!j;fwp;Wvr zYqYy0oO?-V)MAwuin;$T85PT8j}JZk#9}s@&NC)Pj%D%p3oMHeLH>BKGhd7r&3P7~^Ly%7S^>%hMzBKYmqb)?1a)Dy9 zf?p${QA(9|6`^vJTpklC^Fo1S0F`(r}7Bo$Y{7c0(mk#nMWW`Cf&v1feOhoG)YJx zS!VgR39l;T%&;UJfs7di3r8SlhNs~Oq|9h|I09)iJby$WZzg?PLb3J;Q9O-AAbp1B zkqD&E@I(@U3>unAB9K8tQ%M9;XtLM0_?3r5n)IDig;=w?k9|GNsEHyIv>B_0EGHqW zhGnY=7A>Ze~AStVy0&xSki) zz=G@9jYk&jZ>Ds$7L0xL!S&3(1{PdT&ponWR3{7eeYBv|$$}dyq`8Js??nl>+V0wQu{BnCePd}8qx_f1|+;1co-QP@eipu)u zvvcboe|GMN#hk0@Pj__u4R4zr*IGNmY@>3>%a_z=lk+2NeM zS10lX`r70{_TxW(WG4*Q%TQRHe;Zxj=a($pZ7vVJbcx_gqUV>G7xuRFd8sETGOHAr z74$sYc?_wUS)c2}m^FH4R$;yMsRFLObzAx{W^EOimGstX9-}&M-IhL#S=-OeD%E={ zy2N&DRq4Z+wNqf$>b=$AF|5W`l|E%=b=p&v5=Sh}v;623Vy67vj-_ICU2C`d)D9@C zvsBLyOSRmiujR*#)V)iEevE$9^T`Tb$`9~G?!gynbBbL{iTl(i%$&$!k)Tzx!Xm+w zfbKk^=UzUkwWV6lEtUIJQLQai%Dl5SL+4`;F9g8N;eRmWte4O09zBi0-~h z==xD5i2KS@UD8?3ea;OP`T@SSdzWha0Y0^w6iHJA?j(f6raiRvuX^~0ysduci+nP{TL*v z-vz3$LiA&hdmfoiD!n(@vI$`0kTb>__PBxDO~DKQ@L+|LBG#OZhQJ zRDblfI!md?tslNN%r{<$tZaduXcc?$7Y}u#WR|(W7JztCIN(UM4 zcjeU%Xt_Oij}!H`DlE6>?ngfA34!hX4(H``q~3C|oTl6tiR$XhvQxPa>FS}cWuJ22 z_V@j0Jk~J9SH&wVsQjos)hk+|Q~3cu zb&jsiQtHpnTJHSZ7wKvhEKd{Mhji+X-n>rTDos=FBZ|69w2Twy0}@fQ+$|T0?(@02 a_jzuqr$^@5rRhzJ;pN5tPyYv%$lok3+}+*) diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index a7cc2c6..212cbd5 100644 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,5 +1,5 @@ Assembler report for GR8RAM -Fri Apr 14 00:01:40 2023 +Fri Apr 14 01:52:17 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Fri Apr 14 00:01:40 2023 ; +; Assembler Status ; Successful - Fri Apr 14 01:52:17 2023 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -67,8 +67,8 @@ https://fpgasoftware.intel.com/eula. +----------------+-------------------------------------------------------+ ; Option ; Setting ; +----------------+-------------------------------------------------------+ -; JTAG usercode ; 0x00166C15 ; -; Checksum ; 0x00167085 ; +; JTAG usercode ; 0x00162AE9 ; +; Checksum ; 0x00162DE9 ; +----------------+-------------------------------------------------------+ @@ -78,14 +78,14 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Fri Apr 14 00:01:39 2023 + Info: Processing started: Fri Apr 14 01:52:16 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning Info: Peak virtual memory: 13056 megabytes - Info: Processing ended: Fri Apr 14 00:01:40 2023 + Info: Processing ended: Fri Apr 14 01:52:17 2023 Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 33d0be5..125f1cf 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Fri Apr 14 00:01:44 2023 +Fri Apr 14 01:52:20 2023 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index efa2170..901e9c5 100644 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,5 +1,5 @@ Fitter report for GR8RAM -Fri Apr 14 00:01:38 2023 +Fri Apr 14 01:52:15 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -57,14 +57,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Fri Apr 14 00:01:38 2023 ; +; Fitter Status ; Successful - Fri Apr 14 01:52:15 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 220 / 240 ( 92 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -150,28 +150,28 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 220 / 240 ( 92 % ) ; -; -- Combinational with no register ; 120 ; +; Total logic elements ; 233 / 240 ( 97 % ) ; +; -- Combinational with no register ; 133 ; ; -- Register only ; 1 ; ; -- Combinational with a register ; 99 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 120 ; -; -- 3 input functions ; 32 ; +; -- 4 input functions ; 128 ; +; -- 3 input functions ; 37 ; ; -- 2 input functions ; 66 ; ; -- 1 input functions ; 1 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 187 ; +; -- normal mode ; 200 ; ; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 3 ; +; -- qfbk mode ; 2 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 49 ; +; -- synchronous clear/load mode ; 48 ; ; -- asynchronous clear/load mode ; 30 ; ; ; ; ; Total registers ; 100 / 240 ( 42 % ) ; -; Total LABs ; 23 / 24 ( 96 % ) ; +; Total LABs ; 24 / 24 ( 100 % ) ; ; Logic elements in carry chains ; 37 ; ; Virtual pins ; 0 ; ; I/O pins ; 80 / 80 ( 100 % ) ; @@ -185,11 +185,11 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Global signals ; 2 ; ; -- Global clocks ; 2 / 4 ( 50 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 37.0% / 38.2% / 35.8% ; -; Peak interconnect usage (total/H/V) ; 37.0% / 38.2% / 35.8% ; +; Average interconnect usage (total/H/V) ; 41.0% / 42.7% / 39.2% ; +; Peak interconnect usage (total/H/V) ; 41.0% / 42.7% / 39.2% ; ; Maximum fan-out ; 99 ; -; Highest non-global fan-out ; 43 ; -; Total fan-out ; 1019 ; +; Highest non-global fan-out ; 50 ; +; Total fan-out ; 1065 ; ; Average fan-out ; 3.40 ; +---------------------------------------------+-----------------------+ @@ -202,31 +202,31 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 99 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; +; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 2 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; ; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 9 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; ; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 8 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 12 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 11 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 10 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; +; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; ; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; +----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ @@ -238,7 +238,7 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -251,9 +251,9 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; ; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -261,7 +261,7 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; +; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -279,22 +279,22 @@ The pin-out file can be found in Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ; -; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; +; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~3 ; - ; ; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 2 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -438,7 +438,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 220 (220) ; 100 ; 0 ; 80 ; 0 ; 120 (120) ; 1 (1) ; 99 (99) ; 37 (37) ; 4 (4) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 233 (233) ; 100 ; 0 ; 80 ; 0 ; 133 (133) ; 1 (1) ; 99 (99) ; 37 (37) ; 3 (3) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -457,6 +457,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; nINHout ; Output ; -- ; ; RWout ; Output ; -- ; ; nDMAout ; Output ; -- ; +; RA[11] ; Input ; (0) ; ; RAdir ; Output ; -- ; ; RDdir ; Output ; -- ; ; SBA[0] ; Output ; -- ; @@ -504,15 +505,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; DMAin ; Input ; (1) ; ; PHI0 ; Input ; (0) ; ; nWE ; Input ; (1) ; +; nIOSTRB ; Input ; (1) ; +; nIOSEL ; Input ; (1) ; ; SetFW[1] ; Input ; (1) ; ; nDEVSEL ; Input ; (1) ; -; nIOSEL ; Input ; (1) ; -; nIOSTRB ; Input ; (1) ; ; C25M ; Input ; (0) ; ; RA[1] ; Input ; (1) ; +; RA[0] ; Input ; (1) ; ; RA[2] ; Input ; (1) ; ; RA[3] ; Input ; (1) ; -; RA[0] ; Input ; (1) ; ; RA[4] ; Input ; (1) ; ; RA[5] ; Input ; (1) ; ; RA[6] ; Input ; (1) ; @@ -520,14 +521,13 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RA[8] ; Input ; (1) ; ; RA[9] ; Input ; (1) ; ; RA[10] ; Input ; (1) ; -; RA[11] ; Input ; (1) ; +; SetFW[0] ; Input ; (1) ; ; nRES ; Input ; (1) ; ; RA[14] ; Input ; (1) ; ; RA[15] ; Input ; (1) ; ; RA[12] ; Input ; (1) ; ; RA[13] ; Input ; (1) ; ; MISO ; Input ; (1) ; -; SetFW[0] ; Input ; (1) ; +----------+----------+---------------+ @@ -537,20 +537,19 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ; C25M ; PIN_64 ; 99 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Equal0~0 ; LC_X3_Y2_N7 ; 20 ; Clock enable ; no ; -- ; -- ; -; Equal17~0 ; LC_X6_Y2_N9 ; 8 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X2_Y2_N6 ; 2 ; Output enable ; no ; -- ; -- ; -; IOROMRES~3 ; LC_X3_Y4_N5 ; 1 ; Async. clear ; no ; -- ; -- ; -; MOSIOE ; LC_X2_Y2_N3 ; 1 ; Output enable ; no ; -- ; -- ; +; Equal0~0 ; LC_X3_Y2_N3 ; 20 ; Clock enable ; no ; -- ; -- ; +; Equal21~0 ; LC_X5_Y2_N2 ; 8 ; Clock enable ; no ; -- ; -- ; +; IOROMRES~4 ; LC_X3_Y3_N5 ; 1 ; Async. clear ; no ; -- ; -- ; +; MOSIOE ; LC_X3_Y2_N9 ; 1 ; Output enable ; no ; -- ; -- ; ; PHI0 ; PIN_41 ; 3 ; Clock ; no ; -- ; -- ; -; PS[0] ; LC_X5_Y2_N9 ; 42 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X5_Y2_N7 ; 28 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X7_Y1_N5 ; 8 ; Output enable ; no ; -- ; -- ; -; always8~1 ; LC_X6_Y4_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always8~2 ; LC_X6_Y4_N5 ; 9 ; Sync. load ; no ; -- ; -- ; -; always8~3 ; LC_X6_Y3_N9 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~2 ; LC_X5_Y3_N4 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X6_Y1_N3 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK2 ; +; PS[0] ; LC_X4_Y1_N5 ; 49 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X4_Y2_N7 ; 29 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X5_Y2_N4 ; 8 ; Output enable ; no ; -- ; -- ; +; always8~2 ; LC_X5_Y2_N6 ; 8 ; Sync. load ; no ; -- ; -- ; +; always8~3 ; LC_X6_Y1_N5 ; 9 ; Sync. load ; no ; -- ; -- ; +; always8~4 ; LC_X6_Y1_N7 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~3 ; LC_X4_Y1_N9 ; 9 ; Output enable ; no ; -- ; -- ; +; nRESr ; LC_X3_Y2_N8 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK2 ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ @@ -560,7 +559,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+-------------+---------+----------------------+------------------+ ; C25M ; PIN_64 ; 99 ; Global Clock ; GCLK3 ; -; nRESr ; LC_X6_Y1_N3 ; 30 ; Global Clock ; GCLK2 ; +; nRESr ; LC_X3_Y2_N8 ; 30 ; Global Clock ; GCLK2 ; +-------+-------------+---------+----------------------+------------------+ @@ -569,20 +568,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 223 / 784 ( 28 % ) ; -; Direct links ; 51 / 888 ( 6 % ) ; +; C4s ; 237 / 784 ( 30 % ) ; +; Direct links ; 39 / 888 ( 4 % ) ; ; Global clocks ; 2 / 4 ( 50 % ) ; -; LAB clocks ; 8 / 32 ( 25 % ) ; -; LUT chains ; 27 / 216 ( 13 % ) ; -; Local interconnects ; 392 / 888 ( 44 % ) ; -; R4s ; 197 / 704 ( 28 % ) ; +; LAB clocks ; 10 / 32 ( 31 % ) ; +; LUT chains ; 26 / 216 ( 12 % ) ; +; Local interconnects ; 416 / 888 ( 47 % ) ; +; R4s ; 228 / 704 ( 32 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.57) ; Number of LABs (Total = 23) ; +; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; ; 2 ; 0 ; @@ -590,9 +589,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 3 ; -; 9 ; 1 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 5 ; ; 10 ; 18 ; +--------------------------------------------+------------------------------+ @@ -600,21 +599,20 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.74) ; Number of LABs (Total = 23) ; +; LAB-wide Signals (Average = 1.96) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ -; 1 Async. clear ; 5 ; -; 1 Clock ; 21 ; -; 1 Clock enable ; 4 ; -; 1 Sync. clear ; 5 ; -; 1 Sync. load ; 4 ; -; 2 Clocks ; 1 ; +; 1 Async. clear ; 7 ; +; 1 Clock ; 22 ; +; 1 Clock enable ; 6 ; +; 1 Sync. clear ; 7 ; +; 1 Sync. load ; 5 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 9.78) ; Number of LABs (Total = 23) ; +; Number of Signals Sourced (Average = 9.83) ; Number of LABs (Total = 24) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; @@ -623,11 +621,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 2 ; -; 9 ; 2 ; -; 10 ; 15 ; -; 11 ; 2 ; +; 7 ; 0 ; +; 8 ; 1 ; +; 9 ; 5 ; +; 10 ; 16 ; +; 11 ; 1 ; ; 12 ; 1 ; +---------------------------------------------+------------------------------+ @@ -635,18 +633,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.00) ; Number of LABs (Total = 23) ; +; Number of Signals Sourced Out (Average = 6.67) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 2 ; -; 4 ; 2 ; -; 5 ; 2 ; -; 6 ; 1 ; +; 2 ; 0 ; +; 3 ; 3 ; +; 4 ; 0 ; +; 5 ; 5 ; +; 6 ; 4 ; ; 7 ; 3 ; ; 8 ; 3 ; -; 9 ; 6 ; +; 9 ; 3 ; ; 10 ; 3 ; +-------------------------------------------------+------------------------------+ @@ -654,35 +652,33 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 14.87) ; Number of LABs (Total = 23) ; +; Number of Distinct Inputs (Average = 15.46) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 1 ; -; 5 ; 0 ; +; 4 ; 0 ; +; 5 ; 1 ; ; 6 ; 1 ; -; 7 ; 1 ; +; 7 ; 0 ; ; 8 ; 0 ; ; 9 ; 0 ; -; 10 ; 2 ; +; 10 ; 0 ; ; 11 ; 0 ; -; 12 ; 2 ; -; 13 ; 3 ; -; 14 ; 1 ; -; 15 ; 2 ; -; 16 ; 1 ; -; 17 ; 2 ; +; 12 ; 1 ; +; 13 ; 4 ; +; 14 ; 2 ; +; 15 ; 3 ; +; 16 ; 6 ; +; 17 ; 0 ; ; 18 ; 1 ; -; 19 ; 1 ; -; 20 ; 2 ; -; 21 ; 0 ; -; 22 ; 1 ; +; 19 ; 0 ; +; 20 ; 1 ; +; 21 ; 2 ; +; 22 ; 0 ; ; 23 ; 1 ; -; 24 ; 0 ; -; 25 ; 0 ; -; 26 ; 1 ; +; 24 ; 1 ; +----------------------------------------------+------------------------------+ @@ -726,7 +722,7 @@ Info (332111): Found 2 clocks Info (186079): Completed User Assigned Global Signals Promotion Operation Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 14 - Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 69 + Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 71 Info (186079): Completed Auto Global Promotion Operation Info (176234): Starting register packing Info (186468): Started processing fast register assignments @@ -740,16 +736,16 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 30% of the available device resources - Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 32% of the available device resources + Info (170196): Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.27 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.29 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 3 warnings Info: Peak virtual memory: 13732 megabytes - Info: Processing ended: Fri Apr 14 00:01:38 2023 + Info: Processing ended: Fri Apr 14 01:52:15 2023 Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 3462760..c697d5c 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Fri Apr 14 00:01:38 2023 +Fitter Status : Successful - Fri Apr 14 01:52:15 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 220 / 240 ( 92 % ) +Total logic elements : 233 / 240 ( 97 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index 8fbca45..bf56666 100644 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,5 +1,5 @@ Flow report for GR8RAM -Fri Apr 14 00:01:43 2023 +Fri Apr 14 01:52:20 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,14 +41,14 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Fri Apr 14 00:01:40 2023 ; +; Flow Status ; Successful - Fri Apr 14 01:52:17 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 220 / 240 ( 92 % ) ; +; Total logic elements ; 233 / 240 ( 97 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/14/2023 00:01:17 ; +; Start date & time ; 04/14/2023 01:51:54 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ @@ -76,7 +76,7 @@ https://fpgasoftware.intel.com/eula. ; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; ; AUTO_PACKED_REGISTERS_MAX ; Minimize Area ; Auto ; -- ; -- ; ; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 121381084694.168144487708968 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 121381084694.168145151401644 ; -- ; -- ; -- ; ; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; ; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; ; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ; @@ -103,11 +103,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:18 ; 1.0 ; 13092 MB ; 00:00:39 ; +; Analysis & Synthesis ; 00:00:17 ; 1.0 ; 13092 MB ; 00:00:39 ; ; Fitter ; 00:00:03 ; 1.0 ; 13732 MB ; 00:00:04 ; ; Assembler ; 00:00:01 ; 1.0 ; 13052 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13051 MB ; 00:00:01 ; -; Total ; 00:00:24 ; -- ; -- ; 00:00:45 ; +; Timing Analyzer ; 00:00:02 ; 1.0 ; 13053 MB ; 00:00:01 ; +; Total ; 00:00:23 ; -- ; -- ; 00:00:45 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 017df28..7945907 100644 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Fri Apr 14 00:01:34 2023 +Fri Apr 14 01:52:11 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,12 +46,12 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Apr 14 00:01:34 2023 ; +; Analysis & Synthesis Status ; Successful - Fri Apr 14 01:52:11 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 225 ; +; Total logic elements ; 236 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -159,20 +159,20 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 225 ; -; -- Combinational with no register ; 125 ; -; -- Register only ; 6 ; -; -- Combinational with a register ; 94 ; +; Total logic elements ; 236 ; +; -- Combinational with no register ; 136 ; +; -- Register only ; 4 ; +; -- Combinational with a register ; 96 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 120 ; -; -- 3 input functions ; 32 ; +; -- 4 input functions ; 128 ; +; -- 3 input functions ; 37 ; ; -- 2 input functions ; 66 ; ; -- 1 input functions ; 1 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 192 ; +; -- normal mode ; 203 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; @@ -184,8 +184,8 @@ https://fpgasoftware.intel.com/eula. ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; ; Maximum fan-out ; 99 ; -; Total fan-out ; 1009 ; -; Average fan-out ; 3.31 ; +; Total fan-out ; 1052 ; +; Average fan-out ; 3.33 ; +---------------------------------------------+-------+ @@ -194,7 +194,7 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 225 (225) ; 100 ; 0 ; 80 ; 0 ; 125 (125) ; 6 (6) ; 94 (94) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +; |GR8RAM ; 236 (236) ; 100 ; 0 ; 80 ; 0 ; 136 (136) ; 4 (4) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -251,7 +251,8 @@ Encoding Type: Minimal Bits ; DQML~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; ; RCKE~reg0 ; 4 ; -; Total number of inverted registers = 7 ; ; +; nFCS~reg0 ; 1 ; +; Total number of inverted registers = 8 ; ; +----------------------------------------+---------+ @@ -260,14 +261,14 @@ Encoding Type: Minimal Bits +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[1] ; +; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; ; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; ; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[6]~reg0 ; -; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[2]~reg0 ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[5] ; +; 20:1 ; 2 bits ; 26 LEs ; 12 LEs ; 14 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[4] ; ; 17:1 ; 4 bits ; 44 LEs ; 8 LEs ; 36 LEs ; Yes ; |GR8RAM|RDD[2] ; -; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQML~reg0 ; -; 18:1 ; 4 bits ; 48 LEs ; 8 LEs ; 40 LEs ; Yes ; |GR8RAM|RDD[6] ; +; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; +; 18:1 ; 3 bits ; 36 LEs ; 6 LEs ; 30 LEs ; Yes ; |GR8RAM|RDD[4] ; ; 7:1 ; 5 bits ; 20 LEs ; 20 LEs ; 0 LEs ; No ; |GR8RAM|IS ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -278,38 +279,41 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Fri Apr 14 00:01:16 2023 + Info: Processing started: Fri Apr 14 01:51:54 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected +Warning (10229): Verilog HDL Expression warning at GR8RAM.v(22): truncated literal to match 1 bits File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 22 Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 1 Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy Warning (10230): Verilog HDL assignment warning at GR8RAM.v(31): truncated value with size 32 to match size of target (4) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 31 Warning (10230): Verilog HDL assignment warning at GR8RAM.v(36): truncated value with size 32 to match size of target (14) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 36 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(109): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 109 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 117 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(124): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 124 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 111 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(119): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 119 +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (8) File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 126 Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nNMIout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 541 - Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 544 - Warning (13410): Pin "nRDYout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 543 - Warning (13410): Pin "nINHout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 542 - Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 545 - Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 540 - Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 539 + Warning (13410): Pin "nNMIout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 553 + Warning (13410): Pin "nIRQout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 556 + Warning (13410): Pin "nRDYout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 555 + Warning (13410): Pin "nINHout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 554 + Warning (13410): Pin "RWout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 557 + Warning (13410): Pin "nDMAout" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 552 + Warning (13410): Pin "RAdir" is stuck at VCC File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 551 Info (17049): 1 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 305 device resources after synthesis - the final resource count might be different +Warning (21074): Design contains 1 input pin(s) that do not drive logic + Warning (15610): No output dependent on input pin "RA[11]" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 56 +Info (21057): Implemented 316 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 225 logic cells + Info (21061): Implemented 236 logic cells Info (144001): Generated suppressed messages file Y:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 17 warnings Info: Peak virtual memory: 13092 megabytes - Info: Processing ended: Fri Apr 14 00:01:34 2023 - Info: Elapsed time: 00:00:18 + Info: Processing ended: Fri Apr 14 01:52:11 2023 + Info: Elapsed time: 00:00:17 Info: Total CPU time (on all processors): 00:00:39 diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index edaebbb..fc241c3 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(85): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 85 -Warning (10273): Verilog HDL warning at GR8RAM.v(261): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 261 +Warning (10273): Verilog HDL warning at GR8RAM.v(87): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 87 +Warning (10273): Verilog HDL warning at GR8RAM.v(269): extended using "x" or "z" File: Y:/Repos/GR8RAM/cpld/GR8RAM.v Line: 269 diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index b5b0a7b..bf9d3fe 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Fri Apr 14 00:01:34 2023 +Analysis & Synthesis Status : Successful - Fri Apr 14 01:52:11 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 225 +Total logic elements : 236 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index e37b5f298f3e3affa0ec22d1458eb90898a7e941..027fbc64de715547740a099ac85b9d79fa62c7c1 100755 GIT binary patch literal 7861 zcmeHM|8rDTmT$fV91*l|9kL9P)tOprX9Xh5AYu|rX4smkQQUG7r`QlFAuNMQTrsp5 zU*Giy(5W4yW@d{arV_^5a$KQ8Z%rmdY+heyEOA#zdPf&}F}%JGnvN#)duZr>>3)6h z+0VVNgSvmgR;{V(yu5er`J6BJe9pPA^T7Ibkw|1(WVZQzAAbK$`<5r3Y~NM$z!N)K zw&8c%_9wQqv}}8#=Ams*?Ap=RT2s4vY3yta4d~Dm+ z$SkbUKQ~0?{;Byx|N2kuk|H9BPmj4akhvcvQqT0H^mB9XRjx#QYJKI(T%-4Bb3u@* zYtGV8nGWU4t0o{WD{j2t&2w4te`{sv!I*fVB&zCJh zUM54xuU?1zg#J>FW1UYWjjyDjJW;Eb%d2(4grXdih%A|be?-G!U4;0(rKqnM_KyXg z@|3cPde6Z&*2NEM9DEF3QSzi>KJZCd67fYTiNAYGImh*V)$0jz2~h;YOi9Ki3-ufG zXQfM=WREWj2t|??Ox2Or2Yy>Duk2hPSaN`kwiCHA_+d@iMQ#*%j!jA_A$c7QP%@e( zlxpY~jg{0`AqN0*g<6m+U&{(-t&|NBzlQ3F`eH#G`O|eu)KWiZZg$$b#VddNM%0b|{nz#uXS&BGrxkAh>rs;* zyW#pDHqTzSaOFpDD7Ae@Wbd9?TaR6id|KDEMCtf)rO4fCv{*e$z1Q~8QzviTJ9!<= z`=GWY4=p@)4sx4n)U;;K+C33`;2MXXCw0?y?!9s>_5_LZtkkoYp zJlK$dH45I8b4oC!qC7`T^thm5zA2Q4`XZkOzVHG^$ri;q@XMi`V$kRQHXtU^G0?v} zMFMx=y~f8!$0NtdbJOFfUupV^W1bs1)|1z3?sq~eQ>MPJ<|m1IA7&C(&ZU&p{jorv z#?^Y@Ppqh5PSf(?|7b9C!GY|!!RKj+HX`5f6WOF7Py&8ztmGHIDvAl4 zsHtFccZ2Q4cas3qbzZbTOMT@v9q4YQf#auN8}FAaaS$Ro5XxKpKa9Ce;9H(LR0Hd2 z;Ro(_Wscj!>Y+*>YE+yqw+ACBb%lJVBxOv%e*~fN?bUZ~&8~g?zQNkx&B*@AoSMDr zsmXbp)#d9V+4wogN9u2TBs=TzIoH+x?ppQuobq0=<;1Ty&zo2rsS4{qc=+$KwBsKJ zBiZ@QTkih1{j_It-nVAa;u+P3e-fuP$DlWePnbU`3aG~%NB?v(Sm#s5;D&P4OH6spldj){eMa5d zpor4^!hUI7<+xnq^UD*GqaGT+gQhW|0GS7<|6-yrL$8z9?Y$NNUJs&}igv*xzMLdafUL+sWt3Aud%q zig_pvCARR@* zv%F0e`FBV)opT3~&X`}6+e#Z*va=~8;f&U|8*;yQ^W%=}xCmS}ANzlx(AFpArk`)D z*sm+Z?dZynX#Vl%hdy&yankj@psqKk(@f6FuTQFusz`4BgMKV>=i$gaR(Qgg3Zk(nX?+Mk)3J@DWEJh-z!^JX5Jzjv~)XJ!dOubt>gtk`t>iB6+$6@`;o z_&(Ec%@~as5RF=ndKQ0L=On4UJ`-Hggy{FP zv|F&4Lj$6Rc*)LH=ATEp|D&GuT;hg5**)7y*1<2W9|L}rxLuN-!m$>W2=-{d#!Y=l z+$b}-bXP+EAaT~z@l<|18b+q`=meyue47EyNr@i~ZDwGsm^-WN>0 zoBr;U@IEql6zuoO6><#mj^P|ZXd5 zUDLCR)oAB^*ZVSEiSOT@=rj6ypE5iewG+XpN?_j! zo!iGD+`(q(ywdx~d(hx`q|cujE5N+NS>9eYC8wh*uE=JisIsHzlSfe>&?^mat)Hji zaWzopBP0-S;8>_JB_JOU_0M9Unf({>|Mwz+Vf2)!|8qLG3wg*3sAbvSsz>ss|VSU>Ayhg{+ zZ=gRM@6gwbwi|@?BdH0c%m%FEh@Z;tAh8W<40!8@P5q9PqRj9E%vP;J;r#6FW|+Tx zz|==S;wjj1;J-rWgyto11N65bF!gn|L>U$5lr!(2ZATjA(cuiv={ZuSzk~KUoqxEj z^T_kVtU=KLaB>y?B?izRGJ6nX61k8hCR(xIQ~56<_s|>2GtEyLcW|S|ypnV>ss!93 zo&KkbhY#N@)i}9jLA5rms!wMo@-%7Uv#BAkMqL^i;Hlrx)AtqC_IKWKN0eN>Dupya z-;d$k{GkQ!GV%Ct27@m7*_t&Z(?i(=O+# zZ?4ZkzJX?3KQ?RM&%nQHtE}7p?3(k>F8V#>`OcemYyD#BU*;AL%zgy?ORal!ve-?# z79=B)%f$sZC$+v)TJV?I=VpHl{-vrG^>kJjmrUEYwt7M?=((cxC0)2MG`hZ^`FC%W ztvGS{57lF02~GnPE(+r%~^CR%G#U><`JPFK|sd5~G0g zpvV(O{wZ;<)If1vcdEbR_>YLV3w}!(H*)X_?*}A13H8T-Bf;OpNos5gn87CtQ&-1{ zD#T$4IIHA_M54FN=Ox}ud%&9vYNg1CLiu4)V*bP@1F#Q{7A5c~?MqJ> zc@YS%;NpZ=;7_k@wIFo#`Ezt27g-# z&xuoBA@~B}1jqSBe77RoJf4Amp7K(kKa1d0N!U$};e#BtFfld$A7AeZKBIs}utx6g7nIm!0&|=9T#8u^H9-Hp56_>qVwzu)tt#XDpXkVO zosXlb6J`3r`_wBf^#WK9Iltr;WR&)JG4CQ5uW#cbpT!8Uzdd2_fA)0q^otz}BjDfH ztGegU?f&@YX;l>U|06v>??OM%z8lF}ku3PPsLGn0c}?cZOQ&P1{-k_G>k{diIRwJqv!^(ne-a`p1-$@ovs z;HmA+S@6%>9c^gn^v`#`vm*L7zJF=b`Gfu?y#Kkc9{G#6#*V!H3+JU5`!b0YYxj3{ zlHNb?M7+~s<{yE7Sg(+GSLIyS!Y^`vQ z!k&zyE`q>9FVylp#ZlSHlR$G5OrLY_QUdeM;A%PY?lXb@+=e+4m!)1WqtAQ#IX>v< z0`s&Zm(KH8ilJ{0CtE#+^3P1!*ZmjkP#=NMLp{U+;EKLq62zP|?vLueE;2C+G<~M@ z!5g@ZOvDKNA1lTL!|$v3x?f;k0pG^_lqfP5+6(dVi6f3HDQ8#t-d$ZdXbR zIWzbTheuyb5zFtX$9-yaQ2&3R;@{`%r~LfiIA4A~rT5kK|3+RGM&{1E`X8Yh{U0H0 X>{mZuW#Fp}{6EdW9P_{8Bme$CHk3_{ literal 7861 zcmeHMZE#donr=h_*5GFRV1%)Nth4UFkuPxunb3|O z!7V|o5m|Q%#thTxa;sK%%SobAkO@7v53B}fl_cY=ki~HC84)`RvF|0s?n~dBbKZT< zxt+lL+MoMlh3Y={p7(v9^M0RmI``lAgIFv!B{toB--GW5S{~W3vE`|{`!}p_T!ZiC zbsHXOY+SRUZsnQ{Ppxlms#~<|hDA3lth=>k{ZAjOyXiX%>lQ9suz2x;#S0fLtNZa? zbw66aaZTMFkFDPb-q@E{r4=sapB)ATDb7GrLn28%P{p|)5i51f4b(e*fj9y ze^FjzoNdzdEvlk$ZG)IZLX3ZGrmF z)2pTN?~Ex@N-i0rvY|sB7{C*X`DBw9;Sw=}!zS@bfypKxbZPXOlr|~D&t&R-zw4rIaz!Pw08g zPm)jKYdyFO0YVW8^W)C1>OFPS^6j3d!`7Gsfob{%+?n%um+Kz}SMCPZzuc`lspVet0f(O`XxN zp>*WP;dN^ZeMf#h(6njZQypV%AHBSH`A8-^r>ei}?mpwXMfZkYw~$|!dF{X+C)aa# zM^EG*gRk`$^Zhc%2q{I(?=w&O9r|ni^nBUm52f_bnROE8~hPIjeyRgIJSZ=ttQYW{A!6IEzM;~QRh24-iat* zz@zQ%2m%iMR7QH$b)i?tw0yPxbU*f*5RVY+3AyiNNLkVFsA>t~k5>I+vr94qZU-CbbkAL*;ii>cU_ z^0)6C?$Y&Bii1Au;aKm~kA7D3aAoa|%KTeyh{fKnPs}&EC-du3iGYvU5s#OKenb+h*2pXhHqmdHWZ49N9^0 zfAs8<%-ObA`u8p$wr!h5`ZwwN>o2;0(d)V^mSq0rouBvbX}fL5_U&eUNy79G+sY=* zK0zm>wkygPZ9nMvFJW+b(4}JNhYlgXxt;|b!N(%1%R%w4Y27H8eSd{w%8Ycfl@Vsjx)HL=N&{8TD6^K+yVSx_opU z|+JE0LAfayCAC?4TZ{7~@5*-_MYRIh_~UPED6x z9_kzY#Fn%l&PM%pn|Tq*7cI%L-XKU!H5>E3G<4|X7##3*4~5cIB26Ke#91D&wqOPy zutHH;X?;2=8+Ld_;YcuqRe2^`UH;oYlmbMF)39c*t}i10oA^@3^SCReWryKY?LzVf z=v>u9HyxHj%vUex(BH>;g7kYt9~Xru9{;TV;0aoL16B6yLw(ONss$SI1|sEmEAVgsffdk8!;D&?3}3nmXBXkSTJwfj^zUbhv%douqvZ$<@HO?&fU^x>}!xmP8??G zLhtP_WpBC{_4QfiqnDG(;Y^#Ii2UdF?><>@i%m^O3vNDVzxJCw&UM$_`t2nzMt=5X z*q`IRv~B+;V##`P4DN;c)8|yzw`qiw5M*Pc!FkWbGx9HY&GMbD@70Q`o=s24^Gn01gJ9DKeEa6TcAnR&fGp}sV3gXUv=2=$LRFv#&}Y^H=+ zm+QJjlAUKm;HQT;{;vyN`X`@B^M1sdZFupS63O>MJXXjiG;yV zGfp->m?w)#2@aOQTmSyCX#Ym7%f`5&n>zCQpat?2VCWF7s$Br5T3=XS5$6`LlnXh+ zIwK+S2k#mm9ZAs_%*KhcA79vC!*UAp$-yW-fp(YnUyuVo+Ls{rIQbTpO@1{}fb$-$ zuyR7U10z%lOAK|WWS&zV_?eQ&%Yu)|9;}yc=1a-@wd#VNDm3yqPAa|sSXy5+EyPXt z&(I=o73u`~!uk^QhPPZA2McGb(Q{#M)&6Xk;yF|U77aLu3Fxm1ev*;?H1M}&I+5=9 zhx3&F`v%rQ>q>~XQ>1f--bXifxj$YYQz;sADNr)7;_c@GKgIc~D1TAsUE!OO-cOWy z*V{+sP}sDCDj5}>PgCW=+Ct@$*>_BRZ~DBaw=dj!{?@P8Or_7Jsgkj;fd*>bmTB1k z-V5hFJ!5I_)3+@=eCX`hta-D|`BMhBEH2!)_PY-r0_)qm0cAs<$#iq`Td+N76_P>62j+5Ja_l`Y#jQ>)@73s9%-Xr6mqyMpb z%pxAR$Kf<#{GU|H^La;iC=|Rd!x6`A;Zh>F5nX#5>)48wPk7iR5CL{EYZQsU>rfbX-JiL*&?3EoApzgk6ThwzDU3ql-BcO z0M;X?B=k-d6GEsJIDblFP9F21Mf5E4Nl+0%FY_dmDqd0!_Qxj#&V`y!CY7L}eOX(q zXc2A^`S&`%NPY3e#0{k*Yr zg{9xk{YXgVq5iyxp2E(i*gh)mgMa)3?fZxg?uG{~8R0(|a4LHt9E*4K9N>1R)4Gny zy)2FiOSO=PCp0iHzzx(As89*<6Nr-<*YzbsC+s4Naz_4hmWKaRx}FkB z_c3YVJd|&hURSmLd|jWk8FxbTM}*$sJ{2-8uGUO1jUGJI;q6$R7{NTd!sIng-)PNw1Up#OJszrS|s zSn>OR?1q{+e;%58a_jS3T9zI8T1{&9l~?@NluK@%zVj_PYuy1GP?`#)IRMLSB%LzodkZ zQEpC3av=L8)(gW;dYPKg4{?Lr#P~xbtUxrY6h-I9T|{YzLc8LT_ybB?iV*c8 zhbQi@pFZP>0JfHtAf@*=U^>4Tq8_36CwRts=pX1p6zcj?qtwhEKhP6e-9-`YKS|?< z%|0apLZgGd@QcLy%J>Ji1qq^2#_1e+*?n@LE3Fxx!X^uB#IN%l35KTAtiH&)MN019 zO?%E)sEKL&Bx?>;ci8#)8;tL#GnJ3%KR$eX&YEHS#GsLv+$V>95pG75KHw}~{|RTR zps4;^Ix~nKIIuf@vUPBCW97paYBIIooA%#ZdS4zKl=*AA=KlVLmSw;D zSxxFUmmWWV?exFC^6Jr9Q(jcYz5{=C$f1QbZC9VFz4g&oXWn!E=ed`MhKi}=o?~d) zlxev8^1}SLKHc~6sq7`!roU#LPpvCoIeT=@6)&3pf_U0EZ~CY|Z*4LjZyPd#j>)D>ox>#8CS zOWHn3sT}qf^v5&ki+m6I8@a2Pv}h>uPZSTFj}QK*j zcs^?|c@awapA+&_4v87lp4BrG^tKkPe_Urk&Uro?C3j}b(P~&?=^d?2C zeEZ8ue8e%N3ih}Uh{S0_r-2Ld6F8Inkk?+S&d0OEzZ@V|+Pnhu1H*m#?*pJ|dSusy x_y28vg8tPQ`S1VQ53^%4FP;30P@VpZ5Q6&Ezpp&-l?VQxdSHh6Tk#_Ie*iCUkJkVI diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 9286281..d04544a 100644 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ Timing Analyzer report for GR8RAM -Fri Apr 14 00:01:43 2023 +Fri Apr 14 01:52:20 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -93,7 +93,7 @@ https://fpgasoftware.intel.com/eula. +---------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +---------------+--------+--------------------------+ -; GR8RAM.sdc ; OK ; Fri Apr 14 00:01:43 2023 ; +; GR8RAM.sdc ; OK ; Fri Apr 14 01:52:19 2023 ; +---------------+--------+--------------------------+ @@ -112,7 +112,7 @@ https://fpgasoftware.intel.com/eula. +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 86.99 MHz ; 86.99 MHz ; C25M ; ; +; 73.38 MHz ; 73.38 MHz ; C25M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -122,7 +122,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 14.252 ; 0.000 ; +; C25M ; 13.632 ; 0.000 ; +-------+--------+---------------+ @@ -131,7 +131,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 1.394 ; 0.000 ; +; C25M ; 1.404 ; 0.000 ; +-------+-------+---------------+ @@ -140,7 +140,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; 33.179 ; 0.000 ; +; C25M ; 33.394 ; 0.000 ; +-------+--------+---------------+ @@ -149,7 +149,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 6.267 ; 0.000 ; +; C25M ; 6.052 ; 0.000 ; +-------+-------+---------------+ @@ -168,106 +168,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 14.252 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.415 ; -; 14.252 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.415 ; -; 14.252 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.415 ; -; 14.272 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.395 ; -; 14.272 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.395 ; -; 14.272 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.395 ; -; 14.313 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.354 ; -; 14.640 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.027 ; -; 14.640 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.027 ; -; 14.640 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.027 ; -; 14.716 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; -; 14.716 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; -; 14.716 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; -; 14.716 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; -; 14.716 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.951 ; -; 14.718 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.949 ; -; 14.736 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; -; 14.736 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; -; 14.736 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; -; 14.736 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; -; 14.736 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.931 ; -; 14.746 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.921 ; -; 14.746 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.921 ; -; 14.746 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.921 ; -; 14.758 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.909 ; -; 14.841 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.826 ; -; 14.881 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.786 ; -; 14.993 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.674 ; -; 15.104 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; -; 15.104 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; -; 15.104 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; -; 15.104 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; -; 15.104 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.563 ; -; 15.210 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; -; 15.210 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; -; 15.210 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; -; 15.210 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; -; 15.210 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.457 ; -; 15.303 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.364 ; -; 15.404 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.263 ; -; 15.629 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.038 ; -; 15.669 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.998 ; -; 15.686 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.981 ; -; 15.695 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.972 ; -; 15.762 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.905 ; -; 15.840 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.827 ; -; 15.967 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.700 ; -; 16.041 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.626 ; -; 16.139 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.528 ; -; 16.203 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.464 ; -; 16.253 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.414 ; -; 16.354 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.313 ; -; 16.389 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.278 ; -; 16.467 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.200 ; -; 16.527 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.140 ; -; 16.563 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.104 ; -; 28.556 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.111 ; -; 28.715 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.952 ; -; 28.895 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.772 ; -; 28.900 ; LS[10] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.767 ; -; 29.059 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.608 ; -; 29.239 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.428 ; -; 29.252 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.415 ; -; 29.535 ; PS[3] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.132 ; -; 29.596 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.071 ; -; 29.602 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.065 ; -; 29.687 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.980 ; -; 29.698 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.969 ; -; 29.729 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.938 ; -; 29.734 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.933 ; -; 29.770 ; PS[1] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.897 ; -; 29.811 ; PS[3] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.856 ; -; 29.837 ; PS[1] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.837 ; PS[1] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.837 ; PS[1] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.837 ; PS[1] ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.837 ; PS[1] ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.837 ; PS[1] ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.837 ; PS[1] ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.837 ; PS[1] ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.830 ; -; 29.841 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.826 ; -; 29.878 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.789 ; -; 29.892 ; PS[0] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.775 ; -; 29.926 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.741 ; -; 29.942 ; LS[10] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.725 ; -; 30.003 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.664 ; -; 30.027 ; PS[3] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.640 ; -; 30.030 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.637 ; -; 30.058 ; LS[12] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.609 ; -; 30.073 ; LS[7] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.594 ; -; 30.078 ; LS[3] ; IS.state_bit_1 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.589 ; -; 30.094 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.573 ; -; 30.097 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.570 ; -; 30.099 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.568 ; -; 30.100 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.567 ; -; 30.101 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.566 ; -; 30.102 ; PS[0] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.565 ; -; 30.168 ; PS[0] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.499 ; -; 30.226 ; PS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 9.441 ; -; 30.249 ; PS[1] ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 9.418 ; +; 13.632 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.035 ; +; 13.741 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.926 ; +; 13.741 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.926 ; +; 13.741 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.926 ; +; 13.857 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.810 ; +; 13.907 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.760 ; +; 13.966 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.701 ; +; 13.966 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.701 ; +; 13.966 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.701 ; +; 14.016 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.651 ; +; 14.016 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.651 ; +; 14.016 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.651 ; +; 14.028 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.639 ; +; 14.032 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.635 ; +; 14.137 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.530 ; +; 14.137 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.530 ; +; 14.137 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.530 ; +; 14.234 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.433 ; +; 14.241 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.426 ; +; 14.265 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.402 ; +; 14.388 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ; +; 14.388 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ; +; 14.388 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ; +; 14.388 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.279 ; +; 14.430 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.237 ; +; 14.497 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.170 ; +; 14.594 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.073 ; +; 14.613 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ; +; 14.613 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ; +; 14.613 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ; +; 14.613 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.054 ; +; 14.663 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ; +; 14.663 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ; +; 14.663 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ; +; 14.663 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.004 ; +; 14.784 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ; +; 14.784 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ; +; 14.784 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ; +; 14.784 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.883 ; +; 15.031 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.636 ; +; 15.061 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.606 ; +; 15.151 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.516 ; +; 15.285 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.382 ; +; 15.309 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.358 ; +; 15.415 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.252 ; +; 15.445 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.222 ; +; 15.454 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.213 ; +; 15.533 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.134 ; +; 15.625 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.042 ; +; 15.761 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.906 ; +; 15.775 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.892 ; +; 15.779 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.888 ; +; 15.879 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.788 ; +; 15.981 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.686 ; +; 16.027 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.640 ; +; 16.325 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.342 ; +; 26.372 ; PS[3] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.295 ; +; 26.645 ; PS[3] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.022 ; +; 26.748 ; PS[3] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.919 ; +; 27.318 ; PS[3] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.349 ; +; 27.398 ; PS[3] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.269 ; +; 27.575 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 12.092 ; +; 27.762 ; PS[3] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.905 ; +; 27.848 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.819 ; +; 27.951 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.716 ; +; 27.965 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.702 ; +; 28.136 ; PS[0] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.136 ; PS[0] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.136 ; PS[0] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.136 ; PS[0] ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.136 ; PS[0] ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.136 ; PS[0] ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.136 ; PS[0] ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.136 ; PS[0] ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.531 ; +; 28.177 ; PS[0] ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.177 ; PS[0] ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.177 ; PS[0] ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.177 ; PS[0] ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.177 ; PS[0] ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.177 ; PS[0] ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.177 ; PS[0] ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.177 ; PS[0] ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 11.490 ; +; 28.238 ; IS.state_bit_0 ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.429 ; +; 28.341 ; IS.state_bit_0 ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.326 ; +; 28.521 ; IS.state_bit_1 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.146 ; +; 28.553 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.114 ; +; 28.601 ; IS.state_bit_1 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.066 ; +; 28.633 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 40.000 ; 0.000 ; 11.034 ; +; 28.770 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.897 ; +; 28.895 ; LS[6] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.772 ; +; 28.911 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.756 ; +; 28.975 ; LS[3] ; IS.state_bit_2 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.692 ; +; 28.991 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.676 ; +; 29.031 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.636 ; +; 29.044 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.623 ; +; 29.102 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.565 ; +; 29.114 ; PS[3] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.553 ; +; 29.152 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.515 ; +; 29.158 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.509 ; +; 29.160 ; IS.state_bit_0 ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 10.507 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -276,106 +276,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.394 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.615 ; -; 1.403 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.624 ; -; 1.410 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.631 ; -; 1.411 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.632 ; -; 1.420 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.640 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.861 ; -; 1.695 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.916 ; -; 1.815 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.036 ; -; 1.835 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.056 ; -; 1.927 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.148 ; -; 1.937 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.158 ; -; 1.941 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.162 ; -; 1.972 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.193 ; -; 2.076 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.297 ; -; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; +; 1.404 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.625 ; +; 1.412 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; +; 1.419 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.640 ; +; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; +; 1.646 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ; +; 1.650 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.871 ; +; 1.674 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.895 ; +; 1.678 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.899 ; +; 1.698 ; RCKE~reg0 ; SDOE ; C25M ; C25M ; 0.000 ; 0.000 ; 1.919 ; +; 1.799 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.020 ; +; 1.806 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.027 ; +; 1.831 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.052 ; +; 1.836 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.057 ; +; 1.916 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.137 ; +; 1.929 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.150 ; +; 1.951 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.172 ; +; 1.967 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.188 ; +; 1.968 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.189 ; +; 1.972 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.193 ; +; 1.986 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.207 ; +; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; +; 2.107 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; ; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.120 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; -; 2.122 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.343 ; +; 2.118 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.339 ; +; 2.124 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; ; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.136 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.357 ; +; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.134 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; +; 2.137 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; +; 2.137 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; +; 2.139 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.360 ; ; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.144 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.144 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.147 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.368 ; -; 2.153 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; -; 2.170 ; REGEN ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 2.391 ; -; 2.222 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; +; 2.150 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.371 ; +; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; +; 2.212 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; +; 2.225 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.446 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.230 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.234 ; RCKE~reg0 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.455 ; -; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.231 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.231 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; ; 2.241 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.246 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.467 ; ; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; +; 2.249 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; ; 2.249 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.250 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.250 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.251 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.253 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; -; 2.254 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.475 ; -; 2.254 ; Addr[19] ; SA[9]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.475 ; -; 2.261 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.252 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.252 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.255 ; IS.state_bit_2 ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 2.476 ; +; 2.255 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.476 ; +; 2.259 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ; +; 2.260 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; ; 2.261 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; ; 2.261 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.262 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.428 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.649 ; -; 2.500 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.721 ; -; 2.548 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.769 ; -; 2.558 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.779 ; -; 2.569 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.790 ; -; 2.574 ; IS.state_bit_0 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 2.795 ; -; 2.584 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.805 ; -; 2.622 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.843 ; -; 2.702 ; IS.state_bit_1 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.923 ; -; 2.717 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.938 ; -; 2.718 ; IS.state_bit_1 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 2.939 ; -; 2.719 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.940 ; -; 2.721 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.942 ; -; 2.782 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.003 ; -; 2.849 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.070 ; -; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.264 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; +; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ; +; 2.273 ; RCKE~reg0 ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.494 ; +; 2.273 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.494 ; +; 2.293 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.514 ; +; 2.425 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.646 ; +; 2.495 ; IS.state_bit_1 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.716 ; +; 2.559 ; PS[3] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ; +; 2.627 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.848 ; +; 2.636 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.857 ; +; 2.676 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.897 ; +; 2.838 ; PS[2] ; nSWE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.059 ; +; 2.842 ; PS[2] ; SA[0]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.063 ; +; 2.842 ; PS[2] ; SA[1]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.063 ; +; 2.847 ; IS.state_bit_0 ; FCKout ; C25M ; C25M ; 0.000 ; 0.000 ; 3.068 ; +; 2.886 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.107 ; +; 2.933 ; IS.state_bit_2 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.154 ; +; 2.937 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.158 ; ; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.954 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.175 ; -; 2.955 ; IS.state_bit_2 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.176 ; -; 2.956 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; +; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.955 ; IS.state_bit_0 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.176 ; +; 2.956 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; ; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.957 ; IS.state_bit_2 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.958 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.959 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; -; 2.976 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +; 2.958 ; IS.state_bit_0 ; nFCS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.966 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; +; 2.971 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.192 ; ; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 2.976 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 2.985 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; -; 3.037 ; Addr[0] ; DQMH~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.258 ; -; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; +; 2.982 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.203 ; +; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; ; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; ; 3.060 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.067 ; Addr[8] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.288 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -384,35 +384,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 33.179 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; -; 33.179 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.488 ; +; 33.394 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +; 33.394 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.273 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -421,35 +421,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 6.267 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; -; 6.267 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.488 ; +; 6.052 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +; 6.052 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.273 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -458,7 +458,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+----------+------------+------------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+------------+------------+----------+----------+ -; C25M ; C25M ; 1285 ; 0 ; 56 ; 0 ; +; C25M ; C25M ; 1291 ; 0 ; 56 ; 0 ; ; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; +------------+----------+------------+------------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -469,7 +469,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+------------+------------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+------------+------------+----------+----------+ -; C25M ; C25M ; 1285 ; 0 ; 56 ; 0 ; +; C25M ; C25M ; 1291 ; 0 ; 56 ; 0 ; ; PHI0 ; C25M ; false path ; false path ; 0 ; 0 ; +------------+----------+------------+------------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -514,10 +514,10 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 44 ; 44 ; -; Unconstrained Input Port Paths ; 390 ; 390 ; +; Unconstrained Input Ports ; 43 ; 43 ; +; Unconstrained Input Port Paths ; 407 ; 407 ; ; Unconstrained Output Ports ; 45 ; 45 ; -; Unconstrained Output Port Paths ; 136 ; 136 ; +; Unconstrained Output Port Paths ; 152 ; 152 ; +---------------------------------+-------+------+ @@ -552,7 +552,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[11] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -657,7 +656,6 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[11] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; ; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; @@ -747,7 +745,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Fri Apr 14 00:01:41 2023 + Info: Processing started: Fri Apr 14 01:52:18 2023 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. @@ -759,22 +757,22 @@ Info (334004): Delay annotation completed successfully Info (332104): Reading SDC File: 'GR8RAM.sdc' Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. -Info (332146): Worst-case setup slack is 14.252 +Info (332146): Worst-case setup slack is 13.632 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 14.252 0.000 C25M -Info (332146): Worst-case hold slack is 1.394 + Info (332119): 13.632 0.000 C25M +Info (332146): Worst-case hold slack is 1.404 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.394 0.000 C25M -Info (332146): Worst-case recovery slack is 33.179 + Info (332119): 1.404 0.000 C25M +Info (332146): Worst-case recovery slack is 33.394 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 33.179 0.000 C25M -Info (332146): Worst-case removal slack is 6.267 + Info (332119): 33.394 0.000 C25M +Info (332146): Worst-case removal slack is 6.052 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 6.267 0.000 C25M + Info (332119): 6.052 0.000 C25M Info (332146): Worst-case minimum pulse width slack is 19.734 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== @@ -784,8 +782,8 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13051 megabytes - Info: Processing ended: Fri Apr 14 00:01:43 2023 + Info: Peak virtual memory: 13053 megabytes + Info: Processing ended: Fri Apr 14 01:52:20 2023 Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 1e79f4d..ffd49be 100644 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,19 +3,19 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : 14.252 +Slack : 13.632 TNS : 0.000 Type : Hold 'C25M' -Slack : 1.394 +Slack : 1.404 TNS : 0.000 Type : Recovery 'C25M' -Slack : 33.179 +Slack : 33.394 TNS : 0.000 Type : Removal 'C25M' -Slack : 6.267 +Slack : 6.052 TNS : 0.000 Type : Minimum Pulse Width 'C25M'

  • Jz{XT4`Orip;d3HRp6{p-dIyU5)IRQ;G%lHZ{vXM(#(BET^OY1(Yv6|3W2X5_j0`pp9!#`c|tp3Qf;>v}F!5j^YE=ErJ zaYuH0~MZ66{j#*hGecgZ1EXKhRszcBLq5bCSSzf=ASI|%;0 zNi&uX$P1(Rkfsl1h%%-FnlS_%BL;+Ypuq?_GjoSHa0}h~IKUTR4r9g*qLV>NC8@Ln zf9Af8myoQc{ORCGYrK~EcvhYHLtONI@I7r0&m%IAudQVw<8>~=lX8q2MUN)m6REjA z);KQneHA@C&i;wI#K~j-xMqfRQS&|aEw?TT#_nX9NI!MBNS|Kkw}vt0E1;4o+}c>- z$0%-f>`3dEL@M+_Tjq3_m@SJK%e zmqcR;wNN`T3r-du5{&Vghf>LyDsXkw`u+}aEhf6s$l-$9w7!o(8*veTHZ2UL#!>p- zqg!7B-%l_B(3Hc8e$lN{Fwss%2x-=uK2-6x>brw(y$k|q$HrlX{=Anq?@M5J=-R*< zqBYhG;H#00VprK=RC}*7hmKlfx4`l+6k1~#1=nkhZDC6=E5RJ}SW<9kjDQi^6sv;h zO=#WfR3v?vo<{;#8ZEVqpnMUVtKn4WMb9^=zTa>>kWp|r3*T%I$*nc=c7bNVA#Dx| znP_7tWS;WNN2=91kNwxjJ5~eT_gUP`^y8xM?%lMmRyzCEp%vBw4ZiW7{bvzkOT>Y7 zl)TMa}nvDdjNbf(2Np5|M8(;l>8g7h~pbI$b%P-EvwbYqM5K zFYeVi4*D{-?nCc~D#rF}l@x+RW+L?Lyt|u*HcDr|d{NkHsataz5@N(b=!ucGGu_Rv zMuWzz5)q4Vup9(vm0%=tRdN8xv!Ku;QHNWx0>U)kpiEu+D#y3etjZ%JaB8iRlIXh$ ziiBlG(u%Umm_F#ypot&1-37jN>q$E&ct_xtP}UttHmKi3$~yH5ZM|N?x&te~wSw`Q zJX;Adw!|($C7(~G*Ly}P90w9Jzscw~SJ zW-ry9LOUvbr_~xe0~)^|I%I4)fu3ZzLU7>Sx^+r44B&s}?TLfv!cf(^uhv*B2p}#( zqt+P0URCWx1z`ynIW!tN>^+{HAmEa=^R=*}drZEwG~;K=SCeyxbvvxmS}d0sxmgX2 zH6Jmb5>@{~A@AQ?;Tk*?cGM7kpGfk7p0o@Q_n*X+OTfMc#v(z$T2orSLw#?4EE7Dm94ben3^$ZjtF)B zj3HDzjY8g13$+D?_urw_aUBoQ;t7eQ^?ohXyfBnNF3ff-2Ghr!Do5U;Tc1V4Ke%w_ zT8F9qp+qvwb<(X1qxA<)sEtKChEu*eN+ngaO0qyDjls8837qH-tN>Sj6r7G5rgoxv zx#I>D)hfAwo1a-PPMY~!U}K&e`3bg!-0c~FQ7Y#ZW4r=RSQ+>OvV_$rfe`h{6;a> zUr!yHg5}L1t;?+iRAJ{$ib$5ix~`GW`^b3L0eRMB*{R%}v4Z@BmfBD7{D~MOUx&4) zHnCJnwMxspAQZogDL0FpSwOv3+@#7=ds=i0?7@9?@hbh1FESgGq5xf@+cZ zC-5Ee>r86ZNP$!7*isn{Z~L)qt{pY(B7w#1bDxiR19mkAn|Begs~y`$18*62qqd=z zzqa#57{4Qt5w>YOn*Q2!fodmgX8o;6d&s1jv5*nwPCl5v>#U?Uof(sOK7^@qTE}C) zb?ciADoCa&ULxM~GpxmbaZg%2Ma>Nc>p}8IILI*+zQ%Nml>oMl`iKsVxNwcBSf<1Q z*)-+55mu&QDC|=_p*=QlF?(aKF4kbCTqayiA@dN18iguNp_?oIa8oLoQB8r&cc2^J zzSHWyZ$i~2P-sIw*Zre4TKD}L*3uqIKauAng(dU15ALpS?c=_M@6_maI*JKfMp4z9 zcct$|n(uksi^*(zlY{l!uXt7=o559Vpo8(|jW`IZYt=t{+p= z<_E7RV?4)746<<5LeT)=1+`+>yDR6m$ELh)@zAL#mn#W{lfLU3#$S*eZ5FQcYSnPM*xc7zIo9h;Lt=P}Kf; z&><@;@=si&*?*i9Oqw}rb~t4T`$Ff67qq%-(xeXD&C@~~dGR>vzTvI)S%U|`1#1CU z#t$!OZ@-N8wJ$xaD@>ZimcEFJ>;}Qc^h^_J>M#{N7Bgw$z=L3B%MkN5X{B0*d0uF# z4M4*>7%}>{k3&0x&eQ27WCTCXGR2`< zEPp^_t5E2^e;gCxxsCxH7mp>J9-~@Xdk9${V5lwW0uCl^sKq zJ8ve;OQvX1f?gR$a}Q@N@$7al&uU?~=v7n{lmYtOjqx%zQal za+(w@N##bLJ83v;+a#){wXK z2B)|J&~0;wMTh(Bbz%nu>gaGDsrej?p=-)S(&^;^cFy~ot@}`O^eg4Sxl|=g)_1gFNg|a1_L4}7JmV`6>I+!im@n8h5+}5UY6~d!4RvI(&i$eaM%z@c@ zl@oAv&6u};%^1R7D$Up})`r;^D$!vt=kXW;jWlD;xViH?h-=dS15H^MVE25x*80OQ z3Ts&?emD3iQfCg$OLAK%Ck^fgU0N&~qf_g0)N9_T=#-!048?d0wN>046qxK#UIACu zl#B391TIZErpT+{vberws?2!kLC<2}guM37iTbczIEmTd%!%JTr(1C|+hKb2W8-G7^bs z)4ZR^QzEndB4uZ5m{!TpT+vLye>s(#52w?yx6z`6Ypry8kbH@7UjT^W_FG7aTU?@L zvpZQ~%(turKZS`C`mPreN_TVrC6vEqnadnF2edH)=AdN`Yw4`5&yGzrbDu*wSHujc zule?{zMToE)3VJcTGKI)eSFFaYo%66JU?y-y%?8%nn4v>-=d;*J6LU*Z%GEX0`duz zuKX^PvTjti){_^hB_gi`xDk|Iy;W>G#+D+osL@|bZ6_K=a1YL(yIa!bGAgxbrymS_ zMBg|0pV92WCJKA%$WreFZY@i_8CbJsDn!MIqQSH&jhfsaWTQH{00hoBY zrdlUph?zwT2_RhjW3aSd%7MZ8fS>eO^5yD4i+fZq_$?Ug&g7egkA+c(J~PA=U5!O@ z-$p5j*so<6g;Y}D?5ttYSQU&iYgMF{bc%?9{Bjkv9MMhMLaoU>(&ed5qSv5cb|bA3=$uW>oRHk*K6DG~0sg*)s zzOsLsfR);inPi^yu*sO25@oROoUCHc{Ic6RCUkMJlEr7n;mGM!9lx0tY`et|Mb=vg zh;rFH04g4yyjVr0t3=YV8W}BF-2uEJq63Q}#Qd{aAXTX{nJ(noYCYE)yNNn`QH-4V zJC$hjmddmoZMD>Peh$|1hDmdN4_inh`^FOg7J|{{F~ovZ74z`Kg>))JfoF2UF2Un5 zD9_9ycA6haWS>k`((XF^pw&owXa_*TA>vl;7SiX=A1VB1QR@`@rLp(fM8qZCH}xv;g2E7IGwf~(jU)*rR%Yg=oHS;I>n$DE*Qi|NF@kJPMvh}A`d zi*vW8pUL#F%tr-mrrU3f+4noczu! za1wTZv9;TfT4TmwhOApmFEd2aysDwr0nJ!aH2#tQIlp|IM|AkHAV-e?7#Y#+9G0w^ zH{X0J`bvQvHe_+Z+UV8~qSMg@+PU1Gab ztYY@id>gDFt&&Bcd@Un#(zcsJ=jVK;l8NV74VZEXnrVQy7D)Sb<}x}xS|#AFShn)~ z+ic2{J!jhLyD+zDb)~LLtD#rxIu9+|9(RvyO>$gF52s? zl}q!T5Hdf+{hNWcwo{pziR>G{6t;S3b9e*krhsf(ujxrsXR2_YSnHcpRJI02;Y?Y- zokqO=EQXA@CWgElx!4mJa*3S1DNdLQ_ft6k6ouwP=m~^}7CW|`Ci#7#=}GomBehgd zLFRvO;rLxXMY&oev`g|S}P z=+B!hc_5BP<52L(!t;2Zs^wkhXXja3%ljPo2?HF0qowOUh^ov|8cV^6=nlkPe~9I8 z6k%=72X=3u<_724y2>IE&EU=~bl;&%f3fCjp_b4$MuKPE=t{9k6cOvC^;G{_9phMT zP+qXlO`6sxlZ|=FCqG7vOXekuS!>4b&OtOXqss1UfmU3*VZ%Rv4VH)l@SmvH7k=p5 zx;0PN7J@OBn&Ir_A{ux$hn|LD>;)`g3*5lBb%<`=6efEaV(|s*#TsK6bP3$iz-~+s z$bW;Yz)J=o)AU8ov?!D-U{zVaW{eG0f!{{*&2L{*?)J?~Ert=s8Zdp4yWsfH4gPZe z73^V%fTNKn)&&T15<}GI+q#Dq>Ubc({5Kf1~ znEn-974!+Ne+4H2^uNLV7%aQ>{vA0#SO1sRNYEHy7!NU}!NHT18pe+4In)H?sC)ar55{~?w(4E`TtnE;+X ze{G7cSaJgSeK^g(k`@8Ta!d$)!LE-bgn#$^n(`W2YCDlDhQXM0?tsbtO&BPyN^q~d zU|!FlbJva;a9OLQ32v^lCz&sP{6xluBKG~b2dp5lf~y7pGt02roG!=q($n*a>;zj% zTGIu_#VwY;Qws69%Hz^hs_0a@o_v$Vi@WNI%Qt2fj4T_hO;m0C8|v}xK&!hRYQ}K4 zPH$8_W{1(eo_p!^+y~Y>tfiLerAO~(Zf7O}R6Ftm52Z|0{*?D(tA(fANU6O+%dIr4%x|s>LI=q!v8K3^6{!)@E3V z?B3Ul+DFYly?bj$Fi&P|*cu(&MZ;z!w($_^FTElgohqo+(M7`amJ zxv9J8^vJ~a>JzuE9NJo*fH9$O_vlwt;X@`nbYw3psm>h|p!Hj1do4Ckq*77O{h3o*Cx-$z-q#Ax|H8!VS^LWj+W=w}rHRTy69klLfjZODs#sau7E;QOo87gPBL5Q|Q zqY3-BvjnYUkKRi8>nSknNzu!Z$uSb4Mal0@kY6?hW~c&h0D*YwVCLC=oMt&$1bH7` zt(RPJKI+v#>j$;t*hT8AjhL}mmaS~$iH##|NxU?RmGp>G+=XHb#ol4G_FvgQr8&h}aW-(+_^=4H{ z9OR+tq1&zXT4PB$^caJ{UDsbuQmGdo>0a4J7TiE=tu~%Va3*XxUCRuktF6^?Oa@ko z*jjp?$eG)rmlq9o&=KPk6=^zH9;XQ3w;{h!$b84tiInfbbDVbHJyJI>J50n4KQD| z?QdkCQbHZ9h3ZA8g?c|@Q_oi2TP7g)Lu_er{9AgtFf%<)klm`O!FPb1pFsyZb&6D^ zZIfHmml=Op*&&GNSMnO?sQ=y+_K`<-t#-O~Q4TUjd=|V};ofn2)Hs3N=j;e8od(YZ znocr<-adzNRlG!5#)n$hwHstd53&oj<;yXY?#>etD(qZ*Oj!5@8dy5P7iE_3YM;)#z@Gk9wW&BuK2_Se)Ijp6tww7L4Ln;lENttLkJu9XrJ$v{^elo?r zf#QH3)$oVDM4$3nuC8E%_F!7DdgrjE`{pyVHY{AHdD<0VE!vvUDtm-sw zu0>6{9<%1?h&%@Kyo?A>T_*3L;=|+GcKz|*HIFX7da6syB}qNX*HCiJxbiFgHByNBxp1@KSCh zYg{Rz>gyZ~T&Z2}Ud!_vdc*YtWWEL5t)BNCE%x|R#eEUhH#&GoopzYTCOR~m3Ut0h z7%T>JJePHcBp@vlE7YmGsV^-%uIAr=aatpNzhLA}Z}gzk8azf?_D_%2kC8_0iyd%Z zlO}yjk)}Z;rPvZ6I~GQ8-y&2mIN6Btl>ei$)a81qHw~g<0xrxEwGDgwuA+8>l)J6g zdL)wBQdY*{Jo;uY75z=cbM0b=&AKtp!DO!8-AiLPC@`#By6p?(?ck=V_wJ*Q9~Ia& z+Z9~TWRhuALZRhtB?DDvqDawMX zN|0=5iFP#ec~@E(ILs>Pk`Aicu|1ksmkg(&`L|H^CaB)WvCo&W^O~{kka=Fv=iAyPjnhPaM)fsmli3sn z`M5~N`xv&0zD?wEdocz#|QQ4S{_?F|=7aJLE(~Sy0L4Cp4)+z>EWh4!PKf zI!BBavA9pL_p?x)$?%eo%Yp)@-cgTBi?c%I3HEFV^(uGe6!gz*pBhz3WL`lVau5sD z3_zXk^~zB4$s?l6JT>xuPTGQ_fw9?7_@2sLI}<>;_Rt?H4D-knF%W-1tPsW0epRVl z@&Nj@rm}YtD~dnldg3dwY-7rlt<_l+6!`e063c5=p@=098cHD2Y0a-2yLwh>v3v{NNKdn~mZgrz zv(l1jb*w~CGp=ncFb6(vhJVwDCdHa454dIPCBRuAunaJ`xvMJB%r{D?uEtPBUD?M6 z1ztR;NNcA_+X0r7AaH5YTJO){IiX4W0=uZkVa)0GI*=9w#-NCgBdlLF_!6l0huU&I z8cUnY@1c(k*IC;&xFfW|$pLTAskxCJ=KLUmtC|+-B*;7k2*}@~5cOQ5T+pSQ7l93D zF{E&`OHkm4cL{l&hsqQeRDIzn1C7n;}K2h-Shl3uhbT6c{H%p znf72k4Xyr)jO4woJQ~coScvf(cV#qqJcTwT-X@eE)RfO=v6#aVnWhiSL2YVHkM1QG z5ExE?yNH=VfhS%E33#)nJQf1Oen#Q0LPO>|b>CwUcI0`smU;5>^Q^WSJdIrti&&i4 z&6!EvS|20-=drzeB@06=b1~k;JY9i06zmk8^1F~arNZPau7U^EsQ(jD)391Ked_<cU_Zjm5Ic8tzE6X+YbatI%t@Dj3lzS;*T`iLM>XX78hJNkzh{i*o7e4v4L0^*qusQ& z^m1uYF-3P%e||U-KT8TRmzAqP-^OYweE*>NK8gJN2yn$S1L$bUA<_93sso^s9s7a; z-!xJBE~80feS{e2SwU{#x_y4CM_yh;%Mb|lAShomr3Q_A5fZ~DHr6eptehC zH@!FVOX9b-~K?aq47B*nF+kUxOdG z^R_=Zc-+kPL1d2IK63HH^|SUHx9*PBBOe`NO^;#8q3wbkwzS87sCid9>J0+-t= z^yJrl$A#2xB7*3?VT$em9fZl=4cLS>#oSXi!f5=?No%yBpXZ_ntid{ zlqw0EtT_>#mz(~Pg7c`yhQ&4IaWQ#*1FbGvQ<%;bP>&5=%5SqTsz(|v=I0LVbxG-) zH~T3|Ed#OeUMha}9`&&GxTlYYw^;aG?U7QQ?EF1FUXn}nZASx7#$52{iB)Jo^=VWp z#(VF|pchB{_a7)uXO)P4rz!V>S8XmtIhy~czJKJ%H14#bJg2tQQLwcf(3qxt+M?N> z*_yQO9Lk-mU@UH`CRBSzJ{t7*8f&1pPkmS9&^y}p{_Z&k@uZS1Z<|V2cOXXa^0=pH8_nQgU_*}nGc%` zr@K=&%Rp5?+Zy)dnPV_O7pa+zl9m1{+iUB3$#nnRfe9Uz?J;g;Es6q^TIPlNANIJB zNx(lN^o*?0i9RMNNz>}BwFc={a}d&I@n6otF6(K=j5qWoVv03P%e*;48o#E&FJTs3 zNTa5m7Y}vqTw~#>Y~8#x{`>!&8+`LQOW=)t%3Ahl$_rvKbh&Rtew$#nM;sG#Utcvl1yFU~5ryAf5A{~cvM?xc!It6z{$5+lGF}ukr(!`xuR{OvVzPH>A3GVH zQB1jc>+vKST3BrnN7rHz3t>#yaOND%I+=RZQDEjvF(x+!@mG@c9IR_A@J*JQEY7!s z**pabZK*@&4)he^kRlh(ZZMxiHV4;Mpro&}Q)u0_7Qeluke|X_>modK-<0Dtq+}$; zY#C~0)XS|Ipl=U6v}|xF-RQSjq?Q2oi)%6BqGj=Y^F5*Rc!nYSk?8eB(W!WI8kaP`$lOh#xkl8r<6>?a)g`~A zl1!O$gT&BA5`+lt1}lQkdhTKFh-)#1xyvj%=g_M`l=Dt;np|>-I2RmvUTUu%rwetbfmRPMKDEE2QT6b;8 zgVa+XV6p!yYMxuQp3el!dg>ME@&_(VP1=XdudFsYcr=7AA9CT$_`+`@wasATt@*f` za^X&jB|o>Ig^_Bp_By+kASbArUseh+{;vCyG9FBCci-IEO3$t3LC``NWi0N>{3Z4{ zs&1=CIWA*^K-!;>S|NTFqWo#K^X@6jT#zq=p&Wq5v?-phme{(Wjmb;e$&F*FO^)`I zDM@E5F>tz<3CU(FJc!PmUrm2aS!Lah*4km@PMudPX?fQNH2%U6D^xEO*GBSxi77F| zLMKr2+=_AtO;jSi$>OZzfz(UJgRd2Bo*V^o-7qDkWgofw5D=sEcCd&8Kubaa94MGtnt;|CT+2 zj``i|XViSEY7K0kUBQ&&I?l{JPpJ2*D$<&vj$$bq8V4oED32n=z%WV` zs-6QQQxd#eQN{sjCs&T325A-ehz@%V{TL#f+qHjZFUWhLwUdowG-eB^( z@{Pl<*0R1UjHYD;)ys&Rm=*D{#pre&WTW~1{^CI^kytS!9SD{yVk~)*{RnNF^_*r5 z-D#!LV0pH2iM_&@on;3VyZMjSTT8prW{Mtquv6KP4W;W6t)rub6_{(Q*1XiqaSZ{x zkpDVbUP6KQ@KXoxBTMN$l15GOF7yZGqBd< z3wBB4V?22k8fx@Lo8Hiu|nSKoLbBCpOz z6U#Gg4lB4DlOL%@SBb0dEa2%n2cl@mqw_7y`;x{6=#F9nj4pH6kfUJypKoiI@^y#~ z`@S|NJx&?b;My_pr*CU`s26W>gj`>+4lUj)(OSD26Zlm)uy5<=jLG_^FSsl_k-Qiu zt(H{7kyj1#$>@-M0CF3bkZFcWq1rVaAaPz z)~>bSI203ME}FHtwl<0}IFh&cB6s%j8Kuj9E378Dne!}}tCppjRFMC3JDU$ART z>md<`i)f6wmbww~@(nD?x3w$ON5F%#>7s!LYIA4`miv5-yp=Wwpat zYrd`dbTXyTB`&FG}LLcIXKFM$tV#$3{1;wGy6J*1$jz!bP;cT`<4V8)8)W+EOF6N@g+g|1pl$K)tl6KzV$!*K8P;rF(c7PbNfDw)>l!-mvUEQJm&~D zHgoN0l)H>|;izNcD8OhkchkA%klzSK77e*x7&HQbJk8hoP6=Xg4M0wk@oOJ*`)IcgsI95$Q<6KqX+ulAmRYg%JT zVGcJrPc^D`&uCW|RL`CiD|G-rYgCL?0pDf0Fvqt^YUhrqWH*`er!`7<&fER@g(05B zIJ-6;q4xa}+LP{V@jegbT_XJUCXbos(Q`*>z~N6ad+($t*!&=spLHU5Y&jW9^)ss3 z`wG1*@difv3PqkcH|bDBLc84Xj@HlITEr3t(gIi|hPh-E1t(N|WB0nuzk6Z?=B=~A zMh-qVCf9|8a=06g+w|@*Z3~&F={tf>hO53SvEj=cn4imq8230=bj1?4_c^PA_99*Z z;QjpgD^t=#)U9n|c`vpaBbU{hml#H>AvfHUeH2|hppXYKW3nWI)4a^ZV1CS|s}z)m z-?MP4$a;&k_CO-TAlZmvL+EUL^+G`xCQVw8f+3%S!Ce{ZZ=cxEz>aU8u~uo)cp@T{zA&x{;9jDAapvw^PXO;4Q^ur$yu6V#i(TSTeS4uX*9z2> z@4^^*0SaAZuBGd|^oNtBx@vuwhL>1SXwt}TbnilhP##a~yBA^>zYh^&G|M)N*7mzk zmBSiX-{{sd)0Rl{O}`N8K2z;pXUY_5gJ5POfjs}D5X!Vlfy3E8#F#Pwc>`p7rAK76 zABEJn7bgm~-f85GAx}S!OlHjbQ)%BjwaolfFYOP<V+(SxaBbS_-& zKX(~p2BVJ4PPHkFtjy{ikA}>c*!crLZruyE=J`E=?br2NyK$1iR%#|#koQ7s4w`&2 z8}h~Q>G5XoC&Gch&zpLHc?Ap&sbQ3yffrE8{%)UZ*TZLF1v`}|IYO_&{$To`36jgl-Kit?z2vyI7vA?%RQOnW_oJ^Yo+iw+&R)X=qw3z{RuAl5R;dMGznDXzU z7#8?tN#5QTt0yhL)I&_MCgT(@vACY|+>cS{Me5g87I+?MzJEtB9tNS7&e@Ef zH)J7Ixu9O?úpMK~#^;mSKMt*c@Cu=KT zbah-iabRIPU}8sWzt&hsZfCy4_`U4=ag=wTdg`!;850lX1FC`*6}t7Hcw?kY0?+A*&}4CHOLW zLEQH+Bz($qfW`zVFu0X*(t^09;)=8fXgw*geq21C{g zO&aEBRl>N3YZO8hPIxm@T+c^!>t?dQq@8Ik*8ZO#R9$BJoWZFk(XzC+2}ja5)O}|| z(2N9Qp(%c)%uSV#VV)&kk`Z`g0fk0Bv*T#1x^{!C40hUMa^G~oOgcaEBGv2u+>(Q2 zLE2o1Wi9ACvHUUp){)PRZMe-^u1P}`;|@aZiQ}uNC=ZuE5sVUqj3)T{lsdsCPVIzFxdcXs|P(^=7(vO1%Q& z>f2jq|ENtgd5Qu<-vY@9m5k<4V!j)`i8@AsJxrR!)Vqw=xB($KbyicS7dPY>;g!so z1Z+OCAjAlZWMsADO{DVemA<*QYRwM@c-uR22OZZkZz_eCjMUpmKY=GaBy&>V?MQx2 z)Nwvf*;=IU8Gy)Gr>zA!aw6^i6+r(Y{G~I^NkIWt3$0L@h|AOZ3s=9VNyleXo}}HZ zrJC{$U~D&vR0)Eq#v?^Jo@h$n^RN($4qW8pBK-Z6LyzDu7ckO3QS}jJdPATm1O@C_hS-rb9oQV-b z9u6<5hsdP+PG4n?l~*J8ip9UsBFfhF4i!$zr)g_1;dg)d@N%{&bD}+-77tV*7r{f2 zF9h-k_?YH<(9*@8Et+pZJ^^AG=SjhF?Inf%6mIcZGwP}jHz0txf}EH455Hr3VfDHlhI&5S2X!t9dDj`V0!{9 z98n(E2BcJSmE2#5dKb!U4{0;qN~3?}kQ)V#vvCpSY~L8OuqcqJSGg zYMQj~-;J{P^#z~n2S5HJ?>BR(PJ&BRJ6nXs^L2I2h5O@eDdY5&8AO?{QSu)`EO%>s zGr0c{5PGibG@2W>m2mh|Yc?h!jd!+%7@H7@mIm~so;y{*p2!v`q{V?D%Wess*0gF> z*CX)&y+>l!fWg9;aiJ77J?c;6C&ms~q}~4&TH{q}b7RVQcALSu?QuqPYo`{=A8-Rd zXy8DT(d73=CEzz%q0%?+O$#w_gnBevi_o^l$7SzdL(F0gT806h@E+rMw1=&5dpS6zL@9*pjY5cJGwq$@&?En`-cQOz!o8%z=gXt%c(wDChe_L}-5?g+OTaw~ zkD^6USuW#NFZ^oMhVlH~EUG$2CE$?Sf8=W!j-K}Lsj5-t?KWRH`5P**%dlZ<``lmM z8^0=H6rIq$PyR7r5tSVp+><*%~>a?#nuG&-l0R~Sh}wU=#t|nQ?=7d=9tyWH1!O0nTlP| z7*}HwWliL6wNlnXjMsSti_*i~#uOG$9eo#6go+ezo73vQ>qz>}Kd9g#5Gi!)2^EW4 z&-4a0FF04HcJ$Mf{?a-nzvCgt`Sd|xkUGhCJ_#Jxw6B13Gjci3hYuMt9Nzur-7|Q; z=pgT&L4N(3b5KaF+NKed@RHi>%xtS|KeZ6j`ooL;Zj2!R7hbTJD!H5VdIUB9qQDJV zQD2#Z-l3AP)L2h!9oTr7mlKHApL?;iWt$x+QyHA3$K9uz{iY0|F()2}1>>9;7 zd(WI#1i+dHjS8kV3AWJ3&t2urdGRp?hF*FM7(oR#sF?*~jX;LEZjk-Qo^t zGMQ=zGU65LPI>027YZPAA*~()!vg-xT-v`o{k>L!YqL;gs42;n%nPWaqhh1KyLtGC zUUhAO1M?Sycu(W+-_yeOIjGOe&elOq`8M#>9rX2_JeDSQx+#>i{^enzQ64CWCJcrw%#Qss%4ZD8^(b)_cs8HRZ<3 z+tzX2w>?kWls{|O-wZBO?y)2~$XxCErLm~o?6Bh)AjG+XF2R=%3V`)7Fr-K1?){6b25b+H%$4YkxVvM)Mvio;LW-qYg(dF%^m!>l;G z2qKm`pNTdeVX?OSy%{vjKbFixF;EFX-r~^o->GG51wO(Ai=}$OL0do4se5_sHdn4# zJGA@rW3~|E4XkB;+pBcvQ$jgACXzKKeb0pO`BW3bXqa{|rAniwOnCY#$o~ddP7dl{ z@(Mj2k=~wk`>pi}_JOMmLRw|6W5(F^mU=zOWEW^W*(#v>&Ic>Jis&#t%{*H6b{ozA zv$3^`RU+0xh?f?Mhl_^N{v0YXfj$NO8R+{0isAEyQ1!hkcR=tE(w4azd%68GC25x4 z-aoOEwOAvs1OM5JI+t6HrmT-u=-~rrlqptbiI}oqdi0R1^wKYpeeU!}>wz}KjUc)c z3^`T4b95kmI{u_g%m6sB@GS+ugcD-sUdqR1r!6YSeQgNHNQoHmDCZE$8ubaGZ+MMMk|KU+6JqfCUx<q8kG-U*i zhiyVR!1))JPQ@LdJn zBK{cPu3bu53#zqT@Ga|We{mOP7KS<>&P=5W6O~vr;o<>KCJ3qT$z}AQuL3vHrq~V= zNerP@TXvE@JxXZTxja}-KovW03V9|1W65$aq|Q+qb7kHZ;(Lxc(VC76X;3bu&=5UH z7C~Mx-jC_)_3<#x2u>+yYW%A0oZA{WGK=3;JTsEsJy3xQ3#KBL?&$U?V!jT!CFX`( z$#rW4S92PYwxewpQpH0_MCRImjWBNK@QaNh#veVysm5;#>`LtmNV@}@C|+|R?cSxp zoX-b~ArHjLdN5WG^#Gl6z;YR&MJ&reIXZCs%$c<6!)_TQ-H1FA5-G}k8MV7Fr9lby z3K-ErG`0hGa_a2Cw=?-os67I9gJw2HYv34Equ{8eG$5U7U5Qx{(r#cJn+v#sdstW#Fcfy*AOn2k+>Tr;JrZyTMQB z`w1%h_3lBv)2hJr1E-R^5A%-;5It*vyS_)y25x&XHag$;|p}KrWW&3)XBKDVa1!wC@Xc{T5IIJH4ZkCg?yK>Y03E zeRR^WAGuTzgRrpb;)WE3JZx@v z>hM3~^}|(US6ASKY+VwW_yboQ@1olO9ke2D1y@&I9HC_lD7TtrYky$8%w< zIYQc4Ait4*D%n-9QR@aHWe2$+$49=LK$y#qGsaWNs5d3tndD^@@Iq?8MKN}j zRU*irBIziB^n)s9vInmH*|y7VvAQ$m5;WtYZ(uOWuh~!4vZ*yq*O=bJOCF)lfeQO6 z>ATeblDDKnookH`@O!DMHF%cE-XHLvC*0aNS@-~@tfjz}S)t;ga_)O}IIxy^`UNAc zH}D-?1);HLkec6v<+N(Tb^77?Y-^J12dFb2iSmv&!|93VG`(#z#CoF50Z^j}78ir~ z)YpyAqOv{q(8cgj?-k?B^}t>!c$noYk~bC9han$CT-$}hw{+Ecxk~7_BnVY2_FRdw2MCt1h>etY2sZBV0p8SFoau$`cmbq?XvNrfUWlgNWXf2X!#;sMw1{p6<)&>gf9u>A0M*0{7 zsTND(?OUvcIwAW3GUqdW_=u zwN&M-3YxA^F%mi5_@B|O=2{B9qrk8*5o}vO?)VTo?;5s-`i3iTZ0=j4VlTwCd}ytW zsr!(P)(RawPQZ>@qsa32UV6A)QH}vx3|V->0hnf@68ovxS`|DXHCL&|N{D5^t$p-q zm;%cHBrVHtf0yj5p2W_egfW99ARA-3?FpCyJJBb zK7yNeZu19?%9qIgTz7_iRap$VG@~&#z}WYHH;ek+Qpuq9A@#1%XyB+CV^q1mScwpM$DlVLURYUYbV>u=``w%0%z1wahM;w|0*OV z=S!Njqs>rh?J6`aqjEk#XTD%a2{cbJ2G^5M2V~>uhiP*-IWi&s|;b(2x(O;$0$7d(g1(lCtK(2ga!j>oR6*qsct@}ry1S%`;q8 z1*I-N;GFjpfb!qxjHKAfF3V;Ld@Wv53#k-@;pjz^w$wY1N@I7iEJdiRkW#%tSL3t9 zv+dy?d;5}s)=(`}PH=fY6D)Q2iJ`P{&35??b|mvH$$wY&KI0@3vRSWwqws5LZLbvT zNQ`L=d!LaXnUSXa?5Tbh4)Ff!O7e3kEq=3&F!y)QZuQn_aAhRTe5U69$@QFw52)gA z1R*)ll(&91!pf}$F6X+%gJnj3`kh|(R!-#6hRiR>@;4h`Etg&-pz=Q~6GKLF`y5iQ z%QwzOmYUI_{YtH&C>BUD;#7uWHatVKiV9omV!!XzHfl#QlM|T`984KJ2h?T%tDp4rW|Z- zIa(h${U^nZmDJu6(#=}T#w3wxC9~jE0w2wSxMi?62^NBq0B=H!p+{ejp#x16_|89_ z=t!}vl&nh)_Xky3koT!URXhwepey)h>lEN@a+#PXxB0YE~**xgCS2x&`de^ zQDCcI$B06|XvUgwP%^P?!ML0JFiq@{&cCas(X$5^18?M6AYd(W<2YIieZF9rkeBFxfQxC;F0jT-J_5@t^C|siTa7h#{!&q} zQwXGW{J4fzK8+=@rMxEX1TvZxtTA)l&S!yLmQ7H6>%Qd`3!rj*!O-AS5EmQD+QT>I zh4X8u-8F^$8C!uQCJf-$5kP<*=9U-k!HAZu)ZZSN#9aT^nLyl^DXml zd@V#J2k)D|2@Lt53>iW3F&Jy-s$@^&rBoxOYJG%VOOStqv00GoG4#)q19)p#l$~Ek z#1n8*&XGsys)2J*8mCf^^%`!p38Va=NqNsT90hSM)Eq7Dmj$1#jVW1w$6j+MT8 z!ZSrjhdGSLv7xftr8-m2&b_GYo`qHx?f%%LVIBrJq{$+>+4m|%Oqy&3YMEQ$yAQN_ zzUXB7I72DakE@G4)q$7rx&v=#lHX2t68L02pU_A z9yDpTO#$OI<(1%l?_kZFuGW^TUqhp6v>qz{HA5&ux z+6wZ&^US4;k*aU~9LV?r8yGdJx%AxG0<=T&jp=~{N2*$4_ew~ep+Jn z<-TPUL1s0yArCXFbxSSqz^QP}EK;8V3 za|Nv(ufSL*^?InlxARJDzBeuHtnDURJv8=;V5~mewCwc-v@i1!O0y)~;w=p7dV*YR zF($chUPRExQ`hLhwME_$I2+e;_~Xd@GF8}Y>bl^o7oC?tp_kcQ%`e+jKrbsfbl$bS09WAvJjZay} zG;NPDYY_0|8QZ9RO4B})tCclCOHCkBbQ&5$@sezziO0uSvDqAiG#lbxB))Ka0iT|x zj7g_!Mlfu+3c5;jFq~>7P^ks~sCuk{fS3e@^WzkX$gjYEGftsABk^%;-uJGCiZVg1rUceYyPwbVXvJ#z;Cgvk=?F7Tg z$Dcn=+0rJkzwNffyXiNo7XOzq`38Dj&>J)b!2&iE<=-*BTTiFnClhPQ!p0{~)EK%H z6ngY8n5>QLAos>|0Uu5{jO@HBl?1*hFB2FSH%s-WkDEt}SoX1j${=|OeCLFv>Mcj8 zUXmSCqC;-j`4w2I@70TiDx?)a!|AXnEmV9{qL?;CewfL7p*hUC-;{XVE!di*h034W z;4d@4{ZoDlqu-{UraA%L<*N|Vx3|aTkB8IZsHdrnQVGKg?$dENb&lF#&R@1yqS+|4LazlLIutH4SKWE(;=BJJU^nD?5%{*oIOc`OoiF(RuJ8Xt z>wzqDdD`M7Ks)f_n0-9%vG88-gn)|lYvx=u>)-}!h?eguyV~C9r7UZApM3EF7fG8S)z~pQ_G4gUsJTrP<+Qyk#Q9+Y8^h zD(Qs5bTAyv_j17Do^LvJ1@Jk}w3ZTCNy#2a#Xbn}Xbp4r~l;4q&WOkwH|qk^;x%XeUEW zLGaWVg+^{zqpUtUI(TI@_tq%Nz{?WY*MrPM21yT8at_GT)*erp@~98eU^zzQ&O38> zaUOrAe=?p%j#Xfc`9kt*jO@?Q#j9cCY2ptGe3~cN5|OU};?Ibj<2^%Q}Y==iYa(Q8)F#Sk@?pkL|x45)g_J(!m#uAm;>71)o#(su|9$I<&<5x#-7OrdlI_@nxn(~hKyNU%s6`%WV zn8V)Pr-zNw%A1azqnV;ep!1_pS{}8Kgm0&at#xC?oBX+Yeq(9SaoXE_I^pBPEDSZw zjb?c|J0RJpHq$S z%+z+i8l*{`erqbzitM@Qn|Wic3YxJWL7IRoRh>@{ADN=D=o;ZO=R?e&)6A!&i64s_ zJYks&-_o!?NYlvkbvR{}{HL8eb$8Lpoql$abpx#!-1k=sJ<7@8xnO0fu77(O%N;z9 zB6cdU9wWU_V{MIb)M}Ojztwi`g-@(^k41cTqJd!mi z4dc0D*|2^Zl^LXvLyli9YIK@EjWTvp;J(@vy?y^U_#%y($S;Y#x@&Fyr&ykJJWZYQ zCa^#Bxj!tS0p%3sO*G%~5fy(l*T{47 zQJ+|}lyoj867bqAL^BD`zw;eZ3x@+(!xFfp+sjbsBy%^>Q$IU$dE5YggVE=z4Eo+) zk7p0*Rm@J=rjnJ973$3uH)6a=UpS1q?NKY>fjTO##28hOyE1g^scl27>{=}RJFOQx4v~sN2bcaD`eE1G?=>dRxeVl)O>ph+@5eaRjIm@t~Y*c4c0;x z@I%D>+_kUJ=(cLo z`M6V8=u@}?BO(ii%iOJT2SxU5S7>34uUh9q!%QfeH@-rTI(flv|HcVWe)h%{3SZ$x zE<{Tq$J%Tvb%l~PSKxOXq9r=y5|$acljdw(yWZiVcIEZwEnbaK7boD3Q41<5bAJcb z>6FOEF@!03=oAkvz>0T1qfRa}oC=InShM1X|8FtOg%#N9vF=odxGgHhl zJ8>M--1?PP-LtcP-gDl6zVF_1M(3=yr>jdU6{)0FOXX)!+?{G#0*WjR`;B$jbq&4M z>HMoHQTXnRcAG1K-Mpg~uL4WEnu-)rW>A_XA)0z0rElg(?5L+{wHg@thDmfUUqQrX zuxqh*Fn#d>M- znw$&%T)W&TxmLLoYDETR##UHKF{=?xd1F!gB(I$X$75l;-Jm>s7k{8lekZR7x*Y1CHNW}+{@d6RVDKXNGq?YeX%SHw` zqFdNf27Zkv078vc{f1M>v%|ucj9i?sWe2ctLL?gdOY(i@UM-WGa7%oC93P%Keh!si z`Y+x3_}uhp@6aB8LmHoI{8QR7M;*I}SxI4PD})VoQTVIPlj&)=0ozu>%Pb6UBPQHv zeq$1y4Bum6i1tj^*;b8xh%Yp0AMZRg`8B9YW0?7_xVXM{?>fu3%=ot;juc7IN17&*I?PdkKbiB1c;qRx?cMKFvrD&|3K)O zkBp=zP1S78dUm-&xrBtg`7$q=2*>U+w4T{lW5+=)`Pq_OeUA>OR^i|K7ty-*9XuZE z8ZV9uKZCn)fqiDX2$aj#t~-)!qXBbXN^h`V3sf3*4cc70=}HYr1T;4{v>@z?G}Ocv=vysn}CV z++GK!-}R6MX1|1bUvaRzgmyiB;a0HqjZq?68JZCC6a8}bA5$cOMs0>$$q57gqvJ;^ za3xswX2v(zqUXbTL}h%2g;qS(gsxm!FS>S*ou+d4T(~O;*xE{h%GP(BW=H4|pQFi3 zNOKRPq3=csHaw~D6Yua`6~Oa*Gx|`gE(#2BGy)tK-ZcMHVjgCyQ7!yAJTED=me^2? z1t*9)=m0!ki1WGdf=^7v8aT~t9a>QNrfM66AjTG^-U3xMm^ZfhpX%QGTVG)v9X*2P z1<#a*p|y$#_%*qDzLGz=$*;hLw1w8pO81bIzxs4*F>)2CtFtbW++ zW$S}#DCfW2TSrj}{X^(1@G+v_Bv!TugD7mN8WWv}FtU&md_7a1|FdV}jg6Ikm&AxF z1bVJ1Pn{{cxlvO-i3@g}M_0J*y9u;7W)E`B+GBRookcL>wODXHNsn%!UY+05rnFz2 zW1EDkzY&;}OYolJTud#SDD2g$C}7F9=NM02&nt)Bo+)zN-gDTYuDfEXguxytzMT@n z4j*n!;;DAFpvU^U%q*{&TAww+7yw<%+kOizuX&H&7n)&WA%~d35?IL)$Ys{f>C|w& z8pT4yx^3ZgoSr$IR{W~KwmLKbRr0{hQ`71Cb_M2TYbx@<*##{FYbB(?~Z+n4fqq` zqrBc)-oJt8>|qV#Z4x%3da5s}#k>?<+YFxbZUK(li_k%b*TMgy#A-_$gH#8-RGWWQ zSpGzaL>m$M68q!w^|1WTC|vg?3EP}=Y%CQxa*#7u&s-Pj*tkTVC~pRvS9BNq3JSS^ z<8$xDE>oz;AoXU?cDZRl8=+wp+fSwrH`VApk?w&nahPv(hP-v*%h#Q8#$!NMsY|kB z6l;4-PrcDY%|6UE(NqoO_sK67Q>pMFa&5ld2%w_qfh+${fBqYK;EiavZ_3A9lOFgG zUl^ldrdr-z4sLND)Am;gGmbrBrdNMyN@b(urgHChnS4xyPilfLp~Tv?G^WEliaO+} z$?>O3o6y7k$_vVkcRZmn+DavBg7Nu@_|!`1;dpgksCQe@Q8YiEQioL9E5?E}+>nbl z;8#OuIqysUhTFTsnNe`nbb2;rsn{4(uDY6W=wdSi+t(u(Vj)P~6+bam8GTwImwbS= zavqt`|M5{txjtLZHA^x7xjVcNG; zfhKfek=VW9^}{tC&*TtwI(1JvkWbAcpJ73XXBq~1w?f0To|mq+&duSzO8p@3S)klo zvl+eXkcYD8h-bPq_G5B+zUWi!}BU++@X&*f!99${)rSP8#`;%%*4^ruaYl^LKP z6Rt%KV$^%ATed33x*O(b%T#bJJwI$rtu=~mK@42k^> z+B3t5gf!pG<|ChB&&!pe$i84+Z>u^BSuEO)%d$y}+cF#PZ4|zD%pPFDXL?r*ZAL4e zbrUmy>yd`t1iK&k@*HP6Hquaf6$amk?Rq>G+h$QGp=Ah&${o%nO`*J{ouIDePX80 z?Kq#7G*DAP=s5*(82S?I1Zq^NRE5d+wzv|_GxtIs&(XhYn!LbC zrT3^-E_Y<^MP;sY1-#uy0xna!nu3tlWAG+c{szP=nnYswoKRd3??c9)I)OKxzyHBA z>DRn6cW=)-^ae*}L2L!TjG+}#74|{aO~LMq*r5-!Qv1{uPuDt%{FrI5WO;~WoTKQ0Ha97ky@s*m!}7MU4*gord5vQ~erqFMzM*!D~t;Sl-F1c#e@ z#!>9L3XCYN5&!)kk}&HIET9lt=bO5)B8&5Q#dp2)ATg~DSjh26^IUd2%MI!4g&d{N zoi**1cjH0TYv@{&ve(Ew9$h*~Y*Qv(%?#8n)lNt`imu;Kvs6*o7m2*vu$n2w(2ff` zu$AoHNfWWcYWSj$TPa|S=slP9BBEc<0IBlsnWM3R_q(W-OH0T zclM!M{gpEDcwNPcB_WNa$h0y=h!yvM@_NX8Cv(6F=`4z&51r#LP}C;O+K)c81+ab7 zaxL%DK->h!s(Fs7Aba&2W;@k69(ulIs^c6>mOHwm5Zq;GbdN2U0GjxxXo%!%8%6`2UdIljvnE1;+Pg;&LZ} z@R`D3+6L${3W*a zUJTFKyE#4g%Pum9MYfV!J66!tBK+2a5BVyit>hTGuzgIQ>t4Zb6f$g`Fm)fdTSip* z;8xQ5y4(n{!#Su~dMH!QX0QvS$uk>%~iU@T9c7+H2hza8A2t~c#2*j>18F~Ryk%nbT8 zc7#PFOKi%07m=K0f<+Z0bM0Q*BJL)dYYuom<)?SqQc&mPkIhqxkq*!>hV(r`L&it< z6gYmsOo?7IVyg7w44t!Ldip-!jmdfc^vk^+l=JX?O7!fuc~gr-9?FHWLFV_z=*fhb z9&B83R`(@5CluzwH-+B+hK``k8`L~g9KI_Jn*_*T{AWBJvV+F_#@j!9Om7y}HSLe}K@x1w{V}qIWC@iVTr(^r1P3(HS@3$ABv_&US*7V)XFkN?bIFHv{mGNQm1S-E> zy&uCj3{v-6Ope{*l3b-K9ib#)(Pc*t-Z+;WeZP3hk}xv5g7O=i9bT$2)8-dshUiv1;AtIkH`H}5d|*lha#s4^nnKHpyz zwIWv@`im3JDN2#AtI&%sc2YidHhWRwc?WbOwLrda0A7#-OfkVJ=tfq8e81!q_8Tbyw8~<5*x^`fom>`#=Vu$!B6N6D38@&PlR_`Qv3o@_43)FZI z^3AO(C z#_pW=`{3`ieGf&oDL_D#s(ik9pIn|k!{?b%BCEp!-fzCP>jt%M6ejx|$oRWFi_Tbx zh8^>ap+cd`fG2a65>jmgTN-~uVk)Fcz%;rF1KT%sWVT2L{Q~B7(3h!|`V|(B?2P>d zG2`rZ}M$kwoyRyp1rwhe0>oJZo<{Jwgfeoc5^)fSm`}x+i*f z`?0&UHh(@T&ugHW#_u2dP~~+cDfYYgj_Vo7>{CZJ$IqRKGCUngSW7jCU5`-y4!vM* z5RiX=SK78vh3{$D>P2FyA@BW6iCy@PQR7VBxmX!}Pbg_I#EJ!0O`>h1uh4_&w@iB- zS9^Ge@8NQ{e&t<;_eS$P?dLW5vn-$V30~3K`7dRC6YP0dqQ1FbhjYnbf?1JujMszy zoTlvixqj*R7$$fTnXbF}%yMRJ#`bf2W2|0u<l*YYaQR1ezn12k&pAzlG?OHKW;Ae3+6J^6WCDAc3XVWy`h z_vhi1^UhLUV;DxdC!Y_Y##z+vZBbYV(n0e%8KK4qWLh1t_;pYOrh+^}FMb{9UT>-~ zD&MRMSxyFNtu}0+7>8cds`9VR*Sdp7%qbl3PJH;1vUE-5!BkKVwr^o7h*HXQB=2xq zTTIPyRb*ZYX=Bjtz0irpD>qWt`EO|FsVKoIL5!W&Lnq#q|4eOS1$)YV?B*Pt$Zzh$ zTxpqmdAS(d_<|74oC&TT{DPK<&&JAa65vFeB zYP_<=la}{`B75BS%QsdORtL~GyiZ#y*fT$k;BADtr7D%<0NnLE<~&M{UQUg6-KNxg zL(O3Au(xyE;^mhnJV~8-Jf#WWvv$0u{5CA00!Y)8ubS9j`cQEmU%-Jm;M%S`qbYrL zHF<}AO<0o-Bs_sOALmb%fBvGt80zpX-p5bIL{PX1$;VQ)EGm4*`W125hxx)Ko22kX zgd~M;20x#o-M*QeZ8gZsm%Kz}ugoyBupqD&5WMYkIizey?&K7Fw*l&4O@ zjFre@erZf?2B~R@B3hYvu_&)>gRjs6&E9B?ytx{P>yHZ?ZW+RdtPX0n=C7{(V~UZKLDsRhrV z^WzjYZb}K~Q0*&ZmwD}6me~s2mWy)+dx_a;+GBsruklM%m1e@7Hbz7A{9666H|Ank z9umLc=4lBSMXA$YXvCKko@)bZnlCi=VK|1Cs5@PMJ~U$UPT9%3A#18|O^T50)z!Y# zcZPDgtSvs{(B^2o=6QsuM-b<7;ob^udXwjjih3?^!yJb#&)9)z;`HXi$Oas1-88yG z{i%J{vt}Ke={9Xen;xQH*8W4ELeH8zHTVuh-GPn5sJ-SQxl_iHm3Liq!_uM2Q@9<* z{J%z0`u(b56Ip*9o-5MA>o&4<1vm*iR9v1#3L*5^KG3(ng3uDH1?wLzKOL#`P9GQ*FJM*3lvcg z>z0ao62Y$papq0hVJ3|#roKjEt|);n7BfJ>S{)GeuqG?k7g`@wn1&Z#YqIkBrw3b>(?jni*|ZYNmHkGN8P*P^ zHOJ0L-6NPZKULI}Z`d*28A;xR^+`RI>P(}21=ThPY+0%~84+O%xJlS_n)asx!z4vv z5(76uw@iCfseW8W@?diKJwDNQCsK}P}LvV zfu_A_ZjLZ|uw<*bS%aOr)A6=8W7l1$5;-@UW9-Upc*$2=Xk@3)GW$+CZmA81@sT%S zM(aF-sLl#yL~d4Z=_LOKa~`28UH93lzd2itY(W%RmZ7fXTh7qvIc!8c6?B}9NYr5x zy6y$&?6d40O{;P|l6J$08u6dOFCBUHDD)h^e3OVoUh-rDX}&2hb4>!1#nAKXV2ch+ zUU_G@?G1TYIAN~d{IIcle+}yUi-!{DS?-*)(~`^d7DK_kI<2Rw^RH5yuU?b)tx>5n zd=~HdVYree`9$Xo(~~JfIkTenYh^%HZ>ZkewH;_c9pxQ9F_QSTouKLu%w%b8Ntv>> zvv%$~48`)ogFIL|fCg1lGvH7H<`jRX2-kBQ9_09U19-`i0$=2&h)7;QV!X46GZj>1 z%s990&lA9TM8imO_VMzx>mN6jy?or{Z&p-lXLs*4y_+b-{r~9bi?U`TJucz(1YYkt zh%MxDZ+g~RHLL?0ri9SEr!Rj%4YuSvzll~K{*U(L-fSk*T$3s$Ap9sDa}$+&^hI7By-_X5N&zLyi4|fXD>Y}L$r`;d`_j5f@dX1#U zeABTrYhY4*V;gv^{cxI9Y31)b@!fxIpXR8iDfV9rGm+` zcw)u6WMH9e))vudf4|=^)9~0T&Wv&38H=jZGJy*8?{*%P3$|Pu3M@a4Q(#& z9!1N_tBC~+dZg}!809`-tFX-gYrwgsY7Ka0DCuM{zC-CI6g^`tDK0(3|B2)fl$1DE zeB_I0kY#E})i)u+mfzTJ*mWO;`z9NEtQ~276YP7_xy~Osgw{;m9z5B7Q7cnfTO_l; zt3i*GWuv1(f0zlhmFz%QvjaNOEbU=BJ2!?0BT0|e7OAf+bmD#Lr8Fj^n!_%^E%h6= z^j>*^qNI-DsWiEg$)T}-Y8lj?e%!oG*4EhDb=70$8?nH(>C1=E*eyz8#DjY&oR6*J zmEIs!Q;wHVm^lyw-VOsf1U*l`uM1^OsC11rObySg*?O+s-i~BG3s~0KdY+sYuw0+3 zMzIh{BhX$7<~0$T>YqevyHRatsG@Jm%Jwb2fd`1skZB`o{_|k>5Y3jyKtegL3PEM) zGOyyUwEexwrwXX_6b)O4>lydoP}L8~WC9JHq!XXFg4mky$qZ{sD6hy*t1xyLrUs-S z-EO>P;?-~pyC0?o1f1x3Gb&!Ar|9_)8haXuUV*xH{ZGW*p6rE5(6jAC`13K0z2gMn?!VD8?B4jnHE~78AugMy+ z&zddH6NA2Hpcxy+QSp*dg6%yP9B{I@U+DMW+@7Pq)iL{MZE?V}GIys{Pt><-yS1)4 z;1=zc(d}*52gDP2G&dcNzsXFY71;qN-?f^`8Mmd2EufvpPH0~L5zXHXqaSOI6 zJsRF%%OMv#C|lSpz>=gm>bfMIbe;Q z_2M;WEwlsf^V1C)@Fbex&Jk7tArjJwz*0V`hu%0C-b3M@1?*#-y*y`VdhL%BLmwSiU$6%C$T68-=RIxC)ueGW9A?CWw!AvZ;S_Jd01M5hokuOOu|aJw%*3x%^o2WS=YYM&K;eU4Tbk2Lh_j9Zhws z&55WEI1s{xE&C!RQ3p)ws^g1%;RD$bJ5&3EAW&_g!z(Rzf6*4|;OxxOn7-$;YIki7 z3bwt&15h=V+hJt${NOp2C5yN{O#O*66$115I}5|LvhHXaJxN8HX<2_oneL$7o4~bn zZo_juUM|n);-^jaSN`e1!=3c({8#DbvgmH^3hEvS&L(Nmn^LDz>hMU17CpHTS8vpy zNyC|r-I+8A=Qg8Ssb|a1X7ooEwTCG;TRE<;2t?z9@mgXL0a(S$(W`B zGTTD)z`Xn$A;0DBMvTWgqAT=Gd>(=lNn!}TLJ-4raPTbcGIy9}OB5&yZ#B&GE&XfL zGu|GtYO3hL_9{;)@-SQ@9gXEllP|=BcH7$^I5n2OIh0%n*NZZh*ACkO>$)*S?yc)S z0-mpi8R#MMl8lSYby`ykzzk}FvjZ1xrJ%9Tq%W}tnn&3JT#I1_!ToMh`!p$KB#BS7 z#bM{?hIt#Hb$Jerp~1Y1IjCvE0u>`l3TbeN6lUnc7xB4@Yc=*0NFy2MCVqV~j#gAx zx^`Nd;Bio%+*hCxTy9=a{s=`Bba$gMTl`$^Y`EMHD8fI9KwHA)Mn@68$zo-4Y)l|p znc#96Q<;A>l>3!4*MYumY4eOwY4>sliM)e+ zb~>uZ5U5rM?7uca`_ym}_@CZ?tzHa1JzRL=!1!wBsKFGUwvo5mTxT(b^TefL3u)DY zb26!6&m)gV&o0lz+|q-BhAPrFXn}S`&n{ri)I(2)JALRyv`N5O{v1s`>M5TJwD1g<9(?haU3=sQKo_pLUiYE)_f+A{v?6~2!!DumT4E7aaXSt3{o)V& zmZ%5sbd*4y2i29_eE^@zU&)3=fJW0k^*QREgqN*ak>S^d>V`Q;+OJ`44Zr#IPj!M^ zo_$Bsc#7@KWv*wYI#UDkhu@I`` zRGyCE)$8y-TA2{t574B=2S0lSv5U~r{emAyn(Z`L%6$n_DN$%Nm9O=itUpf_0W8lP zEi{Sxj^W^+Bd*;Myn}#C7V1ywPOH&7UeSnmhz@^#8}#YIW2V__=G29MfR&^NeM|n> zMss4s@O(aS$~gisi*4WK>+GarGk%iK%lM-_HIn3w)4krGplkj~h58@9&zHr1(df4+Tmt(w}> zHpscHulio!OLN=`%oF-b6)jNi0&lb`?weHInYB0r%Dv!Cqp^eO-xg}l13{6~8%X&Y z8{50EoO}jc0>1TA7*#m0_Ty@-6&XwLj12?#E{1Cxvvy+AB?b6hA zsYfGoo(Ah?@vP*7rWCE}YMQ?GgqbihxOu_z@sj91WVjp!0ML@DA;pK)|8stUj{=OKN`CDsI26L7Xx`SH|xqypQ0WH4)j zw>hJ`-lZ5%$J!|{qCH`1BKRzRJ??BhasH1}?jyRh_zOO31>`cINJlbG7NEwNu9(py zw>#E4BMnlYGmbx?a8Hsg5*shhnBPxC+|8vA{3Q&xb&V zxu*>E-j27C`X*CfpP_2IaZ7`{-;4}=Gv9N$1U->Y?8@`_Vo>AYN_jdUr* zE?k-51m>rUxBGB370bNX^wmlAU6>=@bD+<6>xp#S6loZCkTmA{_P~{Xf9&QjyHx6q z@Vz{8uo!?;kaEB&;4J!R?i+F4BDfd6~&o(?_C>{*@~Fh074ui~VCuik%2UGOZn_c>d z0ZeT(Ke}h*>MWk@pO%~PSl$w8qF9w$z1mIJGOIl;{bVfYd;&2@XHacYNcF+p-SkH~g?)*+CPSt_ffySWYF+jctQe5>&gGf7$bEcZ(Hu zJ~wIrrg9eQja{fcmAb9w*E+KaN~|~*OcesOrk>s~+}%WL>Pa-L5VC=%D^mWGZtBFR z?55Wu$%%#?LRP-RdusO~xd(^I$6=93)YAiqiD60A;!mRo{}~c-F+plU;vK$_Q)!GF zrKZ!My=nxFnL%Od8kGA2v}xZ`|5FWTwWAQdjG5~FID0VlN#cy4MP|KFcTHqz3k{)R z;}n<)S6n-Qx>GZcZLZBcSi*T3a~yS#<92wbobN=p&Z*EM3Tw7#s?;r+Z@iX|l|G96Va7C2{!96abl_1I`66fxoB#gf z{KO$(w*!4&h7F-A_3w(deB$DYVXxi;>>XS?eEYHUOWHWf}m z(9JnR2NM$0JP+ACLUurPy7$ZA`M^07Y4v3_isf4UorflepaySLSQAtCB?5U1%5`6YujcKOv;-UnlkOl;e>?M!TY$2NA%iEZ27 zG0*e9Rp(cn^RcVD?&|6feRp-OYps&wmw?wJnoFmS{zCzXL#ni=!k)c~jKU_+)vZG* zjc_|>VJl5|o99r0zt(mS)MagQDAEwX%50HX)dk<-kHTlegHEQGqUVs3PTR?vtA!QQ z)CEmKOTA==Cp2bCOYc1R3PTSlH<3qkRW@#e>laFTiSk~bN~tv{?{Bxdh;qIKrAUoMR}1jee$H!2W;+>1vD&fQo;f$@PA z51FU^^4kmJ2Dt^CxBLv74EUU{`OiMN-_<+Lj#l>ebL#ghQw!UhWU9tLV^Nxa1M^Kq zo>b0tcCE_$+1p{t%jIXGzgcvjz9FzjZ?+P98c2Ix{Z*lb2n?$ah(>a!Q^@ACBmA7U zH9saOy}9JhRi3SN{PFzHv%cRMexpfJnf6^`$QqnsE!S~Kpnseo2(_F|kxl1s!@%Oa z^n-xsc~ST9;|Fbf&+M#afRH)abge;7~4$#Ck~F>tKR#pX@O^c5tc(@8*P z{wBe<(uylJPz1jr=)6O5NYbZp%W={1`N5t%`TN|nWk1*xN&=5XmhU!jPY(GpdxWQ- z9z$4pd7yie+qg_+h~K42A-(aiI9UNF_q3WNTCICS7%PU-Or}Jqef06>+ywcHjpPr-k)V*JSMGs!c}7oaqg=^)eN5WS&nIIAuKadBY*51k#ejp zELEcMD!1%#?4rY*Q%~}z^AWj5hlKZJcSk1>k5Rm49`e&X_;P!)sDLJU{$TI@L8o%B zW?DR3PhmFhef#{L#&^Gho+~zqG%BgJQn`F;6xuy+{7(T_)RLBS z=r(HFb>QkFW%ixd%_B-~AO&oqlfKJ16T#Y9%gFbY5SJalAKWPVV?KXGB4?T0v_=+_ z`IUuR;8!QcXX$#(Jhi+f7PbS%y&@?-H*X&z)-fONDce2EWgqz7{EU>327tnehweBZ8g=0St!<7*U@^|nS*+NyUzi@zu+x@9!Jme;&(_^r ztjwLxo{DQWr|}#T3R^$CpVL0W45bg@lp3EONk4;L5pKwN#zlWZsY%bmi zUXcEa585QOz*ipTM_%<12W_bx}&V1v$^@l{8 zvj`Vn1N>gZ^X^X7a6Rf4;}0{Fp64W|%%iBBQ}#H>z<51Bu|3(<8cL0`ryx$+Y?yD1 z?yB^(n|B$0JDci%WXR0Kp&+<;o7y{z$-PSn(-(C6*rC>nw=cnG zjwQp$BSfuOfxlb&z|S1(NTMlyAysjNIk?O+_{1dQ3uc!fpFhgu{AcoHahY(ty@<>= zeP6@F?`EE)OS5SswwJKaEOsheQ1U@@5zQ*x3HcDk$Ii*Q0jffs7@uy}%fHI%teyx* z{>$H`j>@HMfgC#L=??)(^OYeL>{anlF6<+UB2M|@aS2?0E4h-fA}Z>A>=bpuASTsJ zL}@HrlKBt(U7sutq1wFCvuq@Slwppj!bs`3;BJWlEvKU$e`n zas*uNC$m_z%Rf6bl4G)p-(?|1|1(k5#`p^wkjGs)t z%jPf1l?W)?PsUT)KTG2YtveHe^gSY3OjTM^>ZFycgxXtDfg{_BxA5pMYO|fENC0c& zVsu}q!5`EjPX#o~aZa%>2=)+Pyvx2siyM1BR5bA|)UB8(ZD&mT7Pl0zpINIEGC8qM zT*6dMtkvmnQ!%x2KW=vw48!27=vu6?n=SMsX*;EeZ0z9`;HHNHt@t(4MwGiv1TysG zt5=mUYdpUr{h4>5-<+&5lbLeJn5)w)dy_QjK8hQN%t@yq@d(Crc`c+#1Jw2bh%Ya@ z9<$y6yjI1v@TcmDb-F7$(IbMJw&MZ#{JFXcwNjYe3Ukd4K zmswnAsF~QL8TbUMxOTwD=^RXz{R?`+Uw=lE(N(R({rSNVa`SqTZ1-eAMk48E^11DD z^@dGS8!Z)Nnl9%!XbaYAFw7OnjlK*vVLiYrB|T z=r-oI*u!VX+RZ&1*B=H4k=eDnsPu?V1B1HHm8s^t7p%O9uVIUUgri06JOKim{~_KD z0QJnVf2224ju7hTmqH9w)PEgQBJZB^eHhcvq9)_sPTFVGy%c#X)MN~S`w!0E+Xq@! z5eM!F3taW1)E93UQY70TtZCb;Wnpu%Z>Ee-zz6$9tE0E*OB64=LWD`M*Bc(FRs6`a zJS>K$EKU6Us2J4_BjebTv-Eyuu`u@)n#ac)?)~9_Mun{Y4ozJ{FdI}X8-q*vM6{v5 zg!QuzgAKT(QrcWvGEo9muA-il7RQJy>)M-Xopt5r4lc<3tltX;-BQc1d*t5of3om8 z#b1z(E$)_*o*YIl zM$#t|Xaj$3HHn~$zOmI0F!1!K(J+u~_(Y6nJkAo+C_OWFg%y+fP zIf7D=e+7A~J$&`RPQYC-&3qxxJ&&8YinR_b{OtIr+$0mp5WW)dL9c?$POqlud3_B- zdAswdR&p+6|49ATvQ7JEw>G1(C1w}+8b1QHNV@oy_}a0PkpTO81KM3x-((1{a9@I& zL=8L&6~bb$5k=iNgLP+Nn8Ct0aYSgc43Z|lMf!a0fqDJbDcp+b zezkQ=2bZ}jTF~f^(QgXIuW6_3ReKy2-zw`*ji!Gj5qcY-cN&)(9sszatsa%xk3o5zoDFgI-U?*nPx-(M{!@0${^eNIVnWSGmJt~wYnFuo)@!Qo;bzOXW;)~ zB>=B-OHPfRvyoH5pu#2&_B71>b)l>v`gG~FE`o`3l?-Ke>$)n7Ei}|8V<8@1a_eEPv>KZ)*xwEoXqqimZ)z`p zZDQd34^TNFCQB6~1(j-Wy((yQ$?byPc`a-|5zyMKTq%gfzu5(|M;aSv-&ZM#|Ug*UzvW+B6~)u;tp!8s^=A^nUIsZrP~kUDVNA1ooIk2TwE zEa>&v6oa{;vAl@}cB9ku8y>0tnr%evWBrfuILCG0Mm)R{+G0i5h?Nk1K&oV5Y#viok8^zm~&+InXz+vTVsQ=jgB3IKZf zWjwTFH{hCc{y3$VEO4Oqn%Lir;nz)}r)V!mG=sqAu{M0xvvG{Jq~{0#B<$%&*<4yY zH9@)DeCR0)V8Drk6)p`hn7LmB#?D{Tgb4j9t1J<| z?^~WCkyL6$hYLlBZ4_*aepIW}?&;pajM?g=w^1MR8+DVYf0TfK1r=w4t&g5Et*cx* zhY43jwsLkmkQMo>)AX4yt9^1nNh)0;0PrAg$;Mm_Qj-goEGt> z!p^(QKlG`IdXxNECwwSh(PR!dXGQz|f>pfxAR`RgY&h11WgH(051&t}E2qHBvQ~fQ zR)<#*IEb@p>PpP}ohghQI@W`GggEM;#}WO+uZF5UfG1%SA;yKG{qne=v;TD9gUP*o zxv2f3mesP^M5VIVPXK0#3_I^B>QOodPg9pY(Xcg^`0zMSHlrh{ch87tI+2%0h_#$T zimy1#WIkxRb?Na6YnrI><}>nL;bG_|I?=*#q5}ixt;ve%19A0kz(qw?bly7{#;vu7?jWJGZ(MC zFLzo3^N1G?R*%VG&3n%Q@!gk;kz~P(w7+9+#9uws`mDV2zeh(sVJ12V!;lV{dco5Q z>crR|>uyax5;VjvP&a%CsrQxceB!d@Zf}T2W|S`Yk(FM}!;0kKmBTd>(eV@A@x+`>j5C-1X>Ql!^y!+_uTu8h(8q9b_<`B5z#oR0ve!Qa{~h zzI?2kL|m^WL>34*+z#O}v02VGs#aRxy*8NpAt8r2J{{5DtgpQZ>gfo4v{omp?-BBR zT`IP?DML|ey<8T{sHubL)O|HA8XZW{9|-zs>5hxgRA;}N)WPIz-mm?qh&ca0CxUR; zVC(q^36h|gTO{_BF{s<`M&UhsslXE5#n1BX9nbR|Pm!bCDf*(x#V%nF@^|@0@$2$k zE<-PhWeCDmVONf_*U-D)ggqk#kjci`P{uHqT;D5@CDpM8`JMpC0*C;4hVHWN13)II zkexJaUMuj4Wm!yFKX6m23s)+Qs&PZL={J($u;ot;byI7OyZcE(#UFTmby^0SSL6zvd$R3k3C-1?I)>&WMgkSsI}&ZiZ#`-PgPtNxmkJUnC_sHS_x(}oi3 zDxuU%8*U-|<@KvA%pCm5f8|e+IV4hSq=x7!Us4Agm*1OAUAMPfjyJyTdWvk;E$1fhqXLw~ZAIu^&EbtGO(a?pRBBSSX6n?fqeR>72hJ@2lgDiTn1p;w#2t*h_{Hcc z!OPegy(?S$p5#Zs=4Uvz6Y(}Rnk2X(v&)^@PzL{ac{KN-RLg-wbJ%Cvud?3#@{^IY z4!MP1E1Bxxl)d=)lEX43!*}?}Ac_)|ZpKa0ZN&?AEjUy#%lEr-?uDVP*S2fnf58IZ z3Q5QtmIg6+u(U&GzIC+!-qDsxaB+?ky8j-n+a%;nMSEJ6T^pY8<5d&*nV>+Mtzl_} z!6n1)Dk#BW@2j8pk=ogI($3b*xzRaXllWav#Ij{a^muG709KLpqX4`! z6h7ey+LY}D6O#E4CN`>+TDWj?wm0VbX+z}JLQel@lKyv?_Kjr9HA+1?Fkubv(=^IN zD;d*H;8?uapG9eZ0K=nT^aiTQPao;ezMeT61XsL=yz~|yln!9i0dI5rGsvyQ+Q@7% z`5%;#{mqf$+!cH2G}_owezs?{6xdk$umSqS51j+Hg^g9aDaC-a!3lJudt)s*Mww|w=E=+9GTR|AX9v;&Wzf-Emr>pDcK;N|R$bAcEvpuT2fB>wuidKd(RJE_O+#~gH>+yd#MsdkwiCIq>Xj7+^ z?U3dKbd?(J??k$Aw`7kULO-#(;-BRsmoRng;uD;@8S6O z&z+$c*iT?e8t!`_mfx1ho1Smc(mdKP?Y*IpmgK-0`>IWmG<%=q$viTJQ_&{}2FB0$ zapuH-7vgaxw1G#nq>IPVH^i|J;0Iz$}QAM@Dm&eR+=+QCCfU zh`f26YXm`R#!AHiskY~u4PcL?q!#P^XH=J=e8W?_fZ+o;>DM$jz81TGES$%kuPu&c zV3+QaD`K3=$e#vUlj&NaBz@$Yh+WY=K4Z6-)OHwNi$g>tYckdv&KOsJ3^6s0WSR?h zF($|n;;x<(U>RSRE^*^%h-2xPd~324u%X|d_hn~If)bpm2}eJ>xf{lP&#wjV1goeb z4PZNASyZ@@gm2q%y(}j8@MyS1O0dTrY1ZF1jjmI?l@fOOm4Yh$Z<4jQ!{uZ zg`>M|TPK!PF4MxDjq7vtRUAer3ib-)9UV z)4Y(l-DB{Zv3*yVdAtd?4f-PqH`R0$K|!=XL8$5ZsgAzh<+32RW6yj@atyhc=@6J zm27CM9_eg@W~!^XIf2u@iN)1i;!2t zRh?;41?D(Gw@dLeu)+Rx?nM78J!3lHsm%-S(VOtq=zx!#~o~VmtI;Qs> z+6v#dLW%l+JFaR%yNp}_8EG%t3vMlC+x|;N+&sgl@^@e@d*@Hd5^fK-xmZ) z+yBWFY0`l*F28#ZX&?_!_ZdAnavz1i&)o{b&vose9rE<3D_I|Bn>YRLzfy5b{V+(9 z3>FkeXOnBbmg8kDYk%X~u)DP;{&uMw#%f8|S0&D3`zg2UwX`>u0(XQssRHj~egxq) zt30U%%ksOV zEj*s@eU$YX-2C$T`j|SS0=oC4O&DXv74S?Zn;U)HpGxyMZPsw0OUzGFAa&-Lk7Psm zurAwnY~*u?Z+0#Sd6sBQ=mHV-79{|wk#peI8RJqrE_F-OSAZg;{p8efQk8DoUD+NHwKA2zDQ!OQD6T?@)aRIq zF)zifsyJXB@=fpZZMz}@c=KyZp?-jv`j344th1X&#)dC*nD7pG%uP+LxssbE+9uD1SDdDRo& z4b<|8FR2i-8A|6=CZKBAmj^>zR#*d^$3C{I3?b~&ieDGIG-Dr8^YZg@YuYXQ( z2##?q8$AHX{3b117wSUdD$lYfxg)pA)oN0=_La%Q$~`F`$v$mnL-q4~RZ@vwLa&jZ zm4|Iye(OwgK{Xspd3vXyxWiQ4ho2KcG8_~L^cVp;bEA=vY}l&Nn{Fr?s#Z%Cuv%$1 z;CK(>xLGrBefgdBBs}B9w^=5ks9}+(ZVZk1iuY>9OqK_&$(hh`@_jkox}L*^?j8 zIYn_eFbm}QXppW5(LbQSOX<@gcnk%o-!F#u&X6;^+{}gt@ci$BKsnYKsaFl*|E;SK z-us)CIq|+ZC_o*>LtNh%0fiV!6#oC0{(tO1cE5T=!;$Hun=pQ(4F{!!Rb$_n`BA@Y1!utFFuJ%(K-!1TaC`ybzoBc~DoqxSWqYB;eZp&iu@n z&D+JyPd}E_qIu>Ku-k|=!mm;H*%jBN>4|Qzx{W)gW{E9cr=^Yl-4)iOqO)J^x;mufy$OX-o+ zguP{+v*K%98d9&7{xZ|;ZW^Nfw_GbEA@|8f0)&kMGBnRsr<J=hHs_Mx0^uEfl6aV0us~B|G&#!TOKPHAj z`l_tou;TJ>X$~fp?sbpZPT+t)-W1K%C_UP4kYhpMiupH!1{@9T&}#yH-F7?(2d4t7 zSjhyw>IarW-#e-b-m4Z|q4HORU_%A%vdo)jT@U*=zZ4`w(dMgTrzN%6UcwNH$vxQ7 zG}p(ys~j5-99bFYz&T$Ab3V@0M%>FDRAp!+D((Ky9!SmcLuFjo+6mL_ue6klq=f0 z7Zbd>ZQjsqdg1`Ns|bW>=z;LRAOC&6d6bJ5;qU~3_tHOt&VT6U z35vzvQ$!hT6rzrSdb9-`zF6-nOq1$3s(jkgzfD|O3J@($$|F_>kvkIEnS{rU{iQ!& z(_IR_tt7^NS~%sdR~q49Z&nv7Af3#1e6{3Lmw zl>GMlB5y#)^raurr67p@8G8<@f%+s|)T74yNBE5iG-UK35>d68EzvmKZiRkwd74?& zQA)UtV|TC*uQQ21?(H^;P3N1j8(Z8v(M9kw9dPX%zY_*rfiLJXXbD&d=rEjTux|(}L~Jv*$Ph4OS?ma#>@i$`l6oX#O;}s~0FlC`xN93#`Q1+OA43ZTXE( zw=pt!=a3T`9`Wc<&9T@#G8S+ge-wDNVZn;saf=>B9|74DlhON%Q>+)+GhD%DRPdm% ztP0E54%f_*SyTVX(>Y_gOr8Jd6?jQ#{Z<|-XYZGXe4iS~%IMv^#+t>{v=bBhes)sr zUO4(uC|CcGzfEPAwPJ{d=YiabyQC;(8?>wbelsewZR zi2?-}0fw$CtE(dN43@;y_~RMs!AO;A1QL!aNs(*-X$|Bi-?Ah5DI0n~awKe(-w71B zGIP+NYwM)jWTgP%A7_|j$WLQD>RdQ?X)fo_j!A?3_|8QF7kj`7>S`MjUNIL$Rcc9r4rp94G&>g} z-F55##ZVK^h|uU6OC1by#mJ68w73qGC@_X_5z_IHNDxk6I&B^xcQAI0R^^0oVPTo# zS%B+)DtuF28(i0r>Z5Mc?hyLB7vPZgrGpu1!79`JJ-zt3Uz-FaJ+n87A6#Fx>ZmPa z|6S}Q`P}KJUhBM;k5P4~f(+mK?}!S9Y^!DT%If@5IjFAXxz$&0sf8 zsb_d_mAr$MozAdpI>3 z2^pG28~`-@b$3pIHRRS@8po5xsnsjRnvW732CAf8eIh%4S>HmUsp3DJ!tfa=Wg9D~ z0G30$q;oZN@6l1-6$<-I^62tG&YOJlFR>2a8Sj1w>sX!C)>mhp7iy0ZNN2vkW38*J z-_v{t{Ak)b_-iXA{oiBWMRuElv~dRLHZn3*T=%EAHdxp-cr zjtgb*13rgoTUwFYc5b@ti@Wf?#q06pClCOcW+G;c-yoj@r;*|G&}>tcQ)Z0TutNh~ zV(6C_b4DBE@rHEckel);&x@owS@EC^q+7QuRXfDQ(t@?O!m>W6-tBi%C!2{Y%%kn&? zvCU->~KKViJrs(M0G=WSwFV|7-Op*%hS9^Kjy@+IpO8b}v1t7ImM&%O zp~FB`v<$dg<4BbD_$v2~BDI*TTl%`pJoachYHLzfRLoDvWLVQ(;WW4cARft*;eVYI z{W>XkwYtBiN=@sN9BDb4DY`+ZOPi|v;Z_$4`CEZOvuTO9GFj&5xs-6_hHu>b0r$=@ zg$XJcMiX(W3%p{|6i`M;ac-WNQuLqqk2NHQpSW6^lHU1zTNPRvA_gJ(xat|zx!cqM zng#jyHeBdRDS_H!i*qVD-57$tbqEb69<{rRQ?+f#N%(%ae9o@C-aiXmAY}t(G8M?P zvEW0#{~-810|wY7CzErp_Nu8?eV%-;-ily)Z=+hO;mLn!76cWBfWuFQf9CQVGU!AY z-d_s#36_CcenWEsvb;y!nel8c{amOz`~s z|Lk4QDiA}?M&^pfEPn#7l*zJW(yjUHIE!gbYRg7Zf&-^}SAb%tk%>Q=FtVCoYT>vH=thyD+iTPKjn#+vvAOd6@ zdYUb>CEF)NadYUR&21ee^un0>2&Z_1d|u|u3n_1_exf9TOgy3-rn7k5bt;W=+EVYgsq5&xIq?$=n?Q1E z$)uw64ytv9td2DYH(iSraL8PdJKRO|`9d{`*Pv4=^^+F(Tv@w&$T*Q5@$pU2sbCo7~3D)bxF(N>wz_xCdb(}TtxeANUY+qBKSf-3C~=dbD38v9&$G1C8oN4ThQHynel${U$o|0o6WG|dx-IN8 z!Tz^ubek%fn}0V9qbEJ;$qsqcQd~b_J&}bka`*T2`D-xwa5R$%s^)n@U0j zkh>4JJ#RQRKKS5;lFNkf-QFxfwv%B@E&hX7pY?)rVBNz%TyhzEt!n^BA$}qa1whAP zG7T2lRtR|e&sZe>6iQsA?457nh|WeN_yP(TbcONRC|r93Z3$pZyiMZtwmqJ~8N7Vt z(C82nPLWw2B9HN(%ijYr9z&uwAj=?nqK0`#!O+6+V|~n#d7J%}Y$@2f?H*iblU7>( zuD|!aLe@#2MiOR}7gIM4)e2!Rzpe!eW#&?Z)coL3pA-G^Menr1bWR*kLyda>0;1@d zA4MzJ#{PR;6|^+FMR3ize0)L(X`CU$U)d?2+83O$bWW#@Oo3i}#`WO3e9yY$WuezrtMKvPXm1h+CD~t`z4Lhzr z#4Fs5&EO8Wm8gymN(=;&198laV9G!kR7UbZj15F^W$BgLw5j{{lTXj}^tDW$==H`` z`Po@%Wo4zU2V^w9@#t8PNeKT=XZRhe=sa;MkiKd|Vya(@Yu!`%(8eGv9$Uq5B&`9o z58uz)gjfB$0}l7vsVv&)4@{cr&Lz_BSbz%tBPvzHU11;q-q@l{uD2x?owF`UEV}f64zOV<~SGQo5K(pUw7Oo|--CnA(qykm!+XhI#^fgQvrMJIs-S0R9^kQRUv zqgg@|z5R(2L#a}t7z-tUIbX~=K)qZDJCsn43khuMa|2@5Gs{5FDQO-s*gKg615E~L zBClq7)ohHO05K-20g+3hVoBe+{O@bMxQZL%x&WrOLhSZiEIGhUJycN>vKIE3Ubn=_ ze-95$Z_j)X%GE+C{=;+o)l-0bLEkj+0*bh%Bm~T9!}eLOYnR;ZDC?HjQEG0uglA}( zdDJ9m@gjvVwuzUjP*<)er&-xx^qy(VX=p_XlV@dBFyqr&mX0Ja9z}Ib&U97QPrG*C zU3(aX`0WzS^@u(bJLx9gVR7Mw=V3_dwJzB;K+i!!$;8|O6w4J% zO*KROY{+!?Wz`|qlUuKX^(3T*8{k9Svsax_%PWv|VyEs4jbrk4GqL!>WBwrpV$py( zIibNl3;m7(#$#HN4<$DZ34fGVKX;?Uvj*BMWWB3dF1*c!**~Z|G_0c`=XMyl!stRu#m;|OxDpVg1Q)NnKq zY~ZJPS~Rly+))BDVOZvBw1L%9QSJ1&-9N3eQoO49z4{3GA6|@PZJ?YO;QMeWT>E3I zX0ccqW}8N^%9h!iSfeygZS$*8C=Bh(V9jIvn1xHTSjDos#pfd(Djr6utSgiBrwj22 zP#bJ^RV0zFW*qlxj;}Bn5uAY*6PaCJg=>Y|bqQi(0v5<0!g!EEOONEqukq zVN2c3yPejc=1E>mRoGC=iMi6D^t}Pl`BxX~<-&>s2Pcv(=?a&BQ$?;;TSuZ7m5N7Q zl2AOSb;(&Mxnbw&bkHUIqD5pCnrHWXaf@H^Wak~GjROARif0nTvibSs>{|%=Rw)6m z@SSw#>8sbGA|YZGAV)T(@{y#rQjV~K?mK!Qh$Y9rU*m7e^&3vu8EFK$u?J3!h_mzD z0NuJP(B}Nv08@ELcpZ!tuhIUY-6c90P#W}L;EcR%>PIDyvmgWOlL1r**j~GyQJ3}(fFJ09gyQ0S6cP{MZ zl^Wvg2bGV4b4a8albnFP*j#exo;c>5`_w;;ZVgGs?yHZSaDU@+rj;_7y# zj^rn>M41PA5f!FqzdEJ)sT@$>tE?xQ5o=3YmD_sTVX5&g#)VvbIOxkatp4Nq1cL0t;gjgd#akG%uZz7OFQd$gGRGajZu&F9}5e!f6&k3=vx=yOO4=W+R)DdcY&v% z4yrp<&tw-e3e|p%`|%QTvHby3HBJftg%g`MruTL(V@d-XZs@SP9Jp2?Roh&L%lWFYnXdxr6tNKXy2l$OoNe&@1}-s0ELdM9fOT$U$EM|i-fn)U zGhV7j3nyG?P1jy#0{rg3YMTH26l2kaGyeNA5~ss^E>HC9F6`73p>I>PpqsakfsW&s zsyq{!rakGq4=!&3((GccwU($X>f)))=@%HloK^Z+lTNsOX|B4)gJI`<#XFFxLVN!qLtxzXpTHBpC@GYK?IyB`$ zKWp_1T|CwVshzKkJD6}CZ4 zghHgM#y+PJYLGt@Q_VWFD@6l1O=}0V_3H=S&?N|(>xPvDqUIW7 zusHTmV7N$A_!pO^upBD03zD)YC!*n$vfW@`YA%%Tb098U0Z`KN@Rd(t$QpGF4McC#^bDZCdFF_gLI>^I?|$rmVIZmTDMOL}^wK5-!LBvjve4g09d?>=~T z1r5;>l78Y0gO28!oRKonER~lckCX{Ny z5cucHzyM{Qo*!Qm40M3ZMDUL&01S=POe7bERH|aY!hK>6qDA&cXO*0KefIc`I{tY` zej>dVd;WYqcKxN_A9S_g!9$m5ecGGo+>BiDb!BxRG~8cwT6#D`RKi-geudts%X@~? zr?S%I2gEsJ3Rm_W{x#p$%p{ZWenNWEYX2yek`JFTKUdFb#^yM`43J~2t3a{-EVe{n zI=qrhG(C|Vp)gI2gyUn^{~NVoH@k|l zcLG5-tT1JAih-$Hm#Zu8CdqZ6rHlfq1bed$qX4Fv8%cugVc?DB^5LB2tBI?w@6;aR z$tNPW$BnqF4tt4+dv|B1xL|JHD8KKZ3XyOK^atDNm0cp=Pw!;$IQ=XsV>Wsb;Eq*) z4yx~FC~OH$V7oyoGV}?NqW+_}E=z;4%deHP)?C%P)^ieUxIXpxo6&foC9WTQ>oHiv zQ(P5Vnp~HPvpLZYngoFoSyU%ZPjheSPW9^!p02wq{VGQvjPd* zHE)wYK3oQ1;KquJswbG?gH?`!+@AP{4O5~)-3z)^9C-&zY&=H}X#$)94A;zqR;k)t zTFCgs!S_5-pRkSgNeh(8_x;z>Mw%`-Q`w3Ij zVA!3i&EVu=2$X?d(->AbQDJESDPvCTSTiU<+Im2IhH)L)SlVx7C!r>ui43SsOx|@5)Wd`{=C3~-6t~~ zpTaGw&RU4ta)@IMi}&@9PsREZa~OWUm6N|?+B@AKVIvql&fAMCCe^d1IGm;W4049_ zX9k|H^H%9?zuGZD{}K`mocTT;$7N@=YtMo^SKlH(bQ29V)R7Oi87pS8wAl0MQ9mZ- zO^D9JZ?1a$8vA^X)G0$HtXK#Z%h8mY{;EaF4yKE^h88gF%l@?7f>@x>~@0o8#ef&ox1dlea1p0j|1h^iE`xiad!O&gW({s zR3QcUy%YQ#fIq?=k`(PHY-&)eD~~bY)uY|(d{*(AXO*d%{xUns2Pc>>-Y$%673Fs750WcWrn`Uto%X0BC@hJu{?r(1-ia8=A$=k04(o z75W_SjzcdRQK5jBCgm3cnHXYZdgF#@W5o$(F)T^S!wh@xPWZ4dNL69DhD{;yE1(v3 zet~EXMlfBEm%M)i=Z6X(g@yQ6)%NToRe+;|!EU3dtriUOS0;YLP3S2seC6O{jA`>~ zIRr;k{r_R_ou4y{mha)%PM+Ad?c|A#C$>4!#I`YUGO=yjb|#*1CicY6n|tr)pLnaj zwSPRd`c(HhyEay@Ziqp}5M3%|kb2^;ifJ%6Tzn1;q*t!;idMy{>OjG%d%R)XFI_iX z;fb?RpL^Lwt^S`9QYvwV9b$c@$2fzuHXH*8e{x_g&l!Rs-d^Kdzco^n$#1{Oo6~H( z84?IK!$Z*b$K>C&KqxDlWiHS}A184@HKV$fcJN0vt895+29wJ@*< zR19kcF6rk;GK@Ai!F^{LP$2^A{%XE>b7)Ub$n?!G;+S4)nu?3@ zU9?99@FSQN(o{j5Qpf_kgk2?jPIdf`xvw*CIOU9_j^A#{?lB89vIW&45(tO$0OU!x zq{9_oFa!YCZvVVOps8lWPFH4cL3a1axA%C*JM7r9y>ca_PVaVDuL8e>L6zW~b zU|G$0(Mu2PE}zjFo~IfIuPw6TQCSyu+}#*TDc91KDEX{boMLp-pdx!lyzh*GyWBhr zc%@Z%FvxEll0DbFQ+MBR-i;kXRe2;574~jXenuH2^|lnFhji^!P)526vo>J-Il4~F zP1luQ2o%F7Y&QfHSQUv;?LjyEHKc=Y4q@%AXZ~o<7w}gEAEGvn?BRY3fgK#2BX`Mi zM;u~2=VP2^QxiMNgRCR~X`-2@s3}is<5tiuME#-Yq9~VxMAqm0l2I9t?r~3oE6B3d zJl(9eeO6_$BsWHfXsKpgRF|orsynOM>4Kq*yJ2<5t4iRcsGaDPsNFhytPDv?4LXt> z?D>F~W_hsQN54vw3yUbfK_E>uK41I;t4t#82LzVgD+nwO{Pd zXd;`0zcI%Zx(Q&{C2Aa9TzGN{@Ad>L3Hc=!o>&{Yg$k@9xY;4k$3XE=so|9~{V=wx zhxoBWa*V>$&j%Q%_bFirwT-G7Lc#Ck#TGQVBS!6>uz3YxnN;3g6rBDY63@PGy(T3_TVTL@ z#-i-*;l(xV9<$h@*~@tth=TwQ;}B%7QWrMTII_$|EAoNk#3*F%cn6tqOWsmwQc}lmK|8zzm@I)(so*WRa7Gf2@fW&wRd}u{VLnBI4 zq@sl^aJ#kUw|GXa{7Km!AOIrBH_bjTsh`CLe|>yErNzI;vT>Q;z)7AYY%OE;Mnw8s zsI_?0>VV_e>{HTA=8fQ^A&;%F!t+OYr6`h2%L^0Uk?**ksMV&kAuB-yqJKpSw<}-< zYg93bhT~K=_!TFF_m!|L7P{Y*5`{)pNpu|nA2HDTTh>}SGJ3)xLjkt@{3N?mKA=5| z2lDuW)@4^hnNTzO(U-z_?bV%6quX3(M6ctAEsFgWmea^61hy_4aKDtQZLEP=yd3A3 z$E_MGN@pOgQ?~eD_%W#Z-q?ZkE?y~j28?zj#^*pW|L)Z1 ztmw+4jU->ODETUAL)W6!9`$K?-Edfu{m)Q$`s%iBlzzobSj)_&#o^OxyQS0OBXynW zYeFPstG(|OPEIC2wHqG6;)Fygcvj3Q6|h4D?`|WLZz#EU zyIXbhYX2gb3#!M^{>lcKT82X7FhK4rDI|v67kHK-c9v0G=9f{5_MlQDn7=DRO|ueJ z&)6hw&HfbJl`)eKXJZUD?OfDDVGUiDs@rd5{p;ji0K@9dN|zdDLevfEF*jnYBaE)q%f zjY)U<%ss-9Xn>PRG75D^9=$ghQW+$M@9<&zuc9Rhj1YpbhvLC{8o*)ym_ujIr95WD zXQQblBiPm_>MD>fbxiE5uu8dE{q;jrUa z6?wMg>c+$rIHem-v-;zxtBRn7s3D<>5G-Z>_R6L{!O3VFF=rb_X5ZrNU?UCq zIRU2zA9Kvr#`68NIXjQxY5i%yPiMP?+jINJtBa4E>DCjv#d}<7d=qIW^Qv%X*VQ#5 zRJ&4Q2$Sw+ArnO`KQLAt%?z8!t!T(|lL$8sbN3#$GWIXq)JnXXc7ZL5Tn5pG?rXbl zkoOFgq0+~$cTdqH`I3C|YHTX9jOTADWVJMs+Ibt<9*D1oz<2yFU`ZBQ%6i(LDs_S3 zaQd!NxNBP~Kcw8rnc zNAp7|M^|R(!}RS>wX|q2p0~woGvd;|!L2rUh6zT07luJyOmafb1BAF_z|c?%Ocp2x zA`9!hv^ebZ-P{(8_L!2x^|oyDs;pMJo;M-&C}S}+$fq~cC67S zMNIa7|K=Ol&r=A}^*1fSmn0MYk51BCxgh!!DW9D6pUFzimPZMyPAlr9K6$L}weQW6 zaeS{i;tbOLkQ9)I-t`gH+$<*UnBu4*kdOczOPq)K{)hOr4#NY#W4e_hLyv^gBPqtH z-c6O>;7PsWlv6pz_MuLy@~RMxsBJ$iZc&O*rK6uG%{$z?zfRB@!D_=j81Q12!+k7V zzyK_AdnEb5-oR+N3?&Sy<5X>tP_uBY%|^9CJLU1L$@Mgz-42J2rD(?rcJc`qI~8=U#6%LAWne=uKs1`QeA4xf;u$d`(CR}< zm7$5D;#?jN4bi?5(B)s|ztK9$Aw}ni)2cvRKC$9^EcDY1pb^_AJy02RIfFs12C=#O zI7NeHjaNsI91qMQ#o|y+4`hAt{eZZ%Q1ls4effB26()-!wBuh2&O>OuyNx2fv%n@M zZUNwz5a+@SRiUXvWOf8LrNCV;!>$^vs-2DyB%N67hWx-qrJ!|5B4I327Ga*i4&2+4 zS$8J}br=QjcdG@tfz@h(Q@pKr1btXgZaRiqee9uYmElW8+zwnX*81jW{ZbH|o{Vd> z9ZslCuZgSmz@TCf^^TR8EwQ)@*^(H6Z(|ew_p46%+m-{A<3EX|AsK`p7#L55 z!`Cb_PSm(V4IpfWez8J?$RJtz+S+_$rIVntB;!cbRAXs0t4`cnwqyuK|QIZ*7I$ovVkG2B+5I(Uv1kTaOll^^tW1yTrse zAL1pA;pmEt*0_UJXYuC{{L?74C@_nChXwzIz68hhkI!v1eTNMT03PSS8Cs;O^(?*Z zZ2^|Tg9<+m+UP~6q3={v>?j(~z7J+Pm}|THc`rn#msXFaRFJ(J`{0sLa1!-=YO8CD zcfQF+2(uBw=WX2W#!F<=D+xGGjDH=alK=yiWKDxfYxrHlAooBo+|UFRPT@LSy}u-l zyvh8OIqxv|PvlBz49nW<Dm7O0X!!YLqhqiSK|ni%alVInbb@AAK>`4%;QSbgpUZvd?dV z=?9i2RcYd{EHukLlqX>G<0xCtF5U=!Lh9_jH=`XTL8BH)BT?_%(0<@tnIG&fI*oWx zEhhPfL3?%O`g3uzuFo@3PcFzY=&(o(i!t2Pp^k3;l>MPXrS-Li?WINhgx)7c^mM*s)Eq>5iAs@_XS-{lJf8i{ zo_RO&dSb9A02T+xKlLXos?B5Q>8k$$tr6bse)*8;TK9Oe#jzNodhj6XKOTL@ZF?5X0;dQ`cEm;GmT#eO5&657!O=~Y zA-2ecCRvm9uxDkAms35W^Mr{RDJztM*oieKq}oB{7t4OO;$b9DW^%stxa&cIUc{ve z7bjM%#CP9c%l>*z+Hfyrn4+axpf>83L)e^koJC}FR5$@^!?c&Hf4H8V4;g>rg3VgbNw9`uyGM*}_8lTBY*W@a~_(F4G$L5e$ zoYjW7Kh3f~y6|?1c1&AKxqlJKu-3W+r+jaBTsfi3q!b0NrfT;*VW*(a>##+P*K-Ki}E{I zWCMpDPfqlnIIH)vpLM(s?Lzd(9(9c)-&6MPzY)5lz>V~taX|=tx+XS10O*ZAb z**}9*$@q1{Dyxarbp9DqZ9f?P$qeiXv!%=DC;%)^t-HQ+2P{nyTC%REnP=v_kL#$) z(1J3uq~Ve*%AZkd*l?eW8JgEm}-0%06Sk=@&b-hg2 z_%M5SkV2^aAw+_fa@WO0E~8qD%; z3EH$n8oGGeGURS#XgSlgy+S*=L6L{Nzsq?U7*O ziE%@Y@Ay|$2@|M9HR;3Kfy*>&rR-MSg`MBPVrX%v2QxWnTgw}}TQ%{zRNfzCRw;FHGJqtbL_ z2keJp3+6JSm^%CzX&kv9Xc)?0EQyY}SJ-7`VnF2Ija51Zx}-CR_2y%VgQ~ift5%jn zREOKo4$;!2c=vqFy>PeYw2|jf%B#&Tq`mN6l00XpfU_7z(G8O$dVT4J!fwhWiq z_lKOp=Ox2rbqr$3V?lJ9w_N(do_^w__6& zl|6rY%Wox-(Org?$9q&N$aExHJpQ=ypW+zdI4l89#V8TB*I6UiVzG+GksMR7$4E31 z#&>g~u^YxuHjm0~(cI5ZYLN1@=f@B}cgk*249}jj5qK^u(5BWbK3s~Q&bG}`2HBJLmI$#fE;v?X=aKgx12liouAeoTYBSl49605 zHn$?&DthD7le8oY=gT89r%rqeBnu1WuH6iJRdJy;>N>*io5`Mw3J0S(@$SkSt>^St zPgF=Nt22!b4pK`*WRKxKrC}_=e`Q7d3yoKlgI~*+v~HHHf#i5`4TT{I;)Nhs0*`C` ztBss$rms$XkNZ4wo?M{%bJU??Vx=nvH?=@e^w$7Q%s=NVw1Ie>y9NC>E;I9m@1jNX z77%&`%F<;k?>kVc4N+!l6YQXX;B4-tw5t~#JUDbLFmz?nY3yV z+8iEtOtEij>ihP+O~4wPrksccxK^CUjbjlQHJd2O&?a)+sMeKd=^zuRUxKc?%#xpu z&>pb4KT{!YSPBMLPa+w&jMOTk$j@jfTDeO-D7$A&lbGnM;*Pi_? zyN@@ASe;W+q6>WM>F)p?e!9m^+ojI8agJ*}$%T|gDA?wXvRI%xF;Ly~?WU%pkTn@$ zb@-awgs0{Ai55K~RXr^m>#iH@>P6G9QwlTn&a?|6m~Nfj=mY}4UypH}O6Tp2CenGQ zMI2LOX+j`Ax`~!TS=BnXwwKdf<=5L!jzJ3{3AU<*<8L%Ai}$0PN1t}FO~>;sVV}XF zABfDI^Q^C{*l!}MPHb-)*~6aaTtzh>O&;h(`$FVPAm z?#Q4jc(2RW`I8KZ!kt8AX^gv3N4*;!tF_lj2nc^@66vv)i+yn?WT-cag%&}463t$Q zT}z1Yh6P#|D=0zSasmm9l8}t2uPSzWBTs$K&IAVt?e3A9(dq4wRPsS@Z92cyIxiQ= z&*#3oGgFXVVrmJh$F8Dg?m<)Rg*{W<&uJlaVf(=RPzGazU1gdu!nN#*dbyK;6bZ=y z9OCRN2M^i)Yd@D$+c!5MhA@WdNaY}4BmU5oIqIm}`piA-L^r!53A-!s`02L*hct2} znd9kjnFhGs^j&^fsV+xKG?$zgo0rqX!j)SQuwiMd)`iV2zxur$H+k_aG9F#XxJk3& ze9WbsPR?uPI+fQmNn5^qI`xjF#p}=i%ccQ;$*p%%qEEkNuR0WvWRnXoQH!J5Yvvd^ zg@6`>;7-iI+Ma|7J~o7yUU@@;EosqkLV?iiqL%eSqA~bP_1Ez%eOXd%f zCUAW7OrY|G0lZ_;M}Lyj8MJh~k)SzYe_+CXI8s*&RB+z}AgAS)YZs{v{^LgiNcHUh zq{)B@TQXavdocnth?`6>HS7nV@72MFTPHnp0qTaP<*Bc z&5I@zJ)FXY&^LUeyg$X_V>tRabIsZkB{3$EwCMl7%%#nbw0xeACA#hm2^!=; z8BqBjl1v*`kn?NI{hx`u|HANKiy#d>SXDen$#4<=hlDx{{EsU~eE%~MO#ENiUt`z) zGZYwvIbJB>*Xs)Q@FI+f{_B(A|CyK%`47yB@P85b&vV-UAHJ` zj#Lc7_Pk?4i-O-DmcuBPR``WL(b{`Ru%T!tc=Iu#43dN2KsK**?=IMvwZ(s4zSF;k zVYY%}qCjC2A(w{O)2$TM%nw!ey^-aUN zR5R*}5P3F6l6!}}M^Pj+_GF^e9~5@1$Qat)IrxUjO?Hx(0n=In1r}myIQfHh21|?mnepf$sM6cJQPfx6oIkE-; zpA@D7>T;9UkWWz2f}@Qz3ClMufitTzejlyWTTs@p1-B*rF%$l_M%4$ZJcL@NGUQe9 zQmvy91(rWVLtqs(X0uexT(q!6lvgxSm#0HG3Yl8mheu!P>_`C+pB4P52HSv;Z2`*m zpPN2AJdJLe0D2v-sPQONHe35w{TAAM5DOgx_-P9s3-bh*!tx2bW(ykpE7-bK9o=ci z4U5qVr_M4`;R{Nf3k)t7gf5l$qiR-dn>w&u^|Vmc_bSR5c{gr}HjlM?Ag(d0Ic!Zw z6G7saY|Xi5&!2J!Dzj&72>#IQySec5Sq&p9z-NSSWqSFHhe}|JV|jI10o(G6Dc>?m z#VRsZawhrfYFAQ`i)@#ba_na3!Z)%52|_qCt;m}vW{$v(_|sN zPAy2CU=^T(Q*5|gj$We)mOt||v{G~1*OpMWop{_xO4B`f2qkk}qh#*4>^=4V8RYZf zv3JCH24CWj&p7-MI=DS55YGPhL99`56%&u#ri+<;Wl0Ah=SK=#_7Dq;ZpBrOXh z_%actfD5C1Qb^?k)iRQF&{z;Ygnh^@FTOL=kJj8%m8zf7Fv4(CK~f0Ebey*Te#cg3 zfjT&Z)+p68AZOlN#n=HxtmmQ=N~)1j4v+P>Xv+pa8~K0UkT?OtyNc;N3W22|+nZm> zc<)R!>+wxpH`O1Yw zT6HsZ+X|22d)rH4dauoa$}UbhB3qxM@3dycQtJs1YZ#lfdL^n#MMAbga_4VQs;%Ce zCSqZifl{(`q9wtbZlch8@}+oXhQmm0+~478eJIL9_YxK-zk`9`)lANeZ=(@r){vh@D!=#3#ae>i zm)AQ&G!Vs!LmWA|>5`*pT|9Wf!vCSkvX09`u)&cKQOl)nRgbV#a@^2CJ!1ws3b+be zJnsp{_M*qUW3=R8iC-fwdzye?@S9LY3$p}JeNVaTrPdIU)PqdY5Lx_iY{yip24MHV z-@gk}MHJ z4X(O^D3*Q?hAgEo(*7$CRuTtMsCldf2mKXT5*0^2K}j~zV-WRhP{on-3CRDjhzJEs z0#5~fCHZRTi2bFpt_#=M5EiKE z6WXwxQ&kK$Ik}mQt%BA3Yj!P!tjpgn6mB_DQW`*shh>PMQ-_0FpvIX7d zw}afgYy)0N?x{h3W=-_AP^TNPtojYhvk%Nm&DOR{`Ox(=uUBUYt@CMO|e z5xC)O{i86NcYozaOW>>sEG23$aZNvGhjyS2sV5X$AFoQ@JJ3whPR*nKv1r?>Z?-zA znWcg~IyzTWC;3Z%$WNo%d$m|0A{lF-QuMtZc%k3IXA}_9&xCF2*hVFs3Jm=c$-@&iqSO-gX@B%}?>CdI+_8hFXF1522}(Jc*37LFS1LPFK}@Xk9R!vu+Z} z2z-wdZZXNjZbU9a?BGKMbdL$omlyH-Yu>0}!n&#YCpxj=VoMH5C84FZ*r^mj^%oc+ zN`iZc7CWJ+IiJn*-rl?ZYuLo!!UK%LGlAAZ{1&9`iwl*na4>)j4aLk?C$BN zZ{aHHRKRF#k&X1oElk6W(mWz4(o75$ysbfa!XJ8%r!>J06@lZ7{5ga<-~=^KE18%~ zJNMWNU4*iqKp`Z#-O^sx|L_7`K5{;3#*JWy8E^&1B^79k3hy5bi76XPhxS)b0;ASM zTf98JAjT)!afVHEK`I!gv~FbqZ4y-{8|jzm0SjJ~e?!*ad@^iB)0#HLwqGr6V6iL_3!hhQQ$sz4^y(-{D zP@{y&8&SDM{!}$wl)XWDlE#*KuZOVx3XZlszizuKgzL+674AngaMbN(r0+5}pxU9H z2hC-TscUWf6&@no6x4+xz-1NW7@3CleD-z^l8=($ zW(lLj2`g7cXERo7%BSiT5!?ziX=>AZ+(A{U$neTC(J$$sL}2;PjE7(Ol^VK{ddS;y z)~+_Nr~9=O8#;I;rZ6E^y7IOgPLvoPMNXGaM4QDLey`Bdn{-(bAB8Ni0F}`doL0+d zLqV0Pq-GqcRUq6V(&w4q2hWa?%2eA@ELI(~nRUh8#BKr@Fa=?CF>d4d{MNM;LzM%` zo?)iBy(EO+D7FcOmqIt;3%D`33uDNa$o9_50wqebE!{c7Q-%vH*!tePRnZ^rMpcb0 zi#z~n7aoN!tQHZke#zKw>ure`)}bX_+||E}15QKz5aUk4EGVvq9FZG!pI+u(RvtrB z8tJkhZqWV`WPqdpjdzV0J+2x7hd)fokQ;JvVrvT=m5M(9;Z*{V>U9qGV!|NTo9f@ zU+oeF=z-{RhB#-l8=@rq<<5;_EKF%HY8XIvh`-~vVwW*ZE!Zm9%07HihBkXiGqK>8AJC)V zoxBS3Pw*JN%KAi%#+;k{YHo>P&X(@!jUAXZGCKM*l=Ox;?^seyD3rsdZjBs%%WSrY z)iJsgU=n(~_ZZgHfc(MO?O9YX;u<4KAMh&QVY1i?f1t7#BtpaOl4ww_8pi#pwCP3w zXRM-pOoPEbNs*xi7y$_Dvr!F^?FQQT9a!*Wdi04^6ron#p+azKBs@5uE`v`cRFOaP z8!n^VbeEUxdYgj0j9vyuhDESIze@_-a|1V;PuHY0;`Ma7&|C0OV|W<)T*dK*k>>a%&=?(BOf@L5Mkplfbs9+Y-YuSN#5kA zshjHf;+{X3pD(yXN{=K5Dad_IRX9rnP_Ppk(!|H1pUlO+^-;5VRzaUlp`4Jtu6+hU ztuCY_PTydtaSMQRDv9gU=j8o1IrfI}p|kXgFIN=EZy>>F9beTSaKJ*}$0?#~Lxbd= z%DTc*Rh0GQHquaeCcB#(9UNpSlkTvd4%y68DdKIai&wQlNpJ@QN|j$bjpJ8UZV}YT z^aqA!Uf+TJ)%#GEVyHgLLHEHW8YSb?#Zwn$EKNm63d^ymsovK3QW8#S-5HMAIJMXP>UGq}k}Uz?KM{N~o+zm^PpJ z;Z@|xAe3jKM`Ww(vFuxMNYvw#aiC52Fd1AY#$PueZ?Q2)vc>K=-Q>m1GOq}2fL^iQ zC2PUs##-DU6~VaGzjE znN=#!VU+}AmH`R$@;|6xtBClEi*Jm6;e4GZdC#!$pNf1r8EBTozwR{fF=PrLbmXt= zVOwR@89$Z4xNtc#^*4SYGhAb*$H=OZ8-RM=yC)nqI7X_aNATc zE+Sh&BvComO%F3EG3&6$A)uuFPt#$KdFp}G|B9f>dm~Ja7lP=%>NP|YmRR@>` z7*?E+){U(*{>(#KU3@K5dZ7&!+ALybP-;6N67#%N)`X#>NMX-O#=P>uudC(&D16L9 z3CN<2fC_eo);;jnLs;EsGkW!dp!p1GQ+nY-ei#5rh)o1k(XDfV{jXrEYRq`4=7{;Y zB$Wl3p<|eQRkX_x*6yJAgn5_{JEA2$%WvsWwz`l7Egv4{#?JExU&+Olk>y%eh0S*P zU2pDm0#|Y@#tv9p1(O0Asw}Zn))}g%cS(gTTA_)fSZGbH{-zDmYHYK{*BM$24TIc^ z4B*b>Sg+)U!`e^D*ut>O@P^VR0ISO6ifMNkL>_uZK1Zcp@knS!Uk_ zb6E*PRhe)&Q6R}%DTybK`{Ons@Y`%{=?#PUnAMx|D!k5rHAM0Fa-WYZaLs#!1@rKy6uyM2WvS|?|R7ID4z(f z3ICH`e7b;2Y|;k-s9{`icZ#R3oQeK(i5^Ks+4c@5bTIbRu{75)S>@Bns5!@2YH~Kz zR+z_6s^sH>^o>#OKLRNN=B(x$$S1JumPU7*uz)BF+zY8m%TE}1HnSmdhU zFSWmPcz#>Hkyl$}7^(nuZ>T9S&U}nWB)ej_0qCDI~ohU2R! z4)@4By#Pz;G9X_mU-ddZ)pbJ5C_TKA6X>@{RGEKqWpb}Xc}`KIpycH#`Z+kuxx$%6QG?Y|V%{0hq3SPL^h3g-2+YtS z1qUsjFklM(=mFN&1!bbZiimYR!c1Oz(AOx+A`6!DvQWUd=-&G=_HvphMVcLi^4nB9 zuaA7tG5EnUd|`;JF_x&a!nd%n8EwDqh75!LoTVvRmvmgN@wyihi} z+QmX9N`2Rb{HGT~78BZXO@)RqFky$PWA68Xny9i(Wr1XrmnUip#mecG(KwV;n@rWN zY!dm^EpYn5(M=<`3>qZOc38${sXq!ABWs(E@_qBUac^v+t7TnZ(i`K)HIe5+rf8oV z_aRhj->2ZJsn&=9%>dx=6PV*QDf&bhrw*oZsp*pKegBJ4Bhbg)Ea7byO7SD|!|0*XNF%#V@2na_Y5Yzru^j;hos%32bV(btkw5Bc)UC9w#0J(R? zO2^dH4}pXoE&!AXg|Lpe+W9=wOJpvvr3R%=$U9#asd`dN8ZKbDsJ9VO1RGdSI?C`I z^P$!I=n;J<-#%mx8kPZN?%HOId|kAZ(+^V6htXmzQ;Sc}N^cQD5gw|r>^8P05jnXR zXhmNiNjzz$*pdvKCE||e_nr@P*V6T{M=XWoDfvowe@OW#O+E9y5+p!ui$CEV|1pki ziqIzHv7RUE?B^xB(&crTKq@Lq){h8^lh8mMA5`Q3!62uYa>2YMmcxKO_tCL~Ug7YW zV-jloC2AZpv}Vlu29S88|JMc3T38*@iOfaI)!*>cb|(R{HyQyE^+5H7!KCX*#-T` zg3nmf^0pRF6J-~aL4Oq-T1`;y(ox#?RR#jF*2Qq%r7V5Hw@4-<-X(iMWpoj>>4mkB z25qJbBK%$DW;zVV3&>OxDfWtDjzH()o;@p(CHC3r6G=;t=2QqU&8KD@^%9?c!5S4C z=4CS7hZ*|Cic+j9EWWb}Y4a3$;0o_nSxB6ABpcVG;*P4X?&w@b5Eoq%> zR9F~_JiZ@0NJ`H;XlBRr627|U1nuPr7Xr2)=Wj4aat6Wa8*t!5U$M#x_sKP! zZ!!b>{*6A7z(`rVY~gb*SPLq5KX%!LM?XTHOijo5rO=E5~GBdWvtos_njf|gV>%%h*GH~ zx7-$fhs6#MwA|kW7ov4ugnIX*lwO6?y@f)+dlUrin{i$;Y*?@?)&p_wgEvwz*$#p2 zuU~~$Mr{YK5y9M0Fie#lr`O+llgY_A7!jWu&LY*tP9$Uh8p|+*x$@<^9FJ}2L8^6! zc!jwBYcsq_#bT=!HzN+|iEPjx9uFV1H0F#rT;!a8jEVe*6Zn$ejkU3_d(fq4RmBn( zi4Fl@Uw$SU`?Y;-x30f^5NM#mVIUpVQ00!L!{!6j;*K7#|0rYWrq4F-&@0PlsntFw z!`+agm~=S^b@Ut9@x_yj{ZezQAERg*C5`$0jSjMfhG67IQR>EK`;&a_Zg6KI2KjnL zcwBCsaZ6-hr=6vl#Fa2!j(uA&P)SU1gTl? zWVlp5!y;NlW+-vx@$t0v*d|k6KycD;SUqD-7qhMu+PIL0qIXV}v>ZxJ5ae>^8Z}{! zlw581=T^_6=}0r#qXg6;G5EKf{rkwQOtU+@0X$zS1)=ajISw`cGOT-06Vwo6Bc%{v z&>5Q>Cx5L#P1CeoQB{JsAo=37hyk>8zY7_QCPlPY zko5DHPSSA0v!JNPN$MwA{<&B`uFs+N8WSVN9{>%OV0~Y#nXZ($e;5Vd>>Z68fy03u zsZ6kp?z3`YJxO#$pwT>SwfRVL_0@GhW+>Ug-$iIqJ*K4Ud9+D6$v%5puKpL0YX~+a zlYjs|@>CN^4mQKLX02|lKWOtnas<@H$x#TW7j1x_!d|4jymXrL^S+e;8o~Q&!ied3C4Ui$IyRq>U+I9Q*a~D7HL^ zk=~E^z!Wn|H)A#QBp|Atv2W4r$Ev!3m!vAyPpR-)N&Gw~+bW z7CC+=3msn^DbC9Bd~|WB2dX6kJJn|2s~9qC!hEsu0(E--m`;eSNmtxrbkqEvV|?9= zFknGD6*?+^cs&Vsq~`s*?j;?0j|OFyl@WIMxFp7$~Kg);K>`Y?^)&=uWuv|H&<#hxQ2sIL)A~Me~46) zI^8_q;h_KIa<*QlCmVM&y5lLzO1BUZB3iNa?oyXROceEK?fS+|8?+j+31)uuIaIfG@YuQtx3=!VQDS0(3?BI+q9E9tE|fD4dZ=~0E*^_ z^3Gx!ynQFc63&fo7_TVv>#@zNNa1Q?kY+tcz9=3-ay?8-gEN-ZlSvh`p(^x+rGa;@ zZ#=K?%HE4?a16xhGD`g>9Jf zabHIJ&S?xnYLT`IgU!-y_JD@7p0v?v$IJd6zyJo|Wh0h~n$?Yt6`}+nGd7n>e(seH zdt<@uY8M}LYxh8YagU(F!ozw7j2biCVW@4r%|tpk?u{bC`FvT_E7=hWc%5&#i3?4S zrf{BYCx~Ic^`oGN@}d@>(S`e4ZBhX%5W;Zb%}IoofT7Nl=whO0`%~OP)8B}65Mt$; zXaE*cD^mDC=q<*wWK$g51e1N8BRw=`CFx_jbC?HS_xY*nTQe1;E#a}62GLdd{-09z znuT&g#*y9*3gX_lMpWt54j`5@!4tu{662z(Ah=JsxI$7GUA!F~YpExw&JqLnn#m5f8&8iK_x?Lt#~QS(D2zuK1-u(*DBnV z(&gOadD-Mworq03s$r3%s*??9p57%HXL>6R!s#M^^g^9-fup>GUp*Mp7pdOVzNSY) zdG4)I0M#lXvm&2Jo`Ga z(NAIIH@~WSm>m1Z&(i&2!2x>Pc{qQOYx~`rgw`>dZ_@%_XOw@~C*5XH90*RRP3bZ0 zFu|NH^K}tKb#kC2QGdlJRQ>uEZUTq9ue+ElCo_F+^HM`PSL$Vb~N9;bhCy*MbS9knX??HF)YO<|T2p=emUh)r&M;{DbfH!>Jl&+s^4&P@RHVV>|Cr@PS_U#&x+AryVbsfNMGM- z5uqlB z2FFRC)gYLQ?&oJo38^A>%pl+`2M#d#9(tG+%agTlI%Hy-5_c9@^%!*GVrwpJy>+`*qP?MNM@meMNcsv`4LoH#+NSy}Tz3%}I1!K2 z++!)W=LtwC2a8i7n2!W_!X<0`c3^B+#L_uW-AMVjPD~h+6JZQHHuTU0B@VAuu{gZQ zS+e|-cNWUj)N>LdHkA-54;uCEZ2+dboiL|Qp?)^2aU;=TEsB;MsZPFwj57N+2T%GK z8n}#xVGoBrWUeS-%bF;^42v+l+EL63Y{8?040&yw@Q#1b6~AXE4_{Q2eIi&OE~w}n zq2-oxfz{xR3Y}RrR97%im8{YEHBZ(p`AT_4B1WT3|0o%DMl}>vy zj$qkm8J+>#(};^lPf!N;Ra8lNtKe4k61|9o?QYM1E|q4>lStGv{BuMN>e6CiQ;;>R zU_`r51O1jI@w$?85^*ixfRmu5THzaLgkuE6h2Beax*SVRa0E_2ZCgVP=@e>Bh6SKL zS}(wid7}d~&FkXs-`8h^qpF$Yl$TBSS%x%Izp!7zxZ~fK=(04a@~11!7q(+5og;ZHDZk6&2Z=+~g8s!3w-1f0G^ ziWu`DJYP%2dKA#eC>Z8J!)ZyZrOxcn-NJciY*DW@E87FN7{N1=)9Zt`rm-?`9=8KR6+YKYJk&y2k7!zA8E?; zQ0$l}3oDNJencE;$}8w~F+qj9dRYF*B2-5K-z<~}wF$e^+g&kh361FlhBya{kBF_H zZo|4!n#8b%!&|;vqqV{{`&YuSJmv`LZ6SM3`J;Huz~H&z2h3X2?N$65tLhl|52(oI#5MCWS& zqaln|I74$+p*t07O+kd?DmX6CO@NvOH2NZv&j+#0RDiV--Jvkr3ti!QyMZc+G-We% zq7x_(+XNS?Yn4G5UNn9!6d?DT_Ua^=R7UO} zw#Kmj+H>O!1%ABt^@{ABP2Z}bb6>O!j4$wH5DRb_5)L6WY+<;Q81gdaBoK_H$cYs) zfRFy-0y|c*0>*SYi5dBdM{{%sfNi>4f7Aq_JH+S>i!C=LdG!)mW6U6T@NWHii+8GIR zaAtW<{C4W9SkX36ce-pBg?sQ7>Xj5ef`qDc%z~e9qbbV}6jtr7Pxs3tuwCH(~K%PgVd7zVw>WQdmPDn@{)^BGhoQT)4 z+lqvpVIt^*RgMEc3Tir@xU-7(gwB`7a3p2r6NXZz#qKxGAFLLgr*A{}E&5`#--e3? zhZ7cnEjDdI20oe>`56CF$bC4t%J{^hTg-M6%6$8XHrWG#rZ9dhG5vBLEq8cRaxsa) ze(yWHF&RpP{V%vZLS*6El9d_o^TiOl8ulwmh+#C^3A_{n?TFMU12K?K2$paK3^hVA zqfym2z4g(*{3*rLODC$uM<8$?#z43ZR`M0b6toq-`nTJN2(EFE4@-j^@$0BwJa8Z< z5b${}eB1F6LBpdtCx-zj5re<}A@5tYL+J6|v;+!ANz&m5!eMGE>nY7REZp*g$4t(D zAbbWpKNmvb9wLsCbR@N5F&a@Z7H!kfH=pP$hVahG#SDm%02;-+x8hW^ka|6aImPi# zz8FFf`@WU3K7eXDW!d;pG$wU>rOMwyvH6=A`!jT7r~L-C7klR9T1!Yb9DJ|3=3ilKmYL;d z2+ucuu>XZG@!l4VI*8ilLUEBK90>_mA(D_evV=GfNN2I~n~#=fgvKxdHt+D&j$NYj zH=!|{ghc~y*{@2Oxu5fh?d#Eb$WDkn+6e9D?JVmx!s>C`iv*)x0Q zbjCC1oFl?~^{;+4GjHZCF8l2+!S3I0XLqNkyQ{0Ks;jH3t91$(MWcV`!C{S6=Z{PB zw+G{7K)8(mKrLCl1yQ<^Vx1pd3+b5)#N9@|XVN8^Q${-ug%E$^QG@U$I7JQzG`QKn z+^u}kUfb(JReRcr@w!CXicpEk#%D6sz8<4i^K%eKgnW{C)g@6nOJgkKAXzqe9HRDc z0APLV*Q`+g7;wz73(hb7Y)m8yrE||AfZ;D@B7H-pTA6Oc;d+Mm?5nl_XSdIZP?U2w z60!x$$N_?D1^zIy=B$&4LHLxlB|;*08kMTuZflqE@KfeXw}M_LWf7CkaInc$k|Zgf@Jaju0^7)fp6SpCJ1508;{) ziJ~6VxY#P|C}Hnnm?s2Ed^i6Q8hN|9HYm?1Q@>KwVFH|c6g3qiA(bYYIaT?D*9W&J z{6`vAin0u`w%)jTd50d|%l8`ViBEBZTL=iTQmiz5!}2)6ytZ|#ATtvE(8=W#L&`XK z{YQY;3cr`E_~wz<5b;$I+@sVHbsA6^v&R%%i0cg6S%&a8+pfBl6E(?uhaw*B)6u zNB&W=*+=Ln5=}3TS#1ze^HU=miCH9jQYf5f&b@}(h@>|e$@Tz6iMD#pgFR4Fed;V| z;Y0?0U$d8q@7zhiP%Y&t48-5kZ;q4_a(5{)v#7H4FGR+bqPRH@dSkgAZ!|AK|DC&W zoV3hDPbjNXgyR(Wr9toY07VH7^kNp%ndmE;iKX9;fL{2fNDUtNk<5B>cul0NL}8tjqp2-6vww^v`Xi@${5z?v$7D7NBH~ zpN}bDchOk&xYGhpLcRY4C3BWfEZ=p-Y2^z98``f^Ai>uFlL-0Z;8ng_u%Z2WR(l~W zv)0p~Ui3>%1T#T(FTjaJ7o=YXpcAh}=k}pl>}^f9s#zg!8638Farg~f~*TuO&> zg1902ijiS<;4FQDLm^QYFDq?DGmJXA+;Gw{!dC0lqk|etwMPx5r%;U zN1sb()2_BDDS zx4V>txJ!#7MoIHvrRt8L!ub^0AtxMs(k;I^m>!A0L0emh+Vk(n<%OEXu0vFc0a-5H zxJX^LwAd2zj4xoQEent}OT5$Lh?B3eWzl6#+=Ud+jL@^JL^w#H*q;ottb`7A(@nx< zq+lp3P$T_|ccgPxDj~LSL0J}#f9uN%QN2(&d@U!(Wz~kwcR3*&l{OsNjxLoP zM+69LdNiS+;Q1Nril5`*Gu?1}c{ZU2l>n z<5)_?M34LQ@zcuh$#+WF0N@jg5d!tEZsfbBh@D8LHcpPusCN_mLn>6cv6PUVdrY9z z$p6>^fIGLEy7jvORiQr)VOl7o(GZGr5v8ONw+`7yBYJvIEhEl=kjCqLwhp1RH3a4BJr=;oV zr*|$5di3hjIN4F%31a&kx$yxHD>c28(&%UR3o4>TL6nv1Avo0NXWPpV#Nt`q5E%}W z<T#yU>}5B*p)7`w8bFVL9nJe!0&>? z=m|<0iQZ|%CT9>v$E#Bcr`kKBZOYf#oRW4K2w9Q7A~TT^K!0d{d!{2MMB@1GAf=SQ zFuz9E0t+C#f7svC*1BCvOlP0vn4bG!`Pb1`1lowij0;^qmHe1ch@X^aODm*`qzX2lzP7c z3<=CEXJsTT{X3UzRgMjZ?EypPl+iJo9%`!ZrBp|`4FnOdLD&db^bMnH@0flPWxu|3bQyJ|z0I)Og5Gm^*n+NK zQj7vGAfwEGUQEWBv@$XZjR;>Nu5nSJw>$lM5Y-V&>gM&wm){h&Qce+X%-G!x&P=J0 zzr7LuEkSyGB!Y?nm`vNrTzLrn`d#`JarXz|Vu^IEL%AIyPGaD>`0XJV$q~ifN{gDb zIjc^j&BaWaa8&4_8F*~g^m=&;-7)qWvkB*Qt` zg%OW&z~mV9@kg{TZznj^@#+9@{S?ef49V+F!2XW{7gLnOHT)c6I213phUg^pc@Cgp z6*Vq*nw&H&D*Ex1 zb;}$@TfvBpZGvbsMp=T`mA343LrDlP{st_HB`}V=LLf}p?9n6Z6!^0w#~mXwAhx#D zKtVGAB7)%H;T@M$KwqyZt0m7a*?X1DhtKwz-h+Q+5 zoTAS9v@wMH0sY2KiDtg8^=luRk$WwilaR%wJ$qkR8ZL;^DSNLvd3y#!$)cERW3IBf zasu$w0WXv9F|YU|RNC0*^y?Gm6gH7@S$V%m*tV|x#b%;~1wt<>kDY6b1~~eUNdUR` zWVG)*>vB4gE(J z$l{crDlj`HGc4F(fnX?fS0^*9Wijm9<@8}$Ya1B9(Ju+O*pT2*PK5b11N{07s!5H?=`{3Z!{*%l*0<9~$IwZcu9pR`7s zQv?})Cb|o0qFJ0k!g!ZJsBe=jc2yA@=|rztM1kVwOZ=a;t$HN3_}ipMw*PKiiNKGE z)h)3Ix|hVhQK~oATUlO4kCR0Z8+@czHXP1CzqXf$UUtByb)9^sG;lD~J0nt*qeiSc z$WjR9^oKbAGv@3RVh%Z5s^*|z;8N%!D2~`{D1!CIu$#mD;@2E&_;D({-6}-!ij){R#r59C{)nPtU+jLP z<8uKM8{28qpOLzDEQKJtk}fkz;Vy8t>1=O7Ogjq+$4rPUvJR29bav3*9Z0{>HGZA( zJl$MKhjI-4!iu%QBY#g7=?YTh7g`FMxpc%6OPa-i;Ft1^FhI&FnvXXo+LxYa=8eR_ zX>f}+SpH=7 zK4R+8e(gkWG56^)G^|)UR)8Vz;<&G5DSFBJRAx^Fep%qTgF)abfjK*_S#B@0r|N7+ zplX~(gJ=$1X^|LbsMIISN6-gOMXEWhhlZ+oh7IxA^65b|RQ(g*0}RNA88#|k$S*Vp zEHE?3P%0RAfQVT|EJaKjZ26hG;WmY0BnrgnnCmmp18R&HWJA^`G7Jh~7ihj86@qmP z3+i|b2v5@6i>Z)BG0WTJDEbPJ`<4(RM?8V@AGR2I1zx=wVnmAK1y}5rgKU`IK!zcN zCMDrShLZs*jp_ih(Jber5Gh93NyPQbgmB$>rhqHAJ6$eiyGqqLwF4Y3{fcgd*jQH* z#XFH~T@YkFAvXAUt|u-}A>0~?Jv059d(f#8$Lz}TuF|m5#;-{KGo>#{R2+4RRhW6~ z4(qY|O*on)+|%hGO3auI4yV7LpP5fDB2;QC*O+x0dMTOXM+VZQTcQ4}^e2`&7 zn>h+4&~_dlFvul-rjP}QBuoJtY8x8`U@3|&mj7aQD%h^#>Re5mq9|_%lVNq_{^i6$ zuJVQaVi`z4TL@bVKE?oF3TSJJ}SvFn=85mbE zikfdb#WNTfa-pnVLevnkGs00>q7V4QH*!kd#b{ovw7Zy=ay}GwJ&(bw^)1cZ{jyTR zdRAD5@{hA>h%YOJoKNf0zbvbHZB3-q;etc85~mHCr9Fv_nPwTyA7wavB|$H4{7Vd* zx4e;MsTJ#TAy^G?1l^CZQ9gtoUPrYE1_G9HajjC+LD0s{5Vln~eq03`m`5D@S`p5Z z%qxkNcpk=MICBnnE@45avpGd}bQ+>XD9VN!&g&A4)SDypXv$795Q#ZLAZk$I@GLp zus=as3NT6(l^tmfON*~#PJA6ZL%;IEclAjp#G8_N`Nk`ED}ON0E@iQXc{#sTGA|pa zJZ_?LSTd9r(kIzo6t|x^J`o7nO(j}F!j+N{N27ocH6K?24*jZFo=e_(I<^WAHm>x& z}p#dVyYX@b(+K#9U@6ttgfNM{?l`{}FqZX_UE6sbfJ3$b!Z>4$5P? ze@+%zD%sR@zl2nvw>IN{(A(OY1Gqn8ro!B}j+hr|N89?53h}^=I4;2MNS(EHDC+ke zpakm0*7lIVtZki)ma@9gmn?jKC~>E+9{mQcG_Akn_PX_q$AWe_&0@b7wHU*uRyaM_ zxF066QZpKVy@_q>UjU2SD&~*mT9CzoKo-p|y7%TTWlro2uOb`Y!%A3*@8x6F0nUaEg!u2`W(7#ySuzpD94SlN*j&~3R;wPiSG>b4r zge%%v2tX0Fh|HkA%k14$T|)GsP^WK;RT~7yhw17@Yh|aHr6874C7ZM@jCP#Lki~UA zaa8Mx!&Xc8%|B1Tpu2?khxN3rfpq>=sa};^+S<+frO}m_pmM7aLy+oh67YAl>n+HU zo7cvueV+3(Ff#t7t?bMCrS!2Cr6ZGOjBJ#Is$!yNvLxt(FM=ZUCx9yZlToEq7i8+meL?q(c6nsi$J1V zJ$a6X^g*&|$>~IxyU(Pp=)ir>){9w_QsYIKyZI^A<(IR|kB;zHBqZ&*pONTGUVTn^ z!7olzQK`KVZ!sA~)_0t%0QjG!cID+cW!{MvM22ztLo5hoT}@C-L*E<#dfC~>foEQ6 zT{&ev8Myd6`h`Oy=7^6(pI18Enc4g-kp~X%$m0)PuRO$fSz*x!>fC=eJ3@&~f&W10 zEE*4bA)DJ*8}=*^d@ib6)7EG-?_J35WH=P+t8Fm zmj?Ki7)se}E%VbsTGuZBk5Ud;tkk_mGyhX5L@DAqaRWuNdfXIV)n+kPbL0(ck0R;> zsPk`(7r>vi^HEA{TIY>Yq4y$Sc4bHKNRt3hjgz4Q_ymlZO#+-F$c!|e0p7D*;&8OI zrNq`BFs3J;#W%~qUNT;((Cb6K4W(EQ8ger%iyr3Yf7!yPg38RV^{eJD&9M2at5m2s z94(_0Z7GPXQXRD|(f>xrH$!pAjq|?wx%7;DoIVM4~qNPk%zTXB#J0M3C{p}=dkY6gVcH{L9(j_NSq=A2u zq-1st>)|Isl%>N3y?Sqjy&!b9zo`NDAx$3(HX`vjYtT^V%;xFg8peKY=^Vgo)Wn+w zLY+8P1A;3A8EQT<64eTK;3>!NB<_efY9ffHm+?}OGDP5vxOy)!jBjXcelVEj?7P|l zh-p5Djn`P%crKV|mP2~$Rtsm%ls0ZgnAgL4TzG_U%DWF-d~?AUdJ4dt() zjQy+h0IYwo*mUXs@@|a%^5BrE4aE%9_N7bw5pP%%^Wt+WLAxs7@U2_iFn=R!hkY1I zVxGV6&RS|T{wFqn-rBl^ZFusI820@^0V zG|hh&LlM5BT+4W`k&R~QgRK^{FFDDT5h-(1p|u5m(*j>pAs1mt_`5RKEoo|i1TTR$ zaK^)VlFe2n(FRDcFF0lv!mk2CGWaXy0fBW&GL(nIm70lpmTZak<7e0lD`nD6e|g~v z`y`m?GjNCvmJOO8B}` z=yCp+q=oOn$9?h>9Y%$gJiPMXzQ_%GtG|=1s<%zf5+hiH8^y!Y9wJh7vHu}&)`bI1 zb4Z-YR}r|Qp=2S|h=7VgR#X2v`LzpKIG=LV=s+7UIZYbJBC+HyBnbzK>=l9LX=5xn zJc5Ub6!|JYA=)H$g-ufX!F9Jn8%Eg4;k6Usx}H?X1O=1{&3B`>AIT#UpFlOvykgVM z{X+9@VF(}FJm)KH7(Ey0G)M4}Oi&n@g?8B+rTcIb-)4j70c3U zPDGTVM<(pF(M+@-E%ZO9gOVC@q8qDBw(pIE8_OpU;Ep;Q~ zbppT}9qw#6+?w&)4+wEUB^s}|ocgplU_8Jig=J~Y_~VkCCHLt)!05^(MNnm!=y)N~ zbX~bWhivlxWh!b{R%atG*mgYZg(gRq0dAC-;W z{?}xJ5AVz>;K4d6jHByU?Z=iU2oe+wt+1nj8Dm=H;tg4Z`m{(wy%&o-#^1YxRn;xe!sBqhq}AngA1z|y`#Y|60MspFHuyv->e84fkFhwdmE!zLake+2?rG>f;Ua0<#2 zp*eKoxI5tlw#C#SDLk*^B^Miy_Dp2L;W=yTMIkNEKStO$8QI9uCl}8LopLsXGqEp; zs8sZR$Ty3DLk=}o9U8h3vU!FF*MoDm4YRzCvf;ob6)t8gsWb~FLUBJ>`jv`G%_kNC zXH*E2qRS<@WT?T(qOisS{A}1^4IZZ3&`TAtdqINtX9tDa6$$4+g54-(2}d6tF9`r4 zmOjSQaF}>~2o9Y)MrBH6*}kFS@FB+Qa-=Mqt(^8BuS96ozYbTj@Zr!4!LQPpXj%BH z@9^U^>Mzu5Wh%chi(#U@U#d{?bsG?}E1N9alcC(4E=jzi(J?U(c3Y#a{ER7P-5KEi zh=CCcjM7aLQL#PQ+=(E%h@mw85~}gWv=kO>*jy(hlvW+CYL!#=PJ~1H zQ3dmRFePn@WV3Xqu(@LXdC7|J00E|o0EW`x0A#O7bBVPh7abtXUy>{(wspY9Qp|cb zUUEplCp`uufpuMS&*PkybvomF^_E-U&o`1)T>qzan|f!q~1^N z`)iq?1NTY4QbW*mpv2HC5cz)n1X+w1Vw5xcGw6C50F`n&3nF4U1KptaWU+EXM(s+^ zo|B)5wGRIzNeO!fh-uj@VSi_YoqU*R4kL|3-v$iLM>udHro@Q$X(6PfCUiEOP(?3{H7IlVR&FYITitho38=o`T zJAk*B2v^4J!~W}`1Iu#=5)}CJ$4Q^%aDiycvL+%r-g?%CoHO&G>Gkq5&VTi-YHJJ? z>Sf<@O+tgUbr94kR&7}`$sR1B+n$zxw5?;I&bOudNN7WEUlkoGlV%rA(g4n@e7vMa zcTXjoeudQ*+t*0>Pc^+v*~*^@c6TbsjU?+Tz^E#^z6_nC*dZ}-KTBP*8AOoz>C1$_p_EFS_B6p_B`GC; zdv^F75qG9!$Jh@$@8-&)BY738Gm6=F2*pCbVlXS-6W&3N>FsC3Mg(TJ0eHi_+<@cL zd@__y9MZ)pTOv+KWP#GlCMu6bq&&of`^{&&b*D0WkqW$=$r6JIqi3b2Gx^hxW`1Jc zzWCx@G@@p^;!v_0h4naxs7l2E83Ok(z~i-uc7B>Pq3Xc}ba`EB%_b$dH3Xh$_w0BL zl`iWf^&iOnLQ2`7AlY&X3@(@49V}}?->>(nYbUT#K<{GIbrqPmi^ZQe86~lsB}6Bs zOh#>Dtf%gsbS095Yo(sIZBa_toZG1No-DaN{Cjn`I9%9VA$*qEp*;=4=Hj7VUP6XR zF~0$${HnApPNTlUm2E=&8^p9cy3LGpEG~x{<|rqA4jaIgB?J|#Y@%vjZxAX{pGVqo z0|i&r-*2kO7A%L@%aub7BXqg+g>7W~6Y=!|5L#P1N~xSkA-Dk%A%Bqm>x8&-L2l5x z4y9bI6}um^Lphrb3gX0g?T<`q!@fF&@NI7}6r z%6ZP@77vMBD!bA=uy1se8|?0~iDPRjoSz2+^4ZVB(v?(ND{lPQE1!^2PNnEW^owJc zR~@%ec~>LRK{WF)Js_Y5#|DL*z2HBKW)6}^%<7O!baaGi=W$BCM};gz$Re1p61G^J zU9>o*Y`kQYJffBYQ%|$xBUtdON>u7@LbRq0`9@JR&%~?&IY!HeZYjDGPWQBt=>;%9#4+NloqazCN z-al$d$#HK&ajXz&3-wu1bs{wHw`FA&u+arGsn@*Cn}i%OPT2;eFNfsAl#W>G zY6S3a<{deAk{Y<`Bun{gPtbMWILRVF6i_lKYFHQp`nCZ=_BhbK_*Oj$t$*on#XA2E zDu}Nn>#Ty>bDW??)t`zx{c{tJn$Z_TnK0D2v}2NGGcj2(nnyecvbvAi`XIa~rM zab6FK(r-5wX%pQ@=dko3j1mZYCKXbm!@?aBCj$43)DC7;4iGHH zPkf}lv{F~VkP=8ic249%OhQ=fCKRQLnHJRtlN1r;WRx@OlreNJ%dn~Hc%W1$(#Eei zUKHR{p{Es^vUG8giKc&Yc!?ik;^z{ZL0rmqr)5n?m-iE@bOiN8?b+9WACF>og1{?L zWcSrg|5Jp*C_VOr@)!lQt}Hufm)D|zX5qBPY%cLU zeV46qF)UPWL>2$AeBz2feyYz_=vTzxMBBsFEhQVx!f%YjwixRoPd1Cq| zlYK2AW5@z+n#s{stD>|r3-kp~V?Sif&6G7$?p z47=j0lut*U<4P!W@9MMwh3f&)3f=~2uPx$FaFD0NTdUo#|v z23YgkB&ey@(B8pLb z8>7r>gEQwS(%RM`Laa{uo$74T6Tdc>dn;u91tN(LfEjZs$@|fF)gvr@dfdqW5&%JN zY-D6Cq3P-05=PiX_fesxq*VVzBPwLsi}d%}MRm>)CBE@K4Hhtb?dC2xk5TU#tmws> z=+8W2^e@{eYuOHrr>>V}U4(y_j&j;OL37z%y4EnCW}y+Wj@?IugR;Y!0%~+Ln8)1$ zji_Yq)8Z`d;@ z4aH%1y0j)m(HzDN8($Kej33I2kpQ1i)W{||dDjmKupmY4s`>_Px$5!N$feYz^SgC9 z%{_Cr_eh;yO8f5+;8|5@hlt9JC-9{T}*0pYFz2JT)n{F~$ScqliM$A)H zMedv2kUZg~OW2-;x~q$R|0Us*2e<#;~%^6>AUt1rE2Hb!hooUE~%IE(qy485;N2cyOLwgASK z(oFn54YE1IEgshyi5^5B={2ws94s3iOcEASPcGL$Rg1)y;y#kx@M6_Z0elsDdZDMyXd2(TWnC$514q7_5UiC z;?OJR#aj$fT54`VWW*i^ffS@0UPe6$@azc1MjOYPoPSpujU;e&?Ch}o+tz$Fv;HA>}1_s-Lt}|`nb&tQuTE?)e(dDNYW!A7LW)g_jD`po%hh5R5Y`mmC zubB0N`5!=!LckLFAET^7@(Z*fw=i+}%j^<5U?$Z8bSOWNk0L^?R3#nC4zg^BnMU+K z^0|L7HDm6|pcppB8>gi8SoE`mD`x_Xa|B+;%~1GoVrEeSkWG=;{U!}XNNf%o)C-NN ziuD{_8Uie@Y_e;)?>=41{S1d`TUg8pFSWc)0psZHEntiF7Ygo2HjVBt#iCwd%fJ{V zV5llG7Nl#foRlOWg>=gchvlhDu5wE;FW-60ze-(Cz+~Klf>Hs-6urAb?pk5?p>Hq* z#X>|4Nsipq?$J9x1Ght+WEjmiSeM^|HjV;OhTgn)s3uo&Y)m#!?{4rtGUE zOR4uKRyS{fD4W`Dv-xW^_;V(TGL&LbE%{KX(#G|yvVWlmScus*yIioL!dxNv3vD47 zm^hA-wo*OnA=xO*Saqg&jN-nT(QpJfeODZmo5bmuJ@yF^CNX@;pR$ov@3FFHCT(5LJV{ z0jgV`M>c6a_X{z_FJ;GTw8^N50qzJLnvD9!WR#f1ZqP{*+7jRbsw>!{{*0 z$E=7q#+63Bdm$WlP-#;sHCjsf6$ky&D?5>Fcl>^6SpsBu+SrBx{vB9Gf4Z?6{C6IBY;BRk0%n6!B! zLK8T*E`4QxasGfK+hV-*WV0O*Ea84RW{nAqrnz>C^1@-d1FSI ziQ<7$EW&C1nIGpaqopXKRz@!1Ig?B`kC=d!s{%wz0mma2-LynZy_t&rLSMrWw1iMz zI<+Z;7%+%EH$c{v+SVnw0vrl@t<-}i5BoA#9tnE6n}84}G?jEf>vIGGBnEQsHJSK1 z5CTuPN&MILgDl0j|Hv256d9x@J@Ls~l;9NVDV+VMD}qCvUxYO!;l8UHdhHx8hJN2SY}D zT;fNp5gr@(C&W*K?e25Vqjy)GZ)CGNy*+?_o0cMn%6$@1AgAG!VJp@i3Hs{OKK#LH z9^EHmQMa&=jbh^<=*0mJCyp8&R8_uEmW1)kRz^s=Y00x)ZXj@+Z zoaPe?jysC@Pu(qusT(f>`U#6P=dydGi^tz7aV`(;=4M^Ar+}-()?W%m8vw6$xxub zvVBh^4KWJ%w$`PYTjjsRz9FT&t9Bm{)l>K;CJ+!4$8cc>6)AgzC~eFZ2y*(xr*DzS z{dp{OOh>hSEoJDP6FR(z2m5UJ+|D8W(%JkR3alfrL`YF4MQPkS)x0f#IJLE~ug3)y z!lr%)VGMO?Bq)b{H_ELSK>8)XQ(d{X9 zClF$s!G3sxu-^TTOZC@Msynd23ef#HsiGUP#Xc0SjQ$5Zm}ErwgU53O4R4f)OHg%Bs4Ov0@6yJVGZ*Pieg@t_Ob=bgL%2ry6sgZRyN-X2qc1a z>OEU06{h2*=p)!0v-zwhd-d(2h;38Ml8Eb?^#Oxor{PXEV$&>raQ1fQ?n{@SHu3d$ z#Mewbps4fu9b2xqw*HEE`h|xPws_9ulbrL_@RFURVHM^p!9?A_;YO$T(<5&iONB}s zuR~G$%OkM_%5B^}sohUP2gJ%LY(8-qO37vuMCB{tE~63%rw#a z(1u~2QC8uwq7;Z1gErU+WRy9Fm~Q#?qNsIdbt6%IaSKIFC0@ozRI@&kwi3+$YHY4q z3rkxufOCLdg2Lnz9wvmbIKujset_E#hWKvQ)~Afebu!9{`YjUMrv(^~?F8A$4S>lB z>$JkNHd|LcF}UwWahPVke#c&V2H`@%Ms8_lA8~78u9RUzKFOCd%p*5)rJ!6S+t$`E zYaum@B>}frpv#x=FddtV6_g#;rr2U<YwE1IgLjrDX`B4H#tuBVpKs;RJ1va6TwZ z1btQ38v=2upWy8 z{6&+9Ear0-tCe+K1@7k4ca zT;@a>%9NM2EgQ;jiZY5*T%29v+U^{Q-t_hWjUg#jG)CCT#G%dTgOetlYT4ZgdmSWl z6&UwOF-?yobcihlFy8lHIyYV#b&J8~cwum6KRNU|orpO1K5Tx&ke&4EBEpX4W(Uri zp}=qkJf}JuJNz(v1&r^Jl4F^PxP%BW=9b8=daKdwpm$$pB5@QdNzCO^z4S|OHL4Vr zUxwbNjZ-uW2QjYs(+fVhMk-COKsy+Z31c>REejtCr9>rHNso@1iHNe| z=BNzH?*QKph9xEjH?Pzg@O1z`0otHn%WQj1=_x^I4tRrb#VRlqRxX_9m?CzvD)^nd zV1+~VC?6{!)v>!KoU&M_y_C&gHBNaT;S_7DdY4n%`n>$3-hBOh+F!#LTZ_aAn%Vkg z8D3PD{&Bu;N$6X_vmDGfCaTI>9*t-Sb0z#m);Kt-I$4&HVJ5c;S#|dLn}9LDpd@MQ zLc{#lf=wT&-@3e>6?=i@?I;HArPr3#2#vpeTew}T31Bo2_@`Rc%0LIH^SXfWzWr;~BcT++5 z#(Kw_WaD?VEjTn6qZNd(RkD4W&>{D6aW}!jQblf}w^A<~!FK?{_B4n=m$TW67^i@- zSR8X)L}Yi?dv)@U60q7^#GOaN`U%4lAw;GxPi*fC8173Rczq(H*0+91=}I!A?nwz4 z^!DEfMUE&ET;>JK`X9YrAEDUwljlmO?7f9k99{G_7~FydcPF?7w+S9R5Zv8ifC&ym zun-`)ySoK<26uNK+})k!-QTz0)>iFbu(frotE>9n)USi7js@6L0}8Cs`w1tp|8AO9wX;`EQX0n6u~^_jV$-->q-{-*U< zgo}S&1}d1aW2A2YGX7SRc3m8Z>>mp_{y|aVC6qhT7&Lf!uT!g)zgJTq0T(>XnUUBI zC>UwebNL+v*Rf%Xg?xcr+IPf`8?{WeXeO%EkH*KE{)0TH!|j(bcVuXMs}Fq8I1p;jZP`q2$C=DKthVN>hu>UF5j@(L_5V!6*onO+LFpJbDFEy8 zI{Qes&5rR-ohlc>tR=L36h_hWouLNgL+TW>(Q z!eTCa@kyl3Yv*_HV1O*@jT7C`Ja3j8IeFmqq*bdlFUf>%sHRwvV22M%pzE#1!pc$b z5!{M;mnsSs-9pqrzB3)p!oQ1{*mQ7f2I8sLB+TEO3a(I9hH<5P9=Z~bdLqWN0Z69PAC33al+Ia6!?Hap@YV6{$;~95(GP}PA?mvDj;tNLK8VU=W`dt(Mtf$i> zEQZVP%9&_v1?>DX_8C0_OT$jVfmJ8pfXAhNeNi8-kaXXbRZI{iH@`zUqO8Y4RMyDR zQ2 zOF$_?5~D%vpg-%{_&X){PpAVg1Hl)D#4ikl8%+k~eOU^|+B|*3lxaVZb%AsH2o}9$ zJn0TSS1W~llVk)E9Y!b7pve5~2zQhoz>+;ocZAk@@YHD~*x*s!wc7a+QT~foC1MV; zVq}SqVO&nVzRSDyk^(ml$0I3pwwJOMm+>M&fluk!L-0t78s_I~GYNL(BQj#_BibFS zA&tJqleF6}DDrCGQu7K^*|i?nxV!ILlBBC*4YK_B=y%_m(H4Cw5(fcO`wF*I0|qwc z5`hsWrW9RuMDf*He%0ngdv=pLxADo#=}gS2BG`0EX~w`!e&Uwij?}>i62-Bw$S_F< zgsTD{d;7pPl&x$+Yf&5ng;zMAk zk-JfG!AN>G6|~f^pOVw`y-pI%8_kf!d}gQSpMxs@{4=!vbHhBEARzU^WJ}n{kykb9 zQ<%l~c_gH87z0O|g{DL*MSxyrVltEYSFM36u1cF4D|o32UfSiP!gr z7544o!Zv;<2e*xcji<)SX{=y<@-pKWOwN)^AXQ`~N}q2P%tgM1-r1F2#Q{T1-kS%o~G_^rnNx5o!@`oFUyuu!u9urwacrfEtkqcE@nM zJ@zg?r`}vX{@qrDm8O8B8I6%OF!lM1$MLgOsi1rsCP1v|x(>X9IU>xA&5?$aI81DG zj6yhW(HZ3)5RN_++%%b}6LJN^t3<%zyotul3B%Wt`d0^=mtvGAnnYt`cm#t4?U#+^ zQ;vM3YGMgn<*@rkqf%UydTBK--SLX^Ui#YPKxzwNuUjDSdV;xy#`i&#+-G;|ArZ6ll4Od16yK@_~96C$*Sk;i$0(Eyhv#aJ>QYZfeP%cWKkQm7)eZ-sf znkipP-@2cHV(wJ=8sciiIn5+FqA)dE|H6}Z|3w_+6dJ8T|FG~XMuo_l&D;Kxxj^GF zKCi3+5ALv49nnW3r}VQ@b@ruRD$bA~3ru{7Lpiq<<6Jsp=6%z%XZ%Dq7I{f?85~fZ)Z z8e=DZOE*x4>cJW-Pdt5^j>i5FJRgTKeZd9W*fa5jf#V^QG%%*|+&iH=9`|g>k5VZ` zn*Hgz0FRulJtuOsUcBjd;dBjIJKKg!CTY8p{DxLoLd=Iu3qJ#^t?zKJ@>W~O`!m1h zKPbl~1+!5ghAchooP1QV4qCD55qAMg08@IIel3Ud5UW0>KpdZX8MNWVCCvJA#`2e-{ngV+RF1{g@qryJ zWQn}(W3}dpsQE9$;Dv?7!eN)G7mw1aPRU5~Z7>n8e%ztj65B^|3fJE%G6jpbEFN!JEB^2-+dT26um zXMGhB`KN68DF_5A__Y|*&`3wAA*~bSOqK{AhDbt4^X_4(wg87r07H@>J+NJhw}ht2 z!t(_#ZFkr(7yWN0k7gAPP}+$XUi(Qteta&@|pL*IXezW6~<~} z$n5EB`Q6~_5HZ=BeQLSO$DyH*p(hAwcD6`xi^biud}qf+4$lJgT~Nfkh_@l(#4kQt zK|Ie!%ZBGh*6jkBY~>ob7=#=Ng!fXcjG=$ULvLeG^SBQAe!quzpG?~SG{Kg%z8=HL zGhEX~9;q)JmZW_-0ty`n(jm2m;BHVsl#)@5Mc}$%py)YhYg9)2f;$9(H$bdkgW>v$ z4&HFPd#2wN)aachP}cDf5ynNEOe+I*{cmlR5X}uJYf%%;w}P(8duri9`DDbO46!ru z3xA~~wbtV^(%qk%A+%fuv0YMUW+Kz||O499LiizRNN*4UI;0{FQMlimMQ#K%trG-79~ zlqPiFsnIfH^eFXXu++)hwiqWevTIRs?#2!n&haFy@lMU;q=b;yt;j#Gy?(dur^#T# z7XOaT0|Uz+h!p%?;EDrcr?tm0#H2u}c75=k-eVq-POjOGU!kC$Kl;NxIoGf#{mW3f zce!B$acZG`XS|h^CzC(PltPID%3 z)FnkYw}+m*b8tT^85X6C-Y_dAuRA9z*gsT%LQ~e6XEb8_l^WFhN889qYi9`f;q>z{ zuPbhj(ywuqxOjhzX}mVxKZ9`~WX$33B_d1+-7NCD#5nodu6jp#CXgKSW~-=&4e=a- zFK8<67w)3c|HTaxzobxjSlNd#XDKUBSB_)BWhL6SV8)But{vkxW~jr$yobjN5d}hj zWbu{4yGl9Ecq?>FuvIGQN<@7)Hs4})E_8W7SrQ~eF)DZ>*Vxc4vaOV$#FwSfPBo;y zRiU;|n#l=PXB(euXDmIMmPweb({2;FD#%P&RVf#`Y z)koddjl{diyaSq1_0IJCwnv>NLAwPma-3nV{6IE>7Byv(v8o|_Fxwj~bt{+2ZCI8> z8CL8E7X1!yLl`+9DdhUWEQXu0u_TO=*qpTBouB8#ITTDcYyAurgIQP;0rljZ*EaGp za^;g5ZR{-nMZI%>gK*_QKZr4l7bXpmL=GjpiCWmNds8D zlNKk{?nCNvn$L?)<;t`}$us$N)lY3B>4kZ}FT~c*#jG;IXnX~)aJ%F^C5zSVrI|SC ziER~Tg7IF6zsGSN&-Y2Ty9uMz1fT3y=Z(vfYlM81BW|c1nur z(DHOVklq^(V%c+!Va`-~-FUv_e99g5%p)OOLVQ-qYU zU|;6<>{pJ-_8(y^xc>+hz36P(Ig1c1^iDT2kQyrZK0=1O^P5XN$~17Ckz+En-};;S zH&K~e(u)sQA1Vpp^7R*Xt8upfZ7kq^6v$le2>JVa|Bs9^!}#Uo0@pkj(~SY_%_-w1 z??!~8B=-*C+^u(P2LW7X8L!pHr|g3ddvvwN4pD`lf5!g$<9H8kFpQh~a#vG|75}MT zUp#A2dgBa9Uq!B&nIJgK5EUobJe>Km+8kw;maMqeLR)uWedqc5FGlP-RgbT$49zva zKko~OB;MeQ2{UI$@YkF`$*)3Zs>k!;^W`O|mh4BGQ>J&T;w|yJnRhX&>1~1mY-b+d6L)+QS7zwohY0;NCKUWzGN=Ub?(aN&->5C(T`R3}R`76mq>->ZVWR-5)C;P&tS*8b$7!L!YO0Ks~Wf z7goH*#`xM^@Cm27ha`G1Jm!wE5i;2$I2{&+d{6=_Urc3 zsXX!f810Vin8W)lzf@^`QXfS_+D;NmVWp=o8*x3dWj3^}y(p*_$meh{4unouml5wF zrwp3`_!lqy1p9xXo3NbM)UC_(+rsOMMzP@t{6;R~Tb#MY5nP_arTfEx#7nywu3Tee z5}UfWGbZ#-(g;oMUHQ?PIN@;6{fr_#D5%;g-CPgbP61a~&Q2dKT*I;-{HmT!Ml?v-*$rdAxyrG8CsP6GJR+E> z7FFC^wGnz-(P!1_3``oYS)`A|e!MpOB>Oq;_jK;<7@>=yNKcGqf}hOnka|~o0#Gle zWUEz?n^F)zlWR}z#k)Q+pa2}$Rh6Qx`Zj5k+7lt7%}u@|%Cqus$^%2xbVf8rG>&rO zaDHT;)CMSENMK0B-tE~~3shoKSQy%B@OJNQBP!qTSNN~+EP?;&33|r<_uc{}{%6>G zzm!ymbcgi&0Al2Y_m=X%dj9_j|G%+@KQM(x=sE7vdMKKkvYzc`hXA$HS}FNVx$i|n zKLaL5THjs#l6+S`Ug8`W3g3qTSC54+iyw7M-C`h$sioZC^(#BqH`4h()K<~p{06-$S|l=mE#zs|kq`YXR}h__kIbt3gW z3SNe!s0>mf$Qy+?$7NCA6BAJo81Q6g&G6_~JvuctZ`l1V z(OgE6?~37-DGtoiRbCnnhCMSslpxYy`ovY*w3eu7hHC=$)<69#0M*FxMaeE^u3f%G zTu#e?9|x6rfp`yqL-xouFU&G1Xkopx8-tMhXXT?9&C%0*q@dM(7R(FM{28aC<68hN z2$JnJgGr(TkZX?{M~o<^di{ws<3A&j^^MsZXYw<0eejEtIa`^(+mBo=k0No)ZL>>!0#;Uk3{r` zLEE$_cBx6yl9s+UhcFtyFpC+PC2nKi&@R&nylYXC8NRm3obuJaMTy;z+$`mR-~4E# zF+Q;tcJspBQS=zv&a=14`2;_n!tGS54>Kof8kp}?#}&)j4*ROecw7^6J9l(+20USs z@!LV7=cH6(A2Ep-m?pldhj;>8R`FLoZ?gPVgqf_#9>~ZjBkg%5tA-L5Aa8%fX85d% z)VPcmCbHp>{+3X9bY!W(-R|ZyY0m|Vz#qfFX;wg8*E=4|?{E+lhwPa>S2`X=exok{ z2RgEIA0u;o87w7!heyrB$=pzIyKfKua-xisJ7j|3%$URIGp8EUX)!E(JBfSw`Z_16 z?i-s48BkU&-&He+YH~159+_XB9~!A2mI*4Uq2O@9c`>@D@Zq?O6gB#QrkG_;C<|w% zNV*Lu2|;5#o{P)0a`L!ds0KfkH`}XCj?3IYea<^R?wnh@F2qTD$PQVQF})FdbyzP| z*bLw$4)n<{h812kHe=+R#JsJ%5hI7N@*$@xZBnvOtq(3(S5Z-OXt1H8K2ZNvF^UU` zeNgLXn9iw9IV|`Kc;6$La>Mr0jW8HXC*S|&n&p&BQdzfE)ev*ok{XEP%AG+W_8i8P z{*a&>&Q?b|?h>16rQ-2N)}*JS4KX|}-{mDr3{Lu^E~CEBm2qr>oXlXb>Gqza+_$OT zxw*^WnYBNm5NDsrY&gWThW?J|8;M&IVVjBb&g%Xt-;N?<6M90PGVr$JqGT}zr`5fq z_AL57z@$g4x}K!2fo-~m^1#NC3K|0~N?s8EhaYOg#@+21X{<19ru}oCxIAT8WBnt% zy|7_y795)kL4#DFM2C6DP8`2ALi5L;MEhJSI>g(Rx!aa0stRzCNaUEpfRyvhfi!9FxyiG zy69*X2DzkgCfi0qB4RR)!ca&mj88f1kwKB9s7cjiFkQmryBB~9;V}WUiwz=Cg|W3V zZaMFOdzzbhin<0tjTB+qC_q7zcE;ENQFQM%ra(hfcaWQ47hf?dUfj^d`nY$`)=R>Q=A)t`o%mR>!rjiyg*?RephC7^0`st0^zchg-Cn2$q zxXNK}1}*PXq|etyhp-c`Szz6v#tjKo+(7jVrLP$yW)-?C;xJ|#q6E(*!&%!AmZ+J| z8@-5^UELJDNW)4AxOAJ4*f4xkMOHB8Y7n54L*M|ydC)0**gjhG{9f$3TG!!#%gUq7 zO~hsEI>$v)?(B2$={=dUtGkcKk1rBWyAWlSp2TpI6)sy?4Q{M=WJdOeK3tKg`TTX!l&GI#Osq2DCUCRmt@2;;M8_pwVP;6K&aGIEM2^~>UvoV{NnSb;(y(lWU_Bkok}*itZv|D? z)lg0Km};W^#CQFofI)0stElhFPUpBJuv(BV;_%IL_swc*7U*4~YBg0cH>_ju%0an8 zu~u0P`JnP|)hxBhqfX{F0NYm$uCVs=5`2Xn&P$esQLAu-ZH7vGiY>5fg8amR9S~H% zliu)Eok0xqM*|fdH>aI}D=sWuUjNukQ4H|1&02`!s2Ui!AZa((KF zU*P}<96GI@g%u}ku|Tl)Zk{|=N#@Tbhp-Fl|8-N&1I^-dj2~i0dV$Jq@Uz27c0qB9 zJ-07ys$sQ?5q-)ezVH&h{S4{vklWTe`Tx1@LH0ir=y*wfCTkg`7~D-v`^msKiN7_C zZx2sw#4Q^e5mHZ>S#M=J<+Zj%moh#&%Kord;5V03qcWuXI*D&z%WJMA7|)$aooeVj zm7OPP8;Zp>>a{VX~H9v*pM?1q^Im|d-)eD8-x83zf zTc6kyYoUgz!UrHYs=gX3=`ei(7B0IP7}f6}To_2O*=ru;0z6rW>$U72^F@0#PRZLc z#|>?MHp*pO7;u&|txb6;z~4jkRLT!e{GdI8B%1EM&{$?!r-vuE<|;iPkW98c-rKJ} zn&tF7_PABL4ce|xxK~^>;J-6=P;xq*xt)b7{HaV=PnBD;*~9lL)Vbs{$B)=*-YK<> z&`V^$y!ji?w+OBS+3s2-7mVyWc|To0)JLS{K8^-9vM%c!U3o)7Q${Fk37parRieG@ z*1RE0&aF$;DC6=1r@wOV=gkL@?Syd$X!+c$ z1JqHIDHaM6%L@NWGX2TEHs9fgtv=Ruky<8ZHQhjhwQN1SC&o4DzQ^Sf8!To$I;LPS zdZ47&LN0ZKbg#HMkiICN`$_SKt2cFG1G3a<6;b)9jvpH|{7sv0=4q*qbVpLm0tIu_8f-suG3| zxvJ62MHADzH$KtgB4<0t$z!Xpw#F;WZM6oH4t!PLH1A9zp|3>*WA@w~=Fp$3;tqK!bx+n1}S%%(F| zsVg9^*=oNpLtWZ(1LaZQt8p=7HoMoAP|_Is$mp(9tC4xbjGTbx3JO`Qt%&m-?yc76%JxautFbs< z{4srX!s$U-5*Tv+kZli+aUmE0`TH>%+vW#`eOZuW8d=pQo7(q>mbY2h71v2j=uTm$ zwF=rtmG%L|fYuRu=+`*={k!R30mW)S#?*kc=I1s~C{^8;WU}HaGERn?3t*bAtwAOb z+H;sa>U%(v>)@s5Vc^uiBlPR&~$Nc;Ydi zRNiimOuZJV=5JTMMJp-JZ~WpV@0SxQG#`xTS`pOd%mXxZkAwYbqGJ?b0w8 z#1R(G56+#aOz4re8otkYz{PVUbllgoxBgN-)kh&anUI!P!*6%8NVTjH)uwI7KR-jn ztIM?hKI~UF3oop_S=FT8Qlqkh|Dq@L-Dv47a~A*Fex?U{{WNH^Rznu7|8G{}7Bad! zjZUJ8hd>+g7ONfS5$-vemYH4~e-$0MGF@#``g=lWvm7V_d9S41(eRqOd06=jdL)D9 zz2)aWs&hYIhwJ`^_+0Xg(-RJh@2^BLe%$rlx=7uaO#ht!bNj7m8pta0;bYPGCNv#!+R8JbAf0WCiHV#D)eU4OYomU=T_y zeE3xrawXNFl>kY5GL`+rfzM$9a@M@5c>?b+FSfcF}q+z)S@vVTT(?lcUJ6E zmTy=1-;|4Xj7&>ub){VF%TSD_-E+W<(OH1hPKxfcs&EgY~HrBV=i6#P6sGUa9-ffpwt6DVe`s9}5tR9Q%Ua0AX zMD~ndd`+vhh%w7EgJ;?As&7>)@)3&G-o}$ns-As!%c`baLzfRHkWL4?K}hoD1N8`j zwoZEMRc{;spT=-}ybFIGrumNBFs*rp&E2*R0U^t6q2Ub92*S>=xeHJ$^4NnNiFJ!ey>x+0 zqv@qqotLmR6DO`o#DVQ9VmbG)StT5v+;|6nhd+A?E z{N6un_*M2DfBuY17eK2ztH&Yh5+6$@^fh%Uzgj02)Y%@bD$e>Ot-E9tK%PMsmPKJv zg?*jyKk5M6iYDwGkhSgLmj+C>#+674Xgpue!Bs;VkgB%{><9WSL=BJFgmqm*K7U6S8MHwuicJKk#?&Se^h{osQt+OC@|8EM8L~M_K`*|XbBq0}luMgKdfoFg^Q=p5{3qt@T{N`kJ(lS-wr|)vSusWk6zA zEGCoaBq8-W+(Lu=mE^TJVWV_3#&=qHe1S@L@5nl%;p!%6**WFVSa-FO08PZcL{q{= z#Bk4|t^Ure;$v2XGbyG+7e-_Jx|`tXt*Z&Job2lhJ9Mz*V5aTa(C0DD zdM?n|(v>?kAGA2o@^xdD@gfq%iH;(4Dypkrcj?yl_?XYS-6s~NL3OBU-a;O#r0{!5 z)BbH#3a~9>VkBlUsKTx|H$C9g@i{CU<)S;NZeP6#NZs(WmmN@Ud6#BS7r|a>lz}M1 zyG`9s`@rW$|F+DRj+Rk9Ee$bXF*3CwO`ix;BUW-yLNUeegJmRcN{DIm%Yl_b2xU5? zoqjK3$5Z1ej1fqE7&N!pqp{0p5p}fN2v18M* z(Ymzx`eViI454;U8LEikr+i`}+*3V>{?ym+ucM5?uNgHBV37RUX}@)_jeB$Lcz2D< zhT3*`&~nUuA7#!Bf5l0-+Ui`Ahq&$m0}^S0HAMec4>0agTWM5xbh;~HS%ACMk zIX{^4j@~(J$JJqzAJkJ&{b*Yv)vQCGmQcFb^l$?AaFRclBaoEtMeX(;QjEZie;May zF?=G~bJ34b*$6_dKF!37UZilEHSs(o*UfYh@cp13t54n zwUE<6<3xR+<4j+*R)U&pr`$q4k_g7zoGNZlWfMN7dHJ5&EJfWrmDBIRkrm+X=w-d* zDchKnLzK#__oHQkiZA&RKh2&OS-%fR&^3x=*8Ba-VwUPoi|Osl4CeJ;JBqie1n^0n zq1Q(5#8L89FC_6=Z5^zH&Ne+T9XaUEO0x}w0}5A=Yojl{wsnRQK5a{f&i+$M#-C>t zbI8Lb-O`|!}41`ni(W^Va`)rLf@}-zGpwwbpb&oSjWiJ0O72-p)Pm+PA zGp-3ZX1BCvxo0u2`ahKeK*-)byk|`HMD^lnvpi8HA+!BpUdU$;(sbW^Sd(TA)(M4_ zxcMD53_z?0L1nY{c)GyB`l9shs;U!v54$p&&%-Paz}MH(H$(;xoF-Ogm9zT@J|`9l z6&LZjCbk2=RXJTv4Qkqg84xyLl-i&*bEFSKHd@UKrT0Ix7&N9xo z;UI$Bx`dCx<7vrfPZ|{Jnc1MzDU1hC42qP>y(-hIo0T_kdxnfTOUZ@@Q+a{^oIHMM*n&kf=$ z#m|$>%KQrO1MAqlqF(k7(<-a{r=3)EBZq7d#NLWCCjDRE39@`l7NAf^Zd(WVg11}A z(jWA|92U;un=j0u;?(u19|HG4+RvH~KzdS6*qZRIe#{1mpcXn&6lsOuM^ydM1A4@= z;`IYU&}pCgnqtv-pt>PM;!j`&w;g3!4UmwVPlZd9yt$r>?wC`6X}wzcbZ0Q`dgr$v z=o?fB?qj(+vW&t{J7q*pt(hHL5Ux~(f51G#uq_UV*WtMi-IW*5Yb9}Ed zDZp_3?pG#@FAoCXt@Imjtf`Z5EmnFl>(12_Pb88s|J#RV@k-s|4_6dnqIa zu;ZN`wktOZmGab;gBvAaGTsAmZ7%X;tksOI3?!|{vjtr#|GY7^eE!|7aAG?+H+m##;2rCT4_b$p{iDN=~{V#qgf>u8?B{~o7`2;5> zQ5|~B@$K-I>Ta{hR231yr{MuL2#S-DaFuwelW=}7cFNSV8LV&q%%03};tMGQ`@I~Ir(Lo6>r$V zmr)yid|zB740q`;s(7FQEVhyQgyu!*g=d4oaWtXcN4+lae{&<632FRyu2sG8uAzIS zv%>#h=+pn>mcGnnUg?n&&dzCFsWAhgx4u&n~L8S5G$Y$lkUs0@Y&NyB*XXpt&=Zdd!FZK?BF91LCt( z)un8F_}3tHs?kR&H7@;5Eq|k~t1B2&x{rbyedNFC&bBSkBV~gq)YfIGj(=E-1f9d@cAS$YGDnav1Pt+BwM6*4N`&6*T;}Yc( zJ}elP0~4`+H*msO$|}9O#yuKSqr^6q1Pb0`;ZWVm9E!pDZ=`K85$|`hG#htbOnWaJ zmd~MS@BqIp&p1Q>5c8bT|fGKO+ zP=c|pFLdtnW}3RjnSw%sV=fiXw#<(M;Vfkrsnu>cjZ-vJ!`)ea3VLwX#bn+8NuE;S z2VXL*Dt|sWXwpqvKN>Jr7Y8u=mt%QBTErF>K9}1}c~tF=p_bSyeWaqVrD*oQuP4N~ zbY^JVwz>yLkhy*oaUB`MFB;qGu7voP4V%ymG|#+#sY|Oc*^xo5u4pt}P_1TLI8r5! zjSY#fk@q|7_!@nvW0NWPT|cY2KsN+#*1R~M?ncnC@?hMsq2cXBQMZp{@hSQmH@q;s z-tHyLcSn~ZojTW<`Z%;JbtG(v&y6Ls{i-c#g7MAbWcCVZAR++u92dBP{xx8I+l{}u z0*;At8;-vh_=5gbKvOrmb$pzEJu74`MDW;y9;-39fHp?kg7{Wm9N2wCh6{Me$ma&7)1qQFs~HfyJYV=%zanA;tk>x z!q=%}_wLq5O%M+3J~n(ff&O8noUi-=mw_iw4uBcYFcao|9l0jm1|@vbQ5HoKZa~lI z7EbB~9gPO~Wz@K1IKS_PLNN*|pP{V908<1-A0rIBwu;BmP-mr@N5yx0t1_!3vUa&P zwm5Ie!h~uPAA1_@iQa_L+2CpsH77ppZ!;GNKI`?*2ytCBd<{}B+?>od!~KJw0`8mc zey}4)_&J$mnpSPamKGv6Nw=aPDjf?g9P@|LwFx?ih%b+eqM9PW5OHnrTTF4hTS@;< zBQZI6kEC?=0t}A@K~qm%DTnVu&NR*x1hj7|(>X!!f3`y@0@czwm&v(}X^w(L?Z)zXg7Pl~QX#d0Wxul2QjODXhf=BIS#$LPer!DO9I~3fAk|V%t8OX9a7|vp7Hjt2q*~Y0A#s;BcX_LX9b> z{lFSWL9Adw?~hNNh)16tfvk4Vh@omglq>6m!=)+Sg_tXADf?2bkN|y=VT4X3`mpfg zZlnU8Jz()mm^zc4$rSrkOO(4cFwHhp|L4&BLkoeYr5f8sNCcXoYQmv^MBEU=UV*ol zyivH;3!gnA;H=Uao*cea;( z2Fu0&$d40Xwfz3En);LJTZ#1eUol+FOsQ6_!;)E4>HHmhH#TmRAuvn+`)tNYwST3) zfP5<`6`a^+ERvKTUa;hac^#yd_-9L#jpQ{^+rXoW8TbHGbMn72-r%NQb>yT5e7lUo zX*kx>E3FQsX*jN*HgsKDdONVmfBwVjbiJnwbz0z6hYB{#+-Kf;?LF^-pbA)glFg9Oo|>69C4XEw!Gor_duXrQMs zwFm~>`{E*B$M#CESF-T>uME7a(s$(7QFd5a8E)vFmOpMv7=}FT&OS%n2=VPfh0lGD z^}Qza)m@+{vK)+gfijBLv+VV=d7D;phPbv^RzeCs^}R}kT3kBJ8k4--zF9758ve-e zL4n`1a@5jM$qMUTrC+l!EwNm5P;q+(Ca8pCvHrA%wkkA(y~^WTPFMGyoX;Lkw^qD- zp(77t?Y4mNrK7reaQXho?BfYtB!0C6&@z>P;PItvLbA8-4WIa}hkE{kt8lG7^qmZ( zsKw!wq|8b`igEV8z2t14;aQWjJx7k?)@72E%(K6ENAK=;)uV)ol#RB8-liFrak#Jd zDvhwSI@>eZK&tLTle@MsnXM#>75AjqsR*WRnt@^2Nz7N&f|I}rwDE~ z&CKL=|Dl*N?&;%Tm3}{YiwJHH&~1M221yjikhfP6=K8b}dO5?B6`R-6>>or2AE639 z+ZoTFFj3l~eLEO@kc-}}w4$*1q{%MHNL469K9x&ou74#GI!%Lluow}y$UV+`z;TPw z&xlPz$}VGP#V^i3O;FYO5cdatj9Qrt9@qT!h#01WLR%i;k4m<_ zvouh0s)n_M^z%#&mB1Z=lh#o4C)FW^cQL6BI7|XelmCRrq#lNwBNiqe9A&gXhRE}T z{}LX}uTb1L_t?m~(3zziI67WNZQ)L3k2y!U^17X6UxK_# zsjN6maB`I8;V#U%g8lGOC`%cxossQRexJSzsxl{UcnknRXSi8$|E zUkjZyFP!Dp9c3`vErkd1@E6}c1Mw2h`@?{hd-+5%Uat$A%gU@je>7r@_c@(0O~q|B zvJ+S<0h7RI^GyEL&@<-twl$1RrMm&}7wfii| zPjbEVIegW1(|;R7XNT5W_M+G~=$t_feRN&hSG=Ov62et$^&qSySkFQ13so0+9|b?W zP_v=Gb!iH1m)DX8$}fJfE?*8@D4@K&WM!^=BV~&7zmf4^hHsQ&cF4ho^Bo$iM8z{>9Y~odtag zYoz$*ZulBMH5!9_U_gDeLO`4M?Le7z{K|tYAi?K%U|nEb1?I&csSN9o;EijZPWbkR zs^_^gU!@NbnWi5B-?sRDsJ8g>nbPJMaXj#~ldX_!#CqaE@d+QTKf$#PgOH#C zW230+eUs!b$T`DaY1sz~15_5Nz6`lyCPw}N zZnlslD+^MkM=2G|W2g!_o^P?lf7!B(R2BWN@hXePun#6~%U|X{*6*IVse1T(48XO6 zP&x?^2u-k09Yi&!4T3-J=DtWU!nG%^HnH3+lgFFR0waE&7t8)saw`lZb4}}5f$nnj z$x>}T$5Y)EaJvW1K~zXXt8C`(YqLDY zQDHK&0v!$GbRS$Bg*&#qcEkppLVq?_N<1MP9BxZJxeWxkk&&ux$c0iHFztuB%Z6O5 zhQnVE-4r#)@f|X*+^Z3g}bQJ+${>!4&oh6RxeSjm#Nc z+6Kd+-^5~QWn5~s@esZ2$=@P9<${Doo>C~MC>&>L+vHx;4Sgrrif{8_*oCYrQ4p;v zCtpijekVM}JXR<2%;ynIp&jfPZDEca)bHN^tiTb)&3v+lX8!!wWSEZQ)3IATC$W(l z?t9g~F>}RLj13KVFt2Pmedg+ei@dE8Uhs<;2alZPv^ImfM@nnuo}+&^?;s2WG#@d3 z?`PhjSX112308EtGaW^AtSeO9EfwMYTlcvKi1f}L^UVhm~f{>MN# zWr6usDcfh=tyK7dwYUT3j~Bz=`Qk`1^}v@-!f?m*EyuF^+uEqig1V6D`zh4G6#j4DO78J`1S^6H-8Ka zIha#Txia5%vUf-AyuVjFw3l=T%@03)5*BRY9b|rbli5|OP96g+{`H-asrn`tgsE-s5mX)pWd$_Vg9U@HX8e5noX_w4e&_YqbKmFQbMAAV=Wgdd&lSlBs!55!>#;L4>nk_OKhpyv7hUJx zTq$3_F`3%r8rc3|+a8BVYngdNa57_a)kL zFipu)`1m{>GVoJg0pt`Z!xreSaoP25!eNx!y>2(@-zN{^#^OWSq4WEWNBdd`v0n|o z-jPp&^jchXS3ypKRQM}NzE@xM*T>!rVO6r~zB`Dww+?x77`&#yqJ?hde&kx4ygl@e z{aJZuV$sIqTAht5eYc5(XZQkX3FfuH!KXGwj=w2tGiq28WyAoH*Ez|$^g@WWA^h-*X}5$1^DXX9@Wf-U(2?$F_SRYa z_11!BDpx~2rKAZtF~GzZTx-dPpL*-qQZ`T;y?PxJ=eF-{Q!_OCOYZYDu>MAW61S;= zbJA7}yNt?@Ab98OGhvF;X>L)9ReF9hS}_-f^;UgSdq9QIT&EI*!1j-ROSC6#ek!!t zjMux~6|FP1n<>&*_CZ1RfGB(;^0q5}YMyP#n9)Q}3O!Vwh1D2g$lD&S^)-7JQ0{R@f5~7%cMMe$ zx3T`HKk5i`JDoA_L|)n1USSN-z|mA$WdX>!)n=I52_!$a-pK*~reU}3!&oov#SmYW zfUIyDkc7w-Zf_!1NVT$4^lWB72a7(i&0&1`ZGrQ+lEnwcNP%?3)^^#S6?Ed$Jtm~; z?vtsHkiUXa2S$0-y}P=r?1@MHbmzU0lAX9+QEL^Q*o-$%a^!qGc7e54x&!kA2h6Dp ziaE(L#*JzZ|KhGC8lVYx7rnw>OWt_%C9``A+3SiXN$bu z<8cMlCY;)79>3 zc7O#!zODI{Dgo{`Tw}bTa*>WOy)TMiX%5UJI_@|6xDOLPXc|S7emWCvx02zulZ+jj zS@dWq?i?x)Zcloi@rR)1B~B-hpK!H^kjPs_M4M?#HR^ma@yUcp1K_BH_L8VlO(O&B zCk3N32wp6gPwZ+%sDaM7!c$2D9}x9(xDr4K5JIqIh}}90e+4a-t+bvTD0(kq%vA_f zWuzd71d*QPd8UPjG}bzPcFlnXn5zp$M850%D+h#ssMW_Tt6qFE>H7Nl@RN@HHh_@& z!6We5Ii?DE&v1AXr&BC*3B1-hchXM8GG;oUB$9suD3cq zz6>K8>ZpZcRw@6=`&h!N{s`>DT#zsRODvB51wVMzza3(HsO`e4k3s+kzPvb=?kFwo4jopw#Ri*8Af(i0+&pwZoWk#RarhoD|MD^)iO_&c=Uhk%NYoBGE?Y zzGJ1SYttJ^wm{Y4x zj_jKo{M@WEAC@`Y;j^fgGWiJXQ`K7<5N?PQM#(JdxnS3`==WgYclze9F6|9=za8J% zvb=U@lV@aSRsG)3dUV$y4qq^dK*|T7P&UY$tU!{uyT!F(P;FM3z9Hs7i;Zt1fK4f} zzOs9?XRDuY{5f^T=PUgsVt))kQ_dOkW?x?kG-_I;CO^&GOx_zC0NwlR$xD<#oM zPb%63Lw)!h;PywXs|=YBB?O+FBz8hyH@pnk{(ebHe;ic6xpp@GeP5R;X_cs5tOr0a zEG@(AVguItQugtl0TFF4qtX_&zsEPbA4eW)<0LrN&c_#tfnvOo<6O9PZdVBqN_?${ zjZxXjQtJ4iB|4dVdOP>@GC+ZBW|0MOA2WPzJs+HXC=jU$;S=xPa=P7F4&B-+yb)?v zLn;_+lY`#;VN0`D5ePN9$bSC9O~~8)Q<&{mQII8HB2%My!+_fXQ@@Y^6^_6NVvSMk z)K_e1hpuWy6=|D{OyWX5!M3UI(- z0_|vAPYF?cETMU0;l^>>x4UC54cRyKhChZcnb;Cce~I!y=7Rc*a@6G38*#li8%`dQrj`iD}*rx;DyBmrxmeKC4mKG}LghMR%M(ub1BO8<)L$4yJgIHQHP1 zXA6>kntJQUjbny|HWjZ9a7=n-piF0`bB}Y zNsOB4hcN?C7EYSd?T(@6GCNtZ_!5@+I}7(rV4w6nl&V20H@L}?Ichv==$x!~3hLAs z&<9tC1hHRglPb^h%s^e|JJD$ZvBY86zV3#sdnRC3fK$>6?JsxAoM%4ack!`)&VJK> z8Sv(|8o9{;(nSvZ{f`3wi2ohM4tw=qM_*hM$|O@D-x>u)g zjh_@hd|yXTPv~4+?AZy95!=ruX?eG2Fh^SH;ba~y-Ntb5~B_Y0c@v8VoFTBSd zZyA&RL>6Xyw;gZ+A)c?6BjLn4C^HrdeD{&;hxAY!%PJy3lVRZ zN+jkIPB2ebh}t~O8v^T;85%^A2VqD?8sy>)3g|WQFhkoUxRg2RoiS>6{-^M_yJESd z4<@!#{>#w5<w-?>|&IcdD_#$0wM5AVNAEo=)$)b2m!2F zm35rKe8aVUnW%Kbo#N-xu0dAi)bro`)D+7Gl0Etb{*ilT_rU2a;pu_>d%tS;f8C{M zYW$V0J>I1&Rz%2?j#|q9O4SuhXdKdbTAcXoWVp?8EDk8>8g4~vSGnK4 zRWc*e&bMYJ?{+tmm@Hx&Z`fi3-I+TuZbgJ_MHL+_KUi8;rMubJpn&;DlLa~HpNEC) zWI=lJ(S^n1ON(!9+yB)8ztT!6R|-@9Uj;$Mvb%!+hg~o&&CbT*|Ebb+i!6AI8ICSx zUSlLsdDlfI01fUw7!Z7X4<1qBeKy*;ohwpz=;iC&qASNy@W^UfI^Kt|ftNdx&K>qp z4XI~gGdK%N``jEZviMFIb$*@_X}*bUuC36AiBafnRjwD+@Bu5}7>A6ZP19Y5J#o5H zD(nkslwq*TIItf3DJ-(-vfFH_LZ0>`1bJLHgrX60((}jL=~+T_{lQI_)bMRRI~|wy zWDzJu9c3Yz=7$0NBF>>U*L*DN0#RR!oVWRL9}@!ig02^ul9~madQK?OP`zLGk&7oh zqdV~RMa_Cb;7t^2VKi!0MS+9%Sx(CH=)G&HdJ{Akw`0`q^Wv;+)aP$I%YLTj#@5C; zoueFLi&sZCIici{Q1~jcnxMb&T9xT&hCT?JUc9QhqBAZL4GgOr3XLapN|LtKvbLo} zC|~eF213Mx=#AjIqRWH3iK{SC9{pKev>bdjRiNoB6UfHKc6b=h{swl-+|lkoy+eE1 zl?!J1+Pd3A9hawtMWi2t4%t(ZWELv?9iWjTJar58v8Ur4}aYr09|mFilS z694(cC-s}{K-E<=r6lgQgQ#udGNON06Te}2ndK>%(P+UI!ZEFt?YUaUF|qwf@{YvU zRIL?ol}wB5^{z%w1;_O747>kag{Iwd%$|2n;eIC6oB9&R2H(=xVa&XTyTyACowBIV zaQG?ygZIqGk)Bys1Ft)evi;<9u%GTA9XL~cT=Jj42!FVnjK2#S02O0V#sDQJK0qg{W+ zS!WxZY_-KM1ygd``_mzt#KcLg=H0<7XGBQ@tD{8aW zd~JI6!Bo9EtYGyWsafwrEA`>;%zA59x=#|ijqQq&>a2wFUEOwEdfd&-BEUzUJej=TDGh@)*ayjP!k4?UVrXb=%K8){`AB& zd-x#d^2q*uqac}!{G}R`4qezgdv!efU2O_MX3FWGI?5tTI;m@=DKVY(`2LJ#7 literal 100824 zcmV)cK&ZbI000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*CWM3jhEB000b!0RR91006rM0000000000 z007vD0RR91004La%)NDZ6iX8?JOjalySwZJQbUjgIJmpJySr;b2u=v@?ixH0+zIaP z9NaZX0`t|cJ3YI}Ip@89eb2q~Jn8IiO;wk-PEUMI({y((UdHA^^DB+NJp2j2{lEB^ zUk9JK@Ie>lKR?S~TuaNs{jpr`cZL2IP}g7n_vhIELB|zK@+Rw*zsp+wi7W4PmBp3L ze|>hn-}SSs(e)QeAyUW~M{us7vHn$uuDbf;q8Z7##Qi5VDV@Z16Se#hxCvZpl=nz0 zL^j)+lS2zsS$B{3Y&>FYb5K;LP7#YX2@iySs1_E`D*X{g(x8 z$QnPp;L3aC{gP)%$JH)UQdztFUFt#JFFA}SYL|S>8~sHBrxKtBnn)UcFP(v(;U#XXXfFXB!q={xaRa^>njl9R*& zOL8dhm)ejrN}7@%$%(98-YM(NASlS+zlypfHAx|@fXce06{HTOyi$9~#XTOtNq zd6T{^<(0q7&ypWksHEnqANg6s5 zlXj86OFFVf=@k+xDZADv=}V2t`z2IL@z49E=4CXw(v%eB{falVP?B3|Ysrb!ytHie zJ2Ub9`J(%gW6(`iM>rJyVJ;_h?o4u#53TVqu>F0 z>YlOo+BsbS@!Mhm5u$&leJiVCCq zQFy`ALa<~?Ekf?ejIGyNpWb|7Rxwv*ZfP7;*d3s?k!*CU@0K8{&{irnO`Ir`G=e@^ zcqjJ9p+$|#j3cfRF#JNWR`f*&n$>q9?U|I`Xr|nu6ciT9R@Gv$JG>}2S#aK0)tx#P zYouXZE_RL|Op}^#5u9Iei>iA+m`=iVYjrCpGw(DgWhN<>%?MJ&=W(r;2fU?D@)9(+ z?i@-|aGfz#*?ToO9}ElRIlYqN3`!x=#7H)1Js1?`*0%AVr3^Zz!7N8GYQyy&OjkqU z`6o3UJeAz+*HiPDq{5)R&IWD5h1NS$Ler~d0dr&I2IIbpv3y`HC+IAQvx07IcuIXD zju`utJG=&KUa)t%Q)lS(y@ckB;&qHz_!ZY}kZH<)R+8E^XxM`J)XZz65dsjd?Gen? zuShOFZCOFjQrw_2K@E(eN-b63W(#67f0vK7rF^^QQ>rdijU7tom$?=_8yD8PT%%~v z>Z?NMXOy>8=L)PA5VM^}4f2^bUu33M3Y%@o`UDqcCUv1IiDZ-)LymyMInIeks;|vq80&An{;V5FM|!p9ZaHY1C81-vP20_XHgrLv#Mfv=^X=Uqd{+ z7S6u>58c^Vz?_nGxp7kwPYqvt1hvduKZjm<+@@v2n;PSp_$GvZX{eTX8abd?d+@ZR1hope8J6N_mWV@hy+*Ix`3yF*G_0=*Y_(@IuJ=UY@2dgvA< z4|X@#jSV+WC|?VMJCsM=1(Sx;_4}Dc(%h|xJ0Nm1E_B-4ivov8x!cB%im@f|!Zl!j z*V_|RuS#Mw&ivm-8%2B;Lc1EUGuHb;8E0k@$)mF(o*T*^#wM};t~QCzrfYCtjR2Dl9x$B@%jb!8SSRU(?#fG{ui8`nuXN5TC<+&ba z{-<+|x5}C7umbemxOvKv>GZD8O&VUPkI_lVy&P>b%%Yv>K9QdKNY)l|CKClBB0I0CY*p*b;84oH$)YPWyo{GZwlu)RzH-wOt$FpsZGX8(q$fsEA`I8afz-9VXA4{oE?j^5l-Hjy*48_W8MXAwr zJ^Yez#c7K3JZPwLp_o!FfKok`Y6)c%3Rk?t`qb{B= zxkIS*<>z$rlAn=18n_GdXZ=aCfU>?06~5L%nPf5$&!O(ZlP1!Dtg`MT%Af;*SQvF5 z@!mznA3qcBa9Ck;hsRIrj3VpR@id~E>~ZZ^*t?l^}B7#l2F(nQD#U8Z4M${xK`%rhG7YWV! z$%>ny+d_>ZDmy5HXj=S^hbXeYF7jGS#aac(G=K|z(ItPHTuJ7&)++V%M|3V{)~sub z-Ob*KOPNoH%rZtPqVJG;L_{@7Ih&5;x=UZTk1;l=@E_*JH)iKQz2#Ux3fpyAsKxHa z7KmW%G7Pr9M2w;8U1Y*erIfl0GL1zsy__#ysd`zop~n^H2cXY`(Cqvd!zq3mY0y53 z^Q>U2EJv}G+&hVRFmG`)uGeNGsZvWHaHS*XciX#%66APHdtTQxW-xJ)G;g!=EeFEj z(b+L{@s?CeI~8MV7+XsKyQp3dV(jmqQ5I{)jgY>PZ?RO2UnmC(C1ANl;zt9qo%?ZBa8NsE_S>LL_3dAqn+ezY8HWs zKqg_m)VyhY$654Xh`Yya(8R@qDPQddW9v0fx|_om_?WMM<~O=4i-~)@xC>xCEzpF@ zKk+4>)?=J`O@KwAUz|bf$4Z?;lJ_9L4+;y0GtJsDgBlK(;FR2A*B1jWFwp|ebT(xI zb8R;tv(CkW#&cv^T+_i?IEucVo6~?oqsf2eF(ZMB?*MqJKa8H|-bEUnDS?R&*;(Zd z>@Ako2y|BPSnx*fbE5U%qMWG#1CX#S?d*EZ9Gl9=tTuO$F=3P0{osHj(G)gVgpwud8-nWPUpnR!jlx(`gE>Yf+(s}ZQ` zUIo)NfYFCm_(5~VW-*8TUTqxZxPq{7Nfa`aO7odRXeXWnJ~feN!2|cA=n2AW=KR_+OzQ* ztqc2Z*jlRyclgYO^)Sy5YBxHg*|)%TBb`zUPk#7nz1*1ih9;pj{&Ygo2_DLE^U6uA`p>wc|8PN8oYd{`_=2J-CzJ|YIEinWWK{2gmAeHa! z)S6(eHEdZ0og&3rovFc&2M8@(-9eXa=BKUsnVROW`$FH#o;KDhi{<89bU$!D=kazb zm^z&57me*PNrAH?F^G$*f1wM{vY55*`WpikYc*lZF!*`K5_9O+HJP}|DXqPMKHVXv zV(r74wT>lyo010g{7l=IO*j6C>bN)zN4o&~4gYHy)y{vKwioMYG*ql{ z+iYp~S;cx-#{qQc+79}-ZJ=ShVooT(2P_u5=*5RQO zY0huiDJsQw6rI7-+*#J2q5Iy%{~R4{JD(!%<~R6mKjFx^nXc6ja$9lR(J$X7BB%al zuyEwwuo!m^c)BliGd*uKfvV>}?6{+n$4WH(moOJq>`fQCechXav-B`pE5YtC8Epqf z7QxE{s5&RFr=Qrct}ciMmUEv3z&HkvXODsDZ&&thL^rOJ9}~ z;4*BInrH>9!&7%bcx4jw9fqnH18sS!l-v4j0uRrBI`XsNNE(_yznRs0qw!oh$rq%( z_fX5u_zP+A!N19vU)@-z`Kl73sT!ijLKcBK(86lA)D30rqo$s7#S# zrbQR54r&p(XMK>j=gpE81h}>mYy_u0?LPVrN-!iYcO@9l<@#x3pjnl;28?t!S}Vb3 zfv^WG*0z2*dh|Sq{?t5goK>uKMDnPIqF9O$N>uHe;QTKI7VL{+@V0`qt9J~}7a+a` zxYtr|&-QK03(l)4&Udj|tVpn?IL8<`p5iR!zepdEo)PWZm3iGwqnRmzt!A{6Ih!n7@a z)BXPHO6fAl2~+d4<+}!9kQM4%hWyiF+#k=4Q>014Sm(_|7{DjbgFmHncuNu>;p)VG zr1eFpC~xVOEsn<^#hSQB6xDry(b!n|&7D3$#vRTrB0Egt2++@g^Thj>P~j_aM9%|y z_zm)dAaY?4pYd`DoqZ<32NFh&LwP2~PkRFFQYjLewG$OE%N{6VWM`cV;^W|jxj3il z33s=r)wiYGgV=;3^-M&6C?TvrG|o1flV&n?NnYL|axfT<&m!yjVf0r0OHh|987ZqH z*ci=v0#us;g~f$h_P5?kP4~W|cE2|mRq+F^`(XMYiaqDn(}YbAXvEk<#wOK{<%S=9 zWzO}xPkimi~Ed*+bK={u%$ly{EyqWDS^0~O@G1_=#Z^YOmBqUt( zSZjJP;!wp{zb0`!ekfl{%dA-&aO1K{zsa{qG4tGfA0tV4R9hl$>m%%&p(9mVC{5Cl z{an;-uuOVmm+_gZIIlmk3K+eD!ZElmTWcRvetwv_FxgL z4ix1jr_cQkr(7PCT= zhtBoT+pI4g3t(CopS@HwT_UsFhS7$bN?f1dsXF?pyFX8*qc!Bf11nr2am}=u@HnxZ zmIZ#K(^DrIya`4QTe_exCYTE-wSw+OxCx!xN)?bY=rcWOyMlfumN_*gyO>bRU9=^o zSq@9dJ;f{1*sH2M0j=b>jH%07Zk6;zfbE}9s^a~+}8SObI@W`tsTcaIMBnKa%Y$EP}MC~an?h1 z!)qR*Acyo8Wlv408>>uOH9AajhD$JuBXz~ot(I1u{n3hN9-;$TB^doI5e9n@B?W-( z6>*5pcb4FCoVbM6k~6?Ug0XuZ@yf^YSgbf-3y;sm->g{a{!rT<9%g}-RYjDD5mgm* z$QHuhi8A$~s(WR2*vVQG*#Wi6OJ(Ez+H4Vqa|7*f8|UE*w)%1fM+iqN{JW9 z`L&bWO%rlgabrjyRJAK*2qhU}5mwJ1tBm{vf^9_*-CeMqk_P`K&+zreP!<1K(c9aL zF>vA{8|cr^hcqI*hOtj2k4<2$5<35r9HVJ~zicc5I1EJihr*?&z$YSO$1|6XDQ50{ zILVoEy})!a#MrC97SZj%_agjDvc-f_t0CK#KvSc%HtTc(k3Gs_1rY{E;i=YLchjw2 zar08nDaId_G%o_NK9qVd;S#DeK@xw-Sy2!lhlKqBL6p3fyLtaxG4uBJ%SHkv*m4Zy zBB2)d1E=WEPA@wv3U9rQ2mtrm^`!SGd)zz%3~Is^zroZF82#es`?PGm#Fp&?1{i8+@nw#Z#2*fW)4z^7%?2euHx;xnqT{H5w^*Xaz2Ag8iQh z*U|50vY%=PQD1PefJx}%_iUi^pCuS1#g&0e9j&xgyW$3_a7BVMvOL0>mT&}EOJQ%7 z&-X@_MwdX2B(uXBf=&{z9`tgEpD-wEOy-uG&>fbfZ0!8Yni=dua@Ha~h)WBN3xMHCp zcm%UuI`NGLmPl?M+PTWeqTJyRT#=WkeYKG__R_<8GPgj}!X2WB@W0bpS5xaBQfrE~ zmdqY9Wi$8Eqlyw6N*0JK@TntWY)|~X)S{3CH&9`4imTC=Kn}%ulD4TmeyfVdGiw$v zWBY1xDA1Ilsjw!ZB6O-fpp48#@KE)l<*cFHE5RD^zf-x2J`Q_ zRm>)vRvU#>Ui-#~IyXm_HnuhI$|?0X4J+Ez*sUD7BP6VXBF|_)3dke#T0O2(5T6IR zc}(Q1DbC%h-Y_O9;(~KgTrF~t3N?$QOId=9rc7Mqb0;{{%{df5^9SK;c7!^?uh-3` z1gj;OZQ$Ze?O2C;)d_Q`>{}^!UOTtImRO>&dT%^PHTOo+!_GyGU0k;q^wdPsOwLe! zVVssU@3%~vr#N;*3w)F9ts!&T^DSL|v~e6(_O1)JdW}{u^~AsENuF#}t5IB!3d-49 zfJbxztW|m5QKzu<=CR)sjkyZE!i^C}@HhR!^_5hF*Zwgb^Fj%}6nO)E8>?#5GL&q6 zkWfn?Psi-SI27Bx^^_QAxPmd=S{>uiQ9#7j3f&cKV{c%n_7yd_g8M1#R0yw&NUW}4 z8(ZUqt;Ip%3LeA0DeA7kB5SKyYkIE>?UVGo3PhIxggH zqLiAJ0lL^5mF`w9y0tEb+_y0lI|IR7!M5DhkoMlAi|-1yIlo~0q$}8#`=vcLa0T1g z?hv^&YH$VDXBQK4?}atWv6xoL1otS5nbW+q@so2Uw>T1mFS6pL{7*#9`A!%;ZJ^GizSVPI`6vUbtsx#@RtIjLdGoz-T|6`Vaml-!TO zT29>KO1!$N+u-b}5eB2K*f#MSm=XyF1FqmqtYx9pjr>8|$kOR*;L)P+az{HwydlD% zA@Wbf4=w3b??QC3^CDxk&?6W1l!RK9FM+gR_GRjxBA;(%s*s0;CH?Cimdbge< zrr)slN?aT80efJq1WU?OzME5{n?z9I7_#)SJH0)ROv^98Pnn!B$wfEDp2ZAUYO*$b z>|w`##d$xpuGirXb4PWd^gVqjv`|qaky=)e9H89Mr4ju;)mN~VO|iBBYFUNiad<)U z375k)+grGoz#CXltPJt?JY2z3u*Pe*k~QH8anZ6UrT$fDykoRt?IgUVF}%51p_)`- zvO&*7yE~W1FC#l_K{4jX2+HV9m5rXBVs;QLOc5h3U;$ zZfjq;{IvPK%uKe<_t_EJW=0OrNWb?wTg)EzfjSLub#BhGZt0uv(d=NNa8BTmFo{#I_>iP z-L!q#HA*vKv{6)H=YTup;yd+GkrU`o!|Q_c`ik>LoG-OoVC`q^+|<5xjO-w47|8x; z6^@l_f8=NFHL8RIGIa z;%tzpI*j)OKln^x2~HV16?hI1yMsj0s@LiH6B-a>j_d>{+v@FE zD87&2yrSYf0G+Kb+|<~dBY7-Rbr)b~vI@bYRPqShyv;bQh}H&cci=5~GVh=~cfQb? z6rGHP3cD{iXxQ)IrCsK9}j++i*t#g{>;l zw1K6|lH0A((Jkf#Hy+|a^GXLqkCIVP>I@XIPI!C1_#&HFJ4*b)IgD?&M36f`)ja@+ zC*2sX`|k&vcDs>cN&3k$OuM78r#>&TWciYIP-{j@}A{m*Z#OXX&Gh`Q6Ly4wNq8(RM!@v2kx26A%V zR*O@xEur3?8_j&e-tYdmTDB;YII&goNH@K)kxZ$@3BI_c9aXBhE;?($%(>nM?0=7_ zM6HUgj_zyqm~*QH)6nBg_4dqEzJvg`P-+px2~{bzTZp?w@to7&B7Cjo@NfEH4}9&hwADzC_)|mS4C${5EsSiS>B$@B4x_u zj+V4oHKg(?2vfz{bf2}3gNn6WoQ$nz5gh&}?l++X3r!kw>xwZ{DRl-WELx%NtmCgz zx-|&|You6n*1dG27u`%V<-b^KvdG)>-31w;_mx3sfUA~}Td}rx=Lp9xRrhT4XqLEf z9gka9q;u z?wz|zM<3-gjw_uvgh{S21*_z)YV_p8G{JdE#rZ}!N)_nb?{Rth5-=e;=e&d5PlxAe zMR$99ez_|-&!#vZ$qKW^f+h!V56l>z1x2VDIuQ{~0$7#V@L8UbTJ`LSu|5cgFERPYud!aDg zYgzNWJ(mQ?04~eF3f3xt>7poDt9PD(^s?;twAw0nEA~n(T{<6?TZD+IEX{V%6+B^ZCTTm@^_Bq z@h?g9NL`+5$(C2dcd2Mf6`Fm0q9~0CjL}#Kh$v#M^Y%QxQKlY#5=tsH1PT*iSEX*i zI0jcb_Co6^Iz>vUyORzyuAz5`%L`+zOz0G4Dlm!0R@*}RJoh?BHR2vo-1a|0x$1tV zfm0hBOO;xj;MT)?(!TBsDE+_)XUbg%gKkFg=;}`@ztAD%=6Pp%HG#3}qp1A7C=F=* zpFOUHxwCtIE+pG;EMW;}YR^8bEo3d|8#ZsJA^pA(7F&IJdRm* zl8h(c%@Ul2e-*?Ng0Q$TdlHyTyt}Y%~@-QM6vQmWrH`E1Jl9 zDuxAVOiL>WQ;%TAt@d)w)FYc39V~K6EHimVs{Xz``uHUEowVibf{7*;| zVrnvJ!Utrum*6YRjF{rC2ree`pRUyMux3x=tzsQdVd)rPTlr20(bEr`X~gCr!&`0S z;HN(lwym+j)TBwU z8^Ou|S{pn9=u$7)yenT4jjx^vicd0n3~l)!XNs^4D(u};iC{NW6H||I%*3QNt~F5a zCfGY9umD}2F?qDFs)`RooUT5jn5J}v| zUI@7xgY%b}&QfS!32wl=3VU;7VOji)t)JaUmh#=@upi+}0H!anBU@+Yw4>w|icrNv%mn1DAx{m4WcDVBD)!LGj;Uo*?;OVD7a>2iu7Y z0L|cmhrPoTKiHg~Mi31{Q{!5<3Q)}(K{O7%vVR4Gl)PNQw#8P!#Rc3IjC3V_Yy}tR z?JUkMDEOJ%H3=5?RAP7icg_Xa3;EoYxGk6f!&9Si9vz(Te}j>7{|B}hb-C89q5x>T zI)FCb6)c`U24oTP#+;Zshu;ILU1p!vvm=sRu~k1*^MMz}##dLct+g@yNlOzG+a8v5 z1LQL9amD8CjI!?i{6QC+#9YBPYh_V)S|$-q=Zqt<`y#hA<+K+~?g#}I(uu;Axa}uAkkP<)_~(bhQpVJp-Gy#} zWGqBX0Vk5BwWGeltG^|UF{V} zxPtA(bpfp1=enX17Y_;mQEY@d#vh_#+Xm(RKfKpCCTm~_$l60>9ezGNI%{?i6++#q zLBf?aPy@1TH99h}XkfeUZotkTv+wXtVWV!n>3`Z=1L7KxaIM=m$!H*os{R#>`z${$ z{vG=<)76XoC%6yuXrVh7O{o`@K`UZ>n=)oEH={D>Gc-c?Vs^jtB!7GiZ6 z4E2LP#pNm(^dK(YCTUr3VursBo{djpQ?e_zoq9%qbGMj5WJk$6aL#9gM33)Wd{mfl zWZt9YtEEDrh+{wUFtnP{FSVs`^{P}J-b$XENacsnSh(|p4BszQHlN4YVZ2kUHw1~4 zZmgTTqYhBtvQKDE;1{E~Qd@48LF){=S)@HE*y@RaZCC^P4dL&8PiXe8S={tYw8VI#u$>I1|9drMEiA|1u!wjHYcaYF z>tMEPZPrky7EZ80Y>(NmCTL3@c(0|_%Ow~l65w%YL+7LTRB0`>Un9Y8EUA!t7+N^- zkd~SXO64_EY}*ynG3yvnFOMjB5iyPSm0ckO18c%znlQGkCjQQ8)H{O&qt_!Q4$Gn1 z^&pY7|8z<+OoE|Bfjt7A&=@4PKbl6B3rTPg$G4dB#IbMI9oIe+o_u?#{5&7*od?3J zC*P(|eq-EH&csurep*i!Q_uY82i=>N)%<$ku#wa@DBq`J`qX-0?WKBVB*h)`%80MP z*_pV-&vSEklb%Jfk1TwxDVn)2D7GY=LOa{ZXL7r!t?_Gtm>9*XP6ugI z@!y1PyO)%w@C=VF$M*J^l| zG}E@5xnz^vjH=w_Vhldvg#Yv*z)ty~AT@5B+040Zv2kBi%s&RP$W{u}P%GXlno#Bm z^|@df+m%xJj;O0#t=Oj9!+a*5H|WjDLnzgRK0l!Q{)soI#mgZd!$`xw3Qt|cOlcL^ zx9qylJ)L4?1T(nWutv1p;N<2@UX1RlVSWAF~>=kM6XT zV3oI`K=>ESeIMN`B*9R!3kV+@q}@lmeI%GI=qr+Dd8j1?Tt-D`Si-qH7a6tMasY1j z9{f$6IEOMFmO+H(Nyx_gfBdu!2*LD+X49Oy5)7_IZs`w=M51ob4YMhJ1qtS7jHS+R zaJBj?uFF#EW822mcZ^W>Hn>`=FJ}ksa8PNAQ!*>1$a>KDu5?m^$!Is20bP5!ho)?Q zOPO+H^T?rEBAzSdK@XJJdTAyz{(N{*`ysr}$K>1wKSsv{=L|+8&fldA?Lc@T;Gz*nZsFfFO+36Jo~?7O+h(mWCm^di zh8O<|ZV$q^IT~>rTg+~YZ~uy&4-EV_xQYTtt6ODzEd{GZ6Wi5XiDN^9@U?{iqZ@0d zJ?ypcR0*9|TX>@cRHwEDI=#j_{k;rRh%%tYD;ohPH9?HaH zJo<9xT`wvVBD)sr)x#^$io9lTOK4_r6g6>vOJ>!57a%?_(NC|Je-hyddJnpXXh;O2ulXGSPh>pj!Wy zSd&Z&cOBew4t>t`UZ}#=M8AN~!c_uUsb$K66E0KQT$f&pY{PB|n^}!ETAn zYl{XLJC!SrgS`(R7Lq>+qI1)vQboW6pXVKXwa*yrO$ZC3iz6ktg!1zlb|X2d`*k|o zCB1p2+Y;k26BpgNtx%Y_`cL0V`OC)@Lq+(W_>nvRY-+Ifj8CS>+*^WSZvp1rfjsSq z5X`(~2_+jT!74duhoN(Ep!*bE zs)F+mP~ImA&76HY8OhbU|8vX=RDtR?4Vp~lj>rhL8;iTlqrM0JNFOHC-B>cWG*=@F zu_ho`Qx?1IJ5ijmAUfceF|_)F8ayh^rg7C?31?zmNpZ4@fg9!>5=AcHQz<1_nOm!j z8@oZeCf63w)EyH1lif!|=s5^B2ZgFTuz2Ez@LyLAdmpwi zd=hZ-^3*jt*D1Am@aQCCoyw^>0pasJG_CpEdGtJ^j8NMo47IdL@Tj-5=8_eaJnSA< zD^|YFyMlbR{m18#hmXYW!psPZ;h<#e0g{KR`%uljhOeUD88Y#_B1_k4D~&7joBmqA z%J|Hz38iL+U~hmu@yZrTRYg7k>L#qf#W#GYz<+wn3|pvKLMK>wgqYpNUJ&hK>MgV` zo&*nO)4zC1apsjZZ&}>MI7t*+sXHN07d5nUPS%*kX1R zXMjXNI^{$s)Z%-g%@D-V(J2xo{2BJ9)De}er+{CIeVr?ROzsgg$` zX4)D7#jD05&x~`97pm(q2ZrZ)WPZYYV{dZHIG9#d4KxNR;(s`KXuKZEJ4{E+rW!R~ z3s=Ora8jJ;XZd`(LWfJIGe>3ZZERtCi>aVLFv(~H zaF*^<$g`<*rnZbECN%_cQ7G(2P?Mb%FnS+U5|y4 z?ze3Lv|{KPp%yoeZ{evIxNfUCkJj z!tJ^jpHE4qORzUHBed2Ak>3H*DXry;oNp{fvl#uF=Cg)+m$^fpedieul`A@L@$ImT zir%fK{hHYD{@U!-Qb9crWiZl2Qe)s#|k!qQld65(sGRdu0b{kq9rWW_Cn176lcuKG^0)FKaCw-+` z?M#21Mu*9rSkSaUx(DoOkb7kDsc2jtZxO~v{am9|s*kVdVfWy!$ zbH#4W-Xf+%zCfun(Y1&eyoZ90#xqCMTW{=TgNk-6F-+1EKJor#Fs-c`W2077?fftO z?qC{IQi7FMoKUT5>R{@cR)UpIwbSTyd}ju<&%ZX)m}C;%jztlDhdju5qhYZo1@=(q z&GAhCc{h#zih4R2>j~=k5PF4LjCVKh#GP+6QBm>}@x$8=eYLjfmXL3R%xj<~E}TfD zb%HawJzq=}|CV4k1VLFl>`=ZM;od_utU&PI4!dG=%D2wFL98TIJb%-EBS&t*)gLjb~_os50yJ~W3H^1U`-LfyR4h> zg|S6GcUJMD=~S9DM)n;pb9@W6Y(;=K=>=pnd*C#dk>4~Squu~z(MS;MrQ&E_ph&hAvzTTagBP<3xacd0p|_^ZE0 zi-!iuj)_9THc2ZfzPW4d!<~Ii z-&ja z`+_(Q6t#-L*Mi1PCK@Rp2(*O4%%?{)CCYMq=yry5G| zXy!vEoRb)d=7xVLfQ>#*&0%qr;T1U1d*|)il1og3^9W zDzd{Q4i1re^1~XRG4N2>abNix$&^8_!OwX_<*VghH=epaIzu%cH*_w53HC+tskt9{ zdC8upq3rDh$BySl9p}yva@)=Ozk_YH+<+_UklPiz8viQnZNL>RSGj9NBjJ|nEu$vNSFg(Ex&OY+?DcX5U65qo?Bn&zOZiXYhtltGfY3-eO=ALic z#0r;iCXs{kFfIKXKeF2+>WDOD5sgo^vhk=Kr~ z{;Z1NE!i(Wx_Msq?)$OUL|)4e*7zt=evMBQc8$M$ja5o7Z{Vp|bku(pSV!5v-rzSk=+4rfojE8ZdY&!hoJB^FV?xX8wyq-czw=pM`4xjc~Qbw zI}M#@-P3{mUl*awN&6UnU^x0U_`Dze-F7SWnK;bIps<~{#91(eBEv7z=TAkA2OPl8 z#3k<0+xDSWb>#?pA*VgzO#Ci6KdlF+d;MgeVbrzgE;`pEz?iNYiq z*O7O^VoGV)ed4DVfJydLn@#yGhkj-&B`t>*VD$ZxYq3=Mj#-UTG z^jbN2XXjKnf}RJ0rEw3UA{XT1dUv%gpgnT6Ag;E*M0=-%fIIL{@hOQj z5*pzd6U*9AC80SdO)+!M=pchPtjg;$>rWp4u(;K9%AWNuool~FyzNFBT%xf8tY@g&l_9im6gDv8%Vh$+vRh6D6(DaM zVGX09KV*DoQSR^^rcH`wSYhYRyxw@q*n+i|(B~%vT6=2?t;{GhlUr2P+ITR%8bxTI ztyH<31S7`^r4EG;Hy4h4W((bjFTuRSLHhYJc6hxm`b;XmXPwUF$dWLM`fQA~N^_T4 z^GZMzD@><1g&H{rduI_PeZX4wp;PGol9NJf%~jIuj~Ek_8iYOYEHy;-dYCh*jX+@k z18ip|B_OZ+yI@OtP8fgszXV>72F0~*jFd!cumuo4&d0eBgdX-|)j-#^8w&tTK(oKq z!|weg+m>mxn~Lt7AYR{Qtwz@&WL}&g3<`wSK>7fKUWKp4yadhU zFlYhzn(GzHzkcIq>$J5%3`Xivbsx@9&R{R~6Un0~5bGhYbz`rENcT>X zYS{8%Kz#=vVk<9RVB(QEOQ&sJUYgcAveK12TaDbx*Gl5PZn$+==u*0M<8ONWzJ`HQ zsKu2Qjm1Jts&;-|o?f-xL1`Xj@F=LVV$@yy?`J?J^P*ABY_jf{aYh-`30{IZ{$>ej`Qd?u(6!|Fqbw$E(P1^F@B2Mt@_0vKSww#`EW3&41DY zdb?aUu}Us&7v#YG_mR5G%4*(WWvxIc|4BRhjwnUaqf7bCh=<1+pA~D1p)~>S5j=qkb&@Z$ zv!~y%+0eQI*2?7$qDikpsM@4v#u8<*zff%!M%QnK_*2o^(j>~JgXJ+>hH0Q&fb1PuO{A#p>uKP$UiS= z(bIHdmuG*a^DcHbw*3AnRF$77#=Q>JA;XqxYa;hAv|Q(JP@x{_%_ZrV8ukke+9GcZ zM#^1$VG|21d#r#Vq?7sjKR&emW#7*KMfZXc%UoGEI}NnOSQV0@KO zy`G``K}!igS8d7rqRSn;^enICh|r%%z(+r|q_KR)VT%q2j6N#B+Xi^kmo`XTm1v^A2?59vn=q-0sq*x|PN7T!jB!u$BkCy)C*Q zZF?Z?osL6Rg#Q<)k2enTF0d%#t!hnj&Y0jBb;}DQ!{*vF8rJd}9iGufyi-C5_7$u_ zE`4|RG1NSjOu2Svkhk3P`7L0;BiNs^eUWt!R%5|;XuqEzN)&OtM-z*Vf_NP0JU&S5 zo#02|8znaHNt0TO03sjv;ndoGpNb9~5GXF*^Uw2k9O2do4{vH1k)Ep58fJ7?V6mSc z??u)Zbs0~GK5wKmh5I>Qtj3|pO&AweR((26TDhANO>ALoSA)H%I{4q*`~DP?R!KHmeb6tdh9wfDtS*=47;bNykyi zmse?Bn>)_jQU*#zTGa2Y-c1b;#xqA}*lkQvS}O(CQsbT;x%W^2zm#S`K!`YVUijKk zJ9by|!wemNq_L;=g)jeLljxNYM87ldqFkn&XF|deLq&c$3O_}S1XK6mPIAwXR$wE3 zRU||S@oDNV+TO^Ctz?V(-!^y`^=vD_nOGY!dLBx!w`02+TjANb;&VL6*5HdahMMN( z>462a41UH#UOy0h;$y~LlPJNV%XBGOxPe!jio}IC0c$-`oT_!75*IHcIG6N59GJp< zlP27!m9-=oLJ7I&A~Q`zQL@4VT0BjHp>iR2Q_$^zVtd4WDmhq!(KZU~wLn~iqVj@w z^kr%-Q8zH1TC2s_di}Wf=}lIN&B#)mDxeG2tb*I`QQ4CcTZt{1PRwAfLXihFV6wzc zr{=iC`NRAih@~rE!^?+7*PGZ= zp8U|8uZEL7^$*4TsMpJ*B1)362Rhr5!f-f+{WM~V!3Y1#>l22^;$yrY0aW#6h?qG@ z$&+`&Q}`xxEpTjvKfhF$_r7PD zO6xPq%~Fe5=R#|I2C$`fN64*T|Cw%Y%^=>RiT#R)uok-x`s9QBeYKAXdeHIFl5-DE z*y3Hao)9b?iB++NsXx4!MI`Lg@G8u3>gif^qFJltbSsmUb&Jifn54Fk61p(1jM()j zupdD!GI1p0k zyezx4uAvjGW=syR+Tid}j?Sm$^XW;Lb3HM;HEnxUP`ugLey+w1>c-}K?NjOidjDlN zRk$|WD5d1)X(-JShs@RrT}yLL$g_f=WX9k%HU6ZR;X)f+YAxNL8ROk4QxG8hSP<{t z`L%|&4vE5MNu939R5Y8l^sz4+X`{KK04MglMc)%7G|kmh#Ci8Zsmb9-t#hix-U>-B_+3PUq znL^!YY(v?lx}fYW=-y%W?USXpcDn8OtYWM+=<+#aniceMAnpBGiWYS~Y0S_DhQ8ve8xtsvyUc49nPJh?j9}tYBZ~OZO4E$ginvo* z&F0Uf`E{>}#a6s)UI@kq{a98Qve%Y!ezg9!>{{3_5r9MK@K&4i{g>ApFO*=tFxcZQ zW|sbO&nk*cc}2W^v=4i#AU>QetMeu?%WBhZ9DOJ)v%^59*$P4xU^3PrCxiG+UgEbV z==bwGt9;e3;y>QZBW8-;!eR;FGAcrSN_7(PE$od)wDs@6E^}Zwtx6Xt?A??tCbZ_P zRYmA$^Q42PG33Q>rt!7nV9fUHW*7S6SAxQauQV_LBd#gzHoBObDB?GRW)`|h*Vlv@ z!AdQ^p!|3&ws!5;MmjlIzDWydPejQu5XW+g-ge9=^0_WsgQjc*XH(M(_o%urZ4EKT zDT4|IN`tkmH!e_{KJm>J2?rX)#KmNe0DnZ?7u$`Xv{9q?yR0?Q3bsW~eav7xD<170 z;GElg#3JY)F-aYoZZfUgE|+SRT-qVK9ZSg1>}~gwYq3sUqVgW_$L_Bt)9vgM9Kn^f z2IK>nevhyC=6VSV$>B_EkeOUBJOCx^?E~y4$jGW4!-VNO#T!Uiqmdodgz4_P;f6h2 z7u2*5-RO&fX>m& zil*ik%8>cS%M^|h{WvuHB6$z;=vtC8Z5w9fRN&OCFsmArx-a<% zx?6lJ?Jv5+@KWH`uto^taOK&Znz)EJZ39mtPz591XQQ$ z-Wz^!DyBn?l5bG4Vr%Ytx>C)7SICs7L z^u)lf65p2wY?EtqBe_o?f@m3=!WzR$(%nn#qB1Arn)BcCyU)~8EuVeNZ!Jb*?NNFe z<-X~B6hd^N<3OoD;E(%T2h!m0vXR6wm7)c9gVuVWP=5ZP>sH4+d`gr;@rM$Js2v&mS0^Kq2wQ7GhQKP(at5t;3+C7+L zBuJ#1xtTKdlwh|ggSq@GSbH;lsv*IDali|;L%=QMJ4CV9JxUed0UPy`CNN_E7 zUEym_AX6%&9;FulFRz{F-HX^klvpE{e5BN&>CF$BSBY5*;mDaGG7ktXz02kh8Wt(% zk-?Bt=JaqGue&QLbIus6YnPzZ{LHy_Y(WT(4VT!kzrZ%3)N5e>=&lfYr%7xe3C_<$ zWO1YKh=-KETLyEr=Xj%(3c>jBwdrt0J9wd>&{9_6(DuA)-UC77Wx!5K5HCht#CeMJT4 z*$oaa$eq62YO4H4f|qcN3BkHRgl%B-&a_14kW$_zRRLUZXzA2Ve57=z#JDKAF!wYD%=Z!m2- zEuMdevdtM|EatkMjpQ^qQ`{Uulxn-2>bM(MeLwM^*oJ_fc99M{b_E z!Kp_mm8TT_wD?Ha%8>_F2r^D`d<%PjhQh9Zlg6)usZeMPizR{==3&;f;c>T6(Wp~M zZJj&i?sj4!oh>R87oRdL>vn=&`{#B;*4ICuW2dR*>hf*f)GS$U~~H7U5a zgEkyG=Ir%UgxpsVXw~N)qS9v)ns{Xto<0+D??V6=K%i~xJd6_7kqP^(>fLVu;vX*T zDNn3m>uQr&FL>cA1e#jG#>o^96!9leDxYi1hi1f{K!g7}A)5DWO0c+yF@9H9fXj4nSK9UZfb(oEAk1;ZELnS(* z`vD1n@SR7^r8Yxk7}(jNAHZEu)crb#1{iYS@q~F5{nS)&rs)tqEJbXy#I$^7zMAWe zUMgc31XqL5kXBr@m_}@WO4mvhHZCf`cz1!O^OhrDYx>sSRFfavfuij91M_RJd}WlV z9@q7ma!a8I!D1W%;F}lr_e`WMx#tUP{1OhVQ#LkkQI|we-~RFc|5tEwRzz!igSmy| z;Tl9GS&hPxPr)7dL;+U1%f&0>GKgLwM@&UNS892Fr?zvLTF1^UCI0lK%(KP`weZ2^ zF$edmYvFfsizB@X180So0y|R3mZFqxO)DcCTTJMjQ#rq)2UbnlaTuk3DZ^kkTSBz{ zO<;K5=fP)3M`2$WcgcBhMK3`7;h5KX7IWw4%k`9w+>U;5fC zm5~R+&G4Y6)_uWI%9c5S*}%PxQC6`gI6nZTo!vZ%PF$7PPkQSVrOlS~Oi96OPTV6I3fTi8<7> zA<(R_X%tZ76y3==-|$d%U$N^R@4lXL&zJo*yA@2wek23#OwRSxbCm>hu95tfVd7Rz z_*(vp>nYP)3GT>YAUI!#Y`X)6GKojFUSh>V1Q8F!qlg!bGm%ynlzWOIn7BBwqn^!L zJwHF%e{!lY$wk(NaAdAJ>QYhiymzqUt1?LytHgypgN3-0EN7HcwPGndQo^xv!j!+t5KI9loeTaHcG6CAx>aBUPhQ?`9qC!-K_bQ)s??)^ z&a}7eep^Q@m3o}ukq1lBtnXQ9*~4Sb)RP-d`WP0w_5B#l*c{({R=$N%j7=iK|1O*~ zD=gNYCrn3=ktVsooX6;hs`!52uAU=MESqt~4rl}~m({NFfjkG!W}*Fc#u)k4;GSoz zH7y|+{F-kf`I@hVV0Pki0|X7Ko}v3h+R;XWtFzpqZg;eT;^2AZsYmy`cJMADdBuf3 z4}krVtp?Nj;8C>FdzXQu#bR7uE9XMLUQkNyfzdGUwBhFkyR@gDC&Pr?nVFmrEE_t5 zeDnlQvaS3$*P_n_PyVG&i(P-b1Ki7oa%~-$jGqN%JLY)!_2Kw{rhsg(IV!x z9ip47w;5Lp)vjH~wP7MvxEkysqHw@w@Lde6nv5MS>NHS6GbJgME4B^2De8sWbDElyV8M}~Ny(!*XyO?lbB#8|{lK0A>?g%8 zh*qI-JU5awH_z*Kj60%>h(F^-l2CD|fYR7NvNaBSKLc_X7NxQAWd;(9N_0dp9kmC^ z?%Od!Ja%stwX3z@6)t;#%}-u`K8)sDlmoxjoxwlA+G?1h<{TS2GUVWq3S!}&o|){6|7K5*VPy;hIZho*{`^F>MnE> zaszKoBINopE1kdAq%ZH|>P1rH26{8BB|j`RcAcRjUv$-lhL*Xos*p%7=%vj4AtLFy z{mgkcs;=MKoF*Q(-B$AkOc!Y~i1Ssoq02^I`(6{Qko9vr)&hZn zA=*o(?k#V-|6FRQ6!x~foyGKcLs+PLNRJ#mnh>^;3?#IdV9Rh@E!2lFk^9wc&@eYRwkoBhH8pGb)-nNGz;xo8MJ4dWcp@lp)hM-;_d!(}h8k54MLM zZT7u;t8R&Smi`eLvTNJu(jv+6jNIG1pouqzp)7`M3jNtzkIQ!carh5bxX@cdLhpz#M9&2RFIuJK+Yj9g9A`_IHRF)>DCDLhM9##r&^W z6YWqeo%RqM30j3}%1#73ah*rEM$7!s5?Lwi&{_jgl7*c{bZG^euJkXyqFC!u26f$`bJy_$FoAa+Ei zzb}~l{Th?sbVs?^CFkmiwj}nxO`>Vfb7JD{t@as71u*NE5hXm{vP~4K^I4z`wPvYo z&g&fND?+cSS*rcSFt?!%c~*ct^xKWaG7#V3ADPZsjfF*B3l$%;o3AToL9H)g9_%v8 zY^l2zU07Kt`^>OVk$T@Av9ZNq)6_ye4)k)!uDzKl!gHws_D0>y-4A*00&CjV&;pa1 z)yx4MeM^V&f_R*} z2+?(IgT{PQLMoDNtv4ZhD}g^fY{6qz3+#(yUzdz3R9&%115E=On~U0gbD&Az(Y-XP#_EL}4JJ<8 z&}hA6)_L*6U&%a0YOXYCeMP07kSA?_p|d>8E)h9;z2Np6la_w%cgTPVqOefJOA#(B zbi+5pJt(t6dFRVCSc`A6)`1s8sK3A*w4GU72TdC%$IE)+zB{kTk*z8pio;_A zTHvPMJwimfjzh(+tg4A!N*t+fEa5jI_|^pFr$`@F(+BTXtQOs7 zE3h^eo3`;h=V~#ikpkDzZg7$8f^}p0ciToo?6$;B=Af!rAYG`Kw_Pq;8EI>b%Kkpx>Ctw&`mZ_<_;l{R7=S_>6Rm#?|F6f{xfZC2GB zpzWL=Ite=;zPqhRFij0xhSCkTa|Y)_4MA7#0UNbHl{mAKHp>yKyskole zO*flcbVJn>#`HVlPtwmTMKkvYvE^Y+v!Ql_Fc?!Sn5(Bku*lj-wf;SbFuTF>|Je-= z!BE`(Zh#LCa$Fk&x?_o!wWN`L)_nIg-4SK(Ly#Z+zL8kdPKXj^s+&J*))>4S4a#}? z;PR-#$_=n%mQ^wt?e_`9R2`XqDE!o2Ov}6-B65DjfHiIUin!&2#Nn9T&2Cy_72zda z!1aX z)t>N4JRj$6Ddk5>;xnT?#^{Y+1S)%9UO`6 zFDJDrW&w!=kd5B{^7qq#+}8Ug!5@hQ6O; z?4-uN9&W!kQYprMddS=M-T+ZEy6Wv=!Jb#wsw3q7ip)1z%G?OLz7W2)?o|JlE{%<( z5_^5@4Jxl&gGtfKyANuqF|D|$e9{rc#p+$@#GyY%nRgH?Bd7SKCyEW(j)(`n#+%el zQ>g%&5!!|u?E0b7bW!ZyIq{&@WV5N3IdzI?F%x>J`O9*#W{8R{=qW{}Z44Z}TqK;V zz{kl;SS3dhFJC}(+SaNyJYw4#(_kk$dTYG6u=Fo0Ixz9Vu)+>>>AKuwwOF>~B!f|H zHpNen$e&0zzHI5ZX3+RQ-U}hBOGLGDKSAT@+fd2 zl7`(1@`F0u51%vGP2Y%9=_bW0GALQlD_w9;@h%P-( z^Ps1y7V5v?dSLY z2e_Dav#(6{%@-=}ELPw%x?<#PG+zk@bj2DTyyc#!Q8!c3#xEG$PjA&-94j|KOg^{N zq(fg+!Uh&sdh~J|XILP{-n+zGXXEL{qPOLOf0P_7a^_T1U}01m2hCzsL*oO!VYpGDNp@^whxAqjRqARJP9b;RwiECRs{{2vUnA(Jd zYJ@>*S$c&J(k@=>4^gL^fK=b!s}A*NJ@ejfa*6jTKpbR)c#A z$o@gIZO9lg__-R~TWzRKT$@AQ8#~-|sFO+W*W@cU6=*+$Yv}J(Lcd^}245%>6l4PLvK! z_YBC~H(~6^Dgg;^LpmJ{W^FNUjw$AJy=QX&4up?EEGOXPTgzM*(aNXf_YH6+ z7ITh~v@1WHJl{{DB7s!Ll$9nVcA~si)X~_Xn@`#61~%u-6I~M*>nHF$p4q~zue%nR z;Q<(a84E9kh;oBe*8<1%@WA5{Dc(gNyz?5Gc3hU~ZKSmJE3*sxX2ueo(=fQ_okn6wjNzrz_#e7_af^nQmG3ErD@8l8U{_Wp}_ z@j5531Gm#OzKd)*@1AYR#o}j0z3yUc#lAeXkJFtva2-S0W08rgT}17Ni`f0) zCET?rh_bsP)7Zo1#&2z=C|PE<_%Z)AK6H9})No&UP=wfhOm)b@sWc{Tab^*J951{1 zy|&aJ;k)BVNUl*~bwr;JV?^7L+sx7$Ob4{kK$AjAh6R&+W0dh}$HWA37z5%=jqUEgNihAgOUww81kHO%P+ZDlehCDk|Dw zZ7uJb_vLeI{IJiuLPfJ|>K$whQ#q#oNp(_osj(xq2D!mGm(cW3H?j2Z;ViWax;0o0 z?EJ7)ZEN?Mg}ATldQYV8u9p_eX(W-Rwz#&&6dR0~x#`GtMUsvQ@)`%IbXT7uU&M?W z1wAirt~amhCU!I2>jhM@Y{wcAk@as8(7ma>4qP1ZJOxzZxj0@FZLd1Pt;kY2>>WUl z<`HDRwqHz7&%^~iX3Rt8Z<@F*wcSm(hy)wIa1T3WR7)N7c$g^FNVVUM35%_TmNx0D zPN=tkVp-NjJMk1A>YEm6YoVi-D4Zg|=+@v(%^~h{T57aQj=pq@08>6zWidAJJCSt! zGc&ig^AlF!nwsgkC{rMV2j@atpI#UO;4aABxYt&JF(~p9wnB)i6d7Z@TWEQ zBK=VJCbYarGf^yGtsYQA?5>rIkG=St#NyY?z1a{XrgT#af4Qh)exo^UOk}_NTroqzUdjtU$p2h>Cr)k4)?8w7!gr`KBd0D$@7ng7KwW`z)|X@8puT z(BbOT5AjBe-%hLzP#U|ag~}vpo4q}egoSB##sFtcH77Dfe#~d3~sP7Bk5yzLOQugUAn+f@iBpFff0|`&9=i7>ARRTf=&}A99Tx4X{GT$Pk3r;OdYCmpG&#{03)L}+2@e=T_pCXO5w+&quZMqt2aH46TXVG( zF_PyOJ93>eX^XK+zrzD4BGYophYRA`&g7o&cO5e6crP!*Cy{i$^d(IC8s)u<;&H3^ z9!z3UfLG}_=-!7-q>8>&WcK_ehD3zei!m*s>T;;q&{N|?#8ve&;zU|>l0?XpBFU6XWR2B^4bMWoeUsIl zvv2CShF83%>$qlv^($USU$ru8X`$NSp0CDd8XIridxr+q1j`Uq3NAqjvs@Wj+tK z7U3`R#@b8z1F|k=~O7tE;^s zp&~5K9`WwTHv32&MFV;26w5^Fwa-M43KRH^eI6peCp%&ujh2%Z3U?E=V_^#2<-kRI zIKJAEE<0w1-DV*jG=GFpxrD=YPKhP$;&^T)-(^nHoZDr`S-VywefWVlkz61{X7}G^ zmAxS%NEDro##k9u$!v%f&EqD#sZ~X1+g4Lq3rd_aQ`(S&Aip}}(0%Jts zr-#LbWIfC+#JSzI;AeKzxvg`TsDPb;?zF(&#g?`3Gd>xMnl_QpA;kVc6Kx|0&A~8~ ze-YHb88qW!hRlbgy6mQ<_PwPsEwwLK2h*(8*Zqn+$ZWjZ>6hW&7Ap3oS1UbOTxU8D zP;5o=8RKArP?2}HnvS`w9hje)4@dJA>P;PT8Qcsup@oVsS#OW(z}w^85l4@w^pStje}&CK%XWtnRKl!6E-`ObL^gc2`B?f^^&B{I_z&#NssRMA`%M?9WYRfFa+( zGYMnQ5G9P$VnN%^X0%AV_S55;L$^nWJsVZMKT_FtFETIR_v-gf^INvJ)6oj68c zvHVpz5s_<;iEV3~>Z7B#2mz+R!Ofy)hqvNd;0kl7cEJ_sX*Pju+7??Uy8oGk-@(@P zGX8;~9Hz33I_-ZKquwg;CJI%|S}GVy5h~lzW$!sQ&Ab|kHReLTnJ#YbJtFSr>1blf z!!Mm^9weR*+#>M0aC6O?{mh7ty+!aUHOEE0L$wGs8g*KZOxroeq0~;Pc-{kbYJf~8 z>Mx=%7{~!T2iXTlhY>*BfDwQWxf7SKchhTvD*ZzqYV5+VvmaWCXulT}6*iqW3u>W8 zpcxqh;(N{=q0_u#c?zWtGow*UWOr+rP?pjQ#g}L5ec;M@`IJ%2IgX0->zHVBz?V-OL=~$3^6A3R5+;36$v^G;x3Z{TbCO8C~K;E_dIOyzRDd%4gFbky3O0uH=tt2{Noyh7Uj1!P_cptFuutG z``)y#SCYQ%4Gnx>>-*!?JluZ!$voreHsWGh^_mrR{(YP%5_Tk++vT;*(?^^fsbo&? zR{xjG_skjYjvV%w#Dk4B#oL^2o~_v(RV7_A+!V$4C*WgFy6LcIm;L+li6X(GXRL3E zOlnJl4X*HNq6m4Uz-j3=kEm!U9c*lb;QbRv#d@%#>JYqd+DBsHsA%8RZZPC-7nRHSUXxxYV^?p~=|qGITw(2AAe zs{Ov5Fpn1%b%v(SU0ZX$2iRxP41d=)f#>_C#XZ;rnp8*RLFj{)g4ALzoG)IyQpZ0; z^&!LCWxl9zLxH2V$wt%G^TnhK3jCOwZ9AUdA&t3o*+uHi=CZkKu^YNf@sU{THgS=N z=~265@EbfEWx-V}Y_OR>Xt6jQuE5_YyG)10qS6Qj2Iqd<7caP2yy>jK*so|$d7eP$ zMVmv5XDi&Tbmu<|ko=h2%Qu&z;wdS{OzUEv)%xxU2s7C%-w?5|f!djal}fJnFsM2y z`sX@u)jD$DcA^1jMxG+D4hZijD(0&oR?d2kw`mkfioFzDILrC3n6y5fC+5Kw=6bEr zSyVmOF^J(#i>;z#nvVhp`QtNP93A+KLv+uK%(=&iKkqA3gt738aR*V%Jj|NL9vChz z_fg>5H2&t0dy(cZjJXitv9D$CkJHjzPsCMc5Rls_d-c&Uu{4gFyT_JO#?FB%(4wf< zs+cFik0y>bpX zGsU%Se{kRm&}4=}s1xwarU6TYyPG-*6f!4K7)A~F*x&SsQTpBzv17FYr-{_#3PZiM zwY0o;-@Qfa`*(!BgfNFT@A`^yt15`cxpvqee0~FMY(ZA0;#%=C>szs`QmBa&A33#$ znH3}AMyAofi+6Yb6fJLrn~O;1e$8B(x=TdCmrAHwVP9$BU+|&DB5_RxPC?ArPQ(vs z)`ljTYL@gtGmP#K%V>J9=GEEDqSv}N=DkST3`e~e^m`>DesX&vE)27e8i_!a>C3hB zafgai#f2TJ>;NLp+uJgs-x2~_o3L}LNR~;ht_hkjWmBvJnU8})b=mkZ%Pn$X?tr6T z=h}?ndA~coMZybe-GCNbRiCLlc&rK%8auf7X|pH=O?HEZ1oi$(6*H>e-XZeVQXk5M z4mb^lxThi;knyZ|bt515G{bUewYF3nTrB!v z(KV6U9Jf!)+y?uOpi60)+hE@-9PDm4&SVlZT$!pS!pZrumtCmtKjTgA(vz0y%ZP(^wiCdbzDg z{YXuq;Sl=&FyzVK4YR*{o|kORl^5au*F`l%=ADBu64l)FdylRC0E~Z;Z`lf8^Lg zJtOa-8lyz572#a=3awDPY%SEkP9Ag9I;ToI5zoJarS3n~Rg}+FO0186!~Cox(`#}k zV?PWt{OC0?s$fD-q2Y#^G~g@tOthiJA@xqH3W_JxUj(4!OK;Hn!*uZ%N@1N;pI$hy z+{wHQCa({!iGz~PLM2zxm({FgZ?nt2RI^4;(5EvX_+F_yh(aO7M8l!3+)p)Y3_c9O z_nZ7dw5XShS+l;5Fo8-jaQKHYbwWN-{jg#!oLJik);dz;HkPA5=?kX!po6PhM`m#e zYugI800uN3a#$ZWe_VEpBb3Mc&I|$CAM&ZLU&jDk{d8ck=~_Wlc5< zyfBoL`b->penrI?{71i$;#O|8z+I2}YaDvoTiBX-&J%e}`u>he^u@TZ*zZERiAN{Y zibot>@2^kMzaim&2EHE<#`RX**s%YLz)Ps!tdifN)VtHDGG=RC?U>}Z4;xi<~4cL`<4FJf8I~Oh(0-0Q&S|W%=;|-GjRCQQ03n=bg(}M zABnZ*Z(Exg_0VAtdYYdR*A{#nDgM5twnLyB%gmQSYY-iAZNte?B4K6KykiQ5o4MtP zic?TAUGJ$&$D0pzOsxa)o`U}7T^ld%RKFx1%pYPOL^Kr7;lt+MRaZxgJ>}GD>N!1I zGlV2!j76rg@$m$FG=hhUN2yq28nU_TI|#FY+OCYl;JBH?(Wyo;G$_6uQOtpIGdB`+ z^CGtRCVVmJKicnVFDAO_tumDgqu9d`UByE6#qm9X0(<8$9mA}BL?3i49&L7XfCxLU z+HVZ7nYEKBmiM7(V+!3e%+2lcSNe29BFv%E(i&o3dAGsOP%8VvBiFvah|!0hd9 z#WdGMj%)dKT>B6}^2|lgxhCB1Ek3rq%jR%gk0kE^G6KXk_O480cqGYUnL|?Z?nlLH zJeej^oKO>JR2^mA5s+F5*hEmi>7w#K3jB;@?kBZx${gHBP2K)m=Dk;Ja+lG@WMigY z^{bfNuZZY;Y=#+A&kYnLiMZ|)Eu&+svEmQ2(Igq2m{ z-lClVCXTrqYof2RW9sfnSarS zycJSA3Z-jo81hAJEulc9SBis;+GalyyOXk(blO_xQQUFefgx*a*?fttMVn$Z;?2uL z*&mD66y@LM63I7hHcMzP2}Rj+!TF9Sd&Q1u8F_gAQ7hCI>X5L#qWIMe42D?zMzO`* z`$UVh3cD1sW{+Z-gk}w=ane>`|D?~;+`sCRy${=dDEHi#qQ>;J9*E_%7K;r|n76HH z+IbBNHG+idH`k+yC#I$O!n)ozc9j#uMV;Ia7#oKC)s*!EkM<51({d;HdXi7v`&05grcCSHm7WyB@LkofPk;ra77%d(IDDX)g6!MV!Ol04mmX9A&>9I$j(|Jl~Qz8`Cgg z7XWedMTDq-avp=fvD)%_%LLKkcZGdgb8a*AO_kVS->Vmz^WESo9GSM%U;F;+-mDww zvf%0m!gN)+F-q+Dp`z&bdZBsK3J;)}7VP&3Ss`kVxFW{SdthFP1mIS~%Hb?w+TReZPq8JB&H%4R3Z4vE|%8eUy?wa>rXvliDNmQakS zVrOqCx;)9tFQ@Gx;@pM)g>++#1Bn=AhKqF>Z!z%zBF;`kUvmvIx`2eXmfu^~<8^;O zu`J5eQ#@=uim}Ciw+48Nn%?p7Nq0~&{T6lkBtho=NGeGWjb-ULMl?)&SR7s2+00AP z!LRJ6l}3nrD^w#{Hol?Z=SOmz$Vnd*8gcfs>AxyKPZrPdPW(|eqi5UAQ1d=b zaq}mmYzouDr;CN@)ItzV#|QC5k`2~U!-OeWL$Dv`Ii|K1Kc8;IQhThWHXjn%L%*yM zRlY+_-I?QlrP>SqFAQp~TBQT=Mpf!fEvauY)$-05v#qt=cms^*5 zxqC3ct_&+|fZ=44dlv#L(?{jNh~kD>(%JtlV8nmT)7St13)XO82Y+PSCZWw?&yZ^7 z7Crv9!59AQEK=oBH5@cAqyO6t_Na;XYdpoBFe>rkOX`Zb z<8zC)Q>&VTHF2ARWiyA0#W~f0E2ECcHdyQIo#S_9LEGj#HahIswr$&Xa!zc!qwd)5 zSRL!cwr$&X((#*T=9v%kC(K&&X=7E@u3f8Y?R&4f?(65H-}y+{k(mFtd5&~{Rylw( zx08T^lS^|>`6!&@l5bsX*bnBTK6G=qz57u)!_%PdSD0aSK*7 z4rphB(4N1U5Z)KtY#P?4urwqM_OI^8m*Q!D-v&JKO=e9kF#7XQ>uy$ARb!{CG=Um z`r*X)K+VnyPN@C7vq{ok*`r)MYzKbHyKmbx=$G`$V*Vz2M_;dA3~qb#P< z@5Xf@mvIuP%gIO-7?)Ti&_(LN>P6E$t+gO^Ouwwby<2I|h@BwtZIW)^0 zCpUPtWd@Q;ebGhZWV-l6D(7;4+V7ChRmAE?`Q+~H>PGc(HLM$!s?bqx-v)B(dQPJ7 z_1H0aJ5SnRZ{`+@ZIATAU3}#Grz|J)rg?O&IU6;Pjn)$O|DyQ()1sG)@ys?ni|}Sd zu{Ji0I?c0lN5%-xexG#6Nv2$UJLg|XL(W%l1={4Tz8i_sr^i`g`>uUNWFQI`L86W^ z0N}XXF^Rai(7gy3n6)gKg_1Cl+aJ9#Yef~&g}A!x7H40~uaP`0qmY+E=<;xNw$G^i zD}Xi|N{C^Vl2}UYHby$RKUbmVHheA#t1OU@2l{&ot%X1h)H5hy4I;hR`Z@O3`TelOvreY&a`iRqj2@RIhc)Ihd`?cjPB$$V z{)s8Z?{jH-Z4!HnwE`Zq)h^fzs&YXfh`4tWgb0kfh5Ob9#d}2HPf<;0%bjjj9NHR| z1Cm8g88SAK)=g0}UHYM}d#5k|bwGC*MqNVHx>R`>vVwD%fs$sLXq`JopMSslRXuB@ zL>a>plr~+dj!*3HJq|GSM~2usZK)&&mW}&^t|B9TTBEj?IT8Zt_$rm78-_xKITBO# z{;Vp51+;?1np9!Kn(Hd!e8s@;^arVxKA{a%6OY}RdHTh6;?2Ma=K&%E?acF1&2;)j z{qAgO+&e)7eeRmsJJ2}p<~3mPaQ0U`H0zSPztg7n6V`+-LdlEZC~~!t+J4$Dmchi_ z7VmFniKwxB{UGsd%!2B4l}RM7D4d)V>OA}$iN8lI6WXn&6eRHx#<>F=vCA%gkYm2d zDbEZEVK#g}!m?S5(`wBjUF#Wv@NXm8AibM#1(nHIG1(#ctQ|WD=BFS}UYhTa`a|yf_xksn)x7aC%VP zoS-UgZBk|N{xvJkY2!5vqDfr_|BHXR0G)>U%sildaE{+5v46=^IMCk7K6r0wg6!7g zF|h@Sk+s}$dv!TbzgBsICwMBr&+bTN%NEnvsB7g<>9sQ(RE3bUuGrB=!={Vmcscuk zp!FAy)M{`fU!}t!|4BMW3ADET;9S03FH%Y_Ht$_Mp(!1O_b&%oKg4t_EJT*#Ukr@T z2(q>uxaC5V={=@SvL#%%V@HUl+rVKZzx8bj4}aX+tajiGpZL3Kb$`ih^|*{XjXbjniS7 zr~Y4Z(^YjqBQx?Mc@~fG~_LjzU`dYfI|yuRqGnCIM5Q1uHmFzLuD`-*2MKC(z z0UFIIm1%hwKMKzt(78QG?o#E!NBjYoY{@r-V|fo=CyU~EdCP3+HnqeyJ)10NA!#WW z9mxpWv3SNo`^dy}x?w$4TSTB0M(k0dSY;Qt8h=62Kd>jZf=|Ers4RR>_-o#`XTx=1 zG=Rgr58KvcPWsj67AkaF7DX%Ssq+q^v*HHJY<&Z)lw}!vjnois^c0rp4$AB>Alw0B z4jpx>Vct+9=Ss1%Xwa31E~B8RMBYKA08_sBE3Rm>rKPYA{arf`f4poO>_Pde=-F0U zN?eXv-}7C{8S0TnBj#7DaNB2>rKwARpTO0%>f@0H451@MZ)PuM%}+bGk{=0L9R+aj zd$tHU_j4$Drrlkq1QS{64%u^s5IrYFT&PQgtqz}t9#RIi7sn;l(DC#ZKflY+VxgmK z9kI|^)lOIC5|d$%UJAB{Xg70;HbeYQQ@humX7ttEyfGaj=SY2Jp|!!(lL}+0Ml{@! z&AO(uiQ0gmEEpRkpu3Oy&G?j;y@`P-v1EkcbX+Rt!L#lF9^2kxK!@>)*>u+7($;+s z5Ag2V{ea7wnCWlXl6PD#aw7G^=GbUekc-yYlb5T!9}m3D1&F48DcfXbRk%i`Nny@5i*yYjxj z7r81Gq4BoJpe<{Kw}{}zFMon1dX%F=++x`?rCexSS(>UvRbE{h3!lAizTnvlS`H<6 zaR1QmD9t!_D$n_`@Gnj#!%O3ZkW>CF?_T1_hi)L?;@*klr6Tfxq8xUnyUkMab5d*| z1!=U)K?*aH61i4=&a`%v>rsG__%KH^luOsNw&y|<6FKTpiV$lIg8>V#Vt{pFZd-40 zw%U+sh9c&aPS<2=aM5%`z$xKXYU8Z7Cxd9|yHGoOi!I&#^7g4v3VO~4A+kXIeZ`9n zr*>#Ik;jzN9AEKAsp(w`X>Jn+!Ot$UzpF$mzG!1)ncBHdd50p*cFmjkz9b#4i_s#gtSid!D;<;~*6Of{bt1%(& z*!pLW5kTwdA@D8X_Raf46FBSjN*9CaDP8PQAh&al8H#3D1VtGI2owUSSU#@>HK}*& zFDNp0C|XT_tk0PSE0w`B%{Vx6DK!j4mC`LDtQ{^U*y?MDd4wU;K=9kCaXa$l zW|S*{Zji0m^a1din6GMD=X}f^3tA-{Q;06b3-KqkX;p}MoE4gCZ%$0uM6@~LQ*^6{ z?#^y#XNsi}NYUyn{*qdVTXwaAUkSKeBSvcKuxt`#nPwAOt(!dExDSt(BSrJtJI^Ql zU6JdOHs|o#<&cYiC(kJo$W)Bir=70Q3Y_pTs!GJexbx-sd4uoYzx~Gd}jk(}S1KWO3 z*zi+|P#+~dYYgY9YS`f^gl?U|^Jf&847LXDQx|6ntGY)yjq=$Hvr4{X&TpP;>*aP6 z{>RR1a=Vps9rfr9OqT3)9y0u1Rff;ux^`q=?BCkFDDa;UKF70P?qY0Tx#UzyZHSoV z2o1ntf0_2S1F3cr+D2UKHLd-2Y7NmqhFVDQ8~99L=RUdJ4;U!Iyyz2pRG03N1_VVS zp}`L6NFb8_H9gIS#$Gipsfo!$uR~mw4rPDW$ud%1`4ZWTv6$$T=iYCeLQHSebFRKV zK1~Dk6UMb_UNGZ&sTE=OkvFYhO?lu_$fX0yZM;2F{SIB2+f{&X1*(nTC%wV|X7fHC z+L_e3-NZxd8lf&&6t-rrOJt@lcu>E+ZX)AWY5-{Z?`!MR9luMs_sv=@ko z3;hsnt_s{b*7AW4NW0z_1jUGEYXL^Ob5$TqAGiFHO+c2HbmT!Zu(aWGnFct8e$vhPBLNBg8XvRLLj0gLN9*??B=zEI)s>G(ht^UWEzY(UIi}bp zVOQUBWr&pcrXs*)-^!bz`O>kNrut~oj-TguT8cm|) zCn!C4dxTAF+wY&8o=_)i4r^8J5EaH$3k>nmm0C54nm7P+P>6jGk!u!he$#X4S@Z-( zJHu8Gu7Zy~ivF2Szp<`D`1(-M)4E@GYnwTl6!_P^yNG|LP4fDc(I6sm^bI8oo`BKH z$L`1jF=K{#kjB~PG^<3%EJTPixix2K61n|PN~Ar9-owRh$fN~~m&bvs!rD7A9fVwm zt&klavo)@U@f+UWpc2JGBdH(B#~nP&AQ*&=Oi3xfDCskvHG9q`iglivT*+9oJMqLL z>$3{`0K1Z#)-~T+&Ngegia0(ZOg%*G@eY^HW4+#L3hUny@87WRH#4aS+co=RkJGHx zmU_%ox1l_|47eFa6Ku$8eovf|q+p(o*<1}#^VW>V2H6wc+lltIhPPeKOP=ZJ9&+9| zjDGDa8OGy9?t&yQ6Be68f z)IU&lC!b2_NiR~1U>s47LXR~-nE9RWH#X;*rHxgTX+|#w(PbqQyb=+$CNv`ZNo3GR zzuZz@liHyz<_0T(vvlt?XSK0{oX{K&TaMS`r~uf!wJW*Z8)_Hry*3oFzEvuy#z5d4 z%*@in6(DVuZq@|({w4SRQ^67SOIuGsuPVBPryVcy0Aps~-qzev@S6cG1J<>84&;MT zV!vHZ;r;tJ(-qi7*Og#rj@>NWah^#fH;quk_Fpey7Jl=~!~%BXdzy|ynB|a#V}rcc zNbDr>iH0yA>Wj&ESFIAiaW~s@#A{Pq1l)SZ(&ueoiw~7>!xEPKu|01VFx}`RFF^$Z zdGE3%%Q|DGJkT0OQWxwtxz^!D#Ohf{`FC=k2hjf#INJM}EDg)96PIT+2x?WcTRT2Y zN~sISKP%7>m)?4_HDy|_q?Qkj@7A~UuebWQwE3qEGpvKKF~9rPPLuvqQDaq zsY^QoC@}6hVA!$t$;&ps0N_J$90Cg>zReZ52<#mG4~vjNnZ;m&*7qb*Yl^+Y)-gB5YjW>X+#go|Sy`&^3u8 z87zEVK}VSJEN%{yh8Zw-Z26 zyS!-3eYl+#Y=#_z#~uAE7W=-GQd?*AL3`)^BpU?C(8XBdo;Y&pzI zS@UHC9EZg{A}V@Knx@0B`up$lYk&vv4Jj4)Wp#=heop<~;_mk>_DI(tlafm`)t)p_ zEVQ?e-J6A7D~|PpRV}9AjOm*I^w%%F(T@gkLj`s<^S@_+=D;HZbf;v-55?z`ZCx*9 z6f%kegezw6?q**Tkp81f3H|UBP5FL)1uCdsgKKzX0Bg+Jb;8yctQQU-al{nAHIPXm zg^p9zZQ(umfL`N|;zNS4&_b2Y@?y6xp%Z*O)zI)H({ep(sDs}W&$xT((|arU+^*dD zgHvHKzfGxoPudtsLdVO7J|%;4GA`o;dg+V_!)!KZhOLj)t8mWND@*vFUHykVwpaiM z?-XJB!9U4o`Cw(HB_Kb$(*x11M!|$Ls(}#nCbH>PF8OB<^&h&@3I0yp*m@?_5rcm$4=bk$E?rc~hScrqb)9%!)fSY6(vEYM?QGCP~LsMRxO z&J8gj%sB3bYk8cDG|v_rb%K!&Rb(O<5&HUakQL7r3${2uS&rA}kcPz&i$wQ|WV=g+ zNttss8=nDX0yZV_#xppf`g}l0+1Fq>Jhp{jz4)aI61zI3? zLT6E^eopR`8y)3n9g7%%o5UA-@tsNiz&r^DMo1wJ(>yw1;h3cgB+hcyJth=7G{yrZ z2{Nh6T@SIUC=_y-7QzIc;9vqc-@f(Gh1mNFyKhVXoMIAt^;w-WP$aJvd@rrxJnX|^0oPET%s^9Bl|zDz z${dTALwmxo#a>8GiMX)E+Z_-m{*g}mDQB>GfAVEP?K#MHI@7=jxJOmH58iqp#rzts z&Z>5_55oT;HVR8MBAZS(_e#U+P{rJmeWiv4QDIns;1JQOc5tj{(ir|FWm-yVITgqu z)dRvODl@vIAtz0V;A)i1Cku5UCO3$LW^-^eo5QrP2abU3exW{NxONYFN(cYBCpKHI zFS?z+Ouv=#zNCxomXP(`t{-Rp3=n5O^!5vaOK?$sGM-dlsdFjWf5e-D76yfiT+gSR zI6K@r{)ZiZDP>oBfXI2Mkw(*&MKtzc8bo1y2NQrvw_{7A8=V*%j^5IFT3{rGNO+P$nB5+Y0 z={?U(D`)3X<`$eE(qHnRasJ`g#vAq)0n#Xl@4>m;SJchsk)v~fMq{AL_@Fg&toFbXIpJ_g)8ncwlaXU+z_#p!s2!>Ci=ZbXSfZE3N;L$j;ot9 z-Mm5t}~!zd0k{7j0V&F6=u(Q_;8<%C#CXBPKB;jJGw2R$x#KsWCwxD-i4oX&Og zZun>4Q~Z_);aXuQf=kML1c!EcnqjrwmByQ-Y!VT44WwQqVw~jd>rAUS3a`%XiVY>2 z{lI)d$mdJsdUagD_w}$r%!ONP)E7>A!&^uu-r3(bQ=O_H&2(^9bOW|Z6XODK&=|Jr z=(rGN&4#Z4?4!hAktX(@6J_{^px`JeHh$3OLj|X%g|>wj;9&@Q*t)~WN84XL;5%4I z?eL#|dqy+!5*stOZ{Tj1LD4CEYQq~-Xsfy5!mtw1A_iSoeIx@%#syR$(u`m08bhJc zj>I7(XSLkb6}lH6`!LS?U$yM@(^m6y1UYROI zf4p-dEyNAg^YDjE(rTKs*Tf`Qg!w4+a3hA{tTB=%b%qIe2Wy1XgCI;GJGd(uk#q1! zgY(@H(}zG0dOn7NA)^b-e%kFerBk3Aoh~;uv_j1vfrF|^lomx+-0&2#CG zCHA-Tcw(q>G?w5Fv<(LbywNE8BlMB3-Jw*N6PCkkD%ABLZ-dt~eMy_dX+o_@$R74E z6E@tii-0qyu*wLZs~%SIC_jkYzXt(;C~xE`5Joli23OzgG76N?0K6tsu70} zn(sr8lj1-&R4z*>O|e)AC>^svyMbxy@M{C4T;Wpx!81oWb^nQ?pR7N2ermS_;q_=M z>+d=;Z*g5ZPBs4(sle!zdw($AgO%yCH1tff7%L7uu2Uo31~>|v@D1xZKd?;Q6Tk@A zVRSRwi)icxu71wSD76yBEC;8vRzAGQ-J>c)9cE!!F*W|#0u+fIk#KRPmwU% z@Y$bu1qYA|76D39#rv@irc$wExk&^?gAbd&$BU;%R5?iR;WU42HS$~QYX*J__@b93rL4}F%E3?SI#^v><1 z?e~mv8~1ZsJ;={4@Q0;YM(A~E2p8^U}NJyzS+gZzkly)xnZ+GH_y9>k`O34YKEd*D#9C zpA!qEsjHz6N^^J5qs2-A=3@%mAj$NVVGldP=dEiHrvB-}x~C7O74{YL6RDAb76{T( zyyM8A@y!8cGWV=@aymfek1;nkbZTo7%YuiN7ahOM81ZP1>@ zn16t!`J9cJd=rw!@gQ-Z4pzCirug>}$tq_wKc#NPmv$9mGm$qSsYcu{If4sld1hUI zAHb&TAeFicrA832k3zdHtCi8Wb5&D*2zR*?X?Y619CvD7z(z&<~n9 zVmW2>;dO(q*HvGv=$fSdvm&tOi5(V$MgRViu}=I;a#T40buT*7Ku|Ou!qcdX4CmOR zcpQul#P{rD*5PGd<7|08vwZwqI7Kmb8kG5pi=&Y|67&Pd-rbT38{*n)`}LSM2b$h7N8_JRJq*O$7w?MUD@8P|6~blhse|(M!fKwWw%8y| z#sv*UB@crf_LA*?4Ir$`Z@%AU zzoaU*>m$tbbLNgUFoXlzVQ8C5H9?u*#rSVLkRHb=<4g$$risahmmPgm`S1HAzXGYE zH9BPtpz;NeN&NY{_wRLK*R;O!?R~ToXaa3ogpn0c9%DH#x_BdSUDeP~JULyA7)OV= zlJ_oU)P=@E?hpHP(f8x;X$D-bf$Jc*KOta_I>M}me&q4vezgXTF)f4U!6KOxIc;j1 z(#yR14jsodlP&6){jTfl6WRIjkqcJ|c z|HvD$;_j%cnC1K_8z0gXCne~?9(?hzkApu-2C@b0BbwcUK4gFE-kt)1$aX@_5rufW zm$}9Gg$*=7K$lUCn4&2bE0S}@M=cR-iL5{a6q>muG}HJw01}l|oHmWOqNgha%n?^Z z>o}1?zHh#D0(Ek|94pnnjwHV#!_`xMxuG-^!I`%iYI-mVT7x;%E$4C&Yg9)Ir-g-8 z^7xjB=s&^yD-zM1)6`rV1J}`*#DxNU(H|}2ltRlZf}m^-rYt1T8EAbrvv$k-x?_kS!aQ{QgYOdV6gmA_3-YJF+XgRHAKJ= z$uLKz2NW@WA-NuOlukp6gNc}~6YJV)k5fqzmz|DD1~5jRDk3m<+UCAw?)KGKG0xSD zg7S|A=F1bRJzoc{Trp_LeVjiU}jF7q22 ziLatvSb(eVUKlo}gw4kh6mrE^^wUK##D1o4zJm^5X zxwxI&EK`#g3y@9U*#CUAqiA8LNWmO5aarkq6Ge1X|EEpT;_W2}R}BaU!1Tf-aTMvo zJ-Z8w@FZM1dV|$%yM<q_9PVqT~a0OXbJM zayfj+2^lnW&$2n0PXl}MTdjnuk4|WgFp?DqbMiPZ3k@9<)O02hi3=yWj&+Nji7HRT zm73(n8F2w7JY>&1N1`nM5O6@8q+I~Pq+nXvD9B+Y9e4=y8;C%Ud9CSe!7Zgl>o#3qV7ZyNBGST;D*HGxduXuw~C98 zq60HOkQP{#frmGe%7QC1=3V-U4S0hOWz@VyQA&^J6W9pn)=K}tlQeLB>Ywe)=3#mA z%9b?s<48WZNcpHax`-FzchtnQ5n#E}^(n5=XUPxHm(xBsB2q3ADftD)`73)IT?y#T~o2#qkm#3SY^IPT#T$~q|D;Io=9 zUY$2^N{?%xvB(C~Us}>6axMrDstCU!T72T6dMtHD4@lnHp$m#!XUA88GbDsV zTk@H2EV0-&=1F=ilJwF!HkV0{h4l}}W=S=T+rg_Mx04%P z^^2VCOsHN<7R+zVnVFl*9ld8pfS)R1w={G1s?jrD3ug~#Oqx(q9D544TdNV7DyK>< zo}+9ua}aY}hPj+=P6MAP2BFGF0gVe74Vqhr80pL|sA(#HXY1Y>V>`U+cvVH6w^tPU zMxPQB{6gFl$xL5Oj`!}IUk3jp(Xw}KVNpt>mIqyB^#4FX`y^b(>spmz;2xBfw6QSiNx?Y3KyPt_CXlh=TgeI0f|!XD zt~zca*KnZLGuaXQ;)pS)kZyxrx)L;)6C;<)Yx&Z~zB(}ez+-R6Z)DB~_yp8a9TR=5 z04som&K%9%VQakdB2H2O46L@4Fi@1)Ap=Ii?>jG;tSV8qp`tL`10@ksOcjnsE?9mQvT5d=2v_p^x$4JY7pn2iN1m`4CKdLM9fIB9)NT=Z>s!VZiNf5! z5rNUmsDP{8NtlqJ;hG-imobrB)b9X#1C>5)KmY8gY_kY@g{C)o*Mn0iG(2h)$pPGs z(sm2stKG*&NL@ih&PkHBk26G`SKAPJG061i5b?!iY+HIyp2$BLaP7%SE5m`_2E_DB zA$@EI9(qF~5r67J!-gqMyoBuk(DY%)CQ5PO=~VJUy5=ysETvO^7V+sX($Ps?SheW* zBO3KER2X%9@MO*h3!(ZV08^x%UU)&28G=5nQy)v{@*ZZv$$P|Y;E=nI)mJ=otr0N6 z-_1YRPsSE9;MpnZnfJ#Y9QcXgx`9BjttJ(wdoLjj@1s&kQ|X_3_R!t2>XDRkj6P>O z&fiX9TFr?2ENKc@MAm^p2m#a4tOb%oNzN+4fcEgEsl$O;TEe$Lu|aEO-FbYdwq43E zsfRV>oxd&CJpc4AO*Gpf5ppLawK(jOXiT%RV~>B=x|F^5;bxRQW^=> z%kq*W+291|gz-8Lz_7fzH=Y=pEIbJ=M%I>hl}oi>)obT#JJFVqf9%y$P90I~nf?*) z>v_YH|3$;1p-=lD`5OA)f<}Chi+3S%88;EB_F5W?kQ_A_-^Kq+c z4V@3)4E+!G)sV?c!0U!q^p%s{z6IPuVh6JQvcp;C(>TWfk|Xy{kr?Q%3_o9hW(wFo z5S&*~{rf7gfEk}H$Y+=ifOEHLloTUKeT&G&$_E}i|!&nsW5yX@L$UCA5^ zQqx~R^r`g`Pm%Gwnm=Y<7L1ne3rzqNUaV_BM91r{DFp(2HBdp%KTP;2AGZ)_?uGhBnse=*km7)SrGG8V5OlquYVTAi#UpqWA5?>oo-GfzI8Jo% zkDv1Dk)n*sOM{fAi=KLhYD7}rYBnlhN7Qg#o|9=*@B0Vtnv8VmsL`*oRoXHfX0@BM zWU6xU?*!_!ak3|hza9LS)e2~jU0Q5KQd8r09AejVcGw2<6Rxhh1laj3#1iQz6KKz~ zOH!f;hkMt$Rsmx|jsTGExx>8$B(F-#`pimOHXkeB+Gw*HH|(oQkXv!%_~TFB^4IXB zXHc(*D>lWCH_wLi0U}LJ8#U48Y-@Fe#dNLNEK2w_&xm_w_3!6*df0$a9YZpjSsdk# ziwuyCCW(z;k`+B%y(1C7GUpiUO7MQFRY;4EN@VWdL zUHFUDg1^oSO5gd6>3kl)gyDws=Xp=Y(}D?0bVuTudxY<(j}xs*1~)s_=+|o~FZ|^` zwI7rF-2w0PfDjzNS3S#58d#u5tYbaX#G3U&?CqcM~X5^5P2^l^OL&VdI0 zWBZ-eSXFkGs=|mDD-?CFrJtksi^0 zg({410=(WCulfsU-4uzk4LeF@ODw6^*IH4JMuo{zIV zeV}4^k;>|=?~T7;^{O@-R+ttMmlUX{#}Jq*s*(lj7X@YX();ZYZ7huJKuWBnS>hMG z4Vo!||6rn?$w%3X#32R{Tx&*~)=s``{-L_@%Y$5cycn__L3Z5&)Q}oAE=i9x`xtqW zLDQ5T4 zTot0;az>r!2T=TMZ;bk04W>>P%Kp}_X%AlLj-eVoJdqv*I>?>q=PON@Ry*1XLfXM6 z**GSz&-bO6_QSxGo;8_lTIFi7nlC#KrLv2-JQ)N&++0RdtFxzUC(y#&bGs{4?l>`| zNNnBHq`$w@fvwu!GlIBlfPVhjWJLK;g*&&-V;l&G6LHtU9Ml`bFKCD>@m`Z`1zA#^Dc9%>6g21w3{z7r76&3mswNb@uLsydzRK*B z!Zs?M-;O67yiIsrgO(j}S$5|LO@lG8tVp23GXxc^Ad>7m(t$e|Apd-NS#jEU|4r&U;j@uSsuJ2|Sf%`On?GiIsd8%@T zx{YzRyc%V1=FU_ye2OjbDK|kc>Ht3^vb-&{@p>d%ZJQA-|5Vc)KAY66;OxhE=IgoE zl&yJ5yeX?OppcCx`c_$<_lGDcx<&d5Lsdtcw%-j^2Ba>I^A2%zR zA>(G60|8Q?T2%UN5gk<@A>q%Yl=OVj?STZj)zT4x2$4EQNTounQoq5AgTb5?Gwu_~ za7QDu{JVX*Yg`eXwung`yN{o1AjsJ>6o4L)aNvt!U2q!E2_xoZkKgIQG;%xHdrVq3 z8}&SK3pxdLklxuy4DwUOVtDCtJuLnKkCSBXYX;J zOh+BMR?eTI8x7Ah*sYz)wqG7u*91r-p&qq`v@@FE7i~62l<<0yU&x&1-CzL_VxO0T zq{lEn`0aUxF572LzYeZAyhd*u(W;oF+Naxl(r^98fM7f3&w)P-pu0;ZE~VvZ`VWXL z6k?TPeaB8SeH4*7+yXy%U@6U9kAOjy3Rlx0tbO5FsgQNn@)#0dL1^No>D#t8tRZsf zcz5RYv!P6E0V5Bq5*o=}T9R4xwt)1N;xlIFqoyL=FOO$PKjLO+Cg3I%dM1AO_GX+9 z!?Ee;gQH+O`Ly~}Tv=(7+|QdIzVoa4eN6n#+e4dNZJ0#sC3lCqV>!LQ@BQJ)cwg$1 zbMr2oHH>I}8fq9k0eXUH&!0r;64=-P(@hHj=YLqSEo#4=7O4jslQCkovoyhsG8W9_sA8mlhxdBFY9}38p{cwGPk0 zM!H2I3Y!SPi6hz9O@11k`(qzsMsrACoxygKpieqwJfT0MvRa23LT{P{c&yv#N3 zZN{SyO-|rHrs>LOqctd1dDsuv?BmdH$kTg0&bi#tIWr*g%Kh;%QZkgh|EqZD2tIta zeZP%beTCByD!1y5QHAkwisiZxq3PU@M_8B}K!c7>r5+J6f!Od(m8P~ItD_R1koZbG zQMj*H)GHT$4V@>09RFA7)Z0>}ka6l6-BpP#KMHPi|6HUyruryjsVd7DcSgz?q-uv; zi{o-UZJ}0pPHg`;)rpv%6tAFN^ft?_VtaFB3os>wMG!^1=bLp4p88!d>nCUZpMx=e z`cj8GBQKspD$H7>teerXgK$>W^bAVWa<_FP@3H`{nj_NY{H|Ytr4aUIhYj$DRC2#} z=!~vPvGiP$qIitQYlOx4KV+S8I;_o#Vzrx~N&C^bX ziCY#t{-Lf*8Xmr|V*zU#-uDOPaY|5VC%+<*T$fP+KF*tZG~(`e3re)HQGhPg_p~9N zSNY4PxV4RLA5w|NT=H|No2%f6&+*R(A^FkIu>1wO9o}A&ivtQ#0h(0vhqGd(HV=n? zu?2NGIZf+(hBN!puLPmh)VJvKx6QE`7O#s!?5`n(swJw=|5=i^*0zx>s!(l;t9!5 zIL=0C?%1Rye@MqqlGAKNOg!W`=g86m_eh!b8u${60;j zki0c_hxvw*Cy=vhq#iMzaqwK~759GlBdlso$kt(?JY5`2RFyshZ%xBrKs0b=s&~AS z0kB;#3GaedSprTrXSNDsXGmbAWwDf%-W9%&#b;wcDj7q+5d-KI7y3-<-Ct5@Nws_5 z@~!;+STHIcI0&XK66(kCJle*tGRqm_DGA7|`fyQ=`6D=?@bVuPBCB0WFnlv&EsTzs zOGn>)jR3wGJLnF|TpH2OSmM_u8;e>Lr)L*$FSmpGUNd83XV8GP99O55T3LoAYRe69 z-34sd(GM@L*ZmsM2eTTqyT_XjnUDM(tPsSo+-5!#3FoGwNB?s$lKf#x#m>Pp=LB9?b^Do0nAy9o#6L-CZacw^07FkcNSgsUQ6Ny4J^)ebY;YR+{w_JQf z1qS;g(;YIIq6U3DWCyX`iWHf=hBx%fb zW~`HHkREa@MaIN4evk*;7$qG`7X6hg1UXYB5Jw6XK+;@3=5To*`_tLQ;BC=zT=$q}T0>ygrp^7yJ!o}LIzL@Jo4vIoh9Pwtn0_;(R!k{JTRHmpO@#oNC9z`f zO&ONvt{SYL(lf95im5#Ff~0hwP!I(dNjjM*X&dgk~ZKqt`l`Lg=Dwi}=w*X)1hUwD#v&1FG*fC4MOIqO+gKf^mAFE;k@q=r%X-}{oq4!8LkcWeP z29PC~GRf+a`d_-<-!e_cl9X|N>)+h^d1opluXnxRP_jI>&@N2zOZ89XfFpyN;?{oa z6pNnBoBghbk^f=uoxgJlmbT$I*|BZgwr$(Co$T1QZQIU{ogF(nxntY;a?W}FiEpiU z^)EAPR`*ra)6-L3byYVn^N)w~n7|{6$IkaLCq3Hhe1^T9llx;wY+L0n%3HZ3cURuM zZcJ1|M_=U$p-6YtsW5!)`_(CqzVqm@l@UXBPuawH^I{N2hin*$|2aTxeqX4zX|m&Y z4~1WJqZ+qGJ?5&AN@XA)&I_Zs(%>atlfkrAdMVyg&()ayM=-$T96`aTXz^SGE95v&NhI%O25|j(xA%XJEaHp)!!M;ET*5a zp!oaN$}8H_AuO#d|NZQ1{aJl!UQd$0KjR^RfV;D-c9X|H^`_4>w^ROig7Ezr>@G1* zWN!J3HofooiR(@k-;wNb-*sW}AL$#k$w%sRKmH%5ByVN(QK>C1BsLbkjJHP;AHPI5 z(v6U3BG@+|>Aj}6Yd0_U1-x!$3w7M@*Rsx%S6}w~nJ$Oki}F!;;J&sIzbLzAyxey+ zw<$S^tQ!&ySnT7Pham1nTtFwit|N$pzh$93y`?_Ez?O^dp{?xLF?R$Sf=haC0S&2< zemGRpNf^S`{^5YKM{7CZkmFoXZ5)|6v|UnA zH;Y2vG5>GpIPp|MPGU|4j^3jX!^o8Tc2^fD;PYZfu=}BzK_`_RwcpM(-4v zU}~ebQm8TpEZ9W3KK%s>nY6`mhs9#}p?mzWVY0(aO|Jc-R`02%>@8Q3-0R)} zywroE{06H^%{_v58(7EYr`QJf!Bg8E+*o@}Q0r4#s3W+>k%mg#oNBzYMeZ!z7D{9t zB)wupwj-zDWBvWJwdZ|he~hHxlEf~LChJFVJVz@&P}E&+N8=xFhYlZq(Wmr+fUx zO=LG~s_?R4g*Y71=gbzP&&tW=m4#F(@f-d`sRF7O%z#anHTe+fn8GB4pq3%#7PA55 zC|ebs*R8Rl(X#jRi(fS!gnDT@a69L8=e`EVWh=qYeSQxa$AV&#z0ZM0d*#QQDdLyg zT^2O9d4!-oxDKo&{dl4@0w^xZiM1@5=P%~^WFlF=9)XO&){nXePpBp^VqQvqy@rUy z3<0CBZVcQ7>#hru zAf=Y1qUEzS1u%7OIM`CEb7k>eD0N*5zo^5D$IJNAeeyf!?7F-YwSmYyQPz7#M*@oU z)M=757pO8!OsrAOoG7)=T<^G0s=#jmt~Kjd9C`D+y^#gz@l;iTp6MljarSBNn+ zYLvu_o0`Ac{zoa3T2?#AqyC)6V(W3G2Q{|d3*g~7c)}DCB2aG|6R57{>2;gvUk5m~ zsC(Nf`{?7bV_8K?Xk-<&e{(ksS9f$t}-zrNDB zaCgz{ekDvnHY`HK_%P}GSH~(A$l`&HJvVYIqIvG;&Dt%u^y`^>d4WyTI6oB zPFp1{Jbp!c_Paz`#`t<{_Y<9fu4>iV11?7fHG9SASxN1Nny!j7JR8fft>RlK zp|Ku$P`MEN?Kkl5L79K#AEi_PfA#@-X2i;vG=7lloc}-kS^#&F^zy#d^y)FG8CbM} z*OVlkhM)h!Jb~A^x<}epb*=!~lsZ-wU-l@o0)@;kZR_>K4#KAg{_mr+ zTLd|sM}}MFC;*8AGvMj8T^Tom(vNemM_K!@4dZ6wKNWtk+?*xiY?KsTs!bMy$l&Nn z@>#zvn)@iSdr?}S*Vj$CQtHad-Q7(I&*5n;wiEXf5{u} z^e+wp1qPO3@vKpohr#iPB3U*_5N6B{s~nnJ z?cmtT;>+L03%7{H-@ocVt=dMq*l5ba4K{G{5X^1*kkhztJa&gfjfTPe*n(5s)!&ed zdy37CDye#qUzt6PShs#{9i~~ZAim$%@yXjajrLqjT>%R>so?Luv&dZkRzm2bG(z~z z3Q1|Ocrc4sYPx$0c4 zKK;~L<|l1@6l1vn=W~D(Qp$pHbl+_RKcDX$tq6DIuXn7f-?{7DQ&2B&#+6T@XW4W* z=dOT1z!eQRaHYJ4Ra??nrjR$Y_E9C5ljbUOC}KBtYyWa45OK?h3)dvr(; zc-W>IInU{D_hbWA+U>S!pGP)i45<~ne2SM-;OhrpZ-19rtkwMJ1m5TRnb*6t!uNcE z|E+5B&x}L$OKZ0ErMElhzL_tLv1f_xej^k~Gs+9gs0D?7RQxk;4jh`uGV>UlX-=A0 zNw=yMC{3fAj6QB`Ss9DmMA1S`RUUH4Ub$v{eyG*^(%2nDp{1_(&6IEr*!rjHYS$IMk6$@ydXrWRQEe9_iPq> zlkZf0X%y}+04Y~{>cpNvx_h4cmVNCHmp+I#yp*nUz4 z4<`g}1Lp`X_I1ejS5OC$M(s{XG%M;3w(5-?qN#Pv9LVse2K5Ux6nfp~@b(g~L%OIk zCs+25$dw7m0Ao)en|lJHj!w__n}g&}V*X!vzthFNC=bcSNW@5%Q5irhxjiYB4-yc> zqQs-bt#R+n2>;CC)>U#G;?_QvpkI;ivFH{txPZz-;jP5Xx>hiY22& z1X2G(IGhsvj|692|AQjp_;2%0H_QoD!QsSg@UM#hLm)DM_^$+W|AT_=l=@HevBBwq zs(8Gpl}_W~#Q#y?rvh`reMcQXTmv!xTGv z(B`^V)Yn*tacJi{O zshN)I%IYDLq3lhvY-k=*wf(Sz?V~q3T@n&aLS3+D=k++sl?#D zp;Q42^VOO|2%LM!qz@P689vjR?)FW9{jRjwv<6V$F`1R;#0=lI21A=$y-w9;fWwVI zlI>3{Z_5pBtg|xB8hTxJZ}EDNy6(=%ZK<#*-KpITD&bQ5*iM>mTD-d3<4G zW6V6ZFx~UDckKH*oQE1*Y&qp+sA13dz28>RGTpXJ+8I+3etA?EAJd{Ams3);RvXmo zj%Y6l0G>__10Y0Ckz^RufYkfFv@sp{PH-~Ys9o2q$Egc~U7!0ZVLl7jZyu(pKeO*> z%GDY0QN+ODNPvzCc%%(_f8R|q%4~-0fU9r!XvZFuN|ISZ0zUB?PtRRXu{HjHz`N?V+KW#m9yZu!S64nRi;wfP>0t$ozEmm*&) zR=g)t-B@hz?mjt=c^_ppqZV|f7fl_DZ+VErJ;TQTQt^Neul`P)3ix~8&5l;pMFJNqOnOAV_F`f}_^7ts__| zG6eP2BL~$dl&D~!ww${<)cH&J1_||v6AFGmNKwJxxdF#md!68AHeJE0miOGCFm+~i z(q4T^E<~NO<`ASBz)+K>Asr<7r-AWh@7djcjSFs@smz;!sH0i%YoTyvOClWqSiX^% zqr7Vlq@u~r1s-K(%Jy1~R}bcODvd*F(EslrbEeiDqI&Q3wzxTEk`9h(y#c`Llz7*@ z&hFt`D#K#RH}s$*Q6+@9@p2y5tezp*7-2XcnHuLyp?e&6_a7*Ch~rbta0ULZ!Pd>+ zK|Jf!W{?fCA;TO37pHZcXHXhbQNG!Tut%xsCA;w41HC=BmK^d>{IaDNVr7?PR+>+} z)mvJ{5*^j0%Cmxxlfut>v+cfzAp>ydzLlA`9ji)o(AXAJIg8bF6(I34K_V=45kQQ$h+(ZSJU|tpc$+; zdMbU<V{ekfe0$#_|vRhWYql_?VpOU}VzxBzVJC2U|8UX2Id zu3if@kDssk%2>o7oVgzaR;gRYzGp|R@$Kj6sd($;RXBy~gE#%1$zJ11`Le3I!^MJ0 z%=W$c1^dj>q|tW9j6p#0QR`N+jZQZW?Xrh*$&JtCG7HaW>?Pl1E_rHDL>d=RV+Czb zihX}rk{rf9-wOPGOE{I1yno31 zt**~zqpO!^j*~aCN8L8LhWmq2df~*z-2^1f4&Rpd$3kX1;-DnztN|5Sj_rR_b*?ox z-4-cpP=O)sYxEUHeHx})My7DA&rPao78Sd8#XApM!9IFog3S-OUeI;Ku|nKsgzpFI zs|QMY`u_8z(ODfcJZxB4t!l>lZyhNs@rY;(Bd|AvxDz-m0KCWwpr}rX9M|#+_*04b z>~t@hzP!vJXjuNGrZG|$UaB0;s%Jd(CUJF18Q=h-pC&uZ2R>eLnJ|OphBS?K8U>U? z0Tki~`QYM1;GfdHMJ*-?E@bAkRYVi_!k+9^Q+FRGS^VJqNW;;s+iQcjWnW}UGk9V~ zr6GwL=L+Y9ryxReO{~IObdrN1@7CfgpsYM3YV8D*-Ur$@rPu~tmfB6~)gd6b-Nt-= z)YsMTGiTiino8V?3ugJtZtZ{^$M4X{6LU>*D!k7tqpjD4#ggM( zgF3*;npLV_qbp1K0mfB^6`9Ff6i-phgp*^l!o+n_DiV88)C}e)a_=XF>`NOP(V6m8 z^yyn*Ssh$rVh!e(KT97e?Kt8<9!49eez7gFv#Spm@-wrSVd5ZwcDX{k3|7_RZRbkh z9_pj8FEJI5Ve^TpIsCXH9(^3Ex((LmuNLV8H08od=RH$<$^gZ;9Szl)-=q&k*8p}= z_)?n%-`3U!3t<`D%b|)1nq6~sbpQO<@p(%j7m}nhQa%Y%Nn# zV-kUjFK_?$#bpc#_K)cC&cbjMu}jmHaqEIQyA?$MAhKaHwo8=bkOk&S_u4aN6PAXP!GJV$s-Jx=BALDPKgA($I0vNOQpNMrp23 z`HGOX3C|E`tJ+vy#$xN)pD~|^uM9dxfgZn_ChOXO)!;v|)d|T?Zq5TY=nW`v`J>c) z2wr|RI;8ghA>M3z?jt5EmyzAD74pjfKT=|D=OUyW->@>CO_g3maWHS^e>J?im?N}; zd2`*D(4VR0SwG!y<%D^JrWgF>GO%z&7{v}>rqUn-%5a{QyDA2SqzRieqT%HAZ69KX zIl`Yfc4YIe0%rS4?Vb*HsBYMHQ1eRQg=G+0^WIjOc$^NiPZC=$?PHxs8mz>$$9+P4 z2x@KN@(^$>)=}|lIyc4i4~|$#R8FfiOFY+gEU=K4+_e(OLL0CD4M6!>&dZHBrXSI! zYbYgMSFDK}*v`!F3k-AG!i5_EJ;nDt5{m(GZe!o6O`hEzBBRpPOf}+0AH;t zh}h^xt;A!X|11bJKABpEn_P08Zy6b#?m+09d}wiI3ox{xaz5?Tw|T)I9T7I8Sp_Df z5pmVL@XRQ!w*uhaA!!Ie*V}&iru$>) zZFv7sGrThYUCzh{-$hP`31_d#-Zyb|=L6YA*3m366ADl%U1$%u=q_oRH4%|vk5umY zQ?Kvwk-$~(&5(Isqx&E|grvE!uj11w@5dtFi?w4%ewaRmTleWwcO?h>ZM1xR4@`bp-B0U<$5b z_5eR(@A-v&^rJLw)yviUobcsb-tP3|*F_07)Fy!3nigyr9S(j$38|fnx(6Y%9+=jp zVeKEB8AOi1#gSd$Gp?zAo^(4dq0Gd`RK&@LZ)Uym4gSPouHY@hyw>trV5V&7KX# zDWZo+pvEtJ`E3*4Atez8q)nbtJrXui9W2Sq!=QvHyA5N*Faw6>mKzLarMHpWNL{3t7kuS zqx4J~K(n{km6s6vna zK?5^uv%irqGu$jSB!m5i>JR$xT>Wa9h-{!c4($c6Jqa{F_ygH7l1qMJO~+7lG1YO% z@o*-2U=<<&JWvTb)oJnl%5fi?qMBIWrowq5v?MV6mA&thx9m(3vm<`iEH}uMdGNhS zuCls^<}GG)PK#yM-&>Pybdv`k#*&~97T}~L0JjP|*$hD0aUCnPH$G;s8@L$drE=~_ z8U%s}^k%@SZf3ORNEkk`sZj5($tng~M4&$-9LQOcErj3he^RFhmR|4H+(Yy{57UfaOpf?ai_0f=k$bDHJo z5DQjT8xRzTLb;nD^iu>jC`;yHQ)4S$O12`T_i3m(YP4*!undLNLMLqlH*PGnb#H!~ z9iL^=*AF4&gWvxv?08Pc2aIN|^}va{!5lg-pLP7wq~D1{e|61!3jbh`SapfE!Mp4W z2HO|oR%#z64~8DLz`v+tiJdY2*Pm*X5kRDZJBUHbpmv{Lf@<`W6zzL$#!c*(jLE0A zHWupq0Hh{oQ8JbvPAaYdA^Qh)h&+h>^0TroS2YT|_h_wK8`DMPK&u)Rd1Sv%#`}C= zo1Vqstpo0C-tAMa?q7U+SNl$zp+e59T0TL25ryb^oGmoTW83Uj6}RBYiUn zhIdg=iS4}+O;y9%UHXgNRInMTWvipz&`Ytb4+4v#s{T~2`K!=dBu8Al;kLG5vC4VF zI1vR?t0~Yu8oU{{xb{s-I9xgs`U=Z796{^!+2-ueYuqT~gP~iAj#wAK2lr?v|LM zHuSxXLwmJ+bMs%Tv7<&q5PfjEZRlrJqEf2o01WQY4fhOn>m{6qiShPdZR`=C^C2=P z(0k8|whU;#OCY|46Gfq@fGCnTZga4B#^k1q@&h87e%xL2%87ju%}9v7L0qNgDada9 zq)=p9Q6mR{F|IuG&u;)!#Uw@}j}A=DmR8t%pF`fUS^z7bQVDrv{vz(3oRqm<2?Z=W zp>uL8<@O3u<-Qv!z=iQChSu)mnDe>iuvFfMA!&xxdWtTcTO4#3S!s3j8F|tTXXxci z1!HRd-$wvQ$g=c2OQ>f~pBXBjR|# zck)d$3MBUfel}q+pyKsLJB}!lidpoAb(_rF>=riYV}3x=kR#^_=kT*4sRh)iQWZg^ zWyu*#@Ihyav>PUPn@XZH8QKPHs1z7r&iZMsjlmW*?MWm zdU}qzAT4?rYe}@<60ZTLPd96rX-6Y9zfTwY5u(q!QZ@Gu<;15?_wnZV-N;9#bde2o zk#f;3e%OkbQo9cF)cYZ!NVJ`uYkm35$&nebiWtg^anvr$BR*0FPDZwMuwL-}sNMgW zv=DsX6WExqrZ;GYZBEC$N=EPf^?#*d=?;onE zuDr1QiGN37Sf;_D!kheC97qdMP=D8nak#lTOs*!Uqenjsk+&F{_8|pa)C~xxc=ib% z?E897$73GSSs1Wzf{YO9#`K#u;+VYgj!Jb6pb!gVbWkC1cIvK9G~S%rPW4qPCg+~C zGZOwSIjL)-06`D#G{qk`e;#?BZ*B`5aOvB;SLAz zMsdQ9ChyzlRjg0fYK+LI_zY-=iBbflwD)=wwrmtGlQgViId=FxG%1eO46;`NKNCBA zQLKP?lQ0l7!?!w_iiG~kybd#rKq4|2ZI)r*ourH#BecxAs_iUqXI!#dxl@L?PK6A3 zhTy2hJuZL5TFy9N$6lZsIhGxs!cr}$XB|#J$upPIl=L1sG?3QC#Ll`bw^1pj)OW$a zeDSx`DW$pnshJOKvZ{o~hQ{#*Z@3gHZqWYi=zcIKTx*Ej+`jKrHBnjxx3XdDM-&n_ za$mn-L;kg6$IBYq7OxDO4y-?6@^mMV16Jqrxgn%+#Gg!RSV^Yal3rXARWcCr&=Gq4 zS5ke`orSs==WX~V1eZo~{yRtT4aZSb1tl93T!ftec60paYixmimr5Cww3E}eCq4`&RH9;dN z*id9;&>EpJ%!yLYj($yWwZ`f^oq0^RnfLE#&Y?7?41y5i;HbP8Yep>;d=dZ5Y`(Fr zEZP;ZRuF2aIu(MBzJc>!Y$UP3oAowr{aUL?@(8AQbvr*Ymfur8ncJAeE2m6u8-19H z+ML{cdSgp60gL6{g@IB2AKWgn%d4wJ229|fXGBz$VcMPzXqJI+6$-cJP|SlGn6}Bc z6_(2c{icjYJ31?#y$!ah01FSTpFAjD)X^CalfJOr1rd!Hg06RGCbEk}1t?{D)HFTh z4M*8ReoF^8RRT4J6eS5fb1!*R<_x(R)k~jDpVBWh`!d7YV&b{KtM6*0V&u@tX1};i zsZC`6>8mV1glF8LxEiyb6=)MXDVZuQzDGj_<)KGL@(bF^0&QgDx(5ui>rU(RO$T_R z!Q7x@mim4YoA#%mYVB)I}_Wo^+3HF$gA@^}+7NN%Aj+^FZj;aIu9ys|7 zTwsY@uVTB*wKgdQ(^64(;pIJxhDFTiZ*tNzYm!*!@`sQ?e==9)4@|A%nS9~d#7OR>pf=W za69^ATH}mzch72PH8#N{lvsxutcTbGMP{Oy1LA4dLEz8K<7WhF* z(5C1|i&bryA7weQD5hnpu6k$?Ga^GYBWdKS+M>V8)zY@UsfM_eqZKzLTi%1_(vdZI z;?UVrp>>iGBd}=N$3=cXu*VjO%*@mSs+iI4+8~$?FTh z3U#;8KQNa9Hu9wOOK8*^M*}ZaRT{aqZ6~(NcuRv!hh^v(JR7L>$4sV|Vk20jfMN%p zlt%rVqHO?qjjBIq>N#-{wp;MPGtDR2X@)INA*e=YwC~g874O_g9!gZAZO&0g%z%dm z495?CCph(lSx|p4qzfSWJ4Q~-9jBdA#);8n8N`Rsbi%EEFE%cw^6JSBk^P#)H( z;9`WG`EQ?^sDT6?%**(m6gsRV*(oOFZqp+d1yc~d@cf*6e$#V|6fc1{TQVrU70{w@ z7Wr1WK|SdeJ)omx6oI)3_7UWTf)kh_BsqWw<~xuCO}KR4OS_^Hzl1_X#FtxS_4rgs zrhK37F1)ZbhCh|{FF=NGn)F3Wu)X#dBLWdbl`GF}|C1Fy*q!lxC-2v)tBJ>=gDsg# zjW$T4VXhQdiM9=W{{_N7>027GpIA}#O~v5J8g}5II;8;E-f{8jit&VLx}f+P)HFN6 zutU0B=Zx;5mQglP_TrFtgRu53%0N|cDi_m&pJ=Z)^K-50ATIr7y>#Gtls2nl>yzM% zL6O0m&J!=*SpGI_q0N29spOKD5AMfk%?y-AdEr;qKtjsxtJL&_>m9Tu+Js8>i~r0K zwJlimh2l$m_bQ`g!bgH&QRJb}v+A3uXqF-|`(IZNs{wrVx=)ibJ;nvin*{8sSy=riJY_#H+jio)Ur(42hb*CX)Uj;Y=y9I{iYySw4&JEc6f=U)G z0YXsza#xxJx12>~&)Ng%t4f17IzVMYxlPwm)jtt33y76u8E+`HeGNqxC`Fb$zaV`W z?&J=C(O*mbUlV$$Hqb2teKYv=Z0tCPHTJn2U<&oi(0X*p+pFNYshkt4U-+BW(`J5{ zryU*OwvJD_dsxta7C6eqs*FCto4G?E^NV85q>oR?uQTxDq0yM~AHlLG_rTwDj55p~ zZk9Vf2JPUr-95h09{;qGyx1A>8_**9Hrg~8wO`4e37&7CYwSFrr|_*Dr8IH+w1<-yuNEkf>wD0T~F6^sZ2!CG^H$K*evtQVs}Y$^q$E>a_1^1 z;;~U4D3(F)|5_mJsJ3Le)PsnA?bD>eC06J*gup0Gh07O@J9W|nL80Tm8ZlKyb)j%}4QzE02^cprRMd&!1znX!#K0CZ%v09Bq7bz%}8wkx}JJm~T0t zErZ4~FIQF}a0)04S z4);)9)>HoG)_sF+Di-Cd@8+2~uN455mC!6(e*REIuxudmA@6J+j{VN{%)$N!ysjn* zEiVcg_T$>leFuCX*wy*kDkQy@LR^EkW=5P4{_%qn)5m3g9pY@tM% zm{l(WRK%uiIK@-?a*K}O-(Dwk9IA}HxgD;Dj#*IzvXK1kGJ)7Pg&LoG(~YUTFV6f9+G=EYs2dKye({(3L}b! z#X3rJduarEC{b2r(~_CC*89yrKx#x-1Kk{hZ1UjW*5f@XcQM&Wn6CyyXNs{H?J(8t zQS9BsqmKGE=E4%+;zg(;CT<^JjPt_txC~c7ThBKgyLVAs5p;voR1g+Azo9?=E+n(( z19rgp6g1N0<5X2WbMS9a``&9YYZnIRIrxHWyb^p@feYu})u502gxz&V2d*bgu>Z4O ze4zWi!T>Sg>ecI2wLE#~oBF93l&L%Zj8ZAGT$grPsZ=@gW6XELyOpx5o{*vceuOgz z=1xPApozP3zMGE$OHq*lzem8}gQ-8NQHOU!0uC}(#3OptRq_QR8tH<#|0|x@gC=zn z-JHJvN;F%!l;-wjfieQVUVO2mx6!SCg?&5g%S>{Jvh`P!W(mbkE<} z(Ratl3Fk)VT+%m`#6ubgGDnAV zT`(#ZCDbH*2=F6FM zhz4Y<)wagXAvCMUuYWi2mzvhImJ52W&x_b@AdLiqE?hf@B;1sYelRUeO134VLU>Nu z!r#{JKr0p9;IUdcXlTv7Tbp~$Ak!C#IWH*r`HGq_zC_r%FQzqt<#NHxg4jY{ldR1- zPl@BV6(b-YqQ%a{r0Iu}?@z^ZiKf9|-et8wYV?@#rc%@_^PpFa5d*U;ka~^8*2Ch8 z?z<9UHsEKoPZv8?sye!2uRwj@OxjRi&$5hVsMuzLM3@~oPy@IL8(&joQduT+x!)HL zC=n$!eW~9OnOAkx?8{~}iF(@t_^N3Ct(#V!$t0SP8MSi4Pa~sbI|;fof&fX%l6cv1 zBlPtfgSeiAa8}h$>?`j&(w=Thl|G{pRn(1t0u=_{7jx!|r4afTA+x~98B=Gh3o@^I ztUC7My6rhamC4Id1&A)SFMW{(yq^d2jBQ`i2|4Z#aXKl%~#}ZYI1)ptHvGE%CniZV@|LhZ>CYfJJq!Zx9vnCM~JD?hjj(>0w{iai^%en)bjz2W^ zf@{*Y7YZ7*gvJB&j`ZKpSJ&l21cWfkfBFpAs)FWyfilZ(F|D8Nr)E%M(x4Se|5-rZ zaWQP5-GT}2wUp(R_+4pQGj4KS)PKUOtsg4hNaL$9iebhF{+Ti}6b3>jqvO&NaSMzT z+ZCg*B=jkuJ>vL*VdBOo82B;2kpr{~N`=Rhr|)fE)UCdzsK*bF#D}FKU4HzR0l|GZ zHa5F7$V4eEQl0NtRnf!;ROOy#(OOb);2JT%jxlw-xZavw)Eciz5S>nw3(+P-@#QzX z+nRTpFAcUDX|W}$U{;`>gIvu;b22@wt@LnW;$>5&O$^~k)UeV58NKEF9Fu7k@6=F) zF6E}Tvvb!7Ti4kz4qr}G1Us4U-pD@uTvJ|(YUZxIe$(HQ1aay6J7bh%kxu%$=6w4? zYindT#-WD-!dlEGuNS9EhVA7$G~fJ45HDpfV9qFQ`ya3U zYYs@`@S)<0p5(D2n82PUsk|j^1H`S0_RQabdF4;C$`&D9;+ilV#07h}e{ak?!NYs+ zAb-F*3A6Gdix$*B`U_0>@0wz+bh&5B|E%t~ZB$k`@U5D6^1(tg=g3RBLRZ5L#~ zT8ANFvWw=@_6BI|q*XA$91=I9-D-g$^tBQmWCw&Pl0qCL5KW$d6Z}FfL?0=7;6o+^ zM~e8dvV@9;I(tli>_}u$hXYs56bn!i+#M3D#}=@)fH;U=B^1lb$;jO62$EWVWfRl6 z0BnlI>ZU>(CQV%|M`wy)p<>e1$x%Pdd1+N`|DU{B{)}xW#^J$ET&JfF%EXmbW984u z5$#?Wnm@2ddNcU!)U~1=L@&UW6=fY6Rf{}X?7WcRDHQig$gx9vjb*~fBUKcT;DDWEWz zq4hBLW{GV5WSjEQ^#EW?o(P;i4pUO^rI@o}pnRO^k2n`L?>eJmXd>(D)cja)Bl>*s zesqXPy#z?9EH0&LBn;eD{d~^9&C^S`v$avSsEt?nBDV$R2Lwn&f`MpDCHuQj1VI~nGI?W=Nw&hXnMj(ZNZUU; z)2B*lqI#4U);d%ayJCSgiHS;RTvv&u)fzY>bSY27q)`W@PCm2Dr*h22)K(z8;X1&6 z$RI#C7bo-$?aO3ey8fZK+oN4%OX85f`bXwzQ+kL)V(I?jfl;CX$$M%B*QlRuua({t3<~^DkO_*YX)rH5F)iw3kC(IMewv_7 zTzd4=xiBph;;h<&!D&}pEsqvg^m;f9=|e@il20|rn@H{Zc&zg~%HJw+x18uZ3jzt2 z3%9lOR6~YIH1NV9PMAxWk;V7O4=bLiPu-I?%T$R22B*r~#k0x_3n$XilV3Ay{A*G? zZ5291uFVLrmX`hMKCjorQ$^VzPq~pzfDTwL+fy zs;6yAd@$0G(I>)L`+e=6{RE<_qFrooNf=Q+rdg`F@KR7a^I*TC#CdM|OM;8c6R~4_ zXh1grboizQQo7cPZS4=#0wl^mCSC<)H4ozpraIS_QOPT7>Tu;LF0|~TgHHdZBXhXW zIU|ngc<)Wq1E$1^4AvKrM7}s&`!OJgZx0u7k6kU) zjIlsHkC<0{^G7ozr_!wr+r*0@8fuMGN`phZh?&8Z=qge*4X>g{HF(qhNru(rBXxF> zM^k>G+03+Ae!u(GTI%0xRGDYSJqYcxtCi?ph$aQXMSd{WFI#;q$TVHQ4#x<$VYxW? z%*BnxERtplp-8AQV7=ABbZE@bQTtOBOyP)0E~$ZD)`WaqGY{~&^e06+bTOgdlcUti z7{1xnc=jeufZcvW5JwSNyd^OZ7qG}a~i9I_ppWZgi8*0o7q6!_U6^5tXl#z`mNd)C^xP$Cy+Opy~o zPV(vfvq&VvhJ+;eREOpg3voR)PR)Kye000s8QqNPBx2yj7^ui7&f0V`X#T&zTI~zU zwOwVh1=@H(vvvw46Fm0!?ZtTu42yzn6m&pNHF zPn^&f(e_$=bhN6#gw8p_KxCu^KHWi91A0qQZ)F5}Srucw{Ho2gHtBFk8IRJeYa17k zV){hgXW!g{;!06b&Cs^sTH1=19MW}}L}j3Ibk%y|GO+N_B(62SNI#(N7?rT4AP zu7U0}o2AE8=hb&t=!-^>7Ra{YGU(|}w^?bm7=AJtS1P-59*`HK7%9UopSAHaTjYzd z08PaAR;0=ld)T@4*^l~2)V6;2CLW*+`c9>wLs)(U=5KMSp^``abM*#Vd43QB4e2Msc@;VxH_W0X6lAC6rHHZPQugna4@zAV$+ktaisB=7DufOuUlT!RaSXux zTV^d53#+qm-~u9%(bfky2+{JyZs(ma5r(OVWkEtmanp$PXd=^!MdJlGoPox(qFOIpU)Z~|l$>VPUb(|kMV$#R@pgpZS8S*%yka8-Y-6gQ@Xkc&qc~`yF&a|y6 zTm}JwdEyE@I z0T8atbEHuRj88iZy9`}C)o_3b)>?}r0(ycYZ;(!)b6{c4$hNOR@-Q^eBY5j{n%xS1 zkNq@5;t{nikkFS}@Unb7W>0rWX9jC5Z*VQ_TD5wT0WKy>x$cly570IQ&}1q zQJU7iSuyTo!)!o)tEg?8DStZgU&++OP{huH8Xzt027v6`kYV|;Sd-t)cO7cmAbBAZ zKadV8fv1y