From cf16763591ac62f9ef5d5b125de78e01514cae32 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 11 Oct 2019 20:34:51 -0400 Subject: [PATCH] 24-bit counter, CAS fixed --- cpld/GR8RAM.qsf | 4 +- cpld/GR8RAM.qws | Bin 1217 -> 2114 bytes cpld/GR8RAM.v | 50 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 17328 -> 17357 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3407 -> 3379 bytes cpld/db/GR8RAM.(1).cnf.cdb | Bin 2353 -> 2359 bytes cpld/db/GR8RAM.(1).cnf.hdb | Bin 784 -> 774 bytes cpld/db/GR8RAM.(10).cnf.cdb | Bin 2222 -> 2226 bytes cpld/db/GR8RAM.(10).cnf.hdb | Bin 800 -> 804 bytes cpld/db/GR8RAM.(11).cnf.cdb | Bin 1103 -> 1107 bytes cpld/db/GR8RAM.(11).cnf.hdb | Bin 642 -> 637 bytes cpld/db/GR8RAM.(12).cnf.cdb | Bin 1104 -> 1108 bytes cpld/db/GR8RAM.(12).cnf.hdb | Bin 642 -> 637 bytes cpld/db/GR8RAM.(13).cnf.cdb | Bin 5943 -> 5947 bytes cpld/db/GR8RAM.(13).cnf.hdb | Bin 1291 -> 1301 bytes cpld/db/GR8RAM.(14).cnf.cdb | Bin 755 -> 753 bytes cpld/db/GR8RAM.(14).cnf.hdb | Bin 523 -> 516 bytes cpld/db/GR8RAM.(2).cnf.cdb | Bin 2188 -> 2191 bytes cpld/db/GR8RAM.(2).cnf.hdb | Bin 908 -> 887 bytes cpld/db/GR8RAM.(3).cnf.cdb | Bin 6074 -> 6076 bytes cpld/db/GR8RAM.(3).cnf.hdb | Bin 1291 -> 1301 bytes cpld/db/GR8RAM.(4).cnf.cdb | Bin 1128 -> 1133 bytes cpld/db/GR8RAM.(4).cnf.hdb | Bin 643 -> 645 bytes cpld/db/GR8RAM.(5).cnf.cdb | Bin 1128 -> 1133 bytes cpld/db/GR8RAM.(5).cnf.hdb | Bin 643 -> 645 bytes cpld/db/GR8RAM.(6).cnf.cdb | Bin 680 -> 682 bytes cpld/db/GR8RAM.(6).cnf.hdb | Bin 537 -> 537 bytes cpld/db/GR8RAM.(7).cnf.cdb | Bin 765 -> 764 bytes cpld/db/GR8RAM.(7).cnf.hdb | Bin 523 -> 516 bytes cpld/db/GR8RAM.(8).cnf.cdb | Bin 657 -> 658 bytes cpld/db/GR8RAM.(8).cnf.hdb | Bin 519 -> 515 bytes cpld/db/GR8RAM.(9).cnf.cdb | Bin 1818 -> 1824 bytes cpld/db/GR8RAM.(9).cnf.hdb | Bin 741 -> 733 bytes cpld/db/GR8RAM.asm.qmsg | 10 +- cpld/db/GR8RAM.asm.rdb | Bin 1313 -> 1330 bytes cpld/db/GR8RAM.cmp.cdb | Bin 31255 -> 32072 bytes cpld/db/GR8RAM.cmp.hdb | Bin 18221 -> 17472 bytes cpld/db/GR8RAM.cmp.rdb | Bin 14988 -> 15282 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9065 -> 9178 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 7 +- cpld/db/GR8RAM.hier_info | 44 +- cpld/db/GR8RAM.hif | Bin 2155 -> 2156 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 12801 -> 13076 bytes cpld/db/GR8RAM.map.hdb | Bin 17669 -> 16996 bytes cpld/db/GR8RAM.map.qmsg | 74 +- cpld/db/GR8RAM.map.rdb | Bin 1184 -> 1187 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 13695 -> 13311 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 209 -> 210 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 13644 -> 13250 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 13725 -> 13779 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 16011 -> 16241 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 28377 -> 24778 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sta.qmsg | 44 +- cpld/db/GR8RAM.sta.rdb | Bin 11507 -> 11521 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 35566 -> 36734 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.tmw_info | 10 +- cpld/db/add_sub_qnh.tdf | 2 +- cpld/db/add_sub_rnh.tdf | 2 +- cpld/db/prev_cmp_GR8RAM.qmsg | 150 ++-- .../GR8RAM.root_partition.map.kpt | Bin 225 -> 498 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 422 +++++------ cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 98 +-- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 113 +-- cpld/output_files/GR8RAM.map.smsg | 2 +- cpld/output_files/GR8RAM.map.summary | 6 +- cpld/output_files/GR8RAM.pin | 6 +- cpld/output_files/GR8RAM.pof | Bin 8022 -> 8022 bytes cpld/output_files/GR8RAM.sta.rpt | 682 +++++++++--------- cpld/output_files/GR8RAM.sta.summary | 6 +- 81 files changed, 906 insertions(+), 892 deletions(-) diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf index deeac1a..09892b6 100755 --- a/cpld/GR8RAM.qsf +++ b/cpld/GR8RAM.qsf @@ -85,7 +85,6 @@ set_location_assignment PIN_36 -to D[0] set_location_assignment PIN_39 -to nCAS0 set_location_assignment PIN_40 -to nCAS1 set_location_assignment PIN_41 -to nRCS -set_location_assignment PIN_44 -to MODE set_location_assignment PIN_45 -to nROE set_location_assignment PIN_46 -to RA[9] set_location_assignment PIN_48 -to RA[10] @@ -161,4 +160,5 @@ set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI0seen set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1reg set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to IOROMEN \ No newline at end of file +set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to IOROMEN +set_location_assignment PIN_44 -to nMode \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws index 6292ea1a2039d245614242f6b57567c2b3fcbe05..7577533fb939a56ebce9fb4d8beebab4a2fafefe 100755 GIT binary patch literal 2114 zcmds&zityj5XQd?QRISxiYkSLA`~Inv16O?r-2v<1r$WkASBDVJ3|!5wi5G4LXlEX zQSuguH^>W6P(Vw?Q&15Se&5=cAO|5~1%$Gv+1=TlnVp&acJA7&vNo-@n%Y!f4Lw&^ z8(M?wu@);uXaahrx~^zW57un{>y6pGi+&9!hr;Uw){-H(@jf7o6f5(p{e9QbR>KP}Ug6`-gt7YP>Y97BT z^aWNH@aH14GG77}kSGyniCKwn9%|xii@ri{&8`0>i%}#+4ZPWh^xYlN=DBA>Vsc11 z#@z0ZVF)NVPexMW=_{|raA$pPumg9WvCG}95b=fqqkWpkVLPK+sHUXLBC*zfC4^@( z&2k&7daNhooMgi`G83HY;oNUrMec~JzIhwCfMOR99OL?*n<+-0KYa*>l>3Ugd(!C{ z^7xU&I_o|7Y54cU<1)Bafz|>bOLUpOz!<|H!p$Hv+>)?63bz{hO~|w1_KzNma}~X& z`x#JEbwYc?;>iG%K{Ym@&Vs~LF9Mivayu@Jlv(F(^qCw#_I!xj)FK!1ZZHqW?(snP z%!ilgQkSSkp{s?_<+ecC8Wg_KQs<(0wA59RE`!Rg?CnQyF@J!H5HC=vKs&qPnSNvc z9NB4tS{MF2d1=wt8T}&}{!TK@9mF3wWmvdsEAS@+Uyg8Wd>ub%Cbsd1Ht9@%0V3Oh IJ>bp#0K_p^p8x;= delta 323 zcmX>kaFBC?c0B_SFafa-Lp6grLo$OULn4q)0n$kfx(tR4sX#gf$TDFt17b@c%aXyA zAq}WX7pyv&!2-xj0qQXV%7EC$47LA(0Aw)Z1t0-t{RQ!Wm>Gyx0BMsyAWIp48v{u( z22X}OpvHWLB8FU`!6gitKz1HbIU^THDG>8BfK3EzeK$FcC5MrD@@1Bp3UUmN48aVp z41o;s3~oSUd>BH2ydWUY2`Cf9IC&>~Dj!fk7%(3KnrJ)uJ8Lr=!#5yv-{b~1Q^9>e dxnPL#jCCN<&Bxdx7*!aZku=>0ilgyCvH);5JhA`) diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 5933c96..1632737 100755 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -54,7 +54,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, wire DOE = CSDBEN & nWE & ((~nDEVSEL & REGEN) | ~nIOSEL | (~nIOSTRB & IOROMEN)); wire [7:0] Dout = (nDEVSEL | RAMSELA) ? RD[7:0] : - AddrHSELA ? {nMode, Addr[22:16]} : + AddrHSELA ? {Addr[23:16]} : AddrMSELA ? Addr[15:8] : AddrLSELA ? Addr[7:0] : 8'h00; inout [7:0] D = DOE ? Dout : 8'bZ; @@ -69,19 +69,20 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, output nROE = ~nWE; // need this for flash ROM output nRWE = nWE | (nDEVSEL & nIOSEL & nIOSTRB); // for ROM & DRAM output nRAS = ~(RASr | RASf); - output nCAS0 = ~(CASr | (CASf & RAMSEL & ~Addr[22])); // DRAM CAS bank 0 - output nCAS1 = ~(CASr | (CASf & RAMSEL & Addr[22])); // DRAM CAS bank 1 + output nCAS0 = ~(CAS0r | (CASf & RAMSEL & ~Addr[22])); // DRAM CAS bank 0 + output nCAS1 = ~(CAS1r | (CASf & RAMSEL & Addr[22])); // DRAM CAS bank 1 /* 6502-accessible Registers */ reg [7:0] Bank = 8'h00; // Bank register for ROM access - reg [22:0] Addr = 23'h00000; // RAM address register + reg [23:0] Addr = 24'h00000; // RAM address register /* Increment Control */ reg IncAddrL = 0, IncAddrM = 0, IncAddrH = 0; /* CAS rising/falling edge components */ // These are combined to create the CAS outputs. - reg CASr = 1'b0; + reg CAS0r = 1'b0; + reg CAS1r = 1'b0; reg CASf = 1'b0; reg RASr = 1'b0; reg RASf = 1'b0; @@ -119,6 +120,12 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, REGEN <= 1'b0; IOROMEN <= 1'b0; CSDBEN <= 1'b0; + Addr <= 24'hF00000; + Bank <= 8'h00; + FullIOEN <= 1'b0; + IncAddrL <= 1'b0; + IncAddrM <= 1'b0; + IncAddrH <= 1'b0; end else begin // Synchronize state counter to S1 when just entering PHI1 PHI1reg <= PHI1; // Save old PHI1 @@ -146,18 +153,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, // This provides address setup time for write operations and // minimizes power consumption. CSDBEN <= S==4 | S==5 | S==6 | S==7; - end - end - - always @(negedge C7M, negedge nRES) begin - if (~nRES) begin - Addr <= 23'h000000; - Bank <= 8'h00; - FullIOEN <= 1'b0; - IncAddrL <= 1'b0; - IncAddrM <= 1'b0; - IncAddrH <= 1'b0; - end else begin + // Increment address register if (S==1 & IncAddrL) begin Addr[7:0] <= Addr[7:0]+1; @@ -171,11 +167,11 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, end if (S==3 & IncAddrH) begin IncAddrH <= 0; - Addr[22:16] <= Addr[22:16]+1; + Addr[23:16] <= Addr[23:16]+1; end - // Set register during S6 if accessed. - if (S==6) begin + // Set register at end of S5 if accessed. + if (S==5) begin if (BankWR) Bank[7:0] <= D[7:0]; // Bank if (SetWR) FullIOEN <= D[7:0] == 8'hE5; @@ -183,7 +179,7 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, IncAddrM <= AddrLWR & Addr[7] & ~D[7]; IncAddrH <= AddrMWR & Addr[15] & ~D[7]; - if (AddrHWR) Addr[22:16] <= D[6:0]; // Addr hi + if (AddrHWR) Addr[23:16] <= D[7:0]; // Addr hi if (AddrMWR) Addr[15:8] <= D[7:0]; // Addr mid if (AddrLWR) Addr[7:0] <= D[7:0]; // Addr lo end @@ -192,7 +188,11 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, /* DRAM RAS/CAS */ always @(posedge C7M, negedge nRES) begin - if (~nRES) begin RASr <= 1'b0; CASr <= 1'b0; ASel <= 1'b0; + if (~nRES) begin + RASr <= 1'b0; + ASel <= 1'b0; + CAS0r <= 1'b0; + CAS1r <= 1'b0; end else begin // RAS already asserted in middle of S4, // so hold RAS through S5 @@ -203,7 +203,11 @@ module GR8RAM(C7M, C7M_2, Q3, PHI0in, PHI1in, nRES, nMode, // Refresh at end of S1 (i.e. through S2) // CAS whenever RAM seleced - CASr <= (S==1 & Ref==0) | (S==5 & RAMSEL); + CAS0r <= (S==1 & Ref==0) | (S==5 & RAMSEL & ~Addr[22]); + + // Refresh at end of S1 (i.e. through S2) + // CAS whenever RAM seleced + CAS1r <= (S==1 & Ref==0) | (S==5 & RAMSEL & Addr[22]); end end always @(negedge C7M_2, negedge nRES) begin diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index d8119d62d59aa3a93941f7c7158ac020602e2197..486984ebe21d3d5a08e09a199e4bcf59e83b3506 100755 GIT binary patch literal 17357 zcmZ6ybzD>b7dR|}A}t}EQqtY9sYnO{A_CG%cS;W?AYCFQIqB|}7~S37I+~3U1IG67 z`Tm~Y^T)Hj?#_9ib5FeQeZB8J?{m(`A3S(aL4v8{meGm1l+&%1EoLNQ01ce1f zSYLbEIa;!ciixrcOA3jI3W*Afh_UKexqI1JSh2qUV&T9l!m6Xjs&8e^s$^;R^)I7CP5}Pn)G3 zHbwW$^CP1x>kCqHHsxTXc)ynTl#n&0cU~lYtjNQt7lctnVZWdAzF_~&rJZL>S?EmNKg}lYFUDN!p`A za8vA4CelDo$outt3b+$0ufFx{3w{OBMJBHvk*dNmcE~Q?RQm^OR}t5cExb4Rkr&PM z2Gtzpe7T8N_x#(z)GH1w3BlT*FBko$wTPv8Pi_Spbs_sVRm=59X_QZeAm4rZIC}ZG z+19}n+qhh809T6bkbB@mv8{MRP6;WK6gZ_bPxxaKqjZq{in_#K*&zRf&ibB^`KmpK zcK+RW6RsXrg`f#e|A&xhzyEuPLarEBr(g;y-uWjoZS0;Ch_MnK(?hvV13^ax`vwf@ z<8t;tc)Z+IJ;%EeGttd9KVU!o`+YQ5#dx8Iw*294#3M&Xf|jWY3od?_dNDv z4_Bf&V>R8?T6TSr&*quKJ>OKR;I8p%G0mr^l%VnvsZoQQL1jJ0i(I3~>Dk4Z>1@{l zN%)11Jhv&-@8J-F(VTJBs#x$n44@z-HS&y)F6k~AcH%PV8+rG~oyjy{*w=t#@MViQ zg-(^)rhoHfh%g!Qf@-75;LfXbZ}?+s%1R3D=((tc^hE*B)gC;3+V*>D(28yoFUXRr z?A@G3*nXe%I~`52%ov??D#%Wzwe+K=B09~sW4o}KiYe7lVg*c7F3@8wEYVR7*CgFN zeQS0(+gduOv52mcaAk&gA=t^5R=VKXXNKpeRPZ}D-RkShd-J+;MJQcUy6!>@-A!(3 zBRhIW2*g22KAIuvy{zYuEZa$JHW#yFrZ=k5DNulVT*X&}^2{FE(!m~qu=xv*raS+1 zg=x(Fv^aNXEfBSL`Ov5PQtjVV*}HLBrz)f^5Oil2=f-khMb_v9vA}M=2V)M$1leX)U(8{ zs~xJyHM)0fd16F-rFu=sDpNPeVa{5VRe4S>R@FwCFoPbw>xa$GCpK_wj2sR6xf#-$ zR}B^}3joq=zuVopz!97e1q`xvEu14z8yxHaXcju0yR38gosrgZ1c?o+7N6^G@W|f@tq5A z7_z`V5V1UFmZM|=9&xUD@_d@!7&tc21aFS|Tb{DSV@vQ@jD}<%SzA|a?ZlV)Z>~uH z(I%!=-H8ZL`1dNc?T#xq)pwmV8w2rZnhOYi%XHZ^09T&R#A<(*-q*57e)s?g%XEu?UaBE zF-zo#&kG5oJpE={lIrsf2aGEntg{MQ90Ozb)_>#32G(KR@Loa8QHIZwxcDXs8GH} z0RpmfNQo4y`yaH>Jb~P3urA}GJ@7Ig#=`DC9osGPk5LE6OHP{k-StS?dTHvAl&A@f z`wQr@t3X_Y@0cVuoMBGnIXxL>H5JzMD27deRNdb-G3n=z=lvg2 z1KE@Xeo}F1P*MbuqhI=YCHq36(m-FcH2YH$Z2s;-6iLNZ%nMc6H84RvB8j;$>EpDR z;Yj|-ZDQq~)}LD7KIZN%*`Ai)XYh2$%@2xF20t&y{NuU0ghu@Z?V20MRG=X*ly zJ>g1uc@oNyID?~@g`+REyHoOwZU-?85OHSHm5F1l6|)ahp!81u%3QNt&8sOj`cAk8 z?^V`n@Y4b_bE=v*cE1$rluI)>b5IGQwfx%pf=dogP5PWM-(i`qXDJq1bgNzTFA@%C ze3a>_kv*ywmVvZ(oug#zvDw#O@^i$2G2)f~!V?}Z<;O&1fYh?MHIYx>Y8UxFDHyb4 zHs^6q5C0sM`HwCA`8}y8l<-@Wpq-?9WdSv@Oy{M}#ed zfD-{u1$zItwoAYz-dn?jIob1ZPFFn)*^}W)&09K#!Dd7^)lb6< zi_q1ykZ%C~LGIsV(PspEJ>8&(H`UVNn3?o!XD<)yEbPAePX=K|Oyj1mSSv~T*VpwH zEcElCzVBViGZ%rW#7{F84aOKIN^3R8m9c+B!g^<|KiB|EFY-HbeSb=J@uXda{jE!iRx^+f1uz2YWh8`|Kp^jGJJ$usrDfFz@a1gZtutX zTWMXyTNb^(=q`DOL-uWtxqboeQrfo=t@1h)=(Uy%!`(`ereOxkm@n-^(cpSYLd8(> z7~)Lsl_cZY8x0*_hJ_tL2&*n>K8>ova*W&bR-l2Et+H%kj{)<|Zb2N|nohHBO>LzL zOSjGOyoJ63OoqD(aq#Jm!t=)*kANxjj3Ra1x~))ye_<)s)ZNjYc}+2{&d#J;lF?M# zVx~*MlKd${BO?rWxcTM;cJOhm^sfSkOxg4!;~!@i^kson;rw;>DDzyYT@0qvprJmT zqug(_ggd+0>+XP^vT+MuN?&#|qnKhK0aBV#UtM4+b`J1tV*V*D$Nb0l5NRKLmm#6N zRule0Y&amapU&M(zsO$s&YJ9PsP-JDwXpxi+QJ^_v4#6;^-awjTMeF~v1mr1uZQ=m zP>s0+Oa*;L;Ihu_@&&_uRaxVKcb3wBpKKs_&Vf0UP zcyW%w+*BogjoQ#_c%p*kGi#EKM^|l7GmCi@{|NeYT?LW9sT7L)*7HmMW18u+h%yrg zzPFeMUOkl%FY~kCm?6?z8@(A|t`+9P12q&M+K>7RhoybwFQ_)k_B zU)is~CKprtpUs|l3ZJ?t81M5*%l6X$TsruIPE23FnPJWiyFasyx%366*rAr+An#~t z_SdQ^k;A0_akR9Wgy%@BexCHkFJagH}!KFh}7H$yg~MZSh~mkeC74-v8X7;wj@DGn`hOoh(1l0a`ot z7ght6Hn!w>-doal$@cTM2KD6y23x08AUIIfTXYooE`uUjR0?|ll>U?-kA~-`WQlJ* ziIgN9(E{zmj*Q9;ArIFxUQKnee!Aj()vhd_BGHMj!c9**U!3HxujZ@5$1`CoP_Ue$ zrOb1X^f}SaH%2$OkHJuVt07Z6zFq%);x|}~uK{7}WNs+HvWNcSQ@a5Dd5}tbl0^5n zb@z5ElQru`YM-=Xw7l<~E3tKz{z}jHGLu8ciKkp}M$0W1G%~N?(JO)zSBmEI5uJxp zu4!N#jop(Ph1BSVJr{4%HcXp4$}-jgpi(n=zA!S}C2rn*yK%%>TArPRd^q4jU?#U( zu=x+XJ;36}E~hUhNypqib8R{5ndH)Lw*^tnO5pjog?&uhd>5Ubais8_XL<9?$0QE) zK7t(5e~h8x3r`dpt|4yU1DyKvvgsi0s@GH9X10h`Yx#~3f5e!9CGI)<8v*jA_{by! zP991hfT=l1cD52kIIV{1MUxNz!Kdv1)t3x36Xv>;zXs2-7)gYE6RHoiqtvhZfjRww zNfjz>PKN|aVfT2Vr~NvwP9Z}=Z^m%C4Px$Y-S;QjY65UBtL{BGUa(llf_d9z^aTv^ zF)(^stXZq-9d^1HYkq+}dVYm%R64XUGbji+hBn$_P^ zRsN%@fiI;iwOeQxCW`pgu=-{!$8@Jed+uERIftn%eF4ulhjn@QaLcacGV!3cJY6g0 zSgnra8|-5J`?}ZMfJg569@H(^c#~ZW z%mFuA1|ucpjs^PPyS{fnDVzIw06p#pboVkD_vb;FFJOsR(TJPBcGFlI5h3xr;}#~< zDc?%;Na^)w1){SbsLW3wFtN0+tM4i|9>m7V=bu%Je;_f+#*(j@EK+}2z7EmS+m>9&-V+>+crBeks1 znn?j%9~?W1d(7~0e8sk%cuOg&MpA-0JUWhci@O`9*@_sa^MWI_=3t8CC%4yF>IyOH zh&S>D8BVXoHb@A~)ZpCQ?=ZveGG>d1-8RDn8m#fg!5?zcThIjkeqm9Hc?ycf@##o{_y%#t0=QK*6GC;OrQ5z&+}H zkEX|<74A`g(KBxiWlVfx0#=a&TcZZF{A9C4^UO2XHr;81&LBUr!J@{QINCeL#;uo@ z8obfj4H%OO>sxX7_#LW&KvD|gJSFdre(@peDDu%l$fTEJykwCre z^kf~nv-s0U-)e%AB${{G*)ZM0H7q#3i^-%>7v<&18PYQ%(Tu3E@Q3n*u4s7?3GIx5 z;b~t_>Gg(#WQo8#5TgTyfEB3dorIStX!mY3l0qVgXyQYm7T}qy(Vrd|&v4l89sJD} zBqB`}jFp!3cCU8nn_H65TaY_m~W5^UJ!3%zbWLzUZ|z0ZL4T>pt8gE^c8bq#EjU@Vta z!o1RAXxe+4G>Ww(x>wbBJvs2~a+_$jF|f-8)k4Su#IknT5YYhjw|Z{F2|BLn($-xJ z6+>I*H%%uvwJ4hIXF$hWa0!%y<$J%KgbsPFv+w$=TzQ(?>QP=BNFGv8w(ltNT5yZ-i8 z5YfmjwFnY*Cw6&-c`>DTJ$BOa%Tgyh{_Y>}{@ELvI9@fyLMlL2JcC{J+67a7rNwlC z*d_KQ$^1?cChl~u#$U@tgiqZT`Ul4zWrJdnEe#yxr5Rm`nx$r7C#B za(5M;_EPqq!wL(V!256XEmL8XV6bIM3`a)Fmx~pAd%vPGV$c=GLmR(&L=@C(XJU^r zXh)m3dQVPj^2cO%N6jPsc<%S1UZOhMZG4(gnJ@#f1ep6!O+S{Z{G;sFmWr z^yUE1+}krh%bC&s<7Y{6CU(DG?<{vgv@He48)OMmNB$K{JqMG6tw~_Pny9=VzVNtE z4IS#?%`UXId0L@o4`z!MjpH67ouAf!J|Nm^e@> z1H0FD(JviBwGij5(AwlUm)Wpi(_+A7{m1>a*Q5w9{ z=(wq8>-%Yzi%^(r42-I8`gQJH+uRd1CnW8Oj2t1e$CPLWZowogk$Yq!qwmOnft9;I zCUFgh^}&h0pA5-W7RdbozUX~W`ZrQtm7{Lvp#62$M?5n8RNkVg@KRIH`NmDd^HB9g zG=-|U@;Eh;@#nufoCo_(aqy(rieyGkLsv`1n()sED#3<&RtoPn-nX{|vKkx@sL?qspDfAk%{ zi?U&rghRc`ua`RRU`I8M($>< z6V5-~AA^7E;%%sdOzC`0$VE1F!m+L0p)7d|%u_fJ0l?>K$rcUJi6D9=$>pOn`xT(u z0`v`BFoP6jI`k$N+dUb8$UnMkkdPkv!hl(}B)WmVw_-s1D}yw(Od&#tpFC{CjH@P0 zycsO_kkNQp6~E*%LNg#E3;gd41(t;f0+D@ty;1NO3$}-!8i!yL>Ctj?o5;j`-J8{# z$Jm?u;S&hz3*X!=GMpUTy15SNExI1t!8XCuTq`x;r-GQhw41~YnMc{%8x@Z?&fg*~ zb{3P-Rb^XR@*npV|Ie{yB%gotluQ>zO~wO2e8Xb75ZJ2%GFRuwLQFW&ZST$nHOj+` zWtO;^G=szRN(NjGgy3e$n^G4vs47i(#tjijQ^OQehUeqg#PQc`i0^Ru&m%$Fl}^tFA7x59q~q`Xc)X z$aUB)atin&>|Hj5ED|ze@$MEm(zFWNLR?Wps&-KY*C`ij&#;``+<+ERIHKh0dr#Y& zj0NNZiC>^VsvPX1tyKBst``=?2+fB{-QQW3i(bh(#RXgs?|DRt3}oByAT@a9VwS$) zTI+2!ptJCTfaQ1r4@HS51?+?YAE_`elqGR&E|U!xI~WNj%{GvT4)}U$bya$x=coiH zP8(lt?oE7}J8WOd$jW}Wvr>H$5Uh6Sjc(H%K*hEaE~-5Qh>^KA#vh=BA?)h$N|us& z?E1g?|7|BQ9Ek!}FY2zBb}T}&jsu@FW?);!^anQJ?d`}&7Z?%BjN&d5WtK%g&TI!!266Q4*DV6AevBd6e zBsGlbM=56IMPat(EkKhHA7PUiGWUJ&op`2v78$_C&>;>6T51Hve~DI_gi^W|z_*)shmu}$X$0&e>AgDxGXx@#>$i1IEN%v5ZD$cGV=UpiSY1~db~eM z1&o`6*CylRiX7`&hvZu4d*dX|j;w+}KZDR)06r+vbx_J1tD7p7_LdNRPC6dW_G-pmDHeMb(9dazYC1gKPjHCV`W&08t`nqCzll__U zug9VIqnyPmq8Afc-^c|VNUH_gjV8tA${n3{Mv3J_?%SWpJQ_fw^dmT$czayGF+75Gh1~1Px*c4uhZNIr2AX@W4F#XV^?9crnv}|bJ-_ivB{wp?|cR-}o zr<;BtK%@4MQA*D%aoeJTYgBSaOnfCaXfQDOD;wOJr!O#N29J}@vN*0r?YDUe2Q|O3 za&5JkB6C1TXhHTdjY+92OuPE+d40V_;^}7rY?3i(Z z=KIe*7|=qVy;ySW+wBszrEaAo)+${HQ0b|9&;#@^NLT#D%q( z7vz5W`Dg86g|)KYOrtukUC3AIbuF1>=UuL~Pm94xWx)-HQsrh%H-k>`<0Y;zAFf0?+u_q!<#^M3wD&4`A{Zg~`TnihHVKgXnm z-M>{#md}aq_8he(;wg10e1aM}x0DtvfjZzV-0h}GQ?DtrCh^s)1yAk!0IgO%p&rV- z*MDj-Wr{)(RoxC-e@;BZMxb_7P``i@W@Ai+M8O_oMe0au>PCGPbi51}mqwY|Lj*F( zeMP-$hSx=asW0$4b!=|^_QZl|txdBgo8XHC)x8*6P^a(5Xw?_<7d8(4@`9UkNp128_#*`qKY;zD?9XKb!YZtOM336Mb6M zI9*#Ox87h!XkCmuyP_=v3r}txY;rIIetn+y-XD2p&Dv)Fo`%q>f ziuccQhc`#l$Qu?Vfp;9)%LF#v@IsbtduYyn?_sN}#cXIn+ zf}Uv(ZYQr%4|(0s;ZZ}CmTCJ1fbr>~#3L%Nikx*)#&3Ul&Vh6MK;~;XA-Hx+Xr}L$ zkh2b;MZGBS*A3fM=Pa2H(1+|}Z;wlF_|7j#*}uIklB$&%NwLze`A#-H;J?g-t@0-# zxdSH>SF^V4$BqgfC3)`cn6Sj8G~neG*W#&#uDbtPk6ew+qJzHcx0yYhp>CUZTu%>V zXj^*6mqWv^IX_TeDJU=+{jMRh*ul+2vEur-OY~BZ*ogtt-_87};KEuG>eB#T#T(~= z^AGA76ll~D`g1r&!Ml{&oL!9*l*FL)&)VpL~fwC;&+zpZ*sd&8y)`l=G1sz@IvK+rJHNrQZ((B!y^fR7_|1e8~>IX@gjRpETn)_6V#pF zFru~Y-X_6j!UaZmXm31rlw8ji z@i1pA#G)tSL8FZxIuEfuQ2K)%@42$QPAuvjCd9qI4!N4t34C)sE&VXV)ehIJrfy_= z1k?W=3~F1-g~q+;tLhO$QEkX;n=~S{k~v8COkzy`pPDvKXd&IL#)T$FsHA%%jIS+<*CGYv$RZx<$GElGWvV^O?J|KI48wb4}LG zgY?2#nhiAPO~Uv1Yff#4m;J}P%Nxz2ASvt>*HB$gW>D-n9 zx|rG``qErFQ$HE!nc)vKhTmH|Rz`chgN@ zdhTtVSt@eclpnZ!wM}xOo~TC(9ie)*M`%6Ql3^EgSiI|g{FmYqQ*5bQlveHD6wp{v zT^qN;akQgN>sQFrHr;$vQx;$UnE1Io|C=W{;?Kg8+p#<(TUu`nbPr7iw}~?Q$?dd~ zt;p zVp9eYNxQuvuUBz6-TY)EK$q1~c#H3iTkwAs@ng!kre3cGak`PENZ(R9%!U4aZ!FXN zq+HB=LRZP1?d11QX=dXlA&rl*89B{oPo?$0Rxz^+etn&Gbm1P=9`mWdip}|&jZ*@w zTKvXM*WTRZslL~6T16j|F-6m>`ItYj3Q_jObL7rDdXEI3DGZ4n1UUo!5^!Tk0x z+a*qiCPi!W6RyqAf9@L%q{wjRbCA#VLGv7IqlB>1eYcv?$nohZkAYP1- zXr|ELm1|X`dEEqY{GjvLevbD^GpDJ_p9(LubH)rY^JfwX&MVejO}ZCm3vML#4~kG4 z&Gj0H42AkPZbg9;-<6m%BHEiV(fj*2`C(foMKPxrdPS?6D9 zFygU~Q2JvpI|aJ14T2cYMOsKaHFm|jx~U8UKQ%vvyiFBE3GEMJt(dN%_3T)ud(g&? zosm5QTd817Zm+5z^+OQroPmE1CT>GbP!3`RI`R&f(_To;iW19(3pd8kwVV>1!fJB9 zRnabpLNja7fC9=2DZ&4i1<}5oEkuASCeJR|pL!y|=^M{3o755_Kq|fo96X-@gk1`v z8`cl=p^cHU2v})rG{A7cYrCq!l^auT^=Gdq(1`{#-)I7FIl0ZYt;ev&Ylw9lRW^(^ zDdC?rUl8B)9)=QwG)`j==}`V4+jI&vnd<_VZnJU5p53pVauRyM8qask8J+PAN(M}# zqCSjs%eRYDea_>Fw=p$xjwN9X;)T!j^{akL6!oo_{R#NooK)A{L!mpAtRL7Zz$75w zR$y_q`2E?v1IsJV*Ox1art}+DV4;C}KFfzBP(wn{>fA2h_tT4n=6+i%i7Sr3WN!lA zto$;aOTe;3UQs6d@-g-Aps>Cwn4$lr{A6Y;kFu zEVM`geROosUeLx;_8B#W)Or}6VOQeN5YBa#7vN$}toMDD0*DA~I}0~D+gK05?({+w z>~9>5L6=)lJ@owx5d}1CTX+Fk_zAQL5=8c}_ubYEvp5Z5XPB;m#%(Nl=$aIC8d>Y! zM4ek%@`TF}b~_GDMseDc+)^;j6^N<0Po?zT3R|@Tr33-Kq}9>j`n5EsQqU{)JLv3v zeX!3z`7Bi6+H5@mIPt}Lc>hrGTuFD^zA75LIYAp;R#byjWWmH!V51FhiukbjQBoGa z+#-SfeG|z{cOGCw;CE3WY||E4R|PXl(g7{KneF*gIWYe29MM*KU~&y^Ui@upsi^>FEZPd!z!suKwzT4(_vy z1v7cR={GwzV2TSLVOavoVLB>G*ORfP+Y>5ZfJK4dHl+QRNUXwDig#-cJ>Zj(A6hgF zT~SqI0f`OTrqXnBl1Xw8SllUJMP7RkjY(-1jpB5rw{XU>w+j0bmW8{ z74VORF1s~fOaf>>6pV9z@b?}i*62TTGW_F&I@rD?hz1Hzdw?$SOFOlFE4FhDl*z22zFzd&m>B)6sKb2HP@ul$ zYUwLJo`qtjrt)hg{hXgY3(PM9rVH6m+lfQ#4X!B^8l<^+-9nulhJ zn)vjZC4;PgX#|7>NNq&aPnp6k_$_xF3);)T@4yMxlkw_u>0tc;D(I5Lna#?bDhepk zB+)Z3iGjtXy~hQJYeZr`@PF-w#TEEiYk(?9FdO^d;@j`jH6Fc-2-*v7t`L9|x^Atn zZr?z^8#?0m6zy}$y{9vPH~`%`>T>QvgJ!Cji2Db2S-MRp z#lYmLSbjLqnaO8`kR0^rp*BxcLD_&UWS!H+7Fz3FeP2AwSC`oM3KNu&IOyM*G>tiO z@q9&Y(R5z!Dw+6#!DIMa5n=jtYbb2sRwLJ?yQoS&kW$d;d?BuP;0pU|B|UZ~)axAl z1|O90bzMm2qxd9G)7z~6R$4)OH0Lxp1PwMmkH37#K#80%G6u&QO&sp`Yijw2Oi+S9 z1j|bXP0&(5_jP;-aR9OX9N?;4XpNx}ITG*i5(n(uDbxm0Sm;U?%5mL{n8YH<7J^aS zH?~JtW)U=jn&w;wV$M(&P{Bi}wy%UK#qG#>Ne_3NS#p(_+6&;_CJ zBQkH89U1drcCHWz*B|Z)TJAhnxsGtjmcD2!!L8b^d%uan&D8bV)*@?SVcIrSG!pAF z-GFtIIrxMu#nW_!(++S0$Zh&JY;Cb_-2=9plrVDKP|>*=dS9g}6a<1K)>zfjFIWVN zfX4I0d{=%~0K7zmw3*g zuS_2!C+<#~Jz3Cp;Deh2fz{o0b#yz#3A4prt^sDjHl|t$%bmhAfA(b@XXWuB3Ued0 zcA&4Tuj~WdvzKFOP$(SG=kmiCAWZ0zVsdUrERGlpIg@pKyx6y_v5?n*I@N;H;V!-( zz~YiZJV{|hpj||s5H2yOF15*PUC8)c1e(hoxS}uYhy=B<92tnf!Dc=gNmqJt3y;3_ zNb8cLW6B@QvY^g_z3o#^RmRs!AUdsZAbN@%v{TgsZ&Zn5aVqa^YUy7w3Kz;$1A44q z-TZ?1I-^AQ)A}Qur25|p2l?JM=5_%CO(IR;MV2R`*i9o)`Z5FbNx~~d$m4%EJ@W4u zCAaFhu28xd1iH!th3eX#JaoZ`+>HTkqN%aJ;|Hqhyd&W!Z1iZ#+rf_lM`~MzK)99z z4&U-~ZCE-bWKyM@u8n6oPlzM5m-z$g+Ji@ln})z#Qn4kc7w=&Wfr}ET;fVpF@<0*P z@?_u8*}ywx^!lev1C0n7IavR?8t}7TB)fq_PmzK zi zPsJ3S^u2OcU5E7u^YK+5rONfv2YY!4FJn%fHiFYWD*xhPL0 z(L$ZMNi!8n1NR=2>YBnZuP_aNUSJqrQU~YJ&6ebi#ANr6rgKF1%h<;5_ub3EfQek|yH{%u(UcE?b zKJu)qQbpOfySuKe{4k~p9;P}qc*9bV-gIBHn5_G| z!MkIgCbL9w!5u~Dbp2JREm>^&SUfk{7?4+nlS)catVE}2-m1d%N85w*+fiC%G1jL1 zpLb&6GA&P-Pl`jw$mk7qEK70`l>}W{$3J_0CGtpqnb#bG8&DZ4Pgq5gC{x-GlM?xt zq*gSlMA@6~iNIqqY$Qy6pX(HXG`Wd@p+rC1>NoF%BG?sI^4a`IdNfeq&JSgXSMQfv zo047r{9yglj^k#KWj8ExV(Z0_)!VgHrZ6Vv z&#hi8{}{LeK8~%k|vIC`l9%gg~7!TZ@uj-gC#An9Jf69MlJmm(_o+!|l#4F!!%Wr;E zbm;Ngs=ZI0KT9{=dJE!e=%~b|B-uA$B57VkHgFg@tE6pDy`Fa_KoYs`c>#R z_NGmdNt#49g(Ape<+6WI4-f7eye+nVBi7gmaF31S-@P&v57j>MU%}(3=`|eU4i1|5 z73d4%f2F51?hW`AY^aJg4Lw8Y(-=Ap*7R{F>64lf*t6Zm%R6bxN~=WWy?S;%__#;n z)m;u&Or!?Bm^_>zK?Rpvl5@6v!8qHN|81W8M(Seccs2M3lCx)Aw4N(*jom~}2tS3J z^$$!T)!OiCTssPyW?!;vvW1!!QIIias&qUVZu*`ha`Zloixgdphk7a!V`-QhA2t5I zZ?LI}y(&HB$NJAz^}_MA1dqDX-GmXf&+kaDuLedS<|^gsqJL}Njt??d5U55heX?Zx z1~pPatNh!DtNg1)#u9knX~F?*K656KYlz|IGXf?i-UF~8RKnmo4^uHT3{;p*FGs86 z-=ok^|DhweJA<<_O3wxBRY&pew@jNXD4xCMdw#36-EFJ2B`Z{x(ff^Bnhjp3Q4g2aM-B8B+dKJV#WbnPOjjL`zFxGOUT!PxBp-r=ypHBN`c9pV_ z*1o$zo_*tI*+V5)x&Qhd#i@N4*KA!Pr_TlAZP%y-+nNVP#}_8+>9c5jU;58R$Jip* z1w?8!HnfG7BeD(No6hzzq}zpp1AOjCeELR>EL z-)(k}{?~PG1kgcuqc9@QuSm4saeybFW%rCIuu)&S%C z@gz3AjD&zwU%H_-U5MX28TK98;A zbMm8vr7&<%LHNH(tmDdwGoMj1FI0m(Sf7o6#?fYvs^rXOO(xvewXQ!R;-m>G#fR=M z0sO@&Pv8~c9pLOuSBHhZluLXi)y$#(O6haVI^yY6mWQv%|@FfVC!`)}o3H z!qQ%dj?Y$5+kNeRmJGn*h45LNx)t_BM+>T=fmv9hiQVL0pwb}~;6jU>xb)r57kV-z zEm@E63NY2-;U^e!w4CX_F6}s=7d5~LZLuD>yd?(duLC~qn}x@3WQ3EosCFK=u)>e8 zBEG-pp+WNod_dt65%krSEva2G@}p2&V#}vzXgJQz0}HLAfYLp1LNPK^v;e$LgQp;s z1zxl48>B(4^K^)9_T~>%JpQHrPsDL?iM{bsKs=I+rRnnP4}U}E>o7fOIwu~d(&zP? z&lhY-xC=t7w6arFs@jQ`C(T*hePh}p8;4Ah$zMrxQimJhuTsv(-eD!_E)*)%*uuE(X| zrOH<#h`b~@0)%=Qv0F2AENXfGB>P?cWTXE>12x!o04^pSqxZTrQqxK4ImfmUu>p=_ z@ub306jA8?hK@y1tn77Tkr5mUak?HaYEQ$-BJDYUX_rHqncpPCPpn)>hkBfk8bE3* zAf5UgJtv=J>`gypkSu1HtS|X~n`ec-*&ZbAGrx*<#=hYQ>9OwiY(jZ8pQ@>aVuP9#`xo zcxe`}lK!;h&B>YMztr2@h0@1iSkcZ#C73EQlgA*%E!rc4ZsCyA}Y-zQs& zllUoz{^=mYjS?awzw^u5&a~ z_P-YMZr-(sQsNb@*_4cDUby~^{s@JhNzP4t{O9Bj{}m3-9mRiqU}?-N?_e?B_$L`% z`rDWSu=9lzMjjX&qh*!JxMEi}3=+TX>Yh^R?GXxci`#+Kbd-Y9^+Ih*?^<}TE7urw z!6^qfRInp%UD#oh_Z-4V7aMnQON9BvFws=6-JJL|J|yzn^B%~p;< z#p@oJ_I?>Y!Ie&Mz*|pHud}>l8W&mij*CGFJNAkHqP$P{{k%kBWelO@|>@9m{> zH!X8Smk#gr?T`%j&L|zI_s6EREzJ~&Z9ubi{~0aDpbe_|=tsfA+1`kzBF{a0sg*(_8spExb5%v~;ve)`s)-*V5< zaXWm>E}#emwQOD`pXPhPp}8RauIrW%hvY3q$m*;AC#V8f{V;4b9ej!JI6hbzy1!LM zZ{`+{$2d^8WXgl1goD55BV)hWJYOYqCceUUozLeT$y=`pKSQ9aQ{lUuU3hF9wj*O)3;=fWM1u4zEpI+U#Qdfl=KZc z@r#@mS4#xHqcXb)9~JyzDz8yV-=TxyF%GOhuXb>2_a*-1ZEEHV;z~=zOpInmgeKIS#^CH?aM0RRC1|9AlsW?*D!U|?XFvukn; zkYW%5;$U~bct6(=AJ5>BcvruWph%De0}~MQGgvS)Fw9VJW(0|-0dZi6PrOs8pRr;Zi&K2CyJLten5(5@XkcImQp~^!!~zT!K+@bqh#e$?t{-F}Zv7w~Obih~ z@?eXHEyw{PK#Z`?!_zg$G052i;aWb14xqBceS4k(6@q<+P!07TNCNCLK?VgNYn!Re z9*~GU5F^w&`}+nshIl&pxW)&$x&s~Q8Wapt1qNIU9Y8w0J9~xzkOl=GLSsmfCopgz zY7Ya$kCWj5P+oM;u~Sk&S{OyGe*n<=A^t&(JYYXMJ^#o8lxF}bL>LHC6d&Lpgd8uO zKoz`jN9+Rf_)zrthDIDDs~EjNA+pfI?ORe{BVUGzcTC^z;XM z!Htm(tR#Cve32PY8r23DH#bIhu)Ipc3+)7;JU@yN!0>Zoe>&fN4?~oFolztr>00030|Cc^w4FCWD literal 17328 zcmX_m1ymftvNjSdSQ11M=a(0$Veaf=?O;#C#l!KDgNx>ioAq}y z8g3qLnveXPT-==8AGvsFRLz~;txe5oz8Ra^(s0qJ%F$?=o6ty_S-Za6**o_ib4W-V zV*eFLOsM}OtHl3DdLo}>Vz)3$tM4ORu)--i)r~d1zK6W}D1qv7ofdp?Aj?gQnu;^_ z`48R~i>`w`@f0g+DXo;!qOcDf&1Wdhfhfp9Z(;=k-pF`{3cO~bC6kfRn818Xdxbf8 zdtY8L4Q_(3KA)c7|lQ=5a>+o68K(-x2#gsG=gw)CU`am!A=1KMS4C|K!Y$wYD() zy=Pg*XmHJ=UYLpVHqw1D8bwu%(cu09th@j|*D2LciBXpJ%br3}qD}JE<9|D1>wKn( z=|d#}S2PAYN-N&)@@Q{z`sY0ygtqGfs{}6Ra8*UHGg^$7oAj)oPwCU7mf4RU#Ab5l zbd{(JJQZ8aw+Z97MSDK|?f)kFo5Rn_$ti$YgYG>-+3AutB%^S~P^n^fx-c?XG7u-x zLCWOH4cQl;#QV*jF)(}UiF+84!tKpHo4cD;kIvIt#=Y`56{}n4Tfj@cX}&RcNKDxQ zk}HsSQ-CbitETFe%e8Bi7Lrjw8K;^?n0@@Bgf>qn<~n$!Igjv>7suxG-`kS&&6iVJ ztCAl~#ZD(~+rA8`ohqs-;=T|Gx;S|2T+GQAehg5c`9k#fbJ|3D@6FvF$ow+ZRKX)=1O`BcpSM*7k4fsK|a##>P~9IN@#ZV(^sHzzI*#zF*CZyOj-zZEnRg zmz{KO+5{XJsv#W9#Pl@4NfJ z^Vb~T3i6yGG7l?Y&cxhFk9Tkn2~osoCwSFAqHKca<0N1wHH#Y5btecEN&^;{e$^z* zaDNzFh+ebrC=LqEL7WE8&)TAo>w5e<-FS*~NH4gv=_+iWsdpgo8#3HBz`VK7j`q7U zncolCWuVYwsZ8!k;6M@uM?8gI7`X7eX?6*a>OQ?sqw~5F# zAuvy$f3}7>p_g+@3m3V#LBOYX00fNeuu{5bC}sEgu3Qna5$V%Y;CB=7D!b{eo9#$h zTOYv3*BYtqHrF8n`5CiqGDPf^V{=1dU=qr`pJ!!JyFt8JG2l;gW9@zSv3cVRzN+uF zxVmU_=OS5iQ2Le&F2^Od=%vHSPe?*{Kj5NU4RB5HJ$7q5DB+@26vXJY&@`B3sOps| znyX+I|0eLO(R2qGj89xSu)H=L?Dc!kvsdqpZ>a-?pU(OWa1Am;@*0lbkCA>t4<+@Z zKrs2HBUCICB0wPn7Q4NZVNaO5TcAh!q`0+Bqr_*7UaEcln(b7Qv2$$-_cQK@4fR~K ztC>Cg-het5?=zRU6iFTfZG)=g`6SfbcLt@U=dXxdK4P{DD^KR;{;5>s6BP~)7ysC* z+1FEZ<}vm#zQE$#HILRvS1||@c#D_epH$R8=BBjj>+Fdj?STE`C0|CWQXY{>k80(Z z+q584Q_y~OMGC$DQ!Sc|psdytt$54YJQ+ppQ+RrfVZuliai9$kH2rrez`5qM)^s5x z@>zLTcgs$Bu~+o%dORZUD{JK``BS)pX+|FNEw=YqsX-VW#a62fu%& z%nf6a>yBLZ%~TVR`*MjN{#%=-QmeQ!>G>~r4ztMV3buQu%j7|p#^-Q7027Z&qZYZ; z5xso343&j7Y4QCBTza?seB(U7dfv#H!25;iumMjPbOExak8Cx}cV;@|&G2B=IojfnFnr(zu8brmJwh z#GB5t)t^wZsWBcJ&WV)Hk*BQ{4iPQdndzllz`WLn9DEnYZsvNVcDx&7YP`|U55^oo zG;m6ck{DobM|C39>w)mb*dFhhnNM#;J<|A}=8^V3Q&kGX+Bi(v5~LfS zhEW5*f7%Ji2)W`Y=+^^&E+Lg!XTE*U(>JDR_gC#AvSai~>tmH$PXOy1pcwSw$Sn#ymt*ktYk zPAb#>ib_!L;{QIf(QUr+!C@w=UMGshZ-R{hfKHV&)f>hon>j!lOFW&j$g#OJwo1my&t0Y3Fq# zc*oNh!Wy;L))%+G3!! z$e$Ling@DEMfw$*2jY50);=caZ2nDrnw5JvRaD8E*Omk88<6K0DSyfQI&7n#wW|Hv zhf!_0$E2OUNVdcIR^{)w2}?u>pMCXSQ&Bqx^{5KR8}u9E^!%cB%d+uz3*FrpRxS|^ zNunR8bI$_zGO)c1TO7|P)KO%K;eDX>Ph~Vk9aM@a$$nlk8|c(-!TZkDERPhoVouql zbKR8erHuJNTo^dlUCw8lGEFH4c>_+r^nPMFB)Eb=>WF1YS6=k9+D32 zSZ+&fpOVm(o+1vFd|Go03{HwC_ku?*z8h*UMf-_(hoP?CaJ0r?NCgO9kSQRmoq}Z^iaqvM z+3_1Xm{*xPsC&QGhB-!_+INo;z1_0K5NTeNs{^t=+4~qG_(~+op0Q(cSN9B_FM0VJ zaC+Q#cR%JrL|vxai_r9_~@zf(63ccuB(9=j z>ur@$c299cR)Fbjzs>yw14x^=(aJ)z-0gm9l+UbAZ_-}2{>eBD>uJ44?sVLPu+PMFiWPkrXKxAF-f!`me6< zg?#r=sn_>P%NV*NkN}L@k#bi^FaZ5poH9RShaDVI7L0#^A*k0qZ*a7g3gfsJ<-R69 zqc}*FXK$}X>buv&k3n15eA^|;(upzlq|0nR9=xPK<1$G6piY;+Yc># z^n`B|!ZzvCUH5|Unv~|QkhA9IZ!VovF=#ZMWG^O*qd~U66W_M1R(arMc3Qd*Jgv7i zpk5tNKyv*yKn`gH8Esn;NA-jKLVLha;d2ykhiOH{yfHz+yhuk5ROjS|LG9llhYjKQ8j0`wL;n-CtslZ z(#dbi&rh@WXGixV9UX)?3+;x_$Nz=OUo4($>HrUJC)7!+Uie%8h22CYxe`Qfx30&Q z>+=2fy_Rv0c~H&lmcV#y$c0a-sk_T&`oem17Tf2l)E?jqo}+vGWVf_^>j%NsUo^g7 zbGxa|Qm5T3OV+eMhe0x^YCz%iuO;2RS)krb<8+S$*OxHS>~!>+nOGc z-_~ijchKpKuJzsFRGU&9JhasB#6rvZ(Zg;XLHBGez20``&TnV$SYAH&lI z&i>&0yrywiul^mdwo`Cdz51sZ3};;0SEV|=X6u5aoLnoFE&vz&51xDyK(P2DF9FH5 zqOv*oRAHNCNfrj+^R4;pJ~L5!&flq}#$nGk1@Cpu=QzI>^4oRR)~R1S1ALtEPGBe>x$NWI2b+ovFi{I?BvaG+hVlLYfqHRi_k7(O+%;khz#Wdit& zU>H{Ed#pMxz4!azyjCD1)kgD*oR;7QJuU0waEQ> z8TY}6j4Mevxm$YO9qK*L^%kKR%K4;r!N~mTBm|E59i|s_eU?~B9RODM@@bEOFA(Sk zJq2|;zdnf+EyooB-od0LV>oZnPP9W~fGz1)&;>G|xlL20Cmp7FCJ+xnbLwf@MgizN zHqI6XZce8v3aux(-F>EmwXQNqPgiGXQe|*z1o%Ap>VNdnw0oT37?5;uy@C#)Nu{DK zNgC6^KGDvC+1*VQb$zqw;k3}oUVD=AL3%U{QJK@#^vQopf>2lS%2GBl3ku_qbn>2G zAkqf=@uklXORvEXgDS3n;(&RWc-ur1%<^|RzXGBnSgHhAe;-G3cZ)+58>6P`cO}$0 zOF4_hAV(8~PO>Z1a}o{1TGV$bWh^D0d7GTCfVPu<`KH_X?`WJggzOmub43ps+2(Tb!gjT|g~UG%FMMdoMv)xmk~ zd{dif_~t<15LnwQeAEzXGk+&KWLY8SE46Hn{xFjCj$VV-J0V?ZL;RuAtIPqUtqV#Q z*uyK))b#LlD~j>#`F+-XZb3+&^-xmpGle?-PDrEf^V-1Wdqck3qT_%rEnXFsfpw~U ze1`pm>FBl*_QwpDVSu^V;(eGNR(F{2XX2#P18w|$Pt@U3oz!sDUd7?EfUPW6W%2^P zl7qmQ5G~3N6gW6U34}3+*Eoy&8IVg!nq2VPqmnMg&u>c9JF9`rZv!nPR;l_4c}p^X zX`>SHu;-gD6#S;uEPchud_}IIzob>}G>f0wF_c(T0;etJNaxxdQY_yzQu}d))TQUo zUsudX-4TGunRxDu1Q1d-x@^3?z6q6B;abZvTdts4QTojlEMeB}t8w_-y_xMiEVHYaJ-)=5gT79yoTX@*XS zCzGF@wrkfYWHlm;19_VFm0=-8OsBVuh1vZeHS;}YYQ!Eo41<4TeagSR0U2>leu4iJ zI#V8zJq@UKIcl^>XBdsQZPs&g6m{V6)rs>?jh72DWanFXn%!pX-thu?Rc#zx0S|Yc zZe8?*y@BnE9iew7$6PHf?TgO%HLZH~@Ei6`PETK5MY+q=-VO@Cg8h3p@b@uO{E}V`_mtp0lCQzmG=@pQ^_pMyq5x>PV@hMhaPk!(6V&yq$#*qVI>PCJtc0AZ+Ic} zZG=+{t5@ASSKdu=+-MAR8U=K<>FuZ*VnImw+^tD*~_c@S>nasG7!5F95bpXZ&;Z z*l4FWt?G=^l&zKy(=>;fLCMkiAIv1^Xa+%B4Y%YkwbwJ2tV$DaTtkql7-wNtd8a`y)qU z8E4{#uQ`Yuhw*(?58-slQtX)nPxT#3jQHlNp9+6nPtnP=#y$3`8Aj6dV5fDsT0kpEoT|w{^4wOE7~^xZLg&Yg|LCI-PMXHIM@uo8C=sN$d3Xf3YCPoWG^ z2YJ`<6$~Z&p=BP$s2h6nrFDkF(-05LPm6{{ zO~w?Pa>K?HRuK zKCTz;uq%&+5Fg}w?w$W4sYH<$XPf&zOaa>W3I?rm_#KPFtl{63LVR{RV`A3{Q7E=X z7H?Q}i9KG<$3jFyu`5{MZQmg*#Bb?bFYgMxJq1@P)^HkEPyG zH{wwAvhwUF!q8{yI%8HE(GKjmcv{*o$-3KgDvo(33$=vKKE<7%zoh3u9N;hO1`B`9 z4)14~JbIs4?vW`fJ?9YH3vJ`7HqZ{DFU{?0#b>>=NC?zR4>M{n7qyK>L@8X10t* z0XJ{**8Gi*^$PY?fMfdp_P%9tTiyJA(jzX(Lgz+kZXSy5-VMo82=_53q5xK_hCb%T z#aJ2J85&u64i8i{WM{TG=6hVGQIRQ!?@B9O`^>4lX&6!B4iL@p zLfdlAT60oEDSLBN8Y3xowWADq#E>MW*%x$u=as0a3q^X67LJuZT(5{5lM{QH1(K>* zGL85QMgfz$M-{lVrf!ID9*1sO*PVDf)n`YYrc++xTQ z{1h;Db6v|hHBqK2&puPvErkgmG!?UZ`Zbz85>+3%c!s69QDH z&EMZ4JBOGuxJ0CGxVi0o$4ZY=G8eMF&%*UkuY-NQy8kNex9hrdo)w)T0G{zNTCGfp zhGnh}rkX+1Kj;svUF8!*ZrzHksxW_xQQ$0M@6oU|H4<$#id|nCHjaNgKQjC43jG_m z4L!lz-jD2G990SB9_=oKjJ!TeuK5?lsRZy;u3a|*DxiTI#lVX;zD|cNgv_NSUeXo9Y6cM!jQH7Qxv7V%_CTIL2;ATi z|M~!7xM~M(#sCpo-3akXV847t^1KVa%{i+RbFCj=68p?xBEY3B&108W!h2eJ1H>BbG1-o$<+Va;S(JyQj)EMj4JB{~QE@8KDW5)ll9~dE~f8>^Q zA_XoVBODm-`m>yFPVe`Dp5_=oLJZ1osLZ8s<=BVe>Dg@KUFV-o(|<=Lnf6tn_u@92 zot0cqq-(xx&H{bDj%7m^@bMG3)%eaZ!9Uq(C9fXF!3|W0+n*yFGZB}mwhaqQCd3gj z7dZwi@*{wbe*2AS0U4~0Br^#s5diE>%0r!F*A~+w()=&bI0PB)66Zk$h$4_|otTE^ zT?qfcfb{CX2=RbT$_^@l`wqM4n&db4?&yF|pDzAIMHa}?P6GJTBr^loXLlXqsAsS! z-hh3!gq!--#O5yv0MQPhOR-2Iqc{xbl?53x{{S5pdD+XG*LQ^CCDz``B;WGaWlA~j z7{^H?oSjA$3B4)JI64B9Ddk9Nyi@`3 zV<~N-2>Ud<@C)E5?3_=ASM^8dA2Jsjpl7U=1!s^XMDX3ZX{;5KTKS(@t)#3iTt$4q zSKn&}lMH7JyQna}Bi#%=A}NTku6HmQZEmU>&Rer0cO9m0n{h^^3=-AY_q2V&pU9mr zwJ>Qg&G}6{aMDwQyNdRt#;G+ubpA@?QUH5vjR~~u!dqGB!2{`FaJ#6e7%D6%4wyN(G-5M$c=Qma zxyx6#fCiVsK)6b|3w|acP4*HJ=lpXd{7w-)d{y#aRKEaG)3Wgy-RH44`-9~^*V8r45T&FR$mzllD8|+&**4_Lnfj_V(w?r;ql3MPeoUNeiGh=j z@yMz1(5}oTXHX!LKL=U;03ZF5KM)ufVP_>fkeZ}ozf(kzMPn7<%8uB5t1X_9UKjA- zmh|yLo6zdj+)Mb1JWL#$H;*#;Izm>vh&3fSEZI2jkH+be$QSnxj43|b+x>~)nc77X zui{uWs&Y6B*TnXH^{)bTV;iJSD{c>sdOAh$!mH=sAvT5PT^}-t(wQjQt1CKl#?loN z)!F|pQ9lHzC-o7b2BnGCPF*%BhYRON;~3_$wuX8)&TfqB zW<7jPVEm(c8-L|BNqkqMXSE1^wOuM?^}#&h-#)n_s;JCdacfv?nK&Qz!7j?1vlAoSChz_Oje`V?iQaj`9A+2z%6 z_5v~m)cbPd3wSYdYg1#L5btdHrbcg^fyj3|p({%1HRug=;*NL(xJL568*st4u?Fu@ zr=(`>Yz_x;RAIX6e-9dG$v3RI1Q6=JijT6xN;Lt{2xwN_=ja7Z$7PfgS&gK_{X8~+E?66ZwZr+2T%+Eo)FYdOMG^U-FsX1wcP(?+ zDlacfuQWSA?*sRccl_~jl=Z;vgCtF%C4MZ2IjSK0iT$oMub4|#H1Nl~N=^B3^ESZN$N*nrWqf_D%oO>gkZEqEOP9%d< z#2I}EY#kJ7LS!9Ggk>CJm%iVV>FpQTtB{u2f6veV*&u1*Fr`-W<5X1$Jg!5Y`>w3s z*!al|Pj+Nat7>-8g0KbCoVKP*iG*}4a)0=aZ>0fk<}lEJ4GoU!aW`VQeJ6Hfo3^Pc zrN#1S`2g_||n)1|NsSKgd{_kWI(&A#JY94||7(CUoW6Y#+>4m*X7rD9zqN$|ZS3cI< zzGxn1_nNit-~9WB9x@Q+xVd~ z9w{_)a#CH7V+)h?Ik&~o5&IgdL1!wZvtm=ldUI24p4AqTtPi>{$>E|{)y&sA`~Q$zfqZFAR-*NeMdDLp9j0$T~6_uj0hrZuoD3XamgOy ztROMBn#+IvG`$* zJL9BKX-`kDw`eWD^@iyS5rMWI9le}8MRXLR{|SOo8D8Y#Kg-NGoY5A z5qBnV>$WN>VU3GgB`(TQwJf7NW}fv$pU*`;WcevVYZRDBolIm-`xIK){UHq$y0=|+ zC)7KKod~yHbcWMz zQ&2_cGxRG8y%&hDmRM=tapcP}F>~XPgOMiG9%Qk6m)o~z%Fi@&%V(r3@LjR_Aj&yz z{U?Vm^U&iR>8AYdG2|WA@e!kwWCz~)$Z-O4#!O@9{e6C*vbe?jwnh0HQ)CKV*IOrU?_M3I ztvM`~Td2)TP-ovpG2c#Lz_j)iA4e`)>-(=kbj!m^Rg6w1MnA)n^l0BPqtDsSAb4Js z5NS+KYPom?31SpZz~co-?ADyT5brH?I9Uf3#_0hs9-gyiyxa6)$NO$XuV4XMN7J$vNG3>N0;N`|9?z6u(t* z-A*b*Zk}nMDff;s;1pgFIMNWvFilQ%Zp^*L0*tMzzS562`(I-}DkeDQcbqRuAPMg{ywLVEDj6 z=nrR(+)EIEP450jWG%X2@`$lU4Vv~W#X3h#zXxrp(7ca?v-mKLflTS+kh#hXo>-}E zb70vK>q!!Cja>egq?pES;+UDb^x;>H+k_WI;6F+{hgpl!l7U?#MAfC8r9pSK8}Ap6 z*{u6Cm&PK6&ZDB3hFzl_osT|AjZJg)`cVzX%b4kM7O0ZxHu1}#O|!M*puwc1PW|c_ z@#1iEtom}4@_7t>YSXEf5n&BLqv}gjqsBz7Wn)+nN7jmaQ{hvhP>BVK@l2_$qjclq zw6(<8Rzg$&TXC-N=<5lW1j-Y_d~{oRFCi$;s%S95#j(^YrSVOWP9>7S##2p`h|)T9 zDf~e4K@V3&3xbIqp)ruwLHoxu-)2g}{BItm7sJ?#Q@m9Fc7xb}uBAxXqN;(A?Y0vn z{WvhYsgObXsj40ySc&-C_>;@YWMd)(2-D^}nI7`Rod`vs`dnGwiv!@4AtHtEyWcQ} z#N6#bOqAe&qd~-^=)-n8_=Kn1!Q*EtSR)y1f4tc4N^-`R^+5nwiT`-BzB?DXCOGQg=M#$mf$*k;P@T*qF(gFcV*31l1txJVI9=%?o<0YHG$G=oQ}}O%Xv3jqq%$M ze#1PmO5#5!-m_x6+St*YL#}G%e|tD+6BM{<-!%sl{TSEVi-%zeAO8_Kl>`u+)oe-| z9*iKqj{c||bUUiE81`5yfRG?^#zHDxRSx(d#I@%AG0PS;vf=$#2B#5l@bjkJr0;KO zAKA-`AbS0q!gA{TftGqUpvzp$Z3jpUntc!kVqSrgm6j;C_YWWcgi{c$&vyek-`WG7 z+v(xHBxk$)yOaAQgopwRcwCp#c#+i>Lb?mAH71N|sRB{++VyJqS=srtbxh^T314Be zG99E>#964thcD-k7ysGx@k^?j^EDSKUM|}_)c&zRN3L<=4;mnu+i^&wj?5lW zeXrqHjb4rkgvY%mUjbCJ}`GI`!_MDlHRQwAIGgXrY#|W^SW^f0C(aN-VmQA?tldR zaSS6sg_aS&j{C&rUwPNdzbIiw>pw1>0)#q!KkmtXumnKFvxQfE-BhS9#(^-whM{SyVF?-{kNqqm;AX1!XF6% z7^2py{vLovsBuROr(+FMx+fH09IUDGz zkdf*6*|-^s8Pm_-Hh~Ix2WcBQ9?q^bKdCkogaT;cPKWl|H;^LedR63|pdPHfDiQ`! zgn3cwR^0W5UPSC}Kx(0PsSVVu`fW{-F+ZSw0I*pC88CX1(tEN01h8zh#f!94^Adp_P%$|x7IJk1j=YW z58ck&xuA4nS+2IJIixJ9k9{FHJr&QB=q93h_2^JU#C3L4>or!;N&Y_$Z4`&55fgn& zC?D}w)l^|I*pPBLIWxvC;4+=hYtw9F5+s|BvX6d$Xt?scuqQ%QAqO9JZok=hYr9;K&bh$>c>dsjYROq0)8Cj4a&^HPXUd2_c+kpev*QBs zf0NnVepm-P7(}l4NKtsBY`DSh>03x6CZ@9~wF?Uymz!saH3T+>Eagx}#!U9X8BES( zp8QO4jlJ|iiwkEFQfm!iL-E<>oJ=fm&+p-A-|pJUs{W%aGEt*TJR z9K1g==e=lfKQB=#tF6YgP5^FcL%j^)8|etcNN$#un)P%ZF^)19oE_<0J`_ZBLY6r z;aJ?Y%Rvn)ti&f&)lNNSM314Bgnx^K(~LPb4j4MswqFO0&a?2szn2W^ zS$ItLZ=djSaO|TA&kdI_kF=1?6OStB^41&&f9M$BlKUj;?sB`Sk=f4j?w^9!_p~Dd zyZD^x@@UXF1=N@V2sC>1H?#zdXNw|*#88a@4Is7nx1S-k3;kMmYy%&mzhshlN!?oq~MLB z3JG9_DR?rQl*x>nV<smI;Z@~#+Gd}W7@mnjX8b4O8JC@-2(`ugwP#L63e9;L>k*A>BG?TO_ z3-BA7+h{B=e3enQj3&WDHuLvd?=AYGd6l6iNkJ9?FcP|Ag@E!%f`45<8<|Dit_Npb z9`ZU;(2EEA7AQe|d*HH0VU1L)vQ50an{pduK|85DNtq-u`Qv_J~^3NEG@SxY;K;E)WYA$)$$9uANNk=JNj2F6r6!sHQ5AJ7IF1 zP?A14q2lm~NNzE;qU;}Do~x#PDULv=yM?V?0agwr|Ne65sKOtETnO=iv5ZRZ$VT4Z z&whRf3#Z>3Wrj4c9$)d_N7&iu54`F%7#QzET6z~IkDh9Tq2i*W4^i3s!}4=s_h&U) zU;6FAorS1u&+bNCBSr#MR=?56^^g7u^1w{rPJFMoV->tsV}W3!^gs3om{|@g_uEd% zDtmzw(kBW=iT=TbMBz~@)<*5>)l%jf--{I5umnRP);uREE?Ibi| z8Oj-I5g<-Iz{eNi70O=|=@oiY6wKjUS8EdwZ!(@4 zO+#L%>`9y&_aYWtZ@p%*^TItDOW79coTNH4y~BS{4=v#o00mu^A&*3u*h$3yXB%`k0 zsc{kPw6y+}-Ffsg#}owgfR!&#jCXvO!L?h{p>gfvdC-o3-2>|!DtqFvs~MzeeMS|2 z)hu8tOuWYTh`&twxIimzW#a7dq~V<-#jj!OPnDjyf;8vc7Xh%3agbt)e{&gZ_Nf1~ zEbf(0X!Or<2<3=VEAV>aE?Ng=ta3*d@@%_4d)isy*&em4ZR-V}L2VK_Qa`#e0BV9r(ZQh zE9!Z)ZyguL%w}_~gcH>9+cG7XT!@ef+Kd9Ru+7XnKwq{SYf?i6b~~9%GG5%tVVF+X zf89wXP#D;lS5v$v(EW-)8N_Tkt*VR8Zz`?(xkp+b05#yI$*bESKx0bs_7e5E-A|-#ibcvwaAEzzqM zCpH@?Vpmv=mA2Bl;iE>mXK@{ufSSm(YraCFoxEU3{Jr5%!)u~8XfxYT zIDSR_7CqXkp~o)^Hv3p2J_4-?ywM*)H{D53Ll_E*wVX$I2~kg5xv%(^p5xNmW~spf zmc0p@iA0O3qV~P@W8qJ^mh-w!W48wcFJS%auI2bi!TmF-+czo5PL_LrY>b&?%XAjX zMnB4BBX7&hezU%?=zcF{mJ&Mn%Xs5h1UWPJ2g>Wo0!)l^Txc%a4>hEuR0{Sb^FEX) zec$6M5$2MUbSzxPj_us&xM0Fh)hIJ)a^7$agHVcL?(RWVcv~j&D zPt|sZHM4b0@Sx*c-ZZ~;{a7B|K38aaS+A!RF<#!T2w@s=gDq+)hn}C;t^s}9%|5+zO@WT@8_y1ThwXMzdi4Wp$zYoqKiBcJljI01jul@0vEfu7Y26gUcN z_5QcTwxyO zsl)pzf;0gnT0GDZ;18bnJlCPApb=Q7u8}N^7O?Lnwf(?oKJMwK%iR}*nz3Ig1S2G_ zB+zpj5JwTX_;gO@XH3DZy<5_f(&?f*a`LfO+&&{NwDo3#BA-AX#J&B(T>~gzaq5gd&d&9p-HLx`7VZle?(fg(4Yd9Sjhvh-#6Mss zXLq`o{-4pi0IH$eY|mi3#MJRdh%f(m9sj_GgghQk``G{6_VB9{2WHuJJ9>hSiD*G> z6)Hw%k`-yP8?|mJC0-WCA1A4QW)o_pb-JkjuUP|ktuwczcu+ghDG|fCciXA*t$D{! zYN@R0pPzPCH{4f1AeUZ0%?=fqz{4WgMU4H~z=9q;?&$XHFEa1DR((y*J_4`jixFpk$Pvh~Zmyg8xw}cx^g*v~!&clqz~L`2Nr4+;_dsY5 zut847%i-AIKa?fwulT;uWPaTcRp=u7o5W8xJBKCRNuOkh$94`;k|ZALah&#vYvYpg zD~-ffPe+++1xDLSR~nnGiNRd12qfW4+}L$Dqhosolvjx|Q|J-{)MUZl)_U6m z_p4i_{qp3D0(K*7`c(W+$nndkNj{IDYGd>^K@Ir)wKDM9yw|GUFH(WmIslhqChAB{ zg7AAZwJ9oi(PsBj6eScnOs$%U<~@lv`v{{d0Y#m!V7=yVH}Qdg3+i0amgTdbEWYp! zq55U~EhAJJO`7G5q5aa!p-4edyHSp(j-`eQ%xhbsAu4xX(Q*scvHnc&P(M^{`aM=< zu0n)e)(9sfj+H;8$jJh;&0R=BV21VAVKX`Jn4bY8%zaceV}S3{nAHa`OQXf=i7BWC z*>o(jKzaXa7Y(DQx5+OU!mq}VL~FZQufzS?O!WSo7}tkM_o$q zo&Ccy7=*+*fDh^nu+Ou? zXiwsuS!@gRbSJExZA=T#bdgWnvw=<_?9E)(rQ*R))}2|EA{Zx*TQIhyHsvY@*4}7~ z7+!F+Bd$fOSo@zkXf2-oZYj%do%*^3_`2?pv4+ie#BYHd`1Nh&HwFl#lXr!~YGG%r z#}Z0*%6gAC?VNcj@aHt;Zi{~6O?Cy8tt&|28L6F#F38hkuwdk3+STCZ{97KULvgXkHs!sJuNTbv73m#{w@c1%NZ#ejpCbHqNy#>Kfho;z_UsW5DE{LwLz3?Sy)HI{BrMuDF7>=LPzE4%e7Z_s=$5IYOQxk{C8Jo2K zgB9_+hbX;OsqTs4NK~V-^oc4re@atydyvX+QhIpAqYphr*RN6f`;jO9l1BO5g4Mxf z^+`V|dan<<*fl;*|DoR4gMNu0n+|?l0oF%uiu>;X00960cmZ@$J4+l<6h2ptt|mTq zH#Q<zxrFC|4^Bt+cSUun`LdL527atZYGD}0Rg`=%8p~mz_}lDzwg}hOp>5+B09R*n*&e+<`o?6NWW-%zLt)!W|*KFSSuX~ zqQjJllc)6n(xX~)gUQ8Q;~uaNa+@Y~(nmrjqdm>OYil)^dKpJ)L5rHpJ8D*%a$suOe@T`g?>P>cv<-g2r3KJuk|qzR2D~WM5hCt6~6fb z3$>U)gBRi}nWnYoZnK?UmcR4q!5( zb<0TKca>}sFno|jy6mdAbJ>ETv6{pg{#qhFrO9bgOAEN$pIRHq0GlJc z?TSxS#?^4!-%K3H7KXH4l#mw|600960RpAq* diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 9fd40747f1edef381bd3096c5a10e560e7e59c6c..42e2118e0ac13b4f6b3a53b409c8e88440610674 100755 GIT binary patch delta 3328 zcmV+b4gd1b8nYUZQ-2UU00000003nR00000007+r00000001)$00000004La>{?rI zTuBigb6xH?fq0xCMI_>)Idk)P#lx9+Cdo)+&t@iTuQakOC!Tl>&P3Sbtb|s)@Pzme zyz;UVPdxB|5b!5>LI@#&gwTS3<+c#&tLo~mnj9~7;`MH%Ie*gR)c19Fb#--jb@hoK zJ$m%$1Eo^wzw|Epy+&pKp|Ztq;e%&o4Sbl^?3=V^PxGUyr5j77(!Z(x;&0}Vm~Ix+%f)o7m|l5|9=45dKSw_K2l@Z) z_?1darKR_n4i-dd>AmES)#bInlg~*a57F~}`VqHXbu2u0tL7+V)3h2Z`_m#io1@=H zwWq`G$kjz()3pb?6UV}g_8ZnF^gfIfPzk0_H@lBD?0;xOR48#nPkj&#JKYW1(ylRv zGwluLX|7K6?cp_7oyXH#zo{e}C4+3oK{CmMTy2jkuBx(`V@1KLS0Lmdj@5LP9Ka<0 z*`GQOzzq+MXWC2jN}3*~7S$FGksxrE6;i^|{67zlE<9L);r?MD7va7&&!rYz`+8EksGE-4*opBge zXB>vr8HZtY#$i~UaTr!-EFL6v=%GW$0V#}PGl`8P){|IEVl|0b5@o_+CCu)OWrAU& zV1JolSSVN~81@OSu&R(}gPPL8%J1RoNZy04yf?$UJ%U4~I965Q23kxl(IIV%*euzx6CVNtxoqIiWx@d}IL6&7Wbz3E+`J_1mX z*Nl7V1$^%$zF(Nm7~doquq!WG^&rndKuAj=AAUT zM=MIDYLv1lRbL2uAqhovy&4u5i~ zHV(s~{M$io>>U&^!17=fO;)vH*-9v@Vt?*<6j>#SyxWB}6>6zMJv28|Bb7EoX+2SDi>cK@ z>4h&#EJxmCi+BV7hj;^#1G2<17SAiX>*hDH%f+;`NLEZ0KB5pmu$Bi=DCfc+ z!rVux0LSsSclQq`cV_}K533&5Jgj@z@UZFOvciwSLep`;vFCte*8#`A1AmU42ON73 zICdX!?0-I=MO?kbVTX1XeL=vc$39E>EnLT+1|U$*C;1KulJAfp`3?z^?~ow*4hiR< zfx^JIJM|1%E*8(zgMl&BRYWL%>lg)>JhAEtcDc9`W)P^4II zjU@6HDFgw?cwm@5=mMcY@qeGfRT7wWYk_^Uhv_7@oXYSXAKpr+MZl5{XbJS|BSTQ6 z7h2$u6lf9tuTEts7%3p$7yOL~3^klT3PTnH7#0jfarI_5$pa&IM~PoGa+~G2)li9N zU9NM0SoiW(ENY~UUFvB!By*qpsp>2K~JLKUIniIAvxC?qPL3yF$HL!#p8kf?Y-fC`63JRJ=e4=Tes z(?VNkTi~2=fpgXc&VQK~IA>q_FCh*PNVH+j9F1hWfJVYzKqILyppp0&(6}5F(6~Sp z(70q2(72U=PHRrK*4#L7U-8vs%cW+r=Mt7p2dw#&w%2>&9A2Bl99N>g>fBbT%2sjr z5-0$H2*KZDEWw{)l!&(%CD4Sw+JMk&OWGmIq`jm}+I7st>wgXOz<3--_yDAsqZg&} zz{$s`6}NAqotbmlA{2zv1R_E{bgK-FkonkC5*Jp;ay~_gjYCBi;+cnX4!ANXKy*kS zjv%5#e3Oc`Bm`MV-m;L~2`I@EoDGED_;Z*Ri7T{S_{yd*e18K(|JZpdBcX;2g*bVl zCy;uZf=Vnvl7FtPk+?&~c;z0sq7VjAlI~zS2DmgG5)9K3!Y~~$4Ab$$Fda?|WgEdq z@>K%eVn{=Lw*X-G(Z>$4NRb$%NbFH0=D=V0SOOg)OB<2X2xRmvtww9A0XaEl*%Z}% zQPV?i2>qm_=8NVU&XrbuWuD_?0Ot`wE!V_A*=(M(h=1%DSVb)1AwPUA50SFC&wuD$$xB1zN9q0b8QSR|AnpgHCqjVNuT^@wi z&aW7h|9{f9sn`-{!B1^9Q>&Dp61-~aowki>a_W9As?ATxA$qsTU$@>NInA~M8)Tdk z`In8QxslIdq~Em`oUdx9?N`)K^nnfxHT>#*>Jqnq9+H05n19b&aBNFphCaCpc;~;N zHcI4wus~U^=0MG$+{mT;2UdZ-xaP8@u*M1K0bBe#^(th*bTi_<^&$gtl4PYq#NJ(+-9O3}gO4XhO zoUHA4R&`%(fo*OY)tji`Be|PX+<5fKzpfI!^B>uMOu;#9bf@jtwjVbOUoiD@BcFo> zUw<*`a{K|HVn3(O9IBP7xe^ClH8&;N2i`eOTqXR>o!mz2vx1YWISamT`*9<6C%RiM zrv!hrs$HiS1qSL7+`w)OTXc)~>cf{w-k2QjA3WGA-|Y0uJ4Z+Rd(-=q>8$+9&f#=_ zx>w$rm9_SjTIK5V<=Nr!^zP1VvRi(*bANC=DZjEmd%1kH|E)>6RxQsSl<)7%?grw) zz4C15aBngzUuj)EU0;`LmyRyIRVSCTOS_j&?&orqim!f}#zoe&oatpEOK*I!RJuy< z&5hypm$v)W?TzlRx7~f|1^SDTQE#xmeS7%g`fB@jx3hhFuzkIE`}MWgK7K#UGJjrw zp$S9WUqX_2>v-pIc6?Os^_H+iUKLN7DcvXb3=Ym}wI}v)?Ls~L85$UQxRyK&+snlA zb0!ySBfL-PV$M@x{i8u!>Eb$jJ7xuC90SDsI-F zT^Ez>&w`7WpOw?DU=@???}Uq=qko;F*@9+mOBY5`=lRd+@YS3w-y=pJe+&Dp()9Kv z_^`;&{{R30|NnRaR8qf79YGMD^LKpyh=++UQN$lj(kM2j2!yPc^=^aj_SikqmlO*U zMLWx+(?Up-BIzQe6RaX6q!6@F5K<_l3nYJml~uYR_{|!WdC^kxo&NP=9IB!7I>rs0zD0`gm&kR=}K&Ra6QHdVJAO#QIO@s1GPI z-{cHBD1rOD@>g-L%C#iZrg-ovT)p%h_TB5rZ#bwMDDvo;momzOcHh8Sa=OAa m} z$Ia}y<~Bc>%l@;ktbBN_Oq4XjL`mKG7?sXy7Wn^aqAKRUfAH_54S60$&BktlX-tCO zV?KVr{iWeyKGQZ2`iOt)S#|KJOmT5RH?6|Acf9Sha!VZ5*cg`kl delta 3357 zcmV+&4dU{%8qXS#Q-7g400000004sv00000007+r00000002)700000004La>{`oj zqe&5Wme=xrNr(dn&tb%jkdQyyv7Hr%_QalvB`4YW9Fmc&kj*4c)*|C9iL)!A6*taE zoRE+>^Y{-q01|%zhy#cl7dRjR0(NFMd|dkclaf2f@H`98`1O|sc%=7VQ_4Says>c42Mp65fVh1Oi5@K4g8eP%wGt%2DZ zn5}`+(|=Gr{5yRs0PdH(M1(b7gfmES#szij-ETKl)Q zM_-=8etD^r-G49n)`K>)v+3;ebarJryE>gcKb>8h&aO{qH>R@}9%ARs$hV&&pZtyd z|4w`gg}K7q3sDC%Mq%z<@ca8|M2zRSVUZ}w; z7yA0N*MIh1Ua0P~p$xxL5JpVmSd1uylYcnkxIuB0!xcj3`@QIXzp)wUdPLVEdOo77 z5nYMsaztklUD`zFFylokeGJw3*zPh@A|;G#_A{x_>@RS|CK-qZn*F*jYrdTKW!0Az zUzUBD`LeXhp>U&(PIK-6JrT9A>4}CCbtP&_%zrCURidIqS&2-E5|10H865~s46Qd9 zD=A4!6Ibpgl0fhkAe}ML1%R=V-w&(R_rq%S{jgenKde^Y53AJ|4^ngJp+)BcHE3ilkn@482C@>!av-xn%7nv8 zn19{s%LKzl!7{=Rt#rhLK;)`(76F7w5nl&ftiH+a7@bJn3N@f$?BJ7iwG@SMCeE-Ti_+c{7kl{(6Tj!maQqY zY)zqMYYHt}Q|PGQEb5nbnl2gI3Pv^pDSy+UJqb5#eeemL||@P1%GkL9;P!p<|%C_y)x7yU^)0zg7)>1At=(@DzHEPRfHek zltu$R1;jVO$B8-lX8ErqkN8Nd_z-@WFDLNBd^wB3PfuXuByH-MJyFX}c+^S~0A*Nu zpbSm{am|Stdqnt8v69FyZ$SB@5*^~Tf@w*3h#|#>7*gN?l(P~W90+lF<;^8pVVQaycfA;>vjQpVGXdeOvtK1 zXg$-eV>*b^QGatQ$xR!*7(-7FW9YeI3_UT7p|RT-8ljA#al;rIF^r)npE2}s!?}d4 z8*frzsGU=kW2aaac96?L2w0{n;K_4LriHT{^*c5+z0FbRU%mkP>=z`aZj^6W6dL6r zBH;(ZptBg!O{OtP_>GQ!jDs2`SAMdyO7y-EG%L!Fe1Cu(VJMP>a6^En9kKK-&oLUq z0EX;0kLdQI_4z$K0LDT+RKkM65HwgCLJGqWa2SUD$uI;fh9Q(O zlsWke8R@8RecT_2VE})0G!W;%?i0i&AxqOb%0)eQHb|O2TP=~q*|ftvsYwn?OCR?e zs$>A3)PKk6FPmVvM3VHAfNzklG8`)EEA*ud_eY|%o>MNXoh`wibF9wq zVvsD$_zvl+T7gQ3f1N0H@l7rTi8+@6Nz+LKzkfZ`f>kvPh9+A^*BTUD0+68UN!D933yIq2qF&R8^wYStFT`rdE%b3e zkJXfm(%p!VLx5E5N=iYE1VQrS7(`mGb?bI#?PouD?IRC-Y)A2Dn*A53oJ0D5_hkEMd~#gubmp*p-xN=ghOU+&aWCND ztX#Xahbvd=;ZM@Q$itQ3Vc1?IEx{#>}Y@qZk$%=r@!cM~C;F0NK{Q~OQae)wY*HkhLb^bzh<||;LQ*IQ z77BKO_!n4N*@@scd%Wja%+B2ReKWf=Hz5V|hKPQATwjBu5N6}VE$B#ON+VDcP6O(s zF`S$4UjBlkc356V&&8nbaH2d n5l$~wcDXue^IO=ndKNXpDqaItsab5Dn!_|0_3hsQ00960t#5HH diff --git a/cpld/db/GR8RAM.(1).cnf.cdb b/cpld/db/GR8RAM.(1).cnf.cdb index eb6c1cdf43372fcdd66f2ba3e700577f6ae8a438..06500e736212f423c7f90f9591e008509db6d988 100755 GIT binary patch delta 2043 zcmVt9t}eN0c= zlgVUqRq4qr%l=V*BPO{P=oWqdEgvmKcF1ni_h4gv|{L5pjo%u$86N6 z+oOKn9(C?Ei(zwcVQ^~wbf>UQ@f$ty2YccVb;B#Oj|`u)mBRK=rlw`ilzG7dw=cWe z0o*Zah2@SRE5IG&1$ek~vHJ==JB^d90bl{ElMMn=4dwbOKIM3%wMFsS|3s5)0vZ9# zlZOH;f1Ld90#wPvmx@Yxoz;SVY#1T0GjvY<7q^F)9{e&{a-DC-x_Zeedp}KH?9fI# z1pg87kbTSPf{*`w`NZ=b>3u-D?sK*+&T1b2&LQ1o|cU#TTsr| z8egJtWq0n}=#+cAgdb(oqpX>F-x{6PX9i|}OuYeDv7L<$n1-bFz7dxXkZSO|*CWeTNV^yz~p ze;1LK%v`?~TGIk45!L`TMiHUZ8oZAdg})c@QCVOj8rh`$Fj~cBU#4!|_*63BZ~&Ul ze|I@kw1jU4%9ELT*O~HVZ%S6HO}T3%r~4Dhr}LlP**v$CL+VnPOioYe+EPI6!KHaZ zOx~779LGwnMS|uDVS)2n5NkkMOV$upf7%1kmBbSL!ct=-5#n;y6FfGAMj(K+Z+j0k zH@}p7HckbPxCY9k+0(y`iXy?pWn()T{EWolKQpr zQzMt(rKE*kvd`H;{)c!@d#VERnjUj}`imYfOBudqOQn2We7=!9oBm^EeTnXCe-odk zar|07>Y+9EXI6Fjy7|dj^6|vJ#XlVbP8r|Jcllc)Q9p_ZEfWh_q?tD@%fO~`C@VP z|Hr{Ez3}EdN1~r~@W4;|A)Af+bt>PeT#b7nKkN7GO$j?Zt2AG0`#rN!7dSN54vXSzTk85^m`FIXlT7DV*T9;$?kJLZ87w+WX+uEnh zJXdB(#e&?vYpjr?M|(zIVyfcx`!@U`_MS(XF(jq@I=gjz=0TNy-jQP8N+wuq#OK$D z(|uuy)Hn9Ou%}9I#u*p^f69=?ApAw@@R8}*q_)TpbcGdlG+~{lRojQ*f3m7=OICAU*BgPU z3%JTN7;q!-w+dI;V7ZwGXqO$S0iFzVeIzipMYaO&%GyF8QDTgWGwkflK_BJJSWUT7 zCCzZ%?5X1KA-sIF=~-6Jt>E*O{4^S?_$U(h3VYmFLF=DP*e6G1sWn@*+HSWN8irwroad?L!7PCrlhzn>jlw1xO`VyyB2BY?cl zFomN!x*85=U4_9x^GV%~0Z?G|P37wx=B9z7HG`sX%M{ZY|E#)h?c<{f{ ZA2)|65oj3TceXa|YpN@hZvX%Q|NpH&4wC=? delta 2037 zcmV623_K)%#G0C++x9IzC`DiJ!Lw1|K2OH}fuiompSq6W!v3{_Ie+d4i+p}z+ zKKmndpYngdWQY7u<*>00Ni-X7?F`R{8ed3xYC_*3!Qs~VGiSFWVy!)V`@$67-ulJo zH+Nn#>+Rqn#KWx@qwAAat_Sew?1eH?ZNoMg?re{`!5Oo`*3MwFlkqTvrrmBIvr(UJ zkNS0c)VbR%hRwl+!KwArox)b)H+td^_QW6RhG!}t89rw#h3%nAP0O4q^MVC#Uv{+v zxMS1`%N;{jfIG$u@Nnm1_Z51!jFYVaU;(L<4gyjQ)%q$v)p(?}Me*7HWRqLsV_{WN*8LmTZ7 z{71w?_ARFiKK}RR6VG>~_W|j;&)K#(t9ksBvr8SjBrhLhnWysRXDV;~ohN^v}`=tf^xpr z_!5OHyL0D8r`+2m{3x3qWzE$4&Xg~EQ`YqvQ|>JsQ<2_~=T}%Kk$}A?GrDAA)XH1= z=$VOS*@M$!C;?=Baa@R;s_a~B1=Rdo%d2T0%)TJ<)oSx9NrGVOlEAxby zye*43j+I)A1kDq|0_U|L)_}BDtRbv_v@1IY%*sJY_)|Sq`LdSC*YOMe#J|qZZ{?@18B={p{o44c zk<0H=(n7D;=jH(QtGayM{Nyb8L}K6KpN;{ijPK>U{H+l3cSjwpHEVrwpG&Ikf@r zE9ux((rG8?(!6RUY_BVse50@$vDrqRv1%i4XJeVl@kNKca^g`>DV0y#)A~w2jYze= zicd8jY3)Xf&twZ%m)H?6>a+Hkv5)r%9H!w(^R5-q!#4e8}s3vAFvG zC_@^9@E56<4+NHuq!j{Acu7FaHG}h}9sVR0@e-SO1xw;L zPWQtf?1?|r6MwiT{zy;!(VqBYJ@LnT;!kwNvo#ifoa#7%OhM-vXbL({K~vCq4w{0_ zlh72Dv(Rs-tY%j6o7y<+s{7%%(b+D5Od#zpGs~Y_32qwZZ6Ahz%jRucvYPX{-Uv)x zz*U~XfE$6o&2g0tmYaEicG-~{;K?x4M*?G8WGmpVtStl*CB~>Y!%oi}^ij@?)s!pE zr75m@=1{kC0Z4OcimRSEyl@%`d#d<*2rnOPdX|-QEBJgRUq)jUABE!oJ0FS-L)Z5? z=f`qXV}XA8zCEsgiV?pRxN6+Ba(=KQS)FS-lGRVDObTRp)+=QEpy3rcgz-Mf6yiZ2 zJ#|>qPmkC!>*TH{pTXt9!=DQH(VmMHUWjz!&0%J>q;1Uyjrk-T=sdYaeY+J`|sp!jxC7qb^V$ z^N{@u00960cmZ@#%Syvg5S`T4`f8ObxGC6$xX=nMC?Y;+9=A;(O==P;ig0zUEB%2k z+$#tw*gtTi8xbjrU!W@&u3Sks{)184HhT{#Ayuiqj9BiW*qqGRrwGVBp?q^Qz1If>4-#Az*=BSZQapLVMxa{ z#IkN^(yyq22#?eGVxh3gX5#um${{YyWs;yj^*rJK^;{97JmTY_xxxeR@KHO{5}xYm zW^}!NdV^!6PK{a}gLkpiMZ%f*ps6G&1Garap6$H8eJvKi&F(iiHJjrPnewBart{WT>;Hj>vb z<{9Xhffujzk_qAV;<;T1^KGIIE=H~*^EFE%{0m2=#fK&`-A=3He1evyEMoEXat}nK T0DrR#vuUZRzEC~^00960CK?)J diff --git a/cpld/db/GR8RAM.(1).cnf.hdb b/cpld/db/GR8RAM.(1).cnf.hdb index 635c9535365eb81ae4595132100c8e3fecaa6a26..dcda520fdedb9116f7733990676ed86018dd3acc 100755 GIT binary patch delta 695 zcmV;o0!aOk28ITZTYmro00000004CX00000000I900000004Lav{TDU#6S@A{Qy@y zcpf7FO_}4KrQcUDMNj zzJUL8ZQzbi+_7k}hJCCL#Q$==H{Zwnyg$i{aKJRnhUC`VUY2He{92`jtouC6PRXGZ|q3YY!VdCeZ2=l%Z087sazx_(1!-gmsf zce22DYCe_6Jb&#sb`4LXE|iFTKBh1qTXgFH4fd{XjA(3^Xx2;7>K2RU8yXYTVfH>IQFW$%B*)hb^ zAIR_w4gpCpFaa?O0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34;U> zGcgDNNq^;=LOVbrFdKYbgM%I2U6ItP0cDvP6o8~&(!}3Dia`{JK{mJt`G*F?heQS- zE9V4CvVxWW6*;pOq+AM!og9N*BjPM z3CMAhK|$CDW+bzRp> zA_ua*1^?&TkTbsIj71AI?92L;_+PG%_QxQEo1fsQY+Am2rJL0_Pb}!FS@%8b|yTs;w zCkuS13w&ql!+(3}bdU(OqQStigMeBLXS(TXVJB)34ZMM=fd|mcTre^-Fz^QM1-yh85W&CN*V6o5Mb%f-qo58Q z5YfZc#eX>r^&vJ*I(DUs*1@qHB|%+i0gq@le)19-LBe_+7?f^e;uvgW65P-VLYq*R z3j8~7{bz~%cBnP8GJ1~PMYfkK_D*j;gk6WEg9+}$r`Y;=d zr>U|!s8CjI@-D<=n~4)3FR?@ec(*Ut_cGiTR64UsQBULl)?8M)R!9-aR7Kw4b^q>C nB2q3Te>eK3JInbx8)xs^LaqK#_6XOo6AUELJi!+L00960k}pQO diff --git a/cpld/db/GR8RAM.(10).cnf.cdb b/cpld/db/GR8RAM.(10).cnf.cdb index ccf67acb2a7288f0eb7afbbd429cb006d411b789..d0d7d078adf07ebd05b7b3f117a3a1ba887c6806 100755 GIT binary patch delta 2142 zcmV-k2%-0`5wa1GYk#r`00000004La>{>~097Pl^hb^pOkpLks2*ia;LIOcKb%Z(O z5+uZJgshqII9RqkXvW4z{~iwf5yS;?;E*!{fmnptmki%mRj;dFSG7IeJ;90SvU;lO zeXm|uy``)AwHJ%U;ufx#jWPeAz7sD@&6YL%{*5{MuC4CF?|;<`=P!PIFB%UUvxdL3 zo`L*-fuHrCe%w7Cdl2>JaDO-)oPW=gI8>MA1Y8gSyt^*T33#=ufQwxPT`<7m*)jH5wEGmZu=&B)*#Z|{}C(xS8|0TklL{;ms!g5bimf%nx?=#rfCFtwxiN zB((JYNJ2~Zk0iA8|42g10Ei^CjDSc&%MhR=ji(3utsLobj5^qxO>S&xhoG<~v6RSZ z=@yBcmd=sLY3U+~oR*G~$Z6>=iJX>Bg9DGZdu!L>L4Roa;bgY8J3XYk*wVyj9>9pv zjg7XhYlnZJhWkm_3dgp^&Bfw~=Qt1w5;;42U}Yy$fEO`;hDDKjex;72)9WWui6XSs zkN45!39W>qL-{d{?`v_t+OG0f@oK;4uWCg1d;MsARC~RCsv6P#RqN;MOHMxbMSUmN ztGi!MzklQO1CzMtyX2?b48uHo`t8w=?7eyYTeBqluGl7xBexy@fqnUtd`uSU7%Q!( zJEb<#!|%|u=o8KI8Av+B6)}zCX&2*o`2 z#P1Q`_87-qE{HI%@CSwSLdI)6olUNwp3Ip4S%1R}%?r4G!<|n1!ZgeoT)$#2yx3=g z<8V6L;R_$j?@!?ZmG#)S+g4s!Mm=VgC)`+i8QdJ+E;HmkE0Cm?os z8tvi6c)m9}*xH)RuJ9dvV{f`SA(JtW;c9CLE5QH6iettwRvdN0CEz}E)4cM?#DRy~ zn}7T7v-8GB;AP5c{n|Tkz4`97x34n+dv$%C^y-(9?;#qfS)&aC=rTjpe+G2vo*K~Q zaB4u8n5h9>wxtGiDU=%Uvi-d9sGluoTrNdE9WauUrO0Q2tXN6;*MP^YQ&ROcBad09 zq}Xdlx^=>d3ogZ$D7Vgs5oy+xQOdIX1b=3}rXSJJ5gKxUylE8;nY|nu@^v{hWZ`mX z$gSnjkTJ`lP7ijiGUp`c7FJzKNO&@9Pk1sKNO&@ONO&^ZNO&?jNq91vNqDq=s3*fG z-+X(|e@lQ56r@^n3*@u`hq4gia+HpE1?f0YkdAf*=@?Luj&uw0BhVa=U-=S(?tfR} z$|V+z`MfNl#IzB$Ol>@kX`^XO8%tx_NEXA7-6-*i+eWDnqq>ONWm8O55<;U0P1U+x zbOe&!oeFu|0{l^1MLxYS^Y%E=cV-7^H<%= znD9WY9QuqAb>Vbq)q5r#ttbqKZ-1k3I4QgP6L{UJcKLAV`IXA6okm}aFu3QhYEbtZ z>n9$LaIo88OpDt*ti}F(()L0AAEWq+W#!uBkLf4P2fT_u9$VACtNEAJKlzwF$>>0~ z=PGn6bQ}S*_r-HrwpBGxuB+-JWH?Pa_LxFV($9x$6S|9;5L-OdGcIJ_)qk4!7sud~ zE18gI(T+1A?!Qo0mqn!3;VdN z6xKpxosr_-D>_Q?Zy+6|_;;0#Qv6#@M=AdOr=t}ArbMg91y*Ka_sEB@wHI-9H!>lw zgZ)JNJ(%ns!kDOD^n2pVWPbpk0dMU0Q~npt3f&&!ZHZl8Xi;56ZJWW4`KiyQ6`sko& zGbSN@_8E5xCJjq0WLmfcjp;8KF`a4An9j6VOd9~H&VN+PZUtfh8lg0s zHh_Y(0TiSSpdf7k1!)6Vs8n(=09T+F9SrcCUtKVcHh`9?4WKb?0F7w_XiOWxV%MQq zDZk(u9Ch8}+7wR#=Ouk%m;Q?&@Ulh5^Q_JybSvRgx+gWXf~ypa#wz5A43Wzf-CW^( zMgA)4bI>2oTeZ66H-9K>xZGGR4?d?BFs`M!bDA-bfr8oi!WK7R*4%JO4k&y1Qsl`( zwI4&-DTKoMUjP6A|NnRabW*=d!(b4-Sbtk>Q9)6`4kDrsEhtv1(j@(80&Sv6q*a9A z=HTW(P{g55ih>n%aB*_cMMR3?;N;?>gNvI;!T-T`K}=gT@PF=dckg}QOD1FE?)2epDA#REjg5mjQrD6r&Y zTxHo%HIZ@+GbQHKl;YZH*%4kiJ{gNf7nn>M;0{{U3|F*?4Q2+n{ delta 2138 zcmV-g2&MP35v~!CYk#f?00000004La>{?5297ParhgW#NBtVD@0&(Gz5FjY0i7Z2M)@*mz zS6$OxkLjKo&*$^`4O}ld=l(@~J6@QYlr{YRgE@w-RQKTb@_+er7e2Y$xwQvz;qPo< zAphUsXZ=?gcUQpfN4+`R9}WlS-VY=WwPi5@=T!jjZp&fdXwcJ)qd`wIjs_jgh~RbO?cFkX+Qbo~eYm-~v3D>E z>cdvtMjk98?7cE zN$BYPk%W%!A4%xw|B-}_0T4;(7y*%ljv+ut8cz@QJ2}#074($tn`zp#1Ojb zC-`Xcgf_y_q5PP}_qDiRZ`b+jc)h>kuWQ8iSNgH~sP|U-scXdcm#v?(FM9dh7ww&V zujzg(`hRVwADF~H-!(twXIRa1MZY=v(Y-gXe=$q4@2YLmIP%-^pV*f_&BtVsjuB}q zx>sr|J^TSZt3J^zpMj)9ToKbKo_0ZgyZ9cwvEA$Z4}lk~^FVixqyHnu@Lsu#vVJ)M zNBkb~ZI5x>=Yk0LGJjAwFJ!#N)7j(_>dB1xpMN#X&^?dqciic;FHFOo!Sx&F!i#+- zI1Z;vjGD>bxB8F7JoL>KE~^V6!G$aRO?G zr_dg5jQ4g&2U}Z{*(JV%Z|qJtCuDN&5nNpjAp*irtT<*2W5rP?TmtSxH_a=LOdNQ) zy??p?0XuJe3|^+J)~~$#)|>BLdFO2=U@xz)lV1Na@&iNzb!)Ui1^Ubo^`8NKx~Bp3 zIh+R2CuSNzpKWOXeF~)kyeOX+9uBkRjLW6yrvpZEvK0L+kQJ*b{|4}wb!w`dGZn8S^<^LWx-;TA9{(7PCgPm^GHgtdRu6k8G6q#4S;(#HcQ!cG*-@m4vEMR87;m zS#$)F>`sL~Y0Oxnf7}Mf54{NbMpR|3D|EDOvVp+L1`D6M?^8v5nkHKPY0?|*tt{L5o- z%GFHBGib+|5dU8&OU#8}a*|BqP2+rsPt_6ig)J&WYf=?`0`2mjWMppowxAs3W?>(f zNMWrs))^_`y`ra-@CMRTN_bc4DJ8ts^pq0be|ky@Z%VX!TwrA;c8@~%ioJ-NyO9Zb z9qcF8@4+N{2xFpt(eH^bl79hw8oaUJPx)UoOLTjPmlC_Y(xSSE+LFPJ`odi`h(z#cZa@(i%uef|H0>iG;PJgbAM0n?z#7_cip5=hKR~H zhif3}0obW;}wn!1A$hz3q{p4wY-py z$YDs~xUBMj&9n|aUfP=Bb#wwjp=rYL2O zqXcRY@ST+wGLX1FefnGi^YVhOHa1j1got~E{iE+^rtjFRSDIDjo}Y`ureDuDLDUQI Qo7KZgO?8Fx0{{U3|D!Z8l>h($ diff --git a/cpld/db/GR8RAM.(10).cnf.hdb b/cpld/db/GR8RAM.(10).cnf.hdb index 3040c1031cc94793ba1fa84843a050147bb8d5c1..9e876a0778ea3bd8d856dfdbaeefc9774e43f310 100755 GIT binary patch delta 694 zcmV;n0!jU#2BZd%TYn+~00000004CX00000001Nd00000004LatW-%(!$1&(unQ0% zu^r$Gh;t+)zk&-wmX~orv25hT4 zMPG^LT9>HFs((G5gDTCnUDT$g_WU}1;<@KmhT=cfp?rWNP?#XiOjinYb9qa?eJgU4 z&rN2=laiiJoNTi{h_$O|*M%gqHE#k>fpjZ@b}a3xv1=bRumZ`8pzcbd#z$a9X;h*y z<~3^5sh+^OgR!EZ*+mWkufpLxA?^cHKnKw_<_)af?NJQB8rDPH&OyIx%qt8VGsd5T zKM!%^mC6X51G@%HUWZ)A0u3hNOt_7}umT*;Gjh=FofigG+dEgdn`FBKzp7C#hGFyv z00960cmd*IU}R{MBmyIU0|$^iBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z z34;U>GcgDNN#&bDJ3t~Z8+=`ZgB{&n(bO_CC;-*yB~APdQY#9?ARF9+{6hocLm~r^ zm2(0mS;5Nxikw*sQZ5C=PL9E@5%C_Lu0f7L&K{BR0scWD@t%HJZvG$zU{A1t^-S8+ zx&&mrBoO-syT^yPMuZ@I9qjDk>gx#70rmk0*axQ#XI6kjM1UBi1s+Ba)r{=m&=E^{ crvy^P4^`#q0t^jLH=u(+qF@&Q00030|6#u|Jpcdz delta 690 zcmV;j0!{s-2A~FzTYnt_00000004CX00000001BZ00000004LatW?`hgFq1VUX2ied$J zf;EA^?6rlszlb|;G4C3?F~ zARcQ>GjEujcaQ@3;quQyzyzN+zii%{{O}I|0RR7Z z0peg_WN?!y0waGAGBBKx+Y$g0kpW_m+5p#}V4x~jzmWLgkRVS#_jn*PC=#TEK>~=G z7&w5W@=c)~AQ6}izOKQ+j_$5#YMH@0^pYn22B{SVVvr5)LH?lu@gb1`$jUi^lB^5@ zK=QB1nYBQQK?;bS9D`jW;ypZFgB*jLJtE@+{DVT`J^fnT{6Px9o?v4T0kS6TX&_aPFD8_uSWP znlx$>(e>l`9*8nPP<5p%!kQC=Qn;bN%K?f3LsX0twX>$io;hGEtVyHcnzpc{>seyW zf3yr4RP|6q*ZIYKF1NvAN&v%Dgk4$AB-zuS&kg_2=ZqNbz`mT=>pZ{|pxW7v@O9s` z)wC&ULAL+Y`GhdqOsRkO<3P082xW1)FHCpXGXp5C>D4#&&@pO4`l5;p0 zzSur0aZ(ykiH?UWQ3*)W8SHGcyO08pUja&n`i3@0Z8(p=-VA=5i5`K`s8ocnjZzEl zyLbB%)K`PwUQ5sc0uNWO-6ilipqhA?x(?6JI0ID1>9oB1TmbhfogQU6`epf#+d~Lx T65yJDk1Y*75ZX5Y00960fX=i| delta 369 zcmV-%0gnFD2+s(RYXMJ@Y#arEC|CRjv5jd0e?3bBK@gpb#>9`HM$3RH#6p84U=WE) zZZDhM!R0RAT@XRo*xOmyTG(3%Dwuy@Wg{X%@gHboVPz%pU-;$}mna^*dABofW@lzI zWKflex_76$Aj$$^!&9D&Tqlf_^rB#g1C#(pscR5jpHo3V%1{T#r3-fYw@mvt>q(aHR@l15L^xWL5kPQ3rgs zeNf?~44@MoA4j4JkfIjs{84`?4W0zFTMYGuF+#lm!;fddyO|ykh<3d$17m_*xUXOA zbI`9Eyn7=_HUhVoPyJ;u52P>g(RCA^uXcvxhd8OOJ(S@YR5}C74CSCGy+ Pf0nI;8A{_500960=y9zT diff --git a/cpld/db/GR8RAM.(11).cnf.hdb b/cpld/db/GR8RAM.(11).cnf.hdb index 13a4c33405b670f89053d6403a8b79dc9df23be0..79507bc18973a097c4fd36bf91d638f1d1eb1c7d 100755 GIT binary patch delta 557 zcmV+|0@D401^on&TYq)|00000004CX00000004ag00000004Laq*A*I!axvId?1P_ z_Wpo{_%5tc*;;5P5;P_t2@y>4%l?6d&p5l@g^PL?dNA4DncLgV-oSjB0;pG_z8F*VE$E;`FIe)xmX)d@YF(ANnAoiNl1 zBNk{3m@qZS)56fn=b?J8r0HKIjmwg>rO>!MqEquB;smr%q$*})2+QXG;DFaBLBS1@3vdZ85FzD_5s>1I zt>e2?{;b;F{oZla6&8&=GV7KwwqkY7qi-#Ew+Jxi>6WqB^vGt3%lwQvG z_h9-e_%3v!SdUX>bx@(K+T>k`$u=V=KptWx=RAJgKd-~tfoe7>>T&#^n#)SpN-{{g vD)I!A<0hvYaR!j2{O#z6?yTnRY+ZgG2qndZvZvUF9ibs{a|d$(00960b0h+~ delta 562 zcmV-20?qyX1cC*STYq~200000004CX00000004pl00000004La`v3p`e+D4n2jYJ~ zHo2G;sQ)ieKRO1P%>l%JfO7coZ>T#!>REueI5Q7KB}1v4Y>)-XIYnU6)VxFxw>ZDF z1S|}sxuE>`y!@0@s7^+p7znUIC@=|Ak0b!~%0MNk1_~hceq|&ss)FQo-lr#VU00960cmYh1KTbn2 z494B^r;iC#6$Gg206P*lAUx8%NPRC+9HhP}5`uvnurhD}*w~Sn*cceN0lfg1-~tGV z&q1_R^x2mEOJcjA4xJFu^X>H&9Q7eKO`5n;Me7h)j(<|1F0_h6G#kBo$qXT3y$%dY zH!*PxwlN7|Xa%7Ss7nRx;b;F%GXFo+npqjW#O|WHLSm0D?!SfIhU5nm+__J&^~<Tj1e1puP3{HFd zTL-4eHx6^Im-FqlipF#gSnIp`d62-PBfyAQt4*#f%#_ffeB~v zff;A3jPdU!Jol9{E-6@?8?b~ zk_@>`!xqy{ev(br{DOb}*d6a5m0Roac`SUjIzGOr#6S;Z zLbTYaM?K+KUy&yq@GJ6!qkcu6aOkhd6OR8CdBR0t%#+LBRK}hBa7P}=8@Z$`pqLxQ z*1=8FSc~k_1HN&_aPFD8_uSWPnlx$>(e>l` z9*8nPP<5p%!kQC=Qn;bN%K?f3LsX0twX>$io;hGEtVyHcnzpc{>seyWvpZ{|pxW7v@O9s`)wC&ULAL+Y z`GhdqOsRkO<3P082xW1)FHCpXGXp5C>D4#&&@pO4`l5;p0zSur0aZ(yk ziH?UWQ3*)W8SHGcyO08p0ZNAYhBioTIFG;H41Q6Yi5`K`s8ocnjZzElyLbB%)K`Pw zUQ5sc0uNWO-6ilipqhA?x(?6JI0ID1>9oB1TmbhfogQU6`epf#+d~Lx65yJDk1Y*7 L5ZX5Y00960#N_rI delta 985 zcmV;~119{`2+# zB4_Ne->frl;v-3tB>6yDkD}-udQt_Cw{pE0MGd^>GfM;d4RfP@g{FC$Z;&~Z!D(-Q z>%cVm#$m4f2Hw)a+d6nh2jAAgcXaUGaCp}xj*O4WPs9h$CD<9N`M$ z2oEG~1|)UQ&n#7CSerH2i(UpUM>!vS(mM)zFqe`+|LRiKiAMBMD%~tTFyAabFySmd zFykyfFy$;hFy|~jFzJZzI{YyS$|Rn}EBG_dSMX=>3jPdU!Jok^_%nEiKXM>~T{*c= zk|DQg*kanrPqN9HU(kOayW{<%a%(+4kA<&R$Hy0y+{XCiE#zjJtFCFJUK~Ht>1ovC zJwb6yb$py)c&d|*&-_L@DX!z5>2W=eYrEOk{yGi_efnIv{<=Jnm)c%FJ}+4RZ#}tA zyD!%#WqXYmt3=z<18vDclSg^UzXzXsbPR)E>6g9(L3ocEyA6#Q2k~q6nM+#V+&Ux^tJ# zIi^i=Mf!`HpCfMKGVS|DkC6R+h7U zPX-m8iXA$3>d_|v00960cmZ@#Jxc>Y5S@$0#E+mx%Yc6=#6p84U=WE)ZZDhM!R0RA zT@XRo*xOmyTG(3%Dwuy@Wg{X%@gHboVPz%pU-;$}mna^*dABofW@lzIWKflex_76$ zAj$$^!&9D&Tqlf_^rB#g1C#(pscR5jpHo3V%1{T#r3-fYw@mvt>q(aHR@l15L^xWL5kPQ3rgseNf?~44@Mo zA4j4JkfIjs{84`?4W0zF4E2RELcIUOk7vQVnI26Lh<3d$17m_*xUXOAbI`9Eyn7=_ zHUhVoPyJ;u52P>g(RCA^uXcvxhd8OOJ(S@YR5}C74CSCGy+f0nI;8A{_5 H00960%sJZ7 diff --git a/cpld/db/GR8RAM.(12).cnf.hdb b/cpld/db/GR8RAM.(12).cnf.hdb index 13a4c33405b670f89053d6403a8b79dc9df23be0..79507bc18973a097c4fd36bf91d638f1d1eb1c7d 100755 GIT binary patch delta 557 zcmV+|0@D401^on&TYq)|00000004CX00000004ag00000004Laq*A*I!axvId?1P_ z_Wpo{_%5tc*;;5P5;P_t2@y>4%l?6d&p5l@g^PL?dNA4DncLgV-oSjB0;pG_z8F*VE$E;`FIe)xmX)d@YF(ANnAoiNl1 zBNk{3m@qZS)56fn=b?J8r0HKIjmwg>rO>!MqEquB;smr%q$*})2+QXG;DFaBLBS1@3vdZ85FzD_5s>1I zt>e2?{;b;F{oZla6&8&=GV7KwwqkY7qi-#Ew+Jxi>6WqB^vGt3%lwQvG z_h9-e_%3v!SdUX>bx@(K+T>k`$u=V=KptWx=RAJgKd-~tfoe7>>T&#^n#)SpN-{{g vD)I!A<0hvYaR!j2{O#z6?yTnRY+ZgG2qndZvZvUF9ibs{a|d$(00960b0h+~ delta 562 zcmV-20?qyX1cC*STYq~200000004CX00000004pl00000004La`v3p`e+D4n2jYJ~ zHo2G;sQ)ieKRO1P%>l%JfO7coZ>T#!>REueI5Q7KB}1v4Y>)-XIYnU6)VxFxw>ZDF z1S|}sxuE>`y!@0@s7^+p7znUIC@=|Ak0b!~%0MNk1_~hceq|&ss)FQo-lr#VU00960cmYh1KTbn2 z494B^r;iC#6$Gg206P*lAUx8%NPRC+9HhP}5`uvnurhD}*w~Sn*cceN0lfg1-~tGV z&q1_R^x2mEOJcjA4xJFu^X>H&9Q7eKO`5n;Me7h)j(<|1F0_h6G#kBo$qXT3y$%dY zH!*PxwlN7|Xa%7Ss7nRx;b;F%GXFo+npqjW#O|WHLSm0D?!SfIhU5nm+__J&^~<G;5Tuc21*B6N1(uTT4yC&!B^LNnN=phziXclP%_5DYq;!|W(!DIK zyN|!;`RAQ^@0l~#=Uj8nU-z7Q=G-j;KLk=NfEa45|2=p(|EDi${!at3kMhk@IMv<^ zVnmoX2+Oz%>fE1_#eb&}u;D79r=_J}i6Ee_Zk`rtI3Cc}3>e+)T-52j-HIwAr|-1h zh^S*6h$Ijo=8D~l=-sM!ehS53+X|fw1Dhc$?;MPcXS*tX1VFOK&AdK(=h>G?-LCXQX>=jFrVGg0e*0{T*|JC3|i*La|v@O>+cyP2zvwZRr$|xZT`Ls(DDFFyA5 zo@`6<9fAJ=$)A59{|^NI1I2%!t;AKZ3JZ47=8p z-5}H+9P$LuEP0|=_wd||)=Nf~sIO+w$>z-EB5TXZn?RU*1vzz@b<+0TSI~xLI&T4z z$kp5|k@YS@wKF%BlijwI`v|Rge-9aTfni;&ECH@nHR#lvp6#r;3?+71VGTyWYHQsY z6_j;>2DpAnv;ib+Vf&dmxgM4)QUPlyi@T;d604_wL-YU|44fRQUi@<6Zalt5)P91n{d~&*2)~?p{as|W$-8Pa z9H#|Vxu^`WIlab3wB?{3lXrYKG3+3e@xf&Q0QNdhybRpdB4MP<&^b#U2V+(m3Bz_> zr&BN(_RV|o2xkIJx(E_;sENjir(?P%{$N1=(mi_A`uc{Fl?94-rD3lK0lo28P|^-F zexe>SyxJwT*}=I-T?H~L2xQ`wyvq&CEQTWSb73vGD5iP?H{4CLn(?#q2}nK&7%e=z zsdKyCVpx+52TV~QNn7;%$Ly}8^+xP%tj@+?EeSmZ@TL9%?4=!f=$^|UP8)sUTQ9G} zuCt(ZP1y;rkeQRKmW5=rA4g$rKuDw0^2NEYxqFX_j_%3v*Tk_aIQtoqehw$c--lLl z^9L>LG1*VL-U(*=NAW+ON;xmU>zGui-XbFM)A9XMiTg)K8ULU7~Lq>)9o3rlm1c?>B|i;!F{J} z9)cb>4T-$U`NM1XNYj1m8ST5Mh`%z*sXhej+%Cxs33`&9&8(^AWYt1E=l#@iT`$?m zbF3_Q>cn3mWz;P=zeM$Z z{!)#>3W)C%AeRD!*vU~QMoZrOaZTJKAoZ<1oki2!uis0AT(%KX_guEyAT2fA^KEK| zo#XJCsa@;u7ld&OP;7tMqVYal%Lqq}j3>lQ+tGRLZHNc=lx?`?)+D=KZm^u*#BlV&t(6fWw7+ZEpPYfb8E9~32nPo zrtNzeq6hSZ?Gj*;ai1Hn(QAz;mw2FX#ubBmJ-X@L%=+6AAt|F)VP9 zzjy68EwMJtW6X}ksr*lE`r}gIx6INPHliun17eb~V(fqyN=8$Ij;7=(Xu}O}*+ez?73zgLfG5*4 zv68w@#>s80U}?~0M|Wd9h4PO7QQC1~e9xNN9OJd^mU~|%pI#I?@r9i7-k!Iv_X~u0 zhOV)(PS<8qhWCl^J~I~)ou5wrd*U{gX$8F1OE)IGb_aj4=Lid)bB5bH6a$J9 zy^WSWpJ2o|m$Qdewaz9EAw%-uhbCM@Hl{?=k*&GkDdE6Qtc`AIWGll64Ou|n?Sm~( z0xP+d$LmC~KZ+pO-V*M$ilaH*CuWEOV)Cf0$L}02GZ30AO@Z~CfnRC>x+AVxN>r0> zJ5-)F=T_f*(EZC9;<~x-z~+@ypWuOOiv(3?Q!OL;@m#1NIn{DAk5Vf^p1*~rJgSlsSrlr2m4&ZA zM?I9J+Mk`~-tp7E-go%%%SG7%g<38;qNJ){Ge8AZZaL7o_F8xm_NQrP9-=m|Rje_K zsX!ha`m?8Z$c1(!+Vfpi>AEgucj2Cx_w-rzYMvf4v8Vr?re3^iY^mJ7rMg<$Ci(o@}1`PR^S|TCDTpTCioMX^@_n|ob67A-7!ibOLFb=n(s;3gq zKt_gu%0s59;S#popqC8A1xYlNNbbO3bt0D)^VYWq7b{%8u8ARXa34ve>MOX~;u+>3 z-hDhdX-HtO=ss(t^G$tdFAXTgouo4enbA;ETnJpsJf_ip7wnlq?ec1XOU1<-PX3Onl7OP{LL#6EnOe z#QKBLO{)Hg-)h6~EqZxnY)@NNt*u7aukLdU6!zYlgMNQ|!3$Z0Ro?iyt8GNp#49%v-<}O18o-*isd`R1%s*b4bt`j(-QxNR(lp-0LH#ly+N;qTUfBS z;iJWI2LGZ!oIa#r8d=-H9ekO3URVi$+4i9gveFNmf)jEsNM zx)0)PxqB>SHBZ16ZgDt$K5VfpRJa z$(XX71X+u>$C2ziz=4G}Bd28_s6H1Kb`GE@Q z9ih>`b8NBj)5Bfxlp*{!LX2=k7H(*~+*yDZQrc^N`pemrud;vHrN^x7yq&ub`T;xu zE#*XQZu>`ry_Umw*C@{P0}g->S2iQV=Mu#&D`%;P4sMU00A&4VeE1|us}>}T`A2IP zDij#OywIUfBppfCwVVuYrO@?#QCiqMMr~a7uM^p%F>)T&K!UlVC-0wFrDfUz<09U@ zihxhNMn#?3T>iEPIYF*ptRKX6{D#e+D2A~ORJ{Rto#TN>gcexvE&&{`zCB;fD9ktK zxXS6ak+2*~P80Z1(6fl-OIWgPCEU(|!VW04*Vb#weJoZK^Ak&rl1M*uSX1#r zVR%!VKb1hCTsN%`gLE>t-of6~_*}HgT&erD)r&GZ%Pp-k1 ze;H$t3U3d229g@yQe>)ahxwOcef?M?XW7iIu@nqiKlV`REK0Godr+m-7~+9&Q1w|` zSKn^(`;6@30M?XR#4|L*eB&Mql3BdX&U!sQdWpw}preT!Op*;cp9{3?xFtiA=mmb^ zw6(t`_U+1g;Ub zg!3K`|5hz-+2kW+M(*c+UZ`f)78X%#jYxp<5mqvvy`EGcj&xF>tNvy6=uFbK9pQ`9 z$UHG}mhK~ucs)C_{0V*|8+g^H;P-k~X~VNc1rYbDW9B^;91Jc_YUk7?ZOEwF@ngXH z^bv2@Anx?AYK3y%!=+Zy(|w4JtQ0jxIe(mX*xLULMw8{ZA}>_qdJuOgzNVAlu5K~m z%k=i@r#}R#jBYRSsD^h)l4zgXMMgf{F!0bSoI>Hht{L{D+JzKpY8UdqkxYBo?kGs= z3CLc;+o%S$Cy&PMUnPxb*!aH(aZ>VO)rL;suTZqZ{3gUc(}JOq(Z?ztH}4`e4K5ib zqmpA4_|hXpb@3})wLEGLwz5=kRlI3G7TK0?r|bDE5|Gl^FV@dwS9w0`ekiSQ^juhZ z7FkqMAy(?L;7^qkqgHCKiKiXLIN)YV1Vqp1=8LF5)IfYfND9E7>YDgQL3=V9@0tJG zW!>+}Jo!UzA)&6)GQ?=OgEv3AR3}aExQ`uJC+Ms(7DrbQ&FCN-e#SWRjrB_aHEx2p zE~QT>{^=%3tU%>c5 z;?UT3=TTGW@$-n!bd75;__1Kwi#fWtpY3!;Z=0>X;CPm*SrI1tJrBFJdGxd)&t%XW z6eb&ip@EAuWih8YSZU$_tkm6x>i3=UPK{Yy0DX35_wjiO< zFDk4Wrl2sJ-Nbox;L(-hlX-OpS5q>7)Y(?yg}h1BYT>ihaCBjMgclSX^oq5X$FW$< zKRLx_oYOs8w=!i)IaHs#?37#Txm;LNmUL(km!iyOG%CZF;9;`;oF}@D@k+n!%l}AuNO0 zLaxPX>G(lL2bO%aL9w1o4^KX*!ZHm(XJrSiUizhvg$lcMiY?C0iiB@pSL{`An6)jf zb@U&|>6}{kSldb64zK8iaKyVNtyx3Nuf(4QN}YXMhtL8J8$T%=d_^2qY*+Ij8r^9! zc=1vpt;DzbtET!`Kgk7FHT=!l_uZDLvsR%8fux$0`F|zoCl?5xr1!AJytEJJ_A(9P zm3!Z}1xM0iPK`H<*e2J1y{Hnl>JpmiNq+kD%M5)KjShT|gFf^5cm*olXT*Z1OX|}^ z87k8dZSx7J{dQ40@>XjHq;FQNQ8Jt6WPP0wQBWA)sW$J?HW>rOvt!gj*BYW(c7`CG zBQxaPXVD+7pZOd}&2%4a4Uh1%0`dbcrIf&xM&Ve41jVmzWA$6P;QplGwY<%1(Kmj* zEr-mOlbdYJs-y9M**v}Udn-NnQ-xCb=w}!gG!*_#0(s2D&+XVyoluV z-Sxz*%mp(QapCv0LHv)(82&aVOgtl`m9}c~)W0njXa9<8_1^r`R9sKYO@lCE!z&r% z3Lx{pItUWI-sLy~CdG&Dfq!3|7)&6xpZ)~zLp>_l?M>w0Fx_Zd7QzHXyfiZPbI^Ql zIl?iUu4u;I$;Qd%KFwZ*D*k=ar^gIxtVFy-e5MPgTtz6-PLbh2N`rMNMBZg+z_<)z zK=rFM`EkC-c()$ocaaZn1e}%2A9;xfft=k3)Y$zq*!Q2o`jQ5usNE4psz(*v)T~P` zM(j@-akjPRj5vsmC|%}-rfqe#cx&=gnKs|&uMR8OW)B;Z%;-L9uH|Ij)zqC}Lf1OI zBG>A%@>Lj`t2CzwQ+pNxQ^gLX(76k8c%Ll~nzRGW4iAG(Ett?ZP9*gMjJo zl5dY(t$W4>D1LBsuP2(FWV;W;y1!*WT5iu#-rZjZz)Gdp_q+Jr1k*3vEzs3&4`nH4 zxb)eNpTcg#YQ1Rc9z+U1f5F4Yt*KtA3Ie+>dqv3Ha;tt5jp>D-tS%FUP@U=6*~UuQ w$LeQm5GGkSiT?(q;>uFWF+w1{gdjmKq@U!(l6g1+1%sHRda#6I|95Tw1G>nkhyVZp delta 5812 zcmZXXWmME*)5hr*kZz=~3XMvhUvB4bTKCh@b?A`v`n$Fdtul)Z*?4ogJknL?bz7v; zDeppOjUnT8+gGL{!yiaH4J#1uKj1`2rUe{5LaQiiOwJ@5@8@`+^wE*)!yYC}09|w> z{m4HE{|BJ|K=eN-jCmu|?sYTv}c(O1Lq<5 z$xs|wvas7AuoVcVhyo=;`nG2G_y-|7#CG~ESN#Y4>ps>87JYo>lJzhuy$`PLe5Yus zU~v{*jR7r#rKjjy7%XSj6Lqh(5jkf9dSW>ZALg&-jMV_!Wl12q2D+!=SA5VXy)1Wc zBtWP7IK?X}zS_~^^|#}$`P!wLUe0pF$wExSMJC$Q?yfD5$I^1=q3zurM)!Uuq3=%d zBxU1FEZ}T$WVM(NFg#?a`W4+2l7pN^ib(x;OHY=F^kutFG0bZJynC9(cJnfBNWAUa zIKlnvBlrh9r45mWH^gC_%?)(&{} z1zApVo5=r^xsWpYcrE_Kqo#XBBEzcsK7~vEjk(8{iG{e+99NZahhKz(@J6r~o z@0R*jbPtAH4-4PP^j}ODxsDG*oa!+dW%XK{1^IT zu5?T%{tKbP`L7>2`VIE^7_u$`0S}1Bp5& zJWLmf1|+?YWo0Cm3{Y<%1zye>yX3#AAG>b!k%c_gy(kUmn4c%pB)*_oOMPHyFts7J zi_e-R{L1b}m-F|;#*u*443!U$7i=W;YTote`MEv*3JJbdOnrVl25jI1{XQ2>+|9;} zbK`81%cZ>QW9KUbdcnGAe<{bKfglPSOTteFQ@jczdh*lls7DlW1H;xIf>|7{gcf9T>510uH-w z+vB#y)B3Q(O640K`UFx<^~3=*XBgxuN|9;#qDQj&?QuVwy?*m&Y1bXYAM_5D^NOvjLd} zoDvgE^X7o`SVn7${C$&(Q}2|Z&d6w0(3zYcGEzJQcLaoiX(HfNR@Na z&n-Mu;|j2$msHuic{+*jMxu_u>q^6k`V?nFubI++-o{Af`;75Gyd`SE^~=8JjqWFH z%2HGNKI^^tAt1k;a?3^fl%qwTXp4KFb;YcImPA=~!;ljp33^s!z^=%`dTQl2w|o)z z<{w=DKTYPFw1bqS_+BX3bU6xm#)}^(3$J;XLLT4RD$j}2xMtq0-5U(lmI8KD|5lm)?wLoL4cngR zjdYf^JNX1-d5g;mw|gT$nNtvscvn*^ghqsV1x7$4Sg+ee!cEXNtWc<^NsCX8wccRE z6#h5#XNn?h&UEB+kO;5clx+8cb&r|vY{KA)UxTR%OZkW?^t8Ac(~sv%MGWqmE`5k{ z=YaH%vT)Y^4zQ{u=gxTUdd=8`1|o4ov9$X#9!}9xM$#Qx3+e8a-lCTmw-_{#1r^m{Ea%t99Tc^{M16* zMoH|~e=9Zc1NTpn&)F!kp#QR!v6yZEgiJr4BPa~}7|82Q(wFVE#2*Zzi71KXFZ210 zg$G!=OaEXn$`F41QsWz>ylwU{_I%oH9Y&&9?^>laN0W*fNng{E3vk9x}&@`dLl<7x?7#4%c42#;{C0{!@)kCrE;Pq+@BBq zSk-EwE9ji*kra`LeG%T}6BlxIie^rQ+AWqdu?bAc=94j~EKKdmkHycCF-kdCYZ3FxW zbHHq*7r7#XbDt(YHoY7t<~zOni!aFebuxKSUk_(9F6-?emK70lV!>3(hr6h1vSA25UfAp{OG}ZB+B&rNUSnTI=)gR0e6) z**RLie67|TtHUOT)x|ue@!2DvqCcAWYN7j8H|ELKV{Qcfi(lWTS#_lKO zx7zH~gvcUt4Q<-1>>d!e|B`0TQE+Fe-A~{rbAHjSn-3jhxCMb!jRf`qsfI2|Ut~YP z#JekE${)OGk*FDIrI|3wxZ=#Mgs4X*1AopIM}G zz3qhE9c||tE^L_PF?DsFm=ewKVcMV~T6>+uRHBz4LJr2Nm$IC+2us7%iM#se%TNh# zr2cZVW|J;_M>%bxSDe4tGt2uL@an4A?iuGFO?ODs_s?J{W=PHT&Y;M@Fj7rw zY6;Y6z!ayR<#%Guv6x1|v6docfSNq$l9%PCySNqAhuUX(?=>C>n9`}*W!v(qWpzSb zq_r&HUDHK1h*TzU7c#EvFD~_$|1k$Ou-@~SDj902&hyxf^`vmM8Gt5$OWVduQ;{D= zqME_;l@6gb@8)@`>LU6Zvznntax6&cyR^T|r%{v=c5Tmu2%Ee3s8APA(&V z{{!In$)$b2i7_JJWn)3_m4wjytmr>(_xn+Oi{I~i*qT+^$<0e+w`Q;C%xgw59=xlqGyWIwAgu&;^r8?@}SX84p@yD z)npPKA3hHTRhwVdZu>7Keu4~GKQy%l+x^yzo^~!bl+R+7^gjZWp=y<KRyaYSccPfEgxNfyA%XRI}X~$pSe~Yb|<6uOr$W&|9jUgeJCVe;hG9ot^cVL1l5J3$K2V0{bt*3%X@rb5Pz? zdpXa%2ZSoib}N15;lSvD;0Cy5_+WavyeGHa;fVI*KmF5$-6$-5)A*Lc$>BOW9q-sZ zczt_ZHA^>!{bMIy#;S^KNH-tR*B{(0LTJ|;a=?W?=!~)I@L2^1n;TDm|EOks0Y8NT zF_p}S=HlRDN<;f2|I6H23uByUBVz}wIx%Z$d4OpvRFs)zWL2y7E*GvpA*l0uql={i z8OwHjb#mo3ca~T47TLwNb7Q~L6%bt@ktuaLIjH7*n%MPtuKyjzfwdy-7qomVZ+7Sk z8f@{n)$S8=TC1HTQ<$439~p15Q#U)?ZSOoC5vQDS(fZUFn^k+-MW8~W!!r_i#<~P*ACMu-4hSyS z5D((YWFk{sZI&0XV`=v)qEM1GfThH#0NPE*lY-1U2jgih1i4brniWk8g8K-lVsk|t zmOhk)iV?|eF!bKQ=^{lNo@LXWIDe#`3PC0@siKFh$Oydo9lA=oVk|H|z+lfybR5e4 zxzzFBy;4bdq`$z5T@j$XO#M+psE#_if++Z2vCPTmM8!lIXWH(KEar#o7X!}eBS494 zWoi){rnWBgghRwWf}z9%HJ8QS*IjbvPLS=d_5OB_Ei5ZM8PW=a!?W7buv%t{coIbd zX(!XRQj@!KW7m(jS%rloXj}Zp(&~;;A(*@aFZ|gvrrRFNP?A}Og5-r2PA+~B$a7M9 zLkzV$LAh>c;cD_cD#M1_7=L|(06TQbllmEi=MV9fks!1i0nO2OI?bqWj^10zWx~5A z$7DU$vu>sKhN22kt;ZPuReY^UW?E@M9!^8_7`v6_MJ;Z-PrpXWf8EF|Ua0DtH)p%= zK{Qtu@;2gkx5(t#Uz(uE%`aS2PXIm8JS{crF3PdJ>*bk97SkS=+siFrU%0qN)8h}u z#9u9=xo;h0M#gXtpMuCW}Y5<;l=fODP+#UopMA(v>R0x9`kZQi260U z&*#d8x&2DMkN}mBWYODHT&j6O)P~{_=N}cbcr_D8k5$pkdsqe-SZv=GGHO)5v(1YF zsg(re*l7&eZS4(PcSJP;3a_8dOP0Q^^R^5~-c7?t^AQ#Ir_oYxKYPBpI6qdNe7w*X z-Mv^bG~2&bY(cTO<9^RdJuhc|2}|5Makk&BZBuy4kk2}pMtqflk4c8?(VMtke5r7PK8t$PdGBheR?oggaP^cSG*%9j0#Oetd6 zJjvZ?3ksZB?_kt!IDY&gXN@na}P_<y z6{iu8+I5bDJoKJnTR5~0g9$xSQVk>Yn8DhW$+LRj-*8Yhq=R@hMIY3y5UGu5iKuKt z+n@v3M3PkTOEQ*NrqpmXOPTC2n)U^Ts2Rkm6=1|ae+g;4EXWKo>ZbHez%3Qa6A4H$ zF8ezemKuFn4%%{y$#7A>K~1hq!soDGLAkklm*r}rf z{8Nc+mG3mh%r~Ul6@jys*z?<=sZ7GUG_%^w(nwExG*Sm<-46n9?OHKfKsIkuNgDf1&1#MJZ;%8o|fE&m7^%1YyzS{Dz zU;}Hl`1$pu`Tn3fDEkUwp>pLz-B`k8^KlUo&JRRDo8!~9b#D%}bEH(q;=H^uY$WK7 z0Ju+sfl-3ysrj(ziQDtfwoRQ>?=u%eRSqo170B3Hc)u*73V`~>Wp(m= z%+0SuDz3gbL!zRfAd&v4-ue)33~Q>8;WNf~5Lx}8BB8_*^PZZ6gS@msyYNZ=@*C%t zcF7hEPeYu+`O(OD*3|HHbV54wmt~Dm;JhO}0i-2+CcFuggNUDXoKZ4G4#mDle(<7D zx%re{C!^V-KIO(taw%tj8g6#4gKg zdlVHDltoX;5@>!^5OItLEYFjkoYLD08lpAMB}q&fTN2(w5Acw%hSHco;##9bJ-$!z zL~@QfN|pNJVVn5V_+(d<2ktMr|LsN;k6nhpyC;T^Q)~;qpIdRTO`LT zv{!gXbz?xB6JdvJqr@6!4JulxldZZp{@W>vo$JYdA3=$3Pr1#eDW|eHrIDG5Av^i^S+<-NS5x!H1rB jRp7F_YOo5QlAgbfZZ!TMR}}c~v*nOfWDr}g2Vi@Y91dSKTNT#|bHOWPD?yF|DN5-Z z_SQ<@FeKaTihqy^oe?V5WUy-vTW83RA3b=oRctUE&{otkOTUp5*@O{Js!0;cZK8x{ z#LVbGq#KYz_gz+my4ItZAR*3xuytjGTg1BQ>^{mpv&}3rmdenQHeni-bmUrU;YbzI z0O$%AS!EM0MW3Vu~{cozLHXWkT=! zpQGx8lRrgWoCEQtkzJR#Bh*(=htjC1E_5D3MqPIs!^!Dfox5vV-jj=5G zN=fq|3xB)HX+NRF;u=Tf4|R<2{F&);m*HmTuH=IT6}dBqY|bGYbBK#MwBynfA}*6e zx^hK-!eXbg7H!H}>N)T3F(qGmLLpT_o)8K&z-NSWrWTcyQ}3Id%L9;J9)R@n0Hl`( zAiX>Q>E!`Pmj}r?5O=|O)b14U5VY%J%&k1<^?xF@@Qq-2Q6V8fTj9f@O1>fzXln?k zu6pUL$dtxArBl>B&#!Y9JZYYgWYbvDC}u5ZTHie?yj=cF6#TnS4SBWY{iYQBUGStl z>6E_W_Y@nl=Chdu9n;2Uq;I<=0&Mu=w?r+n#x5yFO3BjY!H z1=Ksbi3Of{!|l;%(cDvp-wnz}R@M2+WH|Z_00960cmd*IU}R`uU|?9@n3)Qs7=(a0 z*xfJQ$KTm8#M2+h@C*(CNiZ-0F$)6+kUS%|B>*HM1H>S;0j@#8Kvk}OA@RW>L4Tfp z?(sloP$WnRgEbH{F$e%j<(on~Kq4?3d|iWs9o=1#)J6einHdy-q+Zg*-$05%6o^4K zxCi-%2E>O%1|Tb!07|lgmH!nvvlgUW3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP z3c#LV1M8Wzr*(-VP(%`leS_WOLql96LJ+ ofrk-9H6uGXbi`8LDS=e+LsfaY07Jvm4d@_{DA)x600030|BX^c00000 delta 1216 zcmV;x1V8(g3X2MmTYm%u00000004CX00000000XG00000004La|Gz-*h*s_4#2%@CZX$>>#wz?Cz1&3hA0g%`s*6g?eD=vWeLwK*;&vADW zR-%IOaFd2=qYO~~6W6lO+Ol8PH zAbDZGHGiG6-+!7*a`m<;snIE+e9bzy=CO4y`N92r4>$7-E(f$Tv(zze790-W} zc5pExxk-%F_^c=?;?y$=pH(61anPns3I(Y@0D%h)Ykw1=HL*IG*Fp?EsTosCQ_r!q z!j=ls0vHMhxxjSYIj1oSfqH8*WWbv(*_?(*Vy6~Ky)DDIpIw|7mE1jI!np>?^QT`- z9DVmX$|mkxyYL-Tw3bYOUg9GzEWQTBPQlJ!pww-xJ(8J+aGSPnEWo@S52o3vN+v=8&6n$gMf#b{om-Ch9Odkk0HtIY0Uo6B4- zsE&jgm@m1kAWC{%gk?1=OGM(LCSApuI*)JeR)d&2iYfw>qk>75Hz8k_J?@}HRMNl) z$pspvS+uKzWV4yefaL|**>e#zdq${*mx)UBgn!LAJY!V2I8<q?eX# zlIP*aA7Rz*=2R>o`~g}(_>;c;(OWW+zcRsJD5}j#T|oGW77$Ba`6I69rO!yD45-X% z8Z~BB=kXi#SJ2PkV@sfiLY7=W!TQ<&$w!oZ9%#0Nf6Ts!K|fV#ON?)R5}NR}pq@0K zb$@%TXb$?`W7n?{KJO!2@)pbdto1O(kp1GWBLpVJ%=nP8GP5ODF(#zyB@jJP3EhUg zt-t|yhRt>%Fq|Wdxg(fnZ!m`UkgdIQ9K*Jlkq;ZQ+|2ySbr|yjlAiANr0FFmH|7a`1thI8RKhOMRxOdhK7VO3KmFKayRygs$ zy5v15i<*<+F;2@WTNw{We*gdg|NnRaOpw1y13?hRCo$@Eh7d(XEVK_;r*S0I(nT%R=OhivNSAUmq z)PvYm>CELST8F@LlmfM(4j$2b|HVsY4-(evz@T&$6USgHlMsel5E?>l%3u%Qd#{rD z{h`#%%IGEb9Mu)th8;}rK84+ccTGi^Jh5Pg-#UfNh+)kD&$3- zybCeeYUBiHmRO@cqWjtHqk`XoN;x(u>Ph_Hn)5=JQYMnAvSx#~qlatBNV~NB-RPTc etvA=%y7)K{YU78pr?`e4VIavi6MO*x0RR6p(^xD3 diff --git a/cpld/db/GR8RAM.(14).cnf.cdb b/cpld/db/GR8RAM.(14).cnf.cdb index a1177a7373686f1e04a7d86bb78ce8799ed039ec..8eb47e8c2bad6cd78a4607dbfd7b0fc9ff62e628 100755 GIT binary patch delta 674 zcmV;T0$u&{1@Q%tTYtO(000000006400000008j<00000004La>{iQe!Y~kYR8gTy zNbnC;&wWtN`47}XlyV|!C7@@1nQM8uGk!!{lt5ymN~mnKacu9*+PiBjT5G+B4wOd-jhQ%ie7g76AJ0jZ=Q@8EJdUNCdmydaGxt@sx4#}A zn^oG3;QlhdK`uYv_Q<8Y0I9z3|HgdZ&l{Mh<9VexF3Z3`_zfOwJ&2x~*l`6-xli%^ z00030|9Ao7W?*D!U|?XFvukn;kYW%5;$U~bct6(=AAir_ka$z#C?07 z0TqIMhJR2E^&dzA>@!XV1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK z%nS>F^tA9|Ye68*3&aSGp8h~C1A_z*b1`%P$&J@Mrh`QIff%6-6mE>H3>iSd9kVaI z0GT6zqQD<$qc2bdViXI51CZ74V7VVtr6-C?ZX0lL8{S%-1JWxD#2`!I0Tu7$80^Xj I00030|IFbnRR910 delta 676 zcmV;V0$cs@1@i@vTYtU*000000006400000008p>00000004La>{iQe!Y~kYRJB5t zdf*>W&p>I<`2oHFafkvZA}Uch_m_Ju6wHht(Sj04?5a}LZnSZ1@66h}Yb#o7eSmhA zQhT(q3Yt>74@xC?I&LIE`vo6tcW60$!xw}$vuvGB`cu>Ai+^@f8sP_#_+cdeDH1=5 z#6L&kU&8QrNK{_D<_niF=KWMIW$zZISzfG4L>sVmy_hc@x}EELpjPv@O|kZ+m#9Ol z#S4bO;u8#kd3s5iwmY`q=EJDE`%CIa7eBjp5A6ma}q3EWZW3A{%epL(RQz~Yu zSLH9-%b+mEvVVX6?P7U8T-*P*uMLfv`0n_0de$G$NtNe1f4_JfOE>pGTD52Ht7>mQ zJw7(8v>CzuWqyNPem?DyOL+lOec%6$`M#ewFi*$xN^xA4fr0QFywrLSJvFi83Yv0X z<2wQX0RR7Z0d!EiN&`U@JsXWtgQ(HMB48>DNs)je;(vqeW7u8T?CS1{2*PM@=P#tU z5L7UKU}YmBLGcf)EUc`=&+yz;93(h!?wz@F&V5y3p#z}*aDGUK8j<<2j#VCoS*}%_ zrw8(Z5>Y|l0TyC~ot!*KrOpK#JyS7rR z&<*LO;D7&mQIyc6eeMNY(!e}n$_1fH?bHoSw_|uG*gx~hM={w1W_Y_> ztq&x!NEoi0ba(7LbRwlw<+DkZX2L4Y2#)A4_D?rv>8A)I3==+q1!58r?d@xDDUoTy z&}45`83BX$*Ds%vN18E&gcZAte5V>MmUz}#e;pcThJTn<)TwE|-JFPaj*y(ci_(sr KDeDUW0RR6+JwCty diff --git a/cpld/db/GR8RAM.(14).cnf.hdb b/cpld/db/GR8RAM.(14).cnf.hdb index 5bdb854e3d6aac7e46aa49a6d23670ac415a4837..c0a9d095119c215edd5505cd5b6324a82e9bca41 100755 GIT binary patch delta 404 zcmV;F0c-w?1cU^TTYmxp00000004CX00000000C600000004LaTu)mLgD?ym6R
A&&9e(W5*_6IE4mZ|KK^U6x0%2DzLiSq_6q<2|NnRa;$UE8Xp;>ABYy)2kUS%|B>*HM1H>S; z0j@#8Kvk}OA@RW>L7sl@@jzx!BuEJZClE6+2mndtn?gH4A}||#U4w%i-CfbtGBYRu z)#xQn{0&ko3dA5A+=Ki>1L8v>1CW)o0wr0&%KwU-SqoAw1;kE{!LAYU9-gj2jzP{I zk?{fkK_T&;epzn*AO&Dguz~eV+S9rOWP&6R`v$wmhqy+BAbcI{?BVL`2+{%e0SDLz yrwwOTfJ8)q7^DRrMiAAE?BLK5OL?aRQpFEd<>>+p4No_qgFvES7XSbN0RR8*U!xWP delta 411 zcmV;M0c8G!1d9ZaTYm-t00000004CX00000000XD00000004LaTu)mLgD?!+CT)Ci z3jTKnu2PkvA*vxkcKESJW|xH`BDrxKD``|!4~R4H1>fTY{R5pa-y3|7*S~L`#)-S2 z@Nw`xhdUp~Md-u5fG~#X5rr*!kH`#KEV9d#ZC;1XSiP=dVKeK<^9<{78~GEcFpw8A zYM#DO6!xFgF-t0Vd9sywU6kq8wQ`lF{Q&>~|NnRa;$UE8aFYoEBYzMwFr1Ow5&#mB z0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKR znHeO2YV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe z1WG}CzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000 F|NpmCtDXP= diff --git a/cpld/db/GR8RAM.(2).cnf.cdb b/cpld/db/GR8RAM.(2).cnf.cdb index 566de5b1ae00ea461fff4a82e15b7bb69217a96c..3feb6eec47555bcd006bab500d6e1f043592ff8e 100755 GIT binary patch delta 2066 zcmV+t2<`Wb5swj&TM_OC00000007kj000000057XY&?HI0T3sU;J{nFUpstXcUMnO z(__zgypTlhRlBFFs;l}@)l*fIP17{Jjd~%9qQBApUlrnQ`Sji>YT(~_18JcB3v*-p zCt8B<;t$IGsJ+g#(`l`}qX2rSd9;u+3@!-+vdEWmGkBpcgBR;Ec&RRf%XJxCsmtKy zdJK|G4;Ftr#-vqK50X|*JxE$L^&n~0)Ptl|QxB3>O+83j3+ln$Fx>87WX-pVCG)=N z^m^@s!^Di|EtD^_ZUS6eQFl1*?wcWLrP23bRHr+fSb5b&@AqZl)h7M`WKQ}Ahr_8@ zPb0crY4Q$-1CJDt5<*(}h%#Z{+d2 z{mJfdd}JB84|s#8pQY(BU+I9y#8KDN=lB#Zz{f6qm@0#==(D{DJ+1a9v@03xPV5g2 z1Fxp`Xx!_Iq&p!;c(Wycw@=%1`~I^}@8kXcir(LmeE+QP&-rKddU>DcSFU?S>tDf7 z{r-R82=)CUA-P^rwyv`X{{#2uM`2x$3v~KK!GFj6L-RZ>aPEI-{=a$fhoFW4rG!%> zA4mNT=f<%`mHy?tO5d7#U8Yj*^ZY@fe1w+W@ua_wwm)H@)xb=mXHb6y$N#EAoJyYk z0+~_?qtAadp6uU;_9;|1g5*5E)w^dCZ~%X)@(6yJfJ>BL!(}l9w*^vi4hLD81DMAO zf#kASA);IsD}&>g%*WP-QsiTeN=BqnzY!mk=x;tCV_SLQSYdf2p&Ffnnc8RDQBL(qO z*u1Ae9W^L7L+ZQ=IK%;@PMH)SbvC2`sS_OqNS(tdKj{To_IAU|FlX}frh{$)fLSL6%?Z z?X(fOh+!OKc8p&w#DfyF%K|WJ{9G2sxvj&(c))d77&o~N3*$@IVPSt9?m8@tS6+vO zaox3M%8_a<23z&oCwocf=0s6_{ervb*f`*^j|=rFgcUf}xn)KF?=4bx&M)qjzAol+ z5h|%c$VT(+?bms*Ys^>hwq<_L*ghRcidRvo#RYN`78eNFEiRCmu(&|LZgGLUgvABI zb&CsRC7ifBi^@OYeRzL1qjDY|%gu*7LY4RPZ1DZVtLT5dIv{U z=@~f-f4*UbCnID0k*qQTfw3hV8WZD>X%&utNXu^!Lc`f{4s3x^G~%IL0L*$QV`auc z2Zcr{4hbG3_KO@1$gr|WTUvg%Gnw29J4jXJ=k6Ap`OM!fWoUn_?P}|kt@Eujvt6~x zv|Vo`;c6p1yUs?Ex8h4Ouf#ZCo>}a{gIzMhUXhk{ZlU*1dNW3yl{@Gw;PnY=D9@5Q z$<`mk`-~2w`ywEnDzRx*^Rd&xZJ@Xr5MJL@p7;s;uu7j~tkPctj#U+r0=y~$R~$a% z-h$&Ys|YZYFU5ab#&FjBqlIP8rwXs9u@_%LKCc=N)l4!C{iIY;*o00030|9Am(QavvOQ53yn zvFmf!Mq{N<%@R z(FuNqb0=cVCMG%e%)9TL`|kUQkwhgTI={@EW-cspyRIQ}k1y01CY z1Nd6i%+Jb>uH=Mki7c8Fmdz^f3(*O9e_=hvrY68Q>L!LnDWH~O=%sk@e9z|)?40YH z22NV4rw%qe>~1o30e&%7xwEn*=l@(v6p}jXNBnkr`#J?tCs1iJt-lw^?L=q?vA6Uw z*bL?>0gobu=@7|{&nG1UZV3pKLM9_DDN1Fe&tJ3yP(=-X3Q`TNV&d}X@nZ%Y1N=oy w9AX|Bq9vf3rV+QN$8YDsL8Ts0#=IAld_7x(klFyQ`Fr=SBHKdx1^@v6|B=`9*8l(j delta 2063 zcmV+q2=Moh5sVR#TM_RD00000007kj00000004}UY&?Gwe*htI0SOMg#rw6x_jPym z^fW#8jK>Q}hGpOgo*{+B*uMhnhzVDZ}8BFd&P3DK~=`>N0q-E`yiqGPqoq!IioU zUarR=$@G619CVlXh9B#1xa`}98E@2}|n9m)64`u?1MRi|{{ie|{X+^|(N%PZa!j%s({G(*o!IhvxsA2Y(1^2vABm zHS%%P?{ID$TU6;^&a3pTsn=yH%>uCEE23ifwBzgw*S8)8VD#WSe z*)NbOl`#7JN8`!f&%b z-fzQf*7d_)ztd|Ujs_+^r3l}8D1oX*4d=6~-47F>u!xXl}l`^TsxDkD|M?)ofqgYQMI#x!JtF#bK9-$}v(9 zKZVVE3e-`9axaV>Xa}2R&^X@5X4$b2 zHp_g4uvu0sgv~NmA#9e73SqNMlg08)lH6!Jd89n*W)MY%$Ed-`q#`*6pv)SQn*~T` z-+7tXL9|U~vn02PE`;cPB@qkmiDUQ*YLJH5-&kf~A^PD|z`0Pa&6_*BMe~1Gr1Z1C z(BonPum|&s@QddW8vKfW#zr~*Y^nXMO8+Cywn{z~Q>~PLUD3}tT#bt1v(PT%xs-<9 z+(V`4No(xBG<{Y6EBfM-qiUoP~&dS4%`t)RN|N z*pBRRsO2U|1aT41o#FIgZ?JzmUDuyE+x_>Z?O|uyA9ZiFcXtm+9z~C#`hi5%KKYzO zW#GZez8ied{dZrdq?LtYA$-xsXxOSkCyLI?`xg~Rzy_;C%^D6L+kT8QEPgPv5*B3n zwcbt}k&76{F=og3)j~WdLAxvfqsGr=VVv7KEQ|+ShlO#I>##7sbRB;d#^J8R!g%F% zSQyt`Yo;8j)?%<#uYIzYbZ$-*)z>e$i;j&09{adZpF&uHW1U-8^#9%>W#|0jUg_&% zE*GJa8iZ^#-`;+m2fN061#esC_l)h+ain+^m0DaNH(_ysklo?}nF)&v1nd?U$V*sU zAY8Y&Kvu$uyR)eL6W)J^XEQ42;j#RTB|aIpII%`enEjq8IBx;DlJpb-(Iu7#-KuwR zRF$5Qv+(B|R(LWp#vjQlBM=x{!l5xS{+L$b_=mLo1|c+@9p}InC`BV4$_2ozhcZ@X z9CT1i~yk!h$%|BXL=6tH~dK!E2CFJv(L3}WC?hNGd(IUpKGD(?!kCs6c z;gpGM>X^8q$~EJv&lQZbDkRq&VKWQ9#l$WF|Kot$cb;=}{{R30|NnRabW%+(15p&c zgKB-Y%0iH^5DU6!6CzTiGt<}3NIO$A<1>)mmT2^hZCt}a+<{y0@`Q*aeOo$2L18#hX4E7 zQAY{Hn;m~`iVNrh{OvSdShA&Rezh(13dP!0^$p~m%vXP%j~Bd?wNng#=VN_Up6LgC zt!fravZE^n;aVb(B86qM%KPeR6@0j`k!DjH;2U)lL!va$NC$|E<;Pp@L$GqrZyM;d z)Qk?}yW87l>IM8{ta3}TB^Q5ON)(bN>PD=+)J}6i)C2rBnbyyXcZLLA(BA87}3 zmVif*!o<&E^W#B@fI9*LWiFQ!mK3D|rq7k-@ng*xxfAP{ybaiq!MzI*2*}&iQ@!t|Hq)`UC&~|Nrw^27>?q diff --git a/cpld/db/GR8RAM.(2).cnf.hdb b/cpld/db/GR8RAM.(2).cnf.hdb index bfc412fe402833c3cfa1cddbba0403d0c1172f10..ececb3cbdf3857dc9e231bf08e82aef6ca43b48d 100755 GIT binary patch delta 809 zcmV+^1J?YE2lob$TYqo@00000004CX00000004Ib00000004Layi`qZ(?AeSD`=r` zD54h*i2Q=8d=)7tpSW^JZxyoqu~wqEHgeW&^D8*=*SPd2^bCc9``*}NJ0?yq5hJa4 z-g~n<>(T5MMNtf3FEReY|KDQ=dH*2qs=;#|VBA9A>yC5<%&tpp|NOSubb9!#FC{8cvV<$IBL$g=u0-i94 z5jElwd_mkBc}?~LPMoGQ7id&E6XS($o=ojiXk}hmGvu1s&L`8zjw;?nmRgQa=52AER!Czdi zt};#cky&a^679}atX)lfe>X8|$f~bz%YQao*?5dvcYhtI>jk9W^b1kld~M(k6cQ2wE=$;SJZ}X0UcN2Us>0AXN2nHVb$Ty^+pOW`!|@v)CRCNC>zV zz5-VjE6NyOzWV}lzQhWY6@^7nbABKMyNfwr;ni!t^QneWa#)x$s47SemUh$Q%NibF zb=Wu1`hToA5yDvW!9Ljd%NgHEzSf5}jP3vc0RR7Z0peg_WN2VuU|8OmnF^#Bgn&5M z-7nt9-`O$5(;vw23=RQFFfaiz3j+s`JR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A} zKxR-RNC|@k5Hm3d07>PWLOVbrFdKYbgM%I2U4N0(ssUx085Dq|Ued(hK#D;Wh(R{E z2lqtO0t5L{}nm27NlGXh@BjRT_fT>JY9nvgPc7g;{*JILgGFB-26cb zz@A_O>zTBtb%`ucL=uR7gWcmpTq8mdz7BTwaP@Tr>0ki4iUaI}(}puEKq4YQ4AKG* nBO-`uMs{%Mh^4$!0;%GMs`7LJhK8pb&_N(kunPbH00960>9cLb delta 830 zcmV-E1Ht_F28;)gTYrQC00000004CX00000004{w00000004Layi`qZ)G!d;@?DBl z5L|jd?U56Let@*6oVapmZxxCX+fi3*k}B(M*Kt;P)r|uIh~I3HlH4|FeIu&wtGQ>E9+HNmNL0L1RYw zJxqzU@no8kGQKe5+te9fR*JF9??O?!$QbF7seqFf*!576i1{zkviA!ue%}3z@xXQ1r+2I-=CMTmT3~Rmn5MrMMmz!Cf zWs~i;iAlSYdudBikWYl_kh z*W*xw)hajXy7C_nVnwzx=r|1F${|;Lu zV?F#6V1L=r+8ZGNNgp@t?#9?YqYKk6HIXJmm}iJo*oT1r^gZh&tM&6>v)=#!0RR7Z z0ZfoPN(4a=hHKZyj*+sgvWglg7z*CNcDA>t!I^HlTG)viL<4VNYTyAhGZ&1^3=F)1 zdjT)u1w`<#_O&#BS5fs9^(d%AheY&nb#V?ueSe5ela5`fqIGaAM@di@n#UuWZa;a6 zY(m0%9T=2uV&WKVV-noZ3PMAuO9lSjxBjz4emm5fSs6XU?jbvuEA~%sK7?I`q=O0W z#HZN$S$qk}1zN%XIha1e&<1p*SWi-Abx@(K+T>k`$u?srKwe^z2JmiQuJ5;C*P)tC ziZ^-^|F`C{(zQa0NTw?C2CoNqmlBb3Dfzq6H{I#w>#Uu{MK z6Huq_erVl)0JOXzp}o*cJ7A~2+}+) z$KXa|fb={q$Ka;JGPwD$3~o6rgKs)4gIf>F;2D!t0WUXksqMb2^kl}H^$QoyU%tAL z=vNy`J{8#)cQ)@#)CVh#BaDFSn-}*I@oLfAh`w{n3|~$0&ybV40XToTqPlu%Z)^K} z9#Sw{r8oBY%nYm^pPAv+<1;hJdVFSvT941nfa~#@8FoEBGlTCl+xVFsf=mi`er#*+ z_KQ1r5q2@H5__6}E;hE$&+P({d^aOcO2kZ4*n0*h{vT|;$Q3jId1*WmJZZC-BNS2^OtrmY*}^b zSKec-&hpPzAUr}RjEf35lF z2~TSD=UJay(%cQ%a|*xa{i7yq<_xx8*;v%s^>~2W+|_I&Zn1ysB*MK;Q+k>`mK(m^ zTRsH;ANk;SQ=j*8fr~cI7{LIy+A^-nd!uM?g(GdPeR^lPfjj+XwN2S z#W3Ul_rhUpqQ4;bq7ZrV(!W$(NXFuosz-+E+dXBiP5NfLk3suwta+Sp#LhfXMqZ{&{>)~ui3 zynJcn>g~60?LDj6FKw2%&!8n+YMPWE9Oe=W6kljE5&I5%yLavE-N_!+kLvPX#>HPN zCGkHr)dmFV7YDpUBMx17=OHnM>Ch#V);nLUviAqj3Dq=+KsK0U=YWNr}(zXkrP%-xuMiL@-}IS7L37@&}Um zIa4;)rYKC6CvE)xXj%6M8~<=hBIqxx*tnF$+7#t&lBk_1dUm~IP*Izr@R~nq;}4>* zOW64KDT&~}q+;Vz5^GbGKa#}HnzFGrMPYwwV$#MJIQuAK<2$A#g7`NT8|CeQ)Y0Z( z%<&0{*rx$?bwZu13A{>;O8ihoRo;I_or79%^$FM`k~T^Bm7YpvBg8?Ys&Z!Rt~)MV z`4K3d`4ZvsOt^fMLQmub# z&ZtzYnqwzf6=85$j}JTDrdwV(qG4@acf1JNyskSk)UWG~0rl&;qdon)?zm3Bt~-L$ zuj`K0v~|`v%@JrdXTNb8>uya|v|ZbzdAns*dAns*dAns*dAns*dAns*dAr4`wr$$8 z-E@uqL)Drrd! z2G4TJClSsd$HEKxSxP!b8+6#Btur_@j|Ck4ygw=1T-S6roC0oh=-}~O`J}*QQez_v ze#|7Dj&1ySA5d>`byDD(lLvJ2x>H8Kud2Wek2w7K#^#Xlt!gNbF{;WigiwFLPw@+f z_*;u3!Gebml{LrNzfrihs2qcrR(9$0Rc?qJws+P=pHmP8FWvhnGCu|)=UQ7bIlmo@R2uQ<4j)Ln`SKD=eupyKS`sN+B9#$ zygA}>ei9X^n!-mtk6*Cs-L8L%&z2FhbuO4S=GUCih(ecy8-O(W51U-#w_KV+?{6Ld zl)pLZ)IWGaUKmKr_(zLA@$<`#W<<{C>F-pB__Fi}sEH|vyNNuK|IQKD6fB6lp?n5$ zH;m69?uPIg#NF^cgSZ>IXApP8_AS;d|PF^5Y(#pxCz6BAieL1q(-G$?$~} zz^fg|PeSs+!AG8$jHXgZt)-KYd=NP%A^Bi)OhWQO$qP|O8BL|TM%pAFyu6SjY?FMD zJ0>CdV0hF*yuVBL`nrEw`O&~<&r-5OO@0YG4t-d@+`W832YZptg&fwtJ+RMW~=wz=NC!P4L`|P*wadMamN@q;cSW55TQaXOE`zMXPN}Z z4SMz2u^qd%WW#^T+Hoic2ZlpuXKbUwP8WTN@csFj9lnNtln&5*P_lg#mQjTS%;Y8u7p-z;DkEDu#r-hYS#x?Zk>5G}^+3wH0=a(?MMV8cvi0(m$<8G=>?D7T zg1llN25XB!FD8161ARW>^GQOue4VFKc_~6@ z=wqH1LNR}gdxteu8Dh6t<@$;1qL*&*#40Dj`~NUy>K_jXwh^i7BQ!d%i`)`rj`MkK zt&YyUeVJXbtbH^W%A}jold^UAtLH5a_DvFUuB%iU!V#s*;Y24+GwCSJi@?7WY`mE0 zhc{F zc(5%o8XhS2y%nV)98tO)r1jF7Nk?g3a`hQf#s-#I(%Idf!$cfLWS4_9r@ei1E$y)P zWn_Pi9`6}bn0b`WnDjye4|Dd!E8Vj+Ke(p7;eaDX4jfW_Z5~|v1$=?Cc*w-Vh~1L* zw6x_l)Xqr19%0XeVB*M0N4e7QES%U4*QIi(z{WtI zQ1~2t;*vw6-Mx;Z3ZGP;;rqH;8GJgZ>Oz05zJ$%eXP7%q{;TS*wUo!TK1>v}Mca)k z)@aF5*(1donBzECcCMVapXG9E@Q`Z)-TG{*PlL}lAmYeXO>r_)+eT(a4TYGDCbLOM z0mPb8NyuuBfSILbgcvS)iSO&IoRrGPby{Yub&Qz26jHN%4Byw)$|e6Aj)#3B;4^|9K7_Q@}t#u#~Iu2c0<#Uod(BVc`fLkYcMgvFW*QKa`@Xc zLV05N4&m3}hHrjxysCT*-{D#D#NvC~hV%#iXSqKQl3~FNEb@~Am+H+}Tx(xd&1x6# zGvm((j+A}k@oI8C4gTa^i|MHvweH%RcMuaka z43|YJU?kID#lXEK;2MASpIpF+bJHJw4-3y|$fZ;K=>l@-4MrXl>pEOMfs8SUC^Dqn zrjtXI`gyeTF;Xwv!jKMXh6R5i@QBiIP*>asQiuv-8@(3LebcqD$hdUtPUw>=)8+4*x)!7E`#YY{f8p#Ij6HQ2I^=MyT3 zI8!0hn^^l{T^>kTS4JdIOz6G6b!o4z_fJ*yhSL`&ZtNw%#!HKA_X>X?2n-(NObjAY z`V(JsHh1~obTX&CtPl>ZuFMQzZNhebLc#-UL`IOeS-HJdsyW69$=kQ&>i^6f^?!Ut z^}D7k)#nE)yq|08dq>4#O-H=4rXfy+)NcKJpw`}SS+D3_Zk`GyrrhmitN&7=w{;cc z=02Y`hE2Yu%o{{mS0H~c+cNI|-lUi-y{2Ca$Xrlk0<2B6d~FM9NOIoaO5?SZn)$yb z-bA-HluTYX$A;&LtvAd|F5fot1}ryAXNkv+K6&xhcwW62t=}mM5A;Ngte#=+_fqHI zDO_ejXM3fpj@-Po$o(l^hRS7!(R~1=NBFBnOG79__w8v`H5z|TjFvt@$n#Shf!XNV zlX$(SQW-)awSD`6AsfXx3rYy}Ox;H+QZ(7BJ4baf5kK~}VaQaZnO=PBfLVjRSTK{u zew(crT!Q13^5w;(`JT&Vh-G%(7u9MCiW<6c~B2r z0UbT-`2$yVNSA-ICOJ6G8_Ldr!;yi_I9$Jy6Xj9PlBU%NXcL4GO$ODIT9T#!Ay%8j z`-dbdXnGQ9HX}6m_f$23Ap190gCm`vw&EUU9*#M7Z#nq*oO_RtZ>cM3fGh3G(n!Nk zwD}}>M-!*N-rR&q0CB3V=`(4qA>ujp!e2R9!-bzMrw z%HsH=)5!sDQ(nU2Bq8E!Y&w8$C}B01>bWKpZglv@DWjnWipTk z>OfVksm)bg{PKu+nxxocn~|nWM%4`=JY0}v%Tj-1vbvD~7w`zN$H*8}=ZBK2)|jSY z*HLI5CYh2k894dz9Is(55K=>R&L|Zu-B;e@xa5LBUCj-i22(!Nm=W=PU9D{TsBS5I z_1A;#{-#foG1(hSd@s9JHhk4ZeWv_b;@5b3*sA#E&t>feKh4yZ*8d~N z7!QA|+6#V~IY<4y?`mc9kLs4fUq+wQDZ6ovS0ENH5rz_C(o1pF=p9aALYv0X9t8lS z>VBz%k(yacITfyw3kZJFD}XZNrdrT;|vlGrlbtT)KaO7t&?m1$uA+NMmKJOM4_e{@@ZPxklZ5>hoi%@3vDcrY8jmp!Eake(jj z>zUrVdwSB{ydO=r=VLH(^x}?BTEqC{N!i|0tgu)H{}Kcgjm4|1nR4Vf-f{>@2QG3y5%4t6<-du zOGxBQ0AGPnpZY?sD)@T%^durJ1z%lNI6enzmy&bZC9|{+)tC6o6^Xp6n2pxd2+0z| zoKHxEP^*y9M*B^}9${@gk%7XTBZ7Ybd-t5xfu4Wf!GgiY za`2P6qxeh|)f&CQ_N?e_K=5+lOTYIp%D{;w%I0RuxW&3T_m+SqdkhqF_;4BUsk*VG z0Ei=8x|iy-O5pzz;IjKv9q)l@4smd=0gattF&R8^I41*4fuqV>0V*67PtV8re~$_e z9)tc~)=`!D8t47el^`Qn2q=HG#^A+MIwTsFF}79K&QUy#ce~23PvtF@?@8qgD!(C> zHDZQ0rt*f$_oni?%5PGcfu2>Q>vi3v3w?NMFvjuZ{|eQ&la9fESHOQ;ADj2^da|yw zy55@Zp~Gj$W0w3PT@UHfr=kIUx&LV` z(>=cg@Ls|28ExHhzJT2;^Yv! zd(Z7Acf0KF1&P?`6gq|WA_~zE5+oEFm4-${geX)R3JQ&mbBTZd!8c2++px(u^WMz& zy`4AnA|z3Uhz>5cXW^(8uoH%B2q&rAjw=kun&AW$07cXZ`;j?OIMM+8=E}Uu7gSNS zkZ7+>l~~XLOgc$dNgJvr;;v!F#jqMzTst8<$Z;82){G66zhVo0(_xvVoMZ76=f8Lo!))CMFRts z^ZBNMPD|y~$b!4A6?V;le;Bje3E7fUfuV%FDdt{sM=W(}`a@(|zb799qm(vb+cVkj zb@-Z~Mv*FL55eX42ZgJMD#yaIC?UwDk-ahkU$>F* z6Hq7X@2xkSyXobhv{*d(Qx}Uf`uF&R0RBgXPyWA&?s2K^)0AIWzp}o*cJ7A~2+}+) z$KVEIfb={q$Kb}pGPvom3~oLwgKs=6gIf;E;F*(D0WUXxsqMb2^kl}H^$QoyU%tAL z=vNy`J_XqqcQ)@x)CVh#BaDFSn-}*I@oLfAh`wXX3|~$0PnVOr0XTmIQC+>Xx3zsf z4=I?f(i?kxW(HP|&&=@Z@tGN9Jw7u-t;c6(!1egd47(nmnZfrNZT!p*K_-PeKen}Z z+r^zb3A>n9i9Jm~7aQB>=XQZezMGLJC1R#2?7;&E2m8!vxd8IqI=DVoSN}-+;PFdq zN}>`UnI(?DFY&K+{`P-<;BEBf(%$lY#N$#f@vn9N9Jl^wO9$$nZmGH1`Aa(&wye7J zEAO#ZXZdHTkmhBhw1z*FBg@zE{_O9|a*1Eom-namrAPAnQ~%`tDDO`FQ+g!7zt;To z_@^}b^Q_M;Y3_#XIfY;I{!tS)a|TRdMvk{6(b6&yv zk>!ckiGrISuq}V-7c=ZKy)CB#{6CbPS|bS0a5TmT|J>*NVE%-Mk6ptT%kbI({G;Ok z`eWvQ?=kYnXTR_GGY;TOyJBOdb}`t~UAx#gK)bxh@j2^wKv(1Q4GwPo7e4o|$meN} zkJ_F77W>hASLEa4M{6XJ54_&x_+Se@`dsDSF3Q+UpI(0kPJ9}^m+Ry9pPXFu(4I}u ziebk8?}fwIM1MiM4oX_|tPF5|^Tj9T>Gqz<7|2 zcB>)6yXO+VZZ09u#96A|GneoUa|!QVhVV(WflGKA`yi&B?H!kLmafb0ns)Sre=Y`~ zWQ1`4;(`zaUBiPh+W;>rqGl-~&9xP3UAS>JAW45hTJ-|wPaE5-_0S1r@%8*s!kYE- zo0l(bT)pkKt-WVA`=!ki_ZhTgOHGsVgTq{6f#M5ICSu=VZ}-lfy*t>W`cYlp%eeTf zr6m4`rrLlY{o;UkXvCol?>s2RFde#t(t76$RrcOtH_$ErAC+$qN%DUAf(XF_O~Pa* z;Fy0l=oe7Gzrk<+8sX=R#V8zqGdi@TTR_N^YEt6&bu_UA;hRM`DiO?=&y`r4qP#^C zKYPl?+7yMU@}!MF5H0KeVB;T6Nd*066&sh5Sev4}RT8xmMbEBx3@U0<6khWuZG1lZ zx`d5yo016rODZ-lC9yU|dAlTj=9G=KDGGl}6O%T+z}ZI;8{aV{5yZc#*eGuYq>eTR zV~$Tq#6AtEs}t&6P2g2(RN{v!s`CCb>KxR9t53ilmb6L2FZWa`8zBxFRh2Viciw*C z%8x+ttd|IvXTs&%FQr3Z_e;6}i!(e#t*SYM1Mgl{bFQXZ)f|QXR7p!> zFnE?zK8bJ!ITl{f&r;Gk+MvT0ZJoiPc{JeY=lx09=DMc4;S_M2LkExN$|nUblNuXY z@M9+FbZq0t`+$0jtCIrPoIIeD*PSx@eMJRsc*Nn)H#UcaZ&gEij8RpFA%uSdeu`f> z#NS#R2^KtjsH{28{td#lMdcX0w6aT2RJlD?9Nz=ohZ?L9dj<_%?5iz{3WM(PP-oE6 z#zKWb_Y9fIpnK5FWY9g0W-{m=Su+`Q&oyIU!$;nLjWc;|Z6qJbuBhce{ToK3hi2*12HTm|t^3BMMy-ZUEBgKWuV|-*RaRy}xz* zQ~u_tQ~%%zd0`+e;~y>h#Lq7`nh`mlr@vDj;!DyapeCju?k4g`{yRrpQ?MZJhVmK2 z-7r3bxEsP}5O>4(4B~F+o+64N?&-ql&8D|1La9OReub%e zU{?hmpXYctyS@FBhK_G07JNLB0d*rV+XFrL5dJCu#)J4lUx!7%={39cA8=s={MukP ze}S`j=wXzBYwBUz6uU#y~I)j5>YcCJ~pp(67oOnK*Ml*l8j}{*vhu%NvDc#VI zt4&`27N~-U`^Cja%P!6pSUVoZ&&*JbkGEIzgRlx5|C}v+2|s<^Smn>DM(rHN%gcce z@oXm?^+wgkH2frEVNWa3#T{edl-mSSITI@hO=yoW1BlVmgtH}HLxc*oEa4p1o@o*s zH|W)GkL}pCB^!TM){a9tI4~SKJ7XIacDm?`gzwMK?C>@GBXoe~gOcr|u#B4YY|rBq zkIia)Wc_5(Ghk-T@N9Vv9AIri(zDvTrhu9p4A%Ld0J5$?O-9HKr*1ruavD3@vmpY- z#Jk1rWhOUSxM-C#QyJOPDei~C&YIJUiTuv#uLn}r707>$C?e`llC77gOm;50VJG=x zEc9xWv3O{%`qww=nugJo3H9~Hl*yi zyp;F#5|pvf^J1R*>|v~^zTS;8**{y6O;6*CH&|N?dNI*k9O&~2pHC9PlLDK0>4Oy2ve2<~X0% z*6Qfo+n3n|%i2eCp-j3NJt)-;ij!l=@4->fM8)eQ!qA zga_Laqv3&K-&;`{!V#s*L0T`JnRJxqC0CyzWo%%XC7s>vIZVW1M0PnybK2WC*U}Dq zUq*k{=<%L0g_%d`j7cvv@GxgjywW{O^Mh;J8xA;PPy%he1^H>%&ArTeRJ% zVvUv@l|53dfjN$IW#`Iy`&llx1`oL=(5=s=`ZV}_10s%G)f6W)wQXc()KG}YXfm6G z6hN#gm4vM32$)$~Mu_2(m-xQU%1NnwT&HEmTE~dVOCdGO$MAh!tz7c2;dt050zQA! zlkFQDnC+fcbu{PZZ|Xy*=ca>mDhsqxdsyx{PK+?A&0+B zBa|nG?+|_sZusUG$E(W6@Ex8NPb|K-ZAgFMf0q06AQ=|Sz#=~>aH-ym#kKZT)vR{$ zJ~RG&;7Hjg9P2g--Dy#CZ*}Dw?^jZV^1^7q7e;K(^VEVHvzW2|neAs`wHJX!b zua+fRJ%{3I$Zy(?*w+L%(%tgf=3(zn60Z$v1= z$8cGs0!A|ZRSeu)0I5+*__mJ?6hFm(upDrMW-eBZ0v981A6UZ2oh$2JE zZ8|wbsh>wXA0_p&Eez?PW>|j^0uRgGgC0cJ+O86@^|3_w*R}S7BR{GE14~H!N)hr9 zrJi52qAqJQ0J{Yc1YKD}gGb`0t9SQycH86enVml;6}%E=v=(8b3hHnDSc5Iwcs`+m zh%*&3y@|CS*5!eeb!9{X#f0A5TbK6gdjC{KZ#aE^;>KPAY`nC{cCUW`g23QG&cq-h zr9bgCXLFbTO(%2O%L?Jp>dMRj)+TJ{CnP+uMq~teo0Z#ZrJ7@$ki30!uKv%=QUAwR zRKIJwQhk1)!uz?VzIRj{)^x-xYZ~HINbT0o2WssNm-UL?<>skSV#?iKw)!s>dRtd9 zZtnAGW7yE%b>!xyMea}YGE^=*jP3&{J;GltS{gzbx^GXjs?mRNVzl%LLY|-62+T&; zp2X`smC6tbsqNeM57{WrSx`c#XX-vuk)p{~-8rg@iTJU%4MV0P&Gh112h1Am#e$hM z_SMka{9cRs%W%oDt_FvXzIKR8V~Ej!pEffL6m#JAg!UE8GOKjO2VoKJQuVOUGPjO3nzd6*lG#4dokYE!?H?iI6jA; zlna7!Ra0-5sM}lN(GLsJaa9w6yM2>Y;bINZK@Wu3T;6EI=?T=sEG26>-3CCVqk;KU z;`=%)_r!Hu?8}fF8*&DODS!0Db-VU_s4zwD#ibc{5R9vudg8i+0B1$EinwLG(G%C5 zEim$1leT{nJ(@WE^~NSl0*F&>O`l0?4G|xjv2+~fdwEPwZ;`D!SvMH!Jh=Jzsq0cY zRu;z}olXvLoAMGCCkYW>W77e2LkX+7RL?b;7(b_igzZgjK6imkQ$It5gO7+pER%sW zPzS1NO>M5~;+IFn(AvzF$0Zj8>S}KAG??uP1wM|Df# z!{6&uvjLF_u;(OV(vf-;P>NDlf62HdN!&b#Ne=ch;_-UrLwEiDC z#&~~N)n4$^%sJ}seOD`+e^j>={xbTcPT7rXyaKUsi7=E9lU|CWM(=O}6WTP6_9y@t zRrgCBjMU6p%BgUbTtM)XUICn`fVGFdP$NDxWiqY0Vd=xtXsTN$x8=j;6pn1FIvKY<_<$ zqy5Qcek%vKWamkH7+Hc4=O;%)5J1QXxhXdoAjAqzAW&Z=6nvp6drwuQ(JcoFsrXW; zT|y#f0{9Aq`qUS4Rl(Q8rza6Bd|4xG0yO~$pE^CP+J}QqlCg)+*5H5W5TG7CQTmXRjGTi zVB{gXX&1N~BIR0AeZZrtti4)lNY4i*eH zmV=+n9mQv&sMhEWwr53e1A>7|_@W7L&mvhjTK(6gaB96`;aV@$`I*|M#fy zz%l6WWgS(SuW{ZlT?sORg@AujYYbjIr9+}&8Dm>z?Ht9^c(x=z=Py3mKG24fsg{x4Jh0p+Y4eTT}_5y1B3UF?J{1k<%l)@0*ZO)aBmX(NsQVSweV1}(n=e)QdCGZ0{<_NF zt(+h3xhs{CgMVJ3>wUW52d|rTy-N35b&hSx>jb`gmva1p4d1GJ^m|xkMsH~J;ci=h ztM2(FfcFZ9&uD{pxO#uu9C`N%#*B^iy0^LdZ_&MGHGz0SC$5jG9(`Y_i+I4dFVuCt z%IIYAW@Ya2JNNjrmVc9I+3SM;{{sL3|NnRabW%Mp1W^>dV|}f!wM#;fP>6ypNC>is znb~J&lHHj#GlE16y-uOsh)N?ttb|sjp%D=w3YCU}LPO;%@gIMjJ7i~fG0C~-eVlXW zzWZL7BpN58{j;q(5JdnxVYr5HlDh4ov-k=holo}B~Ge-)qGy(ZqnJ0yYTH2S0 zb~{u=qAp<4NxI6Ep=u)T8fILKsBy)$6S5<`X?L`*w|9)i6a?z1197lFQUUtq=L-M# zv!jHfh*xXcC>MXg#rwb0bYaPss`l zz}Ko~DlI#@k`%5b5-3twHmkfZKnviV>E&2AxEAn@x``>#5Q?p&ZH#8J_tyb%Vdb3P zG|*|OifY(!v$@LD3i!!b<)&pzP8D5BkeVUOfWDr}g2Vi@Y91dSKTNT#|bHOWPD?yF|DN5-Z z_SQ<@FeKaTihqy^oe?V5WUy-vTW83RA3b=oRctUE&{otkOTUp5*@O{Js!0;cZK8x{ z#LVbGq#KYz_gz+my4ItZAR*3xuytjGTg1BQ>^{mpv&}3rmdenQHeni-bmUrU;YbzI z0O$%AS!EM0MW3Vu~{cozLHXWkT=! zpQGx8lRrgWoCEQtkzJR#Bh*(=htjC1E_5D3MqPIs!^!Dfox5vV-jj=5G zN=fq|3xB)HX+NRF;u=Tf4|R<2{F&);m*HmTuH=IT6}dBqY|bGYbBK#MwBynfA}*6e zx^hK-!eXbg7H!H}>N)T3F(qGmLLpT_o)8K&z-NSWrWTcyQ}3Id%L9;J9)R@n0Hl`( zAiX>Q>E!`Pmj}r?5O=|O)b14U5VY%J%&k1<^?xF@@Qq-2Q6V8fTj9f@O1>fzXln?k zu6pUL$dtxArBl>B&#!Y9JZYYgWYbvDC}u5ZTHie?yj=cF6#TnS4SBWY{iYQBUGStl z>6E_W_Y@nl=Chdu9n;2Uq;I<=0&Mu=w?r+n#x5yFO3BjY!H z1=Ksbi3Of{!|l;%(cDvp-wnz}R@M2+WH|Z_00960cmd*IU}R`uU|?9@n3)Qs7=(a0 z*xfJQ$KTm8#M2+h@C*(CNiZ-0F$)6+kUS%|B>*HM1H>S;0j@#8Kvk}OA@RW>L4Tfp z?(sloP$WnRgEbH{F$e%j<(on~Kq4?3d|iWs9o=1#)J6einHdy-q+Zg*-$05%6o^4K zxCi-%2E>O%1|Tb!07|lgmH!nvvlgUW3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP z3c#LV1M8Wzr*(-VP(%`leS_WOLql96LJ+ ofrk-9H6uGXbi`8LDS=e+LsfaY07Jvm4d@_{DA)x600030|BX^c00000 delta 1216 zcmV;x1V8(g3X2MmTYm%u00000004CX00000000XG00000004La|Gz-*h*s_4#2%@CZX$>>#wz?Cz1&3hA0g%`s*6g?eD=vWeLwK*;&vADW zR-%IOaFd2=qYO~~6W6lO+Ol8PH zAbDZGHGiG6-+!7*a`m<;snIE+e9bzy=CO4y`N92r4>$7-E(f$Tv(zze790-W} zc5pExxk-%F_^c=?;?y$=pH(61anPns3I(Y@0D%h)Ykw1=HL*IG*Fp?EsTosCQ_r!q z!j=ls0vHMhxxjSYIj1oSfqH8*WWbv(*_?(*Vy6~Ky)DDIpIw|7mE1jI!np>?^QT`- z9DVmX$|mkxyYL-Tw3bYOUg9GzEWQTBPQlJ!pww-xJ(8J+aGSPnEWo@S52o3vN+v=8&6n$gMf#b{om-Ch9Odkk0HtIY0Uo6B4- zsE&jgm@m1kAWC{%gk?1=OGM(LCSApuI*)JeR)d&2iYfw>qk>75Hz8k_J?@}HRMNl) z$pspvS+uKzWV4yefaL|**>e#zdq${*mx)UBgn!LAJY!V2I8<q?eX# zlIP*aA7Rz*=2R>o`~g}(_>;c;(OWW+zcRsJD5}j#T|oGW77$Ba`6I69rO!yD45-X% z8Z~BB=kXi#SJ2PkV@sfiLY7=W!TQ<&$w!oZ9%#0Nf6Ts!K|fV#ON?)R5}NR}pq@0K zb$@%TXb$?`W7n?{KJO!2@)pbdto1O(kp1GWBLpVJ%=nP8GP5ODF(#zyB@jJP3EhUg zt-t|yhRt>%Fq|Wdxg(fnZ!m`UkgdIQ9K*Jlkq;ZQ+|2ySbr|yjlAiANr0FFmH|7a`1thI8RKhOMRxOdhK7VO3KmFKayRygs$ zy5v15i<*<+F;2@WTNw{We*gdg|NnRaOpw1y13?hRCo$@Eh7d(XEVK_;r*S0I(nT%R=OhivNSAUmq z)PvYm>CELST8F@LlmfM(4j$2b|HVsY4-(evz@T&$6USgHlMsel5E?>l%3u%Qd#{rD z{h`#%%IGEb9Mu)th8;}rK84+ccTGi^Jh5Pg-#UfNh+)kD&$3- zybCeeYUBiHmRO@cqWjtHqk`XoN;x(u>Ph_Hn)5=JQYMnAvSx#~qlatBNV~NB-RPTc etvA=%y7)K{YU78pr?`e4VIavi6MO*x0RR6p(^xD3 diff --git a/cpld/db/GR8RAM.(4).cnf.cdb b/cpld/db/GR8RAM.(4).cnf.cdb index d4b7bbacc2f99a0a71ad2a3f4156833fc40cfb08..4e3346a1636ea0dcb58397f3a45a0256e9eb2be2 100755 GIT binary patch delta 1041 zcmV+s1n&Fj2<-@vYkzG700000004La>{-iB!!Qs`c?g7rgaj9ENFc6Ip4a>WXM_+c z91y5TJg)GE+_~@%oOlyvoUG%frckdzk;qn#lZ|KM>}*nRn`K${fwq_=$vgVGG)%|Z z&Sa9b@Ihy+h3y;W%KjBSouk~qCeSX9ySuA<=8zi>bL}Vawto)3rh~8R;2S#lrVhTP zgKvk!JFf0bm|pu%cfu9A6RyymaE0!KD|9DZp*!IU-3brWeGD3RPEV}T!-zg^u;<+Z zJY2@`!bjbMpbPUwc5!xfDQ~G(@-bAlT6|!-T6|!>T6|!_T6|!}T6|#2T6|#6T6|#A z65nz3XA%vQxPKO}(BE8Np})Z^^f!2g{synm-{2Mc8@!`GH59{|B)iYD8!l4dOX;V+ z*)sS1hV!XA-ao4JHsi}!`1YFk_@Yw!{vtl*)sXx7v*5aV_Bo#($2SMf_`v~c;`6`b zGr5%CKfi~3k@zY<8h;+G8pQ!qG3$p^e(Z4daw{o@a@EU#`^6|NO-~ z>+YW~lKrVzKk#9AfDY{EDr<&m_*hr(ch3%wSX{G6w*~_9Q=vG>K;@(tKl@%Llv$CO zP;fY0NRb8dgEBLM8NjTdO`(}uZ*}i_A+O>p zc|-<0MSrH+b91SWp{-_EQ!}iq88*}mn`(wFHN&q!R!6~t;-C&KRIId0^ODyl&?cIs_<`_n zb8vHT?cmT&LC}H@?oKWuQWXDzE)M{-c9!!Qs{Sp-5tLV^o75EbXLU-J!I5kjbN zK%gSAT;UJ7bKxI2u@l~NGLD;?r5=MKk*ORf6TcT{-X!(3S(at*XiG_wyrHj4!*r}| zPbNtVA9TiA*uG+}>|fB+Im!)e0`2^$x6|1*hum*~&g>9_B6CtRUB;R@XeSLjZ-LU+Oyx)ZL@o$x^2$DncdLouvLvb!w1<{|~Ylz!@) zEpyLrIG?)X{i8~6Gro+4Z?B1uFDj+)FXB^P4Y{8`3$CkgpY!Q+d~?u@|2jZTeExTQ zCfoV_^Lxk_iO=$*@#oR1Q5-N8vwleB#|~Fd&a?6Vet&$P@%?q{{lwOgTP=rNdLOB+R358iCCX{FcOl}^G6j>lYC^I9N0n7^86q>2^I=fd3c@D{6*SHN%>kVO`Czp=Q`rGi(WiC`|>achw{x>h72M@!fcv-%CoL zWTBj|_PoF19@FYM-*GeE7nRc2#HWmfZ!dOy7C|V+HG*^akiV$YS)6B+WBHF$j@vlL zW=!zF8WX=^-~v!?K-&v3XA_Hycfo>B%NGmJ#eXI2TGJROl1RMRV}1kw0MqxS%~V#4 zRZ<6>I{V2700030|9Am(Q9Vn;P!zqX*0yR;t7E}};-DQ`sED*m^U~KOkR~-r5k+`) zcXM!caCZZixMMR3?KhVX&$w}(J@VqE}R55Vw$-DR5ulID)s76HH`?Ea|Wr5K0 zgn!2)*9jxRy(rj`0ZITF>S{!nc@vgs3D}BU!P=f_^Sbc-I%)gPzQXzeOOrl>wrphd>n}? zK#E$hi^u(y40sAqGSp|<7|HuT`g~z&@XU~awHpl{Xp`i^ee-HxfPU4GcdsSMM&R!1 zxnBm$g;WzCQ#axH9%nRuh|}8oV-=oZr87|OBBoOgw)A#=0HRqy)*M{6U`EKbF9iSq G0RR6mL<5Kb diff --git a/cpld/db/GR8RAM.(4).cnf.hdb b/cpld/db/GR8RAM.(4).cnf.hdb index 0022b6728140e0ca596046c72e71a756958f3949..6cae88f38c021a3ff353face80facb59f5a30529 100755 GIT binary patch delta 534 zcmV+x0_pvO1%(BWTYrE700000004CX00000004yo00000004La`v3p`e+D2B0OEf@ zHYJ!9XvSZl8R!^fJ_iv00m|XSzoBjcsb>M=;> zW?*udK|)aAfG(U!9oS&PKpIs>7AV3Ek_Ba~#Q5amyrj~!wA3QKl9V(600030|9Ao7 zU|?iulY;>xe**`QJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc5Hm3d z07>PWLOVbrFdKYbgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS-D+d|P z3ReDCI^9Lyadx8zDXVRY5B_L-> z0QO(E>4jr+ScS;~t Y{7_Y%F2K<6bOSmFBnox`00030|6!`u`~Uy| delta 532 zcmV+v0_**S1%m~UTYr2300000004CX00000004sm00000004LatW!;k!axjFR8|y0 zy!!_{$odVQdh+T)ZzAH>7Q|A)>R%TBfCqJV>q|_^sEijKNb~YunoOqCIF92KRvGpW z{Ep>N;}10+jq2xNztCRUMfO7ZSy;3T$+_Z!6*X{!4YOW2r++Y7@3u_C7bKpA>xCz8 zroqwrx;cJozCk5W`X;dfw4FgE@UC^j8sIMBj6tapW+2G>!B|SW!AfZwPiY*7LL3Rf z3TljogK?kIc}V%{W2uPOj5RXmH5>WF9e;ozXVrXOoQbEEKQ=lkBKzFqiN00030|9Ao7U|?i$ zlZOE#e-JV-oRQlS01}Y_VvyPZ*PvjaDp$Xd_~4KrPe1o~ATuZuq=bPJh?y8TfTZ$G zp&cL*m<_(J!NHF1u1IPnfU?XCAW|=B;%|^zQ6L7{;2z{38W0~68Gx)DWHc*-0FeAE za%L@%Vvqu2C&ysdh%(^hyG; zZ?JoOh-*X$!q>sh9FqpwTDbgbP>CrJw*bjDiPzG1HHU(wS!&JAn| z?fkgAyS8Tzx#2L^egbdn;Ojd0h7P`|gKz2J+dBA8IK1QP&V=c=?{t4BT%kMR3f&1; z=uWsocfu9A6Ryym@Ic)spmFE)#40_C=#vI})-AxJWehKT)IA8gFkfWny~_)EOSO`Z zk+Rj|1Jl*w1M}750~6Nb12fj*15?)G19R5m1Cy5cj-x-5Xq3dYc!mDv`U?FGUZKCi zEA%&bh5iPw(BI%4{i%PU7}g}&eU@Ewkpf>zKlRO4x#u^WPu=nUQKh#TU&g|>*Tlyc zmD2YY@hPu{+|Qo{*VVVr`SdxyIcUaz9iS#Y|2sa@EBXENd&n1wVfoSc^Jvv54w#Br zKcwzGy7fFu^!sw9ZvN*X^Q^mnu}Jo(V*P)>hv5M_uwSUG8D`;Q zUA^D!9Uif`W|3|U1m>qgagc$^NiTl({Y)scA~B)hio}G{D-simut-cO$09MIFpI>5 z5^aFV&4aNb3*-l7W&|^USwWjYGqv8@-qliG#Z~f%40wu6wddy207F~Nu&!pPn&d;>{W3qk8}IUaN$Ha;l=Ib|_gCCwT0Q4GZpQnfQu>Cgk5VI<3tjP7kkWa;2&W6zO=c@YOzY{fKz{GKluaz0RR7Z0d!G4OT$nU zy=kp&)uL8M!GhwT4lPuyv`X`m*Cx;=nxy!F@Njc*b8zk8&`m+mf)4IZE+SGC|A8(J z{s5`}!t7HS!X%p0f?BKcc1!1_EQvdBI1L0<)l)>#`Wu_xNvw+eX zZhcb^Y*ypK<5djFJzuKAFG{!I%kAUh95@B2MB8qKNmK;lbdGbr*^-(%y3e&A00030|K#czD*ylh delta 1013 zcmVoOyH2e;|ZV;ebG`#N!Hoz^8HN!as20O_*`Aj+?qb zy#_@hTRBcPo{6)wNxf~BW!VQ>J4upv^mS>NjJW%%uXxuqHu}Y63`lP|0bqnxl8N&-7bq|6r%oo{t@A5+4Qmy1; zq-?eLz;w0vzKx{z?8N4z?`-Cz@#O< z3jGaUp})Z^^f!1%e`=!C)hFSPn zSMPUwhes@~S)^M7f%&OW9Auz!(u<#cKNHHVNK7cWA~B)#io}E>ED{sSu}DlP%px(N zL>pjo^I)vV0{KCi8Nm!-R?ue9Os%)NceRvPag{tG1D+yN?YX%$z_6xfSXVP_s2MiZ z3|nf3Z8gJ=Fo=KBRFHaCP4c1cewiQNjd%IIr1VJ^%K2)~`z!7-t)BB8H{*R#DSb_R z%2@dJV#lWqLNTrpoWsZbMV-#$JewTLf1Gl>jB{+p1P`n+@f!v%0Oba>y%2LYvAB2_ zEcmp1vG816!mc%qaUzMti#_Hy@DDJ3U)o${wOA!}z^Q+;pL_xU0RR7Z0d!G4OT$nU zy{Xo=YEY|V!GhwT9a^Y}v`X{R*Cdc8HAxXgcy)JkaCLBZ5LB@Lz{y2KisC=e#lgu* z>c8;3D1B5haPG;w_uQ}dbke9sMBV$dJrHGq(DH=GBi9Kd!M!NhkpW5o8R}|8mw6MG zXbISgT)}_Zo@w*C@ccTjnsp{Z%ZPY8k5K2}2jZg=CzI|9(0PBECbbK6%DnMz9TCj`9{gn)O3Q#iCXWAIa`#<`8 zVQKKpkbt!t4IXHdM=;> zW?*udK|)aAfG(U!9oS&PKpIs>7AV3Ek_Ba~#Q5amyrj~!wA3QKl9V(600030|9Ao7 zU|?iulY;>xe**`QJR`Ry03;#<#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC^Wc5Hm3d z07>PWLOVbrFdKYbgM%I2U6IsE0A-mO6o8~&(!}3Dia`{JK{mJt`G*F?heQS-D+d|P z3ReDCI^9Lyadx8zDXVRY5B_L-> z0QO(E>4jr+ScS;~t Y{7_Y%F2K<6bOSmFBnox`00030|6!`u`~Uy| delta 532 zcmV+v0_**S1%m~UTYr2300000004CX00000004sm00000004LatW!;k!axjFR8|y0 zy!!_{$odVQdh+T)ZzAH>7Q|A)>R%TBfCqJV>q|_^sEijKNb~YunoOqCIF92KRvGpW z{Ep>N;}10+jq2xNztCRUMfO7ZSy;3T$+_Z!6*X{!4YOW2r++Y7@3u_C7bKpA>xCz8 zroqwrx;cJozCk5W`X;dfw4FgE@UC^j8sIMBj6tapW+2G>!B|SW!AfZwPiY*7LL3Rf z3TljogK?kIc}V%{W2uPOj5RXmH5>WF9e;ozXVrXOoQbEEKQ=lkBKzFqiN00030|9Ao7U|?i$ zlZOE#e-JV-oRQlS01}Y_VvyPZ*PvjaDp$Xd_~4KrPe1o~ATuZuq=bPJh?y8TfTZ$G zp&cL*m<_(J!NHF1u1IPnfU?XCAW|=B;%|^zQ6L7{;2z{38W0~68Gx)DWHc*-0FeAE za%L@%Vvqu2C&ysdh%(^hyG; zZ?JoOh-*X$!q>sh91%mPq z#F+yR$UhK5q6gGV=>g9Clb^7LnJiXP0#$M4I<{vfiPaio%nLqIN<9&Cj+WS^RI0#( zV6DLW0UqNWk;M^Tuo--}-`34~xv>RD^-HPr2(*a+B~tr!f`2ifRow_-2$wgk4yR){ ze4)&sy{F*g_E7k1drNNwkgIxzYmID)7?WoGuM4LM^USHO6-_ZvX%Q|NnRa;$~oEXkcJqn6qng z43J_F0^(qIzj!~_5FgLrka$@!XV1t4pi zsmvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK%nS>F^tA9|Yc?Rw3&aSGp8h~C z0|O@zb5k*N0LhKlJf?$0_<0pRtPB}I!5y7=0N^Wp)8{S%-1JWxD#2`!I0Tu7$80^Xj00030|5Wh?(f|Me delta 600 zcmV-e0;m0|1*ip(TYq!`000000006400000005{000000004LaoKr~-!Y~X>1%mPq z)H6@WKM+Eq2h>a90OvlGm#~JJB#x32sERAsu{|?MtkxJ~UhtVx>WP?hw1Qnqr3yR< z)(X5I;4$72S)A|%TflewZPTt-8(VNxzYLWDfny>-iPV0bV1Ei|-L!!)hRYk)hx17e zUnnzb?>YFmJrw@h-qMc=J?LP8Q5(#=L+Frd_INu}ag{gpH6300pb?92Bj^tiymo-` zj3LP^`uGeaDGlMvrGs-W3DGCt_?bFNcAgsl!-p2}elE#2tjAiFRdJ9TW)Y=VHyX`f zg)X`1NiKa`7k@tIN)xbE;H9}aJv6Y8T3n}UjPGOK00030|9Am(P`gS4K@>e3O=1k9 zM9YAwEF?t&iii)gk70LVv#Yx+A_$|soxfmj5m3SWft8Jj1jRqFvaqreKf`lZaggA^ zxp(HyIrmkEg&u(6!{Cq(4I=Yn9jiPFvs|kh+C4Zudp#@yETv}j>*v%vD?8R=N zJRK*V+H^ffXTF`Q;B@>na diff --git a/cpld/db/GR8RAM.(6).cnf.hdb b/cpld/db/GR8RAM.(6).cnf.hdb index 5a5cdfb2f846491106bb87e1a951c609cc259f41..86ab305e70c4abab7d6dd4f7e730292edcaf64d0 100755 GIT binary patch delta 370 zcmV-&0ge8d1epYoTLBi4Tp53SP(coYKoC<=Iq?UM#)EIzpKQbpglJd}_*MTRDr-C0 zSrbB>WT2gvnT8NTSfTdl33#b9Xdh_W>VIRl)UUWxeN|)Ijt8n9U<+_*yB%NtKIEJ? zR#8tRUVgNOIIpy5E|BZif7*z6F$Cc+09iVn2s0<2#3Os6OI+E+O9m-iek8d$;Gx;g zgAz&-Rjz&^@xdWMo__A}KxR-RNC^Wc5Hm3d07>PWLOVbrFdKYbgM%I2UD4DsGbjMn z=p{}34N@x##2_2ogZx7S;zJ?>kd?CnC0W7B|B9Sh3sNox#7>UEt`YGbo~}WTLCzkL z@d5rpA@QDmG;aPN1z=CGf%Qz<)4GHWC?W~OzQOMCA+8Z22ww*~d${^Kf^>j=zybEb QX~UTnAQ2HD29xsvCeVDG>;M1& delta 370 zcmV-&0ge8d1epYoTLBZ1Tp53CP+JayFc4Jn@x@g<1E1WY5gQ1RP(I+So<&8=%%)ot z=q3|pcDA#n-h018oq->C3K_gFJcZ8B=%w%pnL1ZBrtP_)8bFR9_qIRr94o z*a}s|-^k zpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKRnHeO2YV?vO z{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjP{iQe!Y~kYR28B2 z$S)wyeZn>WfqIBiPDHK5B)6?u-*#o8m%ZS87$UXzlEJXKk;?7Fuh)hxU|G zALxHdkM>7=I_F5&kw3e;0|rkHkMj z;vXaN!$|xn4Bx>)=f!J2tqz>Lsmrm`zeH%37c+-w11_hYPF^Z>yQ!~$noZ`*VqvM9 zsC}!&iwuF}6&V8QD>4KUSY!yKu*eWdVv!+`#v+3tvZEC~@NVgi)~hWPX38r)9sf_;ypZA930=R?;rZH zrE|^sO%jXedq6QxHjH9{?8Z;^ z7RcF}#BcvruW zph%De0}~LlGgvS)Fw9VJW(0|-0dZi6PrOs8pRr;Zi&K2CyJLten5(5@ zXkcImQp~^##2gG3K+@bqh#e$?t{-F}Zv7w~Obih~@?eXHEyw{PK#Z`?!_zg$G052i z;aWC^4u7Ds#C?070TqIMhENUlA4mf1GfoBtAZwec%pQ=4JP;$)I{W(uIEHvS`MAah zxw-=#=^7LaQUwOg3=4qtwD4kUVIa*5#0ZU^{y;7Rg9H$BF?0aQjn_P;gGBg&7@-Ul zZj7u989>1uvoE{=nInLrz#nL%FHi(x6bpj`kS5jdV7VVtr6-C?Zg6lL-dddl(hE`z TvJ@Ur@lKAxu8aTx00960Iqfz2 delta 686 zcmV;f0#W_^1^oq(TYty_000000006400000008|000000004La>{d-r0x=Nn#z2T3 z{R@V0RQ%w&|HF9LES%U#0(kbf`UkiJN`0@pZDysyZfl}344HOkI&a!eUmLX6dI!B! zN`0XJDMdObZ#|_F{LmRm(7t0X_FMFHp0R;2W}Gdv$Ll9!vwvZnlt%cQNc=Doe;bLv zi^ShY;vXXMqcD6I2b~wQd|Dkic~h4|r{6(nmKSq}Xag>%o=%=Cbi1j~ftpViFU8VQ zH&MG*ix(LJ$tyAh(pO{%B(TU3NMVs7ki;TGAdN)^L1afOeBj;EE3IGGP?#yl$Tr>Q zmtQcRQZY(BDu1W=!zHR}>4JDB&hUJEjrWAqT&MV2Irfa-u21i(ToUi$-s0f+ZhimI zk1dt^_0@v=_3inu_y=b7e3jZuRr(SO1sU@8kqk$@uNgY09-ENpgl zcSQtYw72sY>@5Tp%pX|Uh)7WU11k$FEAcZtcNGT-4xD>u?woU9RXFGX=s%nv(4j_T z-Ppt`kHRcBD$dh=`9O)Npzi=z4WAZRBzE%1c)PK$Rbb*IP#b>WnXK#Ps(3Cn+pX4? zkWnVa(SM*_U9MH=hV)YKf4wM5Xwp8P>P=~2j36k^^@MVn}=ScOscwUQl*)&iZg;k`is4j^;!BU!V1HLPhg&y zL_~Z0sxKunO<0=j%_&1Ocz^x!DS4zBOGsF;$0x{ls?lPJXPvdDVP^P;Sw)?i_S?;| UXoW2~e-~vuH&f0R00030|FEbtmiB+? zOSqKuGUdK<;iJ9Z)kD~74CD*j{1?WznaO3X(Twlc1BJm?U*u1@je zK}E-Tb?-hpqy?yWa5Ae47#nghcs`x&WbL42AYBvN+$?)H_Tm*IV-1F@FI0X1@CIpf z9Z`_J)BMMo`H`~{^Mt9JnN%YWp8DT4Cz3lzkM)RO-@$c)ALZt)bL?|GCpYmU<3C5m zkMrsx^ZqHYCirh?{OWV-@-CK?CKZ9-Y`c%Q?{I5TsF8j1=HaW?9G4isF|yxaU(TBz w*5KmOAhCp1&Q0KI&zlDq1idSlvjiRG4}JQQiHFzUd_l9rq6BeJp#T350Nezp%>V!Z delta 411 zcmV;M0c8G!1d9ZaTYm-t00000004CX00000000XD00000004LaTu)mLgD?!+CT)Ci z3jTKnu2PkvA*vxkcKESJW|xH`BAGh2l@L|c1L_QX!S^^p|3D`!_XgkN_4h5)IB^#= zJ`TR;aOdN=2z|I05XLY)qR?XYh?hmjDyK}@zU#0VtJhU*Y%?8so?#BRkw1Y719>5< z=IIMXWB-#Ji=>8^r&x)vi!$B1R<6>tKL7v#|NnRa;$UE8aFYoEBYzMwFr1Ow5&#mB z0b-Ea0N0>kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKR znHeO2YV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe z1WG}CzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000 F|Nm*VsyzSz diff --git a/cpld/db/GR8RAM.(8).cnf.cdb b/cpld/db/GR8RAM.(8).cnf.cdb index b13e058e114363f4878c92b5b645a2dac2e67444..8b471bf59e9ef5ec39b6cb56fddeab845b2b5f6e 100755 GIT binary patch delta 562 zcmV-20?qxA1(F4jYk!gg00000004LatWr%2!Y~Z&@Ppy+5YHYx_J4?nQFq!i-*`FMWqFXWEYQ>aQRIBjSL1eB5K> zqQ3GSJBr+!UzwgFOBG-Kc=09giU^RDOU1t00030|9Ao7 zW?*D!U|?XFvwv%H43J_F0^(qIzj!~_5FgLrka$@!XV1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf9qAer3{nLK%nS>F^tA9| zYmf(dff%9D(;vuXVBiE|E`|;ux$&CEbdU%?5F?alQd!0TH8TqN~srYstEUT&6UdV!8plqKEa`Vz%n@D0U>5z zw8eI_b3PB^Vj1Gk0W|f|RDr<0pEAVeG=-^~o-u~(TUX^Fuz$z3UVzne3jeju)QKDtQR2GsV0Y$_I*~gGs*zD@=iU`7JZ|5)ATL>zcKd`b9k)Zeo zRu)!P;%9j7Dh?7HIQP!nIp@A=aL@%XcsM_xL!HQav58e4g;{P?oTvNpff7-{zyYqB zJ}t0F?BtR0c4J?wz{E+QHvGUdS7iBy*Q_dFv00960d-58; diff --git a/cpld/db/GR8RAM.(8).cnf.hdb b/cpld/db/GR8RAM.(8).cnf.hdb index bd241fb2bda5f278a7c19c8d98f73c6007ad95cf..71408d267c9d95732d76cb900d27b9514ff0277b 100755 GIT binary patch delta 403 zcmZo?X=a%aUC+qK00yN{nwbg0uV9Svf6Lcoz~i#$M|b%L&KkD3R7nBOZnXgUdFLyi z>lkMjWv(yJ4u8M*ynuLu`&=dWdgu88_q(i)e!6@$SlW=aqg4NP@s5po9KHK0<1R|f z`uMg*Xs`MclSSI0C%!)IIeXEw?2YP0y}N-2>LzjSNaWK`33)z&`C|3{Q~#f@YMyeT zXY$93TZ^Xe`U`Z=|Nj+?j}j76GA45}D%Uf!Pq0zmuF9aI#C$|yE8{xHgASqV>J&d7 zRCJtI_wJ)ZT7ZfNC$qYMu^|V8=hN9v)(%Pr(lxQo&9Zl6FJ3V+)?m2$Le=*VZ;&?E z5e4Zx&3~MkA2};APnf!yNj37|ssCMbBDsU~SdaMi9b6~)QEuKk$3Dk%auYu?{&Q6P zIIk`;@1Fu|g8zobuRgaf?_x=5QW5ygw)=Sd4!0JC8re5*9=>|baf$I8Bl`{Z<-F-( v4K6MX5=&U++yt)nym@dz(7SRuOVCmN(5Ek%czFHI7c@I8N)QKy`Tzd_iw>u^ delta 407 zcmV;I0cie%1cwBWTYmxp00000004CX00000000L900000004LaTu)mLL_iQk!hY>3 z{5ylIOa|GF2?_1+>|<1+k?EvNRduCXd+&XON`XIkhy?x*KB2iUc!kpek3tkoe$`AWuK{cpx(<5~PHI6Ns4@IDn+`O`#ni5tt3WuED{M?yhKRnHeO2 zYV?vO{syTP1!9m5?m_;c0r4S`0m#Z(fs(8Y0zmSw$eFc3ia`p9og9N*BjPlEeS_WOLtG<55WWs}_Hgxe1WG}C zzybEbX~UTnAQ6yukQR6tK~yucgF{Cw<((2p6+cv!rwcGNJl%i}0*QiM00000|Nj{f BtP21D diff --git a/cpld/db/GR8RAM.(9).cnf.cdb b/cpld/db/GR8RAM.(9).cnf.cdb index 6f2fd8645131b42a62f298e9e6a8491de7792dbc..8a926a3517917aa3f2579412ab9143e2f231c9c9 100755 GIT binary patch delta 1682 zcmV;D25tG84xkQ@TYruP00000006QA00000001Bd00000004La>{&@~6gd>GW*F8P zIE=(;B#=N{=E9D|sTk5gE&-*JQwz0vi-^)nOP$UHE|cHG{~67RD+jnB_B}PBFC<`*?xZZdv+&DlI)=T9!1e7)L*ioOAG%TieRD&{tg&S1@%XahxG^4 zME}HpfP*NV=CIkUpShzDTGTWxW)cNwMFCZ$VX_gNE34pqSp^r$D!5oy!KHEvT5cJO ztwO6_av59olFQhtmt4kHz2q{s>Lr)4RWG=Vd$E%|0U3YX2iA74-(E8!$yCxmL#o;C z4QzkYcfHn?iBC6hoOaQmyRq3Dit|^Zov8-zX(;IZo`!<%?`bIL|DJ||8^F_0a0hrA z3T^>9QoFx7EaXUxX8pgqgOy%?%PR02ho|>FyD-58=K7W|YVQ2i# z7NOS6J|TarI9w6?IBEE@_H7@PYEyp-k@GR*A8(-V-986S>G|{A3|S&;{xiI`)>mW) z3`NDeSgwKodUBlKGX6czy@z2RjWgC@hSv@-ksatfj4f|Xd^w8m=dd?zTcqrVJpC=J zaA<$bpV)QC|as zM0tO#$RLiHiaau8NRF9`JPu??j+u&F>Qj>5g*}D$?m_llEy4k{t(ME9y89;ON2%^E z8KSy-VuI+1ivJDlNn>N~EV(c( zKOUn~x8B?iFpg<%z0mhV@YC+x$fNf2)+2vK`qFrwEj}CbpDVf{jdx+Dsp zK)cx+Zroj68D7y(ZjJ7}VWZa^cGuhY8!IatWY^)kXiTO&qn^ZEe*5v3N3MU|kXI(h zL&$6*)(SX5J}CWtLZJk0sH&@R;)lT~PfA9`OPEu{SySaxZ9@C|igd%6Z!ERm?{t6N z3nc{|kR2svEjf6W3KKGfEK2x|GLZ@sSIVd`!KaK06QRneFk!5W3K#3dlB!n08s@|Y zOD`*T3R5XwX{GI zXla3T*3tqgprr+pSudA!ncfqg226jpN83i;c5^Ve9}c|K zDS?;r{LTub?8gVcf3#!Lg8ldT^Gf;tQzwMFMDcHEv&c8u zSmgU8Xe{-MCQ}dyQyOx9HXdS6dp=+Ie?Dh) zPzmzsKwIVp1_A#%O&6AIshZzyFI7O>eyDwc=uPIc|K{U>H(7)dINi>T*4Q%!_*~U2 z6lF(O^1`)54owQnW|sGL(k1li`rhIwbbkc!6Lk|N(IU`AG4xWZzC8zEwRX<+O#?42 zb<+?hyd3Yd8Ug%b%yNseB^R2O5(OnhlaTe#`V|xn1MNws)x1b zlA}odRDtv6U8_R15qQs1kaiHbJAeJ=mBs+Sf{7O@!1FtLfHF88W)>b5=vJoQU>j~C c7WICQ)W`KM3>pBqW@E9gBHKdx0RRC1|4kt!82|tP delta 1701 zcmV;W23q-`4w?>-TYr!R00000006QA00000000^X00000004La>{-ok6gL!~g|=P(rY$WDzn|@A zdp4e(-5H92?28?WKF-PJh=g#4}0V>JQd91>T#sRy)Jnd(gP}0K;MuJU72sy}C62=K@t+o|_|{23xG& zT&&ir3rm+tWWKt*P@BKLP_-P(wQA#Pt#M`f+O_IpjY`i_c=Vx$mIp1W@Fm9)_5B6> zae%0=CK*J1>&PJL3q=M|-xV^5`Wg@<%40?yo=53=uS5e}$rwOk(6-8U&eN_BV15Y^oiLsWMM3{l4q`iSZclB>ADw63V%8vJ4(!2a_}q_CS(X%l<*s6A{8dClu==V zPZ<>^LX}Zr!dMv59KebTQ92Se zQi}@tejyFi?;s?q@u}w##fQ;mk#DlG$oC1*SQLmn=n4d{G(6&BbJ;#a5tB~^9RjZn zlWqkXe@_4a0RR7Z0d!G4OT$nUy{WDByHXuQ3w96(?a+dvQk5p@qY0!*O(K3EM0Yp; zfQY-GVg9HTy${JNlJf(=SFGTiVw~`$-C#?cRykzQI3czcPCpQiUUr; z^i1Izy5oAnbnOjxPzdOyibQmtRAGrGffd*Af0R{I)kNMit-M%N^NQybWLNmVQ>jck zz06{Y0DY8%otueAL4V@;!T;kqB19?J$3tz23m681=QLf|vaM=CwLSC+#m;^83*>he zsDJxohu>L04dUx&Hc{o9aUjsDR3;>7Z@!+1g$<0qzHaK;6PG ze^D0bq*K`S^6OI=+*w@gf0>cWI@o{tZh#(*FhtGtqI%f+Up#2|^%2yFeMehH#c zpgqX4n-|IDbW;g-V!t-c4|0~47)2T&1DDrtS{c+P;5|zb(%`tgc&W{Ud3Zqv3ll2h v=BM?rzx1Qr!h?dFR;AuhZo(HMo?+(w6KWSkLjdP&EY?$GM@ZiQ00960u%Jg3 diff --git a/cpld/db/GR8RAM.(9).cnf.hdb b/cpld/db/GR8RAM.(9).cnf.hdb index e7fd9c98c9447ec939cb4d0234fb3517d882f905..28674a2ea50ca04113b2de4fa6c95e35910b0d47 100755 GIT binary patch delta 589 zcmV-T0FUZTYuUC00000004CX00000007+r00000004Laq*F^y!!QsHh(8Fy z3FwL?2k0s{u^ihGRZW5%2j~SjBPT(ke8M~@(>MvDi;gt$yf-i7crtF9rb)=I$$u!` z)eor7H`R%q>wgiytABRii<(E|T6!rt$gsOw6eqMutwmL5NPj6?s^uX#WnD`wO>s@_rL z0MSSSevq%}5Rk)C+&{Dnmfr3s$vY_mfIQI16!gFq4VfC3i~+MD!({__rD{AQ=^HK} zzcVP!?6d&C95UDw0W2Ntv&mdh%051b?h4*{o&N#=015y9cmd*IU}R{M=m8^t0|$^i zBex|0Bq9UEAhiLmLBT*(u6`l$!68AOe(v!=W>6$Z34;U>GcgDNN#&bDJ3t~Z8+=`Z zgB{&n(bO_CC;-*yB~APdQY#9?ARF9+{6hocLm~r^m9qjRS;5Nxikw*sQZ5C=PL9E@ z5%C_Lu0f7L&K{BR0scWD@t%G(ZvG$zU{A1t^-S8+xI4!S3-Pt`Q*!Uk5vT bxcWMRbbx)p0rtUZ!Jp1?2^hTYupJ00000004CX000000089z00000004Laq*F^y!!Qsn|F007 zfUXcL4$xI@VmY=Ws+t%%4$up6BF=(D`Gk2+rghQ^79Gi%d2gO4_SkNkrWui4(fFZw z+p$k|zNt<+xc(RM+m6p}y{LIeL(3o~2RZ((rsXj?skNx;9DgZgothnhQ`Wb{%#=q& zcvICjAf;R#PRP3_;|uGaFCf=iId3N9jX&)~qIBAvK?zwz=Y-ly5snE;8>;(h5hfjP zUV^YPm{QF}QB>EdL`m=G;x9$}tATsb6c(f=*>H z4^+QRV0v8yk$?6aczHYk$vFb{y0)<=YpRXCg=$Ru`R9-zYnMaU2cWxM2>CX#CSJo7 zrdWq5yR7c9Hk9gW^e5dq|pMy8|>o@mUx;W075gImg8IU=92 zKF;X@k~=G7&w5W@=c)~ zAQ6}izOKQ+j_$5#YMH@0^pYn22B{SVVvr5)LH?lu@gb1`$jVuPlB^5@K=QB1nYBQQ zK?;bS9D`jW;ypZFgB*jLJtE@+{DVT`J^eM@{6Px9o?v4T0kS6TX$4_9ADpcKRh9AF=uHk?@j5&>xkleYpUrw|8n diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index 2b8f10e..976e937 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,5 +1,5 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567905339785 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567905339800 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 21:15:39 2019 " "Processing started: Sat Sep 07 21:15:39 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567905339800 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567905339800 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567905339800 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567905341676 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "277 " "Peak virtual memory: 277 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567905342082 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 21:15:42 2019 " "Processing ended: Sat Sep 07 21:15:42 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567905342082 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567905342082 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567905342082 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567905342082 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567909565827 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567909565827 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 22:26:05 2019 " "Processing started: Sat Sep 07 22:26:05 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567909565827 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1567909565827 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1567909565827 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1567909565947 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4521 " "Peak virtual memory: 4521 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567909567106 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 22:26:07 2019 " "Processing ended: Sat Sep 07 22:26:07 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567909567106 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567909567106 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567909567106 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1567909567106 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index 872e912217054b9f498a4bb714b5064196ebf733..187c4491bd8fa41e6c53b4d142c12e9c8677a692 100755 GIT binary patch delta 1239 zcmV;|1StEV3bG22Q-2T)00000003G9000000027y00000001%t00000004La>{d%} z+eQ$k`as`LpaEL+Fo&YBfm))3G7V@=cnGa*Pg%%}7&_r$w9y&JnA{ z(L9Wz3x9;4FxSrnnjsaU6iI>&&(lq0Ar0eH-ZxTd=Fo7cn~7xdLY5SolI2&daY`Ld zE!X+4=eAf!1e!`&4sXQXmdqH?0Vgu_`B zj}2;*VZy4UtZ|OqkC~Pww)pA{@5OPx!($<%Qhz=-G7Bb@N2HJCCBU2jZI=dnj~K#n z&gB;`EBPzDd+|ve0;FQSsM z3-E&W`@OzL{;+%RU)W95a&{cV5P-L3i?w>Pp1AAq>0xM8bd=iUeLj%DynnUw6*hZZ2b zti9Rm?A)Ti0RRC1|9Ao7U|?hrlTid6e+COi1_ryBKusXUAPK}EMZuxIzK%hW@ga^* zKCbcpPF}9g5G|ZQ1*~8#LWXP1Kw3ngT3mgdTwPpTU7)HN*%%anGO;@zz6u7?FoPim z28RTB`nkuu`ndYK`auki0xDu>kN}c3CriqK6oU{DgWLkq0#(b%!e9WjHH^*DHJ2GE zFNmTB;!Z{;1`VJRV;{F@ko#c9xcU3IxCX&o$HBk>l$><)C=XBxJZzu_00000|Nm5g BP;CGJ delta 1222 zcmV;%1UdV%3ZV*+Q-9{i`x z8$}R5^C8MNR00Xa!#p6ANZ2`BiIPS<^x`-vAttTQtx#Xk`fks;_P%<%8{)q3e*-1_ z=GKnSZX8I&0}rfpH#>VfznR(j*t@v6xcC!~<2d*6IE{w$E`NxpluSp7EO~8tG~UL_ zD+v8jdw$0p&#wUZ6rV2v$Tw*!%E>Ya^NiDY1Fzp8%+GBYKrohbADZui)-+44<7}+n z!s;W>@AIzu_zX5#EDdV@>hh369l3* zOqUbz7>s!UI)BI9muGPx>7>wco~d1Wy1OxuMXoju2Rj3=zY!EkxRD!O82xj^TBjdc zMINm%fb-{enK?6YF)#a4DKVBS^YUYeS!-KDrL7mK8gr%Cu~b}IXrt_xZ$Nw#1VE2W zLQV38CSMlhZd0ed+uqvU>`=#T-?Q640vX`26wZl$6o09-%kO|#<(B^(WSAGtM4rin zdYO*(_wzNS6Nur1!AD-R|u8$K9RHW_xSC(T36T zcR+kw(sqG8*q4zoiKZtaF;frN;>SvoLfg?4zX@-mT3Udoa}Q!@XWHcqLNspk6ptGOX$pfi z8*qhn3wCoBbRkzF*c`t!5C2w%^P`*O!E;i%DN!R)Uc%rkipK_3$S`45JXSeJ?)yy3 z5-z^J!oE1pw|FdMRLU1dX2FE=i1ewv2AC6|?SE4L!Bd8CoJ;=YtBU^;@BR2J4gpe` zJI5YPvx-|nyV~s3rd&6k(K#7~hy?%ONIrywF9XC5Nj-|Sgf6>N*c;@U3E}eYiS*=(*XiI6y;cIs#n^AD+^4g4m%LP z(0^W>;m)3cmZRH%9@jx1$a4|OAWlU>Yn)a|6IP}|uhU7@jAyz|09~Tya^UMH_t}Fe??ur`s#CTFZ{bvU1GE}Y#^H;H~DX{QryR`y|4HJoBp0yiIrC$ zce10QcUW~YZe(Ny-Uo5dahF!ZuDu7+J(a<8Wm2+BAAs<-_V(UAZ;So{00960cm@ID zU|?k6lVJoMe})S{(k>=YlMzTu0x?KYaHy}ZV^Cy#h@+E_YrMacm#Z^G3nx$kE5i*S zDP*|D45UQ_s>RjU$<@Wh)di}Wk&QtBC=i_@% diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index f68fe6a26a5466d693c7b28fb44e299456152cf6..76f780369585e6a2d61e1db9b509f800e85c234e 100755 GIT binary patch literal 32072 zcmeFY_g7P06E=L`a*GscB2A=&s5B9U0D-7Tlcpj~gh(^=-U*3?fb=RzO9VtzAoLE2 zhAt%(={2;_6M6!Hygc9gSA1)|=d877|8RC$d(T{R&CEJn|NQe$DeJ%It>5|k=v$uwR3P2RTMRS zB5L7eFRJb6;(tCeIEUeUnt%Q&4Eg`WKWvx&uha|wzcTpW&D=|$rL+$YN$d|FNEzs) z%c>T9Trl&!^7x_e70$;GlH=}*rlgfzc`W(!?OVO{oPz)K|6FMND9IE3URHLm1t&y9<${-AB|q3%P5i?7iwm#MXD7CIvxlzcw9d3$TIfM zn}kCuse`XCtZMOeG`LWQmrW|;t)7ZxWDUYZHtbC9Bi`oc+PQHF+j~<9rJ(oJt**?? zqIjXVVrSPE7h~84UHoC0Jm$2eCkAEJxR{<3)=}Qj_kkFR<(RJJQdVnS3?45;N$<=OKSB997qV?hEih_tZ80zh(d5A^$&>`u~9eDX**9B&0Sd zoZb1tQO3^3R>85lxwO*e==yV5J^7~$XXbYq_1vTVx;36FBRn*Z(Dti3eEtU(hE7SF zD2q1!?OA1+``SdmmDYrmtiCIOZ+fqM_7c-lKvfkQ4Xd`5pQ_*Vh}8U)E~kIddBguf zi_NTo-Mg0Y_wGKo=z{{_KmKAZC({PE+NGXWVInw2(vqAgq=C6OX z1xDH7(bZ>80p^{z)Tac|6BlGaYKDihRewj)<3Dg5b>%pHHEPv!*ttK{1d?Vy?I{Nq zW08e*p+eU}XYa^fT~%~KI9bU=MxME&8HH@A4r;O4L$*w^& zF4BHhn9}&QO_zJN=DxOziH_(B@XvgC{Bd5t-O63x50I@ED0JDJtok6SVbjkCg`HPlp`MsHc3(;kPil{F!eM$4eu*!vvRXqnhK02Ju7mk*u@> z>81MF;0N>CGErQ%tCKasKqJi#^1NkSv8e*O{h;`4Uv1dG_S0-`zxnY-)f4#V@QC3@ z8cGuEt}-Qx^petF51hO_)Gkd$|n>sfVCZ2cHE8Y)S*?KLxY87eCGS(?2 z=hTHu`5sG1OLKw+tv)mDsb=(I@X@@!BHrQwV?NmSGD`H>i!6`Oe>NP5zM6jbhilex z#i=8G!(m|nW#|#*a4MktbV~CHO;OM~HK_6P5c8BOs-;?00D2S*?X5KCZ=4;!^AO$= zwHkG5W@K3vr={h^-%)p=BY8u$BMx14U-h|$$JM(Xhv_DblP6Ch_ZOe2O6~Z;ef!n5 zRQTaoZpD+VJza2tS*N>Epc)_lipfRcbqkcX{)DO}JoAS&xcJoR%`1@0=bi4acI+*7 zC!EbF&$h?4+jRANsj4kGXQ8=SHfx;{B7z;CYPBO9zPn^9Bl*`3es1ZNL4(Gf*y(@n zJSS&*4Zp}N(^V&hc&(C#%7!N%Ll%6LEtbnx{WrUEWM}tTvUeKLYdphG%Lkj*c>b2! zXm4%Bd37?ObvZt6UQYY4i#rq60xjU* zKP@aHF*mGh!zrJ3>*F535?PSpuM1SeCazDv-8O-?x7-LE8=9D{Rx60QYuUHuAGn=& z+2)JP!p$F*t+rgcVIw@Fv%~&&radA`FYSzmW!%HRSf97C;(p34?_bTVZ4S5cm>173 zu2Y0J%boV0e^53(T964-iL`oc3O+!aQF)v~j?}pQt9YHw0xv2P!>(&Bp0$-8vaZBH z_UnEuk2V!wbzbg??)g=g%f8q3&8hrsWbJBA;@uvRJ5KH;Sj^>6@YhowCJJe1&@Ile zXfLn-_ct19y-dV~4b}GswV9imTwdtWzoA^Iy6`zc=pvZcQr*S;_P5OvSDN6gks!5% znwuA8AhsknRza(4tkBFMs5&v{8lqQ*}&g)p%o&`>m=_3W&dy>{@b_iER1KD^!a453058dDow8Yuhsz< z$^KzpA4f;m1{UMuehgPA+i>5B|NG>)*O=H7UOqG%-S{=JapL~e9Y#nR60=Rdl}(@B zP_&*CS$Btw!}wNB%=b!G9LY0_|Jw{|dZzH?{S$txxMt1$uRjKR7}O*A>f%Jlal${S zN-W$_@^?e;^z87)%bFLhjtB1hz81K4eC>gSGCkK}w1>n#@vO7{?YkNUIHCba+1?>s zuaYvEst6y?w?CTYw=Hc}+}AHyk$T5wdQmMq^>>`nE` z?LWRfRux}@?(}!Z%Sol?Ot^>p;0B&|Tr@d?7Q%HFf7^le{X z(;KDfO%hsKB)0ILn+N$Zs2QHOH*A#@^h@sAule`?1xpGjG)r_(%?Vt)clEexI&8%+ z=$ehP?ObxE)!1rMSMwe1qNWCn!p*|Zed2oxLW$ujpLT!>E`t?uko;hO2*f+@BT=oh zYOgKtVTjMupZtY=BF^>M@rv%4bv}T|}(wDI)zp&^iH!ASp?I*i~;hryh%xc(z zKgsHi{T@EP%K5jk&FGY(9H8yEGA$%y2d-!oZ z;?!nM?m_!<08DLxIbgPbLeuHj2lk>%Y9T)@lb$f`G#9RURT#?Yso&qsk`xb3&TH>(fSNq<)&Za zc?@ZwDC(S9&hGB zb%BiWF@)C#daf-_ja@@ygj2L0iJVQh(`dI7Lm!^~=sGONG)ir4FxrrM8hh5NKKL{B zWSLPcA$*#TVAPmO;oG-Z=O~pJvnzrpe*&T8onL6I`O^0mA^e4B32L{yo|zb+RF(^Z zmXikL`;d)oGRq-N7`e=yW81W_*h$f`pDj{>`iW@Kj;zeeZU2M&O@I66+Wu;-u`7X%j+{s&Z)N<1I)Zq|&m6CU z`lUYxtjKrcIi5g#-JDPA@{d_UY?Cw_H`3N*Dr~TI*B)miLF^Hlf*F6?Oy(9_fmR>)DJp-{!9BtHtG^9I}Q*(HzI-!lc&M#3RBqx&-{)S1T7)0oyRl+kFv{ z44DMlj`1y{)>tMlI<;JnQ}PNtmc0d(-B7|^dcj^naBz?RE*qsa5n`aWVQY0m`J>qk zd^2C+uI5VdiZe>iMO}nf<@%UGkXP6%@O)QS&CGJ{){f;q^4H-;Vby4WufrgTsY%E8 zB4<+)v#eqBYB(TB-fl>%OqiS)2C{)RvUp}ez(D0cT zzpH}Z^^;e(t&SLdBDTb9HA;@6mbFTcIr;@^%J$km$lG}~Sn=Gmb-LQV-dySSrhR(s zf^c-;HZrL;Rw;LDjFlrixX~RewM&R9sC<;0Arr0Grys}R#c^&?*9;kN}%1i?*t?stxon z^q9>4Z&27rX-_^ZFUN62pvx>Oy7ehaz-jE-Pi!!oNAn$j=B>tp_rwb$Yl%y!Es3UY zoQ$0<3*wC5=OE%uzmmlg`^1_K(`=3y584|vKJ2XfrBGWORno=mngH6hVY%U+KeCDp zU#O02D&LG%3peF=%CFipJL=aX4n#HeLs~RhJ9LMtETrj0s5{ zLsjM*Ce}>v2i14%7-}ZP6Ga$-Dr!gsmaiwdR-Q^_cMOqD_ z%4Va6nPUtoksCe>cyRZq8{LkGa%yoZ+ln|{E8&4*tOGU724)2k2T_q{6WB*Yqul-q zIE-i25cIYmC?K#7d{|HN3rEsJUYLKj9!;nH+$9`+XcSeJuT*o~g_`v@o9^zjm0g5I z692JVdVdGfalo_g*JOWYG$AnQ8Qf-qlK3MD*|vSgR{~l@eI`EhY2A5S|EggoM25B( zwv(fP4368^-AVG(YWnHhlhYXWU7AZtG0`TgiY=d2jf#0qVhQIz(!bZ~S6EyY#n80S z09l1>tISx>qfVU0L?oL0!C$LQ?1Y-WV+Ocw9yRg){9fiJX+n$Gx=M$d@Lz|sY{n29 z@@yA%{G)v2z*qk9bc5me}Oipd3dRQ`BDa_ z$rG{4jh!y^luWR^e8mRmPJw~**a#00=^{@daFo2DCE#jd5hK*-qmcMN-~jfr+( z`v$?T1tlN8-)xU=q3a)iIUiGV?(sKh+_MGJ?1@Q8@AA{W-GHOwkHpi$#K(SH`#>Tp zNg4y?m8H>@x$9z0w;LG1tVbPiyJmgi3p3Cfb>0?w6Yq0J2dFq>J zO^d5!*=N72iWj37Gbwp!OH=QIq1+$&vtxfPPk+4+1?g1?l)ZE3T$6Zdnx6IO$baYi zxFPLJXW&q4qP6m;{xH287=`9Uf1Nq+zT3hgkxpAO$j$&GfWnuO*bkLwTl*6R!GKvy z6E&sUH|~q41!0GU2bhLGL#N-T`BM&fSBmW5KkqL*M=t+0MvdHeST1>rN-WO3tE&Y^ zp^8_`%ev6&5}@H(j-Z1CV+spu?hD6a0NT0FReNW^0&%QYU4=#;9n@6&uc+p`EPsDW zM0{1!yu9Hy8&esW%z;XQ`B+(8a?;pZck^1~Os|RF^Rpa$j8Jm{{kPqO5Z0d&blV#Bi1KHF)txwcheailErrR? zWwG4_mAJ-nJz&O1i2G~4fQtO!g+tr>3A=6-AFS-8VOZy(lgwm)aIVFUK=^*kp3ga> zSgZ2@n;`#CsA4LNueY~7=r)M0s6TigtMUF8<&`Zvbn&%R=yT1bkjjc=j_#Ioy7{7X z&E^}0fH4fl3Wgg$Z;5jIyV@LxuumL%b*a2eD>U(oGnJi zNq)O{l7FA(vl359>p|^9$?)G1EaBUnmufqY1UP~Mlff-E*q`&y&h7#o3XZdGZp%nC zjmFuJ>=>|>Ms=aV>sjMy4@bU6(Z!Q`8=2r~jr~`&oUP49$?}a3mZooh=g!T|Z0C+S z(?;>S=Ac^ThT{a!T-1zKV4lJG^86>o{dht>B6#$4MgBVRQlOc}@csGC5vRY!U@>ZLrLn$Bq~A#F1GuNAxVj=Q!fP?0%7E zQ3Ub%GTXVki5aWG1)ml8KSXs)fJB_Owq9|LACO`IIWLa~u$_4S_zX>Av_!RAEDI79 zt&7bQxJ+W@22Dq4XAGc8=HzBso35yx$+7f)dE~M`Y}I@@UhXQ00&!mwIYk$Tz5K#) z`gi16%SQ2_MMj`PhRs+{WYQ(;1g?SRpOjjdZ{;}On)ZAbrh>})s`n10PGx_$L`v> zu)H?3Ne>A0ar5W8ANKqLo=sF}j`hf&!(CC-&G8BL)e@&@w1}Z zaiF+ptjGjUqD5;cJ(X7e^qmdMokbD<-9WQt-j$v!eG@g6HFY11XVEG$o5$}Kqfb0% zDn)>RUB3}EIqcwTdG4bInU9yU*;)3jn#I{kS2|A{Zy-O=tPc)m^iU2mn`)-OV>3&Y z9KNSuNK+_Cbb$DsMYtm|@d*ll*|BpESX>4EiqGT$7O95AICp3rYabF1c|}5Q2s3oq z=qH4}@OgB`j1rii?E6#)O?Wvo;zGMj=4+4NtYermDIK5|rNCb~#mD<2!L+c)wW#O6 zTLCO09UhR-VhE(}0qT~F{o=EY;_ZXG_j+4YqTyqDsGi&VA^O0j-f?-PQ9Edg@p$zN z?$Qq&p{l~R-xDWl6ZR*5yob1s{D|4I<(mk|i;ZWy5N=ys&I;NSd|M9(>xZI6)=X=7 zgFXkx_>w?5nj4JAo6qy`iVI@qi_?$bErDN6Ml>##w)39{FALssd>}W*;}`KtL09o^ z7k8RJ1e+)BH{|2wM{oTovqa(5!$(>6sZX?9z~bF)`&bmM7mH8y(9#W<{wssexhx%F3W;FyCwo%f(if53A&F_W}uk)OVALjb`|& ziolot3N8{5KH#1@@^*MfbQH$beO@F!N?oNsI1(ao5EPdzVmgm6At@B++Yan#W*cy= z^)CNuv_f^d>E1Xim2oRq`_$9IV&h#Ef;79mUd@M8(zjC-#=@6Z9A)N8L2~7|+fL4DJY^kshgIR3Mj@RgE zhp)|Cv~gV6AuPVL@lr+p^ifd#7pW!Dl)xNp>kBl)1_5Sv&f`9IwqT?W&7a$h$?CXE`UT84*mG0p!`HGKPO2aD6GtikvL6|F+ z&Pe#i(+obTyCn8Cqt}SLGA0vi!XEviRVm1?D%;BNkD%bJuIr^xsvp%Ou(jsDvBZXF z?$*p$FyM%V?K_A87jzYtK`y#LGn%L=A{Qe>gy#jH%qGsL3GGAmGdH+nj%~gQ71(~3 zWMYjHOdPsD`Wn9H*MUdp7&pJQI3ho{wj^R|jIYnEHMzqc9T?^TC8i6S?1P9A}x$qW&ASsH{3eX#ftMuO=?kffmytH$)hBsiGB z!593RIpnp|&Zgkb>O|v1WY8>NVZs1#v^+57HC}i$#O^6BT;4tt;;k`!4`9}j>eZ9V zs+YoZZH>T(!z+8l4 zrlJFcNl36!}>&R+QfV@LO)?pxRIYTjjly1w9f3X0N`Sy!N?{ zk7k`B(iQwh4=Laqr&rZ-3-8>fQJ>FWKlQ`vmnh&e?ZWBk`zs)s%n7Alg^yI~N2-P* zUjB_2Yl-_El+5)h>b8pa>57AQ)5l9WbD|9g<>*gvGpPg~hMmo@OTLt`_B*jv2rJ2< zJDZ|8!5H&hF=J2EOG+P3gn6khC@V<_%J>1IPB76k7fEG4CY=+#a-usqT`lpAw0%HFz;11)&Z zP5!pv#*_{ZB$9rISaiHdfy}(5Pu<^H`iT>fAAmA78#QQRM zu!fuc+x-&Q{3Vs3v8E!G%Xk(XSZ02Z+wz0w$k*bY8jYfxEi;PFMvbh>53@|9BW-fD zbuUT{Nnlr(zWM0h%hR2m?r#0fh(3XRsB(O{05V%Z&3w`^ggf)Sysx7CelW}@;-QS~ zhvV0n4;Al%9AoJL3g81zRzxUtgxBIss-f`V)bngVXkPK%D)OY?ps*(}uM z_TSl8viemv;YFr17pJerq8mQQdFYP^up8Ycb+^Vd4?OH`A4>Ta&aM6+@q7SVAK;gt zE*Sn1bOTWLUUkox1RM)=F#oIh!TU(Pw*S_@nk{6b>xqd>!QZ$wF%SG;dp+U&(UO9@ zQ+oJLmLf!}^Yd*2_R z#;P?;ExhNLUQyPc8%eLp>rTaSaCMB|Yop%pyM;T5&AqH?Od;S_RUd`G+81^CAz8k1 zAgprZv(VuoV~#%~LLYuxM9{@?)K9~UxUx91ZUjh@7Rf}kczK?iG^mcEaWE6v*RM`i zm=$n+!X%@=%_bEykmy>JOIka3SVtZdRo`NT7CaucP!>LxYeyvKdVI zjWZs?IKBl-(;V$`Sc&t^GK+WYK%?kSH+QTPZDeScN2gFzbUTT+-#r_UE1g7CbzC{K z)&byS_T0x7xL{Fa5G!Q)<`O{whV&WMNW9$d1?n{DG^>u<1^S&xbesGTnz_%N7PZ#t zWq}GW>^5GE{LH0r4%?L4|LTNojR6ICuk{=;?}}qBLPad1g)Aoy6(hhuZEv`7Ec0E3F z8$+L2?Y%u`%PJQ7DWAcTze|ZB2|>aYv)}#hzRK>qvd2uXBg@pF_^L;H5cC)06_b1c zg$oru5h9YCueR*m!MABo?Upd@7pQa0M>2*OmG@CZFH*)P_hhRtT!toZ1F{W0yD>l% zC&0P-KhMAa2m6$A3pZ?z{m}0zdh3`co9c0vV^ErHd1~?A8sB>hYVJ~z-LJ3cF{(7j zvuA))84~WF>E}M`LMSn=cU&uhl5MePH>(v-n)AfLx?M7eol*-RR}+oPt8K< zVF}*mBHf%NvwlLm5N53D9LE(+$XnDc+O6<8DIA{jjFqs>j^VHU)QP$I!-GdDRM;^| zIEs3vAgLeckvc!>02EQHst&Vgk{^4c(P4QC#;t<2jDmU7a)JUP=!TRagrXnovI&nd z$3Ya6GzWdiDmZFC-w{YQC3IbZi{bTg_!OFU%4vNQI~BzQGMkI;yn~`!0tzxjv?gLCWf~ zHb~@x<==U8mbaqIO62P`(WWFuh{$g4FiFpy>13Jai*PMwN<_@bOe{)JB@if?kmJ>g zZM7v1KG-)5H+JtoiXG176HQICEo6N!kgznx33@@{#=$uWbA0``-%K_(6g-A&(JQ^kTBk&Lk0#)NhaLoJrZCGBjoYysE#A&wyzu>jUy#*DpW@;!%P8g<;`Pmw}OP0VOju1o#rTq zIGYHR*elX#_q;kQ$ciGtbrwLVW0sbP1gbNONYgsGFa_jTL=lpnUt(?X9E^Lu<;WbG zGrVMH4{QCe4oT1ScGc4HPah5;QQg{r@tEQD3=ZI7mbdF7w_07;TkP$eU`Ob$U9YkAJnVwm^d9jWIq4LVBMKG%=QbHCSpJI>g7 z=C%90qu9%0{7qr$sT?UQw0IkJ zg0oN-soHJ^>&{mDZrluuk@aDEw&Jz$wE0?{E(6lXcg<0}7#(F!<5;-iNf2A&!LMAL zfa0^J^tpu(xHWuTBKZSin8A~q0IUhG`cwG$I3U6DPZ@DLji!EXwq9KQfIX2Eq09RX z`jmJ9j`NhkSjttB^N>=PtI^{A+_qY7yrh1~E)ZX2)IG|Ou{wJM%DDl*mo@(RmCY_} znRdZt@qU}qNj(XrxNE+rzyj(ZD05gt!wLJZUSXe$Vv%HZn+AnT6gAwA+HUU!)rHI& zMC#noXkCh|jj4riV#J_adgY@tx0<{5um5!4$0}ng)6bqzrqFFwhS8(X?=Gbs!@dF1 z1BthMo)N-Wt<8yVn8{`cZm=Ko*zld(W3KgC-l0i_5pdaGSW%khQSSAnbJ**5jxaBG z7sb9M>$ZR3djy3MmWurYYvW3+wJh*AM;WTm<~On?I2C_ADQ+*ll+I}!`Bg=iRbg5K! z_ma+u%RMxT?H(ElST=nGR!pfy(@WK)82MYQre7YXc#n#|22P|oZ-l}XP0*yh#vy=7 zbV)o^cid?*$b0M^NrD!IGakc)za`1!T!uy;mR+RG;?_I@Tq=K8CGA7xmJJJHH7@qQ z{3C`F*t7n-zW15TfB;`1#JTbZT<0g06w-_Us`5*A`@Uu5GHpPC(92;oSO53OOf!E!b&VS74SLD%_N3;%FN)l~pL^X8MW_%K zCGHur`?yo(j17gQpleJJniiu@(Nm;P|M1XtH_Ob0k3y-G-@+H(6nICyEU>TTjcM3J!-wOIlO;lp6c=X3xj z8R%Pa>DP99Z$ks@u!&@=h>U|XP^xSwg)MwPHbzn@3P26~(H##RTi|eUO1XbYp`FVB z#mi8&Ua-`9N2_1dD4h>x8)2dV)gVYerugHm7M)s@mom*Mt~irea^g8a-Tr$i_Aq?~ zqNmwWmx^>u;0Hh01$SA77Rctx*#8>B#=hIRTi}L&6z!*PcaLI2zJ2`H0DOgE>uMjp5Ur!&2^@WA?wk5g`lu|MbQUq9XI{!;K(f2KlTOvtOBU6EjNx%mw z&F^&n+nn@Fk}NKQYN{sD(2^G2db2D=t{nPJ8q!|t%>RwdUu8#4UBA@3hSfWJrf8Cx z);?@>_~gu$_8=kv-0MB5{eTixR}Y6JkxU6gyjpeR?Wy_Vkc zHWlWf=hI}^);)aa6{B=Oe1QnnwEfjk4w&PX_PN&r=xr)2>@8Tx`9k`!#em3RxEQdH zMCWQjdk0HwMo~vh8NXjoR)iah5&bXbl&w!rw5ynhS?Y2ySv(bP>6n$fp=F zhLgOuH`DBv(*FQWZ|?2HU9P$Uex8LQ;=9NRWzCoIg_Og z@SGb)w!`K}Z#d$v=hgM#v!^#(fila4i7VlL*++XZ0-0efPMVp?4NXs1Oa(HVSe&2} zqk-WY-#(5>Ym*ae(NwoHLp1>~^GA58AaH$@;q<86Go!vBn08cIbfr&`{&EIF)qwk47_dC*k<}i{jw)J_Tu8{ zlajq=i5$BAAs1mxvc6_jj{pyf8@=r|$c3Y?czf|k*{_wdADO>%Sc6PBq6(LhTwl;Yzx9P?ZBT|}z){VAb-cMZavA|@ze}}2 z-2JWtKFpr?Rm~{p+*y!N#H7nTi#)0o6#GIoL3oM2!rt6Ilm2DGYkc-ftA1p77bTe! zviu@iySX6n_NPN>eT;x*^=H@A#xU9Q>0(*1cN(slSjE;Kg(iP~NQ;aF^V09)j#xuq zk;`JDGD*r@m}u|(4M8qUruRxVGFt-37Yk}WTHZO0SQMD*c`M#+6FpXA;_-P?jr@3~ z{fjZ!z}s!D3FqaL4s&FAQkyO>V`M2!U}JD=+;jHL^`7Lw+QR_np-}(Nc9H~CpI}2! zVTRvH0>7ku!)Z^17!d(Dc%iqs!Vw>Dde|rOVg%_HH1{o$g)ny&R!Od5p!pKQSU`$6 z1#V0#SxKDsoG`^gv}h5-lOh%g#S*kD1VL64q7OEO*kEusN|L(uPibURD{VbyJX_;D zAfmRn-5&}jN-~xZ>Edrh9K6=lNSSgxBm2$0j_m9&fAh*uhFp5|(MvwZEDeGXxz^=% z11d-$ql^8n@6c}G!sGe`=bP0JVvS+N|IAqeg3^b^)eVGS_lKpHWR3nsv{cnb$V%Pt zGW6bf*N!-mepGkIwp=*)fpGoPUi#2|)@#DWgrB#QulHG-($qM!=nr>`ndc;NB%c0t zmX~|7k&ojGkC^8k0_H+0qa=VR9y%AUVNNK$DTZ8%C=;h~|BHu2lqt{*aD^ebs!H-! zL=lqO090xyX?WBWzTT#peBkHusJHyW8=nmU8OfUO%a9GitPi36+6z@=i2jXPpGO#v} zJcHP9fCQ|yah=o5DCQyrVj1>~a)`6w(X^SbIEWbjd%A)lRjBHgRLQ52EeJ>2H>5B@;>hA&^XPHnXzdB+3_04??j~8A81_C2_i0o9psN$ zNNH;O<$BxgX3xfT{@S@)P&Go-9}CDuOrZuw%4&28CoSwUwr>Zz3JVl9X-fZh2BkyM zz#XMSe-e_1$|X+NuZA|+l{-{r-*XV+?4NOtwVv|23QPVxNC8xw%#xKA!3tL1Si8ZW z3-pRqj-8noqeCgEM;hyY->uYrjLM;<*K5(<5aRA8^7SiCdH;r|dixWb=6-RQHgxjM zhk_U9`B?TF9_CG^cU}d_@MBDe?a;afr|jT(ni+>D2Om8^J^_pQ`Kn}-E{w2 zo-t#JTY1_+HTsabW5GwO?B{NDq2kYn%`QIHAA*>U@NCuw9{VtTsWaRw(pH`DtSv1} zs&K+D__K;auL3nOOYvC@=q8)M+KgSkM0=5WL!2r&hI}H0b@-R=vM|^9k97Z5bC>TP zU$`?LSUw4>M`^rB;lUl<`sGs8$vYp$LPzgo#fRwsu3=w2G}`$Vt0k2PgK6PO-Ka~< zCR1N*JG{&lg3NDxHY!Yle}A|lAv7{S#yvecFNX6Z`k0n?l=#RB2Qdmdqcwf>h{$?yiELO)Ae-eAegn49@q8ZPe7 z9uimU_u#!X2VS0blVItpvOx%MUmSH*%K(rTGbbeJF5P~eK^%PQ^CH~+{9!+tP-c`1 zxP~L{KX~yRt~Woav$vCN7ew_nx1jAg$8{-7#__h*Bd6B$t#eFR>&w_=Z86w8vI}Al z3(%rL3Byct&nU6~;zMxKe3)!9-v!zj&gvONm~R0n5D>RC++1CB53b8pkjk;alAi@B z4zA2<99R5ZiVvwgHk6R=%1+KmwRUZl(Q#I-AQ>^L}T+wu_u-io9j&616J2+l0`|S}1eTDHYPR{kl zkmb4+)|Ui+7u-tUzBOG|e&_uWr4B*2++~PfnM+$K;ZgW9Np02|q)Ff2aSE4a2)@1| z!b%kW*Gr3KhZ~_ZOL6>PO2mvXO<-M48W5muQX8=Kj7xPpSUP4ZdFt`}#5ju7< z4@XQZI0}E5bj~zY5?z1K^|QScPvnHvmG95kE58iKWA+R`j}D37zfxahPwSI?Fy-XogH!$Pf{TLbm9}+B>UI0FBrfN1rUBCxH_FGvH1RiVhYq&PYljj;{i$UG7`0l-k( zxuB4c<6*gos!+!BV#~QE7be7$9}-I3Dfb^Kz>~;q5hq+Noogl*T>ZDNpU<*i6j#r< zWO~PK5FP={)ti)Fu=EHs0PHbIe4qMo{l_iWHhWr4>1&x~!#54FQoFT}k&VqSC^Rn2 z=kvN8UVs4H3Q6^TGhAMkM}3a-;yN2-0_#vNUZnLf(;K|e=M^0q`vwXJF@KBzRINxk zk{Y>SUpI3tC~vr6_1@yH@k}5?fQR=tCP*zTb_v9{hA+vXQH>*%WKf2|(hGLxn$Kv> z%lH4Htf(6}NE|sR0wsm~H@9lnS|)OibJwj#2u3#m?^Uh`K3P_oTq(OUJxaNX`*CZu zMD|1JPFc6OlJC>#v-o?#6xmN7rH@i*@#q(1C4|xiyT|?pZ&6}77?*P}M3DUuMOj{A z9$>z^*uzUTC$_%qE{`tbGv>|rCJ^B5Cog9y?XH^H-lJ*4PorVNZzGF~+u9KdHzrWG zjsMFW&$il4Yj{uQW`Ob%_Ip`%DV-7Ym*@BXZ3t@XvJ1WAC+0x6kT`@$>FdL^QdOn! zPxStjS8&?AUN{6BUn-IGMDbxF{DUo;&Z=!Zk_6K_1KmC)RYJwj$6h7-f$BxqjDkmlb( z*mryPE;RHwT>m}Ct7&{31`ejR;<|01kWCyHwZ@6h0fbS~AuwUSdHdd)6DaWh?;LNP zZU_C!-S8jf-oqj|cg6(bJJ?$Cvu2*8vbq>sM8_-%sF$tw zn~M91h!a4#kQ=ERzpSF@^<*&utcDG$L}*(o=ED5&b_I^S@`_Z(5;ZJROa$Jj??Qlv zCCJoybH9#O&FxKiczKrJT>R%3gzm^21~GoTJO5OQ_j1u*bSrF;O)dhCPGDX>5>sm> zQfzdoCEf#8lh0Q=GJ|F|WsJzwzH@>-txFM+Wf*=^VaYc4)U6Tp!P>}{8&=vnvlpqO z^>TS12a?&^_9YI|P`K_!KPIg7FS=Mxqoo=S%n6JC%7vm`z=Kv~c!bK>k$Qb|`Gb){VZ-w(+4>YJ7KOqfqbWxRnv*Kmhv=sIa87 zc4iQM1Mb_+vpW@w^V0JcWX@m(SqC4xr^iPaIVpt4$UBX7WNn@A@Rb|TA+tL|0WkgM za!+%Ox6b`n>YQ!k<~Xn3JMf3SPO$o064Vg=Gn+1%+jOaT;g*1ArAssQoe-a52Cqn< z7O(dhj|Mb{ZfLHgJCCV_4`oi$()_cnDaSbMs_Z4{VkK#640&$tX4m8Py%=j9p*VsT zO_iWxttugN+vO}SDg0^=eoZM$sc}9i5b*ywRajzW##N2J#786PzaEc?fR|UUb>&5YL!D7gT4CnMsgd6rO!bFC~OYr?z zweMHC?e)m^MfCLAujdPNAT_BmOzd}QA(oe&A!PM=s!eGjP~SJZ6)w26cYpxN=Zr+N zGC&y!fB&W4N~7nKZy|#2p;>nV5K5hNH%V-Q_xf=>6WAJnG?cT^9@UUr8m@F^bimf^ zbLl@HlaZ>g*|#HfKIxwo<4Wvl?43ADZx*7zpK3h$Nmh;M-1~@Sfw}01eC9%M zKy>aU@8EX6wvE^9F#g?n#Q0Ur#O%bmO=mr!p}f6x#f=$ddQLVQX5BQ4);%2vftQ`} z1GaDYjQy#KqL5z#Bf}UP|G=UjlXU1ouFVwWD*=pXF1|uiu5k~mmMdh|gvh_~DJJmZ zq`5VXHLd!~$8Uye%O$%jnJg7kB~4gs9CWO2TZpBveTz$zhAwj0dePL zrIw}E!(FdW20|K=G_P+wmK-Ir25SNe@f6|}{0-djc=@g$3s$Q>#(u1}a{Nqu>9tdw zSEkY-l2V!S)%emm>wY6}UgbrZMF+}_g}!kiI8wB^r_<}x$k`DJR|p0y@E4R$aVRsP z_lD&u>sSmQALgz1Y_!lqEHk8+{34<%t0K(W*4W~m9%Zcv5tW{9s@E<`d z4aEifH>`QX{BRA=S$IIM?;5`ymZ#PJp?mrJ$0TZ&y$drD{KQcz=5wBk)$Lza(V>qi zD1?IGeA^HL*ZC3D7F|e1h{yn!#M1d=bGQj@Ll)vzXig9dhg9Vh(Ds|+jj&GZI^T6I+XBG>Y7*Y4UljxanKIyNTx1*Wud;aQiZgzOap z;0N$)ePOrvYuv<=WW-AWRcLaUQeoN6uW`fi7D7ap6j~};<8grE@8wGXg>>XTdx_lM zUjYTSi|1V03`a_Weil)_dL~0iWCd$}Hw_i&7_XDwt(9MOqA_J+1U!NI3aw8RgU|{b z`DwOsaYsRG+zb1frmW|btMUPImZ(X{cO0v2mOZUX`d~J>#uz@mUnG*g^j|pf=s}>- zRPDws1YU|NbYi=yU7f$jII9RBg|bCU zX$Ba2XeL!SS&8-TZChCNLR;=tbtzbN_aom~fMjxn$w4VZBU0Dm)(B$mhM~wrjhOx! z5oB`={#fo=jvxylM1y7Jk z@p$o)m%sNE1(aJ>^S-J5jCTJaeiwF9R2{ef5p#$e&3Fl5>9kwx`|~mR?%FH{@u&eOtBu?_1%yo!5F4{WoYQ-BV-1^&{pal1}+xuVk zjXi<;a>exJA(N9Tw?y;Uoq_~$#y~+*E98xiZh**>DV6vKqGNP9_p(kOCrmvmu)XEN z8c{hR$5lUhqVqQKo)f+>wsNKwQ{g7s1u|u)xyOstfyU#YEpSbP)bd;Z@-Jge^ ze(*N7(ST_;=r9b@er-lAF`5j-J;|)2CdN2yI3eXHXxn-{<6H_am#p?#`1oH6ZMm!# z<|1CoyL}`^JwMgqF8^sin^IDURRi|48d8`9{RQykgj3y_!5)DX_r6qd^D9@7ddViN zyiDYP34oe{N0C8c%kelN&+&2IQjOcKpWh^17Ji!g#{K(?^FezJ^K3}LS0O*%!!9?z za$84n>u~0zDd%7y>jHcxihh=?U~GnOl-3oG80fOQ;x71PvV8v8_P*oB!)gv6*PWRg zF;DelBJ56m?4=H78OT0g2LCufW{)4ea8=I>!ZAzPp5r5a_7N<*_EXgC8e!mEx zZthjH2=hb9i(xp?sy9mcz|T#^ zZ&S%jr}>LRuPQbO^{Fm#B|8RrZ|&n3Ndsy*eOWm`+*_&*|8syTgEQDT{m3a53t2;*P9S`K~{Qb)Pc ziGD3da}X7osF!(M27m8N=gVP&{<}ndjqxWZD4+ZV^2e$K(NH1(;MwdA^$I1p;d0E1f z{{}qqwBm{2)jDbu^0)}_H%*hh9s_Kj$q&EVkUpPQMTLO`)yq)L(k1vFMm|NKSbhz1 z#oXD?)1q^RRc3aa=I$8~bT~+0887*V5#4Kld|ySz&y9_}o{P`&qG_vr$#0e7^s{-P zM>N&BrR0@^X@ppl$Kj~3$!4yFj;JRp4Rc|p_e#`SnEJb#Yuk=a;MZ~p`OMo6UU1f& zqVew>?UUjgCLVAtlE$F%-<90l8on*Ijl!!>QE^oq$t>%ID7H^37%#cx^mtBf7nS;O zcw&n>n~lo;xX>>aL6aKA0R^Mp_BhS`18B(z)=~Kyns4f$TCu`JyD%VK_mj!Cnw~|W zb;8kVby9iOX?L>fUM1Gs_*o;BUjkXPK#3Wh{U4is*@R{4GBbkxpJcv{Q!%zh%)yC> zX3k{2l5*qdGAE>!Rp$qL6oX3C2VhG4eB3;8gZ5f^YI{=Cv|72eIq_cjpig-%47738 z3j(@kD;yX@@UiwDi@%8*7#3oeja1-v&>T99Vv3tNZ<$ePhUi z6o~&G)Oqoe`T!Vu=hMD8MC?d(h)iny{cARpf(qe&g+NKn&`xw)UX%OOSeo( znb5f=p~6Ks)v_Wl%$CL{zx{Ul=`wQ}Q-757-hF5Hjema$z{wg#ai++EnVwJ5OXdtI zm^>dVOslc`*J8_QDnkFr{#LRVS#9!dMUB>b^TTT~d_oq1pH-SK996VI8cs->XqX>| zYLkq6J}1Y-(l<~@K%uI3p8;_=W=TSOQPnK{t3EKfkgnm1_>^MNyuA5xZW3>`VDh@t zbs!G!Y`_`6-Fu*>-MFpu(1SKrz3J+x(|neP?7rgET_@zIo%e9N9TDQ1 zJk$B)2Ne=3itp6KRm;+VS$lo$VandS(c}#q_zT1Gr=i$H!EvXNOdrf6w?*twq(zEwToR1W$}>^AU6_Z;e;@d7em; z?|Z}y?|ww|1YeeR#agXP@Z1D$kDeCzGe@<^q%d8Uh9vj$dVh!*25(nV2i&kOED<0N zRXy5L3HHv8;$g;ahMX9LG3G1iNxx1Wb|p^80m7J!M7k#*2af_B5y(HChA#Z!AqS$VSLO~#bENfJCxaXaX%hGCj-tQ$Y3X-ZZk z9I#y^fMtp(=V>Wz&o})5POX-Y<{j$#FJM+^`s|arKIP9hnAc@hDmnKT9+{R`j@`A@ z%lg)4PKN3foc?;VjT$`SdW9h{L=p~mzVsfzS+jK740f_7ID*be<$f2>CwngE1gc=z znWCRatiL{KEysyz^SH~d+sX*G>HRC6LKHj-1cD!Ujh5#O5HweAqeHH6t0CF9rgb-w zV}~L8d%Dv-hx4H`S+Yzj$LnOhC5(=U+_zz5p*U{|%s_2HUy0${h=1#F2j)@Bz`gh0 ztKKVcJzP0LlFa*38jqRkC1VUpX`Pm@~if+1-^ka?j>d zP*~Hu4_RCGUwN3HUKUzkY7oc1&NTc%G{)#jswxA)^47~QnFsKE7W7Nlf7L+9;n7>i zVr+=IMosf!WkP1zZ-JV#OIPC2Ekr54%*SQ3%>t6`U5kJny@fOz%dXsU(Sy7ic}Fa! zJP2#{drHN8VmL>dIa=BM7HD+fD-C{t`36&MTZU|3|K`)AGtzrY{-`(Qw@cx$ZJ)8% zquuR+yd+)3@4TyGb@Ux(?`?+z>2j14*d{O2guQ1QHbGU{XOd*@pV|1#apyp`SY}%3 z*VtD!M#&yV(iP_-9t{v5OA)+i^LUr(@_zkgg$7(HkI{N^CmEx8WxqNLhM6dic>A$AaYrV{1|tlBVfBA9MZn4!Z|gkE)9F_udI>w}Oy1gG_uV7G<$vNlBBK*9`T;gDR)md{*?G^v{CqHXXKz7AH*&c9i z9X#FAY!3Opfc1y%xir!xUsaLlER;(3W$y zhTMH>YqoYE{zIlb={_yFa`P)ziJL_qcVV*9VaB=^Z95YRW&O%d(r+(^?^ws?9FJ{9 z3t%Fbltx}ov07|fSo-QeG5JUNkh~S3z!*^5{>a&~rn>XuPhTPQWSLkkZ9}i$HHmdiNo7*9cfgW)OX zf2_*nVyfRn7Q=CVyyJKH@-#jRur?$E3_UB4sS9wBTct=yD+JcbH9qL*6iWI zfS;(o&~DkEmw&tCkWL!nIhl? z`0lkNeBS$svAnGFs8z9#17=!v(F`vt%E6yGbL;iZYKgLD=V|1AWmhFhP zN^CnRGX?{&(cyQv^n069AG&hcj(y|0)w&3_JzgG+*@VoQG;KrQ2fX*ze=u)D98X3q z$D!Xp6`e9S0KFH?93CS^+Gizg_|{8gVu+TC0e-zM-F20$vA6@hK5VnFBhUNhpR!J_ z?RAIbj?JfHzwMUm@-k50r*@JWZr80Vi5xJt*WwPm`#vMaKqn|ywn+asR$G4_zL2nZ z?_d0p?=`2?!M-aqmOyU3brL@=;%)asw|UZeVrP4I!15|E!O~x5Jl75;!5kVUsmz`r zH}+CWe>-@75~0kHrm#7=qpw++2G7e`4yQigy5>nOwnz4M4;Fp7))fc8Q`2Bx7=LaQ z((r4K?a~6)ZIn=d^QX0g#@2mnv>*{>e-f5xGHWhDUv|3f=rY*5(vOMrdEZTKTMmN0 zmnbK-cj|jE9=Dp#JN=rkv5fVrdxgy}9~X_BXFjTmq6;?Y%b?aOv$X`#+YR>O^9L)> zcUNN>pIsE&sfkOOux7!EO7%7hd%Vkzbwpw71?pUvgyH`6fDFP>-^<`4z7C}pu7;?a zJ21O8xA94atCt!(4Ia);D(utlucT^Iy@=G_?{VAdr3NFXiIv-eU|>h4!SKb5XZ95A3IOuEMO zy(&plaQJ}P(rnG!<)r>f{VI(W~ZXsyS4gWEo_21>vo=!o0fwrxC1tsWcX&L z-sF$Jo_NXx{7+KNu}3LuUbL5`H}_J>iNN$duUqx3=G7*JI&z5L!LL~`zotgBipP;K z-sx!k??vkKEP=9}-oGlXIP7lBCO*eLIb~cQxKNC zbm#r);M=d-O_HTFc2R(Q4l=<9j-BUa?eDZ(r*;e~=$(dcSOOh9TpJqm4==x~?sFR( z@d-f9$tG8m>hrBZ6jsAULDI_xO?YD8`^G~g*{0ZA4K-jm~ zHiy!gucd7)b!&!XBZ17n23?3VpX?uN7KFfGP309+%RXruzvM5c9OVJ7q#spq4ibM! zDfp@xoc8&i_JT9_v_D*c&b)?CKpnz%8XnQg&)yBboiwLT{oD~DB#cq4v+>rEvD7qu zes@z7t0R}Ds2#p4n9KP*`tHvf%LQ=YC`CT~&yK5;2R(Ebw=6k~SJ(QL^bzO7=jHkl z@)?>8h4!XeTJ9fGN5QNPRZ{~7iWARtWpw;GrK|$B*lD<3^QR*1nsGSlS9<2EE2!Ty zN8IgaA^r`2mmD%9+j8{dnuT#zKz1;RaYSiSgf(r)ltm2*usLi(GBaHLl9gC}?=0d^ zYkL1{v{L3S-O^V29tfvMKR~55-%-fyK$numu^k}Hrv!2eG>h0#qsmKsQyqW_5p}(( zx+!~DGFPOee0M7nXIcMESi#|cSFdfdzt~aJ$L((_daHr;ewqG_=Z17fP6ot=-D*KZ0e z7pKf^AScJ2_Ze!Bnzt_)g`vZ=IoDy#!JgL_*+&-@|w^hrzNxbwt7qd)|5 zn@2%_gYvGOehugAV}@d1Z()dl_KfD=hrK=iz=X-CT@0D!YQ9$H&)hM$ z*YCkt6crs7ZOIi5@b(|{k#tn8ZVlr#ol2q!sl=H2Z~NVkShm-B0jJ>CBkIzy`Uc0g zHKHk_0@dWoh?_=caLKcQ6{%(K#s~!|LM8jQ{QKb&$AIq!QHiZ|c2UtXgV?@pNeI>&ECad;jUD%DHo#H|-tx6Hz>=_v_tk zk~{fsKETU`Pdux;6Jf;hN3Cw`?fqDy*j}uI+3rzbyT&c#&%jwCm{h}YDOm~3AE43D4tTklb5LzMR zZs!(xgF8Fg&nv9h>uXBA_-5t5G|&B5N-1BrY$Igu_!Y;9%xmTJOF=T!f*&VWg@PE- z&eeT8FnNOf4}&t~mjsX>h7tUb=ZZMVmknZm>=A&nY1K6YC3M1IdTpeR9_?(dgHH0u zNQYWY4K|Io%I70t*o>vMM0h$x^;;2pax$KEwbdkGfXC8k1w}g-qhT^~wOK%V99%Mz zP;OD3_yD6Yh-~z<3R+OdNbUEdoAnfc>8QmA#Urp~9cqbw51$Z8Jf*_m&@@&1;v+Zn z+*Mz<2tS}|2HA!LqPt44);q-Y1^NJ+0Sfm-NTYv5O8|yFSvW?5uo;YRwp#g6I5zkF zBsAf(<;dW1MqjumN*aximZM>HaJwB+-@ zA2q34j+7o}1WfiEzRz$J+ad&IP4L~>JT)y9M2kdA%s37CJ6n#3Jo7Z4N%1`G&6p9} zGA$QGQ$k}iXjOWC96NKhsPoI+{XjWM~R=l73zLfB09MZ|de?wn~n z$^MyB5H}HXK9#%G5WK>U(v#A*_A37Mv9+6|bYBz`zKA*r(HYBl$X%PfA$|*KNB(2u zOA_X;Hkd#%(ssEg*4k~p;tvOJ7R2!!fp6IUMBfQx%pje!pC{_o#LFyP0ChTiok%|e z6yz5Fx5Y+!%rpCo&~T05H7kx){l@o=qn-=`wBTdu%0}?Z_wgSnKhubHtp1oJMQpsa zj5Oq*)VOHLDZrb4Y=Qie-R>R8In=?mhg|yHi6_8QR+PcT^knS2$PVs1>U=oI=x>A) zo9EmehS-JPcwQB3b?YA4Z2~?#i(Uye*t>Bp(t~@V><4sc8JF8nGu9B`+ZJ*8C!pg3 z`Y1ymO(f=3^Nky%Wn(JW(eX_f2?z=W3(vq~iyB^&3G}6)}gRXG$#G$eSbkZ=c&bBS7)7n-c!D z0nb%-N}rhIpRl@oxT-dc7mFujjHk4REe%V3(`jXszKGc1z>Y(`?|+oF;Z}2&%@LfH z@Q)<87Bb(+xJ3FOK0i5p5(PCp1`47W+6-7m4#c+Dc`GvE;Zl3olB(3$$+vr*v%=e6 z#~&A;v|!`MOn|>kHRA&k^LHzKuJ3izz5Ou4j|@DHzmpL=_>xFjkW%}6i;Er|=RfjI zQu19qbd!S;+iFffVa9GDi7=%QxJB^Joh_^GJzj z%lG~vvv50%QJW)vEO+Y?V9!!JY|^a|)bS&$WLfS%Gs#mAO|P9-FeAzJ+5HBvOV7Jg z2{}MbS>1o|N^9+~@~M0In&hKQ&B*8)RPyD`K3#qnituOybKjD zWj`%eMG46^rX5zxPkq-Ao>c^!2cwLBv(nrZ8$(IQ>>|DR*4O7&IKh~!mK$c=tTJ{F zWxa{f7k-J4WG&9V$X10hR_7*7yM!mr?XC~Z>-3^GrEM837=wFGk4Pp2ocIx_=P@D< z>Ey0zt$x=cAZ}hX;xf0Dav3MbND(mrey1+!EBvv8eq+n-6+L|LrlfH0)SEV~CbiSZ zV-=$&_oeHMmo`^_%Chj|xZ6)X)J{Ex<5w^Q&_x>NTn>7v|EMscmO8MGgIDJGac^{4 zoyqy#J_Dw(;*8kR&m>jJCd|mcJaQTrdNI+tmd(P3`JsB|=|FaR2I*%$ zI5BSKydwC)KXMrU&iA^u(Y&d5Ialtf!=J)3YUOM%F1lS2g0h8;8f2FMK18 zkH3mQY}&t|2!x{k;Mv{F&~!>-3Vsd_=ZhsJYVn z2hCi!^qwN!0Kzs2gqCN(OTxcPwf|5NhidshUOvKC`vQd_vInFuFq99Zy`~ohX|JkE z036p^SQxg;t1s=dm>AMzi43DQN)bEM<9)mrUP(J-Fj{njh{s_jM^h$af979MlZvT7 zYWiZX@A#Vt9tSxrkq7=hY7LVL&iy*Ss2IH|RQEC4JGx2msOVvl%gY3gd>;d6k zpz3C?(vOw_i{cdp#F`(r>|xl&_l#Kj;NRQfOo3xf4=yc!NvXD9MxSXOd_yfB=>O$a zssD7~%M4{DMJL$mf%f}ik^DG;_0PdnAa-^e%+~{#U6!Xv{sjh1QS9ilSKh)cJpsr4 z_Tc{H^!_vtg{BgZxZ_<$y-gvp2}?~gO~0oO;gdp#xmN zk)%%9J73%5uw-pscJWf^b@)R695YtS!Ndu`U zwb(EOl{m1rFC9ig2l0S(vG7{}gJd!4c-JBt8IOe?p!Jm{*t_&hFN3LOhjaMfG%;~R z4B0Yk!WGuGp_CP!)|ZrCKNDl2TlW)+P#TGqD*b1@_oYxut7%{f%?@u*P!L_LIWrfK z$eK_?U1}6Fonb8AO%1riJf)D22{!Wi+{+#DtEs(hjsvH}#+g@vsxQ0Ntc3tmR( z1)m;1BblKIgv@6W1m7~NNc0UO60;5)*N%X5hG4q|I@ol*yYKP4Iy zC4r|OFdIT9)qCPYc{$KCYy*EKEaPGJ%RNV0KFtM?7qMB74hw|9%9~4xQ3}mWwI)0u zi=U9lnM9SgG1Qy5KvfT3y~9;o>|kqrONFM3Je|P?KB1l-)uJQi60e%#JNT~vLMjPr z@AS}-?NuN)yN0$&FFzX5slgWzIq+J(-s`+4jxRy7b}#$zL(6(DN6pF@s5AS_hU~*r zeXcNzBDfp)*Qv@gvWo&!fhiiqo4783+Qy;ELkYt8J8HxvG88ga+qqb#aglsV=?`~V z3b81PZ9cjIu@{p41L(*3Tk7}K$sVdKN-NK#T^@ORTx`-M;lj^0Pg8|zaT2GLI9&VN z3O*p~s^1|E*cz95-EZhcx=Zo3mN$~!!NvDsWlTO*`wGCKn7Z_&RYr}?)N(2PnuOZq z6jEsD?QxHzS|iW0IJT>_{xJbAJF_bV5pegQRD603jmL$x#olEkDvgz-wtKF=1Fx_` zXzJ|SvU_@RTVN1U-F*v|O%$>x>vQD)PWOZugXjGJw>LhR)U#Z@);*xnXlX+ z2({pA1OkgmAQEx@fxZwOU%uK9k;sQPi38VKDq8-qY1rovB6g~W5(!iD;y8t;0XfHdCxVmr&4*F(wLp|!|QmQMn9Fi2=E-xx_SO}H-eLhF0} zz9`$BZOqwjM%RF13^ZDZ9On1nYi~z}9;cRB)DXQAjwm5= zF7UL*Wqpr9o(k$|!BWQM{M5yvTH1@bShoKQ_f=v{AYJ4X?)^;K(W%5ZYF?`s#PUpc zj%;SOL+0HEb@{J6S)arqi~7sciT@ryt*uIuBZl@QhsIJYBNMKf=da(bxY(gxxxF#{ab{RSI>h^vg~5HVpK z&(OIwF2a3LZ|!(Dz}o$7@CgX%Y`PAdCRN-&DJ@ynM8pjY^R_{9#l>4Vskh+*b|3pD z;^jsz3siv~>>d{7hmo#`i#ecJd^!AIV+<|~%3BIA&|4a=nL)7Dl`V-v7jo7D+vS0C zHh&K~;~j;7wn62^87Kqmt8@)(7_Zb;c_ZpOq;7Do4q<3Xwpaes6oE@iR*|ZlK*5i3 z9J_rzbAC9D-QRF**)pra${Q5p;g-Ds&J z%Juj|S;J|-oV$`=a84QXD*c9j5d+kTO`q*#uF^v!Gl|E{O5mDYwB%EkEgV|w9bkbY-_7h&ZlDqF07gJk=sO+-nd z9@eM5(mZ@{zAU4Mp_L9nrgu{VTFgCU4{V&(_j;aSYvFmSF#&lC&1cow7iYR{i@G|l zbuh;s16wj@swNQ**i^J@G4shpKfeK681uO!tWtht2CxnUY9Oaw4~}19#F%2CQwI(4 zmYMY#BM+MOC9&?S#FzDyZU+A}O zrbe>XvVJui?W-39e;L=6F4{30HD|wl9vINuv6`@fOheb>g=7JJ7c#tE@VbTfUXX`9 zQxYQX@6CTNVg5Z&28^4Fv8*+%9@n|*=du2`_PZ!eV59Em?cR|L-TZwlH?3Tirw-h= z&3N9_d@-*r6g;+n*->)gI1YOloMU<&B58u&ZB9?kJH|J0i~<;i?OT5xq@pfxUa3q+ z24XPkpE4pt zP}PahZa^isjZ!m*(tFf_7CPxt&^}*!a)lAn z7CQj@=RgJP8OeC`g?PmuZ;SrU#^M%?m>ZIcAd|L3Gp|+^eCq-{Uhrir2~Ik|Mr(=B97{A#K-(zkuK;tq0@+CcqVyJ7g9-;)M9sC;-0zmSg|iWFZ`Iczpl* z4GtuVt^F6sA}Qva<0=G@A!ow;DaPz<-_|Kdwx8exL9PupAIi+R+jz*nTRfp04%GQf zw%e)aK*K5S_obDLj;Xa|MU~}L>4cf>oV7Ke^flmWa7)De>+S(i)+pV}C>T&Qyv}AG z7v}SU1x-cR3pe-&Y@j^f?KWRKQq5b=M@=?a=(C5Nip^`RqZ?wjkzIfWK&>}$$<;m6 z#=L77cV~ezp^XjUmeq=IGh)6=BP3-tpZmFTl?UC>eeSmC+Cqs-Z5FP*r7lz8v$O#< zmmZ~&1^!lKekd4~K{h$}*i?_j+lP~;{TE97dFCeoV5|{r`+0b&zJN)Qt-&{&jjUE%_)7>zW#h-|E+WL z8)3|3k%cf$h^(Rb#$w!%3QM#6Ue;|;`*KNgIqPBNw-D5Sq`@N_9lX;XX)=93TO)Sm z)5R29o6Uvhr6ty;K6SR`H8N**Qw8#hW8x`d&VTTVXRU*a&dR@&9oBsdDSXT%aygG3 z6cmQMaDm-w_wa(-_2GDS2RfhqyA;)7ddGg#;WyB2VQ4T||v-8&OLJ(xU1|Pmdy+ zYm>zKc-`i3H~h;z1gsm?658_oV`olhtoz^(&0o-v=4AcZX|DEOt^DU8H2)thfS`bA zB9M{#3m4Et;xuCBI!9h@JK39j{fC);-bs=rEK_l!(m7j7(qM(59AZ9AH_ zZ#bZe=KyWK3qD9m&|dg$GEx;Z==Wf?h5*fiy2x?li{!TTc7TKdg|FkwSp1g&p@HhS z!S$ezXWFq`*hb)pL5o;N2Rd@nMaeDjdq)f-9TT>~pw@eoZ+0Hg-=f4H$=Ikf1xXqj zynOCn;Zt`4yD}qa*6iyGgEx+Wh(=&h*pjoGC)mZEP4<}S1Ra0wW`HVyjQa&Gh8Z-F z?8@zSZJqor8p~X`SpMY+Wo5nc*WdxdO!`p=O6Cm^P0yMqvhlNnLApmOkNeuRl6<49 z5Gp6@8NXlB0ByxTE4qAQP1>}bR$01)HwSfxKtn&Z)k!vlS(;(i=TZN!?adZ3(ztFy(FFkRwP~RX>{~@pxaigBQ($|azB%+yJ*G*!+^QJj zpdPx{`3J4`&_y8jp;*`OQBzMe_QGcPdQ%(;sg0Ze3aflLy(Q9JBT2l-R4O zB!*39o`3td!`eV4ZGs_|vf_u|)24pL1)JOIfHWf+Tw;RxUAloIG!TIQn5(Eq;2fFL zV;>hpHo~~9s2ajlu$1q2fL*cw(FG_I&H$xEZA1MkZZ5fbLhRky5P#?~k_q&I@LLNO zk&QDEjqpfu0{v-?)?{p3gxSxaZoQLvZlf}gR!p?%l1M}kXi?a!DkcQ*jQ{_$%p>k~ z`D3Ijmx-~dmA}Uy{uoU(p*5(7f9qmxK>bCe2LjF0`7EkX>&L+b=#%UA^N3%R)QP04 zndr>*5ojy4x#q#HIA&tGs7-o!S@oG4fV>v8J9NWL%5=#-UZL&D=B(Y%2?XVmr<6hT z`RuhmNw`jc56#ha?Nk=mngKnWzkgomHaQo@fgKt3#u_7B&i!fV_i^?v69a*L)%qUO zX~U5)p#Gqnt;%#Jvh`xkPGsrv_WUYJ=TI1}FuRVQ=Yrn?8Z7zVhGvQ>;n$3=XZAUh z&>&^QiC1S8tRjoZP8**UbOf{8NpEMX#bfGBJSsF9PfK$)22(aCWh^g;kTjnA?3w<{|e?6w7@}WW5dJhY2IO*-| zkolTPDzy1R`;%p>(*{KLoj4?LeUkC>Tp$<;?=HW_0)MBTH+_YVl17>b{}_gdNQtqr zJZ6$ES}DPni2G@#LZ$r?RbvQItltVkCv^BaSH*K|&SPXkajXr?9X9Js*I$yNnMKep zN1J5;QR{8@r}?2{5RX6!`sd4V17huZ(d8TCi~6&K^>CB_3+)NeJ;sh|PHXXtn-wsw zMe310SNe+jaiA16tLOD;G5Wp^sB)Wos+F_A(0s5(_Z!oZ(%>1#?xPk4h`^sYVtr@& z_gRqCFUHFGes|u^2bTZYf2IHvDsau4Q6lEt1#g@1kr}Q~gFo1MmvJ;;6G7Bw5$#@f zojr`LCrbCJ~8MuQVx2qDSP>M zR(t8(ISqfaj%j?9sjQgGnfiyqv~*5o``<@aC6Bw<;`ecQqjr{&V4u{sJnU z$#3buVV`gY+cg>#+|SL7!RB)(@=9wMzu+j(j&9z7Q&1codb}OG`)&^VyorMI%}jGV z^Q?v=B#s>(Vp>m^#%$-7s9x~XCq8j4_rA81%4&S#SN|$%4TC^im$+^;8((Oil%n{4 zdbiTxUEYrmvlhhK0+r1+s~Z_F=M^wP@QYn|34U9{qOHdwsUF zcG%B-1wBdcRlNTx%~*o)ZgUp|!+0%lds1ZGRWg~sAN`6sQ)XK21u@{M9KSJ(??Vlr zVCT)i2$lK*v)@$l9Wz26kP{-g+BZ}&TOxt`yiLxU;vO*`1n@bT(E#E zo_`BX;}BCcKHA{%W8ZK&0IM5+^sZXdqN`~kNSo=o%vbF=zwP_;HQ!h5=fww`n$iH@ z2L}3TZpoK!GO&;iFK^q|V(b&lH7OFz5-5uEvla>ZqTv@T_xwx!5*uPPcHPrW1>X8rHg{#9U(gKQ-@}+50ehy-PhmZ-Qrk-VW zzf%hQhBr<2=(;vW0T5Cokge&)TGQ~^&*Z*Q13L$y*jjaz*t@Cr-26uxoDVbRh zYv+~ZaeN{#xr19)p6&Ob9(J9~Xh=VZS}Sn3wAr$mI<^G&NqA;{>A7B&%s%c>6*+v~ xR+dqvvNE+9Q5q9W3(bOMH6BOtwo z7J34qBoLCzd+$GSKfLFxb@rE;*>m>V`sRyITDtoOy!G|r zm6nx!Bq`0S8T8i6jaNoihWC-2l(dYL%p+-8UUPT<;J2>syr#~sp1jh$<_5f0?k>FA zZf^sxXZoj-T`%+Be^J5z*ZfCA@&7DK`2Vw^H}(rDawW8n&JfFn83Kw)hU9~60$fj@ zeiqPU7-YMDL)-N8?Q~uSflnE?68J>F@+wB(;WM~*>y{))5Cxt?Uj^mv>?E{B9DW4K zB4m{T%cWs$Wv#7BBjEbz>nEzv7{wn#_{`r$aq*Y!I~_2>sVicBWr~=378Zo`4v93> zm6`$gpbIMMn+}0mEb|?we}omu9j%fZBle=@$mdz#xPDFfAV*#&{fazzmp^kf?S$be zPuZiY=Yvp5P)4OMca(h~d&Dx@+2l#l`)`F0-qLfs_~DDn)j#472HqZ&Jm-A>fXME; zl1MYI9~5;|oMRJ=@6C4fAKWC+cv7o6Dx>tm+Es@h%-gCr0N<>?I+_1~(5^)2B2eC` zo&oj?%f|etau&+?T~$l06FA)KWDXEpL)I4x@ zqW*4+JAxrxe)!SP`VaJZBCl%b3yTMrPm!ylTgN;WtxXZf`!rkP;!WED*+Cg&3w`-h zfz^QCx==9}QFz_Ht5V}q2h+C7W2X*fT<$nJ`n_|VwPGR5&RT7SF=ODx=v7TM2^%0LeBeFSGiyX*IcS+xCt-ZkDqohmg2t#|vtE ze-!$1Y@v6m5fmq$+YGzwh~ZS+@D_EHDcVO@JdL~}d5W+!P=m(`^?OkQe;C?f!q@2$ zZrjlTX)IjzBRn)Rbq9g0_^{W+Xc29so3S2h(Gps$5fX$a!;+H1var~CqHAO9^ry|-;`h#cNBr% zxnBd~-rZ4+mU^4trM%_hx7=e`WYp2$=kyl1Wte^1C>?il@uXIwoYdw6)8U!n(5ZG+ zkl_lGJdn+MxIiABxs#Y1krSOb8!`EfoC4%+`)^T0{3NbrvgmMsV5SxX^FXRhrAm7 zJM=XwCgcO7FD#4yy=Z&`?>cJa#*N}(V3&PA5RC2!~ zx81F`CgWb=qx!6lzzzmNcJ|SYYHK5(KkaE9alK0_YwfP$j?(3O9_9W!(Y2}U?m`5o z+$|^lm+!RopZ~5y*!*OJb4?$IKB*p}^$Y`t?4eo1X_%MHrpp~%?>|gDt5dGvs?hIQ zu7tVPepGHheJ3FK+5^+1^(&y<;tl=>pDuqjlRF-Z+a7MZFj}-(iM*o==>}j;yt7{|!-=YeEt_SWn_%C|x_GLkk)1}qbdYw*-z_yt*v$mYG3S#?it~>XF z&A-Kw0As}BC7#bc{A?$#G$f!vXjk0Li!VH?6zXB^F|F)N3-KkuY`c1LhxWduy~d)R zr_M15?N+$4`cM&8F0=I2ed%Vj`)2YBxS;V*#$@@?YTLR6~emzoNXq zM+3ZUU9wM?{wzEnB}x8bkhMogFk=<^WWFVXtao5v7j*XCCH57g4_WR_5 zcYW3vHS{%`H7MfhWZVl32*SO>@C5*@#e&5T(E8|+m8BWys&ogmn}F@hs{Xpo^PuhT z%3L~A%M_!-Je=*_UwEoEY%Pyl@Mi2L!g{@|#|0%bWOj54UdD{N$2>9;& zMSnC{X(JQ)cDNpmF?LFevjiQRAys>lXI2zX2uh9Jvwvay+cx=Ods^pxg8>@&(ZdsT zR&1rSTVCilT9ZkoLJU_K@|1yx2J)J4x=u+nOsp_nh((~3qt9r5c9MsrM#iYT z16Z27;r{hi9fv(%`(4vSfp~P<@a-1dg4XwjE}<_`AJm|0m;(Ium>2Vw&3Y$ z!;ps4Mgk3&e=YrmpD2s#o{ka^0DZ83e-;S*5in3+v2G*1Hja%sq+NT;g6{4Wjl@|1 zW@ybgtIArR=6pu|q=GR1pmRsC9}!*z)h1!n(o$qaL#IQ6!p(Z>OFf4d5{74Xiqk<| zr4%hcB3kP`eVBG#A)X6jX$h^vsL5ii&jsOw&xuSAa%XLBekb{ApqgWcGy4?c>Ev7p zua^7#<@fjcJ)nhw^)yT2nBA@5C2QxIL&1ZqAH0@4K^I<9T=2TX46Xv5UAYh^ zc58|HCu!Flg?2iVKp>D`)YEt-TmExJT6g{TI!;=5fIDEO`g{0_717L5UXcoF?lZzT z-CO+w+j?8cgnc{GC}Au>A8?}0vyyEgmDf(c8(ECSqR2@1)ERBs>tDaRd}&6AQE5|L z05Q(NYWJ@`D!}DJU&pF-<{a#9%AUrmg(OfoXhMWVLxI}_+2?ASFc9;7vNsq<9D?F6ob}C6yjsnt8 z?JynFg}(*MtrF&<`0K<@u(xjqBT*JTvPlZ?;EMw)2#Jxdc0pap4xO3wKXJJ&y1N%L zc9zKxDUONYxd?~WYw|d;V0FUQd9Bd1TUXc&?u(SnQSBpHfPMriGxT-hewhcFlnx?V ztU|*Ip%#%7%jFm63t-S1u@Ik>M$^aeZLjaDZMw8eS@u>VmTCMQJkbj}xS5jht@R$d zD=___%>tt$5p_7OO0Df}D%&O58dH0upnt zkJR^+AN~Z3yoA_WEwGI2hF*NHBXT8QN%~!g^IhjCM%MLfjnv=Vua9Y+GC&P+kYS1N z)Cp}TG!K^;DxC4lznR3bvxOgRO;N`Wfn6fHTfsR2jig6)S0*100^U^q7iFAAP$}45 zdp-F4hbOE8s@oXvUbz|wksNT{erNb7L_wc8UcY#_VP>Pw6!*LcTD}FZX8I=*^(VjX z3b9sXzXE$aBVH-h3GoB0@`M6;7m&E5=Jtckoo)qZHBw9?!saX8Imz(+G8AUr=y|*D z65?~$)o2BO_$}bgrPTL2dt!WDz%N33-F|X^#|m>CY#?-D3JM6M4QoHRN_)L=Z3YQ7?KU1-FrA)S;7v`!W!%l2pG=T-G|hq1%3P4|tC?{omCPhm&&{?}7P^a=;OCY|sG<8zTwS@kM>KU3hl6HY z*)dY1Y|@=Nl=dEZzj}}MUDHnWr2U(^alz6$!A!rC3ZsLi(}rxF>YP5iYVkNqEOd;) z92Qx#n5J*<33%9%)oTYK`{lL+J5M#v|58Du5_G>Uh3@yO7&p&>9hXp|yKBMyvKlX0 zoajRBD}s2cSMdewJ87Z8w4tA(M5eDgsUY9NIHfziyVj3Q&!?#%{e~yw%6bmtc?ZTN zhMfZG*KmN7%E2>$_mx1t=gi>83kKG}M{IE+&5X{k}eW^1rw0n};z5{A#*W0er%Vg8=h%t1=ECzSmX zUn0z3vJ{P#8vvH3X=bhhms=#?lmwJj+!p9=n=2ZVx}%$|*1a=5)#_aeRp$@$B5ADh z1OgouqC#@_epb{(*$#R-C<5B1(<#=7du{&%Np?z6mUmA6K+v~NgF4D5h23AhxwPhp zD13xKn-^h+#}HQkPai8fX!?Y@owdYs z6lv1jc8_;1 zR&smefZg1A1^|Y-l71}(BUkYySit7R?0zbW=C+wNdW8Y;J>3CRR36EA*AI^nz`DJJfvOgF8z#`Vfi;9L875T{wlU5 zh=!!M)S(SNb{;w0K0(FdRm%X$Rh!a}0Mj5^gcD!XYmwEk&W`}21Smj!HSE{N{=G?_ zo%;6mB45j(g4(~s^mNT$JKNKTEN(OBfp^22r>CxfoWI*$jHG4nRg;X0tljUjS3Nn^ zm1fRM#*LgasJ^DDDZO|68ryutx?A#RFeC`aj8p?#Pc=0-} zG+X9Ep%;o~URJZgav?$NRY?=U+yO1IVbO@Z0v#e{n3_}+ZE|27ig%|Q{Nc9{LBFF1 zJNXykl%ZB{Sf&1nX7{8-qfl*!faO3scVepobyof&EL`X1d$kJV@OgDGHe6VURmn=~ zP^-Pt^7{TUvDCJIxeKq@KuuB+EnEW2?ySotyYQc27bPLC5MXcpw$k>-X^TlJmvF^H zfTYj@-RA>o1hOvaNo5U;Ipu_MZ%+`_{h2})#)$Kyn5#OIzjuitM|Ic0mMb6bX;`7( z*_>0jJ4mw4_X_!IvT}eJx32aVdU?LpfmcjByV=>p_{Ebh@>lSA(vN|>kWLo7;z=5) zfBpBX)<-tjA4_#*eeOLh0j%e76K z!@u!%W!!HW2QI^_!!0j$vx?;r{}rAdtTY63a<)?B4)giadt0tcQF9(31#Jtknz)A!pQs;y>!TTmht zjusi_e*46#4G&cP4l*Ge-ne3E{hHb2c}xZAw7F`F*8vh?Q}qE^&7TD4=l$vF6gIb~ ztBARP6J);Pj1cAg&btX4Q!6x$`|gRy5n+61-?hTS2Nrh?nt@w3DLCbvq~RHDFdbK_ zHNc^tv7fb(sKM!+Y>{$9=*xjhQ|e6Xx!K+C)b9A!U$%Q{R^F&*crnMl!;s)Bxf;*5 zUy_<_azWC;4jU+qBm4VvLGIcWRl+3GV9*92sz3a{>jPoB>@P~A9F&UEmFyd%*>7#q zgbEvkewwQ1Z!6o%Dj1N2yzK*SaWP(3^TjVsXiMQWR#faF8EUOvbB-pF)2ow4F+NP^(_7>_4 zJ%KIn;DBPf)|lX4^iJ&Qn6+V@VpL35%ted*S5uof?xj>^&+Xx51qb; z&yhqC83(Cz%L*Gve5!NTguE_G-hef5EnD6v|% z(U*RQv#-xtPz^k`Q?L6Kfn~Z#HcviM^90@JkRRe{)4q0BTk^%hU&|-uLIH(xxEZz9 z0(din?kPF~%0og{cvV9ZPfBg=mY;LBi%s*)JxM>c1H?atMvD;wO!PV0?G_iV*XZ5t z6Fry4^G)jps1v5=g#H3%62n&FG5$6_nCa+JDhmhxdQ9#Qg#Ne8ZeFSby z0GosYt8q|VjF=YD5pAp@KtJf-;WqW4vZ5y~Hk_hrR!;2iKZaORpWy9oBM?2(oo7Tn zsM=AD+MOJpNy}b3^teQ^Dz|H!bR=N&DYWby_6B{S1E_MqMEdvYL2(g+&dbdfDlb$4 zENHVki0y#qu^{WFIo-`OT_W;Qs#eXy)ph!Tg_kkUl}yahk?02y%&`bzFjU_8SM^<6 zsLrfGI!La16&-4kZjmvUz4TF~~S)u)rZU*8<|MQNue zG`UBImF%oLcg#z$5~rQ@`mg!sih-jQGpb~VfjWIt`sX*JxJw+aLJ!-(Apaoxswd9M z>o>VZw!*4@VTw?cL6Yl=EjLWsvtRr`V0pfz3AU>po!cjds#UD!O`k4FBitn|-+ewu z1TzIMSutQoIxBLN3lR&fJ3cSzIfPrSQ?3ZHUzc-&RZ1+j>%o;PM#*MQ!W7ci%U7?q z)Cd5#DA)S2Mz+o~T(^l2woaFhs?O$5V|S?KA!BeVhuYO>7Ny zINpd+usk&c=qEql3N2eM4SlWx75R%Qeh=X5H-DmLugy7fv>vkTL%Dyf67dp;Z?aTF z@$||5tUE#RSMIHKmw_?%2_S1RjEb)kBvzE5Qj&!@MW3WWP(l5&?_wP;vl`q-A232t zoQN@|i+jQs>?A)nnL3K4mF0(yz1Fyu`}^Ib2|+0x|Egwk zuEF&6O2T7ZX{*n9=N*sv%ptz+Zd+1ckX$~I?Mb!IeIrZD^9>rk4=}x6FrDf#HJ8~z zpDq^h-B*8P6XVHA8x7NV zg0YETVOsbJQRy^;yOtX|{9KLy0UPi8S)b35~mJ#7{`P<7A23fS)cURJqs6 zN%BV~8jZq=@(Z;xMy8trCeTBC{`1N3yvqGmzsC=BpNkPV=!tAdC+8iO0AH@aiD{S6%~q3v^^ji9825oge*l83gh}AYt>XAbhYE3O0IE*O=SwwPCoZH-Z2}!sV@Nx!y*VPi!ZfJZ@vRHdH9zUmPXJM#53| zj3E@RWF@yp#TUnMs#WQ~ccgrhLz$V>VmEf0(6o`wm43UaK;@n$dLk44 z zzA<<=;F-!WB`JPVdBesOVsEbU>XIUOyb60IuGpjgd_7fuL*M27?E0ebn_p9Ej1iHU zk9*{q#;kkOLYm_U)9{3jOn!W2Od1n$_zo!_^W44IcL4%0M0Sc{axK}(L)$jGJ^`o) zLHfsbx6i+(S&oy#gSYVV+5KDh=uki{;=4iAr7namywn&aa^+%QQr{$Co}AZth<40M zZ3pb|ic ze^DuWdaN9q(a)@RX#NnNa&wT8TG035X92oUyM6kkL($LnWu_DA>XeE&noP{%^w!vI za0#;k#F1A&ZY1E)fwy#O@#dgcr0q|y$dAsKVYk5zzaw`pS@gJV9`0Pa4ofE~E*1y3 z*3YjM-$)ultCZ(SMU7U{6O%FCvGE5KVZfa6%(pXyI=!>xzA3T z2Zb<&8>cr2qBensA5^|O$;-~Dj#QxOWwE-;{c2q}BvNDRS+d+t@3ev6Wm{G?HPn!u z$R`q{X0CvsB1#aX<~vJY{5KwhHsF--A{&%qTpj7f9WJHP6EiTGv7iTVCwgE^{q|!k zIYJs`r!I~WenyMqVIoExooM4aV|YaIwFx1#e;xJ=c4Z#Oa-1MF`lcc|T)2?Qvl2e4 zm@gQS-U_&~;a;nbTI9aHa3mNL(jW_>(9*K>1&Vt49%T2gMRIKR!(klA&}5r|T6!V~ zo)&Bi^&a}I(#{oL?1rLe&W?ck!JZJm`CZ(urt^KNCIM!<|6MH&yMsAwFBf=ycP#uv_WkbF<=pLi~ z0Q?UAB9+VJ_;Aw<&YEBY+`9o|`FS4DTB3s^gPSm%b=)b@iKHWWUjKZ1TWIc(^0QeP zjg}@Vltb?(AAuQ}^C=?Wph%@N`j4La=?LWbZtaOx5!ugY3q!#(1~V){#Pe&fbI)-< zTzbVkS;O}740{Q=80`kx>UE`jZ1!jZ95YsNW31_!O{ww&_|cDLgM(v3p&|ko+~nQ4 zy8F;?Li^FN?%=WaP|YIG9d(1_c*E?bkajf+YLFSKXG5OMK8=PP@=x)5_dOI%u5PRp z2Xcjl_#R`yM|g@9rbHbC4Ee%nfVG0}g zEKI!sG~C}aEQ9s3%4PpPOTZ3oE!Uz^MY0KUGYxk=9PG`UTEWzCjVNxf4 z&Q`iOMR+NyQyVu2$8)8u=;EYeNe21m-+0njYh`1h`~>kGtW5|Pfd!txw)VIOaSxb` z5#uKWBIECLO2ADRi7ZG89b75=^s4Z1XI_hF9s?D|KL#DQN9S^i>4%fMWKCbacgaYH zKcn5izm5~(G zo$Bx=CSVDMM;9jtm&!QYe?XdE%`m}=@+Ttn40HrIWcNUx0_`c?OXy5?!;&wknE+g= zXjTEHYs5uJ%WtecAazxi(rF2aqQE7?ulDnp4>tk#fNhUA7JV_8D=n90SI$4DRd057 zH$O76;w9@61yf=YiiiK%9wc|2ji0!eQ+D$G@lGDk8Khs500*n}4bYLk2s!d#Z+f5?^lXPPk}69rd`Kw`WY2k|OKmc7V{Zg|N_!DK#9 z8_kIU>Eh^ufQ^{Kn~_dPlfCxqq-Q2J`-RV$67Aq&jv6NS*V>DEn&V1nAHbS0hOwUB ze`dd;HGdsi=KRx~0B7s(3H5E6rc{VVj$fB2nuVCrc4_Z$4nbX6wuj~;KN~h6^IT(P zDZ*?o?GM%bY_I5II(~MjcZKx_nK&~hDfgV;13v%u`%c53`nZ!$>ti>%M{<63{X7Do zr19}Ca$&=o@Qar*nHIE6M9Uc2)(8WfK0UA*(;ow+N486i{u*o&u13(s%BEg92!MY1 zy;fxOXtH$~_sDRuBq_R1bw^hi!M+RIJ2(S`nk&u>Y~ye5hW{Lb$6Na4 zGYB(W#vG@(oLJd~)yS&NREn{4sJBVB!W{W%qrwK`x7(` z`)pmwrlHOcvK)s!@{H{iihEo(#qaqY-L{hD)_>PBQ;HH`+_UY;ULwL?#Sd2KWxoQ{ zNOG=vQm<$!Ovm6@Vpldd9H*a1b;e;w7Mq(@f z!egq%366lBz1A%W2Mq=OPn3Mu1W0!IvIM!`Vqg%7H~b--3Ym6mjsqv*L`9c)V4 zw0^6JVqDZYb&4@5Vi^DW-BYd1Cacv-;Z()dbWH}H7cDM6cMtWv8BJYctQ9GOY@-Bd zi}&Q*Cw^X!TKMAW>MD)w%vL$&9Fmd*TZqU+HovI-<=5xhla%5-T>r^WCYYTAJ18qe zF36n-}t~3(^0TGElWWOxS#C0_4f%nFaGZ{9QFKQqJ4?v#eLZk5zKqi0XfEm zQr%QR`d-xxy=xoQiV8gJSZ!>(1Xc6XewYLs>M%W)rKTRfda6*akDw~aF-zBhp2x`A z^1?!GcaK71Cq0(aFd;D{!_axa>0Z^G1z*TT3VxsT_7;u_$@0nh#nPWA;!#fDKTX9r zaUs!^PEHE0$EMf(U5u(z5xzn{W}O!1{*ct@_uAwON`7cbKfEq`O*(aTPtWAF1^AMN zV3$Dx%fzVFc}AFysL@%+kUW2_%rcOHvp%vkA+F-lG+6hANAV=4g26VHy1OXUDB^e^c$lqeTqe#jnc63#DDUCIG!%^;SA)$nCAUAA@CZL<+_xwpv1k zn~{NRn95|vwlc2gzuI?>Jz94OKe{G5e&7*;=pf`LwF@=Vj0aaw{Elb8u^FPXiepGYx11!uZ&|BDiEysW8z1xWd_`68s{UyTJu(IazG#l2y-+gcXjlOTXI5beVmsU};>^_Nt_3ZtAraLu%H8F%IQ_r3q(G zA@ae$%oz`EsFnNe-WSv2267OSZ;skfwrd`5BjrzQ?81qy*MkgE1OIjurb>CdTS;rK zG81RJS<|C>j*|LYGB8fi#(6TqSKE5u_*zCatXaM3*l1iMM8;*VWPBz|>5;mrZ-8nO zX`Qs+3-rm_Xgk~oKlRtuFT>IZ_-1U_8E2sTlDfT=4gF7(H%>Dm`}7?-_3Gj}jdhNx z^e2Xu%Qi5Ib1+ApV;Oxlq|3iV)#B!zK(%sqLIXxW)6;dt9U{CewWZH+*3$bHy;0rA z^vpU0TkE`YrmwWe1OzL!A%BAjGQ;0n`_F1r#K0Y&vE4VvYS+M;_86G+VI8qhHDQgY864Mnf)?I!i+qf>@5Ck2vlz= zL+hF1PD3mhS+I1LBE7KiLcRVOm_&~nUaNOi@q&K)j7`6(ib{t-8F)?^ckwX_D+7MU zF9z`EH;s=`0&4|&Z3pWW>O73X-U6qnTd`m?i=XjBV@vb|T`E+Oz)8nU2;>(Zu=E?=a1=G^!I$epM%C zVcFKtnJQa#nd=)|W?8FpD{@Ox<%<6rN7dfJ1jeTLjb$96ew3cN2#rmTkaAiGHsz+O zFbgWFHKg)W=Awd7H{R?4AP+L~DD;A3-k6!I0732Z?>L;o}boDH_ROW4nse_yEct8o)3=Uye5f zxZzUf+ec*B@|3CFS?PC-4`zdOi;RYnlN+n>o*F8tSJbFaV_q&|5W1G2kZFIpPk-n5_?cW1u~Jw6y9nocPqIAvRC--Iqa&t zq|O4&@!Pt-G%t&6zg^Sr|LY*2l3)^xefH5ey>nAW@yWZo>*GaARAoa^AIAq*&*~9) zr~JWS!RQhd7;8~|?ml^gT{@NiZ0c4MbCe0LEn~r~xl-D5T+wA~@bV9(&l43poKs9c zf*QE<*yaU$-5D86K0+M0b&1u+iNi-D)!_h!vAe_7!P^ISY#v+Mf*Dvtk{S2Ny^4dl0^m68y7l?6B_ib3dZZT*I{OPrkCZ|53cRfnpx%MUdc*Q#w_2H8&kp)a_ed(!tyHq5sM zq_b=r{$qm;sp8V^lZWXN3lG;$?cj$yF&aw+xW!aUm;fC@o5OD)$}>pe8ZE-5i&9Lh z1ahCI|2oZZinno=aFFGDv=E`^CV>NEJQ{ zempD3<3*1+2i2>m*>x@xGXRgrNNP1I)8Ck4Cfi0uBdyGQE-nMUQ!t%?5sX1U zP&pOo+%|B)j%>c}_F8$oMJd8xT+X-{e%ueQ_Gh2UL2QGu2|tDK4`~NJL%!Z;l5ND{uq#9GkAPXe@0;~58sQZ-(rf1-IV0L4(ra& z1sMc?-B(kfKc4#!YK8j=4@t#@#qbD4w%mc*DVRiVzte=Y#GnOLPBGHQkEHQDVH2OQ3}O#Y8nbVq{x@}qu*9!DLb z^hZ+M{}Pcqv{dwUQTF9w_HA4kTwbCJBoVoElOTg!x(VhUO_R`!Kr;aKKC}Z}M8oQ( zzmSSgNRWL4PXiKQq#+hfUAXv2Rb^oDi#*v1L$KdwAZ1m-qdt2t9pplW8K0O8~=GM|WuFM3t0JloUq+)88gon%;+L6?&qx0D9I$0T7m!ph0=VeFl3=6aG_Lcp>U3d)G{fc= zk98km_2x9QTL!G}@A4r18Nv2h)JJ8Di z0EJ=MHwhw06<34+Q zofNRWEaJ3y$PhuqX>$28Ujinjs%-O&Vt!JWEIrou@IyT!icw{S!V`|_LI%D57$ z^Ok=d?DIAzJ<<&ZU>XafVBHeh-7c~36oyYKqC37dB`996B zqN$+PILS4-qiZ?!GPDeTIKjC>)KgyB1PEyTTw6GjqU4Vg_lX*l5B9at4`^dQGn3AY zgj>_n_`E3PtUVFRQh;LYV({rV6|UAf;UvDu%%=et8q;B+-JtsaN>&Ihn3q_GANXNS z?u>ucK_{|LY=@GRP@}R*cB6xL<&|`1JGL5lbttST3x*tYyUQ)s+TlCTEh#-n4+qbw zOU@6;uUk(E0pXWfWCY#o{uaao_Wf~xQ~Tu~_U|)^R=sE^CKXP*5X?7N?O-;K_f3h!VLOdA>AFovWVTGm1= ze}5z)?cLuL987rf_PAYOaDX{voR9FLe*o9zNwh#FtK$W`!xn=cJMU|ty9&hGkN5`O zz*;r{EXJT#*Z01nV3Z}{c;oB_EYI)tZi|CCsyi+pspDP*25SO_I z2&CvES4+VS3XTN-ne8fJHdbdM9`Y!3>I#4G!EI6CN@B5B^!|0mqvUGEetp1XO$iTt z$vt3IXy0~zZ_~>Z#>~h`Z}*uYN6XjiAu$l9vGd#4CvBt$^4}|u^n>TqEZ7i1#KL8P za`59hTkc$Q93@-_kU zmc@|5pR$FTRLLJ#5=gwf)#T_d5opPe3ahjV>rCa`G)oOVrALY}uQpM2J`432CfD== znka^fX^^xf*qZ)2`7>?p#E||#I4UM>oCZdY<0OOS{JAweUq24hkLLw@?Ij@V?gHKm zyoj{6%E$o-kO}bP1@(#2{A|2zgFyme+BysyXy!pZNg={evH)VZj}rNuzVE+({7v>n zxnV{)*5ArW3*m(C7;KEJR_(t=Zgnvyhb(8}w^?o$|H@o^lsck4jg^}TsP)nAHRN8) zIp<;k#qbCtpSu6@%Cp~<{i?CDblOSXWME;9ij?=4S-iW2B)O2^y`xW5rPD2El=Xki zu>z`u2>Hny`I`2XHqz%g>@9NqEphk48|`l1Pdk9_}L?|G8p!{i71*&ry>$vY^U_fTZfU<3Rul!dC3 zf4j}b>?kZNomY@oy<-ELuP&aD*ZT_UI;#?vD?k#7Y`oQ!Pcprh9qM9>Fs4^eR$k(~ z;XBdrrkiriz$8E5x_o5PD@LUJ9bMTKr*BBB7?3TldL!?1{OK%Z3>E~|0-sv@^P{`t z6au_ipg-?kwgLY2hR*|DM&Xp!!VaV1az=ihBIOqGZr=uMhg#W!0zZgnz+Y=&tpwC7 z{W>#xZet8zvM^FQDQ8?mK-A&{J+Rgfl_$yB_}q*|*WmcZY0aClk!@q`aWXgvylRP6 zj#+s?sD`u1Gh2`5-w|Xa(INHDONg`bfANp96;f{;^$2 zJ=4omwx*U3sx8126slN;fmei!xUMYOQ>o|2CE=GbPE5#lF4as-03X=tqPKhP$)eK0 zx64>eOz&7PH+!U0knUn#enT_nYJ{sXl~vZz0^76EM&6We6zrXRQseGtk(LLv?WNa9^e>ySd+$0)}XMxJSv=?Z$W`wN6{++Pc7MKOkFI z|H7_E{iBhGi}$wEW@6N;)Zya<10?pDLUzV)moSyHqK5U-3y@JuHi=p^L}_qE2t3Z~ zZZ3&tiZsS;(yMcCEkO;VYsHQ|Df_!G2CBTZYt2d`)wF=ZC`@1PQO3!4{^j7~brkdt zDK8T=yV_Wl#z-u=i=}euYijeL`~Jx(17A?1+JIH2h2(j4&tD$Y^}_64=dsmJ3OnYn zPM;OWWcpUqs}&8z@S?eZh1Dp3fK1X;(M{1RgkeVRL-5jEp@!EA1LI!#s>(YB<0owyV(x6~ z06Qu%S?j1z8_=NRFGNNpg{^mMql3wVz!^XwOHMh7^=eqVnWwSkrNrh7eQBCGh=sf( zf4*sU&aU@DP_kOlhN5b*gO7o38@wPodeipn8%Dd&n!%vk72{|9>|&>J%nMMK5cKTH zk|xpy~B?#S+883&>D_7%#t{qimd^xx1NM2r zgu(O)p>xn^)28{q>-yr@yz-XD(ya z6hd}m$x;Tl`{L>CS{aP?Rgdg4{K(z>gOc8SQ#>nLxNOMzQI@1e6Z_n}HC-578L6po zt~!%lJ)Y>1?jbV$QeXV}{PAtogB-xV_AYEFyA;xjdAl9*jom-BE7JE~$LZH_>E2FhaFjbD8@s+CY4PeDCNU|MqsuJ$W;H&t zv!aBYzvQZv$M3Lm(CK%^mdEd(EM?3_-=@P)2j-0YOu6Kz>MY9LAFsq7DSD;788Y{N zOV;?TY5C_BBxcANsN(mP2YxDdK>C|TO4}|Kj!@=Wcwt~U<3B$2YpZil*|Y=iP0@Yv zHadUx?bEi~&U&}55-WQ3I}T{xWaj>}lj^Qn`tn)nm8yhO?%6k|Zgz4z1GgvVqE~$; zrL?7mFP=P7WcxiDeIxQ@=A~WzkM&#{0jW9X5SNlos)#E33CA^Y$ zP0}Mpm>C7VA{Ca>a&~o{%x-8mbe{fhk5<~&gIzRact_8tJ3n*m36A3l-PgOdrf=!+ zje`T+w+M6e$x!B4Y}NqoZcb9ymum4l zDAVj=F0@63g+y`raNy9(_; zY+0!x*Z%qMi$nrI^^7~t=LWseNXy+o1=?qWIR&FTE|qspE+{N#x7als(_R{OjB2(f zuwChBxn>&9F$kVkvMTp@%w`X>6&d-jrWMf4{-2V*JF2PX`CCQgQ3O;(KuT147ZB-0 zMVfSwUV;>n8VDUiP?6rG2Bh~6(xpa_8cLAfkpKx0dT0rRynNr^ANSm|yLa~N+?hKw zXZJI6%Vwh!r1m>LPX7HKV>dKWtw^?wiZ?BSFtWjL|8@1%ZGQ2h^Rm&b_!2k?8xO+# zybpO>cceF*GO@`ZUpd|rHp&|*mryw#++U{(D?t>%kaGqc0l249C^0Y&RN<&y28Qt!xl*VJWTw%FZ;xq|S z$mpMrS4yaT{6-QZThzMp!K6UtuS0{YS{vK4v-86DotK-&9FFg*E-9!URPZq;9%r_* zkBP;K)z33YKpm8{oWByz6C2{QJ~Zwbe5G1d3fwrV7K!MI zZv!ak%mSTfKbogb9mk;FUH+oRm$ z`1n!oN!>Jx^px*6*)s#SA~y8(8$YU}HD?pJ4itv}{aAYFkd?JH-_xkOfKI#!=lvTl zLn;lrOig(at)1oaw@lZ`CQ#mNeY=*=Opn@M4Yqba;qBhTjtB<7@>16U{;LF{$hS>A zWrlZuY@Kibz~#?VUo3DCR&novm12iJkOUd7up_M|*^BS6w@FK5O{DEw9_N91fB6sR zDwDFeV$}Cj469^b+NoPwWEFcKSKKa~7Lrw9b+tKmMiK2jG~e?&31eni8U5^+I9JXu zobotwHB*9P_Z*l2!8@O1A1p=-Y`7+Ll2vCC>P@8ET}fE#g>CRVo&((B6 z&GM>}X5*ozamE0JiM>qMqiLl_cL!qB24(7PY1~wuF@-9ofwOM@s?KVeTH9uZw!8Z? zM=S&Dl=J#elEBb=Avx%t1U~D?D_nsXMwXgu|9<#=!xW9I-;xi z%KhB)S^2l7`fVr57(a&_*i+B?qGhgxknJl`(Fg{_*?r>jZHzH7XNxdhNPltNGL%^~ z{cosg39GoI%cFt8$iDY6kn~!vmiX|p^13g82Z-UTWBtX32J?9H?Ia}DT8q)<_ts`|UWiduwGQ^tM5doQ%y4Q<ozvmT14Jnu=}{Q~4WuXtoD=cyOk+AG|P1 zeC;I~by9ZmDsa7t-0u6yMi0nW5jmB2?CZ#QG`szl{@^Nm?{n-Vtb!9WB^s+n+IPVA z{&US#X2~#cza7v{n-yYHK|$%yc9yYxu}BbjMA#d@9s_g*$`$%okG+Pg6L-m#zGs{h zJ$~DssV71~B)syz)KRmCgTX*2XQDd07p$wV&iE4B~S7 z#wg9eUcbr;gxhE*3@jtKWzO7LeF6xcl}rx-(ez{lwP$MC_+$f%#%zmup5g|W=}(N) zvCJslP=4U;9YV`5_j@GIfoNIjkCNN`StR4;k1{_oRMh@!UOyGSq5|Skn^P=oM|+t= z8D?a?!k;ewz~?90gD2Vk`4m9Fb@Ce-m^mzm)-{FJE->XZxJfQxI|eXRJrtfgrMFIH z!4CF%-TH((;vYYoXR{S92H?xtk>JgqX}I}Zf%KVf1~}rIsbmR;b&!M<7vH7RnbZ#Qgdoyrl;v+ivB+t)@zziAv(XCovN z>E(&NLDWjSRNBh9Wd3-C{P5av+>^^iIYU3@XPR;LoXMEBtQ`B6j|o)M``8Gr0+5kj zXc?)#+L)llRM&PqW5%Z;CRWg070F%grh|MIySpk)??n`10E?lxroW>x$6Ydr$)2UN zLo>5tJHMMKTm0ji8}DRyVwRZ*y)48exF)SldyQ~bWGaEmX4CM+>S+hBaCWVO{ZRTA zQY6y;YSw2oI2W*ED=`I-oATX9LLM?w*FI-hh2pN~6b0RO6e2dx$H*X@=C0OsSrsg!V& z7am)lPVc>+#Y*x9aqM_`Gm7%O#VE$V^+UXA-X40sg?xFG929DF;&xtN!T(3V3<%O? z!Oub>N3Qx7X^_2=N?b)V`^ZNwbldDpOp(6hB2CjNaj~VDC-kR9ERE+G=)dL_Jgf@= zaZ)C$=#~Eq;txz2tI^7K zwv>1nJX?Z`QP8v3;ge!y#6*R@Ye{02?&3`(kIM$0U2lFy_6#jR-LqCQwC32`&o=lM zr62=vS^BsXu%AsjAl*e9C4}D=x!)T`HfQG%-)auA$cs;dZYG} zu=Q6x+D>Gi)L%-xkX+S#Oig6dvd!fJV2}g}+d}I}KRHncBdFo7J_I%#@wWq%mx3+h9RRiKiW(m_`OU72i3rQ*& zh6$Htvxwe}R~bEK@xoTjfe#$DygRzvM($nyMwD{=Nq`0Un5_c4&xfqNG!BucpuzImT3p6(IpH12q%^1n0Bw30w`X zprT@XWWJ2#-Vr%eU(mK)?Mj-3@5uV+(|0Usl6G$^!NwYXR^91Pd`PJDk;xRK+AcrD zZN+YcH#(oCvToq|)rt5#8^6UJ4j`N98qcEG3*f=gJbdvjkL}>`occqmPk_*B4YT9N z1*mVlsC-LQnN5xB`+QDK3Upn{g%7OFh%4*vDPtb;9unuubIgy`?%NL2yi9 zzH0QHzr8gZbvt-y{olf>U7t1LuTQ7x+@oO8FGKyGf^V51V&pK)EDse}!mT@g8wJiD ze_on;x|-Z2@m~5#bma{|+6VTIT9zq-%wZ}J3=ynWF$BHK=Ak^^P^XETb=L&Xb9?pZ zT_@C3(R`C3pk_q@d!l|ozuQ4eM^cX!SWV1cDb{+gv|Lrg`M$#u0Lnh1=+>xG$Uph# z#4APnv2U;)<XPh8xh(Z|IIwNa zO)~NEw7HNAHR?D-pFj6DG7dbx!PB<`}4+l^`A@g7_4x_=2iL3sN7O1nL27 zJ6ABFr(zOvunVQk1ddS&Mvog#b2*y=p5^nQ%eSZ|Z($kLwZckhKAr>3oKNJ-4(9hf zClvfX!pFrAle+}<13tZbQ8>R3RSMKBq%Gdh{C2CuUp9ZTADs@Bh`2a-6%X{(bkj5a z0?dO-Ty70xE4vyhN|xSaT3FD0bb1HeNI4SFdL|8)sp8v<3kQ3?!kS0ByQmVP3=FCh z6@Cn7(_vLp`ca#&PRBcfPclYyH9iKGvedf=wZwqP=xN2ZWqbqHGsgN$jawO(?%ws~Bf65gUa`FFKs;h)e`V4>`|m( zc2e1pMG|P$`=8f~9vmI^;FeQM44L>gEPuTr?^Iuv=>zbKhyLPru`@f1Nvjg0|6Z5< zy6z>4IIuAWAGQXJ=NhWcZkEW)4U-w3|~)cC{F*X&}6V_RzZJ- zU!)%|+w$b^4?(KuJ}{x#N#@VIXs})^0b_Oxos20D9ywAtwTQ|_N`hdEHReFiZjqY3 zAuFwj^apkR1u|~n7SX-PCYkmw+`5d|BkN=FslQ2&Hc}`1wi0?i1s*)w8eQ{f$O_rZ z)UnO6pV4Z2X(GRwxLO(ETBFfWRsTEwJc*SZT*I!3DnAyA)Ypgnn>VH(sq5fMKv!xZ zAnjH*BahB&;$DqDf?$^k&Ah{cIJo>ht{06yiUnO@>(A(K`UQBfFy2 zhe&Jt7akcW9`&uuj#E6x4*cD9>x02@?T*1|mF84c{_>xCYG}<*WuCwn{j2|2^XI&y z0_&Ek=(9luA;qU=ri?iTu-}ra=9($zPklnxU$vAODU6C#;~e2{x`4ICPLB`|SQ+{VN3H-gosG?O%40P@QH|RY?f4k*AN8Caz8kO14r4Q3)aHa=6$#0AQ zc=zr2aMb67@LIMYs6>05Hkr++e5bOpWQOG^{*3>;FN+IGW*bqPkO2JHG-uZgeEMbJ zlKFDq5%OhAR9;n?!u+nI&6t#|zF_A_+oCrbqefxh$fI?m?la|oEjf}W?;Giy%0iPd zmM+2%hp?mXG;Vgg^rc9 z;gcjW)2I3jcc)(X{dY?(Vr1kAharJSXmKgM1WO7F)02=M|G0Vn(?~TZ)BVe%qd&S9 zhlQdu)nArV0|) zJo^fjC^|~H?xmUc+~C`QqQ0ci#8Rq}WWsY$Nfb2O2>uF5TpE2l$09tg9CLElz79(AOMX%$=7%gzU36}a^goHT__6F7$+ z{7l^!(Ba5{(YuF1ml1DQa{fHV=2wkQa4Qu_&TV}C&Q~uju+=yu0My(Wb{eeK(e?F@0w_knn?9FTSI>K(a@Pdp%{%S?t%#Y4Le_JI&>y0F0X1fpR)@N9CzQmV}*$ z)@b8h6>CE!fhc2^n=N3wQd#Q3VMgHsNj_fQ=5Ec>w>;IZA9i@QXhm-N-!GQS?Kk|? zT*UFd$=*P;Abgm`m|Z&Ji+qelhjD_Jd(15p2_^x)^qU-tmJORf>Wj%-Yz15OCMI;E z##&SVZ7U^2{ta=Ry*TgRe%RgpGvc$=n)MMMQ6F1Wuk=E7%ukY8{#ia+e>RcUUzACH z93tJ&^yJga>{sn>DWU=kz2Ufjl$OK%<1x3@Xb6A5 zY-_cYZ{E*3WQ$7Emm499^!nC+0q>@bO14SSRxQd;a}-9Lb9?U0epWSh5lT9`RvLV( z9i<1BbT}$vf~IUdvkfOP2FBf`eFW8O7%Kklll1nxu4|ct|KmX(*MGfb-uB#O{?xxK zwSSze+RokRagP7T-IkQmQuOw`@9cBboMuP}W9K+kM#Sa7+M*#}bYt(QO;cHro+*qY+7*L<~He@4Lyx=$t^D}mfEM_ z8}AVfR@AhQ`5QC@#0x2ddjI3e(Hs$N9#Q3Tc&gbEnR(IJ?7F!1r}GO#pXzm&?tIz( z<*St^O@#gis3S@*Tf7d?H*niz)XWigW3<N%a)sJfkYYpwWF+I-_p@+H8zVJnJfH#8Fsyv)Fhm8L!Pj=$j?91(rKTF^va6i z;&{~_q~w436tyX&716TU_pRca2Z{V(1m3I2*4${@#xU_W{9+bz(#RG=7Zh5VZ*;r_ zF{L{~X3z5nS$;=(m50GzX16}oOU1@qbN6h4^uJ80W7+WIRcsrYq8V|$E5$RuFZ=5z zwi&%3h9Vf+j11s2uu&dSa@h13>EeEm%Xb;+>(a}ghNSJX@YCo8P87l4W<(n+9mFvb zgU_gzz+~{F2(s=lX%xZHW~3CK0T1^Gmct5MQ4e^yKGh0g{L9#j9lUA|du$2O{$X_Q zm`L?#kt;UQ?8gzTZALa(JUT{GJwkgkP?DI88d0oAjI_Pu5W(4IB#p&GVLa6%MGh;0 z9DvWJ(qY9eB&W8gXtaYbn7fD?mkZ{iWXmjlcwQw-mXU|nvk{+wA?6fzC_9`DWuxmR zaUrGcYwd!1Heg~KKw13pmwQmsPg~%pn$lcOvaI;8ro1DJ|4XLc1IR%s zNoHL%A~lS@OF|$&hk|!{o&V7gf@C{G z*Om;+Sbjs-5UV%uB>?#_Yvk#hW|TZ+7w)UH-+KYSZqrH@T$*wAy=V-d>`Hq)dzm1& z*Ne_$4a+J#T~^-SR7DINDe?4GMf_}EDs;W7~kRKV)A$iU(m~-!?<9BUfpDQ zw6iq5$#398ks5aq7HXw$X;>bfSgXMTrDy(S7@e2<$rI$)R1Y6)HrEtf@oMreAAA0|Qa%OiN#>YY*4`9A zX2V>DS7jWT?z^hGJ{eFs%%E&`ZSKujLp9(ZF?5gFqU8iZEoANn{YUaa!UNR!1pfji zSJf)v0gA%}|4zA+i`j$=P0QNP_@^fIZdOVu=dm|x3Uc_XS<)l;JmYb@GnXh|1CelZ(G6`fwDnW4Eo@)Ia+e$D~;Bl zbdh$e-eNGovu}-y5c4zKLw**fW~nXowkM$?$ubKrxId;FDZ?;M6N%V#_?jmeGOxVk5UyF}MC%C3mzqpvM99pwQXKPZ zzfvl})YtHdqJM6RWpBky4KL2Ph9$ojfJ+bxDv0W@S!K(4|NSj=^Y9KR!2vf?*+SiN z2LXs??)wdQtCTZV9;?4^`PRCy(i8_DcgnRXz+$H0Up{9lztxY(SWjd5nmD7_Svb^Z zD7+fGR&cD2jvNY`5@Gh?AYv4^D=^Mqn zi2DHfPxK02q?j|`GopHSC0M@hHa6^E;|2`7w4Z-*n;S<;UNb-dq^|Ov%$fJf@?it`&1;Tq?-R zPoY}{Zc3y6JD{`u2}x!DUSs!RTG2@_K}3c7nt~(;UrUBnzr^HTqVQ=YuNH$n+sP+& zLmiA@1*3^W)9!Js$Sz=e{k?1SD&Gm}EK#;A`P@2&&5}InxCU*zkUzBfB=WL0>rN5i zk2cXz;Lq~fvZLp*b>DB(IcmcSPB^ir+O|qBe_6V!O$LbC@qKZ;o2TooJ~&wpu&Amu z;P6QoICb<9L@V-SZP-*=*nST7pmvaEmNjS+mLG*Xq|?Z+>x6dmBjwMIE7rnqJ2-J9puET z&UeV4vr!(f*6LoALe{2Yo8*|y5~|p+pQZ;@VRnHI`i;WM0?Bp%8$Hj2ZrLal!cK6~ zbw>RwSQ~0wXI;^OMswWOZ9RtUU9nXQ;zp(bQi-->oYQFkqed_7n$~vi0vB5UdghnM zz@VBjkyFh_{rUGjs;4=lZ-a3m1RgK{L>NkJ4WFOu^(AlpjQZ?+Mycb^k>MdlRSsTF+aD(a0= z9RDm)u)!}iEg830UZ3B{Ojczd@L^{3$PAD=xxR5nzo=OQ)00TS7_Yhag^~b%c)a zaYWt7jou*5wS54D=p(l%Xp}-n-c-u}f|kpgqJmUAoWcemrp*VNK#*lJnRj{6F0PcV z8$>Tn#EZ`1E^aVhmPgqm?RNEhs2C5zvIE-rAz>DcLg@q?~k!37N8;hzB z`i8x^k6xfYm{6Q}+uG@q<3Vo%RGx)t4beYhz&3;s+u>(vSWn=1M?kK5*>X(6Q^bTk zPcG0gL6V8?U}y-HkKE>}+FJX0O5q-YPZ9*p1?5};$On*xSI7{0=_99SDnM8{@&v^; zh+H&;XkKBv%raSFxl3J_VrH(7sm=<`g>i6h$XQ_oE6m|p80bg;3S6dnRHO%IWP;cL z$W8T@#$lNY?Wg)O3NQbNRH#o5tT3)!_=*jt9l8ie_wIOI(Q45m%%3%%*Nar5lG27z zr64Bw0z-fDy8SX;Ck@C1Rw!==3>f3vxV|7>*A&0W4Q(0ru@B14OV zZ=0KXK=X8jC&6X|$CBUk6@K*ph1ow^7MECmU{t5NyUBjFGEzNWzNwzJOWT2UL74RN z%33t_c`9x(9xmw@LQ7fq%%0n4h>>I9-{?rbVK)K-&jXFc)hm-*2zI96ZoZxA!J0Qb zqRV8z{FZJ$h!WkHTpx_O` zO^(AKF)ANAMCyXw(G2MM_bR)Bb-}RjGjlToX?h93Qnn)kVq9yR2cAe*%8GYb+dBKs zK?{}Vd5=*EFhx4lF2 z1WT>vdf8OrejP|<%T7*QVSkS=h2W=R&vRgECxYT>k~WLUJJA8U5ZI+I#{Qz~g2Sm8I7kff-8ZW3dryma5&uU-b1iibQM?#8jYM);W=-wx z2qoGKMUWqrIsgLHKT0&@3&sKKPV&eRg$l1<1_HxilgpXFBItand2b0xOJQD{XVpZU z{SFpxM|we;sz6VG7t;?1(N+Ni7Cg=C7R@GXm9VasHHqHVo;`R0#D;T_sACb3zhwF8 zci$OCce1Zm;#k@8GVw%4)^{XgAyVd}%er7?92+NIWCymCSs7Q~u8Ualt*lUBl3e8I z=(&>1aZO$8ZU+ufno3L~TDfA52k#U?&X`GChn$dly6o(zv+f^9h>m3>kxQ2R-+2>1 z;>l^|E%!(*EMw#FgeCejR0qrG{J~t0M+Na22Fuc0WBg!2jKLMm_e!{L_2JHB2Yb>Q zC>Eziy?Tv~aOML$YCANa5wr+?$B%*e%gYVZv#$}K7aqub92`nf5Bj!%W`Inv_}OH5 zXY+?Eky8wN?U}V{zZZt2e@ywR(Gw##iL(D_{=bH48G#*#tNY-KQOx z?BP2O2=?xsH@LE^KdQjr{5S?3NYS7Rx!F^&3BvZ=p_j_C3MtYbn9IG}q(2KXG-org z*_%fbn@%i=l;p`64`ShT=@=OCL$2H03$&v$J@dM4Vn7!l5P@^w>BzLlaioX+h~8to zk6pG60en3Gy~+#1d(a%M)xYdggVxC5w;FYtaADKcuY8Azx0a zWda7zJ|X%8Ym{S80t{%f!HBaSl+%^C*``Bqns&#%a{G5?1H3iQdqxxucFB`7ug@(w zN2`ZK>X(b~_|aG~g{_^3v!b9LyxgKwZ+NzY6&2|oM0+b$D6#H^mIJ(>RID89>+ozk zDbCxn4?9n|NH|{o>D<-s8{%QP)(F39iO9sa92G(ucs`Y{6 zBcO@host9&!21~O1M1ZW$P*H5N@UhuO^UtjkQ$OWUC+_GiqOkQpsIW6mNIu9`>P95 zS6j;J&Jdf9T>B}_>XR}sEY!SMxXdR(h`ZboOt!G^^GJ9d>)W3)U@}F!)>!`iPiQ44 zMKLIDc*^W0SyW*k@;|1x?hc5?D(Bbwe!RG`T=su%FlWj2?*F+PUGV;7CEiAyl!7|7 zgW^?Pc_z&|!G8A0bpj1e)yw<&a*O3T?Cx-qe=mJQJY*f2Z5A%v;15vTJU#y*N2o%Q+D~X4w{rqt#M{UXc#NQ?_C>QQR`X#-4~(QbkiCI( zOWeuMyhetIAu0!O>xQaD(&S!BY_+So21!h~YA|R5Tmmv+y`s*jtJ*pp_(3c*$H?QP z0tS&>wBH3roE*-%XpK=T(wZqzb?;-PtS$|Wgxz(Dv*Re%E~ErmsAdUIR-cO)F;L~2 zo3K7-N4LkfNIfAhG9;xRYi==a?@0QMTRSXT3+MVt3|`A)3aBB7_8TF*zb>+>q|?{ zvkuMO%hZkl`ssQ)^k;Xql)mgj=$<-;T;mPPg=^}vN+Q-~v#CTlqLux=|KPd3D5<0v zJ;piKkLdc&D=|E{=J4(@-7_RL-Psebo(1`2E};6VNV|AjSOZUuH>>~W7*yrD6xzDs(Fx~NtfGROnMK9wPkbm6 ze4CaatVe*|q5>YOoKp7@a(G#UvdL0reQX_yY$EWuz#hKgplMEAJjv2JmK|kGX2Qj1 zH(dCH_D>g6zC;>zJ_UuY60RSR40@(ErGzaMrsz%{g{HH>g=TC#4sZ)lL4cu@= zVPre#0r2SRxu)<&g5u!mq3I=%F+<-98hiQ!x#MHVgo%-6g=W)-p4pwMiVy>be6n2K zJYdUc%muqS2NeJJ_{pAc2XZhUN}~#3J5Byn^V0mszm4TB>1*hLA?*PHMUkrw12`Rm zr&lJSKMcTX==ZWSm+HJ$g`O2gF1zC=n}~FR)A@r-7n1+wsIcRWzy8^yD_cnT7mlO5 z?enBl6x6&h52W$m*h7C@nIpU);`hig`5tL~X8!t@Lmla)t5`j>aXt+?qVS$fO;PhuHb77CX#Nwqcr+d9T@D5Swhd{J`uieDBX_bik z%?_(`O2xYks~d5S3t1~asXfFA&Z~?&4_3YRL(}Wjqta`sVf$)-rZ;FCaMZi`&tjQm z#%c@;RF>wmx@FKzh=b;aXR#6^|7&PHbW87Qv;57b2ZGKndT@Nf_kbo$-!@lx?fz;~ z+VkIOihfg(xkQ0BSvmL9RajCp+z{=Z4hbHK%HM%)%n^sCO2}R4cm$Vy{cR!L$5rd5 z^=2pY+hZOJf#`r*b?P^<9LiAh^*Y^=c$Bg9uFVHw`egupM^nTI<86?xh1)Jph5=pC zpO`{sPm!ndIivMzGgla_wEK1XHqN7ik>|*D+5^+jEM-%E(U!?rRGW7v_dD-ty zryiyq5(P0CbRTNY816s%?ad&+`$!1dE7dbcsNKao?~=+!sSnN8nu$cB^lNL1DbJBG zMM=vMI3X{FqsZ;3PsaVoEM!SPfvor;-+T%p-|+}@>w{2-ShtRBEUbLLU8R0WaK8b@ zF%l`~Km$GYk%n!=bwFXRx3iy}Y@@EQC+C&h3&A&yFJ(&^SV^r~tR%BspD^16k?pdb z1=vRCWw1Qu9-U#0DTiZ02FaEWI~3BAC1BR-JsS}3((iNn zP6zy#!9@yCV#E^Ah;I(3^78&IO;E-jC?MNxaOX|X@8zuSIrsm4u88+;y*~EvCOoBz zrSzW_k$*&d!MM$Z@V6*`(?R!{Gm8&(Qa6H1yIHOPm4q80NFw*JqkMlcVsQEOiN`8* z5PxIs0U*6#lbA>4Y2*j#b7$%LN6qY7?Y0&s#_EUWxdR=ru+aIP1{yw43fn;iEzP-S zVaSy$m;16rhk$M{8IW;!(=18V-9TZWO_9r-eB;_RGTHa~xAzvR4|N|ZtZF+DKxxSY zeT+7<@qJa*=OarN)x#qd;&oeh+;1mYZsoG`-{8x$yj!qQ`29(L_(T4~2KG03Pu|`` z?l*_!-P?ZsKu;E1A&$emp|Ia=$C9Dgh54JUxwozf2aMDF`VA|n3im_5o$>yLYdBC4 zR_u#?S=`8@mWYt+?`&vw{henco^apMNI5K;&pc#Z`Zkxp{EBf)X_x=|AGarkl;RTp zrmAs&4@p8z z+>>}TbIn)$#v*wgLR^x#5W^W;YdOe#@z;%_szqOCe>g67({39XAi|SpUl*BDP(3~s zDEG}xH11JN>U=z>_v?%GOP$yzB6jcoU|+uC9TlJG*l*y3|L)f2Tsz(EyHxCc_E2&{ z`G)JP_pjL2tw})4x6JDD0Ppuo$ClkylA+Mg4h1f5^yD}r6=l>R-=^ef%;T;X4Pw9I zx=vB{I8Sr+@4UV_oGvbm&MLbz=u(9{o6~6p(^2~z~y?pF$0 zu%|O~O)C_|>ZI&zZ2I?Wz$iz<)618Y;aMxD5pRWc|Ax1|Z-s~7W{7{Pm7z&IyngbE zZI_Nt`!4-pHBBW{v+{wXPOSjAIqF3TjT5X?uJU`nWm}T`>2*AwN<#6y!>52NT&=<@ ztJk_n`kps_3@ZLdwa?!|^h~3=742tIxbA9~V`fcE%ZTqxafdbA-$xyNLwOWP3YueH z$MxzWf*}J{YTtAoZ2KVKw8QAFxZ59HS6yNc1#*&~#|nXQgVEbB*wV&I*P+7EStd`b z!{T{y;XmKEM*owgk1P9GsxlWoNdG16*AQ3%CU9nPheVuFK>bh388eG$a=Rbl5kUw`e( zmZj~w7SB*`{h3Yfx=(0Tn@+gyk7xPak;l?Uu<^oW>+FD6f$}F98?bkG?@<_fryXL3^QFQbTFuQ4QU>(6N~C!R6~YA9$hd z&)=v34|JqL;k_~GrRfHALM8j7(n0ADspCuFGYmbd5^h3?>tN>x=Q~eCDGDVH@69$3 h>ywepfXaw<6-=+gwXfcknR+`d0`-WipEQ%n{|{aCDvtmF diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 92037f2dd7639bd157ee83db81e2c97498e1fbad..26561f47af6d6199c2ea85c06c941c98fd430540 100755 GIT binary patch literal 17472 zcmZ^KbyOrV_a*Lw5AHB9xVyW%ySux)JA=Cn?(XjH-ncVBx`=^PZ(WCtC+KyY0KYy0yRdw64UYl>xYa&C(`0KCOM^iKhFi8lm< z`SaY+FRV!E7@WIdn#_o?zXqEQDlzjz^sknGM}8DGM8S}ZF)_bfncEwGgU%L5#4;~p+FHz(y^qLd(Ul+{3tNc| zwpy|p^ELaho|9=ZOM2-}Cb+W-if(9BSegCv%Q!KWP!7aWv#tdxYJhG-wNO(uQB1ML z76rshtSc?lx!I7)E=y)6mTAt*rvepCbcH?oTabMp6=mHY3Rnm3Z(8IFTz*i-xIVLx zsr+I6Az4zg6!S1{FyAvfAeLq1x;2u{QWgD|P(qlmkxo2zC9aA9o7X&K>djmOez0&? zv#jmB6?x{h{R1)weh+1iAT@7o%bib z$%f0iSMST4;E^lBViW;JrIWu*mE7WDg-j`9wY?+*m!EsFYqy2Ea(5@ZaQ8xj7E!Z+ zRp1hsb0f&feY<2jznw48%8x~> z(4Js4JZf_}gdOzz$!f(E@n_`W)wzO`Kk# zyKsh@XN9a!n2?9lcL$L%$!^<&C62t|lP9Sl`i>e%l}LZ3F6tB5`<4NbBLxK-;!Q9pp$TAP8{3bIwvm%X-*L1ReefCaC<3RaXC$`-!! zkP5>cY`y*f8}XEEQzq!?P85L%y&Kj4)5dJ00aAMQaQAC^Hsy<}drlxWEXe>cQ1{hD#SDt=7~A8l*UU8KxMXK{Uii}4Vg0g?NVAdU#v zKg-m`q&}Bn#{G`vM|U7V8O@|YXo@WED=$>#gIEd;$v=rq^GdLIJ#Bl>UxEak!#BqA zP)f*D4VY#L5=XRjYFx9I;YZYaC13pX`bi*)VcXZWkYNds8VxBHbY}3%WO98Mnk>z0 zio9Af^)k1DK66jvxBbsSOwHWKvCgXoCG`kADL@n?l;KFes^oxh}zOqpBT z+z%n#18OJ64vqH7)@(ca=J$0;K-8H)MEV)vUhR z36SqL%@;me4t=Ni=~Ac{`sI|~-dFG#`R$LE@6cKYj4D~)rM1Yh2(hwYkNSs6X%&4^ zyM!{j=VH)Q^OKW;c)A4loNBWUTopN^RPskfRL;g8R1*R)TKUwG#%^5zs1+7?$U?W2b8Y? ze!5=s)!`PuODv)C-<@K8BR5yH2bT;J*PZy*N!=$1sKK)*U8CxF!}_Ri(K57m_r=tq zlFX)dTN`i(VRQJthtq34#6P}`+2+*#kfzju^HEt7QzV#XpNzGyygJQBsBuE0iS{!j%C`=>e!-z3tM0zaWT^5WL` zp_2wc2j5g*Gj8w+{|J2+)^8C5X0y>~N>1IalnY~AAfo*%Vs4CRPHO%k&9{`y&3aEs zqSkWZg#yq2eq1iYB+%GoEU#UBIHVZU1Py;B)vw#CbB>T`G|?gQF^?KHe#CQ9Xvo|Q zlyjFeo=_R`}g@LF&eUI z-L!!v0xfoh+*@5}cMIL=+^;T2w2$jq)X!+$rI;_P(=!tnenjzUS5Z4xuSgYl825wX z8r4_taFkI8V)N=$qo^AzQAVRw>|aO1LMBZtjczdV~+JNjS*YTiY&@>|&X})cFUxWMYq~F(u7>BnC5nr>5y8_b{MUYX>e}5w zJt)i`GHXog>4tmdRD_OAlcLbCa z(Zzyu!`vV%v0QpcfoH;g<4`a}(U#e$gi(I<`xB4`>Q3DPZ@gPPvpYPq8#=T5GPB!0 zv%46a1X>d3wxw9G=8q2=jT0?q0#twB0j$Wh#UMz`0jsiGqMBx zNv^fv@++N)Jent5*CxK_eMzp>-$LWJF#0WgoP7(G;PIELxh4vFVjNFgLs7;ADu_Jo zTcP)dZkX5qFDyC-m*jTew|n_;D&0e4i*a-sdHRj$B23|vj4Zo~G#;guXgYZ)Q@4}R zCt*qOCZpjexOjNk&2TyJs&Hk4k~B4fk}|%r{tax+@BBA1zOkOsvrhfKW@Cnn%bR^q zS6@F*${G=y3lX$-`ts{L(ea(oWQ>T_JN-_uvV13UU1_-6-F>GP2*Ko5oRyp!YLa$M zd@1_Jx#WWiy{`&`4bVE6_VtX$g~+7 zrCXK1OP~8Vi3*)O5WdXLizJxpT0tfUIZo?+tW4!tFO%mcTLjJUG%y96RMKQ|&iCMh zIZdVQk594emCLDMvYO0B*amH2tDCta6qK)zi0$Jb!Yw0WWN-UCrOV)BeX9<)z+TT!ze2Nh@G8DUwLiTpv z(vX|R^&Q|Y46*rN#}wwzem73y5+Fv$>Zgf68u6mWWEx1qlCAbiGaCj)VW zF1Y_lpRPlF)_&iTlK!_ekd_=s@p}gQJixHZ9gCEK7@U65;XyB@4Q`lmlW|mmY?Kw? zM}WR>t;b|s{djns5u1cH_~>P_!`{CivrJF&G&?%?OTz^UQk9zo&)%XnoyezfkxM`5 zXDXV=_giV?d3-kS%|yIR+Vn$s3~hHwuei2GNn3j~S=$jxYcE?Ac(k)z?&J4kLEFFE zaZ1jDB;ndXJcNoc@PzHn%;msL;oONv19YM^`*BsJEZQn9Wwx@$bl4|_R`VG)Bs!WZ1K+CSvI{=f2VeHm1tpS*>=8zv*#t2gWX3# zhm35DPjmmbs-$3Kcz2=6aed01^%@re9?xfu0~gPiBOa=ML`uNB44y{TNTD(HkN4am zzp>&v6p>?2U$w0yBUqg5@BC?l4n|=eIEITh-Nqscdw0_T=3yAT0t;YgtdDCwoX;Sk5v#6pRu zt0oZtE3~i^jzLC=qoX3Aggmq`3+|8Xvi^z~^q{1yR!;RJ#AK3i7>u42AafM!!0G}v zl_ER_z7`;F;t4W;4VKKg9xOU?_NZ9)qH(q2r01!qUb2$`kG`S=`;)pRaepBXO(|cZ zh9C_d;~LU2yi(2?FdNsSPj3jgMbqK%qVs`T)Z`!>mzEgRHry?|7b>CU7d+4qMMSic zk7#f#-fiKon?;Wj zLSK&7WMk)MoAyRMEwh@mhJwAiNLou zs3F5WV9W}Hi9c#0{hs8Wt&Di&1){b2_t6*ZzmN+@dbF@c!RqA<@UIKTyJqs`emju6 z?|{n@*zVQq1@mls&bqEi9V{WvLhwHmK6CFJ^`g?-oj8$yKNC=qAB&{)KksV4xIbYD zrgWW_?2--`p#wu4W#PV=l)8`ZZE zFH`62@8zyA4bIyK-62{FvkuR0wUur|o80RQ<{Ps%5w+od65VsEV>I^gM-&ln3+KFuGT$(pn44L%NH!v}2yz&I)YM zH226n$Uez3$W#wsLLa0%d;ET2zR-5a5hp`TqR~-v4=OGz(s(8*a3(vVEkGVNv7|6# zS}Bi`0j2ndO$+25Yg|YiwoO1f>#oLU_L>p)KwJRoDlgaS?d-)x!mUuNz}34(>&2@j z)RQ-5KRDt)T6G^fdyU86$F!KA`M{>#moMgeEyU@C@IdvnI>?6ZZtQr224E3Rh>>p#hg)D+ZJU+_}ND&I5VKHSl zU52q-rZ8*l=lRoM50+d~Be#Z>cY<#|g4D<6My%y>d5Q|Y1gW!&E8ccN`|ra?&8>OS zdW1kt(HIn8Em7M7OQiVo)h7zt=V(o5=bO&TCWUh3>ax*MQLqUr0IS%ky}99axb)p7 zsPW)3a`xr)d6q5h>~S{6i^mv^aK!v3lBggnvp1_lkHj{4;cWWCjrwtwq>4Aagia=JvSUt%(x#3m#d^k6uDX_G#yD7KzW9LYSYe876?=R5f^+@LeOk@}(E7)B6^_^|AEqPUZ8 zL*SMEBw$XPFR7h)q!E85DDn?r%C!)49Rd0o)I#kX?H-uUu9AFd4)JWw#&7wdX`^xu zy(4$b8*8%L=kLCtEX=#iicQ(iq11}|zym%=n#_L+U?M+} z_JBJQ?$eX#1$uDr>kY*deUgryh1b}Clb}3`hux9BW!$t|9Jnj)SRKlbxbd^;O?c2< zNb$D+mL0@U2OVv``XSK^`Og|<(L6a%cuUtF0*IggC;p{3WK*An)Lm;hs2k67MH(R} zUscFL4aRm(yis&5O}S==lFl|^`tN3Foa$Cjx~8LNcpi_rSHXkAttKYhPnrw`#zv~@ zea`u{t2BFn4`V39wKJ)kmF2rLpV=BTl**o_7On$nDw@NfiiJmB*a?=hAh&(mOYYDd zUxnO$(`0C_J*%@$MZW*OrhKK-#G%h$u&_L|r9cu!fNR54Evs)+3Ac|7$o_qCO?*vn zuG*XS;qnca?yA0g(kQx12A4hh7MXp`k=Mov)#Om^YVpS6&X??rWhfAI^qCgKhnh>%0tIkRASVv6{y2%gP$L{c3cm=*aIS_R31=iB7g|veSsNPH z4Snfh_~Wg@Tnbq?KaQIL?)J*ZZqhS8{JI)fM{()bq&M#tpQ75*h6#-6jVRM){89>%Qf`nscOlMTCuz9e*xldorG2DPuUZs% zPzmkwAm)MRhobwK6OZ_dWoM1mE2;lWP+~B*6@)un*9(D-K-wR+JL0!9C)?vO`QaDA z%}ZjOiN*8pvVe#>f-kO!q#PQpgj0`TpO*9JvUWm7d8jIpckCe@jy47a(oZrYu7A@J zqbPS-xaY`=l{>M|s6$txK8*WM#M=SS9})B-?}9_LLpR*=oD-ublKs-zJGDd^5$Spn7F_RnHxFVj8pcMgASEj(Rg?>`5;<|%t5;PVaD+c zoblo#)?7j%a*zM?R_hV#3=5AEeE)2}(ZgSpI*z= z7yJrGetpUR@`d>ToBN^*nRyERu!ZqOy+;b4(}(BU*`M}iOPK0{-zZJ^q-hZ>;*a%^ zSh!Z|N66E`6Q1%GTp{TJy=jm5LSg(n=Kx(s?Q=v3Z95m=fom9iBWhJCFTl>X zk>;js75GxumnSfW%XMAa3Njmhdt7)qOma}Ia2VxjwVmq>7l4L>w z9cDGyiK|6#{7zot4(rd86VnR#hEtu~b&+NTf8rh`x|;$LkEe7xDShj8A8IchU1D+9 z;8O7^W$Iss5|&px0#*700|k|z-Y7*CZ(&`(rs|Wm7}tv5))m8AcUH-97nL7qfo|5! z2v&}1QGf5vU&y!$*F3DNefDvH>!~53td_I32j%N=YlnlI9;qy;vb=JAZ$Utck)$(m z{R-Rcj2;VNZ>V@vGA3}(3#1O#tU9eKGk$Ob=;S?P44+3MZOAE-gu7xY@X^Lk4O0fH zmTr*YfDL;w4@RjFYdFgyrfV~|YP6R=Zo*u(YDLdt%DX)4SqFBV&DmMCveI+;F&AF% z3=feI43z@hNTHfFixs#~r()HLrMqFF@=XgBt5_-dPE9LQcsTCsPyQphuC|R=1?2A_ zM)9@SN7RwJPQwjEmxF=%5J-LK>4YO#{)Pq8U0wp`(LCL(aj41pNGk2J)w)j4ma={F z?YdK;8ZAcUcl=J@?&q1OpW7hrWoRx-u5SO*y^O7u*-KdY2<-kmM()OfFgw$-RHtrj z6C6;j6JVjb5vx|eY-jErRjkywRCSYKC%-$!4TEcK(Sq}gRY04U&+yW2)2LRn;&t|5 zR-S2_I+-HXtXh5)_2O2nuvaS96?mHxxrB=%0uBRTov%@)3irUohm=@(WW6p_u42vM zAEKsGR2d&HsiQTBi)XYPt_xS4E7q(a%#MD$ZdIT$qpn@-#+x;nG7(7ds8(lcRnpAa z6C_qXZ`W+)knh%`MsI!|YE(W)w|e#<#;8xfZ;ig)2lt#z%SQ~^;<3K&MyEc0G*K*7 zs5#ZDm6B^zut0|qwQSU!eH2dI71+S|(~*e!D=#~DT_bSy3pJoSK5xQtyt50QE5nH1XUELE~wIe+mf58JLV zh_hPF*Q#GT8&_`(g>ql!31kk?06#hxHiGu2Roj)ki zsymbjsDi)68;@pq80&UsRv+VpTGgveV=_RRP(PS;F4VL-r<>zco0VBBdwonuTQ4pG z-F(u1-c8%c<1V^2DpVZ;Qhe&SXil`6eQHu|mn&_H8>3P#XGl;hy~`CT)fwgo`mwec4}4u&1&4c z>ppt2SNeNAKKZ|G>@F-18>ej=w`bTZVx?NN>{}Yveacm;(JNk*CLOI!Nx6_H^nHP` zuK7aM^LFS|4^HinPn65v6&h(1Sd6MP^2OKV!}@|Y@D1x)%_f@ZPUse>sqWrInR{b0D&n6dassS3* zq*TT?@?pPJA4%tX^y^Vltw0v-HsUw2bz3+9pThHD4!ReQTf-%q?@5BzJ2yJiG^*e8 z@wyK`>H2;i0*=0WfIYUrJ?4ga(CbulHfo{CXv%c+Hre|{aGBrr?N@S1(=$cz5iLKl z!D?Ge{Y9_w>rM-%_4SHm&u`xoC5%=67I{T4g|s#%=eGe<0PwAK?)C5e{i7)99Om|| zLAT_DY!9ls-B}g~x7Y)_Yh2}`irvC^&697z2Xb)|werQJmPx8$RxlgX>*$d&m9Zqj zAGQ?H7tKVzVt&yV^z+!WwDyTyYJS(~Mei0$+MWd*fyrl9G=biO6D@O4a>=DNDoc3S>GK5 z{3OM@zmucM>V!&vU&%DF z&zg{Z@hF%pVr>SWj33R-H+tG$F@%nvL~v)eJju5?f#u>oc*L{V^uf5go-3{4HUVIeKm;&B>_Iwi z$fN8VOy2$sT|XR68>{6{yx~?gJ-*=HGS2gK-P|!3FjyLbOht-K?`$z~RH3Z($xee` zQ^%_&>*Ud4>e(=QCvja!CI&txE)EFyX?;L5TKw1ec2|GVN&`_Z(S*4N-xnV-x9yqz z{V?2Zy3Hqg&;fkfnAG?0tGV;co9>uNbdGn@*}0BB@QN+S9Yf=$ z9;Z|78Jm6W=S*8Z>N;QcZSdJk4kp~(ai<<4#TjYj!k8?aJ)gTwQrn+yGu|H43Py*c z$IV*w3Gtm{2v+^SSZjgIdGyhXzq)8HJI-w;ZL;uj0dAc%K6(9gXz@y#RsqB-BWsZb zqdJWbX`wl_^)d}`xbVQ#FjhXaO>J6Xc$H{GW{Rxy?JpF4~u53z^K{E)6lJAVjfEM+s< zwy#10#++^e?}F==FPp%@deit?dx+PRN7uIGeSKEt^31$qN9FV1+++JA zH?_*wOXW_{&H{})&|SIASNAOz_+85e;kLhJJAmKQ3^4leb^X|RbcKM=fBD|V>GIxo zw0HaT=(Os$2b9;N+x}rxk7iQW^){*=4&ZNpZ$HDF;a`0*l|S!&JL?VFvKsICX=(q} z<16^O%JP}mnggP4Eb-p zVvc+rTM?r5R`&W{t^eS5v*niPu|G7@LJy?apd}YU<0g%0EUBm2_o<45K^~ewjYgg| zIg1D=Nh?V1x178Kc1gq3T|=rOAWG-cnhk?RG}>ge7|;S&{@xoDuu2a(jh~eHCFkYG z$aMUI7d@<-3MY8&bQ`edRIB91GfXW2pek$;m$hfO-JasyCg$7=~2IpQA8(Us#?P-{Zz~ut6xJc zqy4Ew;6LI*I3fhhp#cM!os1kbXUheMf%Y}V4lotXhtOfneydMHU+P8@?(Cu&hqwt*GZs8U*K#>p7osSPJ z+yV!{`!;n7djE-kl%9+GHUH(qNK0tMy> zZsrlefQE!XgE_y0LVPfQFdv{G{{tY-jnGu;%hJWWJV33%`^pR7MDd-22Y3yLdm~!( zKF#OC0}l8Ae)+t|g}g{jJ1-V*!H;F}ZXgzwK5QT6Yc6x*Z!8wGkMp?@Ku$gYT0ZZp z_#3aq+lxhfa9EnM_r)!i#oL=jFE|i{@W)kA3*!B}A3VT{5Xd$c=F=n}=$0Dq#%)3I zV)6AuYeoDG!-C??f*%BkP6&L<&p9jfMQTd>{tgmA_-ye73m|;8*ntGH5CXaLX`kjl z`7KJ{EOwxPM1(+;ImE|#0w^FdA&@;E|E!P~0$|7os1@Yi6!%8{Ud?3b(p=E@$_Tx?XIUq9JQDz+mn6zLEl)>lwHpe<= z7a!Ap;Rzv?!yxWq+Z_%sy!T}^4F65nj9-7XG}pu8IMhk7y80O`j7tE|qz9IR&jFEl zeQtOehE8n5mKJF%sAFY+mKJc3iHpgBX2f>enO1WbJ<|U>4wHbE_7HgrLpoy4+B2QI z3T9i&CFHfL5);K>9`-#KHFywp?F7lfs%PxCT-AA0_jx(P@`Dw4GalvA0y;bqSV*5_ z4+u$J4+I@31kZ~?FF~sk3>i1Z8}UpF$|3SLY4;8>#Qj<)I`K+?Ym{zua9 z3&dKtH{U?XULmkO(G}WlkjgI{s`PZ_{S zY;0<>HfiKdu1)f7VOj#oj7B&TbpB&#SMAi%zQjbBS+VRw=asJA>4Ln$YTjyrj*{QY z-0*g2^gT`_Pw+zp4V6Qicd9Y@ zg(h1wo*&jWx(>7?^lONg2cpQ)D%?DZaBg*xwps8Dyd0^BFX2_-3|x8xx(R<%G^5Br z6sS!rWm=HD;9h^0c9!zJBeL&Z!vM&4LNj?W>6|nD`mgyPd}#;Mt0k*@MVPh9YtkU_ijVHKZv$vqLteaWc zBki2EyRd22#?nd|M`iaDalE>pxcw&wnR<^f9Lg2Wq+t7_lSIupUZORtp54F72<7Do z*MuDOs~Clz<=Two?v>tc+q4{aT+@>+jCIdePPc8_=b)E-{lv2}!QscC_!AO(VQM5{K@3cFyL(_nDYh z3hke-pWh2a8SY1s!OXI2#-liBM9DuoTOZ%!gLH{#XE5T6(SRYv7>p=pFyf5SfF*ql zL8-*~^TRxvt+mZ2;?IzD_CQ?I{M4_sT&W;>ox&sjh$bxGVA7}$+alwxQksqO-Df}?UqB{j{Ip_MWM`}kT#URtc^3bKm&#Ig}7*2qU*BMnsS)sb9{ z4d=~jL4K}Q`S3`ke2qzJ1(Idw`ie!Q@K>XU<9iSZRGBJuNlwTJG~%ikR5WXn$jIh| zp`q8vt$U3WTZyU>HZnCsT-3_iACmcYRq`83M&SuRB3sTvUhoETRZTTP8-ZV3*5@A8SVEyVe#|4F4#<^5gzV49IA<#Ker7NrWM z;!`qey8+`j8OffV{^TC#EECDmkTP2qd88swRFyoONfA+_rD(9?{PTDu(n3$M(33sp zJQ4X?pHLP%Eb=%(QNfsJfuM@o%$NtGDicCQVP(wN5^Kd230Y38B6Exy38lrSon>1mI!|*4l-D{PB5xabhalEImXF#UM!Or)a^l6RcN03k6!7e zD?~;nBA8utMnjIO5fs_VDbrX`vE<*YW+c{6XeGMRES$gq23Z$P z+Po=>5zBiPXg@t#^(0|dXf}xM;L`G$XC(Hm67!)5^C6kQKJGnN8KgpfmU`Qn9v-M8 zN=7US^7MO}hyV-aqS+V%(an#J0h&Xr!UXGSp=~qtfs@Ta8TbY`d1Au=JSy>uSqj=; zBuShIFu@7RpnK08&cFosvJNFC&c<{p2$A#SFEr43s*V)|Pc)*Nt(ex;44e;F=EJPL z2aTGRFM7N^Fs3gRTwP`kW$s3EtHqf={oxUX)Am(Uq0nxA z*rn?*Z*b zsJRtQRK@>7a|`7yqjq%Gn0;Mo43QwXc`cC`B~rZiswN+Dz+LY5?9`AHh&HRn<2%byxS8#a~$c+ZOT!r z9H#C*QP-m!cGvF^Vtdn%g5zUkbIl@Shz9;=#838wCMl=l0U(EMl|!w1)f5MX#ifr) z2bN~o)H8$7j+Nl?v}GZpV^m`{@!JGIV={uUZd7&hAdv1BMVFS|vt18rOXQuX4l*bm z#q)?iqGx)@QkAc40;PWh@B%<^N@He$pY zu7cY?d>pRXlwH{mON!ldkP&*X(N}_G9I>HpvoT+@u@AE`aI>-6sRZch1aZ*FryN}~ z;$(xm&CdXbO_R=2j{zbUJdl_dgM9%?N{1DRBu@pfss28!WWFH;&LsrSO$1LAqU;|d zP+s9sqsC^z##0S?HeqYVc9le*+ya6a4>$1 zr8KiF@S2ukRp5tYOTqZ+Uv?@_oWW?79kbY{x?9~)CuwrponpBBxrgL1{q$n%*rZ0$ zD318ZVSCT!V#HT0BsJ{ncPD0Jol^-<%{4kqjjY^c6tUl0!2r_Sq_d#Y#FQg*UT4`d1&-hVMKN(YflYzJGC-5};U$AO zJAh3Q!!m?}B@KlmN=I<>5MM@ww2=l5($6I2#yfRX&n7j^98gCOhFByNP9+phCtzk0 z(}RVe{duZtIF20!={pvwEf@uLghTFUmgpwkvKAj%MY#Ww%gHgf!^_vU!0)KFn^eFp zq$!(rP)s*p!pkWXQ2}vNZZ$2gouvhPL$cC6Z`g;fRI@D%D8?U@?Xoh6qtw-V2;_C`gDRnD4E_yttiZV(+3w3 z4~Uc8ou!o*#+<##*uwRfVVDmg@-`Z8cRi=)d}_zYh2$p)!%R~%EpyhsLoeg12a8&h zxv&adZjDQ^m3|$8x;`BcxtcRsTDF)3b|Iy+J{v%YWLfeNeh;IsU_>{Fp5h!rmB%hR562R? zm%Ocs(`KVvW<;)GS&emwxOB9B>)nMF5am2G8k{S^)WtREMKtX0*d}2QPxv+Y(V&13QIB zpvlP{c;_}eGvCh>%ucFL@d@X~at}unxbZeTuIk!I4LpFzGZ49}n7qL|ATAQ(7E1hf zBAXT>J_8YLurR^XEm_3wnZ!HLWIg@-4Djuf2rn7fPJ~1%!@gyZ*z#?J?H)tm0&{jR zHiw^A#;B;y_{WaShp^0tlFTO1L^mrt*t8<}TX#Q5q4f8XWcMC^6YB~nNYDWsCPDgj zPPi?=1;^J$A=cE@_Sw6SCOi;{@Kyq?Q2>Wc`XN|#^q^7Eb6lH_%q$&FsBuT#@l!$t2ozwG*p6Ejp9SMO%~}eOBr74gC~A@fRah0H@C=*q2x#P0UL*{0rsb-rKoeAsan*?q={^tX{xV9G z#fUyVv9NV_r*~$}3HX3wB=_U%>f@eXYGA!#^;)C#*b~Q``TKqoj{f%yE+UsnT+1qK z72r^c$Ia1A>O1egy@a-p1M4^)(xxV)!%ciCwCfQQ*XYHJ8wlGZn!fiT*rtft$>=(l=}3B3^k7C&Co z>vM5QLM$e>(Lv`k-^*bl$d6k7GjagU#!7z;^(t5WyZtF3q-HLg;vZWkj&>XSAB!Cl zBCX(_pa1zeA`)$$;NxhpGoW(<0_-y|D%=*h?q=Y(N9pc9^Jy4Zyx5(A$31Z1ZD7=&VuCSF{@7?D8HYOe|v zm6|ehVbb<164xO^48uIx)Z&pyZuZNoOL!Zr~lx?1wS@BKP3kM#S} z_`Yw~=B;zUHOmKQ@CRBYIVsWsA0e@1QX&)zpC-Cj5t1d@NCkEZp{+z1S8I|b3bX>gpRo%%pDh;2#}B1_ z;s|yPKaUcAI@?dMk?!M=?pF(33$0ue5$qob7bg{x&a-#u(;tcIue=A;k09Zov`UEF zQv$Vrk-YlF&VmGT62I)?s_+DMv!9qd8MTy5k>4hAa>x{<#kKIw~p0iPn`SEwT73p z6KZ;T)s9Z@)fydczWE&5FGF{}tjfPMEZ3I%V{j~Sv=VnqmA=#N4c*^=In|(k@VAZ}DkKoHU1T7n0}Hr&UiqkvfuM)uv5>Z>O?jQJVMyx8v=V z-u9CN#HNFCx><4VJSYb&Js5{(%$S0oln60wPgW)XNAHgt{$QP%z&$DY&uqd5_fZU? zwy4|?bi21%%d0H%KL1V-%cIVnOz!~N(pl-c67?n(6};tzkot1(_p7A4Qjm z#Yq`Y{46qFOy8>z|Lm2c7R_<3Q>?`r-us3(Ky|%a+M~+)`gi)e7cpxUff%D z;#rMl%cxcGFU4I|yik7qN^!$FB%(7>VQG3$utW$`P$TPZHySjETI22g7RQ z+e5s>e=H-ftQ4R0lDu^_(~@xuZzz=VN3u8L4SJ8Pb@;72^m*reN4vW^W6B@b(vs>A zTbGMy*3Es+-K!5AY76zzzfRZOk=sU1wrg7_DnC_UDc>rbL`V6e5=w8KBR!|6&kJOT zpCt9Y(Q_DgJ9+Vgm79s(696&NUyZD?Sl zLWug)VPF)ESkf&lgUG~0C6f(;z+f47j|2z7BXPR&QPBty4DP=^Gnh9l3up}!naxkK zuY68=^BQYB6z(yFY(8Dj)0QHstTIdn>(De4)Ry9a0~JPoP;JgDrX&9gqimwi$eYe6cyGb)ny zCSA5+HrMVrdZ4>}$8rYRW~i>RE~Ktg%!C_dFSj15G$+?`{o}#%tz|5IGFc3N6M!LX z?>NS8S?*jhH7Xz(3X7g`LzRp9@ylmvjGT2@^0maO+;XcwyDc2>dtPiS*8b#6q;d$| zYEAZN`aSyu7h^a>bem;LC)F(Y1f@RF?^(O#=H$1RaY}J!NTX7VeMvArL$?5r+!gqb zTD-nh4y!&Aj^Ec#9Vtt+({s8qx60F-=2N1cK5<1*U3*s8TPns=AH#;3z7Gq8gJq<$ zLhD;iKhwax=+LGAeY_<|XR9i~u?}?P9(YFvg{2sQ?bU8`tjOhH$;Sdw2PstLhpX0v zfdqqXWb3+;)|<;{MKEXEtT`Ta9P~EHJycka?_WzSnm)f z;g$Z2DWHy@4d!ST2Ugq_=Ofz+-N+iHP>r_*h+l<8P&3!i(lWJr5yTE18O_q_xLPt> z7EQBQE@!Bbtc*)z(6zd8^2HS4RW3;c{sY2`-b@hF7S9)rbYV^s-*sqKJFGOhE~)hM z?zkL**rcsJHe!mQV3*&N*0nk$so!8dZXJ?CDPT-em%BacHFC)SSD78HV-b=o zi1W*i&;e`al(<+k=vOih`VWdHR%LY<$c+WOEnzNq40o*caG`6TJCp#^kzpfDG#$+n z=ErFEl8XR}VtbA&MN+KrRpc92$qcE$E^?vIcho1&LpY}$#_(V@%( z^Ex>#k?Hy|LGt#F;H20a^q@oN=d*L$6$}K)Kl_m(I(k%Q3vXM&>NVgL%l|751M&Qf zn?mKREL!{$(@W3AFnm^nfuFHl2XB0`{qq_0J&79hOgnZy5SgoNQ00^{?CrVFovnfd zQa2c*;E)iSU~h}Pn^}%AA#Ef_h$>=dl$L+@RA*z|%E&|zJOjl@!j`m`ya=DmgQV*c zWj4o8(eCTjSSI%`oT(&m=xo#wjpex9x?v#D(gQ{_;(Vh4qrW~eOCeQXA! zmnhH9gA6j`J%bLs0IAH15L8(sK7>2RSBQEbLUnZF;fq~}xIaSRA}3>yjwi!P%e`hs z7)T>ANjsmQLp9_nk1)t@X*GkcBq89w2yl#8q)G{!@+?Fo8FBSQC8vQ*mz?TQ`GL#xuir{9Xr1&g2z4NaDG$Bgjvu;F$$w_?07<}Y~Yxt7Yxe!slNAaS2 znB;RV&D6*DXcsXe?Hwo@VwDW*+f?#o8!$4SIQU#$b+nAXOfM9#VrDc}S6qMxr7C<` zOeR3U&rtCm^=nHzb^;-Ns5*|?$QMfnVc6grda8NjzZjB7Gw75CW*=U>X)~sVP=nDN z)k+6-5u?l4?7J(f5h@}{ZMjELp!sf=%4akL>Rze<$anAl^?$K3? zyWVFCSG$^7dk5n>B2RCV&NVw#DE{mY%^-vj_#-x%SM7PGxd`#p^D0-d*J(L`0XWPE2>43&+s%BfBYFJ?^V|sb-e&}*A-MJr}zWL#<_nFFy@Behy+xtl~ z*vNF#zNfGB{OFJf4p(T-H+z@WKR@qKR06Q13tG9C3&psmKiQ(lUZ9M4VMGf54*&rF E|K(OftpET3 literal 18221 zcmZs?1yCkIvn7hl;6Av!I}Gj)gS)%?hr7ez?(XgmgS)%C^Wm;<{(JZCZoK!Rqbj3M zcV(Zd%BZTy%tiqL0jY)q{Z~8xyM+HqHB%>NOM5#aW>y9!24*5*S4$fcA{JH_A|?(- zW)?;kCT3P5Wm6}BrLif|Z$o2iB4#3GX(Dw~BO);qOP7Bwg#^L=sR04e75py&qJaG0 zl3wD!r90@Q4{VmHnCsUC3YJN{k8{2zB*K99+vA0~j@`l*JVH1879Z<=I8fWv`S{DQJC69CpO`qW=lmT|emM zS*am$sJ}lQpT%!ia^mCfJ~UE6)`la~@-;H!Nhpm|>@WbiW!=U2UDijUb1TCKWGO+% znge&kOnVZw{_$?2L?~msr?4gwX9F-fDMjIjNxsXBUhiu6POiP~Ow;SS(IP_~{F;_A zcjHlQHd!iPW|n=pESsRWf^+C2_j`_4MsA5WX^*7NqEbkr8U@V)lI=jR4rtE?#5)BE}K1kE#^k&*)wi7NB|E22hml5dnf~R)BhiVJzS%uRe3r6Gx9-%y<1R<9Xe_PqrZZr(j7P760fV-7eOW6*VHVqELkgM~Ai zDPNh+cnKuS*AzGjT`DjAp@}7iM4hdty{7#+Ta@84?}RCpbOuU!KpN&Wt*_Wls-p&0 zOf=gY9Xo?gsa(%_E#370jLHA$a@{_i!{63H4-j3(tDW;#pLE1)FGlgy&|O7jZ#@#HLsAAGe3bE2K7Kqy6>L5f}1v^lT@wRqZ9=nIUk{pa=Hjc-8FJAcL9HDvL)OR zkuE#hl2qrY1>!=}|1*RChwcBG(n+veOpW(h1qTBE+%${jneB#dN2!a340kpE+;n8O zADfnK{m6{=hAPYTana2~w`VSVw$@Pz#qK{d0~0W?XmLDLGwO5HOn=eC7LGruR$X+~ zy1=Z+WH-cV8Z>M9e|2fO&OH2Quncyzh`IFI>I3OBv@XCPA@Q~n`xhA%2skP z$#pUxhayWZs7sl@pVi7P$p464l?n4W>m97ueK0IpSjMFkC}#*!_nGik>a=h(vQQq% z3|0u*b0>-c9;F$)tw>gO+>GhZs9Bza^@f?_>>Ghux8t)DhL?_sCNuwxJ!Eploz3;s z==md_JgeU6^nKDIsQBoiHP^K2xRA9#N@!l9hdhF^FI~chu?v0WRuM693Y+Wg7>=hy zN=LK||6RE(&2l7_{p#wtkIj_$veSu){yk%uFm)o1(S>B(=zs6E2$jDS^7pqx+BZD> zkFT~`O=bNz@?$i0eJyQB+X!hENK<9&Ir4xRBaxB^gmNCH9D11LUhnF27vr#7%6u+! zia+NdDdP@m;)hlUxsPDgsBed@>J(^*h4*s--~K-q-I}+MY8xdr#Y)aRI`YaGe==-k;c( z#3!V3J_?*xiDRSOtOGD<_^My(EfrG1^ zXVn3`;(N_rE2dX{Hjf-LEv84Flc+>k^29?b{+xNP`rZOAr%u?;B?+-C#u)5Qqy z!Rv1r+nRV%%M}laQ-;2I&)zLQg5R$T(aqw&AF0#@&Q;!$H-!i@_ylHy-D6PCS6wi`x^7~zBYjVI{v@7FE>@^(KO-LtG zKcar4=>JGPv(<=U=_cgTszr51I$`k(CMA97vO@65cqq%&eY~-oq}OfFH89wO2*!k{ zot$8<*P5t71>czU{i)QPYp&HC*Sy0ze17idV-sG31&sT=W*@`HW$A4KCLYL*!(SA{ za_T%U3{>2NDy?JLsKj zDVd$gmKD`HpP|JVF?`Rk==FmHCxh0$0<(Ew#!kBr99UGYA8V<^Ge*JFdPb1U372~# zbk=i7JMSCjPYB><6MKu#%hB}lG*b4{6vow<=&X~8B0W# zk@*j?bA=s25>DF7f&Wlne2HH?eaV-2)jKK`1dI+;K5d%ZD39_K(VKE#-FbzxZ0$1f zuWqHKaHse8-uvKub!@enefreToF(V|5v#3=>sP7M^^Ktx2>WwzvOi&hBsKxyuRFYh)mJdZIe>X0uRf!>=Pl+TkPS$?AgA0*t^xkB|tf$mOhrYU%q)RPS$uE4X ztzY!(7VAl}N$H)RIHEIM-Z9&0`|=>S`O%oKA4vQg?xPBu4wL(C=plm2xrl`yE8E-M z+sNC+;t#3JGWStxCpD$)Uf=H9edzs$b~QH3II*8R>Ha|O zD7a%f`m{##zN{li=O>1=#s-7w9!O_3o};3L`7kqW#?#RtE*c7D$B$Kfufx;lZcn)y znJYAJM3)4tmRmb5rpn#2;EH5cJHJNm3-!(9i(hJadNJQ2s#XUoW zGT_o z#(gojeL|pjf{6OKpAVqfm%USD@?Wdl6BL-M9_2pU0H3BC>5mdjl{R)86%vO$QG*9x z8%h{ti|%N5a%7NTg$f+V(h(xt3VH^+Qm2D6m8-vTGQY{0-YuTq9h%+^ncnpv&FU`3 z3qRomm7s5@ojx z*)p$Ep~gKK@=(S}D%CQtt62T9CUYb^ql;pd2ST*b)I?*9Ddn@hyvCdH-!sqY+7odkTwz$q0mA1UhML>Oq1w$Orhw}ZR43!W1@36w_jV)Ce8A=Eg z#M0^2DXdVdFGOYTC}N4a@H=Aj{C(~0X0!E+H>byo;*HXLVHDF-wxy+H2oa_o;Psoq z#iFzxzdjDG%4gQW8$m_Ml@J;vZ()ed$s^`U*gabp91#O?gPw~u6-QSxtc2W*BGiGi zOP3{+leMFIO&Yvp&5Q$~IKb)eG(4hh7G8f|L#2@OBz$bCDBPZ)f?QUjcw3W%pQqQ~ zXeGI`es>V8d28l4X}EIcl^>mFWE{G+5DcfqC|Arkzw{*FyS!IWz-!lC2meO7;xpgi z=>CJ){sWEvgWw$hL2uexP&rPkY;&LkqTf{F2GUS^l6wUndgnCgBNiigq~bA^O?;eG zNreOQP3sGd&f2BSp+~5NJF480NtnX$y89&<*+Pa(I8(QwfGAiJwqMyN9R?vs5Q^3u z+WY53*p8fZ2FA%~H6K63q|-aQ7-U*? z1)o6HSn63Wc|OJHuEynXMoN=x-=lGhoj2MV7~2#f#C5v4T@aOz&5P@hIt6RVUivIM~;j&yC|bEx&x4#jeeLz2ZYlA`>F zDmi{8y$8hsqHIFwMCWYzF!2*6Aq}aw>OYU@Z!-_@jbSjPVD`~sM50xw< zvQr_JhuxpUgWTIRQ@`2)gy~0%@ONYJwY_#((rtRnb6^tqy;g6ihDtNf!W13^iNqpv ze?59qCnFn5ozbO-5YZG}_V#s$3n!^M+;15UUL&bGTy7ca38*nP zR}JyRo^`rpE;rk#Ft}w!=Z>P>uxI4O=DM-213z6lknQ7i+uhZNf|qNb=Zps*`EfQG zHbY9A+u#(eov?D2j~RHY=XBk*Dm!nQ%-r@Yfz#{~n*mVjwGSZ#ibf;9J`PTeC;yTo zoOGYDWD$e)8OjsPNOe#W@GU{7k~tG`O`d%M9rGG1ZbA^bX7yFuO0t7wLM_-js^Uhd zF8v|5)J6w>J#k18&95ptVwZ*VQFHc$J134jx7!;?38Ab)#B=?2relJDa@1} zE;)}P>xMKpwRCOyg`6dbh)v?|YORi9{HIc#h${e(g};l2@Z|@{wtsemuECtH>sB%vlk6$5y zWrF2&QuSt-hWn2SJ>8|8n6|;-g;?&!Iwp=8EaBK*SRl!-iuK40A+B;Lk-?DB7VCq* ze`~ze?OyVUxYku&GRpf57a%Gc;Z7~~A0Z&IW&_{?EAy#b&_#!oKzXt7&Msh*qTOn5 zFZ;2D(4rW^OW8aa#mwF5Q1Qn`Xy#A|CPcyX1foVzXls?p9YGEuoo>b-Q_`F8vYZ`; znCY`9j+T0w+#^q%;%AL~bmRC!lbJyYfrfO^_&Gos~+Yeqzm|A?yR&tF|)D`8UEiTJC35bXzcp*M`s3I2< zv>`@2hoge}Gn$ZiWMY9LKPvn4?|6qorbcOE$t0n|&}u4JYP}~)10{^ zI{Q3OQE8hICW=?Y>JU=CUbSyW*se^Q>1%p_gpS0eG~@dHiCy=I6Q7kD&ylHjsDN4ZO_d`M!5RQXROHwAw8z2-A$ z`4Q){RxyR+zoPO=IW`4m-P_Qgd}K33mqMyK*e%LjEwHYu#5q5dQgM5@6Ej6|-DNvB z%-%9d7EPU&<_7VuE4n+J|LFgnij~!@hl&Fs3e9q5Lh={3&M(jvT^~$Vh{`U)P(p|- zDUMa?udYUU1y}yO*I0C1Cx%~ZK-dU4e9X%Up$tV`c_#GWlu^Zq&z#_-0%(jz#Q+?_ zxy2al>9OhPJ=P1FzFlyOaMP@Rx@lEXE%_r&wa{F*;#udTw8Zc7HgwXAXw(^%)I2gP zJ>-yTNF>(PuH7ztuti&N*iUB^u0?eg{8}u*2i8kl;YH?x165&-NcdXnF-i~a-Id{3<+OB=0v`)f}EIr_$zMT1M&zI^};)i>8Xxan6_Dpne zH697+OMh3D`4d8@a&R{5=ek8Wh1h5mo&7dFgL~Xm{^n7P>Q;W5rsLd+x<*o(o*iG3 z_i~6F&tFbHCU5-z41ODG;l8o`x*Cc^@T=Jy zy7G^jpI$xcIWV#yRHZar4-vBuAkRLKfo#5EsV&`u*7IKt-_pdIk*@H!?1!^r%=)RT zkXBq&q>?vm6K~%iDrG>|9XUOlaiPjJ79Z0oEp|=c zW4njXLc(CYcRW+jeAwe3RUZ5E*QfJ3A3R;JNWei?iDj@osMKE&=UMTT6i>OPHvFzs zdt3(gC~GD3_w;L=D^+_F678?-ddxW2I%ckYKgbcAfw~RAv^l)p4qe2RUsz+QK(MSz z;UO_K`1`4>4X{; z&wTy4TZ4}+iN+cH8|>L|>5INy6YWg4ks_I2yb$h82U+9Amgv`Z zY+!d5saBL&%t3qRMb;`Y1j8H@fZX|TyLy_TFRnl`K4o}dd8>K26Xm{MOp1;Bg{dMa z>Q3$jv`ueet6(HVT6#s3xdL@#fJlwv@HQ`7yz}%LG7_k>Tjg~6wJd*BF(#|@#+Oh?K zXZp=Ilhw1oeTyZrxn z?f<8F9T}zrQOL@Y9B!@heMXJt0m32G^#6|C&=o>*2t9>0y*{On`bkYxR^&zpe_d7- z+>f;&4e#cHzd;+FW(xWF!GE^r-D}f@gMsc4On=J3XU9%|K|Ew`HgFQpybtn+MRVfc zmmJ-r?1a0c-%_I7i;r9&E07!?bl{@gPfLo{?=|M#1rT-Lh>Y6&n0vUR18VOMNZRR; zx4gl)Y}HA-JknY*x+SPP!Geq}S85}nlaJsF9tUHpC``By8p4E%nAN}9qR|BVi7 z4{>B;6T~nB9e3F+z96JJ?ayNbO@>l7xP7l3L$?i6Q+)&q>OvdA+QDIJ* zPRvl4I(H=;=}>jXR}_WL^mqMaxu4RK4@Tti2wm|se8PB`dg#k&%B`HzSSQ{@E0?dr`^@7B4Rr^M+@D zgpSRZp!&Q}xb;Fbko6HC2(ZR%t(d%TcgxW7t5IL7Ts=*@+W0x&P)7mGM*IL#$;d#@ zd@l&o*ItD&-~If!vqd%hT%8aQL`yX`o}!^rzIE(hUv3Ac+E~u)#nV&D6^@Y##ID&0 zFNuoGp$(c>st(=3(m&rerg{7Lz2fP`K6+XByzzK(J<(3Q|tl;7KKK4@qM+45z=5z8btVm#wXQ9sIGl$huPL5Tz2K`fu^dm{{4-9xWK?NKI zHKscc&E5 zEYFLu((TBV{|x_VaGMqL`i7nb%Xm3}&m z^7q3NzN-u*A78U{`F2#TI)>GdR*wq9bJNC=R-vNZ%O~2Z zJk*W~<9UsJ6 zQCy*ZTlsfJWg5nfhm|)bip^NVJy1AbiRvMRHrh;~KIC>mxuVHSnr|t`%06d@{>Q)5 z{{7(3(edxn)|sj0kwqje;n zmOx1D)+K9gxMJ^yk8MDqPT8R~U$PUz*2eS+ZZV*7Ub|{h=9QwCihQ8g${Cc>aT-Ls zbtXIGJ$FYSiwhjx$PDCYJFQ!O%9XH=Vp-sgrhCe>TZ3+8Ry$d#y;V2JijS|l$B13u ziY?iU<`|ynsbw=&gBwc(5sZ)<}*uO}NRbgpSzEJcQB^{Cdil-Cj@x!9vfEp~5~J*Y9w_4j4E8s-BEYinCW z^vaT>9>dqDbv;@x<`yda&}eBMI5vuf^Ve6-EwM79)#|rbY>ZRvSFC|rl|YXct8rM# z(@)y0urMCSL>c|UH2dSsM?k%zt;*e!XJhgV*2EFba+gv2QF}A0{K?*7Wo>r^qDlq( zdbCpU_Qpowd(wrEYL!g)Pi9p*g`<}-avdQfxVu-aW*w_#t77S5MS9H0DJ4?1 z(ka_zY*u_ej|6m^d?7u;{5us-$>-#kI_Q;41+AT;z)hkj-f!IIL#N!63*=)}P@`(u zre)1)(;Y0}J2tMN#lW1mVOqY8Y15}y0m5y^=+jmtMcTRp>dKEW5YF(PWN!hIF~>8K zDXk&}7m1hUHq!>)iW#Gc&yK=|#Boe*dmP`VfO5jX4*_(*VJ>c#!Rr`GCq;9#>5kl~ z-x^jG@yX2$LP4zDo6@oHgshK3`h00x{_T_O!Z8Wc^xB8d=E^D#D$!) ze7Ecqxt|iZHQQ|i-B=6-zjk(uQ>J{wwm>WpI#Iqywx01KZVh35y^(!hfS*vAd*lek zQagQYX4Usi=2vb+?aCr!RC2e5ua&jciqW`9Z;#e$wx1oc&oe%=es@!0k1-GR!XabJ z-B6Qh{uA?A2cwIo1+E%TuB|s+38Tq(Lul5304JB_x$IqZ5z87O@1{ZpYX#Z{_0cj( z7hR(BPVZoh)I!(kJu}8)SklldqCx|MXbD$~)959XtsjS;!osGFbx?Qi{srq=NcV6< zNJ?W%$axc7uV|mX}+-q(D!pyc0Xir2SMLChTVoH5$Bg+a? zx^8f<34cD+j}a(yf14^3{`lA6IzbMC2JVEIT^Rc~zkbTYFY6E9`dOCt-oZ>z4-zICex{^GzqY)`%pNCa+HjP7T zXI^gG5?rJ*SQ8&)_qAghj%LZF%+!|K zuNfqI8N+1PTK9*!2Y6?ajn9r}jx2(bB9h0;%#}2~cPP%%*$57Mbh;*s8j9X9wNg}e zr2p}M#~g1>Snm-yZJ704-SC!bk;hq(GWF_2czPQ&CPkza;j}n`**_ugocv6k*>2rY z;Nm~uWO#DchfBtuNpD z0wQ)K;(=(f>0&k%BS6dg5qCKJg>T~N+>wo6Qf8>Rbni8%)?e*HlQK>peB><%PxY_1 zkxn`uByv;5IfeB-w5G!w>v}u>Wu!elFCOaSyhJICV{WFn`=;X!)~M@lx<&jgX&sV2 zX8+8mREL^p{afgZacKPc6`JG|JIOCGM4-o4-`B6od)mB&JNj{B+~>9B&Gsla#x~df z``-9bzvIpJt$NyN$9H9TeWi70(AQGG_w)8MHM#N?h_t}p^F7kK!|11c`Eq+QvbK7A zkUBE4voqoIKGZ7U^IB;HyuRQnu_UFla^?5E#K_i2smceXLp zUHo50-}lLx`E5g7{rCMvigKUZr~0$&34OaSt*Wmc z-|PAZg)U!?Pjz{2ef5k*KSGUo;PX4>_E%-Mcl+mnS?*DdU;UlWrv%-X*FeKdt^W7j z%Z?Gy_~7|5b{h>6&-<0o z^P7Izm%}&H=kxX*agUJD-F@aG|B6R~fgCb`G8vyj6bm4ZY_5%_Iq0E-k3#G~V0iNo zPBiG8{)?pG3`01OBIw%8G}hh9gT_q9~Y3_=<;LV#ra%Rj_bJ8>HC3kZEH4 z$Wq0WiC4uUNA;qzO+8TJVN+@e(Nz22@hFn8JZ(|lgQ#v`P=ezHJ^n?B!$%DQ3Z=z{ z%fjk06;5%~<0Lc$uQ#kUZ($6FH8SrYtKoA3NNl-9z`TRo1{6`YG(^p?;~$@3hGNh0 zN>qE9fHw+|jkA7k!~`VsYw;~NM|SW8QJy|!61y80KY0VKswKFjz`O_34TX!=A(4~# zx~!7n^Ru)I!+!~iswsC4sWiuH@d}%)L=bix-XNo34-cnn$|ta(1xIS#fZ{6*BN1#i zo^01--M?&k9V?!;p*np-ucHY!KEP4^=5W#?7 z$UuWxUyK5Luyr5e_58d~7Ev!ei(Z&HL~!6XGSFdm8g}gguI@}Q{K;dsLzpc~&~Z7~ z!d-I2Lp1z}$pSjq0x95W6dCxO$44XTh1?_&X3+}{WF}q*&+DcZ?F4JeM+P?LW#bln zf?EU;ub&9={Od5Wg#yCd7g|%INYghYFbNq5otKSM@QGwm8e;K{uzp6o{w(C>6#m3F zI}J0}1Gk<|yzVCGB^~~xpNIdm;1iRri;0&h#ut2Dhc+(83`44sU@KYOxZ?fl}au*R+QWT*x!bd0qx_G*zSwS0#yeD1y5+5q$#C?wa2q zV~uwyxNE05IHe)a9Z1sd>#B*0TJYxQVIzS1APEei-YjoYYaNJIBOf*c#84a(Xv^Nb z27);)DvYhrh*vS=0)wCXqgIWKzPTp%k9`ISxeO7igLgIQiutTEu=FGt2h;Jwoa)Aq zi4A(^gituK>zuEMhU7xkE%?;+T5^I6YMVz6jy9_{NFUlO+=G*zW=&1#`om?c`bS|q zmW&VCy@rZOBswOPfcSeYbQA6oE`Y;PKboqMbH^~%sv$Ay0c;`B<+9PGs8I_p-l~yD^a9SSOfE&q zIP*tIa|!Xqi+`j;#$!4AXZkNs8pDcdFKxizwx6+SXnComXW z0`{V&g+dyGjU$99l=o4QG+Z=&?ilOF#qM{C8Tk10TM=?g@{DT-re!`dtVY-F4ZkLg zmPLTInJ6;(wIqnZO%vV}^ z4N7QBbDfk18qL@_o$fiGwjdw9B9{U8a5T>l)Cg{T+y$ioqq>d!2w11g7 zV}||)9!I%ANHvBi+^arY_Pp^=*#|1zXYMV0l(<*CsJfH&Ld71c@5Xz-5>ShG+0!8% zZA_59Qrt`SEV&Q6=8-TcQ?CdCw7NuvUzhXDl%Cdi&3biNt~sTTKQYI;cJR`lH%RV% zMNGEsA@Bba7aecq{;U!xfM@C7^3eJez7=9!DuP8sQk8{5X3O36 zCmsrFgXq;{=(A6ixxqa~{YYtt=(YTJn<;biK0^M|O$yWTsw*tH&^JXa2hADAhQEn7 z@8aP6KL9j)Huh=mBrUEI66%jg!Icbbymc;awgSn^j`Y3ydzBnOE;hjmav*F{d4w~% zB$M2O0@OWt{>N`B0erw2p&4Tt=#-4SP0oHW!c;d|k^Fmy)FvR-GoMNZ;tQZ=YX9H|SPnf(#T_BOPMCVk!#O~!skQLEGkIprY^8zrS7=0U!M*p zM2hzENd85AWS+^ir4WuJgX}v)WErDFWLp2qTZD~g!8mF{S$!}W4IOdBo3!K)S2Q0j zX(>Em)Pz&68X)SH_>`1SM9b~(h-1YvC8K*jl>&S|l@1(bOMTg8wyhkf4&*a=w)@x2k&Tf+l-%x2MurMUm5+G)?7GNrRO=4bV$@t%o!Dsk@xFlv7n8 zMX9--GPygl+={LQM%^)+1!9`cZRBilN?+IrPl(u^bFJytH>

T4lc@VWELATLOeiWJu?iaX5CSCHDYgM{^=&7${Q~-y10R@c$_;aiHOmo7?6IFLs>yC z{^>0yRJ@9wB%MBn5+i?$t&eYbmj~d6)`lMEVS#{y`~ru*LS>h(8`^3__yF)@R}tn#cvmp9cjOB5Q)na?GS51+IT8E_{#Me54taF$TGF`z{QQ|~ z7#|^tQfqPVz zw|mSu-d090bh3uZBI3pZwazJL@=Kn+rSQ$u$rWx|65#OJwQ#Ba6D(T#7GXL+BpcrC znn@TM)me=(8nzfrrV-Z+t53uPR{@cFmqt);l%Y)lc#>BTp{eK|mpqZ((g(cmjp7-TBFY8?(0|0v1}@_UfN`Y2xDoLFH3OqEKNEKrLk{;q-DNaj z9OW7@xgZ94lxa<6hEHJAnA&GA`ObE5@_# z0B1Y;e4CBeRqweZ{|l~T<=|sp6L;DAfHH0qiui?`ipN~+vW{dMB-oVeD?)WNvnrf( zqw-am=a3#S`mY1mEh5|2VcvfcUz_poa0PGR+!_wN`t5Vg$_h?)&#e& z9TJlbH#591tWt*X;&AvvT8Gm9GDuj3bFx8nMv+8Ok_(8#ix>knS5K8hM{@d%KmRzx z;oPn8?}=6qKKMOm!NDsdYXI+T@NM%H9|z>|E_#}Xk^!PzfQQbHNkeL;F!03Tuo%e* z9v1SevZS`cfC0v_#26v&EsjGet&>~1K1X4;QAfb&EnpNDFnR#GCyLHnS>5lI8hyLeHrP!jQH9Gy8p87+Xr%s(w=!4mkEqx1Vd&0 z)g=FwAQWB#OhrJJ+t9v@t6e4jhLLP&`8Gi=uzY71bO$-iK3-Uar_0ml9G$<}X%gvy zgSn2_?rG-s8H?!{#*_3#Vw!Gh#&_OGaOkaDapzTQk`PkKZ)@?^%0s1h7RH%90FA6~ zr8tHataCL!*g8Rsg|{RRI79;t+K>0p0?#(9zHsS``?uaGKnCL|=r;Mf|LxjwPf^Wo zW+<@e5;?Y^B|!=vV(3;Qwr_!ZRmMu-)W7?X5zK+xfVZ=`R*%epN0uqR#42uVFMqYIku`1uK`KU)R54>!h#Nx=o-;hK#hVlPdLxaf{C zh#%Dd_z8~XasWZe@OJmcIQ;=H2a#Emei~E;0+`I^?7HgmH=u8X3ry@Z;wRX95xxl- z;=<0LaP>E~;vPwz6J(h#%7`oZHz!IALJ3jA)+a|BW+x??RVzptRrGnoswY9ttdh#h zecA~|0~mQ)Cf(@BdRhC)@2;c=C%ad1WBKLOI!N$<9ExuQ$;SKXvs}FS z(SXCScplUZlkc)NQUjL(m}v+-HiMi#0WcR?2@53wD>3iM$d@(@M|xz;%q^R+O`|Yx ztbco&-weZ{8qc8wU^LtRH^z59rU}>{!(jr78@Ny>#{KZ;&Q4og@C8$Evxu*G7_UVb zFT7;Kqg!T3S)mufzP5LW0>{uwVXzCR5HV!q-ZDsFJA=zCRA9m_4DK#SYeL-_zW#6_ z=w4lnPSh+#DL8`B-;#b}sPICNwoedb22%yX4UUC*OwqWe4tdbXs9Et%M^=^|JDeDd zuGmSTd|Y|(6xRLWdnkz#xFstojBpLKH`yQnPR|aAqxU4Y|E!8B-8DaZ8jiyd`jo_< zApgPlP}HHY5MyMmpyl=oQBS!DL0&w@pw3ytaai<|3M9XWz%t@!CPir1Z2Ya4U_7VHMK!8wLxe2NQ>c}OJQ*i2)Ac8-CQ^r z3G%B|+)XBbFU?R2@#g)%HN^S{g1N9!3s&Aapal_GEh0JgVT&Mp^4tK2w-KmGx9vsc zeH?1XsiZbFX&oN&E6BgMKk>~Egri-(H}n$7WG{;8|3(ypuDJMI8JveuGo_aN-PPrC z43b}X`+>D5a7Dp3_mJ(1LVg{en^(i7X(To|v{C@OzDM#A*3l#!?;VeEYedx6fQzwp z7D?rt%nnPBX+1q5IbwNh7mh!($yE~UWjo^c?(lb zZx2QS;1pjtoT6s(!_iM%-fsm%X(h87@0y}HoVgU`~-Ul+*)IEpz+5`uCjc(oHjUAV^q^W#V8S2SKtj{UPM*VH`pOz`01*#9%{tmfr zCKd;?;f_sy&{Qp!z_pBrzk0XdKP%>M1V9Ekz(2&89${$y@P_)x^sVEa6v_3ZB_P|PumCpqXwSCAB%JMVHzO&Pvej6>?=BduVX zW@!?JkKu}9Xm>w}_Jb|r9s#2vfYE<-v_F~kyJZmal%1dbf2jQdEoeV72i>!LlN$(9 zK9R#kI^Z{tD^h*(5VVPT4na@LR5Gw@sk|RTH|l|qbrSGii);5xtZZz0_v9_h0f1MqA|a`i>7A_foHscVOH^)vi)Ta0Q0DZ>d2m{kgZk#oZrYXQI{&LD%eZ;xH`PD zDtra34^Fdiz&$(`DOo-?Hr?LEuaS1fTk{@&8RvUE@+CNmDR;hSTP*E*&)#20Wr@|WCgK%pu;R}1AE8iGx}1IjlO->I`Xho>r>r#if|D!j8g zJXaG%pq1pRp5&^T#Me;lV?MHNCh`RXIc2+hhvslaZP30dd}kfQ<1fvj5bgf6+MtNq z;DDfp&68{vnG8V&mVu;W9G0~8{Z`^d&^hker{a8mtEF;bwL>TwL z>19w;#2DON|00i(C@q62+}V4z5gwV4m_OG1cFwQmI&B--^5f_EEZdkE$}BxHi|AiG z+F_&4yk)ey84-H|{;NJLZU7HF5{w zM_dP>ifIGxb=0H$r|iuw4*X=``tkNwH$? zC02yg%#%*MwBZE)nNomi26PRLPg)B9yj&seajam*9+AxtuZ+y$jKeZEQ`S!$8vzfC z8Q!{SB|Dqe^(38Sq>+lQL@v4@k8J(qi>9`w7-dV0kv*x#cZLjKCAX#*DR}F;2GXs%Y&~>cYhXIK7oG^AkkwY z<-GlBmI|3xp^+bVRmsXPl)EesDz`kjcg}dZ#u)D0yF(@X1nRIzzum%b!7yWMUcR6n zEN5%oOnWdb;UgfS|Ez5K#0|ZWrUhX;Pb;7q)9>=e^R)6;VF(kLFi;KSG!gNu z?o8DXXslq8ON@2SB$wW`yui2WJ+aqu<8G^cX}9`bLcn>9f3>zhEa<*P6Z6$?!||?| z=pykJ5}iI6&nJ5)_8^76)YlTf^t)eX!gN&gS&n;TU7Sm#3p3dDE0BNt%wk=>li|f^ zDa3oybYr1A3(Vk4!0o%)V(uOJ7Hs&%vuw-S?@yfeKa+51M*#NfrEt2LeEIN@MCNah z4+xZ9Y~b|%v@GRcxI{;t@Fv=(BgpdKkiA5d+q9p36M}$zf4hSgsaxBitD^S|bS9~L z2v`eMp+};Nz7;X?@N{N3ur^LklCYnap;M)y!*HZPkOvd>rNL+!g~u8M7Q^02h)E?G z1cJr?ytx$_Ktg4I5hSPhVnQ!C;bC=a*$~$5Cv&@+=G)59hP(nsRZeSNp~Add5UA<{|WvD0s6M3TVCJd zF3#axbw|#s>l#VR>=(~Ra(I^CmZz#EeAP*2z|r>_(t2+;UD6MR@R6%)o?%;kYdupO zCaE@Ea(2=rCeXn4M~zfmQ=PbPs+OA69ni!88skKpB1GqRi+-j_Os4_3%7^)B&o?ZJ zGmE@wnCTQzgQr|n&<}sxO#U28Z|t&ElW~92P4Bk)*V^zkkp{2`4hp=q zZpC#=Gjtb3ekz&wS#xOypJQkMZ@5~WZmKz)2Z=RAef{#EBY~7ssBY5Al>&NJi6VOc zG5j)Tm6Rfjbv?)TVp$Cz5+ewIt@C(0O=1!awoAkt__*q+po)Do2C%5d=2s)o#Aq7W zV5i|Vf0eFbRa~SoizS)6uH%eCUy`$1XFFbCL1^36*wq{&#VwQaz(R61B5PxnpBgcl z<{^h}cHmQ5j-t@;8rUL?!b=fH=70v!zrSPe!a7|^a3_P z7~WK8q?P;F6LWs~_B=@WAt|UU%2H8AtHNFY^k3&;Lu%00#y_dYT&5@@P9U1Y)f-=4;kN8+)*5@qc1ca1z09! zPI!aB#b-8Szj$ld(|5Vw#9Gz;Aqbp&|akJ<4Cq6g1- zHvE*z6KAncT0~8=$U(BXx`XD&c+`nW98urwu(n}IijX@3=wbAkAn7smrxC4uj#%; zna}Z)xA#Ulw#oCeZI?s|osBZ1Qw|DorDf9)prsMIq5ZnF>LG7}XE2|z;mSuJKz3Oo zvwIi?<_q{j^;G`*^;jB51E%0MAX|3OiNIqXXY!9Nl~YlwJBfJttg&s9z zs#s0$a`Dpw$buHml_I!2DRsPZX7}HNi69Dcscysw#A%#f!;Zdq9bd9KXCun@C|-0A zM3&rO)+hF9m#`x39Vi-Nm3r2 z9s>h@Mu;smuP^M_4YNoes*a;J@ZFO^u*^tq(KUM(uYvLsn6i_G#z18So9=Ye=3Ce! z+dIxvQhfZV{4HBS8cqXNbyO?K6$OjJWX#@@@(O&#X{_`pOf=t3QGKn!hNy~`rs>Po zlfeyHr^}A&=`~mkyp{B0s;k!)W&g@pTp3GtwXO$uBtCPG)sts^O{Kfxy`Es_$dB^S zL;fz_N5#5(Ez&t!Sn`bSTHNzFGei;buAIAnSP9Lty_?kd>_oQivpY0{5JKS3+;rZx z@42Qt1YGaCTxBJf>GQuIT#Nm(|J?2j#o?4~Jy13a(43;H*_NjoR+#G`yM6niTj#oa zKY0D~!#$r<$VvX{r+bdHk!G-w=_Y+oU+wu(7YVvAH0OT@88+*Mg@>XVfi2z8N)cC* XiH(1;MUl)v8M&1cDf~YG00960hkp_* diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 876db32fb18902abd7573453088ee3ce84db7db5..4443a1daaa70a1a4478e44c6b5859140444eb377 100755 GIT binary patch literal 15282 zcmV;jJ59t9000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IC?0RR91000d-00000 z0071U00000006Q(00000004La?0s8sq)B#`da<$H7&E)G7q79+@7ddox7DrET@7ot zx>V|}SxKc)N!`&pCNcX8xJ)zyJRGe~!N& z;BOAswQF;qz)zule$i;wdX07~+OJ=Kny3i_E02YkwI}-$&^`!{47K{{95d zf9(FghhP2?(;vM5{;%TaSAp%bD1Oj5ufNf+cY6`;8m+S^->NmAeyiRwNGk_4J?=%X z7G8^T8ymU##f98blyCOxomy0AcTjP|gX<65{Z7>H)}!`m#_KP2qmz2Kan_1@?RK+! zBWkwK8pqLTzjaIwcBAXH)=AXvJ+616Zu_+Nq}HiNOmf+I+vs(p{LbE9bW!W{TF7W& zT=zh3m=d+t)2Q9=UG#g=X`@;1MyH+jIo=@kGy;O?wB4znwaLWmuQpo8&Hf4RPwH=j z6VXMdeb%X+8y>eoOXIxn8rcAQE#SCb>vr46jasjM5}mY<`{(sm&x!-eHCn)OZXa2d z`a2h$dbitr8g=_e->4t=qU*i3p$3dR?;667+pUv^<%Xlwv3bwZ6m5gR7C3yp(m(1R zcN!N)`0{6+dY#B`2;Xokt~y>_R2Gm7?_^%@X%>Zgzp$K&g& zioR-w(9?d$$lOW2S8Fu8H?JE#5FOcSwx2|m{`q;W^E9O(Ncai-U4xp;{Sj1^f6wXx zX#bKS2+I6ZxH*7jpb+1!_0Q^E^Ct1%wQnNZv!Ur;&AW zqxoZZcU=IUHhO~qqS!PLYu)oJh6t}bT>--+w)iJ;XI%X*JgYB8m8UJZE4a6A|HIul zH__~LNf>7^ruct}Kc-2H@qcV=L8;$r^x%XVh+CzD6vQ8M5Cs|`2z1{6PYcO+Dm(CV zYXiKHTSP~vN-{-sj$7?s1m_nXSPKf;XhpT?jh)I}$GlblWrSG}5wZsmewGjzrzIM1nC_C>({#II zmI3scYKM4k11%6$g0{k07+SEsTBp`*)|(hG@Pj7A`yKu6IJ}FV z)G%Jq=rSffxe+0@V}uz!uDxB45Rdm77Yr>s?PHi4M14{1Z3J)3d^0MxOdyLP0*g^Y zMKp*2vyUi0mI{a9CaIB&VNR>#2i3?Z z_n@%hG93Q`=%k%Hufv)0@hwNQEJ0DJ1y`>%V6c@GiG!tof5#f$pBuykaeoF?ml1Uy4HHgktk%`rfFx z3CB(FqvpkV^|;+{ zAzZkFmdilRBo?F&f-6105JGfz6;7u>1gXWY@I361D9r1H601 zk8f~dKI9aG7tQ}tw8LcwlJE-W-(M-8p1$J8S6)V?W{P%cMWcLJ(aYmEqbWy=UhM?4 zEd8U~`ID26ywuSxok`uLr7p!n3gOj>;qph__|3%d{gen@MZ(pJ;nGYD&Dx5jyK@yS z^^t~gwPLt36T_JpPGc`2h8QwpSi)HYH;&^+jbhV26tRrq5)LzsIRW~@WJ8s3kt-bT zDYdvf97A}6hP1eJ;~I17@!Dbcv&@=1Gj7bdp*f)MXR`4j>& z)ts|T@6T8;V*x(WnQ6<61v4p_DFQ;rnIgnu3Y0Taf70} zp)XMm7t!(Cm(BVK_8Dg6ZPwp*Bj6u^mI`iS#zAP!D9u<==LZV`bb>pqAp;p3zlaeV zXRWmvQXrFs%ybBm`35C$5w9_z`8!eZ9ehcOI33Kz4ffM(VU%V7d(CzfnOJ?SLaZN- z2h@{$it&&2<(4wrsP9hgm^*+q2M$bdm-cLKemLsoX}c0~9EXHUtbFA$c2_iC2^ZhF zK<`@b3=jthnX>y_=o`tp2k#l9ec?f|A8th{YH7BW8GY9RKqxi}-5DJF9-<4ta1 zCAt*>bo6Spz8HNWdd-&NhgS*FF{c--GW#lXZ>)ygB-!rDW=nG+quY1KCYS)AhmG~4 z6NKE@JHF=+K42`quyJc)jm@zkjl5*bQHpkIoEoon-?B*@DoE_yJZHkNG1|(ki}us@ zs!_?a`<#-uILKL96`+!dI@Q4Blp7$7N+-6w*=v1!r@CLfTdEus_p5vP!q-Z>cdNy% zt@8eX&BRd!&gj`EvTz4O68&@g%qVDnK7G&i5BbA`a&@~<+}^I1b}PmGgHn0d=A`+- z7Du62xfSlSz;PFQ18y(BpWogtZdZ$M?&Wtki~CL*Cx*zmdIRy`aQ{xZdPg?#pBNAo zt5DwAD{X^;mD1haJRlaUrA=F-2tSJp(29kn+|v5$;>POQ&D_fB+Q!1h%IZ>X0~%#B z4&tOvrw|qk6SxhD6gofrX?iTm+2SwcO`GzOriQsV> zxcKJ5e!g%}yampIN?&J&iO1s5r+lq4P0pHu)z8a|19(>48x!3Z}VIEnv z6JePN9&yqB<#AkANYU-0@4111vy@dxLm9GQb>Pn7)>gK>QpE@yKe#k7eb2ql0k~Qq z$kz{d3)H9TLDBAfX3MQRo2$zWx;OAjy942IsA~e*q{e%>yjR}dj0xvh0;wtevIbWw z7nG6O&KHV1#odD{j8qH>1)ATI;4Cx@tCX=o>Wqt)I$fmWqV3w{33IN?(dhL3%gE>L zOy%M3!M$RoG_CB?a_ynPcJh0BG_Cu^1Vmz;TsvdF{()%C|A1bw2GDO@`Gw+yZE3=lMu>IOk_;=t01{htW>JC8W??T0j_lw&B#N-{;&wG&8rdBuiOZSVr)kJGeEXVAO z;r8@#_(JfuSoieVN~V*;;ym*yR5+*sOmfU&wfE|^x1#$Eoc#ljdZ&KgmQ(gVhnLnB zD(oFbJh3Ty6&@i@bWo>0IJe34&KZZS00b`Dx`l+zd;poywJRGGOWXF%jDl9n1h$@f_ z7^!rtPFwljtAq9%`sYXW4qoEoq~)%8#^UK7CJZq-3rmaP4Jx6@GDqiW-QH3Zc53h7 zP+Xj-+r&X$V$PYzq5TEia#M7ptXQVqdmOpG5$+4X@6~!P03$T^2waH0TkmmIHd1g= z;0=faufujPs~FV$5e|p#Beo3?X(qNCM;(7&D-Dl3|Ke@j;vx&5)*UGXWwG_HQ5q+)W^9#)FcPDJIzd03u(zpUG!W|x^ zg=ywz#hnzoEQ-vg+Ru)n1iC;GE%3?dX}w;XjF_)X)mlkRfew1(uphGBcohd`V;g~Y zCL`+gsfZeiHc&|2ubr8*fpbd>lM$Amj<5`DfgX`=QO0Lvu~X;*MRb_)`WPFmPsW~K znvOk5RDlv&p=P`NRu$w-M#^+nD2*#l%hA&dd>D3m`YdQkPs z5si16&ZgpS?~}1;dW|25Hc+VLc_Qt)oIHC}ffDL^t$N&T9raaWFH79 z?}h?nxrlG&W^T!5V|Wj!?MSl6@j4w)z++RK@)u z5{1}BhiW8=G2^>>dO6lQI-pMFBN1d(IUX3{r6*HIL`BU}#eOB7xY$*YGBJX0@LjFrP#X9Mz8;=Tq1; zCg7%=+X+bERWXBjDdtit1 z9EM1#wL=&0%p-%7iazHRyEu=x$xSS9gm9-f-@k~Cn{7-EzgpP-+F@n?HDt#XtJ>$0 z;6T{j1LvP`ql^yr$>>F|ZWixX3)}mxtdhT&UDD3NMs+Jd212=*Ri3ej!(7@eVMe#S zTXg#y;tj4Co%Bht(Zp%vtj|FS3_f>{AHxQioMsz}J#PDfliw?7XqoRedRae)>FGVi zj)_iNV}QR12zP4GN%&00(>dk1F5$x=IORdjZd*l*DOPr515Iy^EjHSVu!Ic;kM#)C zUBs_llJHD@b_@B;k~UR{K4N@b8>uWjg{WXYt#m)S`zFiUz5qrIwp=FLoDM)`Y!0%Q zb? zi-**ig)CT~$dE6KQaW2zT&#B1ii01XZtnBo zKmp%PK|MC>NBy%ia-K0r*El7my$HRY_&6~>#~vs#Xgi1qOxJ1s3A!wXT1H=8yXywi z_cXwpjjs7}t9(&!5g$6YemBAgT_;a!c&c+ZBh~gX)UgMKU!tD)4XbpiGrZEP&z9#=-v%Sf)&PGP^b$uYU(Jwc4@SCGMJs!-o>PJ@~L==6_! zS?Uu5LPxU%XTkO>O?bW5u{02B@G=E7h8Qm#G%COfmIhk_<4^R2v*5L`Vaza?Bx-TR zfs8%8iZ}TaPKtKB^9PSR?LPLKu{H({jz2tfKfmM1({iHkDQ+fv#JtgIr+p5$_lbpt zcer$Rea{BkEgwX8De`;$?&JA8Sa@cN3@pPqdk@pUo%zFyXtUk=QZFh%E{7LlfV_`o zK2V6wKKz+uB1|l7(}d}JWw{Ia{r!hSEO$%HA%)`R8!11rD~(P;NJy?40194K#aJ3AN4d7|#rnzh!kCrj5r-*b%3i3=dL zx(GV!)%NMBi5$JNU{c(s<8P1Gb=eL1V3+79Gv1OzeSr{{ra|9R_Fd76qIC>aI6NG4BegWb28zOCwc@U&^>eHSJTBrp3e7CNjE^_}y&Z#FYP}8Tc0#ga@*aDjS-WFG)=hY?XXfi3FWjtOaJA!4T&NOw zq=#nW`QlGsVJXhTd9IVaesF1?tEyqE^3afDbh@oJe5(RZ$%dGNI0-VfVC(xEfG+-- zv?_$7V?4z?b|ru$$@nu?<{&q&IDzpeGg{?6`%yz7%pLSHEQzE^R-eugMOZ&Y4Kd${ znBKEmxR=LwEJ(v;stlT=s2k^RFKSB#$6cZ{5w-L6LW zAN!D1hkG_lstji|S!SoadANP(m`fzi@kuux@^ zLN7T?{351$xVKq;;M$vFVG;}F-CeA^FWoO4xRv)Q%;X8ijY@@Lbt}J9+IIQU!w#vE zNc}w$;1*bZ76da<6&^ z--X$7TJDGCqf|ON@S#T0an3kEJ9+uEEJZy_VVe@jTd`WfP+J;jst8*NEnnTm_X_yv zsN%)WsPevuNU9uVzHsNw625tZ^IybQKo%J@qVo7$>264fiTPBfWPmEU)<{uD)>6vx zJr+I~!M85?@bMgF=Wv%_nhliq0h-9(CBHdHN$BKZ)Dhq6@spBL1s?|O;uDVD1Ek|( z;fL}GSBhZMav*rGv{&55r(ULraXbepGTDfcH~Sr1Wv?ieq4KZT;wdcDJo#9$xLM6p zvRrkB*$i^if11RPhQ>rk5-D4SN;PIYiOUVKr$~H$YCFBDF_l0)A3Us}X1LhaW$B+% zRWw?B@NnBTG8JtrUvXlR$~{QY$SAkMrrK#e#uKhoX|{OiTL*T6AK5N=W^6KqVrFbk zjJBACGOD+?iOC;<+iqBInf{UR_h-gtW^87j&CIi59AM2Fd)g0ZaA9U_X2xb_ zY-YyhnHU?6naDJewQLMx)odH1(bST2jvEZxd5Qx%GLGHFJub$oE6aYLs%5oL6~|V> z?8XJ=hR(Y@YsvZXjJFq8*YH0s(i&g3&hh;09j!g${ zs9D4=SeQ@?+hB20&J_x~OPeEg$12Xzj|hLb)Ge{O^IteufuoNi=CFAd?JdW&2QIl6 za_uHxig&D|pYTkq(`TUt!|?^wQq_?R=kB`XGS{N?`kkY8SoFrG%B}jQ$}I)%5Ts05 z#+Kf5=u_74bKZ?Be(E@MhYK0(cX83c{0x^ax#nLMn{c=#K7WDv+vsyh#U-+Hr`CGQ zrcksnq-q}wSK8-C*mjL;u&|hvld|Q0&%P$7MW!)}6?@01(zdV7%kJCkNRCM&yUUJE zr^leTNVlmBk1%~}*OYK+;#ms_j-J}LtWy5b!q@E+`Ml;v0C_K4S5es9cqx-*dpRaA z;13s*TWxb2yC)A04&0DAk#U)x%|b8cuaiQ6R;pSry?>YS=}&MC?e)DGX3 z)LK4U(dXwTL^`&qxh_!n0ekEXx!#qOAh<+NltEz)s&k!+TqL4(V`95b8cuIm#k#i_vfk7uAwD> zq5Q232vwgm7bVm&Bq~}yL=7!Lks(nzNgpB-b@;SAfQw3tp1ECY;kzs4hAa&*I?6qJ zE+i@~URLDlhJIco8ke%Pd(ZaX6vaj5z-bCqawZc8`V>Q4JKB?xeuVXU^UmufiK_#c zs3bI#M3$8o`u=JtEGP<>Rg-HbKG$a6FDv(bS?R5&P$dhi2Da?g^H2vEGSNpYnnKm# zJaY=CjYB?-I$#H?4{}E7C7`%;sPkN78Y=o`Y<_D=X|xsrr$%&zTn^qUt001*B~;%J z6zTCz0d3c2x%i$_7!?EAdgz#9Nom!KRu3I`EGhf-qSZr3CrfHn=tZlC4q+AtI6SU; zA8P&3an9lZ_xDAphYp4oRo|rL>P4uBj+hn)*eg{J*J2+gyKAD;cn7lPC{FqiihAd% zJ^PB7@iF!wiUK!^=4zt5kTuo2Y0+{LNAeXMmH8QTg`;btJCW4^M(f3>iS9;L2birF zqb9l|SskG8FGfvtSF$=l<6n%L=*~pW{z=-d7o#S+JCVJFlQmJk`-jnXah0H~#=|Wx z&JOL>P9nQT)g)!CB{WO+vDlEo;w`m!*2D#k&P`h`JJjOb=KXfFhn+@jHimUvhldR% zxEzohnA#VEExvRQ#22Ou*{7J*tG0M7&oPJn`D(v(p9*aVI5d9|41}%sye6`Fe5^; z2t*;KLZCDy!&0+NWC_Al;FU>nm~=B!RPM?LsG3Qg4u{gNthKuihxnY+ z;ZW)&t1XiCpf;CLA6&#Skun?pC=HYKBpQb?Y?~#6z+f29=RLD);%!ccLus5W`^mr|e&BRClnb0w_!7Jl4{bUeO6hV6pWv9*K9LuT zDpHbT=rxZB+b?^Wih8{!OJfu2QwzDK!=kiXV-zejQY}BvL%Z8H%VKbY%jk@({12v_ zCe=9uhF40vvu245l%0x!IGyG#Ds5X-*rXPzm0#2GO6j-8C|Jm=%}2VwK5AfxJ6o?Y zS*6rFYcPd$U)B)n!KOupFJ2Ap*GygwrEMBr9EDcy$x$ff&Kd@^jiGJS#3+`Oj%SUS z($DAiLHqY*bDf|9!|$jSORDeIn1n}{gs${jV-n~T$7y^sL%GthC51;|Th{9?XmZvo zh0YpuwdM*xapsneLwwhtjvK#R8Yn>t&_a z%LyC5{^}@ z65dUTMCn}CI;u;xw$im_g-0qtiuE$wDQlp*>8wS(j!n{8Oov3TYt33f>X1lxF(neE z-5R0r%OMe`W=bSV?XnheIwaC%Oo>G4dDh}@BDt)SsaHd7Zc^et;XlRz(P4)vPERfSLLVI@~qF-|GD zO4~F(!NGd$Z$6T)b~;`ut;?Flb~vP`oeGCuv5+Sqg-)ZGHUihnQ#2ld?N}sk!gMTBA-KjTILHD3rQsbW#tCLQB)+wNSlx*6t4$g;t8mQ7Glk+U0?)xvY`WTR5yKd~r@` z`!R7f^i~ZTT^xlr=aZvQx~9>^QRtp;auiCnv$lY^jE|!~`d?RggnYvGy97+75cWTQxt)^mkuA=T>)~{nI$q%7eql>Dm*($B+xPv} zLZn1}_3=}9+->)8nxw64uc z^^fhGBF8chZ&xv>B;3^@0hs0WdRmbY4fnH_2K?{%ArqMd>oj?Ke*9<859;4`LFNhw z+=632r{fRJ^0@QhwUYjsOQ;beZ}otNqDk%=g9;-(}T2EfcNg0 z@P02N-!}!E`VH+GaQu^uvO#)6VJ-+xUjHnafad@?y!2e60~qx zbMpFo$pkzH6%Q{1RUxfK$xU3pl|kRnd{62Tpm4+BFVrYYXJ{*v*UQNS zJpY4?v|~?8z>y(BX8C=xcqkF>4wISi%swo6{ej0D-Y^bKUhK5&6>tR0D(~jzdKvJ7 zI~ek1*_S`e(DQ&Tlzm=lzm*|t&x@9SlVK#XXvu2dEd97lS{B|vMPg_%dsl+OCDZ-LiP_y$q%PMc)Smg0g6S z7HR)9gT7VQFUJIZ;R z&RZ9#@z%vWiCdQ;9>Wj;$dXOz`7#`;%a>{*V0>0k<_i5z$A2hB!u?1yBM%8@h{CW6 zzqs@u#-PfQ#)arSZwi59)kXrXeoCyxAYqF<6^DDu^j-wto9s-uSDxE6M4H3%}1^ggYDsqCA?U{Z|vfW zRlIOhSm7OIV*@YbGp6u{!k=5@D<_AES1Www#>o<|*6~Wd&xpTT%<?O?(wO0*7~MI0*dM9NVFrB)2qS zlPDt6#!;?C zmx*}PXq!dJL|5z3`_r=fgHG6$+T(n92#hV2uz}PyYn|+C)-H1PpQ4Kaf2YxZ8&BvB zZbUS#HzKZ3xe?`0PC7de_NzOE8&Ty^4k?vKi{}3Y_x4easioGj$!be*(^z-6Avdy| zjY~5&VO#zHk5elkl!Btcwx9lq7p=Z>{NpZSHP9^_VvPQz#5jB!* zMkKA!4Ca4H$ku}AA^0}+%o$uEy_`X0&gqg<;@6WE68RpXOVpMi4W>q-H#H$Y_x1PI zh3Q_qg2EYfgdDA|xQ3ZPqksZex|y7ftm9?OLI~4FG?QfW7G_SWN2P zIPK&VMpwwSlat7UWQ|0TN3@e+ccP(uTS$w&DHT|Rr4xNmG?W!u!TKoY<8G*8NdgGX?>lP5E8*2j5GsYZdk@d=~nKKm~ zyp7dNl z2;Guwrf)XL(>>3=5UvH$7xeUdH5HbIt}kWN^`fza33SHd-DHKto{nlLl96mEPot8k zahi;3p6;rK`4d^T-8PhKL7JtQ0E$wKsMorx7C_+o2L23j3txY4O~i@WOfz~FOIQrr z1Tlt+8-YW<8FN|+4aS(ou(fi|-@p!Qg!uVJNJ||%byGdvVfp-*6Te}G!ny9>0s2@w zi98{yCmmYR)$SC1leGWyYWR;_wK15*!B=V9q(hTiDP&{CWQ6ys& ze?JR8CHtO7K%Pl}=Vf4#EES)_>B6Gt?xJV!qA!sPX3JZ$oM_&ooP31xX%J+%AB%;6 z4(YhkoRTq`U&q@Nr&tq_7Nf637`VlIB>+%rSEX%NCEwV-`6raAo%dYla1Qbe1-|lu zAAv`nZv*Xjvi~Dt(hl!!gnKe8lU;)Zi&Y&dSRA=Df|avgSu7%r37BlZ@g7RVnnhST zO28;gQZW%Q&z_0J>Z>5bh*c~yH4@8{20Aw){N(U+jJ>?yTS63NZ=~}bCV;Mmd&!!L z%#5ljYn7~N5Q!irUUD+j#=A2y57g)(-2z{YprV5{N_NXh*&bGCq=-;~jWKi*GFnoc zqme>KRU3)!=PbJQHG^&g4L7EII+Oe>$ySrTVnnMMbCzhe+^`nf1R^7WdXT|DVFQWZ z76e<_&~X|~HYiDPk@XqL#m_q~A{GTTlQYwKARNz%DZ41_C}yg@6wZ#ZlfFlID2$7F zi=pQTO!ulXl8YiG0F5i7Q*pjYikobTk=*<;V1kWnFvsNPluVUPVeSK3jHx0HqnJv@ zBqcPkO*-5%z#F;LA)u1viJ9s;m<*=65I)6JO36s3vPH&cs%_xdph3KQahIBVkGAdR8g+r5WxA3u2>J1|aI^2j@oXe*v`PvM#3V!E+rc+`02;86)%N{hUu) z^+~32V&!E!5ubU{XZA?}WV*a;(Bm_&q|2}Qq;z@N;DW6bYY^!PM>Gh`MUZw|?<4#e z$<|Sf$!85rXqu@_NX+Gr@l!U+q1neby%Z~Vs3+{3aXPW>BNFp=#4`+l2G1{8G5Oq% zO-)#~erYDkHv=^1iiy_AwV#4&_t%1Xoj`fq1$AZz2Y;fKtPK{HlU))U^Ikk^rL$Z8 zX0uc-ata4mnljmd#j%5|ACn#8MLjYhhiN(4$-%Nio-&X+EAEg|LdHZYR^U;HX~bSy zr1F$TDpR~(5prJYebSOoN|%>?i5$_a{+L9I=kh3+4*7`E5-m>#DdFSdo9%+^$?^+$ zDpWS_6nBBuoB|7_D({zfB*CA|%5q_=q%=g?{h@$-kbjE$c-06-H0Y-jcv}kza??0v zrv}G5_LJk`R0C<=6v)TG7O6aCAazl{L&`=N6R9{yk3wiHPPs)YPidsGIf&yfZRB`J zef5GJlyA^2PI=0}>9mP5V$@Kgr_-3>FyR!k;Npa{+88VYr1Kj`gUoSsAD4i11v5Z8 zC&TO7g4Z>l6uP#I@~$~w0DO&p6W7|*mg((IMZO1$I+56ma6d-=>L?|f-vmcs&!L=9Pe zF^cr&=|v)*bj~Dy5dPz5ZOQ(O7nYd3@zL~Ho5;o=KMjmlbPPj-=*Y%uR9UspTDq3< zRWF@~gnq=dfwGSN$Ei+eKGW}|wLuit;g`oWewo<$8^G^1E)e3;T7?J-64O1=6NgP6 z)7WIE5ibD2EA~knLu5jhwLBQXEnkxa{l>LPb4!K^V2J=2m#c_8rYj;l*!&1G`;C-; zln=4v2%{}R6I-}3CY3)?Fz0D*zr^-LTIyB_@Z1IBPuB zG-t_hQ$+lB$60waoQ+Ym;d)vw;@ZzwFe-`vPw{}uGFW!d$|&oMs8>ttTm-e0H3*Ih zc}zoEcSiS9z^aU{2`+LoK+R|wJ7)@x4itbI}lMALPY_>EkR zZy5%kH5ORJE8^@josxve_&(S-`(#37oZhq$$>@CSGz(bOSR4|kag~iQH}`k&m!Q!D zyDYKBn@khSIv9x;4mw$r$8>?*O$fAEypIUk!k`5<#CoSX#4e$C3SPdc62j-?S{_?r zwuKBRS@;?B%DU*O=%sI9aZv#5U5K%KB|C?aLb;KKvL=cDOIORcHr&ZDPeI4x!gp2fQ$iSG?3CW$u|*y=TZEml z))OP`%E@C^ITy298)$ScZJ`ITfTVl>&D{82gS;{+zQguixbG)@&l&5=68vx-?^HJW z4bW#SvuSeES%55)V%m}^q|c-nqr?=_XHvXjr))VBx&x573@6?4P}c(Ty$t0-nMHbd zo%-302saDedc9@taz}LfMC!ydmpEtRV`(=K=GqMboY4SH(tkh}~ zzZy6#&Y1Db%ueKX>jC7*H>&4lvF<`MZ6JMwa-d=3!;)ArWsn@lce5=quQ z7l{--)l%rvCY3zbXMYZ|X+L@j0tG*d{f+I3VK%F-KVl@DTs<^Uv}M<)gi|m?AgRhj zUQ_3XypHRHrQQm}Awyn|po(>}239Y^8pt&HQu7nY!A`0nJyRG%{k(gX z=)c5mBDskXig=eOs|o)V;HWOqw*HWcUaoaUaM3oNrJeWIe3Q3+B-rvS45d3qJEPN= zL#tl&8afTlsG;oG_8ajwoWAwQ^C6{22)W1{B~RMWHrEAyhQJ*m6(i72s9SRU-uO%# zLEhzOS*oN$}KAuWQc%~C0)FV&;wKi(#XAJqM%4!WB7`nVmB$PtBwI-SOOT{Jh#~s^3>shT z4{9Bj`Y0bJbRcf5BdcbvZ<)#~w*<(jK<=4&<=KOZLmGopWBS=ywD_V#i*6k1$Dnc6 zJH!nxKM@obVr59RY%B6FP(nF=c9f1B&YD&RDv=l^FeMTr`3{>3(EmRG00960cmY(B zyG{Z@6o$_VA_yW7QPjrDXksCe5Q&L#V22giU0_+fLe|8>%1RS0tW31C(ViE;#+Vpm zV@2T`Xkwv_g%4n3vFie(YsaxkUZ+kazd;MGWCejR#87g5wExE>2_ex)L!))UDiK)2 z^X&ce3oektV77u$iA@};Q-hkWYY6W{ypTltE*rqzm{3q7PgRww(l^Gb<5u#6<(?2! zVVzjEr&uKlGkE#%`S{kxRTkj(Q!5&oM!gwvgt=ADbkvB%iGTg$2J2wV;YgT zz+5Z$eH1^W&?!pDEqhpr=u{)R9N!Q}y1(B9N&Y=}k96CXgb&W}Dl=6pGZXm?ddVz1 zR<%bBz#LK+orN0nk+Wh&7c06-E$J5I+>&103nC}KikC@15KV60yEx7MHx)ho0{{U3 E|3NbTH~;_u literal 14988 zcmV;7I&;Ml000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IC+0RR91008AU00000 z0071U00000004|S00000004La?0wmjq{)$2wOCl_J&-gyR_wvIqiZB}bywEi!)n>= ztnBWT>YB`|?wM^wZgy2xb!xIQOLKOe!mErIp7;acjTbOpzziPN zFoTzcH4bA}?9EEU?-vms;op^4RbQk0tgAD=_#)grJls7z?)Tq+|NS4}@B8>$z;orw z!bkB_>Ykmq+KoZ0+li{p^KNhOBZz&Y{AQVn^mFAW@b;(3{r~XyCyBp5#`_<+zdyt; z|A^`L-hcmB@$;*|_9K?DE)5+MFKez%*ajdrx#9kh;`cuhT5zV{&(^887!b^2%!y;k}{RJeJw zu(-TbSc!`5L9^G0O5Gj`Zg_C@t?sZF4g1Zgdy?__^Zn?!*>9b8qCvOY?q7@A-P6`l zbTaH5k%Rr{YNK-;bq9}{y{O+k89Z+Enh~R1at2$2epKAq-;d54y+H>FEsU!k$TgFr z(RmVehlBIsAUbKaoBimd*FD1*#GXVz5S?^;&C@QKc=feb=cqkAX85@I4mc5=_qwOO z#+l)97qqm_hOUwgurCB0R~!9)_o&qvG>@a>?$Pk9*%?@IK)zN7SkCM#i_(1eyw~ja z+fSnY@Zr~+M}z3^gx0F%xAE&!enAt6>Mu4>lWs?(d;RNCwBK$vfUws*frL07UsXl)MKgq+ z40}fAj+=ugZm(Pn#OMY}nm*klR}8-sW$?8U{$z1EAhyK!!!+R1SC zGuWs2zv_?g(!cnhwqHSszug+Z0X5KWR}NCpn1s*>iMa(T!@p&r_{L-h{%s@2`?x_= zbfzRxM5nmZ9Yk!_f4)NG>Ee}rGc(>Jfe*yll<`+;*0IOgn5 z@6%Wzr&a$_o;X&UeJhZMY`w%p=gH)A?D4ZKEXm6Fk_=ky);I0o6Gl_Yok45xB(Fpq z8JwQ6xj9IsrSr4+-EUwltqBjqclbEGV+o2X9r!+-oY6-%|Jr|%@PD_~vV~zAtLoWPcfVO390Is zM~vqHh1>K`O^?FsyU~)2t_8adS7>`;BN?%=)YR9PCc<)y9N|pMeyg*%hf#BSJS;*6 zH?AT2mtViZd8GagYo2Z#owtu~*oh3=3w9r_pSL>fE#M)B598OjVKvMwU^*oS%N0^1 zhE)Gbw@$|~%=rIo4erO0)!D5rJ5#b}rXTt_xQxk*Rp--t0cm&=0vKxuGFYw}0t^%A zhF}3)>2op|4hdV()~-E+54|!TBUK$^GyK%z-mLfU@wg#&q+@h=y}b> z8>}QhpvSZcupqY)%y54>J6h>rZjw`-2}k(#sC|A`Kk5!U@R(n(Hc#rkLA$<09JQT& z{J-#T@P{e;=IekFb$iElit|zHxQQuOj1UgYEG|aUI01WDu`}oh4w~-{FeS=W3TAZe zT6AuwNioi9(!N@IgweSSdI8H1I!1P#!>du(&OGDWtKJFcWNk<(1}|Ft#b}39(Gu}0 z=VV{qJ2`pvIt#?-75vQ=?ZS#?cxgnhh+sxlju*YgF-G2p4__}HAAjJbjw9=Hsk^Y$ zrC4~mVz~N2H-2+5d_N^Zml1KfVz@FFLo?r=bayVJrasUxE>{fK=3+P(!&&Sl#1K>Y z?3Qrg+>PV-QKQ(*2SqGnxP-$@6V5fiG|5mTJmd<8dnz3+8OC5;-jEij^{+6c9#4b1@^p3BAy$PHLJJT#A-62uI~82f0(aX7D|%Q9)$Y?7HYu}(M7i1`Q2 z5FMT~XU?3LMIUKwf;V=nb=>NW^2WHZ`wG2ME$_30=Rnf-W6qN~PbSf&xtyfsgjUSj zF|(`?;X_)(FPKI14;$RY zf(;RF!)o^&J8{kCKLEl0+g^0mJ#PBRwL>5=n*zpF=RDE-a~8~5fDIg6x-{38ISb}e zFjs`REts3bd5bV_6ful6R|I!%2uFmu9K3R4FREb&&vB!7+{XgHkxS3H`dgNl|F&H% z{TiaesB$DHL~M5($I)$cdhBXLbaoC(dumqlZz7xRHXX9JgHw2LtPsz?=|_)S=xuf1 z!Qx80BC?5tV2JgNMB3#;Md4ZPu19#T1j-{m1Cs{aMq0uuw@Dm&}*2U%S`E z0Uls_qn$TZY6j=HNnw;9eT50WH)?=(p1__e^uxi2AZS00pgSn$bI~63u@~KL;Ow)} zLN{LSvxLnkMAnBu&R<55XV^HB?&3f+&|^3Cj3((j$eCUaL2SRv7KKJ}{xavmTn^^C zFt-PDoA|@fE`(;4=bGYJC>#UIy+c)Ob>$I;WBL(tF2L`ASMtYx#ecJXiuuW=^OH@n zF>ZdcDUQ}noNQ_~qq7@F9npRFoNgqYk}Es_EUynY@+0!$Av*4G*=io+gjx2l%_`e| z1pGN@soDE-UyJCAOV#Fp{QEdhe$Yd#Kx}%W!1v&7Lv&MiHZ$;&I zv0oIuaWEGFoT%2pSWFIk&AAJiSbdL#SYM16l#{1Q@sH)@*(uv7?@r^W*F9>sbB7;z zK6f@ZUyO2j+Af70$06Yo3txML^YzSL;PSiYXkDAV9C2W@r^aZO$VQ5^1e(8uciVcG zP!loH%RhnQ5rI(fMY8309tourW|(@Ib7{^H5s0~V%(cT?hq*A!g<&oXkbw&-0=y6| z&^+i4;5napml9D63*W}yDFfW@XH($REqhM>zXs~x#9w~ye~GW3%gN2?|1>i9w~^wD zOg0KPzb}}coIMyBw{Z+Dc?-l`8y+3uIOdaKJ6eotxS*rfJdc((qUFNtg|*jLmm}Qb z!ZWAv(2sk=9>5({D$&aF;zOL8eXrT;!;Ld37MAc+Sh>D*y%60Rws0TE^2&0ww0@(o ze8b!ZQ`?UUQSB?y{pQ1{Y_Bk}8R@WBaatHbMY}i&(nfPS%N}xO-#>w$gYNlayZKJD zEr;UdCujECjk8w!iOtT#5_gJkZY(V=)oct?*i*{vJL|c;zq3(T-mGnxHdhx5YxV;P zbQkLEVAX8fv5>vq+b#5{Y%0!XW{Qb9x~8zS65WUZI(jYIT#7y$eZl79rm2MJnA7Oj z*N}Xjsc)`_)Fj!SsAh9>;gLHt$3_^pet?70qhoZWaTfT%A7sxS_R`H8OB<|?o34_V zH*7vi(N2TY+Kv9(Hi`oP>iQxZIbmIyHO+p?T~#W1c8}BP0i4X}t7xKjryhk0Ca2u6 zUsO4^`OO*H+dK7Y`A(&FP_EYZi>0qtcJI{7w{P!N4{Rci6>v7rzLAAH7-$%t*?0DB z78lcDu3ab|9_-b(OXcnDdS$m(t{znOc5O=f-8h~oWZUb6a27b8PHw>M7pukX?eccL z{N{dfcdJ}=(l|9l&a)ec2Zz;Ld-Yp#F#FVis92@Fo&Cx-7+9;^*)0NMxn9|_B_fa4 zmX}vHmv7!&Szo(eSX{NcaT<6d39h2Vx z5FVyVki}3^O=zh4@@~0WJSZc$?<<{%r-H}n+wz+S)ne(Oey?1uZ13HvX0pH!)z8t7jL~OvP%xhWaz>Tc7iLg8buXt$tin#hH#OQj_VQ&0)RJ=faMZte26FxT8@bUOSB!HA8iy|sIAw_K~tD!a5=`>3#;;{HC(YPFnz zNX%2I8Al`ct9xH5L(TRM5B3ia>RXj6-u9|*;S(N;FlKqMx5XZ4Y9e#xN*A3Lv9`T; zzh2ue->=goguGJ|8PokbNG_FYHE*s+Bo~$~mODpq363qI$y9-M(6F(-1CYf#P_gp8 z@^%0*ePi`=9;CIY^{r~8H7NB3H|#|7T>PV=lQH>Q0WAFUfy+CPkV`%d&4yuk)k0T;qKV9sSS zEpx`j832KYwr*+Zb^hBl5j<*fp>W+91FF%=(rUDG%YIBJX9~NpE|p@{#-so)Z*F7( zbmok{mA@(^1#=}2=EhnU%w?OL{y4dmdD~+Oha)6S_u9=Y94tS#UFi<+4Ce zATyVR%J}6OD$13>Q*=RB3Lo$;Xnvp3=<1R)4wTJgCy@=`x)aTxN0{e^8;!yG=D{P{ zT{ceeA26M|-x#>w4=b1^nJbugn*%OqMhqUxodI#+70Dg~6@yxQh?_Qt=vW4b)Ya|Q z!=AtVlG^X?PIw1FJS@wTX5WMt=(v$MDsu}Ld`57I;gc2tXQ5|T<6ASsD2&_ruVnvR?FSEi$=I5R~_Y=IuBHFucobOg;_ zYbkVrBDyt4XXlt0?@w7{e|0AQq;UmWggZyLJ{ohh&x$)KbXgRcbElsjMG16)A{xcx zlapq%F&!~qo~gEym;xPid|^N2MD9B78OBcgcc&xjD>D%_5^bQ6y5Bf8SE?3PmZl@D zI2&OZ*aAHw-J*=o$YQ6^1&ZjO;`0&CAfJvszc?FvlBfbDv_kD}_w72!nU0j%tWX+P zoR)`A&arpu)X+)H-=4);WzU&>|Y|G@Vt&1JS2r(d-(Zi#AZG<#{UY zx|lqBRDlxedZT{S?>rpBR`;gk(QNH}Cc1ozJkgUlXBVf)qYD(pbl!iTgq#cNyvG%% z#mm0`{`6=`;|jE}Cn3krB8Z1W5@yw;c{4Mcc6FIq=Vv2HD`wm53&qd&oO)2)2g9fM!9%hVX-&LAEM&3EVrh5aY!a4lbHyPgprRXfi=`sIwd+f6#ENTA)m&b5 zw}pYa-qE8c(XOYE{!~~qi5EPC!t;pCi&>+4dx#-9mEDioP>5YWPz~I$cla$miyNyQ z-G@!3B<{!E-rE*8OAtlTw^Y|F+((gMN5<7=i*~8U&WVl$E~`d+%fdXaXy7j z7gC4KG_S?QJdGn?hvvGaainsv%~#vbusvukmbyJig_Eao`Dx|caR8N0YtX{{N~rHz zQzl_ld!>Ra%-ps2E%T;#-o8|Pr`y8FAqMHrv9tP#f_A^r>p&=-AjTLTd!hP_T&%K) z1g?699Iou+`qVZLTThw>KBk4PYlOr5aB@BlkOHD^H7H# z&U6?=uWgm@)l1vePFBt@X6Ljsk5SXsq=B$k&dSeDfWutbtzZ^&Z@28u;=>m_F*@lS z;828<*6EO=1DM+CA3cIHnv~|qgnb@S@eZ%R>Y?&%yit(m5p2NV2~PKOY7+zeIi$SR zfO5cjF)qgmhf)b2E%OQQ)pbVzT8Oc{V=HKOyJ)ddal?`=SRR%mO!E-G_6@>270WGL zGfTKsCOT{JbzG#f^aP@Ud8Ep{=+2wWYmG9e8=8?!GP&6z$gWL6_HrnC)FiH17V<8J zbfC{NVjY8;r3NXxAOJYlJyq^kKq4>cGN#L4oMy?7)ul#BVXK^Wc%hnEyn*$2?0aQV zO0Op@-rx+8StkUa%EFV7G9n6v&gyMYcB3I&LPC7Xt4TEIylau zkE`mONmSNe=ZtaS(PMVL=cCgQ5^wrh7t}q>@0p4o$P3ydO-s}(` zTA5)#LTBsvaRYBnp1h;#K88B>!hVpbCw{}KRO-0aO@fH?anJl?^K8DZxT?qB!;d!*x?R;y2THlZb$sAy+oqKmV z@yhDK>OAy(-rTENNm8Rxx*~O$RHqunsPu@-t+$(kg}_k3c)6MJDlL`J4~dJ(a~sQZB-QfGbJf>;mc_b)5} z`)WqJx9PpZ?d6Ddi$|}yPL(I>R-@hM9C@;I33Qm_bWU6Vsnc&mrRv?26VrwB&Vq?? z2V}o9S<__?kAq#Jqs)$vTtEwicr*<KbOuo}9*U-H}Q{DH*DCS)umTWza zAVByc1p5i@*aDkS-WMrtXuG2Pt9%?FWf9&aJA!aTrm=Oq{m3&>Edr+ zW-d;Md9IVaew1gCD~VyNiqMcFG`gKGe5(>}bcUFNIO$|+!PenxfG+--)GCCdXFSCs z_SQp^WFQzzWRMz9oWS^-88-5s{kSm+rVe@;mIBfwtF0nL5tb}bL(HBC(|XoRcZ=A! zfjB&-XuCH2WB3WlY@BI&?TUAsIlbcj_HCP#-lJO_Z<5+rR=xvjTHsvl%&rsK?4}79 z{1!ky1!Rt=z$CUn=$LNr@6_*Cwhr#vDAkm3i2bm`m6FHy8+*=7ZdYLY%`Ifr;l53h z%EPHe=GocXI@~^V%q0@%_@y$C+00P9SKK}W&q$x@j|xO2=>TZR>ZqU1k5UcDH^D`=D$-E%&3+ zQ7RoB*ql&yoHGv4PEoc}rKo2v9JT>@E7xlnYD?oxWnnF$=IgsyqmPX?H7|BXm8&8m zseF|A(yccu*y9D~zl@zP78w(w@YterCq%@=d?r(pqe`weQq+;PlydAr!Ym*5cND{B zG0M*2E_YVt%6pC`vUMqL~evnkSp{%3Jj!CCe3Ol+7SF{dOE~ zl$j76Nu+F*YW0}$BrezWPm%ci)OL1LV+w(C-hZoxlHp-p?@9ZVDx%TigSWO_B~#IE z7i&&TQmOM4jf`?jUFyBgBfQ~Bug-=7Ux z;EM8_VxuH4v1jv*c{d6bn>CWjF%y|4LJ$u-v1+!Bz0uU#Z<>$1la@H3Bjeb8gz-?V z)|UObOsj=((h|p3!tBO5=7!Gtycfv%@$7Feue*^F(i&es&hh;06P!pP)-gwmix`UM z^ca@B^dm&#;m8=NS;nbPm{1GEa8k}?3TGsn>t83z&e4wue|S`o*xX$uoU6b!JrPsb zG|M)~G3{_lY+~&@xmWPa`-MnxkEQfeo{4P4yJWI0p`5CeWI0cXC7-z(WiaeL?1p7; z2Hm>HS6B)BAxN3DjIDy_;HNC7=gb?|{4{Yz43{$4Eo!n7Hl~T}*)lesJ_9Mh(iL+}myKly2@|+>RS6d-o_2uX;S>9n zMJhg6`kH+s-!|MBAj5KC6UEJqm@--pRAclK{_rrm(>1|Zm^?T*aD(PV#)Wz|84W@4 zK|$j#xH?PXcG1MAf)_?zP{l5|Iv#=A;k%SlFKg5}9Cs8cd@49Q!&fxwbz2w7Qa>fs zs~WZQ9iI{EHH})yvKH~nF11xIEoB=y{8j{Aj&fPlTFN$Z`2CbnYbo2{8UsAZs(wnS zwUlitBcZF>p}sVWV)?CwY%|A}Jsr&JPP|#5Rm1EQx0U5oLB*db?YAxRhC-ZU#}qad zyeQ&Lg*ZpP6gCySDB_#ik|hezP1>$88ivefDzfQNvU)sT`iI?ZQQZjDZd z$D=N2)QSayn$RSCX~ibcH(U)9Xilqf*+u4fMF)!}YI2Gl=~#AHatg}mf}`)^4DVF- zV#qf#1dUjf3=|ZfW>+v%bRa*d-U4_$rsz4?mF|h#c(J0>74C3%r9o|QujDk>jwIqs zS3ijsjn?6qm{X_p!FaUeVN0_DOb8;{$*}asRJn;{THC@ro%?0{kB2zqq&ba`IF#lU zTrDfqOg*;TENAF_@NL$<+=r1DJvSU}ndpJBXO^|2lC$Jo_ZyjYzj(o8`lZ!MwBO@O zXlxm?(X)2qc#*P&zz(0I&TVY7i(Bp2kEOoe!8-nP`;l^3jdus7qLUS(+Ir!9t^nxj zVWJTo!opH?e%lhm`dv%~DZL;u)@_uvRWAySj*Z&!U&}Ei%CbLGHaZe@2SMD07mSO# zBe9y}=shoCbX?ROjx|M#7*9&_bFOIJGg-@N(G}&-Ll&hAL-||FY5krv7p1dfNK~}E zKou?BB158blU^Vabq8uShl|fs7CYE`r98=%9HXNw`ZHG-lBl$JRgtSJ`gxH^=c-fL zy^9|_6vbubz-bCqbS4vXeTs|v5r)KSv1TYVB+4`p$<-t(3C$#t>E(kCUk-&OMd7Nd za!uiLt>)@pIq+~*>8++vMGLEPYxeSas5=!l*uwEr;$?tSGH|*~+0i z9xKXzy=>*sy^|F+F7&dMLw8}8a~vL5ycb$ObU$Y~$Nha7%Aq?$a=8~xjh3sIp&Yu$ zw47tFR5@HL{36+1)5niDARCV2WQ90+BlVMy&0cZ?V#deVf+z~yD4HvYZbCM4Iu5EH z6daXUd?Zc14(EDzlY7PEOQIW*^&F%1a+E|jBkMV4>*XkkZb;U16#nHXiEc{Pb2R?t zD2Z-N)^nV#m!l-QIgx{XlUCSy_b;jy<|a^P<_A*rL_n|A!b6LG$q4Qvrc3Y!c5?m zNy+GOQ&jHACUU-8sp1}UB5LvGrEr%pSfc&D7nTU7pgusN>5{F z_%0TM43*D@K@ArQHeCn;RWqrx;ZWL@wR+d#5TA2497?@py+yJd)aE^u2M=*frOc)_ zl!nQQ5{<(cwylamU@%N(^mc6qgF&fSL17b{_PjJj?pU4Znibbwo*E}L@zwBiu>h-EDjZLUe zE#;aGi_&h5QLxZRg?S#fs`lJv1n?N0k+uK9l+&a-XTb1EX?NBvk%6*PGZ1Ifyk(_r z%L<#6BDMBwHeM9`>gnF=PS>cNpL;E$; z7ei^AMi)n+m3w*=O1ZO!0c~Yy8#OhG6*U@?HDYRZzTpU=>ODDNC#b;a5Vb-s1~FlU zNqBTY=t{3OCV@_IoW(aY)G7^IQFsKlWxZ~Krf0oU=&V6^i$b@g)1y$@oHajTQE0bj zdK5~#vZit@3fUe--JTwWYCE%Ly$DpTJDuF_DZge#X>-=1)yptSdI^TcCo*Nq5ms%? z))47M6dGX?iSw#wM526hjZl!V(mPd|^q&!j(z>iA0~gWmRi)jl3XjlFiZ9f&rU_Y9 z`mGTPl8YHXy+%P}66m%HWL3kARiJcR;}aYdSgc}|?%j+?ly+yWqq`7_&RWFl*d&d`Y)JIF)~p4j4v91uGa^xXtq}^p91?MAW<;VCE^85|Ln2Ma zj7XG*XD$9Fl8ZW-dNuT#!k6HdG_NxjL^a_WVFHObfiogeik`Lf*HwwQ{WBs_3b>{a zCQ2es`HV=E#%C?RbtRE8uNjdjgwz@2v++u4UDhnN!yzs0 zOgQw4g{(P$heMi<*>I@FT;mg784cWW!_8Avhw3$LC?(%e=roF1d*FI`ipC?b9gD{go%g;F<-PRe0XXla_h6sq;k zI{(3<&`L2q3Z>jx=RA-#7d2A)5QhzgFU~1#Kc+5*KCD5bi=)uye0mg0*EG5~3f=Ne zk3y+-)*%oV@p1IF|4oHQ$R})nPQXkGp||#HY=VJ6)oB1zSU-uk1Dw?5 z&Pu{2TihXsLyeA__<)CJhOJy*S-cl*-}8G(Nr_rW{gZgz?GAANWuw*R{(7 zaG)o?R)*BZ4##3WsMRoRcl23VANTK+B74aX7QP%K8qY`Y=gv;sZsFR=fcamU@BEJt z_ba<~-8#tJDy7LD|7I^5WqR9m>GhGKI&9J;9A*GKeAN;?75J(ddMfah^LKIhM~0L9 zj}^RKDl9qns0A6bbN{#AfB$`pK)A0>os8cQv#DL1l;z!u zA15!LvNYg-YRGm;Xe3mp$g{KK-+6Xe;4)}T;7)302mT!o+|{I>f|c>O*}((4t4ar6 zuikhH;Pr&1jKR%L{XYSR?IVJyr`ctB#q97i%#3o}g;le||I--vX(p9_C8LJ~Z!WL8 zj*FmiZIvzXMCwEdw2$YHnhcdiO|#QKb#pUod-BJgQBsgBi$hD0WmQO~eJJEPY#x<4 zG;FB`^y{87HxLU9L2Q2DzlJzR3V!~v5B?XQ?qB#20!}qU$Y)rXH15D>$)Y7d`Lzse zf8^slkcD?b(1-pYBORSk`ve^SBO~2E$cQ78lg}UebOBygy6^dP0m64f(1!vPAGPqC zK5zK?eIFOn{hf@sNoff!QGoaBA?QOtPUkK0{D%y@zkzDC$pX#)2h2)jUHFrXyma!D z4_8wspTC`qzHY4$5>3J~2 zA#n?~-@b)XX6aj2y=Bq&oeWxj;Bf@JN4^{ZZ8W|b|AmH;_~4g(k;VjGrx zelG(r*X}2ufH&aC($&A40k4w;hW8BiE&S6LNOnUh1M!OxnDAypS?Qid+)k1Zynigi zm}JqCRp+6_4}dQcmxVV=x4sKv0!AC!$|%Qg17I>;aOc98ESvp18F@n|BIF%pq`QO0 zHl(njzn9TgoMohok{R)y#g}IhcRhpVf1g3~7c1^-5tk(gSv<{> zzh@B#_N8Qqoq+V|=M?-(hbQ&j>ord-7wN-?^Y`sn9OT}&Uq6Z;zD3w;B|ETOrzY!@ z>e8E2<}DC541Q#it>>bRXV7)P7<7G^M9?LO_f3rBA5NR+$8cXRKdSNl$yvdMvo!4W z{QfTz?gxA(u$58{v2DU5dg<+dMmD(~!hN_CW z5Zx?A*zZQjP8u#u_0Is--rx~-;Z?D0o>wLKAts1=0pwdC$$liwDkD}L#xRjgsn}0c4S-QTl_64NJ!%~MEIRJo3?F9{Ajy7E) z@^&d&j9euK+rtkl_~6F8@a7(VSjPu9GbPb>{Pre3$nK=@g~DH0<|ikIiBD_%zl&uiK;{ysZbqC9V}V=pOLQO;*lw?FlCDOj7(K8BU7AX zWU77`nGymmVqK{MQ%r2CSSHq$i{#kH#HI?yb|KVY z|52mYC!TS@65nB!2Jal1nA1*$8Y&tpwS`bTLV$TH67tg8@lIIjA zT^?uSz6~m3<&kS_0-@zp2tnyMiq4hoG`rNWY0I}+C=S9On* zB@(*El!(cshFpneDhN%Zsn>OgT0t~Vh`{amwT?;1X1b zRHn;iwA8UJrA)4s&;_%~A)Uv4s@age{b+4jxdb1<^CHWx9#io7XwYu7IbYQk91j!dj!fQ(0%%UVKb;hXpvt0-ajn2J*BEX}Y; zuJ?(9FCq0qyKCwvrdERT!2}hRzBEFN1;a#X?qF|h(k<=;*C z>C_+HlcM2EG$hMj#|oiVd?Q?-wp$-xc5Qf{FV`yRJn?IM?~T6%@<{a!Vvfm!ufT5{+dbhYcs=oD76rK@R&+4#VLe8CB~n{)drH z@tS>l3*eLZF=rj4*35~OuCOu=mIf( z#%5`{7Xx$x9LSyboLfvgXDINx6VxfFm=_z>DfTE6)=e;o0?GpQG>c@UkXNoMu5WoO zHAcdXmM0{u_{t4Ji(=Z`Y!xY|t=vp}0FmEx+TLwmF7O3D#F74LC_yj_5 z8`-rH$D_D|3n$H#14}AW%&_!x7#6=m>DTRHyIt8Ub3_17nlhP}yNa(o&h%jcSWMal zAGPA6()s1o4JyAX8BZd&JQ7;1Q?DHzQ)g&jg4wosefL-ovYB)?M=<3VyMa#TmjP8W) zLWY5+lHsvMmctWBCzODMOr`{Z>E0=oj*e9Wc{9Qi$LYq4_){(4Deo$rrP|gl6LG(c zSaZQD1Y@tdw_{@UrKdNL-BN7*MLRFYUE!RgqhZ)Ge)5(X0t)9GWDw-q3&#Xj>tsyu z@}}HMXIU*1WG`+SryQ2xOdh?o$#ANX2VV!$NwG-fEd!|&K!=o^nh>dJXUvqDFSG2P zwMgYHja2rv{5i^7z3l)I8y6`;_huEA~-mXhcmy4Tgalg zNV*0nLc*ya>LHIfL^sw;tDp~v_U1HL*o*=?upjlAuSLczZ+aX28TYBzJT>2z`pss? znj`*iO;f%k$Qd)9oFxz0M=kp(Ltz;h@vw5XOL8j1kKoN84r=R74x>tElHJ}dWRe!i z8a|`0w17r?O+flirYI)H7$<81T*GUdgIcp4Y=x;kHqa)ExEvG!oB$=SY0~5*3t#wk zUw9uCn4iusCog;)h*Se;D($8R^$~ov)6bbT6yvZQv~YP4X&>nPD0L7>^@F zUJW6)?I&8G?UHzSd<*Tq$rfJI*<_l51I0>*Rg04C_;KNz9r$$qeH!#lCsa(32buz_ z5x5R0$HwrS;!k3nz!(WPZ8CBi7Rp#p=nDG&k&Luw&xgH-!6yfO$Dp%)gg94S>AZ^B zakHQpa@v-wA9>B-h7%Mn(!#BlJ=%lXiN(u0>%^|QjAB%5IwAiRq)Q077nF&b4#SYN zw2@&(K&6Z9N+Yl7(rmiHtX`TT-wt`G)6r=9IB6Q`aT^+?bL(i7*9;n+>HZsO8a=R! z5^KECG_lfmik&8nwm8;Jj#sf051LYI8H`-VKqpKG7?KbM8dGOz00xiaQOqO16Fy-v znH<;8Wys!9m4mD}kmWi^_#t%skS_eNQt`t|#t-?3AMzDHv0}#YExB%oHB&;Ep_3Ra zZKf_0`J4*ad}0P&K6%Z`=M>oH6M=U5it|(IDVN+&A(08?b z_eb@^Ov7yqu{XeD7r_|t*2*So*O`-<=zT-zy~MU5pHkPTJwXc9s8QzG_of0!51gtY zJ#+F%dhE6$_`h!Xtc#pcDQM=5>V*+cOWb7*jU;Nq8BJRgBytLp2+BJ;Cg3d+8DL_3 zW8j+yZ-c#69%VmbiYb1X(=d6}8sYTW30R`qM8(n-;fG&j(3#}2GsXW&D2+M)H%fwY zf*CgI;ng*}+UQBRp-qp&I8w1m)p(`I&WM@RqYQ+$MKNR>l{KWBkk|3C6M|OiG;5vl zk`?-&M~b*TF+4Gxu`+Kgg?DM$;#p5f`FN(m;~)lXlNs9>G0lG0lH|ycEgjSgrJ3xF zCdLbV2Q{sM7UEBQDBWef7TK|u_W4=;s5|6Nn%Aq%lX`E^t}lJ-_~fK-1`-_ueASLf zZrW2*(op^p$-S9nm5oS81vB+co@-88AwZ;8(S%rd3}Ix?YpXZ+zAZ2cVPwcuE<;|E z1Ejcoc?)1Md!T0W#(eZp6QkMYqtQNt(ibu@4R7F@kuj6OOS}(I^2O=b9RSBOvpC*l zWkPYUtW+4$Psp5WXA@>+Ozn*LOva7)Oim|ll_l=i@iQjlh|jEu5ue9U#mWeRmd`BL zbbcBs?5>hzQCwpK?XA1CA3@s5Er2ufeqble-uS3BKm3zbovv}2(u9zEQWP1f_~~pD z;zs(Pg()c-Q#z(rjlRqc%7f9a>$5W`vbiTiUVT&;!ORk2*H7}PSG~ads4&c%0YFw# z{01~nHKQZsi0VJ$^BChX#`L`07SDC-z8mMI3?7HFjbPtX=dK^>1Ce;9v$(Ez{m+n5 zoAl>d9?e}}HZ)M7QOO>=jJNEvYV*?6fR#OhEfZ*oG%>chk%s0GSU&>p81)*1cEXlj zwrBr+(4uUH?G&?#(JM9V21KbP5@5T&&MWil@VqCeY%^0QT}Lw3#Cgw@?V0#^pa>7= zWNbd~2nHXw5cg3{x`g+cpbhPT0c(dc5`q&OsFGT89aMSEvb)ar@K!p^MEa;;%d-Hu z5yUB-7h3^wnflRe=f(8LM#vg#;)4C3xzqB@dqJX6zVkL&&&Q1uO>Q5@SfY#BM;fYZ z`}pE?jQ&3W00960cmY(Bze~eF6vtm`t*x!K4c1?OfFL+nEQKNnm*yI4leA5*{%nch z;N+y>;w0$iqN})zE+R+~L6?GpgM&i_2VET8b#d`sk}G6*_u$^=eeeA^86*e;z-l_T zfexZ*ma5nmt&}asZy#>`uzUEnTmpQ|qEhh@s5h)6Oos?xbAQ>t71NGsd!q2aO>5 z6dGTENtgWn3^>?gC};2&lOE_FDFw^eu+UeDGp=pl0QD(*m5STd(?j z6fCg!+ExD7&-mH^7NaaM;?>y@-$>ex?TqMAb9p}(d11B;q;>&{sI;)0aXwp zzRAwg<_&wCn|;iaa+d`EGfvUa(Dtg$D>3wsqkCwh@6Jmy?K=6m=X!7Xc56SmH>*`5 zW3E*_els-PuCF>|bX7@osg<*X`aoRV${)P4H;^vYahogTS@n*;-qrGPo1V+ve(YzZ zZ`wUXEIx5qt}P|chBrX`%Mk%{9h~;jg+KOFNRO}z@VAn0ZI<%~6d3C-0vh6EpLSkB zIn`d94dD+lG;(Wnt#PFx=*@zoNFEgI(tbk#s)>*uOk*ejxd;-&olCJ1eQ;!rh{kXz zK0qh13A6RA=C*cUONjV}}6!({4s&iM>j2Z9==f4A0m4-wW+0%b)mYa{^21oV*E;54y zcwpkGO;~|%o}#~k4{_trjitx;FA_#J>j$0+9W637B3(s&Iwl!6AnVD$7dNj7-EEvjC8k~*8O*2E`Bj#qBVsd} z;MLOfMG(GuhCU6iK0&t|AdPIY(Ip4+@Oe_Szp5L0cdBL#o;$r)&n`sdAai7HfA7dy zDUivu6T(FhL-}&D(NvDICqc7#Ex?b)G`Bgc?>pHX{-s?w|HsRb*FCp#Le|!MY(``J z0y*3xrA5ZsaC++`Q@sZPseveZ<(J~u=Rp^n)3rlg$&l?ufjPtkk`16; z;WwjY8TMu_hK_$47s=F-9iNU~<|&IoJM#EJ=;epB2uT4_(v!FjnKk?+U87H$Ts4d# z(#CPqZ_(7og&^Y3p~bTaelDB!hg{|3;bQ^H!kS5(y?KLj!X@0vM}|!oa&dlUDd7~6 z=i^q^75*iPC}EGQ(_*L9EQ+6)ii1(q)~`m4Yd}E;<2ewM!4LroJYv~|pC$NWcXZ1( z6*MO9n{URyt%%2G#%2(!Xohhexn=kgk*Q9gBZHv?1e6~^m;!317R1WZo;K?V3t@&_ ziIYhDwVoEKwOE0;Wn(E-aD3m?>Gh;tghADzIM;b&H68sQU$Vy`Jf;<7;@zJUyA2Mv#fkrYvW979>>@N-bIj_-slC`3Nqq= z6?cqS&pvsI_~9@v)3B}PTiT0b%Hk;+Jm*7sU#@`6M6pTSanx$f`%-qwXIMq@TMU(> zhjWC(c7(FR5&VvV1Z-O=Cl3W%TFBO&{+w(ze8_f?auODN*#h=Ow5b}fR)IQTS*p+w z%o+iC0otU}*9TMEB^Af$4g+}~8OPfP&HKnr)(`Fae{3G1bHS^R(l_9nn)En$H48le zBpYpLbF7ik2i&;xm^^&rk+mYU99LNtx{+n=AzF^LEDGJovzDKaT{C8p^}#B@8feh* za5F+Fd`MzaoM?9h$4?9u#pR&o4!_4(u<6*YTyj;*)BZLK8x2h|ttm@w-5az#lDZXe zcl=#Jgr1!yPs=ceMoZxorKI9-`aTFp2v53Vq#keq?_9AGu<+~H?=0_bV|`&qQstLv zGsPSiFDwlFGWu&xgdvnqlQ$0}H)(Thf1qfvzRN!!&fPn+MfaHsjfke63aUrkZPb{` zf3PLsvA)gy@?kpv9k#SFN)!9GlZ8@n$aG;xP_V>%{)dxpZ>+;KCN8g4-@}3x+-*I= z3tb~#*51`A@{TlSm5IO*Miy_5&qy&eoCK12_*dwD_9`t+;pkIt3CoHnoSWa1?q?se zzx~yyK>z6Y6(TuNs#+B)2c|Keo&;-htWe&8}<>aT~~;nu=hq59-W(P$F2D!<05Lirppj1rZsaL zWhYUCo`2ftSQ(-#zKol$3g6_SyAwURm^5^7MDenPY(<@hj`+*`fK8&X+K_0%zPIrS3@#Mq=VR^k!%K|&)70OIf zTIkyJ^C{dpEdYildPt6B$qgE6Imnu{6!~2G^h%T#^L^UuQax5bfdlpxNNU@c1U#c$~3JVZNKP4~=$}DoHvB;PAxI zqm%wbJ8qmDF_dMJpJ;ascbgb`e9{G@_ne|OD#uxU=p`~|P>$28&v5&kc~?S=-=NuE zY!zqq$(KlF$#7uOiTHtUautr3pliXa<>}V&&A;h#LG?p- zjI>&%5G!wbZhx?$=q2=&SLcaLEdw=V#x3AuIzt>lr7?zqk7PyC>^qV zSLjS;_=R~dUo5bH?|0^>=(32s$EXl${!g`V-)$c*y%lA{>fnKW1$--ogu@53E#zB= z^O%idgSyk&)AYgSF!<}Rwyroq+}lJf$1a(RazARJM*&yuYKVx?h95PpN+1-Whn=ql0Skm&n{f zYF%--jE~Pq(^GT%>t2B>V9Q5fMH!LeI1Kp)x3eVXDxBX{shKp(6-b0 z8m;H2iJ{SgPj5?lQw<9215a$V_B>#E-qyc6YBv(UrcLND@zf@HiA3KR(8+C z_tuO)!Dn-khwxlNv!(6L_d-NazG?jOGA}En-f=o}1P@YD*lfosPAtKnDWNPu2^4vi*iK$DU?y@n*<@JvdJBp{scfU-$))&K83jEPEOk zD)3+Y%y#wqN5sXAFos!_A0_3Ye*+3)`T7Z2ZGu#QzCY~n< zNI2p!t^tkVdf!E9XEAwiS^q$)RrG44w0CvRAXR<#>=*c8(`isH(e8S2Z+sc=MTze} zY1%*p+{mWBYlFRE|JP0I2C?jqkpuFTAv7>;QY1iUz!4{LCFmhm6EEyBK;I+QOG!p)@Nd7uH=n+#DNC z(+`RQPi;$-ZE7*=`le;r_`4Sod6VFq;hI}fDy%`OkPGlR&60y)3g2Y10vsVq7lUsi z=r(Y~g^O;)6D(_W=$^mc>seU#$L?p)yZ)n72J(K+Ql|U}JGJ2voL9+~C_*&G^KUvp zwBy8GC5G}&-X_|yU5q@cI=snq5hN~3UvxCXv>iyb-8&$S?JaS8H<~iLV$aKeTsAjC zYWDM+VVPS|#;melSQ<-%H`W+d;*O=kG`+Chuo4_rfkl%BowFQl1}9{bsHCq!#Wxj@ zT_M|IK3T&}r{yZ=TZT<ZUCLHWGNuDTJ>md%;VKkYM7>3ygd1e&F-uI#_MK zzH`*s`)R%4+}Sr^Oc|cb8t>&(;C-J4z9)GyCPwRtr9qw+1Uby2Ji#6zP+7CEDu(PB z7G$P&vi16MvrYpm3iag2*QV@wwLmfmw90~PK zaC{OlrVg)Gp_jt(2s#N~%|%}!$BIZ**PQGGzxAC@by>nP>9)I&32Zbbu+^Qj!7Z_< zv@`-+N>Ch3UKMJDZK0qzpjQPt0C*Ik@33A3qy^(aLB@co3d9QIK|+SvMicOg%*X>$ zy`-H`E9Eo z&q^1ESBukc!SOQmWq7p|eGZNnrB4L4!8d$hWsmY}FS}$2&Ck(VteTG5-$kejmnzpJ zChSM7TGw7P*o)O~o7NhwPby6o1vy6FQ&!l6=@cZ3Z69S9rsg%t%# zT(G>Xnx0rM))EhF5}@gU1-)P;MNn|#jNC`&7_F5^JhAyG|7*%ESXw`&iDSE;a*M^?7pn_vPh*q-RE#GZ-4LUPn3>=E5AY9LVqHTC zFX4z2lR`xDp_~MC0!tbWJIKPwVAvAY;RwV<8R7{J#ZF2-i~DovT=IUhI{)7)Pzr!& z>aWWYhZR$Q>D(WH>X_a3_en?6|7@r{=d|`6wtG7O4y^0JjvOCxE0$5rSRW^uO~<+B|OB%V{w6IAVw6cs>ob9lAWSYj?ugIHPH%2f_6lv_I((%)_r8M@x;Q z(q`AHUoIH0h*iWkqzc*xNANaf4K~?Enm4Ik00jy`jDMvv&-cEvBOKBc|97)uPv$R9 zJfam;(XK$yqM~_mY=%2+W(i$RqCV-h>AV;{-J$0EBAFF0T!?t^P+0bAz_h^cizJGP zoOw+}X-wpD{V>KqHC)SZywf0(FPv%?B|0!`+4zjN>!;-Xy{{YX5@%5ZIVU_aPl%*W zArrE}#ShyMJRI{`&1x5=QVpGNL>l~)P$$>*(R=6hGG~A4#}^G!p2mgLg^d)`iNpBH zVa3eF!(|W9jl6!D=-XUnjpzwZzeefnVvCzPd7}S#mMD6 z8`)QDF9Jx&bH8@no7^olE z_B_u}Rld7ozu(9yi8R73fmhbnZ=dbAhwN;g94q!yu0F(y$IIP#s9BUyr|D<;jGPT= z%M|a3+((FnkGe@e6H&O7)Hm~YRXsH0@w7w=+&|r+)9qTNDVQ2qE2Fxf_>?GFd~9iU z^Z2tXZ{IxVE;5PsYay$3Qsk{=ZNax^gMKMs(q#LCa^|q*Po2-EeEeXS5N~DOe>U=I z`jLT@RD*ik-79_Vrn1k?-uk;szM1h0**}*gQ8Z^mQpsP?kNAba{Qfm-vQ-Z@%hd1% zf`{P+=aoe7kq6xyJ8RZ8Lr0<-t(HwHpV=FooYS_iC_iS5x^q3f-E`k~hfe^+%D9vC zXLE8$+DajI9xV}Uh#w!#PTl?8?4BoNTRXRVS{ynV~~84 z@7)dR&!pH;BN<)g^=+q<>)g6YH^%HOY@|aer31R!@4r4Vnk9?G2Es{&f>NFv^Es+= z`n5x1dd_%K-7YFVW~_}9{P-5s9X!7LCaqjAV?P+_RQx>C{nS=}uknHB&(HC1m+QU> zHpW(L5C_D=wTwxK*uf4h8TPL^*jy_)0@YU_E3BUHt zwQYR+gO^gEWqe3sIBr1lox69Gii7wL?t7$CmY_g|l&?R}1-&Fo>2N_AaQEr?=!qN4 zuOIFeW6yUqB#s*jMv$P5-UG8S4_hf|QsxZNSv~8Eq58>hGjc2UKO+lD!qr>GdHec> z4BCoa!xVbc9k|O)y*wTDy19Saq#%A+*`(tyD}gVPUSwv`gy#3HFEndq30pO7ZCJru zzC4p`@=^;}G}(zCHw|h22`-NAKdbl|@N)D{Y!PzYnMm_I>H01zKy$XyBC*Wm$zOWi zH;g~Mplv+c;3P$nE?>TRt+h+=^P||5?>6dIVF_~I-gKoT8FbHbiZ;!++i_S`4l2&c zP9L21_0OYns9NTpvieKlRWRmz@GqmLu5|O0>Cg7hujW@|ZWxwo^j+Nn1GZ8ioejI> zMip$sie<(Z!`3k5QbamJ2x{ULTE3Bf`|Me-y~`gvD<0mF7AVTjQVCgCb+AbpyF-pE zc%Et0=9HSKxT2$lg;X6zoNXkI=eB8B_wH;Y$~1#|T;)k&Ze0m8ByQe*n-Jb#$ko{k zW&x7E&5@2bjwEkJKCzz#>u!mhmguZ7TRqF(D ztUp}6(7amz+X=DJhpD#m^UW3LwmF{tyV5iHXY{;2X5Mxv@IbV?a9^{pO&wewpB%jM z=}UdrXZ4x+ki@{Q)w%JQdAZ*6pF5fNKJR+7iid6;-2F0D;21do-gb_dGx#nzp-Thfvf3zr}I`>0*-;K*l}Jyiaa-VYupDJ zA&i9_d#JpCUycpk`$a7 zS-v)o?BJ5Qy`HwTL}NmKbJuuu!J5Es;CZyvj2lnZP6j;~5hCTR{ZM1a+NG+1;-Mx3 z%8JZaWN4>=9DS+zbqybMW!K)B7WUlKQ4GE55;U^-b;u)G9B){CSXsvoXPJM9t1VW& zT*GLH)-yXI{B_%c$6%*et+$x^wS}jBH>AsrTEG8$J>5&uZuna9R7t~@k?Fyy`L(X; zjYX^2^4VMq{qOn1Np2O3KK>Z3ISXCt?tFDvPJDYUn4a+&y(Jx=rQlX({z~_zyiV|B zuI;HlYT`-rGCvo5)wWY>0jumc>$H!T^f!XNo`f{4d;aoWFvkD7cv4e=R1sCuSNsDJ zAXXV=qETzNn&vD@(h=gtS7Ka}n~!!3R7GF?G$wMeWQX(JXnK8e7wOUN9*Hs!ADK%` zs#_JD1Ly9>>0S3y>A6zWr}$n3-`B-uvNM%nX=k-oU*S=XU$uho@?E`e2R3TKqj z-_aMX?xz>$wjR!PvoYxYEO~YCm(B7o3Ffxz@84iBEIhf{chH=#qwn@}%wUxV`s3q= z5_(7efpQELzqBi?C2z-!yBSFpb#QV~`B?hd51n~q3AYvI^FG4%DB;mx+gyA{xh~&t z#0sU=uBNN`v)k6IHSLZ6TxkrulPR|A@OM2T@+U?2%AmLY-tb-4pAE6ptz4d0|2!O( zww(Rk4yudOEv~aJF4!V4!mVdVWE0j84m#XUp7ipjfAH)fzt^9VzVX2NE#fo~(1Y$R zmT8j+es)vz?Yd=$WA~I~)tk2kivwn=TEBV6k!p`$HYI({(v4P_iU>|DKfruOdh>;L zAr;PC63vkfb4r<**o=H_;kIWc&sSGuIk)%oqXcLkAM5=#R$zEaEE#vaIpY4`^hk3% zzxJ;hX^3|p*UhaEDR4?L2qCfYz7ZaJPUwy7uip>SeTiGFra|zFni1ze!$)p!H0#>0 zpuWnMU6RodADcZh+_f~JXv1?<>qbVe)bp+^ilWkjaF_Z~4ywT-&iq4q*FBPES|{ec zWa62-^{>7P`kI^Z9H>vHb6!q6)6(SA?()G@3C$WTszR^r7ALVpi@g_R&8~;#Co4(H zDz6t96_cbHvlxf)$nXr-4Xum3(uU!$PQ1EG*;Uwm%;^|5vizm;-ZWro&D=0$R?>d~ DU6-I; delta 8042 zcmZvhc{o)6+sDsXvTup9CmEsaV@oPoz9VB9MAkvXj4j!h&QzkTG1d{0X&ACImMnv$ zEENW2YZUn++eq0x)9?5E^<2;VG52+y>wM;%^SR&e`*q)QCQKHMpIU03ms}0f7GGVx z%EZF1%Fcg2oav3{(bme$V#OEI9~UeaTiEFHkH0_s9%wAv9UpC|d#y~eQOJyYGR)(b z4+ocGHoxDnbdGXBVwqKP<^tt=2+#6ReRkCj`(3->}gZr-i!9B=6MYADp z$gX@T$-X+A>~~5;@W&~WZwh9lx8UoEp`c&-R`TL8nctrUr>Y(f^?7AAaEcs{q8sjA zaFMFwSaf_T$}Co=STHKH(d4DmPb}RW)$9fxBrWn<>LPqUE4$p39J1;OU)s~oF11}% zMLK=aeMO6Xpf>a%rDMj+x)0-ua4zok(DO6kj%*Flyjs>B9>L;M=4@k?`sVw~IUm2= z<0l0dwP(F>3$pXl98QY@ucuoY9kbYqXAPRka$G~|ius%wZ$1@An+7kwe;}HXQ78EY zw8y2xR&xZY>fSs5QJK7BufcPOf-IsO0=IOkxv1LWonX`UuOU=3K+ZMVKvdK@_f; zq5ZKDh$U;Rg&A5G>uH8QMVW+-3ZRUk*~*@Hx(V=AwRztLZzoqIDy$a6BV4BT=~u&P zN*5Hyj>5t(0M)VZ7+}2set}a3S7684E=6gKbn)p+7Mz=gWB*tZ!eO1rZ-+&Y{w{s?cq5RIn`T0UQXWgLVuz0imfZB2NvC$C`$UQPd+{ z#N)}}MGD<3cAUeu*?7;ia}CnK$9uPEn1m^+)F8D%e#1!RK&1+)Z9T-2@Pe)yv=I(# zSE?+T8>|bw?wl`gG5hB5vo6+LE5fzn9+ZQtd;!LP*G=Pn7Os=ta=Jp^->r#)&^Z6Vq% z1fs8%Eab@Z(cQ99rK-o1K!2c9RnVkkwUL2#db zY%M9JulLsClP<+xoVRnVGq~ju4a58r5iISm1e4J_;mDRF;KCG`3zuN8?tnXU2R0Cg zk#S+=)lbkhHxg&`KTqVDH)j0+Lsxr2^U@NJ)WiSzuqAp>JdT z%$7p27ZKNM~thdKs0;;DW>DxS&M?5!%+jKsrZV$ z#b7RZ(U~wY5hcGi#GSgd-$Fhc+x%onRhg+%sB5@Lei|G%!Ge;&MRL>Nw#nYYx(UhU`&lv0u-o{8X#jDq-8)qt8(=)&`EYu0;Rt=?1$$3u2Xu_%(eVl z1$sA9lIF?qA5UQ(yp3f&0bapfolZ<|Rc2~+LzV-t3P~DOb`TdWk`kbZr(%Eryv=Rw zyjhtR#Sw4XsmxdX3ckhkZx!i8v>n#Stc;KH8rq;iNr!InUb&2O;6zy=1op2qvn9`F z>{e&*xi81)PItNRu360Hm(^!yQwEtP>MFu0Vt&QzGzEooU@ycG z)2sL(`f8*dh%TNw33L;#?m)W4fhRgMY5Ns%)Tg%4F}>` zQIUuq+I;8-f9dVD>a9!pj98<#@T!eFdmIxwRi9be>e5}aRTuo^TbobeexKOAEFYS! z^b7vCOWcjUW&YAhjoayGlCm_!?^js`zqGb?E0=Iyq*}0ObrOhfrWFV@H$@wVKVFzj zd_f=`Hc1?4KZK2=c`5a_U12yIls?-bu-oX z0lb09yO^XgZ_LE0{y42i{}tWdH@Rq};${Bu<2+JB)jx^78=rT-BvngLY@jesR4lxK zjX@_FNE{{sPPSPn=^U$PxI;eG18neUBJ6ulMZaV?n5cJ&?4<$9>_cc5ad-$y7`H1) z!{G2DC{4smc`a+cj-IjG!7UB-eRbcx%vTfRti53FNSi#?Vg|s z;_$pEkwv7Z%mSOuTbo)pAmI8*F58e9w?2uYA6hXnEL%m3<$@FgDC`uf5PpHZx*E>I zbP9#!0?tc=3{D^qnX!KeisL&*MZ&4==&zFNf4Fa6E^Q}P^#EDac6a0V=H4f&5Um$A zf~=&tmxSkH>K4P|jRHqxjqU#wgCOx&naFG{(4R+j1lka29qb3_7L^ifdq-25X}?v8=!P8+O0 z?|UYutLju1FO`_rx5dz^>^7{Xfh;ns4p`(;9f0Lr>IjgUM{Qx4I6=9s+wqF}^43|h zB!Q8atqFcDF^sEEUn*)@TD>b7&{QYILsqp&2#BT@i49m)Cv8DORY<*n{|IO^z$4Ea z%GJo`=#Ej;oqk|RD>BHq``v$Ps)&)KAP+}hzX0QKIm!yOfrp|54LM0cKpSKz=FkvD z${-${!Oup2>(*HK*0s7pG2b5sJ167`JA9HSR$ui`|EMEs*;2hL3ZkSznuh%TTM;T% zNtb}%sw52LzkmV%Lzqt|Hl^%EMqkB6xh z;F8&r4Hkz$^I#XumTqE45lgqQ)`&7T$`fb^Cq)Dra-4DppK#IhR^7#U4c~n8633@n zui_74s2oi?$URef!J~@11MH~~?LNYXW7;1HDaW*@2p?FrzY?Ag<*U4UN-f zM=9f4m}m;PiIb=RT+4Bq18#y1#fNJ-Mq?C|NNt0IL!)7$aK>6n#RY=m*`VuvU$J?4 z^;O=sKiA?qod?(hSeix&U?}TnE9*NT4E!3UPWJz6>w^Y$fAUZ}a=p=G*<8JA1ww|A zE&_+1$VrH=Co+tA$^+@h?CXPUgy<fN+UvFC9d!_zAAo@U8{G@ z*ejL~qUVkjWA^n%@-gYj|82@L5WrYk<$lDDYN?!=E4X~E0<#+_PV-q&oZ`40G+8G} zaUfQe6c!BGBn0}Mi(spq*46C0vmL4FG;%SfAm#DYnfIL`r}ksb8>`Prh=_DKn=R_2 zd7>*!?R2;F$Sy?1e24!s<Sy>ISBK{|lbf@}>yqzXk8-g9@QR zcF>hMe1xFOeEv4F4Kkxfnulb;NOr((9@P{`%(0Qe{?QmUh6?)=1nQmy_-A*Gxihpz zaC4pdHNr?uig54P3$NHUrf3xMI@5U+k{LLbOYH)<@YE~VPG|%F6*=54KTQUQmntkK zesgr(408?ez~ltGaRfbi*g6|<72Ga=8U)Yf)4Gdsh0jQz+V4sVl*H<9zJrDgO*C%^`M3W~O`SW&OTDWK(ym3i33#cH)_{8|BoPQvi=+%OhTBzc z)-l)PDqGKW7u{2f3Blrx_Y!)Xg1(zz(}-0*kcqr@<15KyHXioM;7&zytPf3S{$hhA z-~8Q#=~nZHDptS8Y{up zaKbo5#kh;?c_t<+o9qX330;BOl;c-tN)8qmv8!86~K-v6sP*m)0_n zdm1DkfH-nOkR#;bnwIF#H7(;O6@8Z6OJm6C97!#8w5$BKRP|QA$SgNdmqYynxaLs@ zfI2)?3vkV)ngMrnsg1z%TbxU~x?cL>M+fXR+Y)T%-%YKr_5(fH(+1O@6WGb!W&R}pcYYc5Q>a$MxYe%l z6-E{(-2>&gv&^U8XZUN9Bp{*S*olVI%@r`ALd_Vn}*tlTm8Gnc)VgA z**|@nCaek-2Hl6R@K%?=o!J7D;VY2pRJb!&;7j-lPjwaCnKkece1$deDcqSSu!iVv z3_CKfzf9&W9J%0WBiPaH@*ppwO1BKEh*w*cEy38~UgzS7On>M3( z+V#o3F;=P#o0tEro6BhGL+3@CB5wSxG10<~m>huu?!Yqm7N9*uFo$SQ6U>>kX9(uZ z+TDah&Oq=P{D6nH1`T1Nq(MW3DLT*)Zi*E&M3WK$4bh;?LPL}&tich9<$A5h!8f&+ zL5akQgMWiDKAFmI1}_h=^Yx5%dc~fEIH8g8fH(@74LErr*_eI?AWt$o`61aLKRuCl zfRiV3kLjl$(vHaqgWLmtx*`8FXEeFgf`j0k;Om364&LrD;lJIMix%w%^e=PoX!))% zm@D&lxKN~|;1fC<*Y{5TMZ^Ubsdhp&=sF{!HR;Xp++}%6QXE784*TPHlSR6jk zdm?f8Xkr!EglDe~SjT?6+J@S7kU-mxjBf(Uc~mqoZMGzfwKGF|Vl&N_jIdf}Xd$f7 z-~T;8kZD7KvJ4$%MHwMvVJ`m<3|xQ%M42Mx40M!@=8SU?MZpn9u({r`FknWD^b!yr zu|XCq|D8F+QC7G}J{mL5fe8h{i2^F`2*StgKM{^Gachzu0lK-=ctFZWfm8PKtpUDI zLxh=QPmiGB3vx%gWj}ChIP=fAoaJ-0>l6G*wExIBKUUcc{l6qoMxf2HUl2>`*Z>4t z37c!Sq=*gB5Q1d{_}^yD`9f$vw(VjKPyRn7C<2NRXiY2`3KOTv;g$fEWcUHQ$}oY* z>K%ZT0gQ90Hoyv~AvB+9(SDgZNsB~+h{7`GB$!n`5Qr?^9!L)e6i+#BBX-kH3%2#z=sKH2(-KHw1N{A3JAE&kF(>475ui*-qc%1!{{EAInGfcqFS{gm{%k@sY<8z-HSPazp z&{MRVzHzbttkL`vK$uWPw|d-q57 zE}qp@5#K(yLOg!BEI&`$MRap*@6s8^nO&Fj+UJxAU3)<_kH-JZE?ci~ksi`}$~W!q zPk@|So3qvRF4D;!N(+zm*p~dGV+b*-Q|VRa-=GtUJEG^w3S7iZTd>nkTk_4$aoe_6 ztMZmysxOUH>|e~SDjZHarK>eunf}nadoUbvA?uscPUQ0@ToX&riNHtG>{@IOA4jz= z)d9LCP94FU9vhEMKiY1*tz?m9eu;VOg!9bY<*?FdoL>Bp^6F$;mrRG@CC)v0S>qq* zCee25y2(@TAa#)<@_)bhAz;GSSH_qc6*7`Em#RsROlqwJHQsG|i)5Sd=?pktgUXH3 zZlOb%d3Y-3I3sMt#_1HRjp2Q>t(!LoKk~nNPwUpl-SK+Ha;fqRTM^7m+CtD%X~!c& zJT;@2J>tCLLZF@Z_Q6f_Lz}j0D;JBmiR`)Ifj2Wr{N_1=a?Rc@ilFfMxswB%QZ1-- z!;$-V>mJi~bF;<@n3zca*eAJr8Hyr=5S)p_`Ir|NYq_iuxjF6nS@)nEy?al4|JZI~ z`b0*8ar#Hkh~Qo@G-9S>I@9rS^JNj-NJdWbl|i4{nYqa(+ziYu!1m(E`Gp%#P}20;8KdPZm?!gg>%gb0n)r*1YiSHy_!UYgfp0skKfJ z(BIprx|w(=MYT>Ga1GcYe@qy+SJk@o$6-KR$U{;=D(4rwod&E+WiM-*fn z>B3cRCI6{&&Rtl2;2MUwxf2xIELBjfoRU!P5vA;+P7-y`ADe1T$Xfnm_Y%2QE}iEWtqQ(K zeUV?;mN>reaIr(b;FPWYAG(5|+XmjFqe4pO?%Vx@Df5VqFz|W9Jf?4^|BL&ie3?T> z2h@2~?`;mpe0X0}iMU(t8Nr0C_gSq*u8fmpY>sKt zOGyTCgR34s}QAg>GJ)lRVsalTh8Tn@}fOyZx(FEkqcKf$IyR4AubF2CL zq6L`2-?kS&S`FIi&rsjZTa0$B?UO@}D$sM!^U!s)8Dqt)kLUD{qDIfHaeYk2f2b*2 z*wxCk+KDJ&Y5n()XvHT53({`F5xk*kX*r~%^H)!*B|Yru%hYp~PmR-P zu?YF)Ad)=(;>c>W?UZ^-0h`E^N5AQ%E2K-Fr9W_6uf8;2`SL{nNImp1-lRs;*R+%* zs%HsW2GR5TM_XIUpjBY9=HMVl-m5p)zdhVN?aJBTH(yL;Gv?z~|6r2+R3PQ6a*~U! z#Pn;GmeQmjp)#4{`IpJgJ9ML!oyD>`T7U$)?w!eeaii+)?dH&p_pJutd0A5I{y?WX zD0s>aXGfp+D8&VwG=6Q0kE^5irf)cej-bGZ^=PA(EW5n~)`Bk_4VW){NiNo>rt5Sg zokcxOByLtbaFV*9qL6)zt0zIHNY`|<%DKNv_0vX)cnaO_&NI76Q%pj;iY*ioW=W@+F3-UB>IOB z|2`jQio*Tj`Tc1eu5P^f`u?}qnqkpSdoJMY#Qy5~)2>oy4*EwuHlfP4dUWHq{95hO zzlV=78y|Oj90mn8Y8P~r#?Kii!W53`9h0)(HIYw=JdZgwZ*8I1CAK!3L|=6l8!S&# z6oK85E#@u2)u8vA!@^wV6T%dcEC#Yq4GI-H2S$eiIExqTO!~jdSdARotsGuvy!!-? zj=~|)HkSgZSNQW0Oz-D|-pkH?ZxWZfYC~euMy&fxqN1@ZF$4`u)qo3ozAW1sx1(nAwCXO_`KJ{|3B8#7{wJGN z08!^NFZPw=S-+ZQUuxvfGotQ!^HNrOU^*v_RC9lcWZ$BjG+Q?=p1gk0U9uqQlIL3( zGEW>TdeX)7?pXfQyN0JfV`kpAw!0kMAJ-upB*{g6@Oq+eaDf=@|7zcGi0h~@Pm|ea z(d4ltds#GxRM*|Om4IEM--A!Mw{7Vx&ipI2Crdjm4z8`8)ca@uxxMAj(L#=Pumju_ zcwgEVS8DGr@lAX5i$Kp>0n?jJpkDT|YF_Z|<@rH(N2TCFe5+`#VR`)pYjIuElAWWe z^4)V)>9qT&tZluYd@CJo`R$9~&E{y&J08bzu^~+5b>b}?Gpj|Vq8&Y|MG$cjkPFC< zWa3Lr9*lK=Ll`VVyZ%|Y=@BPwv1bhSB7^E0HpEv>$0@0`czg2BXi9ZH4AwcRcPHuX z=f(psu|1bDrW7kCRD&10b*THton!e%n%+hWGIjVjrjcKGVmzPzt2!Ah&wlfeol`KM zT;$^J{z;9 always1.IN0 -C7M => CASr.CLK +C7M => CAS1r.CLK +C7M => CAS0r.CLK C7M => RASr.CLK C7M => ASel.CLK +C7M => IncAddrH.CLK +C7M => IncAddrM.CLK +C7M => IncAddrL.CLK +C7M => FullIOEN.CLK +C7M => Bank[0].CLK +C7M => Bank[1].CLK +C7M => Bank[2].CLK +C7M => Bank[3].CLK +C7M => Bank[4].CLK +C7M => Bank[5].CLK +C7M => Bank[6].CLK +C7M => Bank[7].CLK +C7M => Addr[0].CLK +C7M => Addr[1].CLK +C7M => Addr[2].CLK +C7M => Addr[3].CLK +C7M => Addr[4].CLK +C7M => Addr[5].CLK +C7M => Addr[6].CLK +C7M => Addr[7].CLK +C7M => Addr[8].CLK +C7M => Addr[9].CLK +C7M => Addr[10].CLK +C7M => Addr[11].CLK +C7M => Addr[12].CLK +C7M => Addr[13].CLK +C7M => Addr[14].CLK +C7M => Addr[15].CLK +C7M => Addr[16].CLK +C7M => Addr[17].CLK +C7M => Addr[18].CLK +C7M => Addr[19].CLK +C7M => Addr[20].CLK +C7M => Addr[21].CLK +C7M => Addr[22].CLK +C7M => Addr[23].CLK C7M => CSDBEN.CLK C7M => IOROMEN.CLK C7M => REGEN.CLK @@ -15,13 +51,13 @@ C7M => S[1].CLK C7M => S[2].CLK C7M => PHI0seen.CLK C7M => PHI1reg.CLK -C7M_2 => always3.IN0 +C7M_2 => always2.IN0 Q3 => ~NO_FANOUT~ PHI0in => ~NO_FANOUT~ PHI1in => comb.IN0 PHI1in => PHI1b0_MC.DATAIN nRES => always0.IN0 -nMode => comb.DATAB +nMode => ~NO_FANOUT~ A[0] => Equal0.IN7 A[0] => Equal1.IN7 A[0] => Equal2.IN7 diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 5f6184dd41b86da616257ced6036f7e3bfcec9d6..c3f673becf9d546b6fbfb3eab79ed99258b66cff 100755 GIT binary patch literal 2156 zcmV-y2$T1$4*>uG0001Zob6gmQ{zYyzAIw?gCpj$dw{8@N_7-ifOd@RwQPFWi=v+3 zXt&My(X$;r^WV4huq|3LW9WtsrU^_amC8z$Dofv&m8Jiz#bUKycH+3>lcST#%DXa) zGlp})GQ1mGPVb<&mVUZ*#a3$gg*ZnwH! zc8-qnE7451>dO{a7u{ZUd1C5KniqcRnAvKw`s7XTK4faXo~_KnDVMAHLfjZn%RkPC z!7#i${wMs`v6|Ty%}*UAmL{9bmS(neWAM@B4(98HGMSxBP2KhJN?Q+5Apk@+kcuMU zE5Qlj0&(OUED`rT<{Ye-W|7^Ah4^4xyUu4X9FO|hX*e8SXQvlooTT}X?v&m*9eVM3 z(jP{Z)yeqmEE=Z8u-7jXmQ};_H0(xAe}($K$I`OZ=gzt}xXj+g-O+_dyg}#&DH=t? zY@Ga(^xq|0i*7uOPDio3-FWbT*=4^w?u}D76`D5P=&Y~_ufpEg-8&hlQTGA6^gz2o ze>lpMIO`9&<%9E>FLR@akjmb_wOQ3&i5GqS-u21yPLaL`m?uG(}i~g zJ?#C4t0St8usVYBsHSuEej~om%&e5f)vFF5gh+J%2}q*4c6`kKH8?5+WFu zJ_G?2NB~SJv6c#@s0jjsJTYBuUg~VQT8P!;e?>!Vpq2yy3xw}10|6nm0aH~vi4FW=`rdrUd#Rmrlrf49g#wJi43)c=Kz%lU=H`Gc1 zA@zMvTWgFcY=MQ4LFiMaG(pC&fM8|`CY*2|VB(3J>0F8_#GtVEt)h?tK^PTW`4#|$ zt!6%A5(AClJuu4~D5~2xd_}NQx3H6GsX=QkRZ!2~>Fs*N{Yf3H%GQTu)c5gzoah zub!e>*Zj-EKP+XxCexZsYBH|L zs3yZwR+CvxS=pk;_y?L#9F6u?Ms3nr`>@IHn5+F}TKV2FiU86*R3U8-xPu)oPsk0vVTSj{WOG>~#$JgH+Ip9MbBK0pDsQc?ji zK7>Xpf9({48x(>Mq>xQF3;Iw9XrQGO5aN?S{RILJ+eYxF%FKS~s z=)zo0gym!gb`k&D0i}%rr9aGoR&Q%A`a6;CM6wg{PDDEq?!;Ym*jDnl65UEk!U*?X|P#E0;Y-iR`(`Vn0Or3$}cgbLe+D-?Cn_@8x`j z1u)p$4~K_th`;_J{g!pFm#KC=Os>tHDfqTE_2jLoADUd(vxT|I?on)AMVl(pRNw0(DM)q1~}Jx_@E1f3VgDn|odG;LWaYRc+hHN>8b_J=?^6$@*v+iuQ;#S9aTl z-E@94QQ7=1|7Lu{6wV@Z0NOuK;sQk7@6SzW_XYN55x5W?fAlaUN(=0!o}o;-H8=H@ zVnVUNYH9#>5TCywE|xPf-QD=2+soqoeqqnSV$vUvvR-@{j|#dddljYq@z9}WdJzuu z?^SnKn*A;H{f53Go~vjW_xk7CI~E1O;rMh^($nVhrcRjk`u#!GAL@{LYmoGlRwG2q iPauG0001Zob6g$Q`^WAepl7`53bsma~@#ls?j_uSb)wN*~hZUvM;K+ zf-8cWD;O z$$Zv<_!u0+&YSgQsyhgy4)A}5=w}2V?xbe%aiUCTAk@1K?4B-8C^f32` zPkpa{db;~dieD$4US|2BXFi%K@<8=-IZQ7K{pEQj0PpCildjzII=zWph{Y#2-Rfr9 zIXcR(L^Iv0FGpOScYD?4iK!21UihhFW~<5SlQ+G6pQ-tJwlWK+T(0H|acw*;|2P{4 z!|>wx-|#=jYGzwBKXsH?nrt##n%UCD;G@YM%-0KLGCP@?y6fYWwjQ8D0ElcL6-B^T zf)m08;>b5xBJO+4Ian{vBD)m}@!q&`ozGr49`&=6a5%imPR_$PN%N5Il-@WUdhuD( zA4ZkcoAK#sG)#-I*Dn;7)xh*5>_$z0h5D|?(z4a(&bl|a$lk`?(YZ&wLFj@MjiO;T zPJT)HZHy%bOqu5P19^7Mg(eI9X@XgBB& zN7;DL&2@!*(od3nv6u1aYR|ZCbQzyS*=cwY_pZE)@K*)^Nca3>wFElTWE}SX-Z1M$ z$ypxLpiP?_C;d@&7LKB9Fiy|2H{r=Ic`{qHHP6W?ixMxr2#2G60SVu@WJXzf(i`Uf z+O1qfvm|<(UAldd=X5l5fo?ZFdGl+WZ7=2PJCBpIJ;r~QH-XM>=kAvN>@C%F;T=H_ zdmnIhMAZ>iM^GNsbgtfQ#CMsQm9p46$X!onYP#0t9qPQR_qS?3Tdt0s-m`{CtF53I z0vr&8q2yfo+_wf9?9x!)(eK^uX^H_-YHR|;)YrYAf&!u3=mpp%e5>9 zKtcq=(uW{`0ttXACDu}*6g7dH(G%CxxfD}~L1FJ(MIi%%Fe=mkWYG3!jU?EsS!YeV;LIn1BRXh8YK*n65UMlr2{av6}q97>Etjk|1D#@ZCxR z0V$%E7;7QXRNe)%e1M|L8Y3K(StO(nBqkJFUr4D4k_39%=GO~bU?F4>`jjb6kTEPE zm|21eC)@{^RL)%*#H`E&XVyj3U~4Q_hFfLwrGS7?s;L&VNacH>7V~UrKCI158Lz-} zeHYoQ*ta7)Y9Kp$0J3k=nj?F_d@UtHRJi}0Vd`7q@T4c4Xh7W^fN24x_;^ zN~2^Hme84`4uD(1tckN>cb{E9iLy8uj7M3V=3tkO!er!KMf>op0Lgd|_2MMji_8uK zXcD83v)2)?seE7j7o>6{oy@M^9AN`bdqj~3hslVC&A$d zQ9-`aw^t>Xwl6XrUG*aGG)&tNx>(#@K&`f7wwT@Q8SoN{h%bSEVV3LZij~k^p7`|> zT0bH66I?$*^%Gb>sc1usl@P2Z`!$)?WKxrHO-3~t*5sOhS@?&g?AK&klSxg+H5t`p zSjuWLt0{|#P#e8X=ks?tQk9?zI8>Mu$`N)X0hrX5S!tm|SY@=I?;|y@sLepiIkpf( zM~6NOe58GV0&JzE0%H7NrgF@)yqVY%->G6gbEU5%1}%$OtO^3(IQ$e8N$tr`w_>@p zwy-8hgTNw!H6{#NhKSWZGy*{ZjO4apwTjK|(ygy8hL8t_Sg4gjGSJpl-Bt=Kj5UYQ zNEMWJ3c(Eu!3R>vCe{UgC#4&-cDF<2yK_Y4p5pcX!ZmTFS_LlqYP$n()W+kW zOLMgmmXjISW&CRcN*e*CKTJTYyEPa6ok({g*@<{3qMZnL;x0REEBRZAZY8pn@K!=w z2|gmnn`);$!G0sb{-KY1n<^^Lu6t(Xz(B&RR$ThVNFa=|5*aQH$GkneB@uo#8$F0% zUP6KJ6pkhjpKoa09 z0s{zu;Q^LdGKe@w>@fh-jRx*&8@7D!TBTM>)GCQuAyKO%YGp*Nil`M44?X`FIkLjI3 z(0sEFUb|dKhmV!dWl9clLDcvZrW~Jyl!mhiHGnmd|ny{VwNQ_G|XtoG-8e z2Al80;h`_YUw%lxW#8+0x?T5^YxB(%e0??b=vPxeG`X&43v->_q1d{LHdUml!c7%w zs$f$E>YV&cZ^vHg{+a3i-d-DQzUzVqzwG)}-L`$K^q6kjlWpAR?2neIXwO*l$!_~# zH=SQkR5riOzZu^!g|o;UfcDRlxByZ2`{yRL`x1M-2waMeKYG9-C^=w`kQ88)N?_bJ zRK^C>y2J(!;`1BC<#HybyNS=cy)4e>3wsV0lm2*=_2P?oRM17aswnM`hYmH<^Kh7d zue!U^TyLrGH}nsV*FW3NSTqEOn{j4rn&UeDsBJ( diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index 6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b..fa2304dd52e67aba538ff1d8d0aba1434af3953a 100755 GIT binary patch delta 11 ScmdnUxRG%}2V=m*P7MGVLj({2 delta 11 ScmdnUxRG%}2cy8mP7MGULj%wN diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index f46ce48737afd49e50bf75f2fe0eadf783b87681..adf85893697a16a10def32b9c3988ffe5b83d41d 100755 GIT binary patch delta 80 zcmV-W0I&a@1Dyk~Edc>glP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 3cb69e2168964ec5c754d811d9351e092cc0b7f1..0d646bd7a4bb3ee8e8b8c1e1509fbd7f9f6c0a4e 100755 GIT binary patch literal 13076 zcmeHt`9D-&{C`qZLXuQOrBYcYly%T9ds>h+NwOQl48xF+Fq3tZWs-fTFbG4IiHXTB z#yS{`vCNnmV-{cU&-d~D{rd-e?(=ca^YOU%oO{pXwV(4iV*B>(D;MJ1YyJ0dXOAsi z{QTW~yw9nqDPLDsId><(&GXecRW;Rf*VO?kssPpNDr)DRxcCLSIlG*DmhbGZILv`^#U|K$^E|ChmhtD!<;f%l)Uv3F_=jM&W^d{zlbWlasBU!+n^r@ zl|-dfZj1E1&Pbhj%(t*bVP}7K?NwX4Xz_i2W2}YedfB@@{B>EhJSyTfC@k(Q&h%ln-u?-Hcop` zf&IL$^abTVsJ(~ArpeDI7c$c=|HGeYWs|r?5}~GV56V741^2b&3ncyn4J|bIjiseg zuC@o*|4Hhtih#|A@RChi;gh64i$Sp|@)FJtSLr34+A79U-ztsKWKVAfj7P3;oO50Bf}d z(z%xn@>H)W={j!2zN*&DQH_RNugEH_xN6m|{7g@;e}2@hnZmF1Mga#*7tMZ7YyB>bK6-39BSl<+7S|5!o9qIGh_{vI4 zcTsTG(o$yo3fi@xZ&eNJRbd;AScNZ~kO3;sWJC2{9MP{%+Ns(nS`Hnn7iC6>qKo_j z-;3(+m-U`%)8hc4+w&rf*^y78`hg0+yLH-QurE?w4Cd$kgr>#x9-;J_BK@f&t&yx5 z+jpD3A&U{UIP;zcPSNy5m{x^*xhlid+io>ZXZoRqFb>^Ra1_Wn!)SPK+$qc^X=*on z@To0W{gZ!y3g6>kG-LNTtWQ7CU_}vyy9B--=_n-7ZbAK4Gea#DAwh*$BolTjIj{q(a6g z$}pa)7jVJEG&X0X2M#qMHG*1rpm37qIM}KpA?eP2&7GaG$8}2h(cu=X%DI4r_dVc5 z6}lU*uCo^yve`3ddHXL2{h^{qy?->3-?GDYh(cbN_Mp{)TE8R4_6cerEUwQ~G|Bx{ zdgW|#XSGtp+vh&7qS7$d7V%g+6Vth2|1*6M9NM zTcXZmc{K)gHl7yQlsoS{i9}gOU2OSlsJPSQ zR`f3O8LTbiesw#bLnVE**-3WDzZ7d9?q@fi)-d~Tw|Kt^^jGHtRIMRCbw@hwJ9<*S z(Z6CvK^)~Cw6X2M3$}3j&kBDI2i@xIA&G(fju;dMpggLJ}N8&5Ym1UoS?h>wD*6*_>aQX*-Gj ze!$xD(X76X4vlo5;j`skF11>8{^6jq(aIXf*$nqz319_mxz}e6hL{v7!DSGYumIE@ zG8Z{)byf?d7gSj%vRiB!-|uIPF?%(c;#azD3O@$)hv^b{Mz|mM_L1V6jf@Z zThZc0l{Z|HMACQCT9|iC#j`WD0u)KM6RI)5pV}fCQzuJ^{qVXO_QU?&;nBiZM?B6I zvtNx{99OjIrr+TgY#O9lwR2d3^|v$m6ASId*7Sj5Wd~}?Mh#` zkM8vQ>8uC5cNmsr?gjq^ezfAjUMB0?*>)EP_5nCVMi=;{y>1{sFn@j86Icl--{jK| zVB7W`l8#~BnRR9lon|GKeHArys3m)vBI2M5X?6uSmHh}ml}P$G@6b}WyFFI4lJb=S z4wdtYVZI()#(ngiLXe9iB#_whU3#akTKW|s-ZJeyy3X5^O1ZuETG@!>X9f>JyYwxQ z9^4oyEvZTM8nj*Ewt6XlH)b9x-{H!y6Xh@oMdoWG1vEe zKxnk07DlD~rJ1Z__c9!^X4>MA?_KA^c78rBdc-vQ_|!PXN2$Cz==ntEuWK$F>2cCNH&*!!>76FX~|S1JfElA#1a_PWH$@M|*9yuf@cvBA0Bi;C@6zN2|G$fuC_-_vQmMy$)J`BFbw{A8zaQ5AS6t`^^>E zv6M3Hf&vG^hQmgd2ghswfgeH>DJkZD<*QO;TmAO(LG*?ea=Wboxp2S7$BWGCH+WtH z^N?Q56<@qLWpA_99Cr|vgG8n|?gUR7a658B-{zO&47znGg^V0mn20|8N&faYaYFUA zh-2|A4)Vck2;{#{o*OQ(j37l$X z^~Hm^hU*fm^)Ut2$6|sWG0%%x3-jvl{65pzS1xnR=i6tMp3SZD%SHqDKgyl%sXg1( z42e>_csLnyhW1e+f5pqh)2dbtEkiT9mWviBK34So;P82ixlOWd{qa)d-}-HQRukVy z$iIE-v%_FnX4-mlfI}8MXq==f^+q|d59Aa3bwWUEa28oP^23c2eLyQ{1!es=C0_Et zN~z(wJn+-}YV)@z*kLJVRYD7bFIplIXIIkGU)~RBo@-jKIy4j7a-jZt89w1)uhZ$L z3&w|zTkFPj?F+TLaW7^mnoVq;TUNQSo~^SGBUvM+;}~RcX8)w25cQnZ5tN+fcurS{ zT4L-Hb}ReB=)a?V_fg$qln}M}zt5fl@5ewC(92%AL~37##@y8Jv+GRdLFE>mT!R22 z^5>Yu%Ww(r*A^-!<}yMulEt_6DidZNen-eToLVRLQJbIfx11bjb`8uqgsqQJAM!tc z!ndOOz=%55)UTrG_@yN%UigoJqn&-d`VGrNp4%>4AA{l@8t`Srv(l`__b>Xdea<|b zlYH*XqtUa3hFRWyO(S9$cTbGY$_scMBENuoDVOFItng)9EfK+`+v z-NkPU@0Qjc#)KUCGUu|ewo~L6^ceuObr(oHj6CWY_2);MhZ-ShpSPqlPsK!Fad#t0 z(RtvZXQH!D44U=I%j#fhK-rO?pUK+KN}d8IoujohthM@of)MFr9savdA8%&gDN#I6 z5Wm2UOH}&(eO;~N=G8ad`EN=eJ-V^9oSSe>Ou6-?XZ3IEFB)0?nD@SZ79YzCYc4T$ z_P=^(CYX+lQ=Ox7uFwdR>_3y3DxUf%Tznb(bnkal|c8%VZ5fZK@)%PJk z@X_zdOYbw}W<*q_nYsA|owKK}HGr>y994&g2F?SeAb@Iz~@aH-M7R;)6;&g3fB z_k-!-0AsC&w@CR!Js;bbiyv#kg2c0p*R+#)cP{G8etxW?cWFEQf*-;Ee51krizV(I ziSH%O(C_I)ZmWG)K%6g5mJmIVX=!srX85R6h4$!?$yfzDmRu^ytRc=lQ&)A}9v*WT z-z&Z18;R)Zt(v_Z;?7qBTsB=BXYjVEFUlOtslJ}{0j`Q$p zN5K7@9)TbY!)%$O4^KAglo}OOJU}|>?7SN!d-klBEOV2LcBEfjO}x+i(ES=WSW?i{ zzBE1XjPT^q_d|Zk`-rZeTRiIo6nj(Qr0` z``5qdNk!73XE!!3YfP&K&*)02rI9qWG{)@fV;@>^*FF?{wx1#|eN%`ns4fG%xm>7_ z#8~d|OZa`OaUT$11uDa=QG8Qv$kQ{~~{p z-mLuW-LRS!AZL30)`+@!{G*Rv>D3FUX&~P6WZYIr1}OV5<@I#tNu8l9LK$|i`R{>S zphwVpjK$h=L9b|j>vV*Z_^!cEf>yo9ta%Zu>acv)pQ-_#ggWBIBkNx{Hnjbz;z3RI zy9Bx{XhySkd0$O&BKma(JN!u#W07N@iYhZN&i!WUr0(R_2(J@FA#OLr)aR3^H2$Ix zd%|O{liau95w=4WtCLW0PnseE3Nra-q^!H7{*rcQJGRK~)knN=rz3Qx?l1u zP&><185ZUjS;M_Y$*0%!-%c}ZIvMy`r1a{@vtz9-^c$^z}tf{Y2B(txwMpC z(P(sPyUQZG)QPr4SgZt%r7K2i52){6Mzd_jpb&4G+oU@Fw^xgdI-TOZ222d?{*XUsuDHj~T*nJ|vYLE`j@7tlfT&Aj z+^=0c;<#2jJ)8hN@d-I!P2M#TGlJ=Jfc{Uql6WXFK9<{9)0Oyrsi+-{_JNDWyN?}$ zojRy?De>@^j~Ml(yX|};pEEEaxbYF9zdqiyH2HUO1k?>av@3RQ49Ndm&F_`_Kq~Ld zInnhyGKc4d8b7fkSa<#A^C8XC2i47c5^WzovpdDU+Y0PEx>+_ch#7;6-*bqerw|Hw zy7X|Uyb*RS{29O)!*?qK6Z7E+bY=Q{%)twvjWtLOZAO|zu)y%p8IAn3%`^R zl{tM{-4QvVIMgq@AMzTmxC9K7d9@wY^ft`jP$_E>KL*eJ1gwPm!-p`h1%zstaaXwhTB!67HcwoR zb(^$k8k}6=LR`|ee0-w@^bcg|UsxBWS2`Y?FTTPJg)Hv`74E10@mt=J%-v7bun5jU z3}S{)d@B69HQi@Cib*>0X|_3%FJ^CQPVZ^+G{tRJO%q&X6b+dt4P}NmmcZKO$BqW* zT{#gX#I?AD^tA!pd-lmV`HPOsvcr#F)(}Sh-QT6cklHH-b4d;&TaY|+B_2P#e&y0e zuDJwL*eb7c_#w+Ecx2m{^+}F+Km8$kegT0Tzph8|#SlJUFi5bqli2v%f6%J=@098& zM?=sUG1}yEtFS(`@>jQ7V6Vepa&`I_-SL%bYy-0YRG>R!TgDTiyXojTvbvc%DigAH z|A~tFtn73!r8)-g^=PqV^SjSdb&Od#PR21QPxq=6y?&-da`9tG`;)A)O?k+r&7sx9 zW+o%6&v~h2VvN%6Me|p2O@2P9I2ANbE5CA?OP>+3cQ?2+nco~#s-A}%V0W~w-$p4= z#F?@>AROiUYf;g!_6v+1bQz%54;g@tCKrppBxnQ}Js8rO3+4(rdj$lw7>6|TCdWYl zo1Q20|K5=YRQsGfuPzFI+rn*ZzNpNM5tD~JTXbd5njPY;XX|Iky|Y$k-;Ul|z7z&c z7n29g!~g_grn_`a&e`je^Q&B!{;6%eY%~qTjkOl?e{fwIm)g*QHBE_=iXOZJ*}5IF z$jog<360_?SLbBT`tCM3t-pJ;264(rqflnoTg`h0Ja*o2EKHciTkLSJ&0n1Mlz)~@ z=hWU9hv|2<1y6|8i$^+&de5WcgM|Du4_)dy54lEBPSB!qDh#uodDXn#!#@n3u;Nwi zbg&4d2525)Fk^NYC_6aw880+%l8rFps5mT3>RHUIP!%akwQ>fDu3?E(9GX9S`Xo5a zCDL_~@A-CYmBa&%`r;4vV;QsT?-#QFiH0r)w$gO=`>gwHD6%-chk3WwXIgw>v;1Hu zXsn@cX9-Jb>3C?14*S1u{CL>l%=4}1JEl$@KF)fya^Y;9lHbG~$l^9@Yl077D3FbJ z-%!L0g$O_sTt7R4w-1PTiprlL-!AKd7C3~SMI{h|e#xx)ac73BAaD2$Le>wgZHN#i zEI+VcTv`7jfKS5z)ZiqCZLD5EsGtysMyKD|Ndyu{Xn%Gz50MdkwqW#?UlC52oqc8I zSsMt(MMvdpa~uO$N41`H>yUqK7xz_vc8~?Jhgd6Z1xEad+_0JmF?4Of47S>zSaE(* zt@jNU(%PrbZwnT>vAKR#eBtmXkllV;X;<@3K2GZnSlhR<-Es|KYfX)7KP#LWzdrwN z`T-%ob%Qp*e|fbZF=N>d+LrI1!gWe&f&Akj@Tm!#xt&r^P9$J)O%cy9W$B?R-Z7{G@G` z?J@8(w@=9+DbN6*X4Ok1@RgJo2ry3M=;D8;u|b=fX>`>Y&A=oQHw7b=|HZy}Ip|H{ z_F(;0-VNoQuI5vMEOJ|-{+tbdF#fauJ(tOZh2x{wkf-1pXhGO7>sW~=3X1G<}A&YZqo|VW~ zf!7dJt|6Ja3oQrLA)^&ew$~()Su)2)-7!?4+HhFs6!MsXvE!Qwr8zG>S$TrJe8X;+dQ#FHYuqb`8CQ|p+w zGuv7u|8NDU)V>F~&BXB8iC}AhE`XQylAuvO@xG&k0^^SA$~>5;X9_OZS${C{ z=YjfW`PD?%6`Xf#XxWFKPJa~Kx!u+{{GU4l=PT%k=X*qNdnrB*-5j+ZX0O;ptF>Fj0Gkl~!n z@zwiBnLs$mcPfT^PJ7^d=f(%0g$9=~iLop#WI8NpU%0h0B+wr&EKREv#0En67Z=ut zs<6-ov3i|~eFhE=(R7I_QoAEhip+$yVdVMQxCB30;Xm>vj0-TyRvDD8jJgwow>f#J zOM#-=i`mi&r#$wp<>>IKpI*Sncq#2_>dpK-7;@0A$0R;d@4I~u%|IBtsY$Dha-O1T zxg5c&BKAk)4XDyzs7dgQEt+M5q@L(N_L5D43z>6eh_OB^O1o8|%CW?$XU018A=?Y4s zRz}MK#xV(Vnh1ZnohXtd8&M><$;!(smNCd?M!}#}K{fTt zqEG;aSPu#~J-HtXw^`Z>Bs?a-Np9xkZvJI{)1)h&u}W-qmEhUQiN%I;`OCnk*uhVjZ` zoiQt2*224CvUTt~4b_UxB%DiVX8bcpLne`ZE>rSh<#O(u_3J5Y$B1Uf)8{Puy%ICD$_f|R?wi#FyfRZ0grh9UMiBiUf1I_jk=4c9dKz?CFbh{fnO#gH+dU^{ z0WJFzhF)(WDCJSkTp1C9Rke|hIhiPEs9#QhVKiDqT&-NTE!TUcXTH;5BQ2c_wP9Jc zdp&?m@2@~RrU&yb0i@;qq!sE@kZ2K0XNdz^a(iEQV0uS#I@1nq=3wo+W>FfMv%nZr!a+b z^ccVwTFEZAX|}*(4uv3or4fc#C|dPAml+Y!`ZIV>*}|*4?wX6|*r#K2qn+6=c(uOF zh-QBaANd#(L6bhiE0HKkyBJN8H%r59 z=ixDC+k$43cIxbdo0VN^6BZs*?-(DPn`_6#NS%Ot(&lbMf@CBOChF7C7Fc5>JzT&!C{w4y7?|dAgp5J<#y1^ySrmD(|jT2n&}E1DzcBK!6`ci1pmvv z@$?rjc&AI3Zrk`2^W5ypr?#c@apenYqHM$^!@Y}XCdMBnaO5TiC3bHQB!+kHd6$2cJ&a_j&4^x)rZL3YA_PFte{ zplA3IFS)9y*a=L8b5^Zynd6EoBoV@^Sxsj`(eFolP^5di+ge1I)-|(-mrqd6`H;RgF(k@T58gd!2RS;REva9R5?m~UG-wOH!@}a#%=TBL!ter%N6H37%@!QZVSSvzdK=!R*4E3Ei4yesKAPcz;}ASv;d&eC%);f< zL8>Pyj=eIp=SnH}5+jp)`eJL9 zJ)u$O*Iv9RV(Fh<&4(PDxI}+WbceK(xEgKQf5bz;VpLNG_6(~0$#yKT_rHWkNU-}(HgWN3f= z4aWgeXhP|N5Y1nIgY&P|U&*m37Zru@@!7yaXQgZ6<=$Ik5`bza;i5J`Awc<#3ufa` z$L3HM=NQ%$6Xl24i)dl9`PREl5zaDyr%*S2|CGvPjuE#nF2D^E^%sZr15=E7HVZ}$ zVpd_i?J1j$&QO>!8LU)UbQjq!Ly`jfC{_L!@O%w!&bfp_Z?PmfhckZTUXMBLP6TIP z#s^DVd^d2IZ!p>6)->mHZ{Q>dCjcE;;&bJjzgY8G;T{R?>4N2&$0q)YSIz`4dOgQ5 z?}Yqpyo=IW^XzQP43-^n6>u=3-`-8f!(vm3=_lHA(*>nnnKQu@Q0C-!EHoz@G*t+M z9ixBtirk#4Zj;6KG6mOq#W%kb_`|gX$Q69ZMYo;ILR79hq$g}vtt#l&UTS?ZGRkV@ zy0zv994ALYcI?W^c}Pg`%@HNxkPiEQ5zf*{ZqD53y)*q9XoYc67W^}BR1SpQCO=TBgh!f|C)YML%KIX7rWkLAq==L@ zkljEeF{E8rGYsx8Ji@*~4Tp?JI%hLxG+$#*G_7j236Uv>W{QdjrUrtIN&Ww(4rn~{ z>7bmP%PP^(lg-yYXJ8>}?X&fyB5z_ZFVSbLeRMTPq(J2$d2#~LwVV9~R;ID2i%q>6 zZJ;7DA~hk6vU1nl=>*9-H#mz)k`vY=-ybhw>>Xx|PaOvpersNu=EjY7K0)Nd=A#%6 zPdl*dKK=TAqOnnLrEvMMnhXbI?gs@-VdmT$(%~z~(}3h)W18L$mb5I>{F2MlUDX9{ zTjSWSvpduKnK|L&c#e8k8;|(R+9lJvJrP;SKbi}$F*j!Xj*EB35!r90C)8Tx0UgbX z9k#?M;+XlJ%8EnvNia>4o)r=3fv<0t9G#0=&^u0c*>+gTP9QyiR|mBRKa&$#u@Qx9 z4@KJ6Hc$EatZpD60h+#l1}FCe+(L}8g27W|BlxPUP+@wtM-jrt0YoUKm@Y_w%31s? zHu$ELnY#C2kA<}avg0jjQO|h^N}@i*tm2y>xoGAwL$%^D6UkpYaxm263GBb{w!ysbP;UST9B~;MnmJjX6f=&h(iD zYUrmL#n5?H`}AyeRlpI;wn7$X-I+ZDUMfBE-{h=tu3;jXjAe%%?GxGIL2>bREP~;cGWeViTB>d z0=YtE=IgB>9xrcCe4Gnq<;(%=wP`0)VoL5Q0PV91F7>SxXueVqE#>L8R%M0#8)>bK z1B$s|s^1I}Kl~FswMa_~&lmuWWXu#$_X4eU*uI_+nbrKJZj1OxpsY|?iozjGg67D? zGwUEBkjZStKJQV1HBde8!4lZr06yR+devRGS`4f8MZf*p$$`DP%kh*!us!?&oE4vY z`#+Z>_v-iUZ9E2jRDTi@B*<71fNxGol988v6%kq+-esz0CNu#2hZcOs+Qjz>s}NMn zA#&QJUH}$M;V+w@BAQ3Xib)3vH$A(0c*L-=kE!(ujPyb!X^4}4JL^z&A~mV6^cbN2 zs>6-FB@Hc#s9lZpc9!l4tr2H7m=W@`G^xm)O9sU-sAZzUvU(?dJLsTiwZ0MMw?$3R zjg>j6POY~u<>0J$xwjSniw}F2y)>bmE^7Qg9Ui(ikb=@e9ONrBrevg9pGaj{AII60 z+GDI%5^!z$fPTAHZEVvcp|yQH@2eyB9cK@PpstO7`}cvky(M7{IJ8iDN1UM5N{A18 zO?oNaKb7nhL#!QwOGBi2B2YZ#v^7g6!!BV$>VZR^@MbpHp7F9F6EOSlJjh1cb3*CXfjeWJ-kwlvoQ&^QS6&zJF*FW-JLSltcqJ&@NLKu z$Rg($F_wpO*wY`gY5k#{N6X=n7_|uUXT09gF(#jaO#Z}@EcPwtsHcJml^`zYzePX0 zz&qr-Rm^Gl#6-~BNJ}10l|a1gbRLKK&zo zlmMkJ+|E}hn=r-3+=X;XBk8g z|Bc3!pW~>H0O)CSO9r3?eb1C=&6W{oyqE}$?j;S6G@T~r3SxmvZS-++r;2EBPre!N zYSmSY-wARgha%(|C%JgryaDjO{H}J-!gk73=Fujw1X@LOo71&3S^FUlMcQmW%CPN^ zo{HP_a|jQvtnA``Al8c-+-)jgUVzg_BL6du4hM2Wq9(&|ua=6c|8CZ$sj1I1XkETS z4C_dAuA@`8FU_R`bcqRaFUa9=KiqoUhnN;SPJnaA&d<{zhj4!;b{}BRNzMpT3Pu;M z%&GIYYp3m5N}NTZ82h7a$TRvkBP1WcIjDYHOyxSErVGnD$;grAK}P| zdG5R9E8@{w+F$&Evw~M0NG^=MlB17${5;F;-Kmvs-sudz{ol6@raY6{@pt?}rjz`onlj31I;e5)J3KIF5ow9Rv9f4}I! z^xaRQSEF7PqrUDPdssYh#;)L*EhJOX>q<@Jk%`CKuUuZp)eu*vwwTyghgqkRe+@SO zu~s>HH3`Xo=BuC;kG-t+>ikKMU^zi&CaswDWZe4l+An+Xoqrdu=gVIIRxq)MTvf^@clNIy;rg=q^Ba@HD zGfonTRaCZr^y6oslLck`b_;xsdi3UDvGFOF<0p0nA&wdc8dzFKnHK0KB|yJx zx^{-Y1n&cNu`hOI!Gk^Yb2B_#=}Lz>wV)m;2jmWSe7w8%>AIi4!nlP@ow#`gmrN3= b(K~e;+W-K(?v_1%22?!I2&lpQkJbJk+8unk literal 12801 zcmeHt_g52L*S3g&h=3wRBm@uT;;p-!+pd^1=UP1J3;0rHTQAH(1(c3B@1x1kJZ3QJ!Q#b#h7cOq1kDXjR zMHNI%4Mi>7oJI9qU%WbS3S7n zc+m%f5xIU#;lk(pLw#TPl-?1p;qP9Gmg>#STI6vBM3AyE3Q!;)rewVDd91>_YtJ%E zo=CpCnhI&ZI#pd7_4)qg8Qu@W8ap<2#T(Bo%q$`fB4Hj4;gm>z{cJmFgSngB^)c`pnaYicCRct48EgL)YomD^&s+?^jmm{Bw!wgMlwvzT2kx zJ2!Ru#}MC9H)4pNscMb39!(?ucGf$x0!2BNmDRRRP0ox^r>5tTBXUz#mEUcVlN$eb ztN(N0|80x^A8|FVKmWN|Bq$P9Qv(UGI*4sSp2Ca%!XK69%7LlijtrTO+zO4nXc?7$ zvQooUnfj~E74Nz#dWoY_R?01=QxWsqs*B#yVU3pM+^fC{n{xa}f_jy0tei#D#LoPM zP`{tKF=4h1S8CYI7o`8ZdM_l2%rswNjN6kOl6+O}LxM<=T?C-|x&1A!>JF2aV@vb!?b_Lwubt-c!E2T~8^54U+ zj(?ewZt-E3crNV%9E#1eB6NhDVYVCX)C^2jo?dq!{f{<-c^BEuqg~O3TF&A|0=2&t zbin)C=^J(%RI(8Fro>Lm@L6%3ox6zDSMRZuI!<(U@Vm5E-*z5WQM7KTwJCXz*&8eu z(bqZBt3JkQ@z3KslT6G*#=_r^)~2`S09L&Zt!x+cMW{iQ=+kX;M{pE+E2r=}s*;^5~!vzd}Bm$5y%KlLw-Zy@B^1_5h@r~nw}oV=JSHuZ_L;U4PK{jfjGidAz}V-8*j5&rOATq%yC0s^-5@!N6Q;}e=K|Bp0~up zUQ0x|k@4m15Ze)uL${f(yv=B5Zd$v#{cpK4TVaC9jpgo?A1;joIW41W83Ca}5V7@K zEm#dSOa*_j@miRpaYjDpO^VQwr}%YN7(Q3n6Y;A)O=}P{V3dAO-F)(s1^J6(*Y-a1 zdrb+>TP}A+)wNyQ0FuEe*y`U6fA`YlWM#B)b(T-&wo6%^W`P1}Q|W|r+f_ZgU9Im9 zO?**(HC}`0oF$j2;1?Q`j$OQDbtu#_)*vJx)qP8R{G*@Vc~dYtEOEDVVUUx!-uUYpqc zv%bFK%f?(FTg#5&%l;g>;0*ay{Qwd>pZ)of(Q3SyyrubSsexq!8n4ikffDSD)d-XM ziL5WJ`mESHKWn)Y^Ycyz&|BXq3>VOKWaJ@bu&#<|>Z@6}AJ`JN1X6<t?MRncW-r{#oBx)U3aRNF zw8l}4%FBCi`qODKLC8FFFH&GC;8Kfv_JS?Gbiw@aua%sMY_mXmNEvcIzS!p8$8Jt2 z;X0;BPA(p&OR&?Vo!vfkn*k#>KJNYdMN_)Y6``Hqm{beZ%ji?d`FAYD{@mpTbYtVA z0Z4!g0m0{gUHQ>kvD4K3$%=-dR)4dcnoSuEr91+z znePFs4OG<9%ud;~Ws1nu_3j<9cps0)JvTd6yj`q+B*k~A)N*3#YYQjxuJ~98nWmD( z(p4G}_e(wZ9*2C&wOvLv43m2sJOk0*S}ToXs+Jq8%x}N_&Jddkd_^$fwfR)fV^f(e zc_7ape#LaaaAW{7*kB6}t-Mux`QvlSiH8#V6aj{ zzt-H!maE;gdR&@`Nu#;I^8z5dUXJo!Jzgb+&bFK107)j4dgn=IteVfh4yp9oS4-03 z;qClNklV)jHEJzHIQTKt6EE@E)OB+S zZNEoT_W*F@lh#=XvEetGPhVMkQKhaQ&qK8hatkt86$fkoMC%a;J&lM}SOQlKN3%~d zVc3hTLf(YftE(mtb1L-Hx@ujhZnDSh=lLU&D{g=3^*tV;{v;27o*W)NzFz?J9W&6e z=$)VYIpxw+tE}-#2ZWEt%LMK5-_Afa)>BeyPO5YUkdL|o+)rf9NE>iOp@^?GTm{e5 zEUbwF?Pai{Dykg$%XV}3F9eBxSau`l8f2^~H?+Vwd zUzmW2ehv6F@Yf{_Hf|#5?Vvr!SKR^8RphK#*@q*L=Y3Wn< zlJLZ%^=MOHgz4SMu~$AjOiMT438DeUI9K!y!7tzs1rM<==CaM=JA1*(l|I|H3im|g zWNm{MJF5HcDcQ3BP8q8{|JEZb9{;aS>$Ppd!Z2aIuI(3)IOF*1-%7j=eOz>BMR&xp z68qwN6X21jb<`juIXof|)sBbA8Mrb@ugqxQ6rM@8X4SyFh!Ed)&wx%ecHeV!|MHi& zr*zWt-+gb(Z-QDM51e-k7Af_}S7IXf(3iipC7W|DTy**^bd8-4apdzR;O$h8jGo0S zp$9V_D|Wu`lEjpel94)i~iyM+H@Ae=O@M2_XpW=XQBV>@S5MJ0-UhHhS^xD z*uq`iJ!PYd&JW*)K-?yT7Edm~W3NoThtK)xc59gV{b(lIugS8EcG>$P_kPS#AD`c@ zM&24ZC;ZSs`%(OL>0Z%Rrm#YWO{rZ(Qt9sYV;7=2|CCqhZP4HHO)HR&u z)v15Zx$!3Wy~#0l5;rTAy`fp?|JHBCwCI-ds?B?qKoec;`5MP4V~x!9o~+!*D?F(k z(FLDhRbDc;{u@5@yWPmpSnS+g>E;)}k!F->K?dtvq1|4wPqyAq1i|Y?KT9l$=a+zA z>W;Flt83%WyDLxdmgX#d)hm%MO^!)n4^}NnTj%k)_6@Md@2?`ejJH`~oUt-h>>J3u zxHNIeuhfPRB{cW3#LbxaG_)v#Mg4oIDN&~I!3$%V2Y-vIzLqx4{1h3Qw>@8e-B?Xr z*yBTOk*gj-ukEi-~Plj*V)?3oh|e>v|zNH?3=XB zdUV2!4;SH%N_$6dka|*+&O~I=Gd_x=y2mAxiro^6kE&8!+zuQZa#39r^IhQtq71!# z#__#mRWz#C=?WGI)~swFk@fh5hu^i@DGBa&=NIWC=3dz!;d~ZX9M&RxQ&D!H+Mam( zzRT>BR~lR)m%qu}j!0hZVjk&WIQ?A^kd~kfSZ!pjr;)oJ`J`PnxlhSZ0;KPuGxRPQSGSgk z8{We&RD#rs1*b!TYd?qc@mEI-TeY{IW0;1%XR)~x?KYx55r^g&^VEZgWXq2j-0_?# z*%R0Rk46Ovb0RkYFAX@vsCOU!I2QNO!uKX^aXZ8@Szbj%USZJc=VqEb83+)xZC7%> zTw<%`s-np!Q%j#etBOw~tt9k~iV@!xg`*UeNnd3HV1DleI&xaR)us}q-O|#fhwjU| zQ2_&g=B32_emvFCxroE*SxpkMFn2t2I0m^iD8IZJG_O6F;1&cQGUSD2B0`_pB!Oi#zrKeK!qiO|e5iUz-?TGhEqb(CuJZia7SHxMIaBpA6{6vy}%Qpq97D zW$syR9|pp?UA5)XsfF%YjSt)yoUYmu>C~>%p3H%85m(^H0d%d^3b1sD`=6Cm(=3ZS zgJ|zt<(Yj!3%zz?Kg?9#Y>e&iTToP7-fNEuopF~`7>NN;} z(M8gA_Frr&!L)@HV0O2zAn%`gyx48wf?}0j&BF@2Er+WIt82+W%7PEZ*;;mXvzDGO z)80Nz^xJ>#d^Q2vbpH#(A>sCmyY9`oTLyF-lSL7|aJaP*OErCcd?(WP_1!qnI* zgsYWN@8I7O_Yx@YtG@ahq-7hC6p5M*IrF9*$s1Z_ro~OPUy0}BLdA@1m4;|*GP+mH z9JR&2w`&@2#3%-9Ex%vnLob&J&_!(g@><*2E5w2{IJ;UIC%3>iNHJP36DLkMd&C{` zk)qHim(ahQA|^S_!yzpMwauNADRXTws&fzq%cO zr!bE(akT(YK)T@{hc8>>#p5phqD+9s*{!}VG4kd_Fnt*2yGowo7k(O8?6q9UxUR#s zMk|c0t)Y*aeqorm>PK?)rACM(b{>Cjb4Qq_Q8lW`<)BwIkini3T}2cDe%Ou#RNt2^r?PTRO;LM2l(r)rl7%}wL1CDd}M}3Wc8dD zD7`5-TyiU%=dgQ5zO{A?*U>9+y$W-LEPY5%e~AdcdZ>9ruPndTRLBj;y;WsWzr&|$ z@UQ(j94_a6JY%~S2@SOW%D7gmAIYYulQJ-YlcMyUa3K^GPR`nzb8Km`Is*|K5xCL9?ct8#^NS z53`^sbi}Ev)eH0&L=WimQ2s4pD<&9UVwJ1>@=<&>ZlhHC!B zQ(e`j5qEDO{LbY3)2yRGUtoWh)@G39{^z#QSaKRwyl-$%aBu3DCh77A>c?kcv7@GT-wp|)T?~z4JnA}abr9YBEd^wpWmLZ4YxpjtiCQog; z$$R7R0%3_(TAG^~|0cid?hOw^ot*1RvMtx1#Mv}2Q6lwWJ?A~7$P$igZ|jnN;kZeC zrl07C&zc$j2>pesskx=cpsdUjjcMwai4mQ##{D4svirpKGDhV^<6q*Vp~a8qh7&&} z^zv1PcD!i0WcCmID_^koPx@KueFGR1bLF`4fxpSSZiyDnLWFq4K;Q@cTvthjvwU2d zh?s9nbxUUjLPtZ!z1~I;B>V~v`UY+E1Fw!o%?q4Y=Axw#_%r7`ur>bx17!}}3eXBu z$BE0&rwv2m+}ZDS-d>RE@1hzn2iTWUJl~~BwwAlZeY3wRvn^~CSlgZ7oqlMVA_=~1mEy6B1R?Sp z4pYI&a`=G=Wg~c#Fea__>jAPuSfNb632g-3F%2}>_(|*T*f!1|+`1Axbw3A|FDB^@ z)`#Xq3mNkU#ixeaM4^5S9**BaC>FmWT~nxFz{w8ADnx2)&^E~rjxwkDtfK@FcwR`u zqPlf#)9aS+#Iqn;k;d?#P$M^;7-e(dPdeTN&*ei~bV?4zC_1%oQbVYn@%me!h~FCgyZS8X@g3_o_UMVRU3{So z3PuV&LEw7NhC%BRM$7P?N6!x*7lz3XD-HoJK_IEUOMRI!yCtFN?CeU{XNcnV1IU0 zghB!?HKqw?0QK__yCk@NdF)2*6#3!YlBF-R*UA=VC^L)gmM~OCVACr!*!1_HiSGHzFBT#E_Wq$u!05AiEaDsqK zn%bc$;_?#L0lLABp@%m)PO7UhKQO{j2T$VmpZ8^?ItlqXFvYGG`|Tl#yAR7htFFwP z)Cz)0gQO4sGP}pDr-jvqA^I_B%f$;K1nS^EF}l*A%jM8nGc?kxZU%x>$sjV&x)I6% zs!Pt#`)gG_$34)e1jA!3Rz;I&bhH*og2B}tI4Pdc#sk`OF$3=+Nv@Hql*XN^WRG4B zTJD={5)yUq+A&?qFZci@4Qp$;3&^+8Vj(HE-HKutGj3!i1IjVxo2ijTUTpvtC^fWZ z1J8BFHzzuI^&b938z(w^j(m*2FuIHg;G{xKKIqTw@&U%?CE9JH_6zKN0S8EZx)^-_ zoW;Aal?O-uX-JE*)gfI;=ZFSOdWcgqO+}*WZ9u+Om);StO9*esQh@RZ(|ZvGmBmbl zx;C4R@tg1~l;|OZ$5yk?V%F%|!kc}nm2LITE5}TSm!qcB!1`rQO5EYl!`>$nFhL7t z0KJ8&b`LC(Au!85Ta1xbkUun{S^L#hv0#F7a7wAGaETWEvr|7uotl38EyobQVh1gK zc+;D8lS>4Xl8{LKe(Xm_Q%RM-N0; zuWYAdFZeAJ!R5y+7E;VD0{BIx>YWGe?vVm9LT5okVL0gl4sfe)hXTR-C(i#O>A485 z;8WXE*l1TDPe4&*xZpq%WZ*~!JTk^~b1NVGTfsQtTT z@!%|c_aXGqW5_Ns0itNXj1FSgx6fmol_Hz}7ivBGMX&k<0B)?~X7ALRV1P#GCXV zC}2$JNaz=uP*G_2VYuvaE?h1!BP1j8@Ci`g%koWIxi9SNCdbun76AP>#hVX9VW=-7 z1)h=CB3kInij)0?3)=P?=P7hmqCQ~MRC6_7=vdmdRwq)Vs#s=h90FlpL+ZUW5<&47L}>s6w$k@B1qR#!uG1glILB&(jO zn#q;mex(g!TH6?5T@9iP4L(Eh?Hw~RryA7=Zpsm z)ADCVZX=8UX(8_Da1qanmK(6{-z4cRXRQ=!{q*XkVlRQKY{6tkhM$+fAy98Cf@5-! zAAsT8^q86*M4s(BmPB`xx2CwZh%%zwC+^`_VQC>ZK>%2HBsfLEL^N zw5-JuI-@_ovJ27B+Vil386ZGLTX(P(&AK;R@!NYy{G!yNHq%@edSSYEK|5z7b7~ql z+~&aJx6$q&Sz?Z}^g-U~b2(YrkV3?n4jXk%F;qo;6zdzO-o8e#BZ1ML%_a9Zln!JAX)mHF_UbuL;)Q-pmkEDAfi zQM6rsE>93vzG}MrhNiy4JW0N0OnP1Ct1Ef%#-0>@GejHJskB8qJNZ$>OCVn34A#=4 z9JzHF!kyDzGnc6<-+c?JDBp;(8>3b|^BE#Iyg^%^>=`VMux>-IP&&j_Cr>=x95w?M zvmm2E_m8EP{wNWuVhQBFwtG!2MNfTXPa6NRALgd4#dl%Ue@v?Y_any|#G{~W<_X_W znt07hT5b{P(5w%AE>iRRQ$*exy`+bau=mZ&tsUo|8v0CzyY&Qm?YM;A z8n>eu&gD7GJ)(d4;F6aMHiUQ6D8qu)O~FiK#^q@1Y6U7ZmPusy!SK zL|Hfe`zKm|{5K1W;}+Ih`OY7P_I(Z&`QJH%a?jA<-@s|$ZK#SCbBAQU0O>k(58@eZCGBR!1 zI~sk^iC8rsh;|FRdAban#g~Ic8)}S!`}@oq+Y$2kuf6l#3J&#)nN=^ry-Z|2a?2hw z0gO@~u*d=N+m_nbIviYH%!A8q%8g(c@|6L{VqoVko)D*&;}1WDZqIRmGpZVVFJPd2 zyM=GDLX;L(h@YVZ*E9&KI*Hq5WGvS(cn&fz!1oVa_@J_?lMbZogjv)aJ~B7Y_g1

IR69GgGr>9e@$Ek2@Vw8LTLK`y4)uUub|t8c0AeIkxTm<5}x zA1j*S;+v>HK>m%SPXXyJ${|4T^7lGxpOp-|lVWP`@+v4N~KR;`Y3xn22Dhwj& zcx#3W^(E~q{u2u(a)j`>6<3)C+V`I$TkiNiI0Y|MxIM={64FiuXcs5A?Mf|PWdpSR z-c#gyNqGRcBuTFZbK!SIX-;pl-!Ui2^f1xxh5LTtXB&c@l<)P2v z23^)WN=T7(vBw`5lh;mHI(KQXv6};9qr*K1`}?2gTU{BiU*I`iXlx-5T)Q!`m}>iX z>amWtS*b|lIrtWInEOBJuAad8eSwA0i#>a*w7-oy(-VMGl!I;)@ZKAvD0mWEA(^9^MM5%go@sdEi}nb?A4I52f%zT@I{ED6<;?+fbrZ5lbMV z3#U5+0{tZxSOHt&KH~2=!6Y<%pL79Endje7mGqmyPzycIVh*C6PZJkO1Xn>2Ta>^ybpEuIOfJUl~PulYA7;n7>pF+G@Fsd=s5S|)z z6v1-C<<6;^fs`wsfz-H3OnqS-4W0L=Sz$A69t$WB7R=$V&}i9h7HD^kaL#($2LZ}X zRp;qj>eEefVn5pUaQE(9!2*KklygOj><0VvIFLTCE*UZ^?-_5Pidit>Vf>`ubQ$V8 zU^>{hTv^A58`>RFfd`S9APyCaK3?4w*1&x1)TWEAmJpa?M4?2U0vCyI1Iu#3;++g- z%9v%l|1@AKr@3B2i$a`iFI$8~_M?utPD{2>Ba$T!984MH17H_MuUB%Fbn{`KktE5N zC0e|Ksep{p7MF{NyV{Pqnj)UV+P$X*&hFG3n|jS&hesd+f{~(=)fnGI75nJhh&l=A zIN-I^p{v!iAKS5CB=_>dLmkduq=9H5P{#x16X&8;@TPD9*L8Gs0Uog_;7ku^(TB1*Mwp$7MC7!I+6DCOJjg_UdWeu8z@%}} z5=IV;P6>mi1zcLLaFKyZ!P`Dy1YtiMRMSnAD|um?`L*`Frxg1yl77DTO`^cLabIRQ zaeUUdYN?MSKxy^6p2LvQoUf$4pDFse?P+v(VAAcAvA)r5-4P|1G1{+W_2JIYoP!LR zU6tM%M(-3+s<$R7^*^nMR;FO>+rFOdEdaOOtj)}9{&Ovf0)W68JO4V(?Hhd>mk4sn zmwZpF&w}rIJ34n}F&-&$Buk7%OkvIxbX$JFKVl~Kpe>cr$62kk@>c)D1gKJOmhCt< zKV862|DPQzD=S`JzfEz5WO+U*C{!!*ik}Gc3UG{8@owSdyxNK7I7g{4jyB6JL$f;N zPcgVI|Di4=bR0H>ozg#N&3O>nM+j?e{MHwCwo<9;(f)U*_nzF^8{UcDgwy3#i;npG z@stt^PF{n1^VgJ)d_vl8CZz|Qhw5~OwJv9opOUnn%Bmjf=Gm<1#uuW|3!;df$>i1J zL#f3)4iRH!`39rY_*X;jxKA952FpbrtGFt-|7(io44v5~&rXodK52~jLh@vGd_zn= zL@+n3h3n+_6D0n)wT{u_dEmqvL%?8#-U5f?$qB28GKWP& zN7S~07=lr&nQ7`t4o!^a2W&t&D$RUl;E=J;NOA#!MMcggkDWNEz8B=^iN4Kcy~hx9 zZK>4-(Q4|Jd)fnpKrIo8%&i{%r1^}V7%JZL^*A9fM>8j2^mFD8VZ)y{#0mPi%{HNt z2@~?7L&4tK@AJX7)x0hUl4DVMns~a2WY-UvBP^2uOGC%if)7{y<^O3J@@Qh2gIai2?;4p9nN z9j-r6Xg%o7f&{RqsWenS^7#@!d-dP3uOH0WU+d~_*fu@C?2M8=5_somTc@~{LqHdg zTzs{J4#26kk@uSqTA08r(P#W{ICT7lk-~@9Rt`gEAu+2MXntzx#*{M~Hv8@J@AH=@ zOz(YHY3Y5OQu@}=-POE+zhQ?zUFxv2KG3u%(CDL{vV@-f0~yb!=O+qs7G-;nf67|D z^hlo_I0>Y`ie zfjKsI4$qSIjMK~nN|kTx|0>6TUz2w?RkB`6mMD8>{zD*_dCEQdP|6phF7;h5M&Rtm z>(FpTGL0qcthVA))Q{{T-slg`S0A$tqYhe!Y_DIbu~ZgPJM1&Q3)*H>`czyB))lCH z-0=6&8ucyV_XX}J`B<9T!*ed3U3Ig{>D_-0Zyg2gWkLX-FaXb`1bqXl6qj}!E;v#lY)Ngw6Wpyt>bZ` zNTO_{9?b9K93nbDSMSN~Se9AGtHGm4e(i@-7H8bfqoYpV+TSee&kEBkU@EfeluG?{ zsM81iA=Wp%ap%k9#_(HmzdXn8-O4pP6d%Z9Q!V4J6kV(jJCJ6wc^vP({AgF!12r3Z u@bTVu)NOyVl(o)Y;rxqu?`KEQv))m33mCdk`~o{?(=+z@E3^N*WB&(d6K-q( diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index 4a8afc4a7a6619e98cc3c9d2cbc2eb0eed8f6e6b..85b2c823c88dccfc438924407e2bcdcd29facbec 100755 GIT binary patch literal 16996 zcmaI71yJQcw>65x0E62ggUjGPxVyW%ySux)GdK)xgL80qcXx+_b8z_i?!EtgRj=y3 zq*m|FO7ETST}da|-Hi$c23Cy#{*^j^?LuEt&D6=+(%z1UiG`k#o{31v)zZd@LviJ5_!k%@&!+0@C+(%6(p!O+;6h>1v9ib&nmh)C4L(&bB&g8<^o3>a7o_kRg6 z1eE`lCjYViwRwPF_AZVCyK>wI6@hYP;HRHeGs4 zwk01eG5l6_o%+n?ay?VoeDCV?e!ScarVGBOvN@-Cp?DD|jGwbp;9f1;rLu|d0a1>0 z;XVsDo6$^$hAZ>`z3ItJ8ww;w`heqWA>FOwC(C1s-1zRqfn>ZD%=M{;~vIXDCEl z64nwMK5k0$Mq%hmb4{|zBwOZ-=-u(IOtmRQ zZH&i<@J-cZQYgvt7oD*;v*!I3Y29q;O8XQG<@Z-nCZxXwxvMEfqIknoxOn{DF@5pl z|1e9o1=$FK_Q|MLwz+RH=KDx+KwWaWF z3qRgd8BDibHeXtwhXog15yzu`rqOiqQ>vAonhwre(w=Lh#l&r^n{Lv-EG}Gj_080< z7XOssP4FUm=;`C>Ve>V_#gf^nirP z4WX$2HuhDz7zDQL*!kUG_a=>0w&9_x@NaUJkkF^sVv2%YgIMigW$Qi;=Dx z1K9;vK4(uoh%l{C-Y@6l#ptzI`8{V3+sa*)T%2C%*=tDC5$@Ch2+Vc;p?yUy* zTnj$bTu!Rw=U*0&#>Q;2(fi;p&UNfse_hI%hiOlbt`wC3#RIbxXWRPEN+3F!7JtP1 zw!ZVOnP)G~G4nQ?3_gC@Mb(-E&E@f^?rx`Ux@=fUn^i)NwLc~Qx1kytu&sU!GW~m! zuaCc@OF0)Yj=;OSxvRrZWi0>W3K5T;eD49*0604-Io^K;$PV9^Q=iVGTJTTXWN9nC zN&#m@{OVpVUO2MHrKZb}Dr#;SIS1GC0CvkC z&B4uoEpK>x9CdxF%ZL8E$yKz-f30`NaBCOV{?`XS$k}ygXgaVv?iY@s1|`kai==*X zf^R|rZ=7Hq@(BQ!*SKg07~(GWT3;Rgx+iP!Gj*f`SP*73;i|j;m_VtLz^fy~;GR0j zGXCupMzrLoRx#gW?a7-0|8BIkV~FjAd5CQJHl_~lI! z;_njwL3>2TY3ZOsf6d&X96^et!flGv>84R6-5b@KHPr{XyU?+2y)}T~TBb@~w?Dow z47`60obSJ5zIV&j&Md0Q4>=&#rn_T)HW6a%a#UK>IpjovI+y6sS2k1f@yL%QOV+AuNc^}ox z?|x#}YjpF=;c0p{;02Z78BfaOSM7?aSQMX?RQD^lcw#WT)pYqu$Py!-l`pIO|x3 zQWD#d6W`2QH|{_eg4wHy&IM*vQHAmBqp`H#odmA!SKG0}(cC`&QJ-4&zB@mxlGn^@ zK_V<&#YJhaZNEIY#;L8Q0YJ~s&7HsWW7nk~#9{pKTrO)NREnR~N$SA)gm4%-(Mv z^qFzneM9liG89Z7>3m!bi+Y-yoDf|*y5s@LPgjRG4mdn-n*qMbz6XeC$qXeO$LiUG zEm8ZTX= z0)+T+je|?iJPDmnRhmM_!0YIAapbmUkN49tU#*d6<2UOmzL|4*t5ftEqtVJ_w#OCX z5lFmWRL5GAN!r4LC)ievrhA8P@9n%L{>^gha@`yKna4HJy%`#EegK*1>F~R!Rb!Hm zXwQYo4Ht7qhb_0h`Qbp82kJU8Ctt*=^-48GmeXF2cB{vqRqDK%-ZPon0W(S$TCBqquTHq*8;{RSvgPGb#+V7yG1HuR&-zeQStP`#=G*X{WbTiSA2{t|6%tsV$#mKURg&yvZR<6nZZDw@LOg{U@0=4aeO|xgo}dEcz28{@Ll^ zZswl*L|b{~nD>}0(w!h~0K_)y|7Zs#fz>>rxpJ}ZplVE?H26tr-0)gsH6dDmqMPkw z7B^@%OlU1vudx@a?5=1$DTyUbZbP5PMyVOIMyl}6XOo9&vXpI7C$g^lx`{S|#XkG^ z8TQ7afy$=1!yo;bBHH}7B2m~+{>Y*|0if{||D{M<{%oqO>6|o(MmiPC)dE!?D$BD> z;SOH^-;HZY@d2#>o3tTT+j4jBZ+JD*FZC1!|)a2&; zBsh^{UT!k!vK|#$P8zHsm)4a|Yfr0kp)o7f6ze;VV=x#b2@}339^#S)(R3153I^k_Y*#Ml{Tt75RA0 zCG5_L!;IdwBfiRwh7^PMcLotl-OxE5Ws^3SJD?nA;waA^Y+L#aEcR4dRRuo-L|F^R zI_mlU(p=_Dd!uOO^V=EHXtXa|JC-C9X&6z=t4Sggn8qJR+w5!Xf&>e`a>;EQW0@JyIvAKh>xq^?m zgO9m_%}ICh=`c}rFerWxYwryXW$g}%tzWB5!dyN87;UHK19SUz9X4iF+H`%G+rO}` z>(ls-I26DOu=+dQf8$HA$H`e(#A_k{b^*7yUn-75$j=}2^z;pFz@4KDfHeF&-NpyN z9{D80-hsrkdct*W5`5m199s=3&))b&jC>K0{~;>Bh$3 zl>F78N7>cTi&5R$J&gIb-n(nCX^ECS(f@_PFARTS^b6x(nEb+Y%%mUcu-WhRm6OxI z$dR$Jx#fa3_arC-VzmrknK{g_Ow?Bu>V6agDc9WpWO{?|e?cZ!o#b z?*T=fs7B8925AH`SYH*P%_is2^OlR5n1o#h9R{%ZEGZ({qFhRQa>pBcKRO68SQY-P1U z&XK+XudyLln9&)G+4F~Bc$Gsz5<|RiYwW~@FH%nr+=s7k+XkExi}D$3gFz-{id=Xe zodsRkBWb*9m=EhA1U}2(S=07BQ!VK z4zMQ<$^-j9)Z6(N^~Qy^Q{DANg)@|cF(_p7&Ia~~d{UVi2!U^v>~4Ac?op%+SPY|) zCZefZ4tp|E^#|lwG?ltf*yar3H$RHErWPT=R0|e19-yC~lC%Z%RS@7D)wd&~+9+_R8F*up)bgH1h0&TEtvY9BW4ByVuvX zTlBejNwHm|PUsYZB%7{1QrsKO-0gClMWD9d&C3hX3c9Zy!D|I{@WKUpKK}NFR%CmWESOFPh_c8N0 zY^?TQ>6Wz`XUTc|>pS>{oOQ2(`O}nXt<8A;Iv`pFr{?;aik6k9ik0UcU?`spNx?Ia zc_^e7&oY0lQNCLs^aIe)uTc5ZonH0=g0s!dBYSz?m{8pZGn|WT9gmAYK1ynD7JV;; zBv()|vGv8nGTx5?8XqC+c%&~-Tz_=|Sp?tXUUgo<7zypLkk%$uA~(p7JeQZMw5R1_vaVrzrgnexi9E_!R`xw$GaUK zTL=Yur+1ysg4^0}!;u5ok;BQYBNGXA*wBJapPCaF^Tb&@Wy2B=#ALrNzB-#14{kJ^ zDr%zw$Zs*~m<5(;J3k^%Si@-32Wc+^p!(CsL9S#$1>=Wku3Joq{psW4pe=sK0io3R z?3Xiga zD)QA}Z1};(aRvb;2-PcUFeJB3JS#zMp*I)fZAvfMjk}3TnCek9k-s&!D(2OiRuWS* z3Sa8Rj@r=Y3n>F*E1Eiv5_%#`Aq2(ibhBV!JX)DaUaIAwIbbfaRTsF;R^CH}=a$Bxfn zQ>*7^b|_EflRmqf#?&S*QX||ifR9)99DSMIKd7eGS{S@>qp*|uo^d2i$_t5 za=XkEI>|*Bx~=nsN&OQlRB{buEFq@&cBs8aD|zx@@zzw~3Mu?348Np9#*@S)j<-P=AB6muO&qt)|>j3gU#)Jve& zgF~e-g!a8H&$WVt6q|qH?^zl(qdX1GDD2goxHdO3NT=aCduF{`v_xzJPxUrLo3Z+s z3eo8t-HRKS)L7P%?Yy7s0-Ea>f$O;4OO)FRe>6vS#8tcZWe5IC<%txDJF2Hq}mC|2wlALB8yUP14mqzo(i z@sG)icp@GmkK9s?Esx#8jS;3CHYj|EYx1EVB9GoOjx86wvAqcqzq|6Uc`>_uAU*ev zxRdIKz7fS-3it3JJ=-S`hP|1O#0!0p9(0bhKn{}!9ebFDoC7^vQ7c#~6}zwxxqJ7a z&E{nA{IlMdS3Go|MMwDKv%dllMgBu(N$PJ`J@jHf*AIbmdfLRun;@G3o&f#tp5Jce z^QYy-^_L*;JvR*W!`~1_mPtNIZix?mlMssdq2GcZ{3g{8e#1I=AMp=;W5~BgX|6{e z5veG8Bb@Qax=pTohr+X0=Yiq-tGki;hL88CUUj$1f!WPy$)FBCr}=?*@+_~$Xpi$% z$0J;;WE(B=5VO#kFkjXJOfSx~Mk_+{)K4lzM0e&HoRoFqF$99w99osH)>UTW>3XrhHI>_gEO zt#tF_?rn_hI6~?rUir*)uv8AnRptCwxQi>3RIHsocejH)&!zgDjPo0a~z6MzOG^_?1PBET!0l^AgHOT39x}>Vzyj zl^-6!=!Nm2!ECapnI{QqMtV4>WHqOl@fQw%satnKdkm&{t-TW9-!4%+wtW=7ltYZO ziFu8V!FP^!e$^yO7-AkyU}6|ny3RA+!A5*5z~NhddhE$aPAIaZEU+>(t{?b>r2S;C z8Q%`wvU*S6Y#iQGS%`Cvn|Km{5)T(Qf0_LrAIG7D&x>ynS&FE!bc(MPEsg6AI{{F+ z`zJ}eN;IJy^k)@fe4#9^U8CfPv2A7ol7;#6yM!OZ{9Z(T`MkgbYiQfk0COf`P>P=v ziHhR)mhTgT$rx0BEuTFCg8PYiaq*_L?FXqy#&x1%ivR={|JC_8m3BGJjz{y0w?AsqWOt?Zk7WN39c_QTHUt4qMT? zb&jlnyHlU)`Oc`?!LgP2*eu!7QIJMs)qO+JjGj_=`>u%2fgvSj&y#g5Ebtu%xv<+p6^z|6h9DeQ6={qD14+HAUFvH zHY47+4vvXFiJVLdA{mUT9&Y&I);RgJ${eI7-bj5MK+F$|;Rfp^rdHaxTr|^=wLzl{ zK%!i|?>zcx+Mc|z%};Cwy3HfLZAe_dt+@DOUQ*QJn@hN-pi#WVVPCR(?mT-hwa#_U znot0&e>;~0r;at;!md}w&g&*cueZRqY@n`cd)HBWy-7XNMXr0`|5^(?v)Bj|GdJqK z!X?S4>Ab7LGi2#J6egwj;{rDN&^dYJzsn!oM$TE#XE1G?l)9_5@235#80!QkSLCAK zI%$xmt1+!^1OsWmzOMeC!5PS*$vc#&ihK8KGOnV5C#q_nEY5N`?YXVUp{%M%EhVE{ zQOgc-JQ^_$^gPJs?IAfULgVsgS36K29gwp_%$VE}()1!v#EN~lNn?Bf;fOJ;R`psp z`WZe%wD5pM6*|zoQ%Ut&wf=>{O&!&PMGEG%HV~ zqq>;k{)mDF?nRyp3)(Enr;p)1jH~H4+n(QeWctewK-Hz53^{@utXMFN5$16o{^reH z2LH7bO{ZP4SP$@Nuic_LY&aCF)}mFjezxk`pkBCpbLjlN3Cn%T(;k?)3Rs)iIHO~U z-5;!^?MN#w)l;cRb!*qN#9XU(YnrWS#;Z1}+?)boN*9}zYwmk57njv1ycgG{VF~})+qAdV5&Vo=-m=3y2b#63Q6JPp zThJVwEm{@n(6wkks}+=Wzfj}OO%5NgFx2dx!}Wb0ggwLh{c3!{T@?D>uP|a>8EV9- zZ=1UIU_-A?4J)>?-ZPa6Sc-ds!7UbRU#uVwSbNs$%&x|cDi&(jsa(bz^y!Sa0(hh2 zdC;=I!66Lnxtgy7bjZ_b(y3$3n6|A{U!p>#+BK-uq8FXg^3)zF&W4l*BCGcNz1-}? zZSBTQZ@yz{0n9oxrkfj@n8liE(`XK*ZN;o=R%jQsx6CdC%~F==SK2!D*8k9$>_4Qh z$12q%Rb$c=27(D(x`yQG;swU4Q6UJuHqSb-)Ms6#V{>y4|)o6oF`kL7RV5p>ufSaL*3?&E8nKYE`3Hh9$k|11>GltYqIz z1N7n!cP*K<3JYUzT4v~OW#?YE8%EY-+MWP4)!F<;7?i5f9mQUsbgEGuKek#`>s(V~ zuhdz+ybv+6WniN|gvZi?@@SyvS+Ki*NY%y()%vB6+^QRI^J8}2%EuUQEHw)#M6V9C z##{%O6{XfbvaM?zu0LqCFImBoWL=e>?$ZQ?GoXah(fh{wG-ui_tv`!3DUPb0PpYwJ zF{DQG=vSCo-trDz)rY5d!0X%^Yw2cH9sDb$y%Ob&A8n3tcz{JD-b;LQp=PBaicE zpX=KDtC)qiqMO%nAu0|61OJL0TGubNy{D48$UEZ&kNKlkc3GcfS+{n3WP)(2ES;P^ zjithWGHbYvY>a$0_|iY5$0F(@u`$ghhf;1ZFqLh7Tj^j`co*C@(oJ33d4gJRNqij; zFEP#+F$rP`UI8;Wz-ETcvB5#N7A|GLwI{(L_WYZM#M}D*I93N!?%&DQm5BY-_piTr zoh-qu6?tuYIwI-ZPSCsaNp_4Dwk~H{2PgrJjbhZJV`ME>&e6_PQG){L!M-t7*0gF; z>Y3fQ9({`-9@}B}Y*VeBm1Y#P?;`e)=-Wzq(Y2gveo!#Bs~E-fbJgtKs!WpQ{~&5BWB|Bs3$W z)Q5D<`X?%xRly1dh-Y{|&88e=FyH{}*q_13CnDE<`(ya~?e6;%?D_L?$b6)zgAMz^ zV401r@W+EW$UwraYSzspP&6}Li*21yt>y(GcX~HJ(BpJtiUUx*GZ?Gi0vtS*@f_!; zz+%7L1262sH99{)2lPG^uY=O$>{MfN96PS-VjEcO_*Pyk9KMcPL2ZX${>b*&1l4K- z4i_FFd(-TO4fV$dpSkShhHpTwgcHEX;bYRJ+iTa+s=J<4mU!TM!7($kd0w&al@H&F z^|T<!QEl!@xTh90kfC^F- zlU|o3U-qX1q5pFC?uRDT_JO{;Ul$7sVdF?{mNtZQI*+#=3p_K{=O|f?<9dfdo=y&A zh_;egimu)hXIOQzJ5-R9qjm9Sc)pz0CFpZafN)g)vYP?=;&u!R zRrrCy^{o|@b@3`=@u~Bf_ruEH>AeJmEYo$~9UuC#{IER$eyZQ{xx1fryLhwQKhJOX z7XG+>*v;hf(RzLyH}kxI+y6j#;!< z$e_|~{TV^*SF4}&`u;z6k_4n^Aur9)B5AYXS*PfXN@tir@e64;gT9f4@7(RD4VseV1G zK3Jww8p_`#ca-T}qWuyrB^g?ZS@bA^HjH2|LzInRZH$GrqBvDodXh^;AEJaC>cLe! z+@aBCjJRxzo=)&#(DJZqzzxMhvT+2TV9Z5{t~cougibP3l_?NRXW29YLGo8Ci2M^8 z1%bHgmPU13A1F}H;iu$fL`Oa!B?|S2Et-~&El68!xk2HpU- z@G2O#V?`c{MKeOsZTNiE9C1uCT~rmMh=IKoD8q_WwcCG zVl5#a1U<9#Vvz@Hn-dS>oLPFc$b+_B5r0LrFn_W59pIK0@5XCE%C}Z3i1#qd2fdc} zZ1MSR&5>^nS>XI(_7Vc9K?t;)$=xk_M`<+nY5ar%S`Y$%&g32zy<=OHzF2HS05J%G zNHcPv*-I!O86j{zKj);#7q;=s{5CWYiV*0XPjFS_OW2tHWKjzVR3Zd6LSzrjH~_5WqvewQ+&lM(>$hIB*a&w#RPf^6kdmXu-+SNnKPH0AQ&^@Kbf~mKNlIJBqpl&lPoHMd z?e%MBWj=Wcf@ol{nC;w{(XZR#^@>sMUq}U7aS|nJ>mo-tLGeF1Lim&t@r9lQiX(h4 z@_R(HQ(5RMMFi+vC7`Fm(*CZ#zWHh7PGfU4M^zq(dH8zqKAC2;(wvL^~9 z(nfSr&FZ*GX(3)UM;al1*7L8YiFNh2y10SgxiZ}ofT z4&xPwF>TAE4lD+eO&^O;t#Arash^5a%?PZ-=tRP`PO%F6ZOYrin-kQq`juSE{-JPB zbn0?OXg3nj+k~jcgqflW>%6N zQi48;i>Mby5k`H9Z_q4=NM&)N8CJS$t;+7gmq;twCrJY{f9FUTVh2XM+f2T`yRduk zg07>8J{&5fzM`V~ftCGEkq3hu(#(0gWu)Rv8n2EA3xl^7+*tcD(>yejAB@^ocBb0{ z8Ero?fXWTUW+AJ_W(5C9k9<=+*)w>};)EKC&zn5WEDz<>#<4A(HqTamleXX(TQ4P& zhzL~myaSD5Hcm(;U>XRHakUlWziw*F6FIYH&s3#!|3Yo1^&9F*u4ScNNLqx?U$t1p z0N+L0hyK7T*f__wVm=9DqS!!Im^mB?V*}IS0Jng4+UOVt+@tCA;<`UM#q$r46j>+0 z&BHOKA>ZE_!!MGE?O+>hPrLm z)?Uo(-z>iRJX9zjBRsxO9wBiEJ!EZ9qLNKw(@(N(76XpjSz8e3CxKzo&h2{{d+fUj z8(8>B>jA<}58QuI9%>r!Q_dN}585V(0ebtuw7{rVm1-f_Ma!E>I85!}Khm65!C9Mr ztFA4|ZkxbFUH5k5<;|`Y*A~*RL+JF^@8{tS+GYwUy|lkL)BCb)rm?$cOWPQGPxBE1 z@&~~v;eH{Bpl#Sm1g#@WI&hPGvy&(51xAsz`X)lT^N{rtd>5SAr2EDv4g33*mSE$e z!Ns9rdaif!e~Bg+vwVN%N*@VsiIHCr!j@(Z7V`%)5hRW$UqhEmm0Yk^W*$1iaKs8F z6iZYI3OsF{t4dG>riK1<+Jx7O;XV&H#0(!crBh&ej+Ly29zI4sE*3J94lpbOX4-U= zBTp}27630dFBbuD0wemE3}jNQzKB6aqhB!^NTh0g5x#~46=EjCt3?EKm+S*Fd$NYR z;x86<>x1HsFHdu-TJO44(W}3C*mZyXf(fljD~;PeP3Ip)?}!VI#R2O%&JaF*2p(6BTZ!Jp^jncG20!FF>Y#q-mn6LYUvI> zW#nF`>T4=nVxUGlfCnrI3LQ^XNgn)61pd5hV4zLH$cUGWsm{^Jop;Fu56!yndnDUR z*ua{#Fj@v4-h6Y~$}baPBS`TVdUl(IKMUCBc(9n~KSQYp+_nV=UuFf#t(g)Zo;B>t zvF_^Z+!gBfkMoUQ%gp?3g5fdnw!W@@Q>>vnc5hUr3a=`~%2O;ttReFyG}5`;2I-xU zsAq}Nl04ZGyQ3mqRMA46$rIJbBRjO@Onf;Luf`**7$~E%oeCXk$4C$2FwZu3%vBwDtXMHVLa`iVnvF!7 zjYtLzxStH3&OuaI!6rry-q`DM1+YOBst|3Pg-!e+cK%Nh=7uDiSg9Iz8qaFQ zZ`-cl@9;*bc|(H0oyxMyyD}P6qGXO3i4djaphNDAfkUWQDvLvm*ac$NcMMX=M*VM&x`0EDS|O(YnTnV7uUOw#1;v4AnrO%Fr# z^Ogh2;#<{D+18!2Lk`rqUln8nseamrWrKY=AdyQhiOUES?`tN3neg;*v1&%{4?be} z&vpMm!T!_aYG9@IHvp=!D?^s}`yrw5C^2QbYy{M?)_}t5pNxYTnVB48^FgiO~ z`Q1499X*&BMUab>_|miIM_(-=3g1;{fxnZJ$GpPT!OVa|w>$KFl?LQJy5bdK26Heh zmlE$vJFqyMdU=C`>V#@Jk@hC_)YtXP>?H&#}VY!N>!ha;q)!Ce}F7*{VqMfkI#}9gXL4 zW|hlPt`y?fjruxB4w~Ja+TkZuu8p^kM+{Ql9~{2#(klxJe;f2y03$A?W}Riqfm+96 zi`=$F;DJ+*2-renjN1A(VLDI}K2LURB+Z#wnM~A~Y&4lH!fv?hp5IVPf(xa|zq%)* zk%kh>)bW5_I?>$MxVd#4k*pOMn^~FClm5AiMzqc z_}oB$QWb)Go#P=x?kLu|`w?IYPH%cL z9`3`4UbBXcM$>Wvo>;`~i*tkjl;h>o${k*wKB)?`9UCb7SHSjRBpbHI;VZI%P~fE@<21VUDt zXI`R?r2v-pg2=iPjgmdJV^$Oft*kYvrN6Y2O!3QB?l`t^6xg3LZiO^v%~ z@^SYR&B){9RBo?s{Z@P z=-l}H=NRBXU{GR&tJ5ipAg7)1oEA_4{x0bdX2>J52A5zfNDt$Jq&h;k}xWRT=W*fA#=CLoUtY3Hsxxx3PwAVRXc#$3h0E0#U z#Jw?84sR=^DZOxKspX|1yto8zKKO9qKz24A?!fDjgr$H|4@3h-9=jaA*_9z{%e7I$9+pNXEkXNzT0n^^RW@MC@^0O8l-BNXgrfcOp5G1yC4(3hSvDN z1767?zh)k}8dmt5aGlvTLjRMwV%5H`fs1gHe~m^(k!DLC-GGzu5!k|roB6{@;NHnO zFZMcJZql$Ssm?8B-pYpXYS>>r$N)fYP03F^{_(ZU#G!OyF(~P9i9T@Z-%Z!3Y9lGc z9`z^>7QF|=*%=>A$yUk`2%|Qrtu&y%z<;MoPiJR9R)6brFg35>oBc9do%Jl(TZSfo zhh3)_2*X{_@l9a@4;2}QP_e9NGC^&rwS-jx(Yc&`ZxSxz&;5dqq=w8+|HM|Dtk9gv02HXJUg86_7C!(Axr zdSG(osm&59?U9oiK_*iUDvf5Z9jM@QUerB6T4zPuu3U=AzLJ=%oUB zhaLJTa?Bpc(RRBqC~0ReHi=Q8j|Yirt6MdUL?%L83V*HrLcOfi$5qDAHxki-hkCq7 z4AY}fJ;-)ne2dkgSH<8(9IxIjUGC?pct+q~`5ES*YI4nTZwOb7`R3t^BQ$^GMY{K0 zY(PJpw6UuL6>i>eg6$ilJ-of-`Hgk}+&a0{P{(4~fvp+&CnZE703 zGu}!pkQF9PY(dVS6DXJ(+V0>oC9E zpU=xgl+W_;rMb?40pvqpR4GbbBF-&1P^O|W3^jNmIG(A5p1ws)0c*D*wQ6= z@!TQb*{V}90hvH|Wr&H%Z;UM&lK{5BKMdgL{7CxjBz7z$cCdXYo}VURK7)wQ6+dp- zSPst3MiL#gI=17ONpj6ZCLj#2*B8ae8Gh4v#{Rg)VmatE8xaSKY~3lU79x}ldC?$Q z7)CGPON4tE6)BS^7xLx8H3ihP^5GT(HRJ_jaQaNJpJp)cY{oiJ9aB+naEUxftzB>! zZf=U_PH*Z?U^i?_&%_^2wRJhKF%g7#sQgSMm%mB;tVG@>BAx)K zHwdJA;G}!$Vgt1?5&DpZIGfuzsc%aWPdTVJ`Kt-rc&Tr*5gsEEPsyltcyPhfQ$3>f zPvxFiB^Z>RHUGHQxdFZ2$VTzr`Z?)>d0})}{%&2j7fQt~E&ls$vB}DvtG9WNM-2dK zkAg^hbaD24$qSLpbUmPV*F2C;Te|^w-TCxE+`!@V_UEz{cf5D6N%t!WA^o%2z-T!1 zuT1*501Keb5AeE_IGLk;R21292Jl{uAwGudZ}-3b$d<)e5B?;1(i7b?*@eqzv$L`@ z@}0DMRTxr|ENIdnd7`3V6Z^GKpcHLKa4;_7yZGkc8CwW9kfDq8u**xK{&c6siw6d2 zcCOs6O3~-h9l-k@`ZVci=Fp_lEZQ`w&>vKI%t-wB^yBgR@C7={5DL0DUM@Iwy_U|D zU%pdM*wEv(vSS9_Z0UvC@t5$Y#|}_&@81&6`%OiKNbaB;ebX-Yh~I4A^p#gx=6#|{ z5Ywm1ZD)E|Sr;1XNcSI|T8jhZG-x-IRXK3JZfoq5lsbb zR5EuT_b~U_=h|P^$LPNC$m^*-qg4B}s`1It@XMurLam~k{)6`d`YKh8YkVwdzJ25p5s^)%4Q z<)zjXPcb2bJhP-XOaH!X|MO-bq{Vz6-fxV)43B1gw-5XPma(YY{<)p((MRYQE4n_m z1X3Z!L5gtOU*+?XXR+#2t`F9=mddxT(4P^`tobqh^ACPuKd_%asi;N}^rt*Ym&#dZ z#AYOKlgp^hq%@E<^UIk4EH&7T)$#9e84hILzX>*nzlYAi=I9=-gu9ZLuC=SK1^TWB z-BbB?C%vd_rsUDCA8J5mG40B}!J58u2x7l1aJ`Cdc*F>1Z`-M=?^j-^eyIA04m(E8l%64sdT!CI7swSn#&>;CaXI%qdU9dV?RA87 zDYLt!&R zn*XZ3^;?2$QlL^&pz>A7SkGEtcX~B&N9O!AP=&{kfrrAA4k96zV5EQ$O2PR~q4kF- zQc)3&JR@H~2=VDjxSx>B=sHkJ=B*!0tov#`r}GkX4UIiBXFYS<=Z@E(<+wgv(|>5? zNWaAonxHZ)O_JPKHlvHtIV^9=salZDX8WNv-P!VAMYZeri)*#@AM|tWJj(&o`-xad zEjZ&%@?8n3su@*9_3%RPBz1yhb$@Zsnp9_%-O>je!jP%xZ@Oz)3X9A(;}|8&?QM6) zN#~bTne8<-nPPb+d}zs<&f1wevacJnPE@mxx6LP`rSTv^qM;GYic)Q}D>cd$lF+*E z*g3#_d^|j>r;J?L@(synnBM>j{A}Y#LA=4Wg7)Xlw>$&PEQs&!`;D3Q-d%P=xtS=k zoBtXZ@puqcq@H%|zphoZ6I&@`2R0?6-Bke1y>l-Fg6cqK?eqfc9ugF$rGO>NrJG1$ zRMli?+%L9n2qiREKo3;$d-+j>9*|8`ofi-1w>iu_b=IU|d*-I^z&% zYP|4XmdPS90I4q@YpXVXOmGgA1S$@pnimUlTj zfTaL?_Qo=VE-@ypvTI2A;fCs_;SQlh?;V{u3M~zNT04i}1ar zK@Ly2eR=_p;_Byr6gQnLEHrVlA}*P-{pO?&4f#C88lc`XG`!ysYm$HNvX|qlB+=mW ztimDxf^+QA@NJmf2ohE*_8>N-V=!geec$S>{Eb368Pgu5teVE!C9`LGV1&a}sR+Sq z90_ah*Y+O$ zl@VgoA9#sgYG_Dh=Oa*gI!8#ev7_>*_oOC zfty<%q_`g`ijpSJ#@ax#zvSh=8DS}hkRtHR0D`F;df@`GIWxT-cuq?w9{>C>RKSar)C7>>R}^GN!396i zb(Zv}l0osHfX4-5SSrm0aUr1o64K#T6g4FpA3Q>0OsB*bRmg1Idcw7hY-QHr$tBse zv>W8W0EN$Q&Ch#zKnga!)IoKmqVxMe=>8S=*pAe|=QJVlCfH)T7}L@Dje*M~>cFBj z=Yo~J^}Gz0VPJZr`5P@HIr$`t>1iZ-`9`|lnYhRY`Bv0}L-IIVtT6sckzp_5-rc|= zLY3LX-g#9S7?JoaGI|VuS@y#}lPW>|m*j%Fx?T^Ql=4Y^hEyS#S6KaHfxh+@03DS% z?EeHP0oVTAad@6CmIT6KojCMV%f^3kNFL27PH7_P!%H{e0RvXQ2hRTLBjp?uiB*41%HgN$!fqMB#5pmb_iL zqkSz($GRrz$tDP#uX4E8-RllUOnqvvI8+@FjNu=#$+T+Evn?f2ci*OBtt^_a29$gX!+$;PfpH_q@+kGJOAMyWZIkmZ6UfSMxow((|K3 uA~;;pa=O{OWd8Yihaw8VB}HiEej~|91C3`<|Jn ztEO5`b#+b8nXYaO2ndKuB*@Ry;qwyvq`yt<9V~2ZNLksLSeRHz#hfgxj7izp*+^Nq zm|5AF*;rWFNq?ExJ6jl;kSZA%S(37n{*onCGchEUG`4X3tO?5l_1O#r1eehNM<5i@ z|A&5&{2%HHdFF-SX(E{jmg{XMuNx&t|1BDF!02|lPrHL6bA)Pa5$DJ~W#~!Y5a<}M zP*3B`Ub34L!FIwEwR$wx%-jsgN1n5F!7%Yg+>b47;?#{Tc?9;+TQBoCt#aCwo5|#8 z@@^?DbLiwdoGbn1)Z}ux-r{gLLA=EFUGX0X*zVG9YYa#rfo{x<#6;}{;ew>6ALfTX ztmHs5+O9nJ;sj_J78XQ!J6jl6EyRds?+DL^HcGK>;70iov8?YL7XFh zca?KoCloi^H1iv0e8H)vU#lg;S8HlD3#!NA)MDc-e9@r2b%&FD_Ly=vKgy_HFO_CpBpVXwT$5UeZF8jtzD<)rm5# zA{O*;w0fsm73QoLW-r*x9XH$$5&83Y0DRvu&2Qu5*Xp<_IF4i=zwkUZ21f2Y4A_M~ z^VrbRHw*ZtSnED%(Hy^^fTzE#Ddc#>dZVm}FP&+=_>c*RWINaWbW!KetQzU^k18Ns zJ)*T}>+hMy8QIWzHoPBYR*NjW@bJ+XtT{V8b?QeO#g24UHLXgBT~4y!R9^kx4Hdiko;GaY`siFIk?S;tnW)-wt9jiW%0?-m zQ%O-V!k$dWu z;%qZO$}=x5zq1W>oFcRF70gILj-YSkJO^V0+|i^07ZA;|cvdxaH_6e6P>|BPNu6g! zY`KkIufkh$c;4%hxtyz&`3+As`Zjgv*uKa3)dJiKtUhXEe_ZWpiS5e@8hCa}+bh48 zz5V}<@qha&Hv#SOk=%@$v)aF_)zQg63O2fYUaCuY;mr1CaMtRYT&-jVd6mBXpQ?YV z^Xc^dRQ@&*)cfnWPSqHlJN`F|WAU{#S=9qe{;=3&5!wLk&>~mfkYS~xndRZBSznxq zG}a`1Yu(kBHza)dejfy~tlgj8D5)hC{ENHDgnyZr`6ei$@^_YSA^1sWyPRd6mht}` zy8o-~|BPkm<3F-!Z{kJBCxlR`^SMZ^6I@Q_(!XY3p77zX<@{bLE?D|Tt)u0LGp*(@ z7Zi$5O@8SmFw6(LsVLX=*h?B|{g>yojly>6t|S!AL66QuM;z`0J%BF%@tn3rXrNEC zN9Fg%;!pqij}rf~j{G9&5B?DQX1n)c`=_2zjx$~BD8H9yy%Bfsr<*blOrJS#a*JXk zWm`F`-|#2=?Z1?7-GrGh?X=CN!|7U*@z~>5!cMins}7^%>oSpf>d_=JAbiC)(5i`k zGc`-;e_NpvW*l||WVo~45Va1flt zbE6>)Z?e+;(2(4!`V4WEuqOPS@44pZ6s?P}MiKJJw=5IS^*KYmCAFD*^*$5v=`8hc z;jF`KPAp6s1CPp0>|7c`O1<>!1r%<3F;v{)*CRK*F}*1!yb8>_Y6j-qqjzu)HZu2g z0`_u=(*g|{DxGy9;NNWVOIRV>o;eIJ84ms?0|7HZiZ^^*gTp@`NL{WN#I?VZzv!BK zv-L=oAa@(H9Z@sesvB`2h)%&2zLa6V3Cuz)S{$Rja_OjvV ze*VLWue}){bak!+e@7X9|GKTzfcCn=ac-i$0xbcUU8S>VE8$U7;;O_E-+8v;gj>(! zSasGX(nGKCNll|+)L{6433ll310HnG;8k%973^U%jO_7)l#Cgh)P;zkpCG=LTC)=mls z!4fX+Z&!b@U1bKmVt2Mj&KQtnB_Q$1Z8+1SF{Kvk(TGxo8{Of;IqTT^z-UJ+dw42h zAw>(KmSNbB3Zr^&_!vkRpWWSZ3De#x;YF`}9BgOujOo*whqF)?TuL0ku(z)xIiHDS zEaTYDMTkx>qA@!tZf|xvP@`&`fgOStXWCycN9yHxP#u3O9i=vT+GbcJt@caCR#zL% z*{*JB?i{Wb6?k&;jjjuq0fr-}Z_&n&^Fgqut+{iBzfwKt<|yXDNX!K}yN-F5w;Sjyq-=)!4eyb~auvh2m$_4A7$jcZRGoJaN{ZKKZKO1*2fT8j# zqL4m_vPmjcV)a$Djkt^sAxj5g-9K zo-LKzge}`{fpQq-Zry_N)z`>iNC3rnW%rx>ftr}f%DH*?qJnH~AR~7OsGbw%^-vb! zMtfA<-cn_M!C#>3hfjvj^m4R^QO}&hWq{s+*p(IjP8Xgq|AbZ7)BQ3i_!g%Jr%TA? zTuW2vcGWG2EBdXy|7uh@(PEVn3}-kzIs00JC*VcIqg9P#iMGh*f|E~v*6#krDD6%^ zL+5=7wSrM6HCx|s4K^@ILaWVYGHidOP4mZDD7ZJa?4y0AtgzuDialxZ>C{0Sx#K8A z5Uh~Aw^nnCv?}b;xy<$sI=V%9WVmmz+Bt`9p3$6c)KfbMCQcn26!hYWY9!{bK@U?t zplV&0?-Xi9);I~RetqIOQ?YHcJq$=izC8IS!L6~nXoBhrr(hFP5LdpB*gY#iv7(VC(tA3ryAKUW~V$h~~r{_|=p0%6D z#VJe5s_|CrI+jeHJv#U})f8N#$V8QAwK~a<9b))ecGTqo2mK96>%ht?f|bAa;9Gd% zp?>{q6>v^*1%+Y9oFu#4p}RPLVaj7WY_wMnf`tX;iT4JD1A2i6>uAZu`iXV1+{EQxY2lM zO5GILD!C$db4}kRP5X-~{8`A}Xt`>c@~x>;ZqUaH?n1*yjmUwI=t?&XQ5&k2+sz$b zyLS|a6Qo5ZE5u>`W{@OJBkpW&Th-KcCEBYs0f?(#v9 zwIsS(zeFC+2X!%m)?Lfb4)R%(>ewb<1$(nq@h4hjf^y?h|Cy0@3B7#QQ@V>0M?vu% z-KdXDTF%A*6}gg{4_=e?68187T#tylN7rTn0;2>O7B2yG^853gc-+R+apI*0Cnkxj z9~CY7noBBmDx%MR*X$ROVa14J+*jEvV}$O;VN?&o#b-Y;4&u=AAqk|dc&@`x=s_8O z-u?7Jhdm}at5QaAHo19mPTWO)-b%e}nXS2=qEzDC?s5HT9dNbBsO>P#5M^Yi7jew^oU$mY0{#16| z7Op|=WGl}W*HBkEu69&`3OuGI*qXl{=*D zx7Us{jV+yF z*;zT)M@D8Tyu>Um9i1elj|rOc`FE)a8-?(vg=rI$?*$LM_o=CkksD z82wLOYKAaj#6jiLe z^rIqjMl7=Y;W%<+l>&L{@6qy58L!COSby(c7|axgYrsc>_|d=IR6a$$;P{lhZRwSo zFOLqtY3AvgRLJ_0By3`@)qWVloeMdf-rY^9-)!Swrl-i0Yb~)(XDIwV$F&~^=V&iY z$?otQlHsb~7Hq$rFjErcbFX1@o88~M~%5%|W5xUC+@}sx{sw-jJy%v8%Z!}t#L)tDh&ig(d ztpNXNIaqUU5x0N{uB5cJP+UJ4EueMn)rB{t0(o;B#w zbR&*_$y+h!=wBD*>Z`V7({V2EI)mz-;e4lm5z7LO3xdoUCv)FdXcW4D3LiE=dD~V` zpcK=QRj#Ez5>=rAAi*qM3#2f~D-W~zZdRHH6!Y13g4lqy<9iT?ehosvx!hBw$N^918n76%qZ34od;UW%%pLPpUf{W(-(^o1mK=xbAjHMM2+xCc-BsL z2}c4C9` z6jjj^C%HHh`!IV+bmh;OowiCPH8|GHcL>f@wXf2vDPHqXJR|V7mLEf2xn0r){ja1G z{RpiEU+E~V+ZeqmcOidd1ckTd|H>43y0sFhxVf zXJBll|A+bKZdcqdyk)t_kkM3+N0g|}a@67%B=$@11e&9}kj!Hlpr4(&(N zp+7-a-@-XxJtvCzcUYA>;AOZTKA*kXGjZ@L5Djx9aqy}U#b($1+mNR%kTsaXHI}5X zkhPeQq#LJU#FIxUPuh*}f|-+}&pLc|LWAf4yu0_)yR8HKMB1fmUt$A%jjywXJdun1 zQ1IG3^Jr~*c}KTMbx`+LX6|!A+ZiTD7Ro%q6}}j9h=YHyw^9oJAge;^$RiH2V3W6% zU4Fx7Y^IZ|u3yYDb_156ceiP+-lQ0Kyb7bb=&I1hpTaGnH+8g(u@$1yyzV zXo2Y2?`XPDT(gX}I{g!gV12a#6}Y)$yFg>ld%Lt^@1@<{t-(--PJzeo?H<3igc!#l z70C}^k`2$pZ>q8=l!nW(UP>!#;M7uv4^8x=m|BZFfgwN z_raMTaG(cGAP2aJJ19{Bw;6EO%ekz5`c{{J_CmG-q{iJ5yaIzV7ox*!9+{5jP)`^; zbBlfvpM%10dLIqqov&=KS6tib$8X4lWd^$}_KDnO1IM#2WWCa)wc{J~S|# zy^&gZezR#v=jz8b7GGyWZ(dolf}h`spQQME>#DqnUQ87UPaA|i9y;AyA})v39sZPk zV_N(p94(G)2Q=CKof6L=N!j01PMT5A%p7u9Sr%KU*I%!oY>arcK(DzhC`vKS_tQv2 za~V#Kw{3zIE=hQa@%wk&$}ZJm0%g3t1!>2Wfg$xn zAm`~jUqmvxYMsptZ`U;a%C_{t48W`IF8umMk(F(M+7VI~&Vk-QvMb@tI-hvj!}|k+ z+P$zSadt&!N0*pOjrJCK=^rRl$0M>1A`4FSnkxSyczqrb!r3)%7EV5O$-L2EAEn{PW9g5ncR=0-1~r35A(xfFR;PVfo8;Wv5a zrum3x_#oJK8NLyx>C#H_3Azy;y3?X95K~HZXyai%04X^oawIgxpN>Qyh2tqJ)RSW?- zRAYT$d41rdS~mZg0;``KrY6%nk?Z-mbe~Dl)*q}QM(VF~<0^-wr<1LZMwub_@SH!0 zp2>y)5@6&#G*aQO&+kKM;@*Ip-q_9XH~76rQh+E}V2B{FvRx$lM*-bPvKV-IC_5ms z>8~5K5tL%m4AVpOy8wa7!ggyWoz3;jd!}~Lce56FUSZ=ew?TFtm?i_!@^u#b=xNwu zgxAx7DntA8k*6-9>9|&=xvfVnGv}ik*bi?w{3uB-xq>)}qsGtnzi~4M@V1%?OC!QA zHyh+ecZC-KIszxD=#C6d;dmO-WW`MQfM72N}n3;vP8UIY@*Vl|*q zFlc6u+v$I8z}6W&+MjHo&32F))-FtRN(Hl>{?JBK)mrEs$!1&XHA1=wNOjF{#)XYB z5hPLC_m-OOYk8>`C5;@!ByyO*;C=A-N%PYS-FC<%fYCcYOw@iz{;ObIMXADQ^&{!2 zE70M=;QK@7DOUX5z5f!ps~PEvVknS+5>1j{)cU~KYLHLbt41mUN6qAYC`=9e$O-gM zG=qoHC{?<#1TlOWE69N6swj}>htUK;;OZ&@1^%n>Ob!f*QQ58{sEBGtqlijXNFpEU zSbJ&sjVi{|z9&yzYMnNR&IvV+>`t^{O3@7_p1irzz#FPkW;X5?0xneC9fm9TfEZ84 zIF;9`{Y@bJr7c!bhVO6gv7YD`i2~g1E>u3rU6~<&lXckTv)RMkbL71y@=qtz*ej3q zA>dVvu#ph@o3V&GDe4VvudDIGa&sDMD+Pw#6R`8ay0O^%DO zn6FQ$k-C!a*~VUo`{K17I8F?VJAsMzOwf_`GDfe5hOa0i+H5>F+WXBh+e8`+A$=A9 z29N?7ZXr^fl6Zs1eK&fNZL#2mC| zh-#_qxDEQlD+K)~8t`9b|4YCS3dit$+v~n0^0CxSksG*+*50k~>;FU(81mp2#2?@q z?jIcIx^m_dPaJ+uWn-v13W+{+qQ5=oba4lELf$)tAA8^qnX&Xb&_G#DKdj`%EgwC$uvxbEJ(SwpG2dH&B=2t6D_vG3=Prw( zoq)fS^Jid)lhei*vDJCse@)DJo-415OS%MkyYo4>LLb;<0x|51hHV1=j|5jt;aeRz z?Ufkqr~lH!xBRfb`ye3#dNhbBJKJH};29o)*m9COB=VtsoUEbk7N9G6a+hP& zfj@rl{w@A?SloO)iD+P*+P*GK>50o%T~y1x8B?nC(U2dl+-j$|+=S~-VAcDP_#*BJ zj<#D$Axa9&Ri2GO_9lMzpVsbz))|8XJ1bvrv$)i!uwjw7mOn7>y&&T)W8=ml2AdVn>V4-t>Cg_t8a9+X10WuZGa>5@ynozBzzVq(4{=uVyOC$f<0j=#N3=J#`q z!=z5a%XE{D7=>mlv__~vxGz+1j@w_nMLGY*-m+WCyhF-xc|}ahpU41p0Xdr}#R9=K zhvF`tFE$<;ocT>rYS`{Os%8Gzz*qKvuxs9GsDNs$D4dz^COaNf4g3Dp>}~`yY@Mn) z{Yfi3}bn~8+dO#eYn>TrX`b9vdV^*cU z>8Q4osm=aC>dW=cw3k#{R_o8w1g#_M`Y9lY?%uRe)%JlytJ2C=v37}utITsYl$w?A zi4O^A&T;y5Q^=T|!}8o>m9JT*;$hh+RU2oWAiHm0s8My`ep6|mJ2u(linULVTl%;j zii(a?mQ!4;$uuYXlpt4?R&9#ZZ>U(VTCs52Z)$6+xIw?M5q67F@j{t?n!c6mdaE^_ zrCB~*hJ(~%1v!HVpDNp-Lfe@3Y??cWfLSQX4FG_bwEJJ(497$C5XU#%3zhm=zTPa$ z<`ySZb71%{YgefwM%1WvFQm2{Shf4R*KPp0%HeZ#JKj=NB|<#x3#*Y<>69wd>T&kLTJY zY*O4hsFJIJ6DQ9ik4x;_?R70CPGF;Fr+UA6B2vF{IpVClds@e{EJ(?gW>jSYTZG7Z zI9a@30c={OUiwwDMGO^Em+mp?CQ_MeQ_FlF*bl7QI_o@EpgPFlpXebZTuHQ|RAW|g z|C{IuDJKHbwrm)w)T9q_D%!B($sO-cl#!{{X=!OSkt@d*HWqxA0yQOG(sCdQ?rWE4 zTv~9-wwQ8emz$s6s&c}3h zCKCMBr+-xw=s7imw5w)T&n)%{?oB%vR?Q6(ZRg7>f%W?mpp%hpnxkEM|BzyS14|=Y z!E9?|!p7g7x~0kWiJJMT;|JmWh!1YhhO-Eklo{Q<{c4+!1F%ZDnzr*u`H<( zJFw4^Wm8zO&$9k*hllHlTA|e~0}Iv7Rmy|xTXcsHZz9D6wP+qWlqPZ4?Q%X+k4O{) z5s&u*pW#MTC|Aes6SN}T3x5N-ESG`x8)f_HiJJAw@a8rx z1OW8_se{~AF8|UcOek>G@bS{dk*o}D@Q^QUA zfQPZ<%M;X*sNHcj+qeg!fD*ea&`0bQ#mBI*+w&mo8^I#?O(zyR_(5Gsd|*d7wGU5v zSuQp6CT}2%?L5Vh)?AKga;@u6sxzRIq>On);Zl7L+Gu+p?w)FUwuzs z9hny1V`dCz*M-5K%av>zGL8fNQbdy^XjvD7$H*&v-YfRyexSW&%gwHkiQy8bY3sqb zMH1x$T>kcjmN1fvL@YyCjwl2z-KNnFHSCtr!GL#tMT+#WNHw8w@U7@ZrMq=_`Cs3*&j(FcV5sJtA1s93E*vn z@aPu5+&M>Y2_gA(_1GPNClA(VgX+G7SCCE$DJZykXOB-ZZ#$eV<41|}FbnAB872!S zl23M-uu+@vBzY4%6ItztJ5snh`}D3Bw$Cy5K(C~+wNo%8o%8J4+Tbuv>`YX1b9V!W%_(7uKuN)(OKAc+TfE3(vtPs#IibeByx6Y z{&1D zSZyD-eQ+Cm4lGU22bI@478Tq;dQphyZR1G7rZsLmc4fZR);?M2?i2GC?Qg<%nay6= z{f+f*n+7E?FZz=qW+4yV0&1Q3bh8i3=07}jv1XatFF|Ab{)+KsYdOi$u%+77& ztmi#Oivr&7tS>!}^q{d0@zwsw*!7T{ADRlOptVyduW#Ab=MUZ2iqE%?XoKSt!%e%r%+ z$UyRF*Z9=NS3R%X8+kDMwa)907x}kz@z=wb%L=7eT!pUT&i!GRcNg&zADxfL%UH0} zD?rGbR*yJaK_zpOjGA4I8%5al$TSRY+r_&0 z*q_ildt!MutG%C8>$Lo+A@jD?PIz*MIE~H(d8<_qJ2UUKaoLF?h7W% zI#~&>F<+pWY$K}Zy|W!c8FN%pJ=Usd4&^ktgE7slOe2gOcvU{sSCicjR%-?r`z0*~ zh>-M#D>4>R)5Dx#8*K~}FX8d7)52%K_NP=fHf21Z3J-^8sPTMHQ3^Y%S6BLZbSHVH z`0o!qq{KJB8wT(%TG9YyIXg+pnW*oKQB&B;G2%!1cjKB#0%FaqbH$#b^zpknB6yYtiJ>-i z2lvobY>9hVx&BFJoKeHfIg2QP|IE?h_wbbdG`1#%^7vIhn6ToD6N1j;xFcIPGmG1fkD@>6~G%60TA#<+jcamsCPMALoK4DG`4}UUXp(OYEB`vLM=*oKP?2g zk`n!3H?{C&4uC#K2Auz%dhu$Q^yAvfUx!-BiGI+Snz)+=qco@rt(b{uo#%TIG>`$# zO{XSqrfs0kzX8tqbBOoz-vQ>*FXqCK=cz&~ilY2I(y#dDA+P3-zRoGp&dlbMH`5nT z=MsSPww%nZd@rp}!2dZXt^aIM&U!j#nQTk_dJ?P4_d@t+NnW zi?4HQ^uw1a-OoOea0rZ@gmtZot{&v;KogLJ-fw{s83zh1W%&lBqK7RddKF!+KX+9=h>9}((1^+!zAVdeDt&H+|9;YLFIfM+~Nj2?!! zLq{1#d+#Ox1jijXm(c^Ls< z{3lRo(pLIOBsX_b1GEOWu;ahtMuSl88>tDh%u12yP`jYO}v#?qaz*%4$p#}t^P zu-niPgP0M(^mpa;ZPw`Lft-E~^xwc2u0&3l!j^PWN(Pl*ysvNJ zL0i<0Y@5vzA(+UtwTdfeU*90fR2>3?6sGFs3%cLC+*XzdY24%E>NNtQpYfp4X74_? zKT%J*@g0AlFL7H;j+T4)hsZU)V``LZA^-#L?BAF-%4^i#-2+S81t!#^1{5^q#$x&E zH6{M3SWN;on{>GS_Kb81{9lmDGX;TN%u)OM8GrMs%&}OPgeID9meS>N>Lvj) zH(-c*#0^R{;7=V}vApgIOt{0_O{EWR`;ZyKb=l>!UOa*o&aw}A-)`n?^mv2 z&;#zRg+kwrNF)6?U}x~Y8|k|Z`a1izeG8AMt}!a7v|xx(eqd64Rc`ywijO)Tns(db z3wCOUTV?%E{>Ik*p46%cZw)b>Ro77?l}C%x+pgN2!quv4KS#H;b8<9o7{PX)0gb69 zG7renHP_x8TX7~2Nc+r~TdW&zv2MkqYplPh-3uop4X0J#+b(23iAdW;vf9ls^Xo}R zr+a_tu3h7g&#ezg(k0%vl6?LJ&pm}LMQt35p2-K!-Gwdde=Ka&h<<5fqYlq+#b|#) z9ZtNA5$kK4uve<;B8od@3)ypzY|?re-BE5tBvvWzll!J(g<{UMUxH%w=hb9!U18a& zN!oe+JW9vq)o^h=YRRbyw<0PISG^IbUd}fYt#C zuleIoPt1IsGvcgAztlQDTWXXkKR!1$f2lu>H2XbRUg-oUr(_@X>G>! z?w}yg#H!z4_H28piZ-W?R9Z^#G7J}o>ZN8JRTKi@N+phm1C(tm!ld0eq(~Z#sahx_S7zvQV)KMQXFuawLil4du;< zP#*_Z#*b0HWB#yGk>ULkibY%Tiivef9u{^V)7Li;wtlZ3Z~eWZpX=L#J|U)MHHwVB zjn$7;Wy9halrR*cWEob_%y(z0mwzq8TNQ;%q*3y~L@{KI-3_mm%QaHV z%Vnav1(N&Re~VL9_o#bi53idOH?di%{E6( z#JXqAhF6s}_EN042d0L8DIK1Ux2nOC&-E<0_JqTFG_?$V?Z^Lu#xGP#%w6w|&LZG; z?nES6mW7%WnqgEwVe9Fq27L%1q zq2Nt>L7MEJ{;;XYQ9@^9ypaL<_qI8PgGApgW-RN`@-eZfph{SWXm;@^ZgB+`aRr!f zo#M=*E|7@GxA-~3j;uE=D$* zSVoFHrL^S^`f!OqB(u-s#^Y#?I6|Pq3Q|M&Jlh?t7+k8%53t~p4Jf;_rP{+t#QQhx zr$%(kJ^Vm#N1J5%+|E!U1FySf?!T28YvA6%v@0KP0KZ6$-=00=`(#UsDiJq!bj{=JyGuLFWd{OKODC)=a?I6VbVlY2>!l}BjqP^X)NegeW>Z|?Pl zdVC8El0a*qaIayUHUkCe&PS1zuVM<$;k;CUrp%gxNMKjc@hN=I0%}PJh|@9%2r`M% zj~2R%D)$-~luT!8JdOE9&6q)aN59vP|Ef>O9 zt(B}dOElZ~PRysk-rM92Y-0<_DP*|Nn1Ie%sXj_mPIf6Wtue8Kv6xCJ!DL7SKAKi- z!)548>$6Kq+&J_OYkU5V_~~>h1*=1v;2XpoO+2^ z671@)F@!}Cxs?Nc@bN`kw$Lq-i!xN-^cXvrntt!KA!n>Oa6y$siNQpu6ac zt%)Mo!9j;~HGk)W@eH5D@B`>0k5A@1_aszrBLS3$Az%=8C>0 z+TJGDfizwr)R4rGO6^_Q2rwSpd<$L&Q&;*4APUHUZM)Mu1SuWrv><^|WH(gO2G^T* zPVx8Y!N_8~aSd9G=OMkJ44{OuAInpr@mKo}jiF7M?_4iQhr&?y-`;xkAhx_^KAuQP zbW+X;v;MXcT0=6-YK`NxGeYI9&vsHm8Pam5jvYdTp$%#EVx*=0vRh1Nw=TF`v*$|B z{8O0k*s4`u1K?WIeDi;WP9`t75ipu}WxhygRaUB7TPcje=h|p$^*5y;t%@1@wdG%& zTXj)ycp?w9QoII+^1~!>dcB*u>_So7yxf49tKN{KJld)_Jpf<-MW@b-m;bgjRhLf+u z)x=Rco&-*s5d4YX64i@TA2XEH0_v{pmfQO@{Zoi9Dn7jYOGspBG#&VB;NMWQ z?_sd-v5M?;bWv!A^0gfzNg>zvP^b6Y(~#+iD1B#Iv7?9R*2ERC_{H|X2KkUAE2dp- zEP#QoaN6vFp%{e|kVG!X2xTZE#3qzAsIzR5pKhAAf{O9YnoIzd<|1%m8<)G+sJsYW zePc6LLx02(szw`Qv62KYYebdqOFw!G)!iNZDTk*XOrJfg8HAV`cCCj?>4tLG*Z625 zBO-`z0$d3t-G2fXa#d#%ntu`^CL7W+rjBWB!{f&A62gm@pb-W|NWf3OuoFN||G;M# zUq~T4Od)%ssW9-Qq6jpv(!qtC=4;p}In<`u5un(4gBRyGw2g_`Zj24_V1@~-$Lv}n zR9}tWtV529HrKf(in!rKjll2Xk>3p`CruloW#8*J{f>=JlCTR=Y}+9GlFZ#0*z7K* zO+i+bioPft+J?@PmA?zjsIE^sT@Bv}w8(G3;HkCzC#ciZ*mM^MlM;@lf5nthBU7y5 zRVvXO-G(5?;bBIZwhcPXu5_4NvonvG(|p0wF4n&S?Umi$m4 z=s?ZB@ay!hfx69kp>p6QUD-i(au9_!Mrv{h1qb8Pmd8@F1zQz6Id~z|Rfk9HboA7Z zg?K&Jwgn;!LT=ufj-^{;5d0!3Pc}YA|xl(Ocut5oGw_y zgPgAD7)EZ<5PO5`Ph)g}z_hG`eIPkgsK;W`A;Ov-OzUG5)5)?~#f6aInP;m31S#5|VN?Q_aE+Nm z2-Ytfl?W_Nl>gRjxDIa-`aB_1p6r@?Lz`zqpUBaJhhF3GZ%o+t=uL;z#$&2K=i?rW zYj6IsP@c8WC8)q|+eN&f_8x{k+t1HW8rg?cuqRARD3Z_$-QBcA2_a^#P!jz8wM2>H zH!&|ZjFVr}G^0-ak<&ERgYKAyedtuH|3WHKHjAvd$*Z`?!LaZfLj?Fe;+~!*jzBAp zmynA^Ik)L1&Q0Ll6BotKE+&ST%qtcq#=A%4B!nL)*~{LU0>f*22@79n2r*oKcIJ!l z)=tPawN#&CWN0=dWccod-=b01H}&R=S&e9s)vt(hL6$3 zMec1R@rDE6djy`K*?W}g14M*|j0e9>)9hhT>`Y4ad!wtMpdB-hu zpR+ms=fMkdQYP|SOA(f&?oA}~G@&T)K=fX!spKSFpwPhi)%7n}>DTMd1;}w!MLPkp zjQu=abVxglodEw}ym$9LztV+gLg-9D5bAi`4|K>?0a{7dwOV5V5Q?cFmKJL^^WA}+ zL%oW2Dt*#OqE&4Svze%a>9faG{Vf1kZTJFD9)4StcDv4hK4CoPr5CguHws%N7o^|b z^qXuo`gqiYg4LIB)~g|#o!kKPDNwy9iGIWA(dfdPy6lw1^XvEgN!&@Dl$YUE&yn_M zpW}s-v$X|ALT;*xKeQgMhZE;;6lVD4x&2x%1)QQ?LRMhQ12L-B(IuLTGl!+bVOz2 zYAq_q`>Y%BKj$k?`@AVQcfVg@%7fEMePEpqWQ%Eq0Z)(6)i*S6u=3G8TRb91ZgPY# zDys$0smjcY{2eGv4dE}Z9P5OB@6vO{v0WPZ<<7YNV6G|Vcs4us^`3+y9)nKPhk=#R zH*vPX+~AUQ`!lp=1>+w)SRabYfCpT$ENyYN^MfU{@p8clB8jeA+w^22lSkVE0afmO zYMBlqOa0Z0E@IGVvByMl%p@u=KGB&nToEqN7g{!wNsW2uC3B(d(F*H%-%** zHQPn8$EtOcxd~f}R($>YRYDf<)zr+) zrTA=&fxZ4a3Lo7UM5-@Pkuf=e=-=?LMCFjLF@Dr=h=NOK!Z3d*kzzs%d7D3plEVT@ z`uYID(s!O_2NTX(=5i@}6YDL9ZHHNpE$P_UdD-ZOv$m_9MPZ$R-}{Zcm76@*N7B5> zE2%6w*>~HrPE2%k8Eh>!+HI_FPX^+g#%8+RNRZ<524Hv8W?i{CX(t6hbX2J3I4 z1XLNf%N5v=qB<92rrYX|{dHLaqqTKzkylSNPD^*tWg5YG^#N3@!LT4ne91KJ7whwudd z2&Fg;x`tvJ+F0@wQPgmL< z7GiJb_iw~(Kk^_AeyX;*lepTYS@DQ+Ojo-+CS(s%!S|*sOG&x;3bO7dUrzX`;Klj3 z<}qMmH@G|gu9rED?a@I~K3TdA`>3mig4g*YLgTX%%3C(OWu`)bs};U1rzlwaE_jBA zb1^jo0?jR+WpKm&^^#bv@z_1&kW4yODz0IWwLr#HoP8Y!xpkoyXaF&XM3DS8(Coi& zf`K$d01a|+l0I&^PKmMN&uy&{twGqw;muLXyJn2Nzoh=+OQhah@)!FiU>tLiy7p!S zgePtr@=c#}qHt=NCtVvZM=c|$_aSHY-N!3p{((YCnVcI>xp4H4(m(oN0kH#4{6B5| z55ZsEWIaouiV{NgJ-HwL&qa%EX^!q8<2#BwjH7k<`KBWP%cRT;ZxFcnOlRzuZ%^I{ zXG`~SX+Rla9A1OP5_*4hwYm}F7Sn)cq5Gna0FV1IJKjL_;2F(^pHOxD4E9Njs2LVH zNH$k@(EJ#WIx&tT>f7zsW-LjO@^cMdkBQOre*eJL8^B35^G%_#dIfc_Fnj5_JPfyU zaNuVwC&0~5cYJ}+m`DS9CLKE)h|GmJD1^!wQBL$ZFP^QqLbKEj#>hD&gvL37#J)`| z2jm9ZNRALyz|t0%{_tE!W8KNf#2|PEh_~oRQCrc=s0Omvbl#%O=J+YtbE6X5Zqc-r}lR>b|P;Sw+yXUWj@)DS~lZM7XWhtBPRMUpr*dyCJ&QsES{IJ4}n?M>& z16FlZE6EiFi^62gp0dh?_=?k5=24hvzMH1{T8j-)6)n%um#Zg(8?sK99o5rou^4zO z>Bm%8uPe#@m9e-omhIfS2HcVO%sp05p7k}A?uPe!f}JNn%0n0VJ9r-z>)y4<zyC&`3jML&yTuD(0!pf|2xRCS4a8FxRQ)*{EID$WCqH}ubfEX J{{a91|Nj`}5gY&j diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index ed347f0..836ddb5 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,40 +1,34 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567905323534 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 21:15:23 2019 " "Processing started: Sat Sep 07 21:15:23 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567905323534 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567905327409 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567905327550 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567905327550 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(194) " "Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 194 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567905327550 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567905327550 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567905327550 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567905328081 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(126) " "Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 126 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(131) " "Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 131 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(163) " "Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(168) " "Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 7 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567905328081 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567905328487 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 168 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 163 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905328487 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567905328487 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329066 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567905329066 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329394 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567905329394 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329644 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329847 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905329862 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330112 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330378 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330378 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add5 " "Instantiated megafunction \"lpm_add_sub:Add5\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 7 " "Parameter \"LPM_WIDTH\" = \"7\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330566 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567905330566 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 250 4 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330581 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330613 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 191 5 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330628 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\] lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|addcore:adder\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 192 10 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330644 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add5\|altshift:result_ext_latency_ffs lpm_add_sub:Add5 " "Elaborated megafunction instantiation \"lpm_add_sub:Add5\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add5\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567905330675 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567905330972 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567905330972 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567905331238 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567905331238 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567905331238 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "7 " "Design contains 7 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567905331613 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567905331613 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "165 " "Implemented 165 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_MCELLS" "103 " "Implemented 103 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567905331628 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567905331628 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567905331628 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567905331925 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 15 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 15 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "304 " "Peak virtual memory: 304 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567905332050 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 21:15:32 2019 " "Processing ended: Sat Sep 07 21:15:32 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567905332050 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567909562928 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567909562928 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 22:26:02 2019 " "Processing started: Sat Sep 07 22:26:02 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567909562928 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567909562928 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567909562928 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567909563131 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567909563157 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1567909563157 ""} +{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(190) " "Verilog HDL information at GR8RAM.v(190): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 190 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1567909563157 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1567909563167 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1567909563167 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1567909563197 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909563197 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(133) " "Verilog HDL assignment warning at GR8RAM.v(133): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 133 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909563197 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(138) " "Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 138 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909563197 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(159) " "Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909563197 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(164) " "Verilog HDL assignment warning at GR8RAM.v(164): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 164 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909563197 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(170) " "Verilog HDL assignment warning at GR8RAM.v(170): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 170 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1567909563197 "|GR8RAM"} +{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563267 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567909563267 ""} +{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563267 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 164 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563267 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 159 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563267 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 170 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563267 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1567909563267 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563296 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563296 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563296 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567909563296 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563317 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563317 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563317 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563317 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563317 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1567909563317 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563327 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563341 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563341 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563356 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563366 ""} +{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1567909563366 ""} +{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1567909563436 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1567909563436 ""} +{ "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 131 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1567909563441 ""} +{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1567909563527 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1567909563527 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1567909563527 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "8 " "Design contains 8 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nMode " "No output dependent on input pin \"nMode\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|nMode"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1567909563709 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1567909563709 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "168 " "Implemented 168 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1567909563709 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1567909563709 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1567909563709 ""} { "Info" "ICUT_CUT_TM_MCELLS" "105 " "Implemented 105 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1567909563709 ""} { "Info" "ICUT_CUT_TM_SEXPS" "2 " "Implemented 2 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1567909563709 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1567909563709 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1567909563757 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567909563787 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 22:26:03 2019 " "Processing ended: Sat Sep 07 22:26:03 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567909563787 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567909563787 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567909563787 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567909563787 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index fbe80df3da306447a72bcfcfab05637f6b2867c1..58eaa34911c9710ae79138a6c57c9729090d40e2 100755 GIT binary patch delta 1086 zcmV-E1i|~D38M*+TYrrM00000006K700000005%|00000004La>{Ux|)G!d<1q31> z1Q!m7!<F%TG8M}tHbx(+;%B4wV9CenuHv5yIkFhGF}2eF;#M6uE- z!!TErI_I4k?;|`~JQ@o74ZRD?C1s4#^G(W|0|NnRa;$~oE5R;e#9e)N3Mg|7Em_SV+#UKg9AVtBUzP^q@k?|ppPCl;j{!U)5 z&JZoEKm}|J0zkFBxe32PT7;ll+#N&RU4x-&8QB>efZ}EwckKsK3=%*LG8U@F#WBP& z-qpv|*VPYUDl3BmP*v=Xhp+g6G|V9o2Ly)%dHT7dXyFGc;s6_5b91t!9LRw?1fm6M zJR>KA0nn9UY?i(tHG)74atN}&7+Dx3fJ*or9VdhQ15@JT>h9Px# delta 1108 zcmV-a1gra_37`p(TYr%Q00000006K700000005u_00000004La>{U%~BsCD7fz_hz zMQFKjKpg6nO{7WEJtH_&EjNEq19|_H6`IY<-ZfJK2&+VSf z90Dh9&{E4~yXsZdbCrE}cX#(E{z3l@hl2!S&6+ocH`=)6#((-a{0OrTuzDY@-@@uE zEKL=YtreCwC~uX!=0VPVT{F|J+b`u$Aaq#ofD`_IcLMOoohyX*8H6itolq5>Fkf-I z3}Ss8{)*Wj2qgUL8~@AyDF$AmVm?L2XS`yPF0>N`e~5tL@92a>-Lytj*N!$1wDHle9>+{IqC9&?dO&jXqifp(^}dQNnMG8O0SAwZ7E@D zK^lE!$m-JFGQ%k>_3A+s&eHUJHlv0ar=S=yl6#O*XTelU+PlU(Duv{hN~3G+V6`MT zpi&!N>Ci37rcj0Siy$xf4Va+D=*qCV4oe!bh}z38!G8$0wepcLYjq)*;|p5o!q;56 zs0llv;H8e!kP82~G2B|&lJ)bSc;P5wc7490; zLai1t*MAXmV2;}5;p0+7;$31^1F-R^kXRJSI<@iWBIlNBrhLf?=MA`=x63@jp#!B` zN_s8=x2OZ=5s4AM1nz}(M$Em_#_kZ!Bxi7Fc!>(>IZoD6yictQwDhK{xdnGjNV}5^ z2hY$ASK6UDYE~Fsa4A<8Y86@~ydqJf5o)#f+kfg}{reXKwE5m-12DNS3e-jEC2dm< zCUf3Ux<}*eAp81Yk`dZ%KlmIBzQq5^qx8L{(^Hy^x97sq_uN=iL8mcDF=UgSbSI;4 zy#R6J$(Yg?yV-cRYukKA8Rg&64}4BXvDTttctS4G00D|FSl!;uR$o_?Vg8BLM$GtR7^9cBP2lx=zkFb-alc@IeUTsDA z$5?xj{RIF3|NnRa;$~oE;9y{2h%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrGU5K+-NI zP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlYf`1Geiq3Pyrjm9w6D9oA4W?MF^_J-7(bN zH5jUvk)6Q+C~mfK*M1 zIXX@T`3I)N$JO1@2j(3vu#%(So;d@8sOp=2n2U`cPF^R`W|7tRy1t)^=tjZ0u|#EL_a2 zY|Lydtn4JJ<}LthQ*#m}V^doaRuWYi5>0ax5^*zYx38YI)&Fw`2Ij)}e*vrxbkSK%RP7|N8ds+W@(pCLw9wL`;yaY0rr&f z(7G)*k9*C}D`i7J^$mUfIm@*c`?VI6+eE-$uAW6@`p5I2b!??uI|}0fyG|n?EKot; z^;D2q4>vptjz7(AkhcyRj2rnOMAIk`BYqK4-@m%@osY;tw07W zu7`-acUVF#xsIIWgA$eI9!{E)BY$KcBafSvDSpmbIf{b$oWG&f(V02u;a{ZCNy6;D z-jJZwnA8KB8fWQN$O8e_&ku*-HM0>C9;QZ21;X29!vxNhmP3b{~hz)p&l4b(UVh)nW516aU`=V(*;iDnm;j zObUi$SnB_$^MBql>xh>3?QcN(*6o-Uu!HG!czJ1Bn_=ouo7&Y&`9UF>9Ff@mCzL?7 z=vmcFg~DIoe&VPiiiyoy2S$p+3rDpSh*wgVh2nNXqmT9W-|&;o-T)o#qQ=NOExZ(^ zcx<$5srmvi6iNpK7O1cKw0=L8YLCSFif|SlbX1AiJf7sHHx#WWFo%#MQ+(Plo9kT2 zh6c_kR408#by>z_^D)`tKYIU`b2xL=HBy`=`&ple5WHJN3Haqw#MxL#gR-V!n~}9g zJd@kY^xM!4vN1rT;wo`G!9xIO1 z$&e{vt|uk)Ao_uRw8Yk9TX!cta|%)tt2@I#($_S;_QkX-k#;>da$xv~2FrYp%pofO z!98%22?4KxCMTL3!I%D_IL@GTss5ob#A8m3j0p?nG?D$z?$y z|A4_V@m;$Wlw3N@k@D-*-HqAn8wE(>nINhraDPtLN^(FH+oD!c7EF%b~Fr+ zVFfGs8AcBsTznJ4hgIxjMb4*{gNCg5YZvtHqe$)N?7bk50Ms>VT!8rVc=re88uRh3 z@at?&vYFS+$3FPu6UrOq!|um!&5{pJX8saH0|jq#0!A-21?&runSDu7tvLQA$|Din zkd5f-X8eP1Q-w?aJ*%of4I3kC+X{L}$9SpXWz*BZ z;G+&xOx?+eReFo~pepiQzf*e1$u23LAB2tO*0I4~P8l;H8EWGkY)RAJElNv9`Xg5)2zT7Nj8@wa({;!LStMiD%VA> zqiE^Lio7q6jA_Ro?R;u3+p}TPb?EiJB^FbWV3|4%&}X3`Qe@_FR9G8;zdC z1kzT}LzL&{!)6i?6#a1eGe79VJTY&8qAJNR=jvIGlN`s(*2wi@ptqd&C=RA1f4ZUe z7FnX`v%&0EL@VmNN%RgqkkP6t8OtNeV>14I63uinF&@`7+`a!8b=8{~MVGw7QKUP! z4({2-n`(dTBr|cUmpMy0yJ;!n#Rc$|$ZWZV^6UZ#=&(GtyPEM4q-oe6nsgG;l>CSI z<6yTqC!8tI6no{|E5f)bjs>C=AYgv?P(<23W)3UOeh_;nq&mrhlIb$&`6reA4`g}n z3?a(_;zi&HY2Ct+;;#uSB#+Nvo4sgOeyB<@#cqEQuMv&W5s9A)Hj`yhe>k00WxZ*K zEoQ1brfjjPA8^&L>2c>LD`JTN^Nu#{S4Jb>wq4p+ciQ3p& z7k$zDP`xE3e$pjQHN|1~|3@W?4xg0Jd->Ni@l9vi4y)>w>FK#G5S>*q;8nUE?UsxU zYBhTZGg0Ba4OaPixx?Z2a%tt{$mac%nmSFI(bFroXuc65l*_wkQ9m_4t)4gD-1U`n zW9J$C$5*Uh0*3P=g&bd__UGCy^ogFLe~5oLU$bp<{o<19Nt_LaobHK~p`O7PSY=k$-@pF-P1$6+MK3ZhslQy z9^TW#+?0%z;B*tKi(^SwanylkUByqwm5Rs`f&mNeBv<@nu{y`USIDs;+LVUosTz16 zR%F!WPlOQeOb_?8?mHo7hLvytV}l=dm$MD*CgIAqo||dQnw+eWC&|BFNYMjX>f2~EY_F~0i6Z?2A(HzY73dlX zya@ZJxEws0&5@)!5EmpADPMy_0%@Hy|I=u+z0Mj_35h2&(W1AYV&N%acs zL(Ejf=%N~Nix%^7(q0|~8-7PuYrdt0jQ^L#7}^R>M4Rr(4&o#RQ4)iAh(WZ(pj4Di zt58+jU+E>J)?f*kT+D+F0QOz2CL~N^c>4S`NyP#3=ol>c3yUU^PVL%hi_WdO6+#8l zAMM&iI~#Th{~Q)2J)7R=qf)m-eXZkDj>vr_75Ln3T$$z9Os^KKL0!5P*AM=AgGY8- zDw7Y42X=l;@PmzN&kKVAvzkTLXWt&6AT zZ(%D}BR`1qe;*~(Xz_OVc14Lhb_s!2Zh%iJ{?`_QLfKP{SyA!PG*jvel zAfDa1UESN5ACEo2rzc^ zwme_<^UHX@j1P{hmFOLoR3C~4O$(&$aSz?4rKW=Br$fYgn5;f5&UsRXs7j>BWMg91q#O3P{ z?*PWmj4-0L>mlEA;}L;_p$=-;+|^11{Ovp)x6Vcz#vr0o;?iG#C+pn{^M@nF#tN*2 zA(B7Q&Tsr#dj7|$l1J*c*iP0mqr491`2d$oHpRLSsj`+uDV1S=RR#sdj*!K z3>KFvq;xF>X5=%N-<()ejquLgl7?&;hB)9ce-U|CV(#rXId9kQ%VIbVS-#%!fHdnq zzh$M*o)D%E#F70Y>(=UCS=&s^tAXLnJDj@{{&dU>RUk@7y`cWC5$+z=rTd968A!j} z`H(J_heKj)zXw0-#N_s&(UjH~vNcvPno!@>8CBpWCr#IhLhP95ljog6b@sB#rpMzEL7+E%%{#muz~M40DGLYD7|9 z56&!VuraJhfNf8@zHXtEbAB9z(yK<`=GhMfyTIPOb(}T+{8eD3NON7!68-8=IW4Gv z;B?14`>mf2=eE+n=*Dr{i^DjIiZ!GEuHT4brbOlZ^q8KGaF4nHIzB2sZ}==D@hjiK zJ@;2rKx1I}hIS}-Inv$NZ*(+7)~~GSnO7pYw=MrynOJnO2c;9u?$PA++o@Mh4gE;1 zWr;49cWQ|ess0^y;!TCzg=q)NFzV*wk}(V6}ie$+N)km8+I34;}(zA8$A!JpkHCuAs%-6 zrM`;goRZT@pm)qb@1+*l4^y?@oLTQAo|qDq^PRvFc(~2GjCnlrBVz4 zvjZz`pKtUvvvzgWeYLWEZ7feeTx>ZQTgzZ_yyA8*bq6-^B!(?r1>CMwOMg?3%!ijq zCu9s`Hf*`BjH}ilI=A@mIds% zYQ^F(#8UF_Sa=r{!#8ggRqBx(_ALOgS-W#b?)GYaOQn_u-zpJ%u%=EKTuR){K|&n} zrDdYhF{t07u38E!Sp74P?EGPvOz*ckvOhG2qVSwn{n(?sDrki;i@GGuCjX#NIzInE z!5&FFT4vvnc6~pV{(b&xN6wFmchA0oZvp%I256As!lYq$P&%{dz$KXdG;EQhP?y_8 zJN+uizSe7|O5rM7g;dF4)svQG4w6gWeg>vU$J&;2yIQL@4q>X6PRui5r12|%3}>te zG%Sp0U;}%__YJ;+fD@e4OFPO-slKSpr(R)@~NO|nte_1H6r;&ZlJ|o76iXp+4 z4mp}rpxP3zpp73P($$_GGzrS8$K1`F&T64e?o3_wT^%Wn^Aj9DPbqg!GFu&`!cH(T z4y}*vSMGeIm^k?f^8DN^Km7J3IYzc|4heHdNst`|3f&?jzt zE7J?Fizw|jqUrVmrV@H_Wv&%}>4%2GIHGdR5PyL7G_rWszd-EHFvCXE-6(rcm;0u% z@|3A`2hJhBTKHVh&*eijfZU3H);)sVwdyUzybbT*@+^@~9l&YL*o89a{zzbhQC%t9L6eAs751wd3XWn`}AV zCu$mw@23Yj3hrCq?S8cqw)`lVSZWclr(fH2-@cCuD-%EpXR|i-`e=w>`q5;5WB+V7?;Ibbqq_Swo${ocQc&1Qn%o^mKol29u;d$44X3V;e zc?%FJe^03>XmYejm;C4ynCnUUwBaoaD0#7LHB_z}Y+Wi3D1Mr%3sCd7w)l)19BR&b zr>2`q?`g`A{1~h2@p%r*`bc=1nz##46HtD?zgBefU72}s6nw|edUzjC1u`#vuAeD- z$mV~I$=lOAK91m>I41rlPS%TMea69VLiMNE82RVI5%J`Qlz&SAbwYr(#jDs&&nQr_ z#V_}LuELk5=_AQ+Np9>#@*?HpGs}SJS+LU|gYoE%UHMscf_P6}>0viOZ;&|4lh?l|c^<}8~y%jVSs@XSGsJs!oM`h82;3-Bhs^w=`~lrYNkaekAx@u~Mt zbt)KNFh_hp#T@n22ESFl!mkx^nkS4&k*)SXOPLjs4U0^LK~+S_9d052 z*bWBVFA6(CDZDYYvYRHklQ2~3*8!Z_Z{}L;2uuWJKV=FJ+I}(ErWBEdBcKZ`)|8-3 z{DKPIU2SBlPQJMf+AnYozcG4qYbn&nkl%C^mD~q#DatqovXPmwiyrlQm4J?h%r1Wt zEYd7_K|U}s*oHo3v;$>=aW<7D-FseV(wNgUiea4DeI~I|-ZbpB+9yEuKB zBJ6wG?!-bHd~{@ZZZhn9`5x3H62!hD@h15q}NAJ@`X}>o9uLxN* zzWmG+rQUhNlfqUi(d=nDwm&!B8JiK;0KZuyTn2*QpV)2BgcJbD^Sc}l76AQ6#MQZ` zlIPv~hF3uHy7vSaA~|x0g;N)UqbZ^um-M3m#4U4;MO7pL$W?8))qz5LxoH8U)fKqa z3ZYleU;vuc5rq{_a0{JqCj_to>H?v#R$-b6=3*S`f^B+{!YU)Ug-iIA&*}^vI2F_) zAlwNBM1otj6h4!ic7U;02nGmR5yGuP7B*8`p#(3c7xF{t*aknaSTVw_q6p>W6mEd) z@CbKeaQI#eE_UY#^L}|i0Pdj!JB43`f&nO2LsV9e!4Dr$7Xs7q($n22tBi0uSik_8 zY3#toyh1-1;3m|C#PkaW&@n_qcDno9s!=e2&?*KUXe<0`6#Rfvn3r3q54rk?4)iMA z$SzbDdUXiqA_lr*02PA)%E1rL)048(0^qC9aH~|)|8)Hu9^UDvnWUzfz%J_1Iar=^ z(1Bk9qF6A1$f`hYdh**vAJhfGv_NX1A0aS-!b&p)AQSwcKAlc!r5VJPT=>ptRY7HC z2yp>~x(EmYcn3c$6>g*y`hfv`Fo34Qo$SK&ArBK!_F%vXI6NlI4}G}R>%xuvLib>R zM=*fE>XFjw3g)5(sulrwMQt?{2nYz~f&ucP1KSIYBO|hU7i2(`3vj#9mXzn@q(!mw zc|N>Pv|*7c!MBl4=E+V@$%&02{?36aG#p`1GGM<+R2Wu5nQaw1F?(iqyGr%9!qDIL z9jqcqgr@H(j6|5ZxILd161jKaLZPx0@2%3=kx5C{goU~#(3(bMrM#*YTVqE@5=`T} zh`xh#_~9P|IE%_c+{nTJnD)GkZ%NtvIXo9Cj*i1PVZSO4Xq8`6VWDe%gb|C?!ZGt0Xo; z(N<|)q{aT|D$R^pLh?~8PjL?|0C}{1sjyaBqwyC~(~R$DrDY((J9dXumw8Igp{&{D z4vDhOz*29>cNf^Q>3DqUNP~9buH?2bSx!Keq@P6OeCTBXMd@aLDIIk;Y|Yv=k2kak zJfk1)^>L*03L=C!Z`#+-gBI(lN-Z~$U=%p5KxJrtj5`X(Cg-0iS}ug^JL(hbh+`lT zgxbsfOIZszw*Lx@oI5#Db$66reC`u#NAOXb!4H)b2>`W_W%-9{ehFE}+>r0A`eZy0 zuFLK(Y8!Xa<-@5(my8pU7Z@Wp=>v8V9fm*1ss5^L5`(^?S)(4Dhn$Fiz`a5t>h+23 zk+|*(dc-9T1C=3E3Y*=ihsX0sjHz-67>*q!^$z{qVoC|x=I8pZ1OJ^&&ZU2O{8}qv z5%Tl`$=5#Px6b@e5r{=w7(tm|aAZx`oAEJ`+Ft?jJ(0vF$e+ieT{WBpE3td2%~ZCy ziEs|i><#sev=Q&s6M=@Fp0>^H3eYkV<}OTW11$fnHL#O%+4eF87yJaf_nSfb5NGnI ziSNzz-igTDLYW^N(0D403?hS$#-%a&ddMP#bfcGzT4f!UXQ(&B9Qv<-b3RVgX8Dl3 zvRTKW%K26BDLWJR$u%}tkvspka@3NDAwa=@t%?X7Xz}wE5~vu#vq`&A+KZr7#P0&p zp`_sn#+E41P{PSei?}9FCsP2!LxpT9*trwLppTOJxB1XD&K z>Thm_hjq9`7IFlT$)&QH$#l%T#bU-2tdz0HbkyP^vDGO#C{@ss$&g5CRZx@3o=Is% zefMinv7YHE#F-GJla{QYe>draxRi@R=8Uh6p|EuIvt@rRbc7dse>+kx3%;$C==D;| z+vcm1bs|!y;7+g+{;T+(lx!x(A}d2%JPo{*-j!@u!?Kwtbc03(;ua!{q@t3+DRWYg zRz*;VVI_rDQYE9Ri=!8JNQul7C8IWnlN?{BhlZBvi^9ovhNGtgkSG~0@MN@BIa7ZF zZD|}%v@E>}m7f#ONkpX4Xi^6|=whJhHloGke`s9j7f${v`VxQfL|B5@v~sG2M4Y~) zUuAJr6`O;gCojugP%`&bb^mqDUsw2l4acc+l@ALq-gI5jA>J%u%U`N4%di!vpjDZ9 z++Y4YcwBT@?f!BG6Ibj8%9!PBal0|H7E3hV#z=AmFZ~O5pBB@+rv|=)aJa zpb|vs_uahsQQaqE?K+@YxLBZ~M`Bi)5{)^OJT>2h=Kec2FJ1WzO|^WQ7H8F`Pm8VE zr>2E?Gq1Is$LzAe(7+4w3?rO%wQ0n{CwB}Scc0nB7^ggj)YmcI`V__f6q?tQV3kjJ-!v``lq6q!2N%zM5uoBH7f+E+u9CfiyIsSg zG7^qz7&EnPuZ9dI$%N}v_61rPAGs_*v8rsk3O7B%gGMSV=^vcql;RO?l#)SNfq>~xvukwchyJoyy zN|H)^{0IX&bKv+cDU6Ji$Cin_7sIQAP}|Nk|DWkJ4kwjZeO~MB3mz#E8Og9ECHcT% zqH)np1lSM0l339)#IgH^kce^cet~?#zX)D}B#vRV2rzU=2SMRF<{y2RF11BAAWR~J z@K#C0_vY^BW*0@pW)FWzD%a2$HUKdgj=T#pV!BV~XmcH6BnjbBBrg#+)!S&`^e53> z1`Kpn3>^|=noctTaW4n`A;h~yZU!%mmy;#ajJ}tcrb|?Q1_Hle0x5z^RNbLSK_Y^m z36tB8X*shyutu2Gj#XVkg1QIf2P8L}B-F8oo7opnskjKle~H3E4;83{R>!*B%RNrN zi>fvB#Rwh!YaNa28x$G$#hD@c1yUKH$(FdUdqIeva|McjN4&m=->~_@BECWs)juTv zg|S^AXAMQti2YxlkiG-5$V<*Jw)QVcKUioWKzy!8s0fNVsjaw8WCv@AxRSwch}L8u zgx4NI(4G?I`t2dN~+vJFHNf)=by#l7ftL+dgfE?L{ zHVIC))pg#kQhOkA0$LaOaV;VU8QF%fa3_Ra!k zTsP1-b~7g8d_weLhx7_6R3b#DY+ax5cP#YPt~ueb=;nmz=3h}f&tYM{eIue91EL!o zzI{|87aA0v*a(72(ReVZfOOh1FHqt$pBWlSgb0d*UP`MnQcQIS0v@*JC^gressG7v z*n5^AdjA4yuwYEXnRY)kwlf^6oW~m<{k{se^Y{8g7owYQL^t9@E?6kfcqr}R5pPiu zATZbuhPXE}=6y;bt2%H+K$=edbJC~zwpAfBC)XW=lHm8yTTkzAGwL;TnwnQrHeaCkAENZTOO3^atJX(9fIR}wBNCav=7ZP!u+%l_J+;3$D zv{ny23UusKftP~_UR}z=f@6=yoshj7COy62w-PYpM97)^ge;DLKcE5drVL06M#t9wK~ zRM{gLv8I{kqlU;BFHwgB($?EVUeDn?J~MWdb_7(PtF{~*7ZjBCjEMCi(QcH;jn!8# zQWOXg6rmjsLk2luN`$Aj;4Y~kOz5Imy)F-%?`pVt_M6rNPT-7Gr`z9`1+H~h(BQkX z_!?5NjJ#ws5xQz|9>F$*H?*@yO~rHfwbWv62}SWpo5-OR_6#i4UIv%OusJAkjIwm*ZS>X=j0e@f2n)>9 za8|o|+YkqOY~ycv>x{r3HovIW?J?-c2kbX4$bL;5zAJ#xIk=Z3mx!t>$ELTc;u&qg z@2-XhXOiUjb?2F^gfo^~o9jy}XEFNHS=Yfg9hl8j36;d#k|xf?tc6VvvEW5Kk|flt zIH%rxZp|z0nS1EwU9AU*NFRKf_k&3ce3ss*jPAP+9CpDp9ndM>+Gh~N(~PcCUXKv@ zP~(y4?=IRw?S9vD^f2@3tRiyg!7I3w1570igY%$E+m`GHixJ5UHb@3gt_8);{ENiyIqTrP3b#9|ErMc zo<0OO=jQs2(6@+jH^$0WBYc|2NGx!EgicnBw$eGi-~hlF(k7iN@a9+C(6&tRMzSQM|+2tFj(EcAC(1O=0m@3|{zQxL-bwl*A_pWP&+>5nYT zH;EZu!}NS+2qb_jM@|G~#m75JWGang!JNi>8q%gf5>m>hJqyU-2B!Dvak|+Ms+944ce_+Kofg0n6AIopag! z{1>+7=_ea;7#kr3LtJDGwwpUj#^JcN#<$%{xPhxY7sl`c{fW@0B)PZZUry@uOjl@} z`G4zc;aTMmsU_Yjt4O(0Y2I=ju|=v;{ns<>Ip4OO(=p4ShA_1Hql8$BHYeaucEav% z5(S86r$iNYNuL_@2OUE|iQ;@_tkp*$3?^_f+*v=&q(nM!@EjinpkVK1YL?G*mZO>( z(sUBAr5YIghJs86$u7zH%rYZ#`$W?rM1CB!_0m29vNbmuT>BY4`s28FB?z4>e(nmu z6Y!Uqa!u;3%n^juf+^_X{Zsg{*ta@zf}F`t!1Bb6Fn6*jC3dIioc*1wQ-dt~ZvdhU z*8;blQg1u>@@~=10Ab%E*d}m~5j^-k!(C_k>-|`R`Ev z33KNFQ?GjeTfPgXB&=}yWrMaIOqpE&=;hZ+H$A|UG%`hHvuau(%+TG5 zhoB+_Z+%r6N`&jo?|nmXT)M!E)t`BxBQ*|k5K$*qbG(Kb(M{TEyI~T~d2P#1!9$2@ zMNqzz=!6k*38i8a1dNON!^3Xe0yMGJJNCNt*^jmYi+>Wja{!cQYn~Mi76QQg?gI^F zVlR*%&|t<(W(j0@`Kd@pBvAybwo(GkILb6GDiB4;zHiP2IxT!4F(0-foA|@Xg?DAM zPVq{4Wu-MDMb@B6r~>iphybbpm|(R3zS)nP#2oMjT^|JI{Q}Qn53k|cz-f;6U7Mxt zVBUqJRMct+n&q?$SY$ZV3-JM7@D!EBX9y9I5^4O+G1g-vxph6sNe)TsD;&;^( zC&PNLqE~a3{O9Jw46Qgr3$!0npYJmOHWLwxESLomX9XO71Cjw@Eg({X!o-->}q3Q+hSkiV_)lHU-M#L6U036 z$2>vCJdvZyoe50AKV!sr28n})@o&)S_pz|AEwQiZVwNGG_L}xsq%E$psf%TmshK&6 z7nWJ3i34Cr1Ima7h~z_v0&5#iGRq%Kb=L6lMiGvf32^PK>|V#h%Liu(Aj~OW98F3f z;*240IPUR4w}b-j1EVwUIt@q9Gb zD9`*PR?H`OYt>#fCu+1OGR5y+a3}sF`d~B5~_Oq-rq`X z4P4s^FV(7>E~7h6Qfny8A(f!W_P;qyAKb(ioJO`bcFdfdTp8KCtJ#OZW@2?4d)tCJ z?uzExb`BVXxYX-_gtDVgMJBWICx!bi+eDOoLIW|CRclwt2Je5P2!!jJw4nEQ!rIbt;A`CdbWPRsk#P zLXh%@*94AB^_sP__1{PA4&fX7#kFzcId#^iE zUT#JOm&$7SFR7z*7`dZ!l!-U656-7+sYA7s-0r$*707irKGt4%0+I0~xD}54N*^7J zYlB-9w@(sb0kAg(eGg-rt#Kvm1>UDFCH$N2)7FZD! zIqKH?EsIn5x?*{k8knA=mg!CBhGr_3RfjYadvF>;m~TTrl)TGdJZ~56fyZv0XL-m! zuf&Np0NW`_DVHJDoX<~Y(E&)4CzWn4L(E_SRR2z``V5OslyTP;VEHTdrz<@56OLrN zJSEUNFo_LBB5xP6FYCc$rfQ!IhtRfyqjsXMu7rjvRNfq=vz~~c?lG=XxHaF!2!Hhy zR!XgnK;q(b-CL3W^oQ)OAlH}Fk8kUS7tjR3JvPoqoYg17Y`7CTD=Og>%z9)1As^u} zl1ujAg-2D7)~{K9WxOE%CW<&3HB5bBg`?P~{S;(6k=dGn<8{6w|K~DRZ+OKHK=;P>)q&*6z7k+drUeS7Qu4UKIAb#Pryi_s%dM7sgBvLYQT7)zB$!VNESx+Eo~O2%)|0(Qenl5g*~Q5 zkRT2ITQUT=iL{bfFq8=yjab}_QE?eu@{@>ks!=cm9P9R8L^v^p&A%WSxi{lzi76g- zkMhoMI(-z6lau^g{Ewii4Rbpp{9)X+r?Exm?ExDjGZkq=jB@7j+?|RhJzF)TH4pyF z13jIZ&Dn12iPMK6T@2gRA3t`uylvpQ8AF>lbDbzD)6c3Z>m?U|?EUBoH2jP7+^#XH z99FQ{^lsEq7-;QkD5pO5n^85>U~6|%^H2Lncy9aIX}0xU%+dQdKHK`Slxph&UL9nf z7lTdgA5ABa??#aVE@7u9=_{>^b1P(F4b3>Y7bFDuI5JO#`SQQkrJtdHv{Mn3HlX90 zYQcc&{F=5Umj}xG{>*U`9o`Fq+pdta5Lz#Qqqz1ArI?b`Xe- zviDF6iiT@9Tt1P*i|!Z~amwQ9*&7VmfW+7xLKr6d(;-syoGl7~S2-$d0j zV_h@UYs#4J)#+Qz zXgk4x_nln6@b$w5{5DWbO~NdrS~%nN0H&w*3aTK)$fCf!(aj*kD}z-Ku`pYAN#iz* zb1?&zA9g+QEs8^`aw>Y}ssH3Wzd46^Kd{G8FiPz^ngdY?b*vYnl{v*ujrw!AB^Wh% zFOZ-FR;!l{%xP9rks$Pu0@q4J6jyo&Q&S`Z@!&nC2NeCc>0wv<2sDP2z6q^(911JCD5%B*#6JIz)pnyzoM+=xN}EW z=2!xp+Pkrw(^!<6ro$Rede8i4We$Rf_)N7S{N<{60eB0k*gITN1X6qOFgYkYNzYI> z3z}==@@*92(R2tqEF0;+wOM-n{<-{MlIBE^pOI^Bt~uc`VdmEiECNIm9Di3VJ6#=G z6V!#-N--|X&o(`PNcx2u4$c)1;=lUE&PfeM?JjECj|^9o%Ly5Ju_omb8&)vPl}s*% zL}{ru`3BHeG}WIP9*)iLwZk-`|M|7i`^1b1(^0vK)_)Y>VcKJI^VW6|L{rq%Fi#;J zFRQzVECJ*YL0g?#UjOTak}q7kb1F;RozOV8i*rSa$<~v>@MiVw!`Q|F4V@i4`r9r` zm|BRa>Ez+|J-uT@tE+Tf$%q?kp|AxatRc(Eydz>-HkJCQ1d(lzpU_(+Q0-6*mgWzd zAfiWfJ*vA$=;>*1#H9i0ZXIk8bXo%pq3{n*uf-bJkXb3Y(NW5xt1w>+3EXi&?*5_0Y_V>w^rNf6wpsUOXRs9<$Wg;8R*BUS)_RgJbss87iP`|*Eo z-5x~|?``93jz?PVKS!~Eh>faj{eEK4;c-%U@Q~8TKbi}fcB>RDw)E9wiuzPo(!Ef> zRb5#W`Eu=sS4wHD{X2kXYzu^MYjalQ*frTkoKSSpeL$`@yUC?-GbeS8Bd~IRC@_s^Z`PK56@`^g%o$bKUVP~GY#?!3kn<^{ j2H_-&rqdn*Kk&3DI9!}LA32MFU<$|Z`E@Gi^Yi}z=at~t literal 13695 zcmY*gV{m4{wv8sX&53Q>n%K5&+s?#xGO;nSZQIENU+gbgsBY%$V&z~@%*@Kb#K24};$~%QO3cE_Ld?X$$jrjX!ohla)a&%Vf_Ac^V4aX)rfbmc+y_+g4uikFKk2(e>v*FYmRo<@|3u{>i3ub&5>iZY z^2nRDTjJzl4aPfUL2Nt>pNWkxE}lIlW~Cu!sGx#P?)vJrU+#8m<8kY~`o*)qQrCOE z!hag@E7#>*b-Si#)92}Oy$LTLcY@@l+V+X#LNq}bK%zM5Td^%Za+Rze z!Gc4%B2ya@h#L~}6M4XDGNl?C9)^W96wSLn2|QA$81ep`!MnaS1W<<~VCQMN&D4-k z>lAF(fwb1RNhw-jcX2e*R93!0(Po#;_w_QJZCJNTdg8;V}6!XN~9X1FX~^nGFm7D4l8Y zYuVPhUDN1rB5v{V1e}hgU0?1r#Wba6H8Z*Ff^W=L&gKjoa@^99$=W{tF?K9C1v#y( zR7I&ERTM9X7QzUk0aJshg#M3%i4%p(fBrVc8cGm$qKqS_%L+KcT0Wbn`V0x?60v8m zYo(q~134AcG2S5~`+qzBzf5#P(7M{4&FZ`vvh9u$hURydXX1a{EOo!!uF~u*jEe1X zhiI2<5z}QFw!$W*cC`0;{tgR~M$3bX(JMo9uRN0$T`l3F9$;3eFVcF0qXxh}s3DO* z&ew{!$ zmnncy7}lu3IhdC*b7l*sQfr>AhDs-FZI_Vsuf8Ou4niE;^t%?Vr@Z{3qfR2s#G?C@ zwy#oJ+H@f4XY(kFe;-Cjw4A%IVc9EVve8Sm`K)e_4>=_AT+`^#b)SXoWis z*!R-rVAx-m?q}AguJpW5vN#hE!OFFMqnhSDx)B#fxlWNp)pM*?i@x*P?Gl`U`2p9v z#Oz(Ab2=;z=-&6&H#lx9SH-;9sCimwC~GCnEx+0!(96#$aGX!SBin*w7t5)47P@hW z9dYwqwtnS0{Vb#&;-PV=6*Z<_y;yip#65KwPH<*w`@-_} zt;SbfCn*y`Gik#GR zN@@sH4qW^0PUJ+h`{z5mBGE+G!`h|iy%EBn`sQ&4gu9FPq8Uibx3jS^;Pe9f8A!l% z1!+XKuP67MwQ-cmLtT$uXmtMuQuMJQv<&*3r3VSAiW>+W6By zhf|Jj4=8ptSxC~#81b?e4h`=OueUBI1^^LYIr0%_Id|+^h@ZG})4eeca zjtwkz{C#ROI*xSt(&=kjPZ!P_hlgF(53Cs-9{_Rj_|10Grwi#t7r!t4RMNM6??pb& zb>igp$ue)#pMr4Ihg9hJ8B33~kB3Je!Z4be15UN>#j;i_uYtw7mQ8OnN6J|iz&1v& zsRpJ~dRj#U7yhCjDoQQtKnHdSk&YXGAy@?4KCCA#mUBpvTv~&HmHLA-m}vRr%)dJ8 z8+J=zSL-8=pvrz-A7;$-wJ4LQH!*^%EMcd!kGF_O)H<1I=ZTvWN$0lG*3z=dvO3A< z$)zu_jA(;QH{>BGu&J@)RH`iKg^J@+p(2Dq^}Jl@=V`_180S{v6>S)WW{q>#i@Ch) zEpaBCbn}k>s846oRYWz6TM%1oPWwS+@!^y4|3u?-*M$k-(&Uac>rHt^gUMBRFzGT^ z8VV$>H%<7?gi zj1hhm{FF&t8$?WqPt5#BM?TyXKKopc zRT)M@e6q3a;RAW8Hfj5xnb6eku_^Hc^_J2Xck-5LIPpi1zM9-BeB0@T^6pst5?{om z_KXB?X-;)#Q_DZeqN1{?_;}itM|*S1cIdzR34m60a zSL^FmtNrn^ebysk3oZ@Lg#lfuDHlWzA<^P1W7X6f^X zdREFUCHbJxzpS^xy_s24CO#rAGiYC}G?eRg8a_6~PIeu-q2c6Cd72t8`*9vK^i3PZ zx|x(s`DzUBt+_S_d6wJ)B9ll<60dK$<7jE7X;z?D9q+J5FPOsz$?a*bPs+u#f*Bu* zx68(i4BRKeO&{Zy&lvdN+QAC5BOPow8vYbj*wZfUvr_Euw@Y0ezMEt!IhmYGFUw%1 zw>dEc@2i{n}Q_|a;?)I6=noiy}cZ-9+saV~| zHzW4egRSIKHbJyiIpN-`p(7P2J|}GtxaWObqPFH>Vqc^w$Jzl#;f-Y8tDv8^yx7+$ zNh4~5FN?ikEZY^QDa_=gGDUZ5RCS}}8yEi^j&%x%UwIDtr9Usp(H1Z{L%NJD|hH&7)#yCU~Y_7g;DTZ*|YTb)8YU1S#|B1+SoTQKFu)(Jew(>FkMUuz@|X5{9Oh0_>uv6OJBXdFyr!w^@q9O1 z_DI(-;DfVRewI21|-xm7gRA4{Eb@KIK8ZQ|gHX2RW z%EjHZcEC4j9HT-JLx1%_*V<0@?GP9@j+y2EZY*l!O%5q0c=*K+;9jn}e4E?1d3>7} zuI`r4`)&vybUku)UJ_6uBw?icPSfTo0g??U32yjh38IT1=V}jfnLf24+-tjH*z5Xj zzUt5TIWTcL6G{OtD;w>{mk8q9(>Y8QHP+$TS=P_}3(+Ioyp){jq8=#=+gh;QCFtH( z)UN4BS=(GZ-6ndAP*aASKuKHy%^hQm0-=h5$?oy#(_eYL+f^o>lLLHL_YFVKpRSbu z5fU~3>UE}GxG3gs^v*=m-rP_1g>S=!B+%cd&=pL5Z1}lyg@Mi<$EMD4Q`Z2HMzqsz zJDfI5aP#4wKz@pQVq~n^vQcC?(EYn-)8;SnFL==c^Dj<3R2}k&(rp%zYo#YQPSsPE z92X0eImg}ScEP05Vm_w-7!*E=zeO;#vrS2H3g=*r;(S`;D9Aj zOU}v?t~C);K3eY8F^&Zr;Ty+3NGy{n*R&raAP}kUB2H@WuZ zkeRXop#+#NftpNUOpy$XBfTm$C_@kpd0(nRDMb6;pA?W)?o`yhyE0`k-Q^?NzEQY~ zEOLmfN0RE{2lTy0s63+TJNp4C_|!CPI?AB12x-A7q!MmJ4Gy1uN}9@>;Rju#K-4aL z1Eg?`I^h;IjaxN*#2eps@Xy;_nQARvxPcZ`YdX`ONN$cY$b?NOlOOVsx@6`OP0UaX zmyMW4Kk$#uMj1~)9tD|WfB8(_HwEkx)XE=%GV5FhME3`b8X@bhtZVWwEzYm=@~o|P zJ`5r1at?{}q9zUmjNO9Zb3;EihiH(!C_rq>sY({;uk`zc8N>_~IauWjfaU*-VCd(r zxTM9aa<3XEJkub!9QY#}r_m+zlXd=+VB~V&e27%PMy~k+?j+<3d|OiMFZXKcDEVm; zU#9@m#vmduF zHqCY)(Iixh0Yu?+3RJEzo_>t$B)62Xm%f4<#s+7od}tWbt3e~Xk!yP?H#YBOEr9?~H+t(sG3gKrX+ZJ^??Brvy6{hIW$jW`XE z=;nb*aKDt4#nVlFW1*4kqG~OQ%Zwz8=XHl;)^4YZaaSDf5l+dF8APeo3(X8ABbbAgi(I@#*e*a&iR11vJo!xv%Y7QJ99qVL0z80 zy|saher1ezt-iVV&J3Qi7ff>?t_mZW1j!ri3r)r_I)Eh3XlBx1oD6dRb{ko?m1Y&F zZ_3a8dDLq6`;@5HYjMg| z$HL=?$*gD;hHLBk561Wdg{fy+v9`y!tJ`;Lg=)gJjnWi7&!CbZ&t?Bn9mS~?XukYo zHl)~14Rm(Lb7X`SLE%;kWMv!(N{=Uq%m=e~$xtcwL zWKEyEv!?)2ZL9pkdb7S(wkea@IY(6Z0wJ(Qj%F0xyA*S4#4&NH{<5tJ9~6<@w8GlR z?nPi!GXj@%LaXUykg;fkTft3XIY)@=i zqQJt~Xx-$Tk)9(O*q}167Xa}+mWj^yuO&luz6$TZ#9{U_M!~Y?!UcZ`i_vg106)KM znw{gs18=4x%RkfF2|A4bSW+GrYT6n2v;f!%5h?t*!ZF!sg7m`>pwAZ2}*#pn@p* zuC0pePBxu*T#y1yBi-T1Z+8C6$e=zQwxAUm(A+T1>@b z&|lLy_ZK(B9pYFvU!i!zInOQ8{1#K)ah>lnYC7K~mCLYmc_*_y4jr4cM#F2C+x2Vu zO@r-g0Saq&Id4m0DX*neiqa>pOa0ZhMlD$0n_=E+Q(t1vd3=Wr_ry@47m(>lThipRb4l}OuJB6b<0n-+$CHAuq`nkQ-=m) zz%$Y%aLp2bm%JkswcAGKY&~6URXyzIjoxCDmwmx#3j=J5R%-vJH&*I^fD>HaaSd7w z{I_egBcxI*7%vIZsbZVwn?az0Dgt@8k6DYi9??&oz_3Ry`fJdp&M-0WA|QEp3UOHE*kxTOxNKLiMG~ z{%f1gwVItH%`t6leuP-;-zt<>wJ)uP1$L8>q`&?_;$(tWh0E7T*lQyNCxcP7U@Bg^qJ5PpgP_Op=Y>mi zdVnsB+Z`wLx~}u1(mzpkO8W8{#p_fYqVaNDs(ip#v>@=Mes`d!lYX%-)?sQkLGbj* zQU7XYiYz7JHQ86-Yk%jfDK7sf<1i;-{`L5DLdMrb+K2;PfJ^P?T2If`d-N+O^qsQ* zvdg3F?eCWcKV=~5*L}z5@U4$h?T5>1kLm8`c*5c6bKDY`{~4;|XY}DJAMqg`Fn>1y zV>c(CkM9ueG@$2;`HLQ(1GLvw>5wvysO>mDKO?{HOXzg;cJg^QKLBTt@ZVaMxAW&; zCeF{#Hwznso_E{RbU(YV9h)z{~p+Lp)p|pX4K^)0Ra3L{2+Lh|NioQ zaK-ic`Z=A(IBe1ScB&)L8lX6~J3Ax$IRZF+p0$1TVf5eMVEwcS8x6a#wDC15gQd}h z(^O(G#(P0q(o-pq{7Ym*#scAH>O{J9&0{Avvf$EZ7A1Eo8>dMsA`!}XWEzExV_-VS z5{VVYBRZ_kZ$G>yY(7Ui(3Ql=lKVxPKu(%LOj~4pRtL?DAeV3kJxnHi5*l>kVKVy$)Ki;b%Exa4G{^;^aKPh zzGc-i1)0cyT(lt>2u4oY)Vqws$|8Sw0$@uaWK)gfRPj16v`qa`GGSJ_*lX8Z-S~pk zhM|AA;|%G(Br9plWyI_-K9shvhfyfwKUyS)DPP8T)dYkp(lU!u8?lLzW**Y-mQACQ zOjW3g4KEm=kfJR*l%r(M%tU5<<)Krve+3YeW~3WSKpfhMaq(T*${_hjGU zK{PL5U)q7*=%C)fD9BAO>IQATS7}}idCEcuIL|Ri%`<=lF3|x51=Ap4To(N7$6 zt!0)DKL9!C0Qv$u+4&v#jVE*fqwr=@ksi#(3_3u*;IY(lHK>(N90+UKO}(KZd?7vG z1F7v1?T%-uN4>Estdmvb2i|;4z42JEtkR4pG>8O(_TfWjSzczz8T2%O4ltk7Pb}I7 z+n}c2s43tV6bGVO&dSdBz;B$YG=t84GK&vRa)wk6}Q`A@{=^b$*=9{_h<+6|Kv%dV!weC2kGKE3RsefIo*Eam3Z0=pk1LDC4QMVrfOmEd|yXeX%1_^5kh# zmuj1rM|*nFnL4#2J~!R`S_Ub>3y1N0l$kmr$aiorm~P+-ZAHw3uWXG4O6t-ZO|}#v<1sKGXTrq^wRvNKO8{ zA1QCwv?2HaLrM{NNtk%?aFzt5;1XACzHb+xN9 zXoh9FKciE!kQsbK#|w;Q<@usf-qY*9&%_4oqC7KnHH_)cnZPYMc;q0bB_g(f6ldm( z6S|t#@nua7qEGH$!dsT)K79ohV8CLzt3@a{7O>%E0~C>~^wKolp7;++V_-t5bMK{K z5582I`bSY&h~+0&;#=z-`Fh*kZ7VLqcqD%pOXQdGc1oXW`!RqkU=DZW_0S`Y7Z4OHQo=-;#bPn7Hic~8D~^zU5t2~`Rh zAv92x_!Z6t6G1dkPuKWNl?!@ z2>9wDbh}t8L3F2Fib0XHf7Br{t9wis^$!C!sOwIgq6)K-xXYp$_kM#Mn(^#6 z$fFtWvXNX7$w&G~qFmnCh)gVe-lmj(98${yM^)0E63XcSL<(9Pf_crZWpx4@_Qq1Y zQvaAB%2wn8OaPW9bBSwitl)!HQv#j#=7vKeR3wTcS(6A-Fzgq_;~zas{$wqJsK=62 zy$l-`{Aj9Q2MLti`Pg3X;k$h|Vo3g^_?U>y z)-1ykZWRy25mcQ&6;J5t>{W|~MfA4A4{hh)LpQgJUT?F|mJgSW6EtG@Cw;50)ru3P z(i6I8)?D6eB?Kj^yzW(T5?SvckIcLy7F|#F4f7Z65gmklnP#dNywikoX}qp%Vp+v- z4`UNYxbA=CLB>whcy{Gh!+}cY zsbQ&LC=WrIpjArub~8@b*z3$uF)~fp(C~N_U#8WU?RI_iB=<|uQ0?q4+5njOwP{>- zc2{3NP2||u`Rg7WA1c>*x_P&(ow0{|cllmEh`9M^^)6X;XHw)4vvQ@qxwWn$pVP7B zU1J=~+ddlR|1H|H8Wq%3AaDQrY3O;of%hX?wG+P(g&=8~BmMEviDd@-Vk{cNDsBCG zDY1dst;T%p$sLWj`DlnL_?r;05Q*YOl6vACfDH>F+X^;Nu(TQ*cl3uCfUh-u0n%?! zvz9h#NhRTcxIg;_qntd9{Hvzz63Po%m zG4nfBmx`uCOx-!GB2=&w^Wdofo?9+q=^)3K6xj;^p;$W!6X@t$t;w2!U&%R=z{(4| zgiWyaG=|(I%(#jz3#Z>jDSXo-P?#-9Pum|uR_gxA-J46?`ebm2!d&oF_XBQ5 zR%vDxj(9jbr`Y6i|I@ok5UNOu@nI+zHYd^FO{o3d)6LJ-)zGfku6Z86g3^L%GWu9Z z5E1y;!;G_~A>$T+vmB>fsgWX5M`totsay#qQ)r+H>!(fqKs+a64i9>V{qWd#)3-L% zce6HTnV$+1bzWa>Cl=0THdX=OpN(ZB$s#VfDX|h;qtCjsvWu@d8PwLu-DuwKC|8rD zR`+9wKeZaXXo>Ikpb^Y-&Y(6dJp}`sk@iLznvXj6rH=_*UXN0W$QktlKV}6W)2!Bru7Qg0PCc;|iC52K?UB5TZDrSQPO$NyKDg zIq1N8!FmM}Vl0n&NcrU7JQC47YSBD$(GAnFd6pw=oI6{bJA54HYIyEn;d~n5_>7{z zKp+C*b<$`}$!X2Tw1whDdAXeA^Cenp@UV53TWggBYwXys7<1dr-L5hqoZwRSW{oZp zQ5salbYVi7@OSBGyka6ftG1>#O~*FP#=ccRnT|!6j!Bx0U7L2(kf zf*4RM=gsmWw|O9Ufam&yTp^W2aw4(VbZil1Urg0gNMYr5l0H(vFfq zK{@DA{PSW^fyW@39W5jzo_GyEI1~0&R(S%(CeJVRJ6)VRL6E{>lM4-`2aJ5qJgO|0 zWC>Ty+C*+Gv1V|Rp;8^yJ9Vw`O}3Kmm!#7#$RnIcI1P|JFKL6OpnQhdWhlz%JP9a} zi#x*g;Ac#s>he7Ux^$3ZQziMb%F>qVT|8>4u+`1lrd!` zmgx3xVJ*FD-Wmi>uG_iv*#M{0@_D(x_E8`7xI*|gRgmhnjbl;g;)<77(R!o@`c6>; zkjUA1mtS*`y!|V7 zMZ_ViHjy$dSojM3!LPlyo&%hBngSP|fd&7T$d~rWm(a+UpU5Ip_9-N76N%TL2ktMx z#$=-LMBeW|K5#DOMUs9^Iwjv|Hm)5CdZebQ`{w=m8TY^w(WMvuwHV$@D4Y)>lJ~7M zCN-nVrzcW$4CAwrx|g8X6a`szK?%QoIMA?mQok9807^PA#Ep!*kducH6gr~iLTjjS z*qZpygb5sR(22=lK-iGzI`yEAd07oOsnm+ti*11?vUUsxX9yWBWuoS|n<;3YxZ}=~I4lL9mp4(Y1wTi!vo(X@(we!^%wS0#ozmRki z@gy)l;hYEuoT0ydYhJRyU!U;Nm!a4i{3QJaE+X|PtTdijZK@d_JC?Z2a^#A`0xR{o z#$Jf`JGDBM&`rc(!Q9|egcgX5XC!)_AImK85iH_G(3}c z_Qw{@@q8}O;_uln4q)9~U(Rt*f2UTcut&Vake~-EM+Sf+P80RxXw-4ay)$x+q_t6n z?10D(2Vf4gMsZ?5=4-^l_0ChDNBMxArsCYZZ;37qPuw}!orgmi77V~be&M_WJ0L}I zG7}(;1bj^TiosWOa}ya6An$^Oy5ioeBTa4I=`>dRK_PoZh)rgAoX|Gldus%t!rf`G z9GMvNYK+SWXn{27e#(IkIlngjK%Oj|9Z#IKi99TM!4%q(JgviQHsN;F`Hud(_)pYf zBGCbSz-6a@WMjn8xmoAr9ZK=xtmHR6Agbx$i<0wERHy{Sv2&z-#xUS0s6#aS@LxHR zjnv!1pfm87kn`V#^UzM%6iuVQc*x@bgE4FWv%(XNzN0l89GCovG{I=?Cy*r0K}`gL z;NpnQ6iF}=DlK}^U+E;PV~Mk%2dz){jmZHiBnF8jt6&404;PScqw4bL`XhcyE3O2@ z$_IMaK^@;SY_aW(JfXEcdB*F)y;n76VGEE)A_$2)tJW{A)e@D;Mz(PT%T3muUJG3f_eR$6;^HSm(HmOM;$- z0xGev2a3L}*k%N136k;9R?a9dbH|$5jk038bfh~V5JaX32z!#g?@DJwV~)2X&u!Lz z!wKxWWUVjyD7RK|R6V7BaT4ftt1n>48EDarGxo#Hv)QnN2=8kRO7EM0zQAU-5x(e2 z{pm>k!64||`N6;bz;~$Fo-&{~wMD*UMz%|ez3Ym-i-^4|i@nQ;ZPAkYf3wL*{oz9A zI-jFt{3%KO8A-3w5ne+ojzCdv3nE{BM|wg-lbACO$+JAq@gaythjf{YO9SkRtA5WC zR|g2sZWc)HFYdf{&E%cBJvO&2uU6}_@~o`vdTx!!tKKn=0l-C}4)wtcXqshH(>$JBY;oS|7s^d5p!oRA5{tWc``;LqJ zVCLQ(csyUeU)M>gfzD>C-T(9>kZHsde}}Juc`M?%@sC^{HSDz?Ip zAn&-e;rQKxbp}Z^XjzUvMrbffnFMuTlL@60+MJ&tzjkJZygS#keSO33|yV+exvsaSoHK$e%7*`)*gYC4k0R&I~~plR;*sUS-}mlk_wox8(sbOw;kG3^cYy*&TQaiAH(ciS!{*t&@8dx=UM+Sb0JmIisOTlk z-~4K}z?}V`^m0a z!H?ri<>$xWQYpn@(fU>*}~MXZPKl?9a8!wGy>lGi>3--Ww@L0!P?-LAkJxL z2k}uSyj$jWfa2fx>dkzjU<*DoY7PWBHw0k)xR(HIe2ncB6)Yt1yajDm?IE@CwZ7Uq z;j20KypV1Yx%qI-(D+$)meR-X(vN38Ibu}4k8t-_KVbXy&c99dH1q4XO*Vkr$`8o; z=CLXvF;u1qpnc_Azd2=ncBJHTX()Tf%WopSpNP+MGbY+UV69Ky+D^LUxCq9LdgT^{ za9#k6=DrT=_0Fwd!F67L2D+%3#~*l6{j%0s(KE#gI8q#{`sYG){TfZ}QZB{mEO>AW zTLo>g_~<5QxafP{b#vE`VzN0r43WIW${udw`*=Lxx0sthO_&jhrNs6fAWXGH`i$d6kk2#o8o=;G{}}E(Hq_nOG2t{1dyl z0NKPB0*&tH7!nax6snveF)Fx#zvYW?5hPK?&=66X)Pt|Z$&7oOkZi`$%y!3V=V{(^ z$3>J@Q5&-HN1aYjiL~nC*iSTJ?KZIOiA-UdsxmybMHoJVM;Agybvx_LdTX=I-}D$& z8yp(2B?(3>;C0e1|IA5k1ZO44197f#{MTJ~cI@|p zD-Es*0rexVSVww$0e%}iDWB)WZzCsv@Dv%h4=*omUcM#J#i1d#qE7eSgg8+dc3D;@ zOj&5wTS@qO7ie%)oveJ+karE~Pg=Jx#~gU0QA=P~E*)Fc?;hm^p0DbMq1uo=qDOb0 zg!2f^#+(7kv4+@%NjJ}49evM+?vyC!Xa2~QOTiou894T@R-Lj4xm@a#xhU1YyQS(J zpCff{PabDb>B@*!?&CGPi8xiFgsD6gQc1o_vaBt$IZvZF#wYdF_Hiko0}B*i9vn&$ z0?*$iksY=6oC7M}`sib04Ac7|sm8%%w7@bVt))dg$o!Pvt8GoRxrKMtPe$c(_7^+Y zWD!!F{{gdi?}`90eI_fE1(^hX&4)XuHh>vfB7uH?tv10S%{N$6rgx{i*@^LG3_X%o z5;;7%QD}W>?oTl;1oz}kYN0WW62<%diF9ZoJZ>G%Ek@}NV|^St3bbwg zdEMR+a~!%fK$dtPi}}B@+B_ka*g{a+?7x|F+ob=H!#}roPC-)I#f=*w;KY9vdgrdi zFpWc{+F8|Jkx}!;@J8Cq?77rLfTVdHnvI1UAyT3dJNjiztkW4sh(K{n74>J4bityz_vLEj;jx1C8G|ylH=QiI5k8y;NK^LL9O5))ng`>ef&o z8vOdAW(AT?I_cnGkP9M$CVUZ?`;pFD|8tp+O+0v+*2*_;U3M13u>CL?T#$@gq1LYp z(8T`0p9c9L*XhoHo@rx-Zb&AEF>fK@QuYQht;~r{cAW@a=>!qQf1!mqhS1u8k5U<0 zN}qqXoi#J^Hz_Gf_~ikkZZVLNidw3>9&Fme}BF>1VSrO^D-$egbrZwM~XBtYd`-Q`K4j z8KZz+#ehmi#i&vnspN@A(n#oX>n{hzQ!EZE=~e3XT+#4Ut*_i-xJCgbo4wd1w~TDy z-V+7$y;~hhV*e3>HhABzPuyM$f+ z2&Z5ucW0nP9&&ZR5qLk*^q9KMNUH9sbo~>E+|pl|dRwyxfT@>si;9y~CmZ?cOysS> z$36%epz-OswaC>=&0^IlpIFk>@gX6}sJ%>Jr7Ak&5U`eRdJWESPZo4Rm;;&%nH8DWTq$){^+z$i;QG8|(1-*pT(`Xw-xdSa^p<5@#QTdh zhcfh1*(1qij7czo=oH#}W`BA(3`KRttMJ`rc)EtpU0+rFx*&2bosQDd~S^rLOEot<2uO1+pg#1$?816jo1ZY+OHbxkhM&qo}E$xRc6w$B; zdc1ZDf}!!Y*A(mmys34-OT=knG33Y560Ps!nBpwpX6Wj_tUV3_z8^zx?5voNwSF|!h> zn!9*do0=0T8JpS?F%zlE5NVp55Q&>vyZvM$SN*RX5ReT0{{^53#Q$)R^*;mvU--dh zYMud3zqAx_Uo-C_X!?*^X`e5GHZYX#?Z}J+?7ED6(7W^cZxw^g`nX^a zG5x9bg1mK*A?5J8gYVKCrb3$x1`|2VdazdtmCwYL_R-MZ9Urz1kn?1K?0xwaf)iuQ z$@C?SK4giM&QOzNtoV}0DS4bt^fshM!^o4m^PfYvdc}Ld*8Y%!ln6>CyW$5h(vz-A zDlI1XL$0~5oV=_%JD`$>9JG(AaJm0A4dA~^&Bgcot2$E=`57D2gzvs#Ah0^;+{k*e zJ^GGbcvz;}W?wuf1E5c73#4#1<7<-$b>sXt5;G?C->=*-r37+8SWaIT9UqMQS8NW z@K^In+1r6W7A!^{8}08z#d3&dJ`v!<<bd<*ItGaVdIj9DCplR7ucbtl(pTdd&1Mp*3-foH&sbHTunRiBY=R)*Z3og z7PV%=y#UMj?dX;-+2!wp7o;Ik=TFs76U%$W+2fF>5>9en-OpCfk4mlq=T9AnzI^#1 ze?31P$MxdBzZiQj8z=K35f#GYpk3z9(78RT420s7c_^6t*; z%fpK*n3}XR;&~4$0&sYF3)sM_3Wi{E-1^8&hYw=?2Rl$bL7=4^TRzsMPu>|kXU3UR ztUU>K8;ObRbI*H&SKW*tfi^1FrF)WierxCc1+eniQTIv?=?kpHh6f5M>EhZSmJ>XRny54c8ed@#e93uPFCb9QKBgV+Kwh z+C&`R-IU7HOky7-(ORa+)@B7Tx)ljfxWpN{Xct}&?*RFS{$ZZJGs}-k1ooyTs;gFV05Ai*oz1!(1p>K9r!<)SIZ)c@P;k8 z5?y&u#A+S?TqD?lHOcf&P}Q(~%!w(;-SUE+`Cgo>o()2d_bQ=Y40b!LWRsg(4#O2K z-E>zq{D$8LOU~lYv!O4DYXRDcU-+4#oS#R7JOyDHAVOPfHDm;Nh;|E#gwgKy!aoC)o zs#6%6r$CMc2W6z>f7e3tCj|SAffp)bwh{F^g^PLFsBFxD_py+b|12~Jyj@mZC`V8} z6}npsfUN*PRsi5C08kYGP!o2~L6t43&`XNW0})W$8N`@gS`0N<;8F>oY4Ekh=f+8* zVbY?lO<9C_H0cyfdGzTw@n(xSxHRz%cC8ZZZdS+NSiD!DQujr?%-d1UNWR2n1J3>( z8DzGOZdEM+eA*QDFF$!=XI6VlvM+TfR(mscU2ZAillFQNb??KS+LqvV9b$0@H`h2q zyV&iaJZ)Ezu9oVFH)`}n+jX15om{Kt+lvd6cHHVHYEyj6V0N$kG3Pfw8Fqfq$+Hi( zy=xnMWAhrs;fYrdpQ2M`UM#QT7+I+W`BRY3m=RCA*TQX;2Q_-jI|$HpXnkAqu{Y%} z7wtH~xV2GfeLM9!oMlQ!-%H*Ha2+ZeZaczsyb7?swf~_dcbi{46uQ6qQXb^gT;V_X z(YYiKWWgN@7|{=+{3`nCUrK`Y<`~i2!}`<`XunE8+7O7)56*y9f^;zxU|b%7EBb3t zrNBG9gVc7G;ERLxK857Av_`%UjN&j8M{LD$Y!Rl}mhddCtGUhQwOr538jix{OsDLV zMl!GY7qsr}YvM}!kr>1DYX?y-mRx?!?Z-TR41i+i!2gCU(g~$RQV(dlI)nEvxI!CM zY3$-8THVA2>hb|KYT`_40It_%nuO^TZvyKI`?2AsjG}so2f~)8Qf1rK%2ZkTDS76C zE1h8|PL}zTU~*cTs%1v)L`3}w@-AvjoJqxp){;zd*SN~Kf=&94*%}5bn~|V7_h=gi zBNvaFs=B@45^8M-m{6&Q_BOL&ZrN%5^M6ciB}E3$9a<=zb@Z5>o8a_+7!4!c+NBU` zaxa6^Jh;FKJ9OPbl9Ici8MY@nwNRuzU81lgXzpoV3T%2w#Ts*HWEd{+PBN1<+f~bA z?3~Y18-rY;JkAFH5muT`S}j$t4@cwlj)D^|{;L2T&*bqUN4fmB&Ng}6rNtocd}m@7 zrTR>!dQ@xJP`GxCp>GCL6YtENC6`#2qQ66ibFRA7ZZZj4M5|YyD488jbT-~BH9n32 z&7eJiM1_KlqDPqB+4C@Z%HU2$7vnKFj}8x8q(2mOHiu(_$<(94GiPm-4;)+TJ2|R% zAj77y+?g*PxI_cWpjEkrMPKX!oV;FdHwG>PPmh_`(O(ffaL0_??lkAkUrWUr9epwQoX*Z9q4YG>QsYTljKriJSG%f^I#Un(i;|W+F-k$B|klmSBgydG8RqD3emN-j~ zF1ZiqcXuP~#{(WiltDaRS*jU`CN+#Sh+X0YIIs=x94yHJQ475Z@Oe?>3$~UPfu8`I};c zI{YmFyz_*GEIF@i^NSN;{g}AdgNB{_6)ey)npEf)^(6fKSSEv)9d!%y-1z{7R7;uf ze00rpG>b)_{Ow>?8a1^(8Mm;5>kaot?%_5yEc`O&sNpb>h*WU=ar}_1H6H(v)Z-4! zrdycwEs*+$nc+>TM{Lr^-?=gQeTT6bBlqu8uUfpa{IOV9y1$+3FU-Dj?A(*<_D^qx zlU^BeXHm@D6IAA2j`O|YcRjfZlTuRnWaGO(cr3TcSQlm9@|M_Y;JS-&>5=D&EnD!e(0x z5zWYzjI&b%!Qav8(y8;~G{$uXs|&Wcievp|>sgQV}@HzApp1i*EA z)VQ755}5ESlz&P@BZc0y3W-h0v&)eYI31)wd6oQ%Y3B++^M{d%`kdYY#^H=o`|pR5 zhhJ!!Jbomx&ZP1zap!G^(j56Hl5CE&k^?)Bs!YWjJt3!DE${GGQF%)1g&6r!V!X_$ zdf0EwFdgbmAEiQ{I;YQA#c#C4Zf_W^`ul4NVyP|rvbsOtK;XYBs~lz!?>tuROOYxC zFW7_8jCwRYW$j8{H#@FU2WGJ%`ausT0FA_=6Tju@WuwKp88%N*o%W{#1w%@ zW#f|u)9E*vZ2wH4Lwf0M3kmL>rHJuajNQ@@75>cXs1su_^B{vTmYXNxye!-NSHbmOkD$0ajBejN32de;N6ti#br^dw@1bK@uGLPpD@OAP z@JecEv2I_9y z^nrtR>S)Om<73xK-nYx-1i)OPAiH?(k?$(*uGwx(@b92n93;RLhvA$7HT z-cWo627O7_w0sPFOdx6@-Ex&BG@}aXE}L#&$yY~%IlMCr;NMowgL(_)07B85gN&=p zw_u-6U3vN(slKgdRoq9`;5$Q$103=7rW04w)rLmVd6}5`8tcp+7Ne7DjpSH@_v_aC zSureGGiQsI$=WIMpBY$&UD1o;0`O!k*2@w)*KE%FmOUPKzU?a5m(8i>Z8h?FHG(T! ztSb|CZ)fyPr&%)Qk4tq1y%lQDIRk0l>&8C!tG@Q<3$2Zp`j7^BT3TldMpVfhX~%@; z0KWxYitX0#qk`~W7mB$3J4(UllsA$8+Yvtg#Io_k@nc!Zp$P&7B%T|F;Mw2gZlUI=6f<;G9Kk-)2`QJT^ zO$ff*AI!h*O862fCqv#I%|;xESl5@kdld>ubq$}|amUcU39cz$?HX|Z5$jqgc~q46 zKWyha_bJ7Wr}gJHpfRQZ)C;Z~AE8Q^r^gHtVL+)GhaDUN|@Eb6ij&J|{w9pr1y{#xO z^X~FF)AQxlcJSJqJRZN@=X@(_DA;ftkXsS(7v_qBTwe_;9Ux%ZN8 z)~ZPMO)K|qwm!Nl=spp_a!$DFd6`lj@K0d%EAQ$s@w@4qbIWB~a6eUW^&|0fQ|@Dn zkNOt(bjBg?HqBA+yJR_ZHj-eque|m(alAFaGF|cBcp!U4^!F`(s^zGmo1f!k%y)VA z{tUzR>gUX&WB1qo3-_n;_eXFf;#b|=n9ipbD<@O@;0iI)#bVkNAj7L0Q@nhd1jWZ5MaqKMxzYjw?= znX8l%171AFA#)Sl?O$Ts)5#*PP>)&5PW3zW@Hpb&^nx>#Myfv|!7qmV`X^n*l}L#u zztMs#Wy_zyEP0_$WShA{SyD>G9>o(kE{Vis=oN`V{H$BJinSuoqDeYriAO{;exp?i zl%R1PJ9S9ZImUfQ<7F635 z&PXq*e>!Y%Atot#$}e74g%TDD6T$BDoutnzjVF3HDxsIsb%KN|SF{9;C-^AFzrA>* zt!*F`c-K1Q<2896{&q>nci!w1yfMOY&eBMS<+zVo?oLlD*&%!8SDXR)r8voE_^OEO8`{EFYJTV+zNI{JgbA+93gBg zJ==@8mJN2PFgpRgrk}ri{?+ib3AW}8y|$T;pOwD}x(12T>?6!eA-oQ^_Wn~xh?htB zoxrM%!b*Mii&{7fQO7g*$$r)wwK+@ZTyeG+T!&uxox&;vdhIcvUT(G)^s*T2@;lJO zCD?=BYFmExDA+?cSOfTy7kZ6vcKT|bDv?~wT=7tvl+cir(A2yq%ivUDBC3&$;6Sh>!%6Zlg-6Yk zZ6>?NvTKj}R0A{T;T}*RVDj=H6n3T|ArXd9zfg09q!M1$;w`xMkx&}(!47QEn3KCh zQ`+8kV6_QG@qGAM04?5VSKl@wvXG}@Fqc$o9x^Ya?Bkq%Yb9G-35+nRij&EOPt?e8 zI?ov?Ur9C>|1JiM=HpIL5f)bFrJ*aVFXB^9EBfV$RYL!fAzU9+l{(%;PYgir9;$zO z8%arVQ;91Hwh}ZJJJhJsefUT-W7gq5<;qc>LkW6aJGIz#&eo^kuP5f~zG+I!dhwo6 zu2UVB%i6@TmXN#0%JcwBKSI16BFPlsb0#A7xCjn04h|#zthC2+MPveMw9Wu00%R3O z6O~j{L$IaG7dU>;;$UZyqI#?JU(eRaWvUBhXIn|t_hG+WFrUf`Iicc1zX0~)ET22I zKg!jtGtQf7rI^b_S>5?VMdvBHd?K~zrfw$kI%U!#UGyq~d!K`ZTxdzRAmFE;R>hbK z$V~i8<}E_L;AgCW*gYT6Yi0o$FWF<&kg$baj&FKY}IE#>Z^VAabA{wXS`Vixq>_~}SZKMetHgs})Q8(1Z} zM@wNurVfRg>XL(BJ%bTmd8fd+z2Csio>BXD-8)DgD&twoM@z>w3sH~8XAmK z#r=1hv+|qY>H6<5YmdHlsg2pGvh0$-m0ft5B6r1W(~KQ4Wi|=_?Kkr*#`@DO@M|`O zZMpiyk3?O}Xa8_l$){%XK@`VRkV3{r4m~9;BAYaeg91{M_;-g71v^_3CFEYp$SF5v zIW1v3m+M+8dj?_Vv~OaPl+<L8*R?l!SWMD3t10j-0MOx&OdyMNl_c1fR4H(Qe>f%GK%m}MZH|va~4}@ z)+CSzN?9b5Ol&bBw45LbNnH%3FjGoI4P83&j09TQM@r-$PH=vgE(%JT2Ru35C60{N z8hc88vn`de^|I37d~HE{iD}MZki?;AV+a(vc)k+Oc_kfQxf?AC`=(ZlXD?4#I8N1* zHPisugpqw52=u`Jv|e;!sftv{z2lr;_cD9kbtt2ZFf-KsqlKz01#6n;=M@h$2xkLm zCObL6bX$SLiiG&&Poj0XDXm0aB3au8G6f*jDX3m*fqXeQgq>PFTE$ zIWY4cx8WH!_AFa;z##x~9?&Y1nXnG$N^GoTWY)lxj#(5%F^x^mKhsDCja=LVGGXTm z)VhQxLO9Yf;hOhcs#i8uiCE+$o;V{2vuV{36x061D%@b>(cU(DOq*XZ zbN7jCY&DE*TvC4YuMz=o3~vMB=I&jjk@>x4(jrr!lapDtNDZc&{R3z7vkd1%n1$Im z4U1D%3STzPNsCu#)0YYc_O5p}S;>#~3cZf5jlEOUE^FCq4XYJ#^glr^^D77cBGz)w zRt`dKoJCr=7aN9kB-t~xgezfN+QULsC2d)76bbhWs>u~}93}k0td;4b> z>?BX93eT(se^G^ToR_2s;&JY~8DD1ca%vY(XOWpXDe-kvH~Q-#Kxw;}oe1}&+-;{u z3fvN<(v{pBKpD|-<084pcnugCra=|f91Z*8A$@pR=rSCI;lb%*yKYa4e#J!K4T>Uw z4;d7&D(eTTOvPv@pVFB%5>?`jqVt)NBWh2IE&-d=%|aNAy;dhg1BlZbMNR~pu=_rL zMO@JPRB}^n!-%C35DuF2fFPX_x?;MGcAb@;_*sk-NsRY5-=MF>XR zW}fmTWdt+SJ$U#a(v2t7exF&5gxdLJ!Y%N6`UY-5^te8;e!FkSTo9fY5`1`e++4oWt;Sd#{I zoDoJ*$wW60Wd|nlVM6p`Bzn1zVL?b`p<5nBAYj2odIxy0F&=ydcB8j4V3o6_K;qb> zdck&1wuD#SssP}ywg{LXmfwyRC;0gnx4hsp{vtC>toQ-xv5j*xX_i@5oig->_I|$S89Zc)Y~7D_QJj?70e4yzGs0u zH0J_`dxs#XdrJBPX}d_u915=yGcCX_IO$~2q%b*IPu8a_dw-?{5&p3p#@avpu*B*$ zrX9HQ#}hnSYrG2EG_3kCta_jLT$`T|BDjm1N7966vPH&DZb5+qNVE>^bhQoAGj+uI zMh~bWhmKQ%5O`<{>KHij+Th8zV#%e*P1vCT^HxL`gRKCr|5i=JmY_SH4 z^<`|LNIlMB`(Oe2S2xf&W-B`4VoLOBm-reiR3b#DWJ4dnJ_h1?&m4bDbZbg<>yIc7 zU`&|rz=+_^fZ)!O?*NIwg$j}T=ZT*djROJ;NTZ(Y=uYtBGb1L75J7a%OKww!kFE^4 z$Hh>epk#Y6^*-Gpt7e>U@ zzoJ+B#M_`l4ws+Z36Q`AkoiuTj2IMaiE7P5 zRzLN!qBM^H{R#y*hQdA#b$HK2)pC}jk2Vs9wYLS$8(#4h0HbCDPGzqHnD139hO25D zK0(p?o5`qIYamh+-3f>uT%xu%X3np& zLKcZZQwM~*5!pDl%mY>Tr>GItoW|sqgE|pggIwaZkY}6naan_+d8bHUmV>6Ppyb~k z>Gp8x_Oj^qAc(N??DvSXyvAmL8Z=*yyAvb2L`8~m zKaJoZH^oG#uTo3e^(Nnc3{+}s?TH42Xv1-duPp%GnsXz(gNmd#ntE%-#@b+tB{T=! z^a#y~TM=t)xIItdN*E04e?v_u5tMLdG{0Q;~BK%#bJm z1w%hNXhXurjQ4#$XZRPdQ)L}ZVMrU8j?9<~oqKYL_qZqd09(Yp@C za_vdrJD2h934r187nyQQ>#eflh0#MQ=;7Qba4rq5jsHf-Xu@N9;euT_)sPi?P;}13 zCh3wO$@~)lCquH-80lV}_K1S~d9JB48KFJIEi{|DX>|fYU5NHor=v37p&};RD3r7B zxrrUWr}+;X%s)OySZ|DkVN&8{LSg1zj)MdQkT)e^g*h}CwBuk}>(sCJyGFX{iH4|= z;a4WJrX}ng&4X765`6G>!py%S;aby2-yBSr5a2@f*L8?Ujl(Q>q^UJsz*r56Ne6W= zX2J!pZOIvM2tkbqA~umu7y*Y+3I<-lkf=Wh)aJd1CWc1mevdxuv0+dl&lC?#7nSwW z2V~uuAn>ktKO@7AT1mWVfv=B?CO4XAp1aTtVwY5;Y&37i| zJuW13A2`JygQ<*T{NnDvGOG|`OHf7BYw((gUDQ8sQK-{nV#>ROEWj9j;7UzTD(0Oc z-vKY*0f&%ProVEFtzalC$#C6IxaOA?K-Q2=q?0kP(7f-PW-6Np*v z#Y%LQGe?P&tDyHVv~v#HJsI_kFh^;dqv&G{oij^$js4bX2nv+~vkYzyXVwZY_TyTTB}(lPwo0y(}Rax^G#xYct<@g{*%n_saZvP^r3m2OWP17E1oetl_s3RJ!<+ZL^KVlbyTOJt zL?j|Q%PT}A&FHQ1KRVi&mZNTN^;ZL?x6A}KkSNm%p`ndkdGr81s3x3xcJ|ivOq?v) zDSUhRru8+;RGlBkIqhI?%P=(}LTdi8ZF>3|Fn)OaupRNzYTwet!q>K;dTHQGhLbar z`L{(ne__%{r)_RoQ0uZH7p}E;tsW2|lnuqg$ZmiH`$@?6CEDByXm|DRSd-Q2+`guM zW{|22B!yssGu*wT^HkT<9$JqX7~VurQV1E?xDz^KPi^d**UepkpWCE_+vlY`87n-} z&VdS!goZ48Kr@z(GerSN(hZc-3Rpa2Y~?X`hXj=J7+kCEk1x=KFP^7YYq$Ad-W~1> z2rJ$ithL(j`*W!f;IEYVprw`xl-xalmEE~P7xM-Ckv`f_>}yz(y6dKvA=KXaSbO0J zpyl*F3ca4U*{#1&{2t|``4CO`25U9!?zAVJ`24j|9%rNKvgmbJK5>ti%yOc4ta->o zPg}RIW`N&xBep*w?@PfmzA)MDihrI&7~jLf;fLoJ#qaJ5{yG(j@B5qbA!43&byT<2 zp-8-JUH@8bwgcNwYc)twM7|xdcJ5$5nfj}RtE^~#9A6OfW3|7k2s$9ORMOaqm-rz& zO*C)Mo!@}!aSvPkM8y{XO+2k>-Ps32C(yPR059naOA$`l+XsrDCv)3JZNBG&^iQ!n zeqZkq)xG#DxRAu2646NSVW}YY;oqO+%=0)$8Vq;Q;u(nTxz-`%mh+kOW zS7v*29$|wvMm8?#*@s#&$942ivB4Q@zc*ekZGiIGC|N>6*~fQ#F|A)4*~L&#eE)*G zT-*L;QXH;@aG8MYA>-J6@#dLcOI@Spt7a-K&((VZ;koHzW|y_cs8zSku-HT^uc!zD@v;`rKrolqixIEOQz%xY6VK z?9lP<BBD9 zR=(2sy>_%GST>qnmxrTHf8kb3*T#Nyf2ut;_Z3B79CIhMVns`s1z1OF8y&-tp#qKCG7N(@oEt4IQ-n@sJ6LQ zB;eT$;_}d=p}R3w`iu}r{5FPOS*C08ty8vQ64u~;oO4Nphf65)5|}$vzA^p+!P!B9 zSKNStWvWftUF+X;Be^nMI?%tsg@3f3TbG4oNdZvhyx>y`ITmO|@qfv(oIS?Ma84hG z@Bghm$=SREM#gA@_@}$?u;lZF9T>udnTzPg&e$Tf;%rcygx`JWJ*A;IFt5m%$iQOj6c_ohZ^GP=e0!y`7CdT23GS*mwh@MgrZ z2Ua~n)!b;03}_Q@!e`wL2#B&L9}tPwv(9ICu1EXA*}7i*=bBV`1i{7=#}yX#mC}7$ z=Z0p@N>a%M-z>+)+6#3zNx)Mja{J%#ek#*VroN??o8zraFczY4kk(&d`i;gHg6$-K zNJ*I@K7%WKCa_!{ANsbrg49lJ+R%$g;4EU)%+YqM7i(f?fD}4cn(>ODw8mY4oEkOb z2m_zZu&i)Jv8;E|ge6gD`Z~k$#LyiQ6vzfppkyP%_utoc(IbM*E8}jMQoW;jlTtyf z{;fWVLZ!`J9np=#vM?q~fM8og7z+mYt;L~eCH59%|M?)pQ$WA4&Jb+>HyggEF(5Q0 zvMpAEv3XinBS$+MVu>d^5I_DXskkd(jqH#-7Q|NTR9}Te5SAg;`(~5Xft_9~r94iA zccjdk1%J;A$?&+7q{eC;Rief=nVCoVH17%x_b7cJGy_&CtSPwlwd(zn3j+H153dR- zQVyM=oM{4Z_w-SwuuK4%{SQ7CnU^e-fz+|7Crc{MnRs0)4oO{Mx;*po@@;FRGc7Sl z_y*3r2v~Pj{S_}$0poU$enKxQuW^%qB{G9g@XC_N>BO#@IQyWz-F#C?OqLX_;4^!Q zAMSYvTLh=J<7M}qs;k=OmOzB~-pg!Pl4i?xprnCmc2LdGoDoij@2OsmvpA^5&1gjZ zN|B*UWDs~7Q$(2_2b;U*9&XtXzi9={fY1K@(2j5?vV(Nk$e82cM?H$AghmJ+d9v`X+JOa>c zkz@y|@!qdU0pc#9p0VXoq%K%K;72@9e|+s)sZiCUb~Fmh|G_F;eV|TqRmrJrMk1q> zDfuw+KU|=HBrS5sQEi6+kO@#KsLdiD=lIXO^b(&C(BK=Qi2T-R2rtEAVklt*G@T@; zUMI!%y2DW={nQ`1AM(}07;KE8#TiIfx|r%}&$hVXw&o)?oB_1@XGo&4T(ayBV(;Mr zhcG%2tJFBogxU?xs7VvXMB}@q82`lerV>{@HJ6Rc>)~SF`6EFVJ@1tSvYbTK$ZYLi zT*5Fm=)gC|+9@-gn4Q9p$~tH>Rc{S}a;o)vid@-UM!PAlPBEOa>s;DZH_xEypE*m68Zg>?r|s1)&yFwXhsP2&*8_Vk%=h;cuaw+{z>s-TJubZp zd#bZ{PVp;y~ZQ literal 13644 zcmZv?1xzJP&^C(8;DRW z^pmd6RCQ)1C1_w^V6_O~-*?yVOY|ExEnHk}oE(W+*ch1^S%^j5ZS2j7S=m^LnK_wQ zSeaOvS=flxEL=Qn%q)nNP0Z|wS%}qSiM1?Di6zW!+`cuV>i=guFt8@Z{{^r~l>dwJ z9RC-+z|Z~Qa}6ZYp5%;`)luOpiH#wHO?ps{FH19sC;U$QW%BRQ4L#9C$rPwIgM{bc zb;$oZ3!|o*sN~L|WT;r0TS7tqN^@Qrpo|8_#uvo<0}+`Ak!fisvdup$(tXbuH7YL8 zE=w^SKel{ZFL}OPPjl5OP8}b%);rwKmKeM;lsyK09c#NaFa7asb3~@^D3RXwx)HYO z#UA%odMG(yhc(69BE^zW*yp18jc@i2=x^C{8I4)$c1>qfNAh4A?2d%|Ff zOv;ZH$k_w0$J2xs#-5U17cZ-YjRq$=pU!B5hJ#)z4yOn*XvPyRK`fwI=|q;did(r zWA)LEYokd(?XA79L#qaVihW@>~^6jUg6X^h}|2~eh(EsmI_`_sFZLgE&|ds zD<$D86>IkUP|YHSTtv9RWfVHx@6ux-MiOn4_~hopZa*F4DbG+kN`9K`T3Lhw!4iZ}CScH5)J z1gvw)04mmE;68_xW5v(gS$xLJ4~6pQ*>3F;%#SCSv2D4y8@bV^{deNKBJR%<=Z2?p9pTgdoPY0 zlsrUo9AK7kD&{8DP(UmXW&QhyoyBudTg-`3P*=+7t*Y(^1K@B?gVAGnvSE@yRuznp z)}M;#F2$YXVNF#B78RAc#3NCpx&EfE$}JI-Ilw2BoiO`j?&b>AF=|e81!C*uaA3jG zK24popBYP06xvRNZMZi4E^;l*Pd&r0q&nb*J(Xz(2KlJg{l6%FRbXy1&20(6LC=W3 zT7J6i1cgMz>nw0$phs4Vk%!klR@3+J0KItJ39y#a%3wk^^lI1S%0XrSH|^~d^|Vr| zQZ+%@sfVoo-bO``gf|1yO#DX3k{y@R&+F0z@7>;*`EtcVVklg^Ip;VG_=-9&hz^^|9>;UAu?;4a6x%)nFP zIG*J$*RsH{s@rO=x0!t5E(e^TlaZ0*2_+hxNfSw3zD&x;m%I1FWJ!n7LaF`r%Rq~^ z+kn#S{ugr9m*!rgE{)U+zsB}NH-;PO^3$i-J6<(7W>m3W*-6;thd9#S)Jq!A)PLY4hVambo5P1I0HyUPzp|uA%9xT z>rMmQKXwvErzrH34%MB73MG@-DJXx$H)nSw1yLfOhi*-Ay(vJO*oI>|3NsYJoX zsG}ZfaAe!n?nFu@!lkQQkxJj$9UA=+_t>OU^6_`cx^wfMiFNJrI^MOZfB|i5CL7MF zH3CLrBEMY6FbVO2tNCbXp13)o10Zmzl0DKHVS{@`SYgv;R4#EdzS^t6^M?IY{byGu zCEv?_f4Q4vqux`j&FConcW)2Uj=urkz=scK=$pGe+|$`I>g94R$;MU<`^@oQa_&6e zC^90UO3}7}jWCa|HiU14-VnNkxFqrfe@f^KT;GdVjtGnY<2DK8yoIZLi|lbxScwVG zsQ;xCT@!Qe&swG0y?G0GEq_0~aF(xe0@iPB)!00+-PW`oY3qJWebc`RG`EwDy8YhE z7F!RsoC?C`PuIC04z(y24Xk>HD$Y)Ifm2#Y&t*Nf#9Eg%Fz?Am9Eo&q8j$`c^|)hc zU)A8f;Dxwj91!Y|{$j!$iFSyUFz+xyw#3WS%1F;hkc+}~&cu#G+=pNL=J8U|OJ)+d zc1l3@rF<)!zXBF>+P+s64Uh5iW*2_RBWp7P^V)AVwNYh5s0p5a@dH23mtDWb{aZZ0 z#ruc-mB8zj2rry6$v-d2OFSeW_RF+U*`@&Y!c`$$us114V_){BxeZ-8G=_`$2taG+DY+OL>T0HA}DG$SfxX ze~sO7^sJxon|dsj z=S=Hw<>TuCPgd{&y8yZ&U~lFgcMsc$cF z{>8fuQkAN4Hy8ZvqFe`cCxUR`0-lMYKT>i|graMARH6-qVq8CNpgX%`5jOOimoM|z zs6I3%&pInLA)*MZHhzLaIHuSI9;92T4*`Ip%>b+Y^GzY-e^a~_-&7aPH)VT^RXB#ard8QMdVKz^%n63coC*jBk$SAQKoUe5WSx5yf%s(?<6Q>ZW zVt0?j`vDhI&l$^T$b@Pp;ww0SYtM<|wIUs&JzX|7Yys8;Iia#Yf8FG7;dtOuMSt!56>sd)Vr-5fvDCi%jY{vl8{8rJ zs~YN_yJd^>c4Bq+8f!&-xvv_^Z8J@aD?(@(J%OpnVebuAB>(e`Zfve9kQUq^%hlue zyBdRsKbnJzQ58CV?2igNt34(W`)d^as+$jsq40FSDs=sz3E-jcj*|0VDP33zLi=@o zZX{P^M-|wFosHo5yOl`T_kKiSx9x~2y zT4p@OCxwGMQa7#4iQLjH(mc#+4q^7YDk~jh1v&4_=3$qde}jbxV)i#e%oTE8%LyrP zaDd>hNAcQFVT7{>!)`%14Wgr|NUo3$5O+0X+{-SI=LiS=W$AJ)%|+iYl!H%?2q$;V zkH;12j{40}$(U2%ZV zj6~wThq#VSYZA&281Bf&T}0x;MLMGGh)h%Z6<=PT3WM^W8PwI=&F7c(4)Q_GQjVt_ zwusxTM3pGj$30Zysg>}Rz)JE>r6WOE0zB=EFq7ZIyT0U?uJM@Ag!${)e@8c4fluCr zaU95=A}GTUcy>iW=~zY`;KFXvX2PDlS6!h#L{cCe48 zugqFg-yD(fyyr>8zYpXVH>P~IPt+^&t(LD}#>--y3G(TT*$!Ny*qgEal=Mu+l21z< zCOoH@7`FvmLg0Jc_A*qfih^BI7xVSAryHe2^~E{3p=v_rScS3@{Bmu!G0&HtVE)2@ zs4dk&>Y(S?mS9Pdg0j$3!Qzvo$`{v^Sm3J3jQvjjcQ9HvE4Q#l&_im z%QntoNSL7zzvuzbK+4$mu(m26uT6z_1ZwZp$H1#lo45V2%N3zf_VS3CF7Ph)%M8Ni3gKIqN&#Yqz!OqnIj30{H<9Z%i&!n40odO&pG}2r;!aYRV=CI zbFAsOS2AOazq5?_Y#V3FFGtc%3$6qsNr6eDc$n<3ZWZ zbIxY*6FDaXTxA>mr44|&rkUP)td1Psx~^_Idpw}Im7YLnX{*s*Mh_!>bMkht_iZ0( z0V+!(gJDoEUS%SKarO6ocp4otox^J0XY6WCWZj2nW1AZ`JXCmUKL*Q+iFYsNr+|{8 z_Ma7}m^?0?KNXz}r`)NxBCk)>`u|=$;SR)v!8F9TW!pz1F{wK$Q$|^-a8+H@u#|4+ z8-CFV+49w{598T&3f?Zjw)jU*3X$$g6IDYwe->4>b^IYC+)QhD=sicUEy4sv&=w~+ zJSGEuyjyyx@>nY>(_4mz_dX_bTeT+ci1V`qu8w0AsRE59I5OnBp85~aWqqx+9>JYKVa42^m6)M{zK}R?i!!o;ktC(W3 z$-$PXh~aAKLic2aa3F6PP&A}6s3`wvn(o~Xi8-=OxT2cNZy7F6@rm<#xUFjTad3Gk ziLq*Or*9|8P&6N{GyKx`Pz(hYM44^K=pExp9se{CN0uXe@aR_yqS+k$<#E=nrup zuO;Kds^rh&uG~zrEkC?G?ri3q6aXls)rmTaT2Aj@5&T*i4;H1|A(FA!nc6-+rt&%&+Ml zAF5gI^*NwSx#A09cz7IaNp~Gy<0v=ia=7?Te`x>v1v0OUX301zID3Bdu+RImEvOP4 z5rjqJIX)?9mB`=mlpNn2 zd$faW=)e6{(AGm>#8Y*5lsZ@SCuwg-iOui7(TM~$_le90?%O-l2>q5T)uZ_7l$S^BDzNs~PvP+=^>6vi z%Oe_QS`P^LEW>;h9yVOqyIY3Nx_Md_(tK+?80GD?cY13zc*7GfSbKZIz?kdZc)oio zXq-(eSbae|87-*cIS?9rF6b5vpA-UqHQbVYoyMS81(XW$_3TjzrLGDkeKN6pq&N^+ zeeLg{n79_g+mvEqGaAO(-Okw;tOI+Wh&9HSI6&VNd#$$g(38j z!DWJ?LtZN+KpnvDl;*J$uN%BuSW6K>7xIN1b+G~pys1Nd)xGeddZcueJe95ixBchqv>ax8|5)=`t&Y$FE zIC5d8VVHP5Scc}NXjSlC?r$lauXjPVH#K&Hxsj6o|tRnU<#Hvzif~H+3RcaL}Y3?+GqQQ&p zs7bbusG3!%tLCK(0bSpTd3QD0eyMAOJ!2SIu@j8Kyp%!%@U?KL3l|Vj0VIgL<_*7Q zQJ7ayXz*ig0_tMEFpko?0K!2d*n`2k1_NLx%*Q9vi3{L>U(+b8RRDeB1Ki=)(h6&p zK!WhPQo$bB)=lVu2w^)VP!FuGUhtzINEZVTAz~*3@&{X+g1U$(oK^%~!qny#ZbIVr zfZ?2ND-;qG6mBA{!D0a3gx`dNJ%p@BDXdWfA3vckL_qNhpdN&^3wT{DK!7ypdM{T* zEBH|Xxc-N1&x*mE*Z>4{Kthm*POt}|H8!PnMxcjEum_9vGf`KjUjWRS6b2w6 z_|Xa;H#9f~0}vzJNiNcf3P_-^#t!tb3HA`Qt{}G#Kw7JUUqdR)OE2_;2T;JTVT<4? zf&@|48lf(HKtO4bAi`P}{F+gqM?ml+IEYXh6c2fk4^@k}mVp5f0dZ1TX97ZeF#vla zolGKc#MUU3)>p6>K&V;_z!jzSXz-(QFed__69ceXXp$cxofT)dG0w@d*aK?zU8SKbiG^e-}X&VJx1w$LE%%ZjEuwo^0^raDL!KERuR zmnw27j)W3nXH;f>MhQJ%Sk`*JVEt&lfPOQ$9Z6AQE5GvdD4zeq_Z(EQ5qacj=p2IQ zyttQkw;9RB^tmeFIHVQu(tTBd<`-UuGV^wVVeWj4pNm;WJl2z^YsEjcuyx?xFv9!8 z#WzouMDsr8JOh4we&M1O-o`>Sbt$LfMU~c_fRAKaFb|&)gVj(qFR!ESN@;eDQ$aBhgr4@3*!ds zPZn{UbW|qPtCRq3(cD6q_=M``cv+jC6YgWxvI|~I0f3H`-a;xmvBNb}v^1Wn>gY$6 zeEHX2Av(-4r>ZIMlibEY3`w2ZDp7Rl`ARs{{2X5jRrFP9VpgPFN~F3~h2$gEm}e?T z?*q1tgyi8b<)X?iSBL`NZyN?vT1^`hS&D(=(;KU5ZU9%1o7*e zn*oGD(FHO$pM1JJVL}bY=fnWFGrhx1{``}(#2hGs(F7)LH0`Gl?TgoS`faU!4*q7Sps=|HwuQMZsS6xY0jQ5#*D^}foZ zEaz5*nVX(#v^{rBxFjeZR%@$h?N%0uQv#$v{6Cv&k2!{*{w^c?r|(`3+<54xpTtCh zmxmQpS`iLwZm}@!{<|9udTskIT2Z?cjs=mzM&nbv7>@$M{etB_xCz~BOICjVZw<`Y zD!%VS4O@xfLiUL_A_Jcd@OVE+(KDIBV(BuEW5M)#qjvoW0a@yRh)nGeAb|cW-9NlqA$_&r&Bj1zXlGI#; zZly1!F2_E`u-l1vNT0}HxNq-1H@v6LMWqMRe4h~|1 z^^d{hGK<5LM5DHs!6g|*qw&yqgTp@)?W7#O6qNU=A1*T9yY1 zFUJRqp6-E6PJe+PsmHsfa%s1~Le3WnDuqwgEy+s@^Gjn?D6Ye+eVm$qTW~K~G=wAx z6+Wq-ULqz@r+XTH;zNH+8}fI`BvSQPX;XR;z`an7S=`cf@+^~T6^6`YwY?aV&Qt@a z69LL8;zBkFaBxDWd=>LiG7R*j(x1gS2wpB5W<$HX`>!9-;a8bR>{`Q+b~Rb%>b-o229q}=)D)8 zV%29EcC2+J_e|4O*BYugJT&$!(mmE1F17ktDAs5R0k3bJ%eA_)yLIg!am5@A1v!0- zbi4l+oB#}!FccFB@!39j*X`gq^x`VEv5HGp-|LW_B}6I+0-X5M3P1dUiei=@nU%#k zCjEcRVy@Y{R0$GL?YS9WKmTV!9|V1x~&=b?=)94~tnq7|=iOO$o7 z>4p7)Bc}-|Dni3_d^N2q0%-E)AcBOdPu@(I^QMRx&>Yzq^cwT^9^2vHhZEjF&V%;^ zmvv|Jk;rIL9uKw_Y@zcSlO=3SkN68YbOC}f9Ebj!9OF%)^-uQLZdrcAaF4{dCdqbY z+%IsA91FCr*luZd zNE&BF*W7SjZ0MOrXL)*bo}OC1hn)Xe#>1(MjKUI2aPhpoP7355=vu*uCkh@%@ey)U zeoO>{ZuededU{R=WW|iiG#N&%meNu0Pi`2gxlJSPVE)+6CpgO|B8Ke9C z1L`pit^QHD@6B$pggNX%>uqvNI?7(h;*e;^Il3go{{u<1xPv z4-v|yoYl?d6K1wT4&roUqpapc$nTL{!Gv0d=$370T)0lbz}|Ks?~=gYB|cpz!VtQ^ zq414lo=n zmVF5ge`)J=TL^y1GD9EIP!7%*Tydv26^Lm=hqL519Hwp0me3#Ma%Dhy!9;mMM0p`a zd0|CqPl|XCig-su?ZVG{N3Y+v5I#19tUIS|CVZp#qU)O#-(FY&2`GJ;p+4>%XcYcF zZy@?-1PR6zFBdkU-^_P335$wBg^kb1+fr8K`7qH$(mkZ6G<`={5GrzYj)u>nLnZ z+PI}5hzjO1>W}D$f;t~0y)8of#7=*Fl4EpI39QoO#e1!GCBU$PbUG)Q_~~oCJxa%u z9Pv(r!lRTmXNt4|{exvNx-C&czaP&97NtEVVq;qDa)%_xYpgr4O={GyEBVcP43d9e zgz(ltcwrhOfiGS+s6`SzZRoGhLehq6d#Okk6@+x{{FIcSQTG7Lq zX8UiZYlh1NvNcEL#f9%0+)m3Q@-=uj9E*~5-&SiE5iq$%A zu-2_0;cYJ%Nz5DeOufg-3|@liG>1x>XM35U<06$p4z2CG6@*svs|8m(F# zE^he?$zf14{=(lw(%&|i?sM)VbNw1;%e96|a>=ZdtA(r`K-f@1#o3g%c7yJw2Hw*8 zx$T6bpe0}@`tQ@O)q|cNoLL7og^Gin^&1SDP;N}e19^SJ1dqSoDGS+n2SEO8G0(U$ z&%7~h&~TKN--rEu!bgE^1fS6L`znODI4IsQsH_yPst6vY2Ri5NXfxm<&UTJ~{I5?H z5&Rhw!(Ed4>qIT@F-zf%*=)`zEwHcG#SithFlECN7l8;aN_D{9PxIuqqP0bTGsN&Pgyg#` z$?rVL?_2>XwS3%$XXW{bsAMr2gb@M5Kd<1?xFs|X>6#7;(>pzY*wKVV`r47xBI2}6 zJrsLQCzM^%YNs>A+miP11I@2W&Te@<;a{X{U?ExOA_z%VLhYG>y;k38MuXPUR@5b? zx5SDxS}4E@^%>6_#u+W9jTH|~{PEM9lN2JgZbA|VcBm%kKSi^;n?C)V&192{il8xG za@-O9jM|~!$80|-VD_23#gnRiaYNlH>gX4VOLSYLeG3jtsQ zae-2pBknM7m9U2_7V#wogj$LFWf41%YJui%WwY=~dZ{=jJ}{`j3!@ub|BIqSu0Hb> zJDj`Xh;%J&2ECxMY?Ihhz1@Y6eFX|j#aTfq~2fMRQtFw>WxImgTO@Eu=9cqjVNjeVNqp^h51tLKsGET0>XZ!)(}dR;Wxz-d7i^GqGnh7aeHxM(n( z@q8>-7Sb*77?$=QTQtQtk zpnJSh{J{Qw>G(?_?Ci_Y+R;86+R(Dd z2}2K$nS7~4vDNT^ZM)xMQY-c^$4Z$h>V-fQt%AYLo$lU$H<+3Go}KQ_<0vmN35)#u z5QHu@(CB^q8vY1yudLmMeb>i$*UOtJuJlMJn*Tn)h%wFhk?RRGPEPu+nmusOwVOw3 z__n^kk`h7C=p?d2fmS*;_S|2!sdoa(#_VdcWT4-FF(b9PDHVnogLPncH|I9^OS*rd zaOvsAJKEYf}v?p`gsm6ZI^dTKs)R+=5=xq zd4y2&*>PJhAB&f#~_i){B~lLkR9B76}LD+WkG-twekt z@Zb|op8GfBU95(+#QDdMjW#q$F4)ngXr9UlRczkq1P)dWlsDZ6i2e7VLbSc|IRXnK zL;u~-Dq*DGd;JW08bY_18Xi60ni^#be~O$jAI%A|rFYlu|NZz{#BsJ%Z_x8+iVugT zEThG7vyG@leH0A&_+5P2M*f7?p!8C3b=-b(1|aVLB3_v#s!`dIRL@OP*)=oLwFGT-yf-!V*c$Sdl!wpe`nFSuX0xB&0TAMUF+hC$zLL(4OzbH#C z(2RQnKiK|tZxx=kqz8Xy6xOTo-oObJzWC4{D%nG3Cp0T@c>4k9u3e{J zTYvoEU&{RYpGNYpFE8*?EgO3*4a^?0nAFBJzglQC8cdqVR7U!{!|5EZgXw`O{?c%6 zTC5-B(81!;%A#=Su`0@uER6k72=KR35;CdA!4OF}zh8=WV54yLm7}7BM>iQkx0FcFUgdz=%hJ{Vd0Ju9eJ$-@`@a|?2||z!brD* zOqve1n{7TCXDQQIHF^cQfe!Cew7cvXOC2r)vbsjLwmt>~+S}3F=cN7*Tn9&d-b(X> zu~}!}^RO|QjHmHchuU>!XC9#LHrHtG<;~Ql)39#fDmqC=5#VqpY zYN2h97v}}m=zBI`b+;x(c%kJA&Xy@$3X{`l96q)~{I6(^xnl)lCvgTXwrD^gcaeoS zRUp4o+x^z^^Mv4^xbJ?r;1GzJ#S4I=UHN6mm=eRCpu_aco+}*Ee+ovEr|G&w?XB91 z3tCK{EU3~nVW)T=4Va)NMRm~I(q-_RS5;S{w$r##5@3~GF96=jNNO-EFsvsIoHqi zY0kW71i<&rvi}B?`1gxFjEC)@%24gN+Oog?ZdEivuV}Rmn#7eYHr^Sm(ZIR*7HoYI)uAYfdFa8irIHoG)tdxu8ssX7db+U;xy+{)!)%-a5veZd_DJtX_}dyYnRq;BGlQ z0dK4=X$xt~L=;?hfiuoR^-qt*ps?bFhM@ZFj{SI_zFAKqob$^~mo^#MSu~0k8Axx@c;?7f6S> zXXmFPikgyOrWKulQ<1pOn#r#c6lq#O8lmPU4=?8q9?D0ehuw!+7mvr$7??{}dU14+ zGTR&*8zT03jpjO*($QPJ>$XmJ@45SF0W+#JUgvR7+kyvV0`Q|#cyLa{O7c(-l_}W0 zLNLUE;0Y`ZIL09Ql!ydP7Ryk!BhDMIu^yS>rmSu)4sYh3a2wcTCCPtKl-XyfV1p&t zeYZvM-Op&e0ax;qrPr>L@ z*(KGG<-G36zz!>_lMs!2ZCttQl{6Mjl%uUTFD#B!6t73=b5m)bD#MR-@{F35H^ zww!tn25a!SS)I7K5`s{kKr@m`7Dd_DZiwCD*d5I}9!-S*j7y3J(p7l8hsiQcL|6F_ zzzsR)8h#)Pcw!kf@?w+HB2pXPgNa|_{j&74Z}kADo7+k%X3=EP-ac%k;)EponEBXV z)=-)Zk9iQip|yxVjGnl=E>sV@a&d--&Hy(R=+;Un+6tcsE~R*0&jUai^qzLL0^ z`?glz@MMW@T6tPik`$v7&UAVRZK}?FFd8+q%NKk&eEm4j_&AcZqDHPbKkQyi0b`); z$Towmwi#_lZd~ss;&rcEe;Q2Af7ywj$?B8&yrtqp>5L?B2J5djr}&=M2@l_r89(nLy-9(qj>M5IQ#NC_ZHZ_--= z(p!S`P9Q+&y#xr!kMHxG_jleu-h0p4nLG2Do!xu)%ws+gJjqIJKxpI%Ty z8#nilF3tiEr6nIoJ`{NB`O(o@;F0trfd{fu4!X#8z$;5D2Z4tI z`Y#2HY(5C6S%37nur!(cuRAKL73u#WR5rB#ONV6sm-_27fE%SIT_>4*OuONMbN-&M_+e-`&|LtxAo^W%9wMzssx8{Lg#Xfn`eAR|KRFB@6 zmL}%G-QW#N-~GT5&(jPOGfx+zIsdtaWRE5b^cKhyV?;<(FneQWHsk&N;_|WhG22qE zydkvdl&EoP0tDQ-5*X<6d!zeE02;qPrb6g&cV_?Qo!r&U?-Bn9D0u%;zLBh6T2jXf zX}G!hDe$&fgUmfmk4aVr7nRbnkq-B@p1_~ly;s`HpCKAta`s;>wG%Z;z9i36?)|>tF9>=wGZp*&3d)n>Kz0s%to%TwPM}6 zu*&6!S=B^kN3F&*89Q8=t>``A)WrTE$nCIoH zpYhFYvOeTk{YOXH#VcynYTxfm(4?Ma|6R0+eBf&vK3Tw&oLBV2HN5^mrr<|eLB3B| zq8*#PNU|N<-9EO$ajA*e6*2tNh!Ry7D# zpTy_#KD6rjRJ4KeF5`$c*n@t33}0&zjH$Q_)mLg`O+^9JwEqsP$Zl)UMDAV{ce#Xo z2)dR3Ok6>v)X&B*XIjzG(Q){{r`eEAx;x3k+Az$4p$GYIQx7? zL<&}zM~a_7M1k86 zDH=zQ_O^)%2WrQ=QdBK7Dt}l*GtUIS-u`#F=F9U2y2g}vW1;*yU>WK%Q}9niMbF^A zC->$T4ZczEnq~=?YYgmJnTGJM)k6Gl|AOgIj6}w;2j%@%JtcV?_Agg$@4b@a?o0mo z_oYvlB9n=xtx>xd#}r?^FxM}rq1t$Z+~3+)8t>R+4m^HtD~|F}YXs!J$K(8a@*$WX ziq$UKyg%B$|HCU^pV9fP<=fWPvkq9?>$vLX+i=Cr7tc`FXcn1Z6v@MXvUQcXVKhVa z=-^RSu=neiyn`>(AkkqbFDuhUaxcj>DeC=-%r+d{_%S1PB^@XQ(=qD%?%okQ6kSrK zAf4OdFAn-+$``VCAKbwj^J!YA$gg(X_5l5us6&*Bg>+9N)~I^QV78 zP>9b_?|b3P7$-f$;M%(Q498rsbQKp{UHPPGS5D6z|2r6V;f%9W#vA8MY!) z3lr}X`(9VXY1c)YsdL)M>6%xtA(#lDPc(v4f;-T;He6O5vxgP{l}B zQRjQm{mka*lpfF3eU6&^*zQXlvhKKsgC}Y{-dSG0s@C}8P->Q=ve%Y1R!Y*4XzP<3 zT|GK>PrSxBtvhQ38Z?>z;NN}Qba~?Cc+>ccdiR>Pn&yb}y>|s}`u|>grbZ+cOd3=g z^#9KObx)R~f9;K&zmk`f_qS6}c=S0h=kO6g?nr3pPRj08cdZ@)9wmPvp_517$q%Ba z4-v9%5Ac(;6`KC{$DpH$P1@DL?S9yize&bRr6nZ?gHm%ARYvYM}X` zP#|sBkyJ_h&OpQUcNG(%*$bxy9$yDEO2jqY=_#z6c(C3<;!gPx_ai(WA(3HrHBRP@ zA?mu6QdglV#EQOQizT&p^MUVPur#(=K+#)nTFZa*aoB`9zr!Uf2b2!KIEP7Gr$Tqq zV)@Go5#J&7@UBP}??dsv6WcXpvtYJa{PM6be#zX#{Ho}syyRg`V_;y_y_*roi6`|DO@xv_ z&!Sbv6t+9zjFb5`F}EyCE}6%DO`!vFB(|hp2Yr#0w=He+AMoV*iIMk7QK0x3o_`Wq z%YYUL{C*?!Zd=#`-&;4Z;aKiOPfyk4Ym(eY%&UcIG+(SKhGxEW zileH&tuWxj5zQv|ASorjD&uaus6<)MJd?2ct?JCC8CW0qzz30Zr#JWAFr0^_t({^8-doKU<#MB#*G{pE3dZq5mlwVD0 zPn^ZQeDS!e9S*caBb+Z7p!0nqBwQLOidn3di_QSXCNHo<`cfPS&#c;v)2fx6r<7utQ9$a?hM)PrN!XQd0VX%a*vd}d4DK9mF~=;xhm=F6w(_S zqLorB@4%a7t+#Nazm4l*MR{!ki^k$hsZ6DL<9d$dL2Vyt)_lh(7=pF<9=^|tMJnm(}{x>UmeU|(CbTdKGkFMV+Jsh=E_DRq2!)dZ?4z&hw21m55 ze?sV0>ay#8vL6H~_ldslS^dOX@gFoX`2srh zZ^W`5gUnndjU)M4<_;-?9P9%@c&@pBf<{4M=b+Efn~x+$ETwqzK`OTZ~0Pe z!>$Kt1w+d?MV?7R_gue@#V;hQK(Y3ZCWZ4;&UvH7zS0&kN231i-EXvLK+KDeYJC(L zR(Dq|m-M7vv2<33U}s0}+K^+j$F#d2O6Pm_xruxS;h=n3!ZQ2h?q1iOK@Da9rK&t7 z)#z$>nubvI!s}8qvo%=HQ;vC^`*n@H(7!s5(sLwsVw|*Yb*Nb1x`U7tOofvjgY0SQ z(o)2nDE*dRTNp7$gb;>P{|}wO<9LAp7P@xfLdMUz%u%5N=)vHoyX;k&PvQ!;GLQP% zPSp|km7g|Mig}LP|EPW5K;N_{a-+*P3%|g7X9tci4=aW-VeBG{zvkGg(v`632}R4I zLhkUm&S^1YzjgCLWkT|t0}FpwJR9m>Df$xLJ8J-Wvu!qP`aNYvttf8i9-9}NktM!e z;bIqhn)F@xg-xvm9SVUq)y%y#dK00>(%6%-+J36tz*-_Kpo!7 z-Ry91D3W&&nibubprFGNbpy8NcsnN~?r&qzlIz`$rn>icQ0$VC3T4$Xof-pTDw=-` zN);;J>!s6J7aJv-x+W!y>qmc3N0;OJlCQ^=$_tm=;9eHHWP3|uUc4e#$JgYu<~_~Y zy=tOnmc(}{bH+vbU+>M{K0%ylega=F5BU+1bja^em4F%Ry?Yn6Rpw{$mB8SDJm#yK z9LvvY8C36KE8c^KvAW%fnliS2;&X8IRwz7GJWx)*Gk9!O*rH8`(Npx@15CimTj;1z4^qE!*p2uMiAQ;xmZy11@c-ZE>%NK!mss9 zoZuVra=jYQv@%wqvDBRhw>)>#t!;TSag{Zrri+r?Pt)`!%{c@vg&t+AXlo1N;$>1I zZokN4erij@^xM9y@orXwLkv%7MY_aM`~&;=uWmVwhR3h65bs9r^M_Uh{x=h*|DDOV zUVo^`E4lU~4WC&5Xc_L#Y?mgkhME-IQo`}VvsX964&E-Qh2P4b3b_9_gkQ>;dhL~` z(XjDBF`|77mCc5zd)UoQ)N{PP6gujUUBJr{X^R)n9VRSXC4fk%TojZ+HZ&p)C zD_sY2IZt)O;ON8G57%&f6ocD6;}Ji$#CWpD430aiMU!C9Er7mKZZX|s5wn-;AKz1* zir8Y%u9VnFfrJS0^sFLEgw`3?bE?4eP z53PZxZ7K;rC^-hlpN-2;KNDTbyt2|3eTfjnd_MfaRf6_AWW#L9u7AoCUM<-7xRLGG z4#|bdqZ<1S0dA=K`;n}*VWpG&6dtfg(K%q@-@#k*3qx+V?$>Sm61~56u~4Lxnf18} zxglwEAzCvjkmP0-516~!zcAFP`K}##ir&**`{W!M*6P&$AOjuagJSiMi#Xs){t1_# zIP&IJ^eN@S{dh)#(^FQH=HlENOhyj#q$6<-0(YBwf9Oxr;a_ta#Vv@6)*qxk_ zyW_v2rFNoN46m7=%P%S2E+qG^iLL$CX@i$MW`mPoMb}7wX{HXk{#AAppw@T=+{_Nr z5>U@;T41e~MiME72j%At-Bu{k6o0`1cHInw!LEN}us?773Pl`8k(xYXn|68hX+w7r z8Mb@Njooc!jNr3%XK()rw8H0_{2p8iz#5l66%Pl>TDv;@=mK9hi2@y~ueElvJR}Y? zMYyjsN4unSJ~PKK;l7y+8SKh==`A69z>~DSAC&s^H&c&7x4)BD4~Uk=&0~0^|Nf@0 zD@m2&z6x0TVlwm$hGE>cJAuwVh^0JfYaK}fKZdbi+k;;rMczjbsQT|A8DKh#VLs2{ z1GaG##e1L=8je3IN1}V3M~DP!o|@m`jpZcDjd03G8yD%&yxtue;v=j&nLPAQ( zvTN_g+I$2qaR$Izl3ILlXSGfco zFYJpOYb{ztLAVy+Q{ZK5Vv6lN`WBfBMmwk?u>dz;9lClPLbM#G^K*Cjkq3A5H`yi3 zoF+sdjlb4x4uXK(SU0l)3R>f=EMy5QlzXF}Vu70z3#ORwAfj=UtHe&VojF?aE*r@^ z1pbtcQre96r=wioK~$4=O65MnL6^x7*@&zm@LonTLkrrIjzWu@lMSZ8c3c206vdrw z?iM(J=FRm{O~Ev`TY{776%+knbyOd1RoI&^Q21jv-iUo z>{a&RZx3_5l;YzDNrY^06U@2*ZbvrWM}KBEW&?>T`xO;wUYv&Sq&y?XCy)*pTO zrlQ6igRx7!)@sPMo#YKzZ<>S4rO&6|;04h&*HFuptcaQcKZ*HHkUi;D0z5+^=+uAf z_{mW&6&iVS*qfHZx_9oP>VLAp$z3a>eW1$*<3k!{fhT7>Ozcp4m+z2gzRH<=nJ#_d z<*Z9Kx`yp~{{1EoRvLT9g7iGYWsVJYqWpLbd&qzHd#doa^KeoI@mM-Uftv(acWvws zEHp$vQFWr$Bh>>?MohEA=`1d{%z-YfNX$tIFrX#Vs1qNg8#8Lu%Nq)9z#Xk?` ziSxRL>!mzv6=KV+PFK1Q6Nfa8bCE{pLJ|Fz`tr)~H*J)66tK!M*O0$x<{z`Ir)YaV@ed6dWFX~OF>BVTTV(Ko%k3txR$HG!qe&Pv6 z1B52dIZ#;t>QDUs#}WQX0#M#RF5;?=JNB#H6fE|`I&>O=}#-aqugL)$1nKLVz?{E!J!fzoVnAybRF=GhGQ zWKFJu_2Zh_S*aJDweq0bcK*5{WbEV_UsOfoi+u{+J`>go6CCv+Sv==t>0}++QP5OW2cT+&PCr+xISXxA!pk2tj(HfsYJ0>`0LN$<4`hs zMUZTjh5gFGV<9z3@nN!@r>zdWyFlUl2V#V?QKTG5v|q98#gxcORwGo*v77{)4Q|dt z_GKek&{2XJ$(k*2dpb%x4y+tZ5!^xEY@uA*LE4;%IGcg{|IjUpLP99PcZhquNhEzX ztp6nW*Ym(#^MF4~-iP1Zn_!Ol^>dYDMwlzNUm**bql|v>?g7H10kT5cuEG{av=920 zMK=Iv66k(xG=utEfrU9%m?A;MHS2?xfA2P+Cm@vZ^HE!vE~g;)wr<|JB|rO##;-;u ztm=y!!e|tlWtTafGk1zj`p3oN@)gkKf^Ls{)j1G= z!hJ(`1;iZmF2cD3w-pQlY0?vBe%VZm6lB-wpShG5_I4*iV&8~ZF_IEQIg~_-;mDi* zac9pZGXwGVlW8E+wUgu=tN3Sj;~}O-l%KK08jS_;^>Da`Fu66B=&im0 zzDQR?&q)j%u$7b87$j0G;D9fx>dUUL7Edn|QCKDl>##Rwq)PfJv|Ae8hP)Y$-Tu@( z@GPnwl|=?bv{BTB$$GKGxu`bEdtq{o;I6!RFl*fldv5TU+5&(roKkve=cHlPfRh8M z)zabC(>^0v37o$ zW7qYO+-})@D|${+gCqXVaxE@A>Gm24xO1@fA~5M)*dG*Uu4m$1z{R^Ep1J3Iv*>h> zDL230xou6*F(dJYsJ=BMwqq-k*OBvIK9?|>q5G@^(M?=hSF*a%5p&y_Qu4d3CC{MC zlZ7=-cg{baUGBe#HF3w7E_hp)=1JXzf^DFj)}k`ot?#v$@ESFg+T-qp=tt4l zcOx6&1yk|&K&||5JX1f{*~@dl`?*j*d%&R5VY}W4`1{-!mB&-|$}0WrWT8E-lsWd* z2n!`WG{Hqtn&S`wGk?`aX_m>qI5})9?bC7=R}Yxr{jJaFSdeAuB1Z0X|B=8W|(RvT@JNeqGi@=u+Q&d?hvE^5?KTMY#k>{TY_;3urJecQp0l7H=Yxgdue z{_O6vW>UH;S^M_7O3`ee{N%z9`iq+YrBdKJ?Q}#yWd{Nx%7h*51R94teU7TX% zP*QwLUVncKaK!ewADx{O@YBgAiAa#7 z1{~I`no5g1(;emeev%_Zf924HWk5aUXAG}w{B8QROBgzIcy6rGK`+W5mIm`UZJY)E zP$2i1TYx>*BGD~vRZmm%t9%wIfL;pS=&DWCrTXzgyWg`l^ej`R#`unaJj?sQ0!mQ!{s){$dEP{Yf(M$YgGH!8xL$ky)zn-8pF9{;Z7?6=(e z@k=_!qe%*(Aliv<9s)*b3x&f$X%f6?OF{eB9!$fY9OtUJs?)n}oXM+;05DQ%KK#^a z%4Kz@xY^PyGfB)26(%AU*h&NRntfn5)*E9M*lgTw#Wl()SJ~U%GHDUg8tmCL>Ii%D_yO(IXIWa{IOCMU!<3->&^?q(yWWPA6D54W0x2_3jQ_<0 zPjjqHeNjkX@8wjFz$S`FkkFjEJM;@)fg)=?+Xj4wSBfOc4<4P=&+f(;KfQ9N7!f7? zVhlJ4XxOdr6=vy-LJ?aA9$BVnsU6(@!U~Ce&WplsxBkON3!b{pG_pbpp9cbMcjs|t z=WW2;OnBLy=Ex9*=)d#Cq|-7-Wip{oRD_@B#XpZasnN~LP+=B5Q6A}ttu7i_%@ zZoWSE$(1T!uai+i#3xIjhNMIaAOm z&nO1+iv*?F0LSZG!B%q&*L)}Z*CT<`pI>aon>@+wmjoSpPq}|c<7u7;#@7-J%>{{z z6wpeI{|-b9G~YQ}C) zIa-!=&>~ze16#fXqPeNZfA$%u$5d=M)&xEV~%O@b4b?c>L zbe4@lXNn$Tm+j_SIvo5(NBFN3ZsLkUj&`i>YJ7je#hWz|Xo%j^O7z&1CWC2<);U0b z;>OBjAwms=hbIUsFd{u+evbZrw-DMH5E|1IfKZKnO^OTxGf$1ZsaphJo0_fO5ZwQ$ z8-xVb;BOY805@qT3w=g=eA_Rin$2UZDO0?>7(yu%MatqD0UEh)#Q=HiIvUfQWj0LU zWPseTiwes=CH-5N-oQE1ryUBqW>f;@y34H&i_+6yt_v+_AWZVCM5)U=$m=-5ws~awpWG&98WI-+k-$KdV%otn?^y8QYPS6&BmU@0GVk=d zz?px}h+16Pnc5~OYy@_r>4>^lRgJ|4(MJ$5F`r!1$Qgs*O`s;l#}v_5awYB~&_KaDRH z*XQY4O@#p{0~KXjA*|d*kelM6G$grj-^;a{0RvG69tGOcF14N%gtS7L(G%*_ zX4|JAGyHVn!SLZM1Mi30{gW&|3YLlm*>JL4xFl!%_RA*7)L)U`t#7VVy#?a)9> z8xF%yyXZ^&{=9#CnUV4s6G6=zgZ*r=?w*_Nz+3GE3iQ$^@eo8KN}z^UaT_H>84QBM z3}567wF}{g?2%cvMeg%%PJ>w(F#2AN#TzVvs@>Du=*ztGOZxW>(Dp)Ia)t6K3mSD5x9- zy25h4W3-bRe+uv3wm%h)Q-jS|F!P-)DsxgamQx%G#7;O6prVz0xqDy(*mLDqCs}(T zN6p{bT7&Gq9p)m7U0S8T@&DUK#XxCzlH&b< zjOLpnA3BwOB*0C-Ww=nLMl#0MyU&*JN`8{gPsfgpv4v-uTD^XK^}g5Zq|Rk~2KMz@ z6SdfQPbcJb9|>L$FDP=Xk4@PfkSqo^kB{0()^ZLAeumr96_FmwFnon6o8%y( zml(ZmwF*@`C-!dxl+EwS!W?3R@N&oUZW~1H+4U@`O0xh&;`;lOTgEA}JGbX5*wL%I zOr0({$WM?Xp0I7Co6}1@-k3R^-6Fs^mrcd28LuxT)W#u@TQS$y^*T<8{ZlJcz-d8& zO?m4P_Fsb3TTq-am?S;kShwFO){i;YbxTOtDfv};3GU}8i|wh8Cg=FdzR6Ae$37Nf z0@m~B={=kAT*-8BoH2){2Pw5m4w|1Eo)~vJ1^P}TZcYk0aws1@TMFx8&1d-UD-ZCm z*eP9k{-V3rj)MLS4LwWeJmTU#4IMKc2fA*t%2zxV_2tp2D+!BAS0<@;%A%O=(!U;RAbTDiQ5)Uf@|o zhCQdM+Z6e?v*pAiXF~9wJue%*q?ippT_tb0VKq>%S!kE5EsmJ~5(RAI8EpR4_ zZr9Wz^1W&10?*a@ktEa#yN}Lf7@>WVwbGX^U?*k`66->0>2R3JYo9BszDn)wb zmOpW~;j!o3Z7y=I3SeoTBD9j~zk&!sg@%#2S9o<-eQnotNoMJX^jUh zS=w6D8mjcOSEX@r*H{R@>N?zg9eE(SY5R)r=zaA=g?-@2jks*-WUix?W&yiIoH^3!0(rkXsG<7EQ9va2P}VkBYZs9Va(pnwFn= zF4)>_fB$xga1#q*uBG=a6q++L%j$dca?Ezwc-tUWu@==jclzl>-5 z`FP3PF;ce-ru2Q3S2h8K)69eqt5PnnxGNpZ1*0OvV1Si#{?l!hxd~M?;DAs0fI(%> z{NMgz+=xo@8TvQj{R|iHa+`eMv02**8@~da`80(8^e_|7=y8k+8tX-Q~aaIr;EC z7ko~_z+zqH3)*Fc3k10|&jE0&8aNTo~BashAjB3V1Gwu{>&hVG$|COw!q=jvL zIej{|R<*9|={2&DG8Vfs*T30O7G&liS01@L+V8dN-I62g!CP{v;pt|8RFs@L-Nu4K zoq4q;>^R=@_qszge~l(AY`Dtd@IQuYq{^Y{faFPOtxvqHKB*LMCIhmjyN@e4rVrHyx}&dqnq@5kJfoyT~n8`O_#%^c`A z7PbYB_G-P0gUnj}r%S46y{@i98x|D_&gkheyjRn;?=?4v@Ls#hGNT;v{Kw9-c(3z| zK34c^)_(<={q&obL~XkS4}`b&`%RY^(RevcUKsI|ImBN8s)EdJT@0y|VZ+Y_F?Fck zToQc&`bFI!``OGr_LxJ-!gY+cul&H-e`^4OL@sT{H%^L5&zAx$6`L1xu~)lGeGV+uber@plCkRA zr~h(QC4&MFYt^Ao{6EovE9-M<+5M;1gsB?qhjaA%|0YHoJO*nsTt#KLta=}wvmg%r zZ1>;{B(C?0w>p!O8x|Wf(-GSLY9}AGT+gyDCmipAAWIbOCU0GsF;;$h*`%>eUe06_UM%6w^vx`F7w zPAXmsvrJXwU!K$-Y$!$@`1tUxh#rdgn=2~*)Lxiw8rT3$u=`>f#+Kh%HMDq!OH%B8 zf6SwKNKp*Q7svK6`Av?)d4;^h;kPCS@`m&4UaB=!3M@+v6L8w35-AuyV!LQB6+L5W z5de3SnD(xP#UG`4Y%-vv#2P1Axu)vRtMCjZk%|Bmi1}n@nPCRDw*BK{1CgJ44*9F! z`TSAL^KIJ(GVLiMEJLx-#Zup8w&u0>@A=gjO2x4?Ja{f45F*eo06t@a^};)Yf!^8E z0P2w4Ur^#k3~-98?L^Gg`4H#Ye;-;c@wIi1Ia9j?6fl&9shFF|2UX-I!pVvNk;O|xqRNgU}qun1~qF-X6?gezin+?!>*$p=Qzgn6JK zKcU><90V&aV6J6ejy?}W!Z@*D;;DH%SfSNSxalq2&J^p1R)>NaB9rS@G8&6>=q#XUP0%{h!?R=~&SI4@N>- z$!ck@7rm*!{4_F_Q)fWuI!EiluqiUV)ftxM-0A&qq7iw2IVdH#w??XXX0 z?|NS9*jLv7l+2xK4mCrE0WhuG#TMBx3SZJdO*iWkaF;4rq8a?w<9v3B;mypAaPfT2 zn5@G?HyMn@-;Q^E_FUr?H&AEf<(@8g{65e7#;;B+)q=oA$&!7XDYL>Hn^*yBY2t`7 z(1O8iiz{NK^;k|GjGH{XI)H37Gys^p2bkj)0@x2ALayVeau+Ws>XHJQ*}wo$?Xn?l znzpV&@ctaJP!<@Edew=Q$Sg&G7TY-1rhb**jUOi|Yx9BG9aA=HWH8AsXnI#2FITTh z;II*XBgQ>O&9nhULmml|zBqkh79L1G#$*5?$jyZS4pJc%yc9^7>>(SqnA^x;d zYKRCfZ4KAuos8PRZG^bPTslV}4_ytZFsm#WJBKk27M);s409dOCL7bl?X zY{<=*r`UmUIqzG63@iC(u?$)GIrC0ZnLYyW`eDDvVd9qJr%5DJSa>% zaUBjmDb8`)iBX(#-o3RNgBI>R=vA`xmwL8`s3hDH=Uo(~0H%P7upts87)IOi@oB}` zXV1HjHvu2d$u`-`os(e4A&2IQC=0K_u5ax*|IQ*pR5v%PteL{RJQ z+UhtEk+=DwVX-yV6H$Vsu#=d}Hu3)h=u%MS3L87<9zSw-orOSG^v$**#tk|x=AGCq zAeigXhG>&S`|Cr2(GNyYgRN zX;s)gfqbAM%u}&!n*;*mX@CeiSD^aNycQEqiKp53eozIv9g2q}T{Hx`fqd2zPg2>; z`>8hI*sc)JIgk&~Y}qh>OO79M2!+O6#g)zM%$J$2Z7uM!|EFk8;cK>}EbX&ST?Md= z37#CtKMHE~Y7J}k+nwj-{2#=L9hJu9)ugQTbE-(y`jX?`PwxDC&&M`(^WfmoJs6K0 zPuTexM9>vPGwUvZuLe5;KDdH-o9B;(tz2W6aE;*WgcT~5DJ*xOExjvCRNKibD#$xw zj2<=bkyNrEHX50d8Q+nE-+8{15v5c!yEGe1QedH6BDpMpK5#B)JjPskZyG{)DT(1S zsN;boWILp_22o^Xxd@lrOQF^=@qYGctJG=YpYZ#-jD%M>BFX)YFy=OOnv~iCFK_dS zn=s}HHSa8U z$$z=ROf(i;rx#j3Vf4Jp0SEJGT+I`gJn+1oU|z(DD+q7_nhA4J>Fuc%Mfp{^2rwj5qtlP{1K+}dB7o~>ZtdR8QX-%}i0CUcttesd; zAaFFYWj-*CHSApT3d=V0S9gVs^ZPfS`zw*KA3m2qG7Xg5@W&++|6zTTgJF!zLF2h= zo;Qj*Rd@QnJ@VtbM7a4DbIA+^dUp17s!Dc4feZi5+$^l)=#%H)oXv|pi|kYL(#iIt z9`2=ana0k|4`-_t4sQ`tRWF)LjQ&X;xbZskl&y1&w{K@24IZ0OSgEKe6d!7j*J#}v zPj0|y8F}~cKunuJxY0G{Dk`C1uuk1fs*POTl)NoLaG=OA=+zTT$o=~dIA5=dJU%j% zZTlVx{_w%_7v~KU_2pl1s_?m6_+tN0FHpYH+ktb9zAkrkbM1b|=jpj#vNWYy))w(v z%UbVs<1P5pxRjI*{2_kxV-1&-u8!8U?IW8Y%O5RDfih#Q8D4=RU;DcK3>ojeN4a?r zsJArk%L%-$jrSOp+pmbP$iTXjgGF6PG>@a z4b-Bv<|V+vn!uguRO@Vwh-fW~61K^F z6)buxVdJDYTl>XTL3LvP86p}12Gx47?q&_MtssST^l33+VRPv;jtUIdeO^bhnY5=W zQ$)C(AUkrLs+GabQ|T@YH$CFd(x>(3-xL%HZ5;^oe>e;{It?3{^|BrKAUS_V*xW`= Y!<#UZaF@YTt#bW{9OA{FRuszr2MnuIF8}}l literal 13725 zcmZWwXH*kWx1|WED2PatDn&#D1StU_D5x|66_wt*)X-Z9MWlBT(Lh9+2vVh&&^rkt zy@nbf^iYzJ^6>lC`|;LWS+mc{KKq=R%$(eNGqb`%MMd?Ck@_6H&tGci_N~2_x3h=4 zfb;{&`;yWEYQD~{b^zc`FYvA2`_y$2hxWP+%ll1L+ud*OouD=|u!1;3&Ih?u^L z*sx~G>6^(-U|r+ZLRsr+>#5{s5Il4qOn{an6yNy%Y;0`YL!nSs5X&zXos}xvLOXj~ zH#f_dLq6U=I&Mj;5}gh2(nU}%D=Fl7&r(nBvM5eRy1$~=c%S)rD?|52QGv9Qsv@AC zM^E#o`|#oQ?314M#cz{3?^YCj*IK6w?LVv-y-RNLD8zc2#~&pB{!oOXbcV1h*O0>$L zo1Cjl`2Cw_#pw=d@1GR++(;7vUv*7UaZtN`T}byT(?Vt1#+MW&Cpg!Np3!I$4i~pv zBJ@|Jx)53RQ2|_Qx89HCc%lPg6X`828shTz`F)@9HXGk!(*AqD_x`RL0Y!fAMQeqc zy&ra@&#>goCGAU&zc*Grms(cF8E?k<4rv>f+P!VGk*FSJE6K`udwgYO;lqu%JcIUb z&gRvMO)kjvouI75i+_a$@6Tnj-IfyS_^rsMEzVK)!l=PBs%jug{Kgx}Qti77)@*`m zIo?q*kE|yujEx_!2><-{%cnc7c9boCM%(b7i@14Z#W^whkC@dqytAkNqS3|LRK|^v zTV1q=?AQ&yYC8Qz8_1OUX$bJ53&bwsUKNcybKKE=nSNg`Wf+s%<{HvD;1P-BgeAW2 zQV*oRxJ#b~-k_al5cu#!eD1p7j`qHTo$m7r-Xzv}<7vdKPJw+TS~ zeXTX!H!`3c0beaQwP-IsRCn$`)=7iBf;X2OqZqj-mdpes{NBGV4;%x4B zO^RI&{%Ak_8u#|@^y}ZR+6%uInoUpmOs!Nt6rd^I<8j?aSA6p$HiLSHpznGVnr&Xu z|5=^p=IYOEPhjrkd_i%_qk540;Qm8DdWRxJ+w<)!Lx}9~tTC?7{n_Eu1#X{P(2|Oq z6~=CzLtkWAb&6&pj2XtckUjdd<+{EX937%PPxdY#N@-ij#tC{*kjASL>j~a&>8cp7 zMQx~ZLV%x~Ci_oKjV;{+>bzk4HMh^Zy0Zp<#b;aZ##q`$_y5%cVy|4O;%*F>S^SBb z)&va69~y~s&N|&(t$bA}5m=h;s>4?zJDb*f^H1$+rCN3wW#!;2t*rF|WW8oCjH>5j$P2|J)HJ@H=*}HzsXO@ven~G z5N{%nLEQC{;@YQn*?F~b-V}}!_F)&LnlzE}-y0^i4KR(k@}rru3)-8Fm3R3tCv86h z9cjlB{N5lbs{IL>sD znvr_F@cdbIy1pkP#LL{Kc;p(_AA9jNh0P%n)41zTw$^CL(yoCFtt(LC@KJSS&nHIM+1nE zXMH28b^V~mU#)k>ax$ji>RRLv#XHtCH1Q2=CA(Wk=jnj$4jlzz_<`?B64lQpviO(F$amG(cQ% z%th7w9H~JABm@?8JjAtISTh>gswA3fdjxZzycJe{d^2fJzQUu*I~hO8VT!oH>AR!a zikozga<;f8VZol~Ib^rd?JQ6qM^3QRi#Saw|(Fbhp}6s(O=Nx^O70%scUi;;598aleZS1 zo{?pwKX{F@4g7eB)Bz{|!~_;gIr^$d9VeETp$l4{O&m9m`TuRqF+62h3RL0gsFx1C zxblJg+%K{m+gX)0Q+Ys6?Mq8EQ z^vHyJCArRqJwMOk_CN3c!ES8{-bs_Ef7^Tg`_l(Z+q+Os{vMffE}bOXA~?M0D#z|Z z{~{tgVpu1XChwLG{S_7J-iKHEbru8nKU23q|DcyOtVMrYVL_Hvv^>l1bBoo>$N-Y7 zwlbpSBnU)PKGyNI`Srj@hSmzx0)R2m;lp>K<=0uL)o31zePo1Qc=5=&mU|)GD-u3CdP+IvO%@@jhVI4u9Hx{&+40HeN4bSc$?rXC>X~u=b3T{}A`2#;imuS}kTg|J9YsFFto-jCAil zWjUuh{!u*tsFZ)y^?$0^bL!qd>hnK}^`9zsTsU6z%*v?^X!NS2w};{yeO(3LMbb9v zuYt=jhJ%`Gvw=?bs(7}p=Zr7m1?dpKAzlRg-nvf9+~>^aPEI;Z7w zt-MKV03Oe;rmJ|Yzl?SkB)<;>2|~sUaAUo zO#U)esG9m)w&oo{TH>nqm7qBhW6T9vrun4AoF;{l5eK(d2yhZRsMb6MxN2fTGqfUe8qOX0xR7fSOUu7ixOT3;nd{l zoU}gOe1jG-8v90N%>71$BO*3a+#u2BnJSSJ{Ax%<81_(Dl7n--pU#0>VoAKZNbjlX zd!5TV^>MX0?_7z`EGA5=w;NC@vuS)-Gz~=9hCz-^f?H+6U2hiOa?;O)*oNwmtx3X;rW(0pec%wnT)b~rIx5O!S^lp^UV3vse@^8-<$h6#m zD^H3!pMJ>m%#s?HV&CU`E537-tIYmOMj_qGgzjzg?J)(ZCpobk{o_tok@-%m?^xIh zHh#%}yiu&&>o7l|Y|a0)%n)Tw?|YDKHYEF~$JygoL!Wuo<%3Yr!;Emd8#D1V4PTy2 z{h(2Ept+^{$4c?Fnm;<}W@PoL(YC177&{C8{k@n1^(f%G3{z!#$6%(&+a}&WtbX4O zN_|td(d{2WkavYgax_dDse|qjgbf2 zmg<#0&BKAbJL>@4D~tO#VxL&l+zF2c49-upOy-mxBnZ+MEX)bRV*2{qFEKy}e(45! zWi$-~3D5+{h;aSO{!rJf8R5@MN^c#7*Dp{eXf7Ob&0Y3R@NZSBCpydydoBG%)plg; zCGG(15rc%QdL1QIhmpNX&Y`-FIRvvLb)wh&ybD>BB3~b3*abNp@v<5u@- z$wF5t!9T%Z-DAtQ`Y#o{??I>|MC(&RXm>cGFCk4u4%L5`5UKQWRN;;9m&dgWD3rTk zSBkqUrvV45!an<~(HBwoJA4FtauZi=H_tg_dzk z4PRrB(Vf4xBKuM)2pe$9V3Z2}ta6E_?o%w$wxW9jTdfJk{kTOBQ+f|@A&C(!Q3MB)YY(@h6`3bU zSev5_XJ8C|@`dP_F=C0eytxTWeapZ9a`3{5r%TobOgw1LV$MbQ{zucnC&A@ApkTDd zOfcj2yl_oJxhm>bs7^*KS&@Fna90WkU@0C6#iz1a2vDM=&^wb0mSNlat7z^^%*Nn| zgtt)QNw|yJ^(u)C8{^?5;#_Uj>DODqPd_y4|2WenTfxk*J9LzHeFsX2ueT4SwB2<3 zI7eZySZhH_c!1w6avjO`u_zf=x$p4-&C%iU=*y3%#AGq>vksC#Xs%@V!ko$NEq-nf z7xH&g*z03Q+OfLozd(QytiXJP!XXHR+T!E;#GYbd%w((}E;AA$5J559x4hO4ze41A zx(}lxCqLVlqN9kg5HrImuLqeaLFp&x-gZdP1#$sO^+5#X`o2^migE{sj6=aM5(fow zQdE=%79uUmav_4kgR!4L+x~SlxTH0Kj0zB6Qww#sT4R4M2MMHv)OBI$c92rvn(Osq z5qr!Z!`9(O$3=e11}US&z1{$arH$8=5n}baDigs|i~aH723BK3^0devw?|7EiXoi* zG(ifS8ke>E|G-hea^%Von;db69#LP2iKou9u$8R?t@T7A6*8>qU`y(6ZN{o${3*vP z>P`LG2|4(6U1k@uEcphH?IrAX4tdV7I~uy3DXePqI-=zKlpVre3Fa_f!yEn}8*b!+ z*}&`?Ti&|)K&;S#2OW@*`(C~yr!e-!3oQBhy3BVjww~MhI)bVSP;Zy4zFGc$h);e? zod)5-TbPjcMrS5dmeZaIC%>Ma+pYmTDMx0Cf_e~3)Ok(8{SBi?JAUr2d))0|9no}` z48No_tw;dk2ELZPCRioPx)?D);=w9z5KMB<*oj!c@#W2hGaITK2$aS4w+W#HXV2b{hF`V3?8+(gyUcBxsa_ZNC7@2K68M&{Ww~} zEuHk>_X`O;iR8hFTU;JDj{cA~)wTKUOxp^*g2+D;C^h8XN0eEU4NAf>70H|RXmRw= z6nvRb?r|(kfxT2k>JHX>U~UvyMfRwg9`7+10q8z7j zm+N@AiK`_Y=}!^&O+1(WzQwQ?%|*SqPn1cFYEd}FP#zeaGs(zwP!~<^fCA8Brp4RAK7+b)I268Vsr#qJEOAFi&wI23d!Gb8q$0wbcs)KIP?G<&zCSPN zov3<)m@Y(w@Du;)lD~#Q8jj%!;$2czlVruKWO&Enps#;9UZ0v0cabcDvgB$%A0H;4 z?87dSF@iXb2+D_xAPGQ+kb{i`iSxl^bw2J1i6!`K2 zn9qDZ&A_;s8fu=e)*wyN9fqoy;)rHaDl^L~(Bg0KgxN$%C(Bu*(2r3HT0BA&K{?=+ z;Y>kDdLNvo(zEj!xYQ)@t(pLZKaM=al`VAW@ySLMQSiYaks=zk*tV*83%Gvyy4mvi zqy)LOtjNjQZMF|L6QbBVTjt`Qnp+Pp2nkVMp&lYFFXKpW(}+m1-~yfpR>5I%1@w_b z%bqDW$&+T`HK*ySY*Y$FWQ$o+xY-Fq+n1=d#fG1Jvbc=mIGV&6YAlzt#6on1$y=$o z$!E*SNnLQs>yR2vB1Y)o?0hte>EdULh1d#{|2UiLy}s&hqN-XjlbPXcLP?J6qWB1t zp_(C~s?Wr+NB%DyIXR_K5^zKdceOwLWk!OmA4iyaR0_95#* zPqUcCUSE(YeiDj+DaS~|1R0q7;k@goCI?vbudF_}zEWn^z8Qgz4^zBBckD#R}$K%rSq+Vc0}7Lf#Ul&Pvrn zUMTwc0(tO9!sNwYkwz8@HhH|Cx_J8%V^x1Xy^QxI-McRz$A-%uj56SWx1p{DWmpoQ zksA289Yka$###dsV~NrS&FlK4but`LjI7VOlVhV?N%ssg)2fM`Em4ffp)|bA@IWl7 z3IY{Od+zNO#x@5`d7GV?nLfgRp>-&a7aXH8?AM!w7Za7t7|LwhE0^&hBqP{&_nE?Cvd(eHCP zm}yLb)vfgkV)hnR%xWJW)q|HRffz&GB$!~vq*wSQ=C+=yqBT{9Y{JaPQV-ivCVBqR zVt9+Ye-<5+%F4~9Bi8|m_Lld>4>9s(AX_djZYTk;eZ>3s_)5>8u>C9|?RY<~ooHj0kXbZgNk=YEwBLYeEfa z0eM28{d>Jr+cPyL!Uz{?C|MKQPKEfP$#bJ{Qu4Xi*Fzf?44`xnDDl(_1y(LWHiZ=p z^6qVC5wM(F#XZ*ZvS~PU=X6iIF*5*8{b5#WgZ%pn;wufH%dHT}>}ft*BkAeazP=Ud zSn?7Ou)fu8EPmZFn<^>$RJssPjFjWM>eoUIa0%Y-sAKv%v$lLKlKa8Ln)_si30Njk z#?>MqI!*^^a%CnLG~qH*B*(N+V3u^+$GBD#DvWqQ4ZSJ|B42My^?3D%Iq&dO>b_ap z3Gy#B6cRHQjXVRBrz@y6Wcx5QVvXj?Y;CDE468_O#wjW5PNmYd*>CU(05bR2p4C~1 z`7SrZQ6LXzm%}Jvl)vfN(N&nKHvveoa?1Mr{6K$}Z8Vw_$n(7N$&%G@C5J|`!oWtP zKd1#T`)sRIIvy#RgtjF{IyPGHe009S)LSUTdw~=&jNm#@Swapv!R)~l2Ehg*iMQCtmk*clJ!=pn&^wAAD?vRVio}2t7j=vx^ zgmgkf2}|(ygI3l>rffnysigQ!eiSX=L-$hlY(4T?y(pXno(A@K zG(01;Q}bA`0;kj0^HzcmpAJfqMD6>6!v^#{```uh@-HX*DWbk*c!lnoqDrwZ?GzXl z1>H8N*wk|%v_AaE*6bb6-jRr3OVbB5=&-rlpHN_DuE8Pxd5&Ib(qRkp8)N*DAI)W_ zD~s2%ywR2l+SVBJJ@n@DxFlc95#jxDxw_F4Eg`k+X%OP|d5%WO&F`QX&W(%>_pk^6 z0T99)Pkgh9mb4^3X0lng=z!JUcsgM228`$SWF%i6`~f^`X=*VYBK-y{oFa{pk({A1J(5mr{vJz{|YB#{A`)Vr2U^Sp3d| zyOxK0$!^SF{j>1DEhAxoS(J(fSoP3-1Q=VR3^ly>0CoaHaWiLCG9gaBfmr~XMA~~# zzqhQnk^f@7;8g%y9_UUOw%{Vq%v12fI;xrRcli^PvK44y3)RdD@IUvRKnu&LW?Fz{ zd>cNodwQ%r@S*2!T-%b;U4o-6gQMw4>m?rc#8#N^O!W=RLt~WHNM#7sp2#MlQxWC6 zKj)}#HVMc*6I$NBoQ36q@;?5`1pQ6UpROV|vwppkI&s(A;pi4ZkqL2OP?Ju&URAt&I(^M1|YVs^CF`5B~C%z&I z1{2T~=iNt3gfcV@HavqiRa`3D)h*p_2D`@U!EeO7)+*OPN_0<@lmzk(J>hHMiIZ6hR4AB5{e zYj~mkZCPvWfsjZ1?Z$uGvo7$IVLW$~@-HFON6TfA)W z3AFpuNdi%w%2Bkt9MoE{anmu63i0IJ_fh>00#KH#<5wOK617(5iqAvUR0!dJvhU|U zmI`5a?vsuG$RXI-qX`($?Y%v{j@k@w$`mtH^smQ-S6#xZtc3MfUb1B^7kQS}a)@<` zvbk`MBVSN9`mV^wrADTw4*-pG<2COAO&a^v<`9m+?RRiF&3o&CkE}vE{JtMPh+b9w z+n>&%37H%9aPJyi=a*&2D=PGOBtCzGPa!{z&qFicav|nP2RsjTm*hqFYe<-vYY0l6 zUCV^|On{AN^UFjNg2&db^mzN4@I0#$9aTj@_-p)S-m_H>MHLn$ye~w;=Wwvz#<$D;&qrdM2LHs-7~{G{%`dUI?y`cvC-Ev>8(kfVGGi}VM=GcA z2_qVy=h>|P%{D3^ru0%K?~~ySv#p7hGhO&5ozeIMxWPg5OZ^2YBz7ZEhq190NzmWd z4tF$>w+Whz^4clRN!~J)vG?3?Tnvf#hTr`u;?>sDx+g@h0Gu2pB)E@={_Sh;C-vj6 z*QQuKQDO;?N_ubuQ%az8X3Gxz8a(Oe^+YN3X$sXSxW8mr4;9 zSjQ#hW{{TjBj?5&>5l^Dc9M_zv};#M0d;4obE?pX=w`z0MERR46b_%W;0rctg>XKG zB^z4vZ_h)&hSoHyy#QqW(*@c_ z{=kjU=)DGW`$;+b7CNUHx*(oAdv0#g$1j6;`atDiFoYPxs0Q31Cg$ z)}}=$ZILv#?wHM#DRh=$UnVt6`RpvWh-?Z?OOkl6x^cj1J3XEr{WRykFc7~;w`1RQ z;Wf=PSwy%;w%bhrOpQ!4HNjiMe|jo$i2tj&6P!87)ETbS(Ko|4WxchVwEPM z^VG#Ts&Q|-&kF1re<3lK4G4SdD2=f zZB?F5CSatzAY{4|SoGc{p1lLK?mjw%nZ!PV4%~pzWMg(W9()}l_B%eJghi8$N0JXm zR2c}Vd<2e1s%C%U*952KH&R$YDI2W5%C0^@464pv!EJ09m*|ruR+IA^4}T0PiAKm} z5`dSy=+Rmuy#Y9gMyQCxCdx@aI!qHq~MSXtKtOBnl&a>|P??gef)v%qabG&Gw*r;HO1%5Qxkoi#U%3 z(lryw=g+l@N!Z6DIPTk$i030(wxgjAW~MPNj^Br!pw*9NCu(o)psyjy+5)LKO>HR~ zR$rJgR;sl}rRDmI3DZT+kB+{_l<<__bKWB+;fG&FR{S;>Urnra?@gb01-9IJVL@zl zmzu57JMWUcy3Cy*+qN>^^ZLHDeh0yQ=lLF&r@9w2Htd@w7|)%Cb7%Fmc>Zj_|G54? zjR>b59b7wJ4>Kp4r&-iuz~T6-2#?b$^D~C+zZR?24ZXe}xN- zuMQIxX8!m5Fga-bbTObN^34jDcgeHIuiDC5&RIC!_LtEWzZRYfMtjH%@H4Yyc*|pgrp00(deDE_SbPuuS_aPFcx{nA$k=?vTbsO#T#0kA zPm^lbE2EoYm|VN0jAttZmTnd+{GVam5EE%06Nw{IyP9#J?c657ii-eYog3K)u)RUc zg7pMfV88H4ATg!!8QCM3|6uLRex$t9VeR~OzJf82Zg1ru11AhhBQvXyBHdjM6W_6} z#FK36_&KIiCz3I~8i!}=L|!#F4#?kR`RuTkS_~4^#NLVyb`_c~GoV@PUbF?%mZ_|M zXr?qOBlr~G`ER&~Y&J1>QDbE< zf;Z-1){V17EbINAL|NBaN1Ve9<0Q+k`97Ubt5z9B?v{-^TS2(0aT2@LW>A3i2E46j zaqnjTTDNbOx5~;w0k!IW)3;@v9R{+y%%qJw3KxESB7=f45j3%pNe>Q5k~4)n%RwfA zlamsb69$LLc1@u)B>e2B!SOmkvBF;RkB0`3#udtHQ*8*x%ITxy;M1=wH{rQ~?pn}2 zYV-xGicn`B#4xL)u@)3UhtT5V359kREQ7;^dG9E5K53hn0Ip|)`&`DK_4I$Rz1=l zs2rwl>O84P4Q1u!Y0X1nnK8^D1QY6>muJpJRK8{j zI^*c#)oMQ#n1abHoaDhrFj&QtKqZ>!Jm#}ioo&I4tC2SAp?(doe9ZF`j=vlfhyNojTM3!VRGTKl)K`c`t> z?w1mSg?8e?cQjs~IOH(ARDLPT7qq$hu=8*$Q1p<(y^o%8iFngRC!!n612|*RLz3_e zQ%y8`I}1gj3Ou!(a9w(m1vA)i1hSngMFTE~ZoP2C#zzqQX1SCLqbIEK5xBlV)XqoS zw2Y36r;swyUh_`;!tPX0qx(R%t$%CX7iVV-?VfaPcjeiFWN#I%SaQr~bfnk!UR!99 zAc=Jf16nuq+zs}~L~?-vxu<@LwFBLv*Fdt#^)-jRo2|+KS#8o zXUkV$nHO8n*UpBmd$grg^Bj(9fKv3iZ${TBg?R62bUGPT=1#J_^q+T}KzY6u}S?ScFV$XLm38+7gpgT^|ElUWww3e^c2Aw_i2J9-Sv z$INH)N_sZidNIk}sHsE_~BBVokbz+K9b@S|pcP^_2fj8E;`Pofa$)VAfRB~#X zccp~$Sogjq6(PEUtBh#bA@k8-hd*{&$CDSYrM*jc(pv!n8l6QSynp`j@4=1~J1le@ z5VCN<;+l|o^PD|Rac>H~9oC6{jJ6Fz#a0bCup}5Gzea#Vp^d;vr=&Ht4DNavA0>z?JxBO-QsZ6riuo2Mtk4IZPIc$ zBF|MHn9&PVOuaTh)d%i4&rlmsXkx2&o&TqP`jcR@#%4~15Wp#&=RXr|%tKJidd4!CvEDvZj>($PJQ_f$sI=ek zCDb-sthXJNXl$3FU|6T$4co~jYG_M%_4Ua)FUyNc&d(dk_md93nC?1?hnhorA}NNP zl;rNY#2&@>oH^*hOa{+|!i}5JJ4bs{X5cadq6vTPQ`?WW9REH+(Eb_m{L4dG!y>Py z0urKuP;Md6l+>B0dt8?_^mJ*CC${t8T=z+n=fn~SH5CE@k`9;5c-oc#LxzxlLdn>! zCEQ4K=kaK35a(z#mJbNk5dxiDLJ;@kme>b!Vcy2Vhy`lsFfE`i ze78i^fCd1qJHU&+Pru+<8`Cu{9@Yuq$wTH)LnY2jTtwZwiW<5wk4Dc+Fu{uml=DB= zP$&V^KCj^VHy+;z&ATH2-%YB1dA;oZ4NVYd%uD4}KaWrItM2H}+40)<8QEerqN1{M zE2P;?Zp9KA-pX4IJyp}ZS31(sF`!+!$}F%HtnP)OaX%cM4ct3hAO3oJ=o2eX_vcxA zx3(QC;77)$33I*GZJ3(#Eb!9NS9mm+4?Dm^A$*w z6!H4x7l!Mp{F>$yWw88>Zdy5tOjGIJwK2c?bJ>GGgulo9G-+|A zDY|Pb;h3AIWb=ts_JYk1|HeBKqa`Y>^oU=tKKM@K*SBpAGlawAo7(~@!Y_Tz7Vk*N zynfP#_nx9wfBrmuxp9;NT-UkZIFmWmQ>I!_EXNl&`1|4_A+pFoWUBSG@{8}8Tb}d7 zJQ-CL3BvZ@UPOoz?iJu~TVy{1Walmie*fF!QOY|Pl7M@(b+H*H6y!vWaD>1W>85;` zg!l8=BWvTBRf%<0P^HLTqbn)NZN2_y408`c_f~T*n-^Cm;R?=np2Kq*s)d^L9z@D} d^R2+@SRazHDs_eP=_mKQ^%T>VIsaYd{{c5lblCs^ diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index e6e4232c97dfdfe1870d9f766bf413d74198a966..bf4c983dbce6d8f9b2f2cbeb84db2bc3a5c01dfc 100755 GIT binary patch delta 12 TcmX@ic$jfQ57UN(iG8L3AvOgI delta 12 TcmX@ic$jfQ57UIiiG8L3Aesd1 diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index 77e02d9e7057419e71c5f6ef7fe50375354d7cec..d5748ab6f8441b35e0d610e9fa79995ecfd38434 100755 GIT binary patch literal 16241 zcmeIZ_g7Ov^EgZq5D*X%kPgzNsVE&HBBG#xBE1QSNSEFdiUQI?N2G+Jpi)BbA#?F;idM{Vv+W3uxx- z>*wn2C45Iw{8(s#(x2oG~NH858!_=n0hCIp+j7^mOMKWEp_?wWt!EV zYhv-2hJ=69=%>f6*BC|L_*L}es^ESJ2u1rMRaW)J?V?Cwnv!4gZ0zirVm&=KX=tvA zJ$<`RA-1)Lx`mb@3RJ`PcUmXU+x^1IHZj{jx3{+o3*X0HTmu1W1w8T~<`5TtX>Uhw zs@AW{{5=A2!`I~*Rq>#Obv);IE7qdPWe7a9j*s(`u)Iai=yexP&U${Syi8R5P$)K* z-XX6N`TWh?WpyLgUiW{w-}Oe6>+iyuh*OJu@6|rV!FGmmpNZ+r@EgyE*$28TF9XS@;H(r*nA2Iu5x<^w@kU2F&s<;&`=k$mIOvGw%rE46WC+_AL;`fQ_ z0mtmuPS^vd0!QUE?UV{%X#?2+iCEQUFZ2iHJ9%o0eW;H)2Rxr_NYS2R0fV?(nt79s z9qki&(=R^m4*&wI6O2r(l7f`m{zg%c_c=8^pP4>l!-^I<y9;=oHUhlCMGXE!s7I#ry95B^5 z4$0=*bsDY_nbYy;?UmWj9T)+o(7oTGFEA)Bk_aAznj^^ zho*Fi8&o%y3moZmY+Sr-nTWN~@CHZpmhlIR?yKd$9dnO;x`WW$UtpiVf6l9Y?zw8J z^WDF)sI%wxH-Ue$Q^atCfQko>^D2n-<_vOrP?*I#2{1JgkKfm9_3Y4mil`qY(+|NNXMqU+Ejtf{faZxbLxcI_b>9~)2FspdLN1{ zwTRilm!jx7od@p{UyWN$K1(Tj6; z8m8f;rYP$_8(KG(-NFnlw0EP6k5OE7a3)Z`N$y#}4BA+0;jz<@E??Ym>EY!lW~CgD z{M$jSuQ&_rFjDtZ9eE240;JY1wGWx;qF8o|M>!1x!j3Ca!PQRk5s~M1n9GEBP0W~> z-0y3VkzlQk>&jQ`UvRL?S8S;~R5$p&Ox6ndU8Br*zkY?u-NOaQ|4%pH>mzfvg@Etx zVvA$9GZRkm`_t_%*(*7Y+2dji@lS&teLOT>kFU0LeB&*#PNs9s*>4;O(fgGmBo%L> zae1*F*~CQHvf9(OhE>Zd=Zh}N>^5?wCO;nwY~^ac9_qH9c2^Z9oBhz_IA(})Qv#xp z8rsr?J4mZrAZiZc3;|Yqot?D{j?OV5`WHC)0xwxI+$aDxEHqr;H~+u)Q5QI|qhMJ5 z{9P-9%bagw%DDeq$Jy6~J@a*BDs@;&m59*j;YsWt9*vuCovlD0uGD1Z{FonRg=17gCJv@ZKVR5 z?g1_5n=YT`=#T0VUl{q?th;HH!f~b6+VI~GjiW|x-+y^C!%7BU*#zQv!93GLeSPa~ zK25gript5;?EwC-LaKu4LBD%VgPS^yMIOMW*>UW-xpC+LH-Y)pm2(OA2dI80)&W+7 zZkkTU2cJTy)c0J=J`kI=a6wWJq1jF!hNTPM-KI7654e#7%Lr5W!!j%FsA&Xq+i z2AOWC{qebdt*7dHEx6_K0CB(C$uzZB#So)PfUx+b#OR+OlUx??%+ z{QOCVb>pIMc82=A$A?s-`GDWx*2Kbi7H%w4(6M>9z*D*Rvh**8dhTy&)KpIA6B~H_ zYFT+uQgX&Eg$r@zuM)DfY;J!f%)WV2(l2PZ_TwgP>+@8e4yVQEYtXIeLt~)+I?(M8st$57+cFVt!)OYBmZjflU4({d7JL&|v<*0E%L2iYM;kB=s z>>h0A8a4}d_48;ETy9zMbLAIrC-L|v#G7sfA4an{XWp}E%3%j7KU4o5g)#m6W@=Rfx~w|% z(>6&lHvHJ)(NlpY)dc-M7SSDaz=_Uk)E*7Z8v+N~7h^6t4g zuhZrn_&e-bX%AIzyEMnRNLqlJ(^eoaeB{ox=IwS(uD0|$`eZ0_kUC||GV_FWAo_D> z*hpYTlOsdMN(PZEpzr&SkV0N~ZHg{V;Z3V zepOQV14O>R?0IP0WUj$xTZ()0m!Sp8?IM%rXu-jU&zZPtPC2*twWMEn|C{TfGgMKv zISfu~tq&j9=;bVw`eupw~gOZOo%s3DuB)iO{%v_PY+1 z-?RNM83w%GQ|xD|`*Z!VCQZP$SxuxIf27W>@4r7MWvHv#R=)=Kbi;q3ZLU-u^Gi*u z-(X=fIV!wK)#@FO7D^@jts0j&RM>09}p6Ey!988fONXq@^?T^)`(AgDXPt< z{JnPhmM%xX6R@~7WZXnMAw03uD8{Mt?zCrvvva8bBHN(W`QQE7f|a?J16LGdRAX3( zK&;lLa|>%#QCOI=tIDUNWBXWG+o8HWU(lGRMX3Vm?umbLK-tpAeVz{{`)XJ0kONka zt(hjrZ>%yngYf;;S|s41R=}OU&W46Sv`; z3!{C&yI1dWC+A7RB55?CM`jTr{Po`^X8PcYqf9Di1{~nZ)?O`oUA~ydyrI8Mmu7JO zR^z3VFaG6+eZNnYzxycIiwDkpRtr!}@uK-dPe;>o#e4=8=8`;8((tzH{O4nst-tKO zZ2+&*wr^$n5ApJ%<7Ax!K9KT_C(g!&|oV*%TFRL5; zHd&L|{Mg8Bo=*|lmQu&^GySasUgA@uM9w9*azi>->MW}}W9FtGVfPvSqvHs6%!}w z`TFlAnD(v|r=CM^78a)GI1!MgMCQVpvFykXtY)o|ZO4L6RU*UK*V+VlZ*qDj<*V{@ zzBjL)9NiuM0h(Oya{}~Adrf2>3~9}&o{b6x`u9vgCb;PV%Dn@{J#TEKh0mjd`%ZVd zXFZ5aw%VieW|gC|nRx4~Yh#bbIIiVfd2-I7)dvbM%2;`u{wXmjjcGH;-jpP%4eV2h z0Y}i=9^It43xajy@-a+HZ>1^iXX!O~>Zg6X3O$u1>) zv8WTI=Vj~V7!vQdeaZv-)RwGj>nBc=xO&#q7TrJdER;JgjB{`4l{AD?)5HSbmq#e+ z&Z0PKdpT($WQjd-q>s~bMtHC3q4{t2I|OY>FWZo%`Ky$`!?;pYiq*sbE=htzUFDGQ zY^wpFoWIQVoR?B5&|W8XL-JmyGzHkZR=O4w+}5?l$A{V&L`oCAKC6?O`HcEmi>o$$ zFReBY28Z_CUOT2{qS$w>a>GZ1xf3C{Ui3O;9dD>)^n(1^!lQX-S~->;us86>c<?r9*y^1i-s>Hr zId_u>!IoE6ImRp*zh34=w9=!mZu`5Muh~PRkmu(c7ZbpSeQ7__NA#T>_S(D^}SD#eQk{; zvP=V?%7Q;Bu7lB@3`TYC;R0Jdf2YX!g2e7W0(RSt{W!`)Nl-HQ*gn}MS7AnL=Xh9k zUX$?A+!0gR^cqOxhx3iX%zF&RabuCXS?g)d4!=OGm2p(r?Axo)%tJO!PPr}0tZ!1dE2}M)7oHCT zg8E6jiDnXM@K=BTIq&#gJFtI`zc$W(kNjqt%_|Z>hmYZ1HlibU(c}L_0*a`~{>!J{ zkpNo!Iqx#}XIO?NvF%vsp21MiyO*8_=P1bLLBJ0D?m>`J7nFr0$8wMn2`{DwGA~2D zB2lb(1HNSn2Y#O|25v~8+}*NIY{4VAP15u|g#|LtnITq!q}W6>mmL|3jVt-BY#S~m zDyIt_6lB@aP?8vi0vXlqZ3d)=5A~KeLiIM~1h)d$8Du}%U&b=+ue{OVv^G*%$Py)s zaT+ZIua9l!l2pX8kSIBXA9lLRI@;zLZigS6L)~7Sp;w)lxMk?Vp(hMfKLGU4qDEcx zcf|vR$y77GD2F+NH6sQ=z{mWLcek99;WzN3yvwcA_ID2J=L|~S4Ji5}7&l{Eo;m!>D4d<5Cl2xe zb~dlVMZ&d7vjp0TpU>98pYvD5nzu+9=+6&BWbcz9!Ds0Cr-fmrVf}JWh;glol)8ws z=Yb1wZanv6_Bs^c_>tyr2}*T9{$-qynx8ei)b8(h+P46n?51t+vt!RIhT?-d7jpiZ^FOokwHy< zJTWf0KQHA!?E{rPCc0@9n%b1jNXJTSY;m4FXX{{i8a1{r%uj?0z>u~ovr2SM+06~<~g4l}|z5@yF;-@nKdvsU$ z*cwTL_dD#~-7>n^It6d6_0FDH-8$@65dvyFMFApsa14jJB5eNZR;Y>30Jinx&xH4X z2=$eqSl^M_aNDGlrx-rREDhwYwTni2K-k=2L5m=Rq}Rk(!XF4NNG2--vUHOokOgSR z1UZ=B(j(nZb-9O(1N>N*W?a5}Mo0iT?t%iEwf0FJf8p!pHBuDa8Ks6h;-N6tWoT6=w;Z@}PPPZ<#$Yyyfqz8RB6zZFxKiA$wwXD_jL^7XlINE7xoP;y^Fr z@i1Yws|zKrZFOuTRKGlD9?3R)^4m>+?1|5KbtsWnC$-D+OPTxN9-~Y%% zBpGADjYvj))S})jhRd=w-zt-+AFa{A==guA?0<$_G4e51CGL zp&d-J=tx{a<)j6^2*|Z1s0ys22TrJz3Li99a<4n8^$1*pU%@+Rip$(g&>NH{P%g`^ zZzbfQMnpj8ogEqFzxeg$$fs6IwghHcoc#|EkgDzQCwMtM<=p1!D&!@$sc)S9=u|wg zY6Ws*tpo5r{8FCmK%W21yx8!X2SoKHvXYyGa8C+4i^z8!Kb5M`ISR2l%`eZTw0KwX z5S$Q_n9~uZ3J0S*G{@)9SqR6(IqPVOsg#~zU9}WREGOU=4g_8m%CJYEB%lcn-Nd3(k>Ji~S#laK?{4;P?Zhs6tdQab8lJ6q5gBJ$h#sa`K$(*G#< zR8GhVVnN&{#_TQ9cpCMNl7ysm30Zm_3cL;Cup}<<)!;z54MnX;uAx1x<<1M0rY)6 z4ahrPpD$`G7!i?{(R=NrrJ97k71CsU;%{Ss!(h*q^~rA12Ql~d`rZwJQqn=Cyf~wm zxP^;7@Ea%a-VUfK9kj@c^DbFm38#;{(8LmTMXM8l!4TYvg`jMT`wHaj7+r$A>8h*19XPq?^p;M=DOb% z*8Hw|7X*f6OGlJTM>t_m+{6*|&LPI0cRb7Rs3N?&?+~bKsoA^$tGo6?5$~H=*31Xw zb4DfE!O=;?z(U)y@;K1UWEa-**gS6xnP+u6!Ju|F*z?T=~W2}|{Yb#4$ zJfLyl_y^D)Uz#1HB5l``MFh2kqOKZ`hv652%jbw$4cg1~FWQ#Wbopko*d#zd?w{x#Qjd-|p z{s@AhZV%K`Mf3&iiv-FZ-^>_e3FJL`wDa3dZIdnwm+wGq<2GIQk7#=o6cLm?L21m4 z2>jw3D)QH`#Mk@H>_*{6 zqEg%$`T#P3f9nBqV`(WZH>EQKHWBh0JkBWUlm>g=kQSGho4z?4q(pyECIPl;7^Q`z zZSBm=*q^ITw80!hQk|T(`q8&^U|dw$M{S`jQ~-dIc={4U$ojEkLHNL%kaa8QP-w`xdS&>Z@EDcm;`o6wP^ zP#X1x#!TJu!n*9&5cZS&XD|&4{Cil(m%+UXeAvBrNh2XJauPPquK@h4c?3V8?6|AK z7!Hh0MjXWM#-m0RkJNUh>Mn(tX^d^iPM*JrgqC4K$k&~Pb|D=K(L^yPeTBunQ@t|< zB4y{GJ0^xqh1sv?e>~R z)cNB<77~5Bx51)3hI+8GmbYmfg|9N6B+jC|QnnhE-VFN5JSNwc+BQyqAJw`f9X)qLd6&Dl4br5&+&jxkJdK=x|sxeLqk7NFua z^X~6E=|{j5$o$PvZ0iTO3Slt8ZVq(y;a%5PsJ8I{Hax;zrCDzofFIae-Z&6yYCjPg zNWZ1^Cz7M>1j4ONxjg)VteBUsM?_Smy2ug{R_rh!18ks<(zjU=rVZsTJ{*gp9JKCY zWJoTf@f6biRRF2;WfrAF-4QMAv;dOOJ!%6c5!s-8;#ynyUQ~}3OJ_aH7$$_duvXB9 z_w=L}-&^}V0ZdFORQCHvc<{}B!^ ziGxodBf@O^Y`zidM-YbY#3NvRediJqe!u8=b)RX0k)TSB3tePVLuZ|rUC3zkuyd_e z;*9=id^hDhX?FzOcZ3fR@>ffD_xN=ja2j`Wmn?d44mhx&wtfc*>yEh?4(t_lp2yv` zNFZ_@go(nZ6O}T)9+znTP(U6YMYwg-U#Q@T9LKokPcGYLVqof;!MoEC;S4mZRpnxc zfF|cI@UHLTUWM-5d3z0l3J+rr_7uW_`X?GAIwWRtO;09vJHsA9nTwHEeu4Ovs&caJ zF}e}p4=nKz)6h>Ina($rRI~H_y?kW}zyHKtk->G_iG!99ns&2a=;FbeIX5vgv9&}O zeDA=Qf%A#dnEEvYN^Y~gHFlX?yVNVN8=(RnbH)$!Eq}U^bE;#XPL%C~@2sPPe`5XG zoE$%lMW|*k6e#*HxlbWx*bkvh#G+>sByTpnpKTDqmnTLN9!9I-Dnq3J$9~^f@m8I4 zDoTxa<`1V0Zhx%t#wcbWz*hD4lQ??;^xNf&GpM6-{;*f#vMNMm2{%meTO6OnQ8MGK z4Y6Uf(p`YW6RV!B*BgVDG3c58&wCT%f*~;U3O_t*y>m@W_wHKCh^@GcRwWS_2Qei>&)22OkzV6En~YayXB_yp`cAO{pb%BZI1Xbn_jsba z#T@J39Cxd)?&|M|M==5i$;f9T>nAY4mfv4Sl$N5q?U$4YFiP$KV$KN?0Kgq~mi`^6 z+ZHe4wJxKZ&pn!*GVO-BmRP_312&XzgXdTids%JTS0mQOS;Km#zn<0$kRkQG$?p^w z!JSuT0g*%-_~7R%q5#xM!O!^~`TeqM{cb7-7!=hDi6&%!L_`v91&>{W^j>J?e`)he6Zuw?l)!R#j|>_L%2C$*HVmUC%*!;`8t z1aSpD;y5yX+Jjf5bK?GY&IR(fiFh?@k7>N&e=H@7-m zD$hZTnYl@xfTWG!{V6fr1VWmTdlF4WnZi~@htxs`g9^i_(XucpkRgIFAE36f<5#Kx z)qFDH>pS`nSu;vbH5sq;yL@CKjG*Q`%FqVXL<6sFF_@0S>CU=2k2tg`59!V?jUTr> z3)xJ@OF~Xt*q!z{e)R$e!?wSkwu5{}7pvi1^Erqz3pLr-8Lor*Uf2e(#^DIM>{8Og za!3bY&IEu0-b*Q8CRx_N(J5=l8g@iO{wN+mq(8{$$~$d5J{+LD3LXW?FPjg*ysBYt z2%F4d}`7BMtK)V@a|;U9H`JNcocG~@GlSY5H-C!=E75`n`c2L zC#Cs`=da;yHUNksFEG9QCLp}i{eC_`BQ@jH*^2>uo*bbe=?!6&5=FYF%7tAHR(ZAZ zMd%yJ?--vfxs`gz4gk?P--F!Lj4i+a40rxbT__5DKCNBHob(|;XH`!qy({Ib{)^`gBYHKgzzvpaZj2wE7E63Wg(eEAyKT-&%4SFxVOt5S9f|~pMZV7cO z;_k%ez=Y5bVTJU`p_W>$c3XKowHoGn3R*Ebu!kYR@Ez5ol@VI4W;L>zAX!lF3)$g#tMx>j=i@Fu}& zUZ~@q(Dr>+|E8urdkWQVm|fuln`@XOrimMJ5vgEk#$6s{6Yh+;g*YB&c&qZL#<~)X zp{5gd&6jo?@2-E{e`!{Qv=>N5)wYa8aMK;T3)5Rtgj|o zy%s(gwwtb?WXJtr^732G;w2iO7(4sN@^|k_MpSA*11=w(N1q~W?Ck)?IZq|x9xLUd zY?tMcqx_>6LH-JLOmq1AC8C^X2^P_U%Pu_3A$M;S$s zrH%E=ScD#aaGh}bAV1^zJyO?bSrSRex5J-b7-TIOrCLbxYjf^#no~SQ)M;u?`nvNo zyrXn1eb&$=nyKQ>!=X+Jy*WOU|EJp+ofaT&beg`tfF{EnP&_ zbpmJ`fmTACo=fHURrW;%6hn{1cbmZ~5t6wY-g@09Vf+?sp|+7Tuu>k7F9EcF*>@x9Ah$@4qliI9xXYo{5#v!*@nQ}qY{|52%FWI1{5)lOOGb2M$U3R$*d zP%mXI7G8`#p*USqsI%5mC5!*k6vPrjL?y@O-gM@f^;4QIQ$A~eBJ-1IZE~h@hlNx~ zGtYoljdgEl|3>QvkIAZw*FrSr4yoq=Q0}lS+&b#lz9a38waA|+e@E&Xb zGlBTyE0FNnsq(yK=)>+$*uiU@<+yi@x+NICupyC6kMrWf z?WhV)B?yJeZ?ZEBE@_oV8Af+%eOthvb4VI_H9OhBIwX+c4FU}s#3(kDc#+1L)`-e} zO#iV1(0rZFqoOFuPKYZi7jx_|Z7`2j3p3N)FW)CpgiDZP^SfAJLMmsB% z<+q%aW{e7q)x?MM?psLIt~7=_6%(*2q;mGHyN&5QHdta;ay}X;7ksFx8-YR#e?*eh zml4Nkxv02ZG3RnBP+o;KQE_*q@g~iFRg3plH9!MGZ3@lKIP=~8_jbJv0%RLB(8+f9 zF&sIpxD1hrL+ZEP#gPmN0 z+=6`-fU{b=YjHoqdoY}3y&H60F5mSNPT}rt@-5;<{`fhE33y#Gt+>(d7Jxhtq!rpe zrt+0Tu=)HBgLw#5A*WQT>kwI8LLW|;2=6s>{rU=MVV$&E<&lPVZ7^2)Dt(0k2S?2I zeV*{2M{RvmLqU;^b`6RI#eab)!6W-3*xo}`PYCV%4ymRZm^@AAPng|JL9{Gnfz6q0 zVh%0nc8NwiZG04*HF`i%$NiXTurpttDM<)D=MSEgEFEpIGm05IIkO(O15iU$ZFv^$ zh-$oRF)4g5Euon0M%rOGpEDkIRgMiA%P?UEV4P0gPuU9KKL{_!isvK4gKgh)Z2h&I zM-#iM)`DGOCsc)Xf6A<&%mOC@Do{cV%dfnrL_|~91e*@5g$xotYLgttG+c%VJb=&> zbN~xN;ahQ)<|BTL2lb^aPm!V6PK{%xbK^qmBCwm*9as~+&^G6AZ_DWZx!tv`W69Uj z%oo)hWE4ms^rKG<@)Mh*sNIMTpwbO$8}-dD8*w$U)+VsFqPbcKNE7~Zbs(!q@$Er~ zuN=5fe7gL2j{R8cWidB8jGwt6{P*X(Cw~;wECa$Oynh~9{dT}?_Y*HlAGUOAa8)(c zaSU4u;NzcA0XEW7*CBys5gvSQH)Yo$WHx0tPm6F#W4#}aJ8>k|jkSeh!+z?8Y00|I zpK})-Mo>gA){kexU2>ekcvHf|N@1Ycy;ij|7C_DoNz%xxm``+nQe*y>upTP+Z@a33 z8;UXa4d1gG4&^_$yi^l120_;4>&yo*?-w6UCHZ}PttncB4i5&LNqLwg1UQ4&@K)SL zU-i;YJG#bFLKA&+wH&v+*# ztL9$}NtVl4zpX}I(xZYA6*iC{n$1VMu-RM``DEdu|H@hRL$X!ueeHsU1a{ zzroWcafbPoiDoObylk)nuyH^t+wOxdkW|}%b?yO50x>xGG5@gn$OdH}sW2E@#X`wP zNEA(=o9=nO#V%)K$)}>#i^l<@q%Po z8jz)+YS(5@VGOo&vbb>N6~P`a1Un4!*Ksk!c7=zgT9da#kY~Oc}Lje=DAUXQYqbS(p zSKn_sa>r6QduwD`9;zw`uDLhV16UqCB$G=SoZ?zI9AXyJ=8x4t*;=osF>kZ&zHx8- zNKMOXeinCK$r;hzsUE_I-VJ7dqsHJ#F8Qa&Wi%TSp$7trzdJ>=;*ag}kqB`wy2@Ad zc~5X~cs=_V=Jd~?-a$V6&H%P!0KwCJNT|3)T3{y`u#>{sHFnMVLEV=Nk=myCQ{4lj zM+f`hWubszUW<9O77~emP`#^wfccN7@KW64gdVoLlLp?QL_CVmp+R_MTGHb#*2tiE zN^&opYq^!&v+o**=i?`DP=Q%9MlGWts|QEca+KIEglQCH`+$G#K9F`9{i6%DbpU3- zgK6Nm4;m%x`#B_@dqh=9OLo7 ze9TLRDIumhP|cC`1-~VIlnyV~$4uA!FD2LP^VzfgX))^vK}jWdPam9r=;rnXu+vx?oPDhEXYVQPZD)Q_bBna5Tt7t_TU0mqZVSm-*4fAP64J zYL;{N1ri4x2Heju6Y?68pXwn0w(}I;+1HLbMrk}mBH|(;;Gl~o%@93GMewn5PxACi zoeKOq)}Y9I&qM6s@|$Vi899k2KSfk9(gK#60vTlLFhR=ivzvLx0tk!xK*T{cYOD|Mi9BHucUL?y08{ z&9rT86lg_xQ!5hP{`;$vag&V^TL z+vuf8I16N=>!;?0k>qgd6opF>K*i2r!`ZzeTZUW}rFX>N%xY+h?5cC{OL8G%WX2pF zo}Vjs1McYK+7f)16X!+_w~HU-qxb)Zb0BYv9 zbLTao-I<{02mZ?;AV}SIO9Q+I6RHbb4S*?jt!dT(Q1*;OBOjuJ)1}dB!Hd8rClKgv5Vh zg2U%djtu>hZ6BNsnTSNJNWtmwB*^yux}WI>1f)C8DR$KppTXv|TUt}^g;LBnRQT&* z50oS=F8kcZ|2tW{jx#QUM^(?CzFZ~{=_q}w-U5Grz|G5ce-jv?GIBzg_kYa+Y(EHn zbC~-Fme)3d7Xh}K_9FY18fGRaS`i9E(SCWC9%jU6i{8VgdHf7VJRmKRA@18FXc?}k z&TOM<=*gPe*`yc0-meR`{mbLjd(}VoW4j>qT3~I7s(tQaL>1?m9Sf;oCi@Z0YAhnk z8TPC#A_Nusk0~PN*x352Z{J)_V8vw4{$kBR)&1i|emuq)0i}uH0nNlZ5Xsl@#9C~d zF^2CDEg7}Ouj+yNXk0FJA@R|-#h*u6c#X!gPHXLx_7|tRQJs+3YBuw&kz@JJUJl@X z!71}2;9_Ue2*zw{M73xcvt`h3`cG!Rz}DIXW%Mv`EDOwhv~kK0sUFX1OVL>jy3HN+ zq7V@I+eL3@DAW^;1lo}5*m!ydP3g}Q1 zIxbA`Jbr*O5%tx&EW2STMA|p?2`K47PUHlNLS~H(!R2*=VQh}y3(I{-tHd><6-_hE z!lkOYkEGAWAc@mk&0jRV8S;nA9v@`JI0xJm^14W%T0XU>-91XEFZiV!(udlVA9kNM zKz6k#oSr-)Q!fX@09svejzI{0A>y?wxw4)K;gf)3Z&T@lU+q>L191ie4__Rj)pR*Q z14GV5iYV`?;Of~|D?BbuROAyXBM;8Pz>~SZCV%lz0&PyzY$e&siB8WITlf^dn=VdB98O?>C zMQU<=m-ovkc-PAQ<%2L9xGNpGofQ-oNx6QI?;VFDb**@_9JEs*yb&Mp2KF8rAQTPHT5wJTy|h5}mn|x|2#Of&qnVrDi)c^U81JINEG`Z;-PcU(8BK zn|-xNbJQiC-|R0r@ho(-O$d;l&LY|-=sM^9my*D_dzyGXajrS!Ka_74=6gZXTv?v! zj=Bk)(q;`Pg_p8!sLlUSavW`2QQs_HH9h?g<*UU65dNh0dqiIOe<%q78^E0Z`{4gg z>HjIs{|{zSV}~3f|L2!t3MH8O!^;tO4u`7{&$CzaWo({Ry3Aa3^E%u0P09Db-Wf(p z?f>@5JPm~&CO(9Hk6YC~C^Ej@ApEX~K{I$VL$FWB^s64b5Ca>T`la@VP$)i6;raAE zcJ9r**<4gdIV4o-;iE)yT<>fDtM{_%1_quDaux!LH}anes;lwGyWIEzm;cEC5zKEU z1l`DdGGKaBuAa$erZdmnahkhYpgxmlP^-EzEBX`UtGBA9-LqbtJQW2!c@$L;|O;U)vrFv zWXgpbgm%*7{yZt2(RUE;R#Ch31t-UenEll79eKPK?|Bw>z#7+1b7(ONcBMXq<1F_6B~H6&>XJbn@?ORRy2Sj`b}K l*SEFT6kO;e=b%O&>zF@@S9WN8a5$gu!M`~Mg#N3m{|}Qb_wWD! literal 16011 zcmeHu_g7O=t_o*aMR17HgR8*W-&&J)$-r9!u&3kJHUQu4X*Sv-{ zA9!DUwDf6ZLEvLW|ha^*4A|I#SM|D`?@djZr9{4Z(`vb%0RP-lMrCjAP{ zcdBpnG4dgu&9s8AZ?8r~E4OHJfl|elw+bda?GP@T>Rfbvx;|DPJ+G z1yjfzl1o18!^_QEz0b43KLVEC1r+HR!buKOCM5=C#pMS}3eWysg;Sqf*RkZzHi>UZ z^$DEq789n7tFd-0GoNir)&Np6f!rR>@>{)xla0QQSRD0_S1FO?jiZO^KW$h#elNNX z_@l?hcpVeZnj$m-YFmwrEe4sPvNeui!9&gp^QnEFqBtKE$lRDm9O$=d_%hMGTNJd= z*A$qQy5!3Gm!LMH<#AAx+VoM!Qpu2Kf$`G#m(KP^hrCUE%aR6n_ZPfssH6`=n#?Tr zIw(Qo?>ybJX(37GyBpGVsa4%o3K8Q@Lh_wp6?C?|;_4|2fIYC&p#i%_=lC;Cu}gWf zNq?lsH|*Qf?esgtb>sUYifkA0y)CAYI@8h^B%}{pFe4*Uq6lRk3@3;A#aL^mCu*JK zIW;El+?#zlY_M18m7ND-Nx8Z746W{tQG1Rcic>+HPQGHabRYjSx__2Yq=#eMAa~u zE#8I-0o#BHG^B>0su{m{^i!16&&rLw80)?I6PjQ;$yCSPAI((7kp^(j8j1K19N8M4 zkJhivek{p5{DvLdqDA+&Ug-C$p(^7QmD*6UDvCdxq$kcz`k?lO%A~i$Knp{bTTiyO zrB&oKhOKz$2r{yn86HArzx-}+RR-m2e_aOh9-zXwP9CekZSjq3w`}3`!=0{=ZEt8{ zJVkHl_|ur`n2cOYg>Du~uq)e0u;rT?S(x6FFE`!E1X-zRbBv+^TS1QdoJ8iCZ*)-NYE{5k${Z$)M zn2<^_H82(&#{S&o+2mfyH=Sg5BtMH&O<4(0nU`dfJbFam*ZD};S_}< zNY70(GwJ8^M5gS;cMsv#V8`0C_iF=F`6@etQcgyLOy9mv+>f{6vV6ZGvpoHE!cRlA z9yrOV6KcGB`?X&{Qxdk}9Y+dg+K0Wgj#?$(wbuC{MP&D8wy1^X@NAc`Kh{c5R8YL?CXnbk|I{{&a&TMZd3~d9ldl0uX~!Ad?Z%Y z4T%NbgXip2N`&ku=W)yuY3E&kd1c$a?iCDRLl@5lue zl_YJeT9NirzMIo={#)f~=^OK|Y3l)0Zjsb_pVPW@><2#d+=s<>eF=M8Qc}SXW{{O~ zukN3D#V7ic#4<5nCbR`&UvD!DN}U>pez&2L(L!i-_gH+5!yJvOeEjrQFo`~a2tB+d zE-K5Y7T$Po`@^XB@RRMThxr3#9ol{=iN{%sVjGhl+yYh>xzxMPw_GemR!n^7!dYyB zqh7N;Ik8ZqvmZ&18wqqyW_x5-6~O)}Yw$HdIHz;LUP?Vr)lMqL<<{N){Vtzxh7In^ zuhtKDLac>8w-mSnKMn6>XRfqqTE}S=yytgeuxs))SWkS|b^IJvUXGnP(87JlS~5%v zA>IT^e=f}O*ENrtjgs?i&DT~4+65%`T&9Fkm6X~n31`xAyWFeDjsD)GKa@H~@0FM2 zdg)B1;P1KdZT)xBxBL+@|nNq%SR)MZqULYt5IolX;%TYN_aD!C11 z4>prDzMskj2%p&fP&GiLp*H6TiP3|!YpNhnj9j3?=2bQKj;p@(!)SSAM8O+G}cTZ?4-|+Q_;Aou7qql!(r>CRIjQ zxv_ySrK#j21>IlHmIl5pxJ(~q^GpXr0GYpwsvJ8Zel zsvEctyjjwwqMPYdPUWDR$$n9$SMkQ0<7yO`*M-ZZW`#k2-Yu54@ZL?^mmkF60lxYF zOs^VAVf3>|->|3gh_Uw4HyvJ|xI)`3qH9e<2o2=m^?1vZ$`DLSe<+z$4`Pa!{f7c{ z)Sk4{w`zk#xc>aE9K9J{pp{`{itJL==}rIn&mOh-5dAPE@-rn`hNGUZNB)fm6pm6m ztE)EZF2;1s#VI`WIweN#-|d-wMS3ht_DYChwA^U6}wQak6(J19MLW! zCe8ZrDy+-VV0nA@&tsW{$2F_|IFkg|&9=$Xq9e*z8oQ0uwC=A(Ci!Q>CJR*(j2l4h z9Q>Ze>4Ny@<+7fF+it?A?(gSZ1f1>*p651*d(s%Ue9L`^`*Zi- zDej3cV57tfYMlm^2Pg>heBxRB+()Gn3u5Q@Z1xl!Uh#;&?HpJ-b9>4#;N=jxS5qPPXI2s0RKZB2ZZ zxsJbp#+XgrO@+s#Cw`vZrbE8eMj6?N5t61oiB@7}Unvk)+x%(yB2=FRkeq0Zdz00p zr}Is+WUZ(cWhUA6a+UHEyb05WoD5Asz>64izBjwZevkP*%_k!}5?Nu)=^h5~1K-ah z90i@z!W(}j7gs{`FwL60-5XxoaB$HoHgNPCFJ=+ zEr(9exBIDnn<__jLP?C_bw))8n{>MAZZjUJJ%e>%_iMu4)nbW*os#F@a#f48CElvQ zeBSV`G?>1JlGDv_S1WAW{Y!NZ*|_OqE?$qkG*8zTJ6rhWNtgY^{lt{h7h_WR2uRct ze01?a>xCin6VJ_L_gWE;ACF|~^77K(>AyL}|A;K-HRI4beW&X|ii~uAe4p)hKw)7X z%NGsn$n5)(m};25nYY8O%A9RI307`_|5W{`>yZ!{8L0AH_|Zk`kH|oyhG&J_ukyA( zu(B>%{9_JUcKsGly0E$Ey}cP1e|OxDSI_f#rtqLUluh^x2$mKXqmj-_Ygj_pq4Ifa z!p$}y<|U@+YVo^fr<%DB1u1%(pW=jQ_J7BErw{U^zV3Rv#Gzok?~n%3_e|o}O@8Lr z@k+h)S>uB@eujeTbie+DyD=KFVyC%oSI7SPx*Sui_eR5{m6RvEYyjkJ-em6w`|Dbqi`zwxPOx#U#g#K~IET3}qL zCdq^DZz&^lD;2H+#dO6m(|bh8pyx<(*7IY>d!X4UU4KQ@S-#T3bYVUmbjm z_0Px3LFcW%-N@&|vUmNmX()3k)?r8Y0+WLcuoY2Yt3)K8Dw@a{Nq!hlW^|NqjqKbm z-F`tjkF~dPkO?R-f0lwDx=mK#MIyOLZOo7w!et0zMYYXce!|B%H@6KC>~y3Iu!eOq zP~EzI>5$y!r)(MDP;0)J(3`($$Ia^|qy^>-Ybu$iqXLu`?yJ$^Y z(eKdlP=^+v<9gSLd}OvKy=rYRU{AD#ZDxMT1#K|1gV!C_F13~uQqc3oAX`bS-Lv#R zyTfR2l|IGwZ!8P1^(@zu+iYluBM65*MyGYvI;!#yV6igi*$}(`R+fJ;j^9g-b`w}nWa0LKV;LQZAnWW?GnzOE_)dHIC6-YT)OFW zw9V$!;`w+D*jbmDDBw>rvQ8HuaYjPZ+c4uiYw$2)4`3luGea{M#D$)dDz%K=beP>B zE6AM>`;00mF}cg%LNeGjdTMnal%9$R@zzI&BfPGi600L!d}zYj5_MAqFV)e*Q+#X#TJU|@T-jY&Dbh)KVn&c(hWfGn&dDW2ZUk4_|74{_) ztQ{TuX-e0~4Y|uxWc)Q&mQBPpjGe+D$ZEER2+Q5DG#FSfKOFociCHK+a*F1D-!iX9 za#$f3&5B`0p&7)@(8_Lb492X6!nj{H$Qtd9k+ED$H6X1Y@v=`!d#e-li$N(pP!Z(! zc;X!aopjI;yWs#dofabu-)PJ$lrK@rR&DC%gB9e+4;jR(wRvg~oR6epk8j`V({$S3 z-0lS&Y3}8$h_nz9u>$04fuel~Zu`e`*=c%s(py_7-d1E2p|NAyYdI8$rdJ?0QozyH z`lmgrlji$;%W(xn!q#UP0>$zc|^T#BDlXFCyj9rA9@hF z(~?)ONg2lp3fU4MN~^|&dU^8tM;LyiwZ>q9`iTcunTO7}t~4eWHu*Ga-y`=r@I zxW%E(-R-`zXIh5qJH4-Y46g`B+K&*Pd+AU?PS5Bz@jt5(oQTL_w+FC0{9bx96Xq;~ zK64M_{1uXXoP8Sa^G#Oazx(qi%|PCfMKZ|!yB|9(d+E5uYiBJLPhSxx2D3+@W}>D> zWC7Bwm)~dgdpZQMNa$+5Y<%-%H{v?U)H-^@UO+vA;7jP~@(2}@g?b5_i+bmKZNxbs z=^r>V!_!0_C?L!ktE`9t@j(<(pA*B76DPOv@Zhs+AH{i^rN?x$E2mNP**3EL7--+$ zAD*29dbHgx(RierL19WFqU_gL1dN_g$+&#o<66uz0B8Mqh3N`un*&D~On${cP;Lk4 zQ$n8}sZF;7t`crZ909K&lDblR?3{Gud%rXMSwXb7lEnP;2c7GfIT;5ws*2 zMnj0^z)b}~bFK#}tQ`IgK`5W~(TyP(t3@@II6mznCX{!`IL7vzm9IQ=`rF;>jSG85 z>;RQat|7Gt5oE~3#Alpj|kxCAY7`Q6`WstWI&{~fDDs9S+HH~^)VKREy^ zDUX+f3377&{f4m;B>9!b>muk6eldvaxHE?pC=*$?O1e_kvlO`3 zB_XH81c7$)DF;^mZXJZ}tYT0Q>t7e5Q1lpooc#f-)`u9*&Jgk&4aL4VjutMS0sB(< z@w~ECuR+UJY|4x(j%H)?FMyVR#eL+Nyoxgbg6j8#0<{SpfAONfrlt*qA6ard2n>uy zu#}A!?An`Tt0lD=mE0psN^DpMZy=tWvXWgW*=j1V9TFL`vV=AuWFJfC0z*%hL?`i$G=8%V^I3J_8Mo0QdtQBKmeW%S=K>e%+mGnXkGL z(Kz5a{Oc1c8=%M9t!8$~NK)|xwl(8aUA)zqaA zYmk+!a~eZPu}33i&qkbl@UOX@s-w9}s^6}!e5pgKezJ@gT(3-#%fkuo?wgRBFjfaB z{Xl#m*Z#m+NxR}(g?`CKPZjYtu!3oRPqM=*hxukj4*5Y1aaE58lB{0_370Jvfaq8?IeWkpY#Z+CRur$%F%l6@vr>FL-M+ogMkwN+Cfkc@XFy4lBf^4nU*7 z!>|eXx9I`Tl`!ym3#mttFFFmWar6nGKq`eUh_gA%@xqmjX^C5YU@C~M;HCe#V##+; z8^$YmaA1!N?Xh(1LwOfx=3_k}`DOQr?{;n_4qHagy(wc~llobP%AWE2SH*e72A)t8 z1G|g)ZUQ!<9`6B>hTA}pNAG<_OdELq&Q2S^YK?LzNBUpHTyDO{hV;5XE99GZhRm1X zDxMB5pmCv^#_fe^rzc$wL7-{1s{4699$(hTa#r`$)LQ(TzG2{c@8zekhYBkw5Epo{s8X`|+H_x6dT?8y#j|>(nfeM6k1V zI_!Kxy29PsRxe1hA>|yxohI7?9enI_p9)AtU^^Dl+R}|9S}`bBxhYY?Jm z<0QMo+4w14!D04m9<-qo=5VJWo@_kh+ONgjfBd{98`h^=mePhedeP5^6q3cyZ#0?? zK)-!gyLe%Q9E??hEY!XzdukZ3L^oOxGbzqnGdji|pn!0ns++YWTWp51?g5t>?>@eS6PyFMaYML^XH$e(1yuq3<6Fh#=dQhK)fqMB~zSTR;T%WY=U5i z_x$Vbtq}lXKYlgM6!jePw0`(MLtsS22X97o7NBGfO1Y~JI;;WAY4p-1*?o>e#yVdd z22~-t^-YDzad(`VH`Bi!=Z&6_yJ4R^`|nyx$N~IE}3o}_B|6gtDotR#q{Y1ET?&!d z1jG~bgK^WBV>A)I$;WQU9+QSkofb~=+_nu?{x%lv^4i>Cu7go)1AMLSDhpS9+cU|f z$)$fsT3fqg*OQMG(mj>>YFXFvfa#UPp3-Vf1Z}oaTb|(ID3*ZWJ$mm0U%ogs)}xoq zeu6ovtLvv9Rp z*Dhasl(Fw_9G;hjv`#yC_m9}kzAUlWW4}}aTEOjF8?rA$bxYaTXHs)eKgU#eYdK>N zxq5s>Nqg5?r|IS#47WXJ9!*D`T<+(x7uHdM7N~rWW#89efw4e9n1%nc!R6|xbRetm zVsOA6CY0LUiQVq5(PJmvdajv9l|>o*bpCa>tRH1;2*Cdlpi6A``TP1d8;$ z!}Hc_&wAKck!j{~(A^P>OAVmdv|C?@yG3WfCb-R2^u!BsBHdL>w<*J%(Ov^^ri1WY zg;9^&<^nM34<|}+tDcdFKcTJg$%)c{xgD&dr>`PNo(AJGW55&`MSn{EW)aq*J(pb~ zvlluxqCxq?lu0b)lOLlrA*G@#fTb+627MEE(yyquCzI|AA({U2EB(=Tu#c;VRtxn? zU01yr#NS>+7yx z+^BD{V5d#SJx#jM}9sHd~$yzK3(_)5YGWb(Dbi2HTSt&$;oKIMJ= zAd}Mxl9y!!VxJ0{@fAEzMe6lDky~+bJP*sv81sJk_|gb2wv?nmpNUJ3HT02kk4N3) zTJ~s>)jb|3RV%ul!fGqNdTrIedUa?=ZmfO|_q-?P^z6sKM#0bs@*V>jatmEYPe9xJ zr6a#@b|B{h!(pGu5H9Il2Qq}=)bq{5Q`qEfz&^-<67+*;r(k1OhT^U``Gkd4;s3Uc z?cUvPaa#cabGTu#IAl}tVlBC0`G(k&d}7!ktdD?J;P)blY}_3oRU1cGOulR+%l|r^ z*lz=z%3f=$W*yyy&><*m6tV+o)|mp7*P^#V?MioES)=9?Q}ksQw2qO0Cg&}JxQ_v&me&4v;9ttunTUdH(3H2BPvpjFJQLi!3(vG1 z%HIk@gJ8nryMo(2c(U4kUxl@8Vqt1FS-$ji!fjn?+w&$N%+0V5CXmBIe9wT3&nB0e zuYr8ff`36!PY(<94*tabHP1dMRh4H6uyNtF4a&4mSaR{2MqR?5jPJhPmf*&mT=4zb z)mA%{YONu=5;up8Sh4_BpUKLKKVe5Qvil(D_1JU1rLfkvgWmete+xv9=96Yd1XWzz z2fF=}n?CVNF4*z?HoXW7b`>D5H33?)T`*6quDB$>YzESC7uU$4?r6Vk2E*qAVwf+F zF7H$RvQ}PEy=*zyCN3N_CvST)p88T_$Tv-Yp~%7I`R5rHO^YBVJWp3ch3v<&iGy>B zKE;t`&PJC!k&c<8l%FS=4<;H1Di_pvoxFmbZ{?o^9_$WI%d7g%f*)7KW})66k4)Ul zO$5{LRk-GGZZ0p68+=7PG8XS`8K@w~S?M^zpt64M@>4jf1yKlX7DOhcRnBhPY~JK) z`?_hh3FG_Z8)Va~@+eP~1JL@YR1Yo?A)gqO>LU3Wf^&N5NB@BN*gy7*;zv|?*q;4Z zL%9I8J382B)MdFtW(mmLOkvkX$Jzx}RBcg6JwbaK#@5Rufb!R+NpZ45dXm)vJ{vS68XreEBG5S+D~+eF8(t|SW!p|MYt zJSR(%=bHIMI_#RX!($SnPeZ0-9`e2KRqt>_1EXpPwtg{r`L9p&{k@h4N12E(UL?Vd zd#$j2f_c#{z2UgvE*@_i_H9CbSoAa`g+fceoyj-gCnQ| zKjv)aXI_Wg$11o`O25vIMwH#im_-xzZ(JYTetU3kL@EzMQxeao%l+RO=G6XDCBG-#&e`VTWKkTa$j_3)(&NiLhYF zK`q6Tqr)xyWPSe`W527R10n#^R~X%vdQdd%bF8&(yQ5Nf49ZVrLZ#x%RN5Ri#&b>< zL~~BEe_^47-q%K$@@6rKAbJyS& z`QtcoEc*h#-{t1diqi?rQt;+NcG{M#f&VDlfGDz2A)K9s7mzuGP|FsA=4k$XHXiio zCteSg=o*`O767edhiZfpD%qR_AI1dshXQ_bOTZ{H#d!&n?rpS$K5jq&=u=&%0~s%f zJBV|Vam*#lGZ?EMdXpiPBmiM6D1s@f9h>63e?yPT!$Elt^4Ja*^O}I3uPAZ094$da zh}juuPu!mEieL!tp?QLhaFrNKS^cw*_BYsAMI9c=sne%J$&# zF^p}{=b5Vwi4O_n=I9@|WGn?!B~76$S0dI893a*8UJv(gtQ^aUZs8fSy$5j8Cz&kL zCQ&|+?Duz1?~Yg!VCUK!zBf1h5fvVAW2ICMm6qh{ESH8Ivd0iHsHOhn|lz(BG z&v5v453r=VF3mhCG;IN-08BEE|6yNZ@)$3Nt!PZO^Ve!Uc!Ae=V=J_G1_tg4WOTymtWQ7cduwc;4CPyLIJ!{%;idD$F#|InQ=g;)EXgjUfrlUSR=W}%^w{pK2ZG4XIkV0V z9LA)?k|pupLL!Tp_t|bi@i&2(FSxT{OLmeY!aKBD(#D$;|3zn%oXkveH|N4wQu~bB zKfDw8f;3@h(>7AI;R1OR&!t0>S)?7Xo)bT`G|L9@#z zKA)mI^HN8o?xcS3_gQ59$&QwR$XWc$KonAf+@Y=$Z%cvQ3#jR)C^uAJo_1TIC^}?Q z1~CgSzhaB|4ezd`&>Nj&Kv(N`z@$Gk*nO}lUl-;kJ8{(E+cV-XiQ!1`;X8>ZmVE>7 zcSq*WW3MXo!42apwus3}spMVy85FuVDrQ~m2IGr1V zyJ7#}?&E-fzDt^xZx4VY=%N*{?Dj?h+>~0`dND=kKp?Ey7ot^y=LIC&0glpFaNC#c z7j3z1&}07oQM5*%6<`UG6J1&~cp+Gski=?l5gK%$%evDT@UXG1R>SQeEud!>XSH|c zyY(VAP{)-R_%cEPM0v5ZqQH2zeQ9v{sAUTT#4QI)SG$cJv>aUiEHSN@+aHp+VWMP- z(jaVjey`_nrDW7-!K(fp@=P0JYV>kv{J7epf6`d^1#1j49X?tMGVbdx>tlCG6|%zds2nrvBQ*C$8&cPVdsJu(xCBM;%(Fp>6CJuWhQgq+HOF}1b?-afT z$jnbq2J8f7pX3qAS`Zg1L6{nzG%lPx0c53&bX+OZX$i|jNLID_J+iT#vRQ)u9=67p(e`YAGw?k?xgVH zU6(F79W_Eziv_GftH+3>(r!52o=N1^phpeokWA+6u~DDC=aYFF0t6b5(97G|L;2f% z;OvR=*9JF~-R9nmmKS!}?S*DsZHiZIspTB9h={??&K)7~6=Y06%%WDtrq>du*wVqA zxh2YV!JKQRHZ+jP+Jzd-?OV>`ChBe%U7jtiu7k)WVa{KVUyw)bsxqjt$E z1iwiC%^DZAd#*Ypf2M;sdwBT>}w-K9L~}wO1YaAcyAgC(??QpR7Bp?3B@5+s)-%5$bm@f#Rha zp!&M6KTo(Eg$AIhA0;wThhz+?Ht1QlCnk^u=|H&3@1qD~=>nRCpgrHvBaCijqvAvf zm#>dn0wY#aA9sNCLdaG(vJp%#Ni|t@NP5&aK)b~}7>o*p_F1gExZ(J(5^URBgG6e` zWsEw|NrDs=wtyxZVW)nVo$)B+%H`*4(2OpGKs%VY4Y)-(2Ar5vA2mlPNYA-xRm=* zj!7TnqxbLf^nQk8G zb^v0?qLH(8%l^nX3{roexC4U#M$XJ9k3l2*RLI>~STM@_s_fZCAoA3V$3iEILXk-g z2VdK1%!FOvm$5RG!et^6!P@D|&-|kLa`B2NKHJfuYDrzM@e@mBzX&Hqu6{lbB7w32 z@6(5SK#)*K^119cvsiRwrkVr&K6U+J$oG`%G{$hUsqeBo^Z5$ zx_oeYPcKNmT=?kt`;SZhxSY|rl@{~?4(%}%cBUN+jXp6N3L~Ua#?8m>X8MA@l6nTvFD@2u&|Obp6BB?IrW*MEYard zZ{n(>v0*RX1X^b{12PWOs&89B47_NM$gYxO#Pbqb_%18H%NI=nJMSccx)o1Vm-yrd zkA~)>oWSu#mmJa1EsyCBZtA`_QvF9>hZ(zS6V`nTsZ#T-@sbY0P zv`e$4+(*)CUL0o+WiXEVf(racP_(kkct2)`dzYaIXLeHd3A|#FzRBXefgT53%|1yz zI!N5AZeD|<6h4s;t*sZDkpbic%-+GqhA-!)=i~JzhLa2mI5ARDMK-bATWQ?0wbZ&v zu4s4j0_jrO`u;;YI?0XOo&hIE@5x_v1ez5Wytp zrh3M|3wi_a{b4u%{*!?-^Ra=yZK)K%=wdlRV&Iz}@u~*p}XOTaBrvWdssw z@W)%ApLFG~;={4j!&{52f9ceVoy$*9p7ILa;5u>6lq${i4-0V z#fQpg|N3<}+K#vqz(jvayy~&(a%?IyFy5c6KqZg9c}{)dOjSy;3Y$KEWrZgN{sKaa zRF3pd3l=|Iw5^>6{K{)A*6Q2zz&n93*$jb`jpsM{W@2|lgr z)`Q)jnt+tUwlkE0az*_GdC_YwzFC|!)#VM8Tesa0BMZU-?bv5?O7LbDJB*%u zFD1ff`fe9EbM3I6>(&ZB(~ce~4ZVJJ;T<{vL!F4quW!5@gM7oNtwGATy2MAH_AWB7 zM8vGx*S7{C}6Zr~DZ zvjNXL_cm(~8_Mkiy3o|uKB_^}QILe%%dcnE0GOd{I2N*F&@v@Xf)8gYOPHhxG+Y6( zby#j6K^cx<)Pb!uB<3*a{dVYe9K(H_r5s`X-!6?7q4(XdLw!a-X@|``1>)|}U~gfo zmn(KtoWGY+gxBx7f7)h!xbVP*0ICb(I1g3VhRA#Z-xEVz`@30Lu#V80t&T+$m?{nf zXh{Aqog!Bg*EjK%H-XDpm8V=}vm4}rl@S?Yl9D9`eZElU(2)6Ji2!!R}k{=CE98qcKSUVq5BNyyvrzEzT|o! zUH8-0=~VRL*N-Z0HnS#vt*>@n8m4`BOGEn_oGz|;fqHta^S)$brxgbn2N-LVFrl^t zr4PDQ5KQAA^p73zz^I#Ckx|lzH-9;g+YYyg9nz}{Qjd(_y5%WUuXWGtNec+2$i+9Rb=WbSIA@^iZ5@q zKCvj@4xBH9&ONg?aVk@$W24GYEn$_=>UkiS!9BZI*qm5M@&2~S^S>{s{Fvqc{eVlQ zOt=5yC|^J!JS;~&?mmf2-Usu5_4zM5p*AUdFD(|g)&1d?^MFGTBjr>2D^Y8U6!KPp zL66bb4;lJwveke4CG3VV@rUf*P$`BpsrZaW56_=!=6pPh9{$n7d;GD^b?EyD?be*V z2FH$k{h<4|>hBx)kQcW9$ zJY33X)P1YV&iR=ylw+3hPWOE8dR*Ku?^lAzI^P?nI|}sd0Xv9 zC%%<8N3evUZDoINXwY!tk4Z)Pf@Sjf18?QqWMo{o(3iz1W}i`6yo$*YeR;x=d)fnV zN$9;#cRrmV7fp=rQ=ch+8LE`g-xGW}yJZdMt)u3r`3@ZY%TmfW3zFA zA!=Fi1~>( zN!r^aJ-uk(zJ04i0Q>rP`TB``mFi~BE>;fqq%3SqKbTlZMck}xO-WhVSV@0yGPAHU zv;JUVBULeT23VPxkt!OQ*pRZ2s>qOPm>H9bn_9Vk^;9y2_+s~A{#%Y2 z{TL( zz>ZlUHOrs_WD@yb` zEPz!Dh-zvHKdERyNWT2Yc^4>eTIc4S^ln4$<|Ogx!8^E)5KYJ*Emy{ z%B!lUL9r2KPwBub5g*E^zDg1&P7pP}V(lG0--x}OY8lT2;T1eot3AbTu%=3!cCc#{ zgMVhVpNUOwQ~9Gp?(`2D9ci9n69N&?IN)%3cuB5Rp=ni!cQJKo+;yWR+oUu5 zmc{~cShsjM=0KBK^?5*I+m8(ib^2`^gTQnh z-Zabje#Qx%etOZ78u8&Ai*+uGbwx>{#qY85k|q3puh2z;sJXSGBMs%L>t*PTT(4YE z`7p0N<&AE*eA@qw|ExPzt3TzL!WL%2G-op8T#;VxsfJy`qweIziwTka0W>@D%sV&V z=jbmyD?0M^08kb{nZiG$#U}4TC)~HkD z3~BHLGt{(nVKL!Z z9AD_5i=HDa=N2G}0{iuOTzA)@0ARcMy#u`evV=h3(%C@=lk9Z8?T|~w*u3W*|M<*_ zwI3x*g-OIjyM~{{V(XQ=B+3pgrhr+ss=Z$6TZ*L+tq{F=aB35EoAf2gypo>`K}8r! z&z8FAh0MqRH*BH{gCoC${7dVY+!HGPxND=@pd+u?gI8b8rgcZ|9Rg5%!IyHD%q=aW zrq!5YWptm9K-xdOs<`|09Xr2?tjq50HuJ-fG+ zuYvqJLBtbsZ@d-urWA=Lfa1hv&aJ+of3Yj6t9D3j#lXxzRZ#Jz=Xx7L)BFEe&4L#} z#aSTm1I`Lhkiqd|DV7>4>ZkOGKMe}qMH*1_nvMjjzny{=?-hXsR96gPZ_NCPwtwcl(ZP0& zz1D{4kodMEX*wUSq+4{jlZGe=n^*WqM0ybcC-?bdN7$dRi{{6BTgHc`^si&xDVC-2 z=K`nlH|D7Ob+j`)It2$3FOs+*4QeF<@(!@?01+dd4t?;0?jjU@HZa5mEHWzn>)&u* zgXm9CNGxN(=!isWwNSbJz)t$q!1Nnj3N+I#F_LA}Wz95?*x`-R>=PPMeAb?LcGp7g zAO?>gci~gIfOOn&&K(He&Ns*@>Zia^{rtTJ+=M{((|pbdc2ngwpneQ8kjm>{P#}J( zpky-)6!9A-`4jQ%epdLlzf|5|Lq+B{RM%rj12KFz(xGsX5J3~fH*~Axe%z>J_A5C_ ze1NcEQ?itcw{S^9FB|h9oSyvI(?S}GQ24JalqcRrq) zA?pjK-~L&6*dXuslU$>d(~>Oie zOID%GaG)~dC;G;&DYdF%7LY8KO=chlz`HPj(?;E6#K)=kJfRNueUaU7e@l zgXVHwN{vNVM5R)iLU8!xyV}%F%GL+;nGA*E-sB@bXfutK)ujfeyO~(C(~qY=Q5(4y zJY&BX#eY=gR=R*xR+-$exB3ipL4{}Y1LnL2`!%xlK6S|TdR6G&*&xW#*CVx{>)(aN ztm#ZuFXWQ1f0=yn#bo|C7)_UpJ+$?;NeK)`t}S-CdzhWRe_WfA3Qmj-G;NUiy^N^>tEiu7*Kly~k-_}Gp6X};z7EHCa^yiZK< zd#Up9tp?n#_r4yz!Ko@`WWShxdIGhzYxNv7-JpNA7GyU0Qt+eJjGxZrf_SBB#`Qjy z$H23>=-%C5!PEItC-mit|&QRux` z0%=(Gm4f(r*y@wy@PVY{T|sbbpo3N-D)jOgSYN^F&`8?3{eksI{s@n&)O!_J;w zbMbARBz`dH9xeTDhhs0A@SX^elImr?B-5QoyJTLHc%PDgO-ISvdNRhg=}V}ewc`sb zv+Vj_-hec!`x-ZQA1OyB@QJhQ>0w-y?VE(2x$Ju2KE?TCNpK6#|GpFEd)dCiIqkbm z=bA%(y#Mn$|4B-=KNTgbQcL!xn&`A$vSNnNPD&)9fBDk1hiD|a^Gz!2cP`y$^R4a~ zr4qIKYLku6@;d?^>$F3>x<6-A-6tC|jQ7mHFlYkTaGYL!&+7Z4zu&4jo1K?*by{-l zi&|0Ee1sQ$WO6#<^$Kszm?{TXEHQ%z&N$t-qVIqfhYd7Q?-PjnXA4pps3 zXU|iTF?F6xwP5IizYR3+b+Zr}_mQ!-Nuzxw!Paztr*5ZwW~wkKe+B(D&!o})tUZ{1 zYAJsGohmM0N!{l-1I)Lmx5;|tSJF-YBRK40@=^&2dYtf5?mwBBqqz7ZzJ*`KyUmaCEzOzS zVu+_-^D|FPHhHa06S6J)5>pU={gJTUIXG@Zf^b&J z_~e$`>la0IZZ~>WOIAcAZeN(bcjsD+q`d~82DkN`+3&t@W!EvR1gD)N6DemO=WIlf z&OEk}bIsqrEx+}Sts}K6{#3i)_*!cw2jA-NdY7JJv*oV64-d7&2mOg=5oKA@SB*_`z+SUh-huVFtnP=G?=&THW zrfmZ#%)2Alh0GAsRs2NP4jqe}gC{w~(n~PoWV_J>d<{DN8~vv5I=khbc5#Rvia*~( zc3N~H2G>8k&DS8O-k&rUWE5XaAf`bD!pQG~x7p4Y8ZSiBD~}hgc|P(N`f=mW^2^29 zud#j<5eMseF%(Ae6WYV(Du>J1V}t8La$l+A-*e}S5>Gmul;_IqSB^89Cfdh$>@`BB z$M@f69@C^F2kQ#v342n@6l~1(JL<){=b{(#tZZ&lE4v?R;r}k5HVS=vedNE})(_hg z7%g=>UyT_U;$0%ZZz}M3w2OC2=qt@KcQH;wmY$m!%h%t^8q}nZmrw$p^K6y;N+dt0 z8)&*WC`cZ84hYI275xr|vNi7NJK2SV!4-lUfE?T#h`iaJ4MN04 z{6?GLHZS=+4*Zdur?bkJnf@G=jm6WYs+6Y55k=|c?$nH%iSX?a%P!wNBX5?#>9@-} zEt1}Ld6{Avq!hZm-|Xdf^cGu+AE21GN3ecLH}I~lVW7*kxWKVsQWZq zKEa9cx?%<-zNRy_*Ba6ww8N=0I8U|9W`nwPA?mo1_W_W&9aFB>{%85K3vB6{y}Couz`MIg?NZpx)EMnTk_>}l3~7WP1CtP<0q*perLr}lY^ zZhMHGz3Wpff2gzWss#5lyby%cP?Fub&M=qLhmfSuD4Xc;K^v3LQ}Sm0J%D5=uFKHB zItN z6(yj)fKys_W7`SD86>Xc*U2Nv`{J`a@L^lPuj9}dkE?R9^5P*!mUQdm*_11f-gE&c zL^r)y4@4J255ayw=&Sl_r#i<^wK&5lr!{F=sDJ`ojiD&IWTMg@`jEjpwo#>eDdpFhCc+$+F-WmE!&;*O)V^4LDW<`I-3Faz zac0Cm+06>jZFgp&Zky8iB8fdq=4Mm>(tK5-rOs{Y?<^J*hTs?DC394sZrHUiiXywk zwM)pc`g@5ytbrR_f&Ul>);P}bxnK`5W1De$G2Pjt@%%twA3PAM!JQ}3pPgT$E@ zVz$kxw9H=y5#UijKh1uHGq`wNv2iyY?S`vP+VAPcOQ6 zT3=If!4{X&)t+IQ$x@gS*d<|BkoRSybz!H9JMsSv6>E%B9xw4Vd4tA(Y%D#Sh$ZIU z=uL(j>2X|)zuN1&&IydNZaVKJ)?jzPUKUi=N*Bgd?J+T-uc?oH|Jq)qrxv5#_OxnA zzE`H2a9nK^+@#bD(bvUUj1Y9{KK*<~^h%N@s#CVbjwV?vTIN_rUvbvm zR8AIOg8fs{PR!@8$TarX$ng9aaf0Iwdh+Rh4nqf4SgSsdnfse}`1(o1u3Fg-JhwpBvxJ1{DgHR&VzxJi*7khg5H0 z4Ks_wcsG|9=Fw!LnmMQRo=Wl05rwwYSm7%3H2pl1IoP>#n*0GiV1R~h{b?ve44)}- zBL^j*x2QTZNvR@Ck}d~Dq|1tRXFsYs(@3cjVDR}%%iLb_y}@Kn>NOW?0cs}8I$|Di zmPMzLl;E@_zg|)Pn?i&<<7sHJUE=3ur;6#i;MfN}_cOhSh#Ox_R%9QaW=24Zh$bgdzMq{pN+|u^_dHEU3*J+HYToycD!_g)s2{OBoMMAj~gKL z00$a|Y7IgDYXHW9P&IEOOOK`fD@!s#1_A^MlQno2qZ=JuJZA0mnZ)H{swpPboSKn` z!B#IaCSYA;;3!oFbe0jmeVCO`3*0>u!%)cNeo*)k-x$8QV{K+OUyH+5YU&u~jdjH8 zJYf;Anqm)QZ!LUyc5!4)osLzRO=_ZB5nQ_Thxx}QYI{kyB$=gAkeq!EX6lt zdV^J;DEM=A#qodQk($Obvzc^qRGt)nB3EvBcAXd*XfW<2hRE~P=rm@i)pE3P1M$Nn zFe-@IGbW@GDXvL@MVK$YS|V_bGLx!Ac;KZ9T`VosOShfWsl-OmByWx>10PZOE4j_< z`rD&>+oO8zGddmm5LF(LI(cZ|(??2`C4glxMu^qvq zWdmy6-ksGAy+(OAEyr#jY{WOjT@T`QqE!Iqw0@iuyYca_NBg1Y^}_Bh2rv}_SzWzifz zJ3$#$k!UE6Jnq5wWeZj1Cp->gg|zzX3X^@t)58*cbAk$$_^B(rj2b~t!w$U*-v=$M zEBr?ZRRfh1aTgvw7Q%^Oh~@JvnMXjmp$f~hVuR;avpw&i7LYz_0_w~gUgcwrZ+w&{ zw%PJNtbMSnj0!c07;YykcSJ-!YsDp8bYtPJxHNXuJQ_6gzI>O-o4k(UpU3xL*M}su zM@sqv@Nk{r9)Qhpm+|59co)t0)SSo5k zVbrQTN84|2BOV#8s6}fmH^Ha4Lj#OBd4U+2lg3{r1o~VxA1s*(~n9k2BVRq5PR<&apTJ>a%{MZf&8go>OQPZHROF^xw zlm~Lzr(v;RtH{{dS20Z~jP^4wsW(YgNs`6Q|Ne-a7sGQgaUgFQWW?ZI!LUJ>L^C#) z&MhsJ#3s0nPFG+akp0FzkKYs6!?CRe41AgGeLe^gPbo1#qt1kS_+8Y`iU+Mh0|*m@F-;61=bn`g~^j#{qXZS3jQ)ud~X(dpa<)a(nmcG^PFUPLBrabE3_ zGy7E@ptZ^p@JzQ-87a*YnCu;4SO2hfIv|k$O=L*m`w1iLh*h8H5($qb)9_P5La*gM^@?wF~ z79&AMqt3w7Fmo2inj(v`qD;-q;Q?eP$p_w~Fq~p%CF-cn58r*KL*GqdKF2V%i-Qq7 zy?~0|Ie*(d-%LPaK~&1hL1_b#LS0r8R5z_jp@I`gH`Xw;+EIS6+}hVcBN~6qOigVP*3S*UCXjtr`e(<2%+Y2fx%RR0KBF))HX0}CaqK*0>F(O1Mhe-AK_y2;QZ zVS{PNXf^ek7FpeU++Mk_V`Q(62#n~JB17N0D{3+__YdRC%o;gJ8#)3lNJ*P!_9|Bw1NA}-Dz(BDKjy)U@(rMqd5vFKl_H6fz-|s#gocT zy|RKAHzJd@A_d;L6=shiXB9qR{TI8Fs2XCL3V-A<-ht=`0836xNY@#j>L;WsA^9)I z+x)AfQ{R1X<%>C;B!tj(*R7-=gZU)G7#CPjptXMoEPAoQFTVnGDUvzaG(R{0AUg%bPhx~pJl50z6 zAaXO;%+3Qe@A~G?@HK+tAKoUA#&?kT{DI+GUy%6)D_?*o@KyhU%r98msQ+CWhkOD~ zZ0`<+(%c^K|GZ7h#)WCSX>f>1{iyX0F2$)j`M8P3_>_r5YtFE}E?<1-=Q%$Br}lQa zb7sm!sJiqf;(gZVYLHK_ouGOccmR7^RotaGUbP607U=-hmO48}WvCOQYBnpDg*-Rs zQR0dO{MqEY&i7+@@q&U8uE_zkIiIBaRe@lHd$0ou>PdOEHd45liH20j98CW9O=9~4 zQgLW)9LHU^ymYpqJ`!E1PufWF#bWORAylFfA09@s8u{g(FPzioR>ds$EaRwAtasTH z>^xKkq7mCaI@_dY3J-eV?CEYSnl>i@_EtU5-z|=6+aq#@FG2yt?Ssfqh{K;```@a@q8KsA6iH`YA+R*?Ot1GK1*sEf7MZ0{` zS{ltbdpE(ihm_M%Jk8=~Dp3{->num%Nxs zifs4*%!Rn|@$^kArC3Fa8nY|8c$1-|q=U|gWyuRzrFvq(NcHzgki^-8%{vq5`>!%L8FCRdI4kFLF|1iq>3VHNBmbdHx;tx~l1X6jD+^s9K&zF?OjbF2#512xyu zaMDYxNV2#p&ySdt*BP>N!Nmur$#x;nd^N}kb@Ly0<&z&cuj8Ad9-kpy6$a*>#c60o zS;-wtu$b0T77V&a22_}8lOhNz9!*aOC8hqRjx8z5boi2S?t!8^@f@}kGp--xqwz?Z zuoOTTO*jhIMp;fn(~z6nzpe+*g)p8}$x;m_KG*^ku$7`^$ z6Y6NjA1t50hj9e<>(L}$oC=^YFvtO*oIK5q>InLKO}RRPM>j{2soF((GR~*Y4C@Tm z>kd#&^6LGq;!QM5Ep?&vmG{ZG#MTdixlgMI5Mg!Xn)*e<@h+Vhf;LxK1=dxIH4&$*?$D?(^XvHh%>0{>|`s-6(}f zLMm=iL^-#BFv;LRiZOa%{}HA&--Bze0#Ue8RyK@_3%cdJ|LMFfj5t9#?U(3KH(=N< zgFA@7yy*zBY_AWoycr2yv!RA)__`eiH4lBkY|INDo)y=#Q%vF5aso?e|KBQL?gT(p z<2x?*IE&7=w@Hx;3rUyM11dAzcVvz_=%>{c-xD-+cHo|g(aQZ{WA1s*s1*DApmq)0 z{cr32wsfvKrlrCd#%68`b%y|Le5XJelA})c1+&FvD!P2D_k?_S-puqyNjmaWNDs@+ z(#NCm3}ImEA)5gi{+@82uGRIiKnMgE2s=+(D1hWXe47QJehP#3T?W#gw&M={y8WOf zpfCa>WOgV)9kSK^*{{# zdiXi{^y8I29Qv0%y-8a!(629w+w zOe6;|aQ?BfvDj-$LPn?Jsg?L*3yg-8Gj90?w<$jNi@{bX{@wBc%2Z5IbRPT26L9bQTp4B{;ZME5>4PJC;sPJ^!T72pg2twBO?zX>rYmV|tULxJ;sjOUiY zgkj7W`Hmdd{4#abWM<{9Ai%S`l)V28GYrIt4l(&|9JQaY3u-fAOB`NfG#Oe0+4r@_ zvHrfxyI%iXxOXQqV?Q%lB5@I7jxdHUahoXog?!Ouj%=?1J$AHhL?AxF)axF(lU9H0 zT{2k>Z{ku<1>RYs`_0$!bA9(f__2@WB)n@&&M^H{m@DOviygqph!z%UZ{ZNf#$|<4 z8<+em3K~2Nz?TiIHpN&5r(?!a_M}xcv$X&x`SsIeOV2wfBA_;T{qwLMx#pl1!}m_v z9Ij0D%3&U?2vs1nYd8Ex2`{>|wE<$%>ne8g6y;MC+)pkQuD^e(mBZ1G~BhiT1&#}{54AaS@f^76nrQ2rA@G@7k5#O-t1EZJ#x*6wTn47 z;&sI4XbyQh6SUAzl3&{>d%iYOEG)X`Cr>cBM-BCM~E!>v$m>S0|dzze=A@rpW*%%-9Xh2bP__ zlgTKjjF_|5Fqbk4=ov)`R;Oi`ruoP*{`u6*3aNm`6K9+Bi>4#pDHdZd-LE^Gg!Sm8 z+lHf4D6X$kGTKsP8xvQjEGQp$ty5_lO+BV9J3cC_k=tX%R(tdTe%)FV&sd~{Ux)1*p1*^W7uqtd^Dv}wX&t%+CO%h(QJf!tNc1)vnUDGiB&(+enJT`^jt zh(MKZJ(fbITf!zj4dKX89rquF4f^x#UMLVqMu@6FmS|S>dSLd)C91Il@%gL&GCQRO z&&M-S5&r>WE!RAeU647mQ9UVNkYNeZXfHZ$Z3l*zp_9413M!+_{N0f1v893-pIFL7 zufG+BxTjvz0!EVLD~l9I<;q~DW!(H+l?*5hfEIUTK~^fxl^gYi3tl_^k|eHMo$Xdn z`9?xLWi7~S?jZpTr8ADk_yg;p7#JqLH3PCOfx2#5>5jGR8k*BV;-}$ZbO;6n>MSdNdqh%nk(*x$adg?DiesQ77cMXM$p62656pTS&41gF;_a0)ryD2ACWv86z?A!Azz?xd-D> zFlxSc0|h`mUC--EMtgH9prXitsR-C17YYpQ`-%#8Z4cMV*zKbOpv(g%5o6?_-Hp*g zqTf^2AY`D^M!)m_km|cNcNYDFE>XY_bbe8}zB2Ut>^gaRY%gJ+=GZn!$h8NL81bRn9&*yPToTxHF%6tU(HrVPJ~c+3 zh}AN9R?4sHHYQtv8k{ z$~^_%4U^#TPt7|w@oukLxK2VnQGAYJRCp|(Bxk*Ry@-7u9df$}D0oQnUw#aKNkr^| zeA6H2RVwwg;Hg?NUHuV8)lX)fuPs@B5__ugDbA_+ouEU#{@v@5{%*sU-?tgx@7~DS zC(l42>f!zJZ|`CSbEyx(LNy=}sY{-7+0rkx>$a29wCFwRkO9&O`WZ@cz;ipohZNkd z(L`15>omfO!E%{vZu1dUyu1pg8N&@2E{aLbEs-`y*euqM@A14F*ohhxK=6lE&CdZE z!B!wFmd3Y~XRq0~8Fdp?&gFNyF2M%)Ie~#Xe$WxA9L!3}&^r{yMwmY;{BD3Zo8aad z{MfKBaaz2MSDw#$;gu0-Jc|zjPQxu0?@f%-9QTP)K{+l*tj(1@x-UT5SbEU!U29&KP1=dWsQr>^tDql{ zp)@aI2oRW-8P%re@vvX8wKVe~qStS%tUS&igOg5o3)L(gs7Qfw^letITa=kkvX#2ItqME>;8 zA__6-@2U_!cv}-=DkL9cA$(d%;JM=7+T{qGR+UmnJ>NMkg z`X9S$Wr$V~*Ua`_)7Eb~!8E&W7#`l$4*_cr%QgRBFaF6rWOl=uqn}jg^83LFD8$*x z1LI!X-Rpr}xgv;HP%&Un#3eh? znoEf#r26)5v_@nkW||Ny4#I4{j+3NTM@VS z7)~$%JKFJHpzV0PF&YmB{8&0{}Vk7RgS$LPhf zxRjEwahh8bBM!;sU=K~Qnzwxs+Bg0$AlI&v zgi2LRPS%WrZvV8Gl+Ewr`42@jUq<_{MNFMY3+=olGgpe+n_1 z*rE@;(2gKfc&5mI4qo)@a>*zKkv?y0!M32Q`tiw)5%u{~2GHF1aOcBLgvpD)Asi@T z&;Na!%4A{-vNmpdN}q5&xG;qv1f!363w8VFcSZS;%CSKmEi&`}2? z{BuqqNwjl-0bGW746n}Tj&Q0+>ap0kSJXxO?k62um9)U`F0wt}*aPZ7sOsb(nYX!{ zWO^O@cC`%f!|fS~$SLckbKT1N`jY0&4Zb_fD` z%-KMV?qq)$qxp0`fmLEq>+oUfWj2KtEhI};=8QYJIz6jUmF?A!?Q}irMs8oI;O$NUulqpoE!0-TCucBaD|^YGnv$=@KX1 zv;K*7e(#JOl5^;+S8}+UUVJ5}zpwHt1a2-ZYzQm~X!KW`v4Qo&3gHMNx!kV|wzj39 zBuM);`c^H@8JuNhW}aO*e{XM~bRpyXx;o^pt z-Q{*k27u|D& zUxvxh*A`UN*>nkQFPQRjvo9_$>;&qc{m}=Nf8CjBBe@FPA@*FzS66el&H~OVB_1!%K$%&YC&$NCN)Gq4gbV)?t@ZToyW&0`rdD{A$uWLF zL_jh6xre!2EtPM)zvskSTci?plr&eDm{gT?7FO4@u+8a}1E^F;m%h~-pI`Z0a$5U) z`@1#OcbB(!7bX`6JZxsRN;sGlD;0T~Hwjv}+DYZLI{VvMl>!}QFHbXUE^THS1eR77 zbnNZ`t{jJ6W%t^Wjf?tuCk{1Jg!LC4U9Cm#(+>}KvtqKyf?N~~^BJJCvr-l2`8Gat zXLAh!zK7#|JF2N2El{S7t9tpn?|qeon|;$J%N*BIzE&5Dip~6KY_^4ouga?icf7NI zQkITYq3V{cL!9n?J)Rbt8ctLk|YO zv%a2lEdz9(b8=Fe{OUS=cR$xQFG^9ImsVnbyI-KOZ7b~UUD@64Ql)-&4$1*t{sT<7 zO*Oec049~X3i-BBekcryhPpOPHvneX7q;}P0r#AT=C2lBr}vo;+P+OJb4r(arH}5r zEV5KRf(f}#2|6#fm$sGV4#m;f7gg2huX{mB(iFFeM7FzJ0m@$1}zri&fu&8j{U1))wn^>ocX9x~0w9(-pt z^W>*(4JHm>$aQk7x&E#n(N{SeY_*(Qo;e(!m(6Kh%th@)c zF+jNbkDyLYm-GB$#jkSUFjHm|X91Fd+qp%SU0!+DLc%i^Fl?B_6Z`#@$Qm7HC%O)? zQ!8ySj21-xR&)nPOoL01fiPC9{33RO(FcCuL31Rcce$mrQXdEM)SYY__!}auUHFbz}B3)r{T_ z2bTjGjhMR+Waza8gYY!TKRDcgP;&ej<}yXl=aYgeYlJoXdSo%{hD@zu!cD;4c1+OJHh7+LYz321 zkkjk8U1zRq;)k-X0?w9oN~`UntuIri*~-gf7SVL;T6!~x+Ik{PmvAQ#j6wuYhb zg(1%L_OS`yv)Mro;(cwKv=cg)g(cg}@~(T;Mcdck2RaINTZnD!YbZ98M|F)ha_wV_ zZAc$41J|Fh$wq`Jt?T^E&t~@eg8o|{10IY3{Tp?k3YlU#8fa^j2>x`+l`e{>{@f-%mEv z;Cq^|OZOpYMx;RJOa^%SOmWhLciQ>trZwA>JSE%}D(t_ZJjjpV`h_{iQ1GOAIlIU; ztq(ge32nX#HCC?(R;In4XT?ox9KAu{kJh$Qa{-j7hB-R&(Z2*6YfEuZp>sjK`g#LS z8YX;V$Kov{4P10m>WMmCEoiFUQf5O4@%!RjT;=SyCdbTwi@0KKN!b7Kizy*sqg(=UgvJo z@rM<7{fU3XL5t6cPnJ@s>7(Twv_Gb^HOp% z`Jl)1@w?Vv`Pu*Sgrwe2@O??)+1}(;<^97K!G&I&@bat~4tEF5sa7}9BJaFqPw=wAe( za0SD!kSF=ls1YkKyj1ei<%P4^2sSw!CNf3Ws;LEKtWil+P`)ca+2K|K#rWoCH)7@}-2 zmB7U8Iqr30$|o0+P@Q#3s0UUlBeD@~_Rbloy+fn4t5jYNvhK9^Z7vlfzrMLRCZmfn zhD)`H;4A}fN;iP;K}2E%bCwR&t1S}V1VDggLo+(aHugcd0Li)m1=UWfurtrHA0Ol^ z#wS_$4g;K8u)L&P<&1qGE{L^kaK`}g$38F>Y<#sPSh@o(yQx-lI944Z0MxMn2;jv( zp#Aq%ID8OQ!G>_*dz$6rqNNb|YA5?@d?Y|2_5q_{L$vTc#gf{pArB37fe$(o<5Mbp z53+Qhv+Vx9s>iWf9q}L%3rMhJp0yko z8iW=s4airm@IeS-e4>TExt7d8OPGiUpC4!&KW zCHpk>S%e4cA9QCMr8q}rIcd{h+}fZ4kNlEQklt7ot#=)f zQ+&%a0Mb2}sCUXi^2zKf!de`9+O=}z%4TdDLZ{S>lKr4kgx>2(r`-uP9Lpl!5ED)6 z)6_Kn_*T=NY2Qy;Qy(>28uoDk?i?8|Xh8~g7we>q80C)v{*W;p8Z|04Lq#|#KANj6 z9h_29ff%{T-rf2TaDMB50;L~X;4d4eEt^TxvR}j$P>y}ee7c!@-M{Xaqv3cS4frRv z93oC2-hi{idhz6yxJvS0;qcB;bk;HKIlftL*JCBF`22IS-yVKV{k5!(kMaE=yG{L? z`VoGM`DOSKevA3nDF0~iTg>l;eoeP2<1A9rMP|#36~1O=tWD3YMBn;llnCJv8*sf{ ziJsfO0;9pN$3@;+_~7QaZAzj_ zT17%iYe-0G1qmsYpO6gVQ)4Bl)LscGHCaMRt%k=fgT3NbHM*D=^*f*f!F>XMqyJnPW;drQjjk1>*k=auX&n z98?A(C_aDz0!jjj328H8LfVd)kTxVHq%DaFX;WfC+LoA*_D4)edqWUZbH@Zt)1lp( zrbD|mO^0@Cnhx#OG#%QlX*#q!tLehvz+^ky5PE=6WddAjVQ6^QGe`*DzBpHBf{-#XFqzE{YWZO;KR%qrUzp_K;0tLMDvXRzjBg(v zV-Ad9xY$y?o;VqPK0vhUO#AfqK?Wwos)^WPB6gaHT_$3;iP&Qz_L_)&CSt!rM8nad z19dDLVkF_AaAHRs0iNH#Z+dp|;KFkKz?l>E#`J;Z`RR6`Fs^XO9)Q~1-1O4HnKNl( z&6E*+cJV;{Op5E6gwg%ryAOS8)3ZwpGY1m4M~7JaURe zkw#0#7^jJ1jMG9f#_1=r=}wg;#Hq1_I2D!1=+2a@sLLh1D3OeTUOpTw{mR>2{hl(aSl>C8(fI><+VPkiEKsWc3EQb8nDUdxEUm6Qq4l zknMYdwC@S>oSvY5>SY$H!5P7n{Ft}vGf z8fYC>)l#HSrE4TCtmEgL zqZe_$BZf>(iR)!1tMML!K$FuF3#z3^|3{1%7uT+ODe_|^z@y22g1{&PJEz7?B0#&$ zFXq_eKF%5^jK3WvRvFhRJS;(0%0 zpC7X1hb$As-JmP{LZ0pwaR<$uXpQda{Np0z~q`e#k=zLVp#jjEBWC z9P4ABAJR_{x1*ow7xHL=+?{mYIXT=mFxfUSeD2QCfr+*e>r(g_Vz_t8uw!EU+=+qh z+egOEZKInx!bFCz#}XIrD^E@hj13J;3>hGT^teFWS%mYr-vB{y#D2%X}Lt1=f3`#Xxj#WL8%zujjE+n?W`hU#Wj)Xj`4d0nL4HV^A9AuE@?byY zA%4h1{g6}qkcasp5BEb(^+O&(5c+f6vOLEx_r^(B3?uY&v1N+SIA4fh?n`RdAT3*7kOrMnB{xg3zC%lYi?M@;idyUISg(4Nr{OFZq8@I85}__O|WY_@8l6J{$f( zC|Y~$3--+fpg)Jsf23lMQ{Cbfa)c0Ay3V1H)iBK+B@9{)3_@C>rAV6)+?GJxR>D!$ zm0oL&w+fVWYr@fA?va9`|83&Rv^$^*;zFv({K}pj z>tZ+U!~H@Y;fFlZ5BalLmGtxsSs)Xh9wR!frhSGN@qE7`@AE@G;D>zB54qM4IqZjg zh#>CK@t=MnANE78^Fu!3hx`{o+}eKBFXZC{!39uqn=j)gO6C)OS)cVozCsWjLtmPo zo4)kmj3Pgi{3^jP)7B0yFS>BQ^w)S_TG4JxqN*LIcb}4}M9JJQ1ayA_x$XS`LZEeH z<89}Rj1DUmWRedg7%A?Wr%&Wz`Mec4tpLg{%3kP zqMM}RI^*1lK6+A`N}*Jg<}M?drvCn5D(HX(k_xGuKqj~|<@q@)4ZSI)IFr1J#NCT1 zI|c^NZ=)NW^w1a2f9cN8@IYnWd!3q)Ly^Ss+m=BWP~ z`w!wsk}+IHRFABu5d;sX@Z|p7(ebnCbjalJ)D%4rw07Q$2#(G>dth>yB4ce*>Wn9id2nqZjceFXGK!#9IjAvDsliV$LQAOw~=xdrHlw24gWD_AACAf|z}SMGcz~ zN`uagRdMy&h*?U+wNxtSnGq?Vay}tUJ+G)TY8IkYv)wOb%mPtV8TUhW_#qegA(I4g z_s^7H$Sw;+G0TPKdKA+>-i%O;a*-GD1dAeyklit4bf7_k{6H5a<(@nXvsiy>B_6A_+{X?cfr)Ygyj7WbJCp1y? zJrE;0lOG0Ub)}mnUc|Dw7Ddp(7?J+;ODPn|r+N{W69Ox{RnaSA5!K|kYI-GC#v;1( z0?z+gEW&;l^=V$jGt7vH>!AOyZbqbl%8R^+7kd#eF(Z_|e<>k++nARHU|w#nNonyb z2;o^0U+ER{D!-8bYk?@HeZ9AyH&}!ymV1i@qBQxP7KkF{8Vf|x_FaC+yJLuBQ~Y6q zxL4NE2W5cA7%Js22dOs=l`)&-;FFll+en1dIS1Lk&Xc3~Q@*{%y zn*B4sn4jCkDEj__Fm8Qsut`xA{=Hd>QV(9^;+bJ?ORI-L6{VWn6T&1!f$Z=@F7QL% z?uWdKAZ~5n?HBSMKjgiB$omL_+w>QX3{7pDwqC})-!JI{1o1iE2LmwI24D{R)%j3> znExb~^(R z$g})Hp67?W!Vh_!AMz%GSZ??zv(TG^P;UuBy)_8+wjk8ogHZ1XLcPGb%R{h<5HVtMw*ny>cO_!=+b zZ85@rOZ)9H!hT-ky@a4S!G3Xe9ZmbVsW3K7KXx3p|90ws2qUHz5Tvo| z8Tj}8kRN#K`Jor_BQN5|Uc^tlh@W~9Kl37f?nV5C_|;W$WX+r< zwb$K~=lCmnEJ0vndK^A++VI%m_>gw@l$%cRghgDGV$QNaQiS+-aULK2q-A5Obmuj> z#*a}QiYApjf^^G-5|J^-y0O?ZNv$^ebhP(YJK8&|oqatW{XM;>SG#+9``i1wdpfKA zbkK;E|7SXS{;l}QTO?ITYh zw(*H+@eBc+2(|b;6Ad8k;#u=FK^muf~_GxiD;NRo6 zCb!g|NTuH)6h-0}oj?waObpX&9>&Lj#>8VjF%4@2PR9Xl#$E|Lwa5ae0p#`kMR@hEY5l44UvcVH4Rz=@27Co?QV05Rj zA$)7+7|qc`Dvr~PpA5v`EtbDyd}3;P=Z>NA3q_aSoydYTI6gLpp58SwW&HJSZjhlh zu0aMxwGjgr`-lMpg5}AVEEL}(B*(r8Vr7em>DbituxO?jR@(B+&-38-lo4?|L?Opgs;I8CQECgZVzu{t%ebC{xBz-8m$*^eKY-Z4O@8i#2>pbFfV z8ylb6MyJqn95LZd7U}0^gzEQP8g^%npv@mg8f?bcmMTCNVuSNpr~< zj%rT9cBt_p`672pWkTNAm`dS^^oDS}eSG@B0?sKWp^Z|0Bm5(ok|)RJ8)ck89Oi4* z2*Rbw>^nww43CbCiTMQUnsMq8cQpncFPB2G48p|5We`Tgl)-0Thli#IFvfFtB?XC$WO=X7A)_C=ds`mj9qQ<{E05%&r%+iWKMClA!)x z(Xz02G&RFQt^6=nloiQiJFC?cbzr-p;T==koX%zUgV!n+4wsdgZIj-bX)!2z($Gu#Jk(=uQ8*&e!A&-Ur^mcHyR#?PW`t<5umXK4xeojTP*& zgS7+82bcEj*)SRGS8qCc^w2#iMw<4B5sqMR8v6xK<645#xChK>T$>|J6Wk%J&Pda# zjzhSe#A!U(;54p+IF0+goW`99r2j~~r^IQ>M|%4IP}x`hc=Qm;R`P?k^M~bfUXQuF zNUY01UghU15hOk10f+ei@R`pG??)qI`|we^BUL*At2UFGSxP%cP$-MwrJ>pm~+z z2y-*XbTj7=6_sI)EI@N#Z7$+$Rn9e#k3!2 zijV92P^<^-6IznP@_rr5Wc&YEG-Mj)Odub4+bY_-yG?wzRWqpA*S$&!zPt?i22_!ZFqrdNzUeCAY3z zMrCv%R9I%KI+gq@YxDTpaK-x({>Xg=KjO3=$Jd&L>&Ml+F3Xfx^}vO@31gzs{4B7< zAFcHV$5&9NNiU{7{}}4G*7hjtYu*RXKXUZY&9tVHyHuWX`rg+gj+k)S{rC1}2%lNH zfW$E4TJwf<03;oisuEA}n zRK}aH{~mlkC7kr~51)}?IqSu{KAIr_p3(Hi=l?)7GO6~CPrc8|Bd+}D2cCnPlUho9 zl=e{Eq4bYR<@@n;gJD9;l^C{_3f_kxLc}r3u$+l+Nu;z0f=`wm;fF?svIoR)$Phu{ zJYI8J4{bdgiDStf3v%Uc3@naR_}C|`!nkq8mT zsV>PwI71mOrbLK1UMW6ui9>mrxBwI(;yA6(Wiy~eh&WF9{QXB*17EYcNPC)P$~KtW z?rMXp?XLcFwV^dWa~oXsx!T}rd)a^F(+2-6`_E;U%|8Cn_S5O>!*U*le~3?89CQAc zp7PIV<+O?jIm{oA^Mr=mmRyGTK^nd&|1UIoMfqE;|5IB1vEL-^)$?)N#XsFeI=+n? z(MlQN)-CjpZ{bFC+pTFDWbdG_FKPPGqxA@7{PE>KdyM(%_HD*reERC;pWop8pFGC; z>*HIuuP-0_nfM1*7%N-J_b?~WN*}3drXj|o0kup`uO<$<;yB!m0AiW z1%kwqphyT3jESHSHAaGomL^DIRFp&sCMb{)BR*m@{2)<-4^(2}4^uRH&Y8Khoo#7L znB?Bsx#zp*p7*(Hv%A;`#@OaxwtWUKRs$oGO8S~GePQMdKb_@TqiWc`>v1pV(qi@2 zjxFogbv)XdSl{t@6K+*B0oTCaqt!Ib8 zbV-b9^I%L>%djm|nBL&xE{$=nzbL*jXNjAw1XJh__oxufNfqwnQyF_2B~ z83IG}ktLE-fWWhbnG&X|3m1v>)DrJA#)=$%PK1GY-BmNf;4#i4*kPc)bn*9b z@B&Rj**l&29nwm21bk;KioHAiKoyDeiHk zvb`{du>{OV7d2p@u?aA6gJq-F{MACmRdNm3c{pQdH_Q_7i_L<8K+NdAR>wW8WIO|5 zPQb6%_f((9O(igBQ%1Ly3bMldpT0VWq_U6{cI!Lzll3Q#z3U3rLOW-t6<5|>;lj>?%wn< zydr=0su8%%Dq)Oa-7vq}we;uF@RphcGQdKhcOwb9V&mk0a7BhkN?;EAIaBwPa&q;Y zzYm>4s&uuR$EXN{86#C0PdKYB-_QUyk}fta$0!0$WEo)Oq_3XLjbv;F{6^at!bl3I z2s(jy{?#?|Fgjy^bRr2>Kv+UFoZR0IuRnMO0Qz?5I=Jzhwx1zWeFi^A5H&LlYclt>yl?Qt8 zOlArAF{AGI8Qdo=!fg^ckj51bb``>ddBG3pjW4>X0E=6qO8IWTyex#OA}Vw+>$J z!4;`y+!QORXqGfRN9?8NVi@X^$bp`zRD-U4xZ{&U@I4a->Zv(aE)bb2Tu@<@Ddg?N zPo1s7u#mc;F$xX|q0Our+3(v-a!d)?MskFx5^_dG<+qRZHdcQ|MrQPkViN&d-c|lA z`dk+A;w{QTil34_J=lntJipM-K;Y2Xm2juvB*&$#8%7~o8led7XKe0e5J1mJ(s13S z_uoa?WmPNeArQK45n1@*vUgf=X)+A7Fx6Mt3xg7m0Xd(pHQ#Ton~7yf$_^ zgVvy%nmp^y2!ql{Ov=h7p`nF*?uam$-_mM2Tn9qHya;d%xJZ?f7UWros3OFb6V*MK ztukF~?m*@8grg)WO*(qMKwY9*8E&3Vp6)icp&tt8L#Orhv>tYUbi{C$fLS^5-3RcB z4~ZmsidY}tt6snrY41SM5UU8%x3&C{PQ=J~qTq7{chro(N)(D$8FAFt zR(*~hl&a80Ei9qPWh{Fe=C+FNU5JoA8dIFwkfYH?^QH;%bJ>zNe~oIew*J+~+|QsJ@$p^4W+Rq9R#s!L+UG(X8*(Ud6s1<=XbRXaP|kUQozaZk2@<9wCFo$6*!Xo<;>+22S0Ue3jWbmLmA zifLiWGm5pi>2qdNwX0jOZwy{XWcN0puUY9r@h5kvIv^OsKS8r-*WM@EOE8`W-sLJ; zve&s^53a@ivc2?ohGI~5cJ8m4htOC}RV~xwx*4W2lFh;WP}G=i+z-~!{BYCf%pt{J z_-OYVYrv#vBg55vPpt9$s7VCP6*Xty0LUiw&o6l;Dgn5q2(8>og<@LkA7oKXYDDSr N!iXvSKL7v#|Nlsvjq3ma literal 28377 zcmY(q1z1~8&^KDFIF#bFxLa^71d2Pwy|lPf+})iPcXxMpcXxM!OM(Y3|M$J$bHAHB zzs&5MIh&b1yEAi6Hdr4%e5gQ$dH-~He~Y|Rbz^%6b6XoSHVyzQfQ?MV$=u3_jGcp> zjFp>(jh%&^m5qZ;#n|52+|Zcp$8SSRGBz?588QuH12SZ8^n}90l(vsl9+}zw! z`+a^w{ao8^VbN3B$@|OD-CbfRhE&M=3o#c;Q_)^7s0XyO;Zm?%A;#<=+zWTi8x*Y* zdVhcHSr?zKYWjO;6eB?>yFktR(^Wtk9q|x!M6)aV)}16?{2aq^Jt+F=?B(QU{qMlQ z;OG>+PV6Zv>TQTRQ8T;&=nA(^ELBgCy(sPwlUVC#oSYU5N;fgNfw?v01}?(Vv%~Rt z`a4oj1GOo`gA(%*@ji>hBtQKGA(dcNhGT}g2qCdJ!%^h?w}lJcHtZSR4*^yONFNw1 zKCshB4ARgf`{sP-z>X8&oK=il$oGJziKQx2I#EMEHZok|6+XA?G9m>o56tbh7iLW8VXFG+;Q#F{d_) zsGr1ALquElVduz@yn-G(@@w0?!a!KBVyJ1y9vP%~; zGQ-e^hG3DAdrLE2 z3vk<-VgLU1$#t|9GrQ9sZyM;w+D%p>kLmz(`)pNO!O}}r zYhk~M4UIF^pY>~}*K7s6aTFzL9C9VT8Fb3lU#d#3Sxtuv>a`a$)J6MNM2~(vzk_+u zZZmF_C0P}YEO*`;7ZAN^sYvyl(5)+X&Pbcd*$umGo3NrydsBUCtoqrM>Lu!An@Afd zqy#E2V)oHbMJE+COyO<2;t$>foS78VZ9cixot(_dE_D#wX#jCIHt-q)tgSlhh)09i z=WSfp=rs|m6R_&fl@;RQ4tk*SxD2SOc*RUVw6bf3jr~Sp6A=DCLHCG-pXBCEv5rMk zUlASN;iOPCzmMBqc?GlViP%OjpQ=^uvYmowag?g=auQ+||L4<2dh#gpt@hpM`kPLm|!f`NDF}mr>Ak zg{Fk(xA{Ug=58tjtl;`rJVMAE)TP6)8P@~)LN=wNzC;KJ8Q4R7}}T=Iaz zxRCTf!Pq&7G6hSbZ?-UXPewEf86>prJC@j{aXPghG`Gi&|m!B5 p#zo?& zXy){Nk)s4(HtMXMmug{h3&vW5H~y~m zeaY)@B07RlHO}V;s@-8Y?=W7kCJ3D;_Vpa6z!5rsQ2N*DSxUby3UA60zbT4~G5pst zg5xOOGe3^#WluuIqrkm>o2a2tQ?FmPiG+DEm;rYmw5s`5;diePCnJF9<&JkFzrBvj zuR`OR4VGcR=;o6YV-&%3stVU$4pcuCugkod^hFF=JzXWw)0k+fPobQY4G#YXXNvHF zyJ#I!U$r$E2xobX1X=$)Sbj|Zmx-P3^IX{rYcM|C={@m}T=68bDi$tAxPtN-(3ou` zgq%wU#3;@NW=Fw;FU7wQSYNIyoXK9@)FwsQT4llSK={`{=N-14e*=m2oECHXJ6r5%L=)# zIw)+op&7rDj(*=&u7{_UYCA`40!nm_wonc+#!|^8s=S_~>p9cVN2q~D9a?@4_v#D* zXPNt}=Jh!VjxX=gJ=r@;pDXn4Czi4Xcmh$SyReiVRYr@EJs~ z{rS8URG+$bXa|~DC5iJ_zujzo@%C{}I^93y@H#)f-dh@RlbQk8JMR+g1!Xf>3%-n( zQ>Nw?Iaq5^nzs^g*!rwSTeiH)bxMY%DQiiZq_#H}K^d9-n~g}Ehx;9`-25@w*!cFD zf-?Q14#SlJv?CtzliwCUPfpd-+I`*Q97xz)6F6*Kn-@e{jHo9&!uIzSK>e+gPpaZg zuJN&6xv|U>c_|6}Jwdc>KTg;d`gPCI3pX&Co8c|iyI_{NGh>fuj=n}_>BX>YO>(j5 z0rxcxa(Z@vQbP^ZF~2m+GJ$$YL~#ttd{K zm3;)NS1q1wx!>ZSLiO2vnolqqEDwfLACU^eIc2h0MMD_RD^50LgjIlqh>CwN;q&!Q z8T98`r{Q=K&7bxVEp0r2gK>-X0Dn8El^$J|x^qe0yYah>q#Gd&X(^szLx393!ptdr z(v$>ewz-c3A^{sFG1tfR8!MA870En;iQ&PHxPf|=xJf=P>xqYqS@8x_EZ56&CpV}4 z7qbU>1x|n66(ip~HYHv;;T4|R;AlJkEvvp_7QO7~;~5Jl20_af=X zU5$7@UDk?#+{{_*e3q009ZbPGySCyn_x+{v@v?@_UzBIF<(a1wvsplS7F&rW%DJJINnjk;6S|}-e;b( zy@?EY^E!8yCWH!&H)+v^>B3jyvPecm6Kt>}H`#xzUORvqZr z_Kn6R9_s=*8xLm1o8oW{$3R&n0E6Jymf42H!UlZiDT0)+^tC1>{3#CAk~FI&Ji#SV z{L#UbESB@+5L-d8Zp_O%djl|VGjBRzhr|6}sBIfK{RsciYOU+VHAWJ3tbf&+3Fvw%hKlf*(?NyXWg;jG(UwtFEwVk=E8m&qoRsy`S0Z6%QtKRq@un%4G86UwwV~!i?a<-e%NKK!F z%8fUe9+0%}8$r=t1s^l3(BIvgC0DEsx>u^~Ej9%nnHr_1zx0vmVIt(*Z&Zm{iR0F< zPE3iUGUnv!LI&m~p5(8A2Ybx2%+=mQyn3>t@pJU8l}{B-FM*IE7f+qit#$0=aiEO1 znP-9jNzVCQ!Tp%^L7u=;!)vtkV~ap!tu?4Vf8?g+oZEXv?d1A6{&D0 z(e`o6KCvy@rZvhNzge$x$CbWvJ3TAEN@5BAc^0BMWzqPkKq+ucJ(qf^lQveh@>t1j zE0|`#=&$6>EH2Tc>t6Y>X>wV3k(<+Hc}v*3<%vz8JiE& z>UixjB|#!u^_*f&dhV6njlUmXAW4iJN=@%BK*V#%Zff_79_hVev@wIUI_FIY1zGt9UUQ7MqlBtS5Q-!Q{h&r%I?tEqGQB|4w&m;C7 zIy1VGLXjCjG1xi*Y*_v#y?Unx&B(VFDml?_+={Dzox96A?ThRzGt$2G@>#``J(Sd) z4dIDox!!jfk5dPwkTUjp7jC3w$S%(L_mmY3A2-TQx!vET`24UYq;{Cshmic59BRt& zIg&BGzC01^P7gwXT)JXLB^y1XbeR6Qe!fRcH+#0ee+0Q-PVs)fgekpCvg}BFwT|dS zB+vsI#^Vqvsn3<&fB5|! ztlW}0dR!z^L@hrmaJYYazV_*aZ)`dp@OuA2#Ur6n*1>bty&F~9A1~5B2s zCPk3|Z`t|41ze%OcbQ@bL)#FI^s^typjU%@9SDzMT@J|(l>{f!0Z^nu-)_!O; z{aF#04+8u6YDrXdeXxxdN~iI>-V(e^)Zgtao0f+X*yCnUu2JfNpkH_Q1}DS*VxLV`|f7QLW8RZA9wLF^iQ71bQ|N(z<;v zy`)VuySsW&9$pdwW3EYik%)TOycnftqP8VdhNAG>|RNn__b#0u0aw|(sV{(b= zNI?<3+>_5qr>-QYuAPYl-lMZzLQ{{|i!xP9%=}&6-%Dk>Dv&UZUg*wTyEhK}5`#8dSpc(O+2;^+$kLbq5 zW@pwz>H9f9<+k)Eo-Nh3Y$0F1mp^&4_YH!f1|;@EqX3@H;r4+iwWeaMA!y48Z8f-# ztuD!J3e<35r1Su}xf=E1~BXpMz zRw{>|tuxz6S#AbZfXwwp0P#&*@V-~gaBWF@(AmAKk&ybZvyjKg0ych!-~rz3qEaW_ z^EUzGq4je0Iv@*v`;v$2J<`wn=Gy3k&wN#7FZt@<((FeL$WL{b4^l|le)))09A-)i zZ1p`m_MA4-ZHKNFX$-MDsT3FjQs-u-H&PSlEn;eK!`ROB1)&A$c_S-$X@-m|jHZ|X-`^ZG zWp#4+Q|t=V1`5G)*i6;I9_9?;^;&(C$ad1`uBZRdYo3bF>; zrL}pD5w@*`{QX%A^mkJ85-p}VDOlBE(WQQ#8B}#OYJ*m;>SU#8_vd#6bRMt3(YTg% zKpIlhMb$k{6GEi7K06H0AI<9hWh~)*+6_&e%fNRBs>3|0G#Z*V%}HkpOFJOuX}!{S zx9>{a((BE%FU6}mQxYoPR7lL@_hjB6C3lOAW#-n+3mwpRz1mWfDfKnyh9)3n z_HJ1f$udVlPDV>$^fbygp znts-4o)KuCSA@)j9yE(n94O$wthrXOhCII`)^W+Olgfm8dTH8~7bwC9QFVFU4PMAY3V1gArD8<`E{i3&5hMQ1zf>3`+SUOcOlnWI( zw^i>-JpK1*vsJ_(ap5-OS~17qzshvBM8~7ZntWN)Na_uK!^l6@9Z_Ygbmm_MG-f%& ztMZL;^ridgI+5BmJL-+fG#(SI9>S}P)-*h+(}Xt7NC=lJn!I!OMgFzrqDDsdbFArw zqs9d*Wf&qlRxVuW$?0QK3ctjW@$&%&V zL z6MU+P*b1nm+UC0IqXFAXuZcE|)Sj41^#v<^kc7b-?Fwg1P~=LLh4NcQqv$?+`s1y_ z&rvVZneR3i4?Wj=l67*H$U}S@jx3seBkYAKbuYK@Q~CLa?C95jRSS@eb9Y;n@#m8; z593A77c8oB`zlnWSgiys{yx!)Nv56-t5W?C2|;RY%?pVx*Meuko~{5;(}?_gQL@8B zW679&4s0)Zq@sT4H!)YGpiWFy6-Wx2pt7alWc!BU{%O~V5lwh5Y9L))xNO19bw;)5 zGfj3O`3_PUBD#saveB3q(Tp)UNW%_`?Yl~RrHBU3C&`29^m28MPLIsm&mr#0dD~F< zDR#VE&#O-Rt4;f>O#7<~`>RU(D+SuG4Fg;u(Z<0xsGjQMhER+B8XMwm(n#F<%_#BK ziO?DU89ROseg#X3Hb`exVUzZ^i|9G(z9I9tosFk#rD|f_PD{Gce}vxER3uUJ8z7ZKv=WT7JQ6GTKUaT!BjGci6wf<{cLAFnNc; zJAS@H8+To=?AUaf5oU-l8Gm-~9Pl5#a&1F(ZQno;*t~$fX#AFFLlQ@S!ETS`B~Ml=-Ws`S_fde@CWcDbD3$J6&g4yK571#BSSIgv*kJlBc5EwGAm_ z_$4#T=J~bwwb#Kw+nT7wYt^g>~wjLb0g#EMDG55uxdlfm3tQVv2Q| zxp<<<8|?by^yDYgc5|vM^^lq#N_1Hp&Q}dL`ei*2Ax;kmf%E8wk0ka4RPX4% zUL>ntBQkNq=ABIWZ3;W&=719IaD?;qXv$!s=X6?V;p9ul;!6(Wq3%LOOhs;pj%Icj zNBJ63s1`cpxUSh^TL2rX+eSX1?7ua4x+(y$#a6k-yVVynV1{` z$5P4o+n)7YLPznGFIV74i%6OjFkN1#jnP?d&4_(%9tsL}^mydYAL_2Ed4U5p$mHsm zVDl_Yh0o%?$i^O_?7vN}i(ko|5{gAka`X7H>&LGT+{(A)A5cb#YlF9l1E%J~EJn?x z^UD8)MO)mb9<~{9DyoIm8WhT?O2ViC1ZwL!fw)IUKZ3Xm%f-qB=QP86Oe`etHS4G7 zw9%E_lz^~Q(7M<^@m?qclr4ei_Yi_SvnhKt9BBOV=2y7EfUF@&E>=e+f-#Kgxs~cp z`qaDX!R+5*2cVi10;ce$_UCC4%8zJuGl`i5bm-xjJSWJo{Yq1&|9Gar zFyJdMT|iKcUxEYINS0vGJ(;JBw)_WY%=ZW(NiOMEGRda=8WkmBbqoyLYvnOhvZ0H4 zbeR3udfI8dXv>B#3Jm_PLh+4ZU3T^y;K3!^$Gf zGfTAkomv;qznEI%pL#_^t%617Di?r1*2r7Dtr4+43l??d){;fFuu*?nH<$=)wDl8u zPq6*DB&B&07|a0TRtsl7V%YASqYoJHlQ5VO>O^IdiHkT9Qd8caquEieTi&EDlJ1d>}s}`R8cr9OJFD*7}?Ao(#L@ zi;dm=u^)ERE{XI3iThe?l<8V?NOMo1$FXtyB3JXDkYR~zhh94ymb)51CGO~1Vz2&R zH~pNVi=(qJn;GA_rCnW1uCWYrv?!)|dD_q&u{n4g#BfH8=&iYZ6ob)Yf#^#v*?YY+ zd~Bx}a2q0C710(HQ&eMHHH~mC-VLkNVsI_4v5FopkN*16PumH85+3w-BMLR}a>|?v z24glf!Zs^Gl?D_}GV(quD1WXrckE)a{=hNj4+;7zUo}hqKq0yRB-67;B1+%<{C!?t zyUyQb>?zDMx`?fxP{lBmqiFDx>5nM#$$TQwbS18jOd}1pDYs=^&^2dQ)<0b$wpaX=g1qH;@X?*;m|sCr_Hiwxjn^O;OOSj}qcAiDTtn+|$Pxg3)Gi z^@Y!vE+CMAm+I^9HY9|b!o-RPAqRtT`yh)S$sUjoBT@l3kzEw2YaB=!tPv!pPQ-J_ zB{vc645zzb-iSe9WrL_?-7R8bL}3Eth1~SxJTq1Q)O*%N9cuHEOaxW*Y7trK?Y^zh z1LuiFU8pnhe58I5#c${z-~dj!tAu|39Ss~#vLBG5FhRcm4f0g@RI|57gRDsyce8hh zLYx7#y@dZcKG5G-O6BBpFR(vLJ`4i>eT86DPQh*0%LijC@ z3~~CCuMU~anyt_V8l486#bYh6H%<}oYa0rl-0ndwQaJ}{AvCsUaQqnG&9pIii*ijAZLRh zC}(5nn!Fx-&)UMc(zowShZ(o#CMb7d6EP9RamW{5Iv~3Z9GO&4K%7YYr4am zv8k4?UrYU8+UCrhyYVZ{tf@&$tgVN8#^$mq)H%R9ccWs4VimnHEc#Sg`N$yva{Xny z@AhwIs{fuR3&N0_3QF{C%zK*Kqvx%}P^oTH2jhy&*t8j14;}OFv8`GQT9@bw=yfou zW5xgNwsX(_)kNezgi>IROsCA5w^0kBFmPS46`>SteE0bbHcdymBLi=F_oby9?`Q>~ zk(ZREx}`%8ct$p+(u_}R;ZJWfPkFl1OJFLGYWV(GC(;hDfpu_YzW2DX^nwjf!lf(9 zV%rIOhE)UZRvhk39l2upLmR3MOxuJdOdI12taDp9!kNuFYtql|K4Uq_ZPz(0-c3jN zXdYs}J^BA>E?e`@O~N}XrquY3I1jE;W+I6(5&+wKoj4a^7FPe{6W$8g zEsozd%jSOJn%Zk*R?lw%Z!0M>%EZ&|g|R3OV(Vu{4(X9>eAL7%f*ibP)6di|7y|fT z0`E{JD@jr!{PGTLU*IIoqPu1GKm>Q62hAc8z3lU&4!S9}a*DXrt0~!O5bHBq#!M(7 zSjT-eX09M(gE@S0aO@Sr8obwlRb;D((Y!2M@|sa0c3pfj+O}5`@kRajZ*<6h2@1qq zU;1KhGNaa1+=KxnIi_T1UsHa#^TL!ZS=>9L6!gP~a#DSr!POIt^?|21-hC8T8mYgM z!5C4oOGFme7_npu->fhfubD^AsSFXq3{SKIrhT^?fj4Lt;fX~>uB`PR#wE$#$Vf*& zzmTvtyMM42Z7cf7UGK5@TeOXq^N<6jPQ zpx)m_GWAE#o>lR-orLNYFC&9%Iw7vWWHbtityE+PH5&c)buiAAt0;?)B!1`p1d^o5QvE-~8b%^tt%dp8WLa4$$UE8hK3$Nu7Gc-A6suc*8Z*gdrK$eE-x zLDexd2>9kx6Tp5e#?{b5<&Gp_8rD5WotV0H#DcGxxn8e&^-Ukef|HrsBJSK`Zr9Ew zn*=>I&8k2;F*hj9fq1iE1Lm+jcwZl$_(yPR}Sf=9H4dQv|Nl40XEgV;0~qr~5<6RLXyiYdA9kp2 z0vrpQ*5~^L?f7DfeZK_Zof#4>(k?sO%}X{PFGx9=7ax+pb3c_Hl~#o%o@d1v?6Bg0 z)-@(PxgBf%8GB^vG)0`H=dGKSG!k=q!tSYynY6P(oCfqU@Z=)J_1*_(SpXT%40--> zcSPPQzh_{1J5*-VT>DGQb=~3p@Kad1%4V(D5!@x>WL>)q{}EhM$G9=;M~lO_M?M1q zl)O47ju^kRq*UWyms+oV?qbuTz4+yy(ev$YKcgjRhKzlz3b{p$-K>c#Y?5K$QB8NS zlf%g`?+U^QN3e649*ie&iPijRV2?t%RLmN$GtvK(%M@NdY0|l=Jk!`MoUa*$x`+-PBqH9 zV`A5@54N>*!*`UN7@B1$G^GpP`?zwx@WuZVcDV1sQZ4#c(f}NQm1d%U*WABf^$rbT zfI1Gh%6M-TdQhQ8A5Ur2*sSb|+A@tDlr?W7qZ&v68WmQ6tI z4V?$Q3u7v8Lmy`smnT${S2M;`qUX%?`hXR(ZOQO}l;A+hv)s&*jTC0&;NH8E4L$9D zrzxv~#ne4HB^yJbSIbf! zd9u|u2{g87aA9O-9g2CBdp3S#5s@O*q+~Nf9>RLc=VMy}jQP+LbF^xoPdTiDTxjF1 zDadXl2UgDLGN5^2mLKefGL}#}s6#xG9(DgnuMF<2@PHO`eEkGzfsdpDVe5|+e%#?S z?Zxe_{r=_q!*p3s!l55-a~CswrZ$_f%Wis3vQeX1LR%x z$3%ETMC9e`=jiG`R;o%Y_065KHz5kw)(>>hc9rJxwf5ITd74c7FpbRqaA$~;`@HQT z*;D3tbBn8q;(h*)E|8IDLlIVDQl~{-m#tzRF{!D~IlbrldFo$$nI}@7ac2`bhfDypiGrkAo^~l9y*gy zn%-fYL3P908q<4m)^TJ~v9W23b^dWptW76Ry#9+7_W1?ze}}wY10mrjd0?x~d7=yL z8T}%xPF1gX;FrW-vG3B=EZc~eK#D?)C-lamy*ZDdciV*2emQt}K$M<_G1U^?mo%$H zQS;iiBv=McX^u+(jJL*4ux*S)bCjaBYbi{>?zi6yC{r}NY9A7_tc^V*>9U08>`nBe>bCD6e-VK@4pVbGrsT4nT)>IFAsG^ z+rTY8Xob5wrZaU>xEaWEfp8P?KP@HM$TnP zXqwjClh>KWOiOJT*|V5E;(Qg*o)dN6tcbKRV4E_pk`(#jfqUKK<(=)UWp|br#gKIh z?!)t9D0@MUPaL8=B6jO`?4Q6}6X{1S?iVA;bF}NRf5#L#0iwNFiGk=bk1^%>9tbX> zMS3YRfhyzf0<>m!Y+Xxo7GT!iA=KR3B_a)27C2DAz$P&lSfDl8{(73EEQ3lgt4x&y zBmTh12A-p6H+iyXD{4ilt&iEUAOs#?YlORJN!lzg%bf&MqnZ6C!6eM--p!qydU2x! zujb;X&Juo9Hdfgml;}BLgrSmj{|)}r1KFO7nsHd?m_)p44}nJC4a!agfrtF|%ibj8 zq+c+Y;(m5h@?6UYBp;n-BBRShC5F@}^7btL&e$yso4E+S{;&)p*zPCWF%LVY;Crur zE|TSNu5HGauWj1at~%3xr@cD(LG)GLTDs%>C^jpEp1eth#4r{1G=(!@*KH?Y*DKH> zwS3!jLNO#SKSR~nnh#!PdsZao-5Z2isuF}r`P%;+m(r~ekez^w%5|Zr)MY1F%L@|o zTjD{JkVnz6G9@#Ds!qq^&QE277RU)2vc>J%G6xHq32Yf*p1d%WhO=jN@ZZIR*J@ss z7BNyPy~!Qle>Kotd;qc3Q%paz=DLU==yD433byOSf{tDtg@Hl)Bj7mTh+=77wWcv5 zh;MBXL%--o@O)?}rgOWp0e)f$*O!k`R_;$x{fu>Btz0C}TA&1HCQYl2*(+d!EMPX; zK86f_8gp~K_uQH2GZ+Uz2;g5~X#t*_Ykr#4_|n)H8E5>1Gv}2yrw&QeihM|PEPT3 zKwLmh)Yk?hEa=EKcJiAhRr-h1E@3zQ)&GLw*L+eZ@|d#5FhZng*ZcCxdz6i|R>)r% zzuvhr97OE^&~dmvK^QN@9+z+JJn78+*R2nLGof z8hbY5IH{(JhMgHb)G`kT14nHVHj2MVr9uL>ihvJSVW6iQ4vI&IwIU z3f=mru+?-EpX& zd6>tid9f>S&S2Z53j_&Mc9Seimi!q%@?(SFT}5l-38SLkH%lyYHyS1MV4r}f>HE>4 zG*rz@L8w0P*dc{I3}7l3g0`6lMzF(%7qSjSL-Rc7gC>=E1b+M;lClpkMZJ1~freUR z=eK@h=IkEeH+hl~oa3i&#Y%Zi$ppb0qoC#t{-ae6XJ0rFV@gd5?OpUo#CI%?eE}kO z>;HxsP!UQ}X17(6R%P~;)XQ$XOp*CK3l8#HA2ha(=F}j+L?jMZ$aScumf0bpd8C(C z`5ENT#@X{_dz4Jm@CSV{-A^RxPI*>uE!}<5rP+^sBxX^<<+}=;jIc!5EWfF?C_|MI z2}|Yl73mqW++=p%BNRQ7SQ)iY9@2fm2&d9n0s>P=ASLh6&*D*r9=ON%I$`^)rMnmJ zC?TYWy>!{PgdwLHB`jQt%BQCgfg%eQD415{aFI^~8n zVmoT(;`V$8m1s8z_CM5muEa=0{)zTiz;kMHYA=o{YXtTOrVJpoX|N&8A$hmPlcl^z z;&h#^2hv$gW@RZu$SuC=Zk{dmKUNAtiWI8$+OyDF*j&gfo zi`D!KX2ZU^Hjj|Vy3*WUORTWGvzg3@yLwx}KSvAtY4=#tH;>GfTPw~_;p@NQ*X@RW zvXhX=zh_1MH61)UZ-WSZe2stpW{7f?l~D8Day$IBl$$^b-7hiW8cf%nuqeJJ@j`-z zD*SV=+IMpNu4rPcZ=AK@d-Toh2ImKimT5?YP$4N=BGSlr|Kdj-oA{pMFtT5;>917h z9h&(9@gLU(DI?k!FL3V7?y9J?U0E|?IwK-!B+v=2N9MnUufPrdONuRNo>TOtO=aRv zTz9>T6$n*!9sKK=r9AH?ZNu8DUGJ}?8zeSB_pj@r6fmKu?1Cb8`-fTV2yhW1uTjk(CB2-zo!l;lcMS}K$p3qA9*7exOm|MN_cQL3fecVi2%R4&HiTb7^(Ksn zV{zwelFVgLA2@pj*FW=Gna9!A$F5hU(d{+*Ojl(IOIZH-<3YbCR>hYFdoJQG@p|?1 zEAM}BI@2SB)mLV994{Xom&2z*Z~ZD!?Uoa!vh4_~AA+7auN0K#9zSuluuOAtkFMNs zm~WAvp*ya}TsiS~B~31)W2R)~_w~0`>dP-v_Ui->(V&)1NlN3jrzdJKb)n3@l|6CM-X`XLGkUW-ask;X`LRSX*fH6D|Dh8 zEpdIx1YdEyv@g4SHdmysc2##vq0q z5or#yK0+*I6n=^eNlI_NamzFPBB6tLv{Jxp4^DqPzZ|wd=glxH9T5c7+0; zK3}J0AfVr~*3-1lJXHfk5MO>s^;f;@@Me8K;LXy>{cY8LG9A8(eT{yDj8rZ%1B*%o zQf$o5aDLyq?^u6R2B~vpY>e88>M7R;F^tfT!s=dTE#Y)yd@2mEiZDd)m2laa5*8t< zCi%QO;N9@)<1Rr!L$<2tIYm^ZA^5>Vc67*#`v{kk)XlE@VXQrSWw$w|Va7>dkvVnG!#&{_Cbx!(Fa>YApo*a+)FeZU3|?B0gbB#;c*X?6gG>TBmn6 zW34u@W(hNHy~=0@Eoflp(eycA`TBU=1sL_AG#^D)5ein$F_1(L|4ewSTu3OpDq3Sym zouqKn#al*k!=?x2X4x>{tOD<1o^STK-?VyxyuRWoegPex>`GlZK*WQdOig}1IO>Sn zvtkpknb`KPT z=DI3U)m1N9NT`oeeY}I-mUGygXV_vS?^l4H$}Gut$%s;axG;{FCH-y$#l5PC5x{}^8O?7P4Zi?XJl4Z{xC6}UqAnl$hbKh4_w!I zbEeU+=*X6}5)8D5P6gg$zZW4<&G9Jf8{uab8O(_mpQt}=CFO75HMcsL#~u}XuRZ4z zSq`VWy8(@k)4w#fpuH~iP`Tcj5h6Nzzs)R4$_K}p+cySjkqsS$&)1GJed&@%Y-eziA0U7(d3+ z5hgbt{|O@_#B%Z{fA#wD@rB012NGj1_m-n(O}E->v_sc<@86_~`YzFkr%mx0u3^I| ziaw^%lH0hL5~B%&#^K8+kPKz%WNWjnaIztx@F0$4ZJ+CqTVrlslP835O}zbluOa_L z!d!cUMzAbyz5S6f+*cS5xkm(a6(QF_jpEQ#J2F!YD~9sNt0g`&bipt-7S{&{;{4L4 z{;R;hejDk`lk>yTxgWy>BBrR1$-j^Jw6~0K_;uW!5nT#Ymj}>K((4W2)Pvvm9}vdD zX|Y@a>!(?e0vy~h zHW=`D;@Tux?8->wA7S&)GCF+;XB{YHz5!cgmvES7QTjB2OQICztn31FAem!!?EL({ z{#4RgM0I;C^wT@nh{awrkG#Qkc_(bdB$nx~my#p?h>6}v1xTWOze?Zu z-*y{l{SZi#L*i~EEhfv)o1qFb$JLlJkBh>+%QQX!-%a2RaQq0K-W-z)F0DO;$hQ{^rM6Y8b0!|Q4G-G=X zZoe+H|bOrGu@UV)P z>D9GRA37j$xxU+L&{0)XRu_^Fi5)|mxW|OBaJPOD>=>2KCibs=y8`Ux2U z2uAfh=4A4#i7L7r{ZO>^x&INMTU}RHR@L>R?-6aE=U+SF`27S6H<6Ql_Q1uHuFsLK zqOJavfsdDsO=puwB|eWti!a(A{B~h|GmClXs0+Z^3Ej~Na*saF0(RyphJx?VnC|Yt z7!VAI%iVS#VataVS8drm44-XtXD91|PUr3aC!Q@~(rSN3J&mq~x!&&1#m;&^)#_lq ztFOCn5uV!ByU;h+;9Nw%Ko`geURu9w>Cjw#sjH{cFTa0rzOQSbv)((0IbhM*5W27oZzQ53sblc4qC%H{a^*0lF+b0J}GR80*Yh$L)4n-FtAL ze_?K}ySuMWwKdSw*S}aN)p~mygWW@&J@X5sL@uBQ5L2i0D_q{j-{|Ta8tPf>>ggf} z?;jZK?_TKd>>lh{oSW;bclD^T80JL48EuDW0Dhwmbq;mS_YC#+4R!ZW7tlE{x3D;{ zIJekE{mgu0LAf?MR|J+mcg^AY>gwX6UwUu%Lg(OOcW=)^Pwzn2;y`!r;zEB{qu$+B z?`+HsEka*CuymgB_@(#F5A+XEM@tUAFefauI6pYp-=JEk_jS(|%ns@7*{MgX@1l8| zXIKBiVoz7s&|sr?v1?(z(LFb~ut>f9;#_^P-dI$g%|*fZrq{1<9^AXw`o_We?#_kI zg}KG<`JwrN&Y`)5MrUVtU*8aodEI>t<<=}5&V$ZZn|DhyVW78V&{LmZobMT!>+f%n z`}EBX4$-*OPc3GMhNnJz=VE5yEkS2zeWaG?&@CXneXfw=v|=e?!K%Z zV1ERdC+DF;I#O)vQ#Uo#+34yWSX`JNn(J>g<~r+*`Ng^J#?V}2s0RzFxd8h>y7D2v z^!b7Ldhg&|FAdziU4vbnosFTvp1B^HTyzf&b*s6LmPLYce3^2gFYbVx;3o}Qnka3; z33T34X?WgDPm@syM;Y#On>%fDl>LjM40c^)`MyR)aFy?Lj1>t0X%O`%`MwTPlF~&S zxk#VrDDdq}(`0&4pH|beyo<7ZX|3iP!;d;})kB{DtHO@>NkfuPKciq*U)hj`{YU9a zR>DH*thGbrP)qQW29f5{7c!eobJaa$N}ocksEaQxTt2%@S%yzo^K6FP7bJ+yUY0&(7sZc)|A7Zs6 zlZoH68xkgiOJLF8@@DIAGqE34;qzjAu-mTL^7-;x51F46Wq87E&!fLLOGV-!d{L&MHmkJM zFqK_|zf?ZK4FzojJwVk~Dxau)LRg?`4^$RFVoa{g-XCz?%@^QH1K<($vX z=acD6^OeEJ*KC@c58BJ%OKUbio$n^vVS>#lsAr6SGVS^u6i-N_q?jh>$yJ(Zv)1fl zZ)1l$%d4-qYsOZ!Fxm=hN|+vzFi|4e%u`|DOc-#V);s zndvO{ZJ})DQL9~OwUPAWBiMtSlY?54X|lfbJgT(NbDfjfW)rtj=^eg=JwvXv@;39W z51g2^4_eD7bx8_s86`e3dfIKhnRM~>Jw82seCv_69=iBsR%zvZcRlKTJ!Hzd6&vaj zbR=oe=9{$XxsCnjdXz!Rq}ha1PhXn7ZhdGOm%=i)FJHKBzv;P$-5hq2e*J*L zx&gHF`Mj+6&$YFD;WfYVHuF6@ZZ=KY<(I8xmudDngG|G{xa*$sEKgebvj4kS6KXG? zl=+|N9uoYdLG%-{Jv_o%hHzW?3`8ZVJQ2@)aMI(S&lXrapS|opzuqcovi zZC1NTzQZ2AomReZ{f$`pWPbK>Masl$7_NG^*Plx!G>1$n_eF&=A|1SvzbLy(Z{0p< zKe7$|bD>^g-z?p3fAq<+U+ypTBjC@{L;UvilfC|1wO@!oWZ$fP{*l!`vh>TEYQGu( zKU(Ey`i)rqD@(sFz#sCjVXOR*{X*%PexdwB>F=?YFSFlct@^hw|B!xLGd;84TdnrZ z>^HqF?VFX~UVl|O&reQH`(*xaFMpQ)QfvFk`o|S(`^nPn^0#|>W79Z4cV!ip6TkCif|U@e~I!g?SvX%d0I0)(JczrVcB2yA45N)yFNv9v=EF=yedt-0Xa zlr%iyvo7FJym_-mbtfKON>C_;f{T?kwjmF?rC8WDO3ZBw*3DH*KkWz1qR>q7^-G}?88<qQVnQu?D11;svVA_|pVV8O@f5R_2< z{HY>{*HUx@msTN87ZNlX=a_^-woMS&9x7Xwfy|OLM{z2FD2%3Ii*dGvToj=&b^6Uz zJB297a2C$Za(Ymx$mJtiz5Lfr$B%#LF16&MpBz6*iAgG<_FkgxGH8ECv~h#>9-=+g zpuL-Dk27fRBHDyOdneHzZP5OaXpb>yA0%30(2fyp*q~iUvOc5j3BzeKyULHile?qtwzB-$Mf+Rur02ZQztqTSx0-9)rsGH5pw z?KFe-J)+&qpnaccry8{XBicO;+7F0!4}MAqMRmM0=<~`#RB1H)vla+L;FJzle60LHiQX9&XUSK(uoV+UJS( zs|M{qi1yK&^KEA6cD3XM2JUHud!a!)LbT@_w5y1=+o1g|(JnD)uP551L3;<$rVQHK ziMGd}y^Uy(H)wAq+O$D?3(;l_+M9{C*Py+LX!{J>8;N$YL3;zy&NXPCCEEE0?K4E{ zGH9PBT8}~d7oznUv`-Liz@UAcXhR0=qeT04gZ2@kz0#mvL9|yHv?Zdw)Sz8Sv=xK) z5~97#pdBXK%MIEgqP@bPEfek42JIlxUS!bLi1zyi?Wsije+KOU(OzQER)|LZyc$Qu zlL?(r7>f#o(^86(QJC!xq$7z!Jl-U{$|Rg&5{lUd5q}y)6olFdOPbMMN^fuDFLvcd z$Qpf_Toq2_N`f{g;onTvpKcQVUQ_+&sX_8(p%Uf^`^k$?Vif(y_k8yYYuD1!8BidI za4mg9i4ikjKjQ{J;=6BJdaWPvlK&Zdx*u`JOFws=AMu7SeeB~!#KU)Fn!fa+|M+H& z7EBT<0}dGq1e%^Dl!QYDngb^k!y&_OXa@Rq)28WlmhDMS*qItlIZUKI2&Pz0xkc>t z&Ip4|3MDorG*XX>rG&)_%Ya+Q$j$m`IsYi!Pp?b1-!hxWy0n)K%*H9?bI}p6 zqn8?rhysgFz#qIqP(lkgGzHbePf*2E16{FK++13*`$)Yq#R_^92!$2Q0-`X!6rOJs zJo!{pk9R3`^nK<;@qRFwj(Dz#C{%W#WxoJrr=UuU zKg*1u2!&@xg^Cj2PS*AKr_|Sl93d_JQ9{{-n;*d*xqD>AqN zwIYK(s1+IE(z4UFl1n=@<iYOUd$6{MY({R0Pow95vO{;nlT|m|L9sTKc^7Zg|TeXIhxi#P~+j2-ag7X&a z9X5+6x8v?4d}pCe98YLJ#_PDkX{;X9jytVzpB%PFSkrJW8{=cV?Bcd*Sko{fY_YJ6 zFd}TR@E8?t4PlFgy)dllF3rCaEncR#e2T``tc;DRxpvfTSVn~q4zUHt+ji94?l!cB zupXBNbK$+r045r`Ov?`UQsGs&upYmwX_sj#Y(U+@XVYgM)mc7;sO+%)?SMM2j>-m= z4^%!-`9S3Zl@DxfAK(ct>amshdiVkKVZHS8dgRp(nXGR+WK}Y@R=eW1Q=Ly93q0qP z`UmhYbudCID7Zz5?5L|A26iARXTV%9M^^BIOpV6`+WVEap87(V{QYFhmk+K{V zDa$~SGWm*R5uX`Lp)z|ZQf4wm%B+UREh7VBshVAfE@#CDG1#|8HIt&&M)zmslGjF9n#)6xBTO_xW8XFA!2&;x`nCcw3pN5?Ln8Jl1ds&09Fau;8t z>Xyf+G=yLx%HHV<7$lgchiCHFBgXZZaXqE{L-JpuuHoOy*(GuupPHW9JvPZXpaH|9 zRvQiFQ+PFkXkBxiv%5zasEA!QVz-UhV=*aR~W95<4jm6oOwWZlkpwNu4w;h1`!ouw8k-0}wznV)U`uw4l z#v|$6KpzYb0pI=UsL#%?F3%lVTqMCOjnyMdYqQIT78>+61^yyK4X+U_9XfOnvL7iU zmpAGQvqzQ>tkK&60@DF8OKYo_A6Q&t8I7ygW|!(~jpg}kW)~M%QS}h_P#rp7Us<_k z)<6=Vh&;5obm%G<(o*`~TT|66X+4~SC9RLsusVSdjDSPs{6gwB6V zhv*8&CuawQL?oj0&n6*=Bj~8EE=iLpju%k;B_tusiOS{ZMD=qD^wL94FaC^zKSzFX z(~BC2%5fkn$AKZ%*r)xV=n;YRqP(_8OW8y9sCKg3L@~%~c?LzP-sM#temF7W)f?@N zATb!PSEX`9n<0@_OQsmFiBgQ$LMg`UC$i{Xl_kWhv4nUPmJqML5)z@V*QccvZxfOb zuj8b)^STZ9NrPV7D3sScjOy_gU!lBqRH(QdqqOh#T8ne+_L^Oxy!EG0QDyA)mXZ4i zuUZW9HUb7kRZpK+6c@19s}-aAyaiOKC`av$s>Hr1!}oc+LZw$!RrkeNHOgMSQL+Z2 zoPHq6s{K(`?T^yFKg#y~QQG%Mc}{;+J@!PEu{X+I-BCGqN424Ws4{j(q57k=@AYcW z-Gmp!jiWcNvZI<%w^Mn1+Y6)Fo{150cZXTW-)i$_T;H28SV$ODh5}U&_el|5N@qP4 z@w4gX2?OiYg%_GheBGTw_U;w;%}mzM2M7X9&Q%uFQxX6B6fq@kYRyz!Pm+LuCWi?E zqYUrcJ7p6A+Ld83ze5oEUvum7+^~={NY{Y6XNDoa5{8@=hCGZQ{sKLfic6qEHB+r{ zI7ks2gwyG~6oy<8hOCAmYXtEZ=<2YLX9R^jJ1pe+K_U3$K)`M{hasn?E!FLl_acNX zpM->`;T(dXeO$P2BHvVxWjEYiVKD;^h$iK?9T3)p&d&}*o*s4^^_Qqhg_);L6 zv9s$%Y9N;#VaP*L$i&nontHkDFB2rxJwKE%7)hm(a_rhgM_8ZJQ%Ki&Y7Er`i0Bz% z$e9G8|23zKhov$+zEmv9v{y4V44;e5E=`U!y|jc;E__IN6UyFD<%5EQs|4yD6*@R=it)8pq-7q)lIY3wJLXPGUl)>Fzd)XOV1S2mA%Vkkc@Tuxkp*80>il-LF&?3GShI~5=`A!(}-7w^T!;tTVAwMCA-*=9Og+M~Ua(5vJ+D&K2G8PQ1 z;jV-U-%Q*smE>DBx_boX9)t-fea|rD)G*|CXv zIGdM;A+HESULA(~Ss3ycVaQ*HAwLa6ent@b@2TV)!$N*e5Ue%Oo!!{?Pqw_749B`^zgF(LDT=2(#(t%Pz`bWu#h`Ag?uJ`9(G4U zgr?Ycioo1C0&~|0%-td|caOl_BLZ{J2+XO3iSeO(MdD72#N9g*cOSyhf1fAam(t<3 zZcoD3qXR3hPfvAmoA%*hA?Ji4j|fA4D^;b>X}D*kh#n{6nL)(!!;1Vv81nuw zC81msT_ z4EaxjV1N4R(!%WJN9L?K5%CKILr+^jvUbRa`$0Iz2hfOidlJ>veO}*LuKXSt19}jF z{Pun@AyB%>sg4WBC&pa4J%nJSxUZi+E11{A!a^P%hWsi)pz+>I_l%FY=Z)tE)j2Ok zbUSy*oF7DV5+Y!i{-BVTg@wF4g>o|tt#jZO%h zhCd2x@W&2__DuVI4oJe;)N1c1h~FVUU`J@#oKH`^(b9GgKVhJ=vvVesPO(R4R|$>7 zfk%t~m~D>eBdNI0xM+Hio|I-%D3)YbSCULyeSaVmwBmqdLTYy=6Wooi`8g{!y}ep; zE_yAAyDw4p439jngBCdHp)a2Q(#p@+biU{s+uu+IWXIaB@r!n8M=OT8%F_AW0_Wa*AS5Xk2~x(8}8E0`kp*ChGuEZP{hu+A_r< z>+<>8Lr2yQAHkL+Yq*lA0a;Hc2p&%1$^AtWQy0+gkeRW)d+B+gv+`aLByMbh_?h0ZzV*)X2-&i4~HTD zNf3X5zYrGkwJ_xCVaT@$0#&k?TIeDdzC%}j#CHh+yLERu=f6KoA)e%_x@!5x?nBL=&<YK+kkD?tJX25txG!82T=et6NOM-|sdoG%wBPk+#sY^=~(WeCwR}lguyHnAtQxRS2 zEi^NeYf=%tW&&UTRw}~1iu&{*;+b|t!giuxY2Ogc=Zy{_n&sZ=fM`X2rvsu%d6xsCY5VRl zX!jQ*@A@2x7-c1m{w(kiG`MWUWy@l8UI#=d9Z*M}iD1oQb;5b^CG;yXdacY}!k4I;i5M0`Jp_`e|H2SLOSgNPpm z5kDqGpmu)}6!Oy`;%7m`jbTN89u#s@5OH%5aZ3Wp*baZweFGgG!}~7UH?y~+v;WsRx;wjuB&_(0w~3t@ zXz(Kx$I`2O<~zH1V5zaHCFho2ucribUHN&fHhA1Xi75A=b92cj9XB7u#Nlul@{BO# zZ4OAn+0<%3BnZ`Iale38qPJ6d{WW=^Bcm*z+HVj9Hm1kn)8~#&j?lMrN44Z!blM>< z$xx4RKr)2*GjahR{e)v;suliSg~Cr#9-1b#B7$_wg$j`~$ELB^vq-H6)6v)6-80xt z4>$Y!&g<&y9~kQF>**foB${gFucK|}UrD!~OkJ(nsR{fu>A z64CJ>7<)FR_Us+sJ^q`-HZ?sfo*#gd9IRI8E5L$x;OXtq=}Tuvb`6hD0*kr+?%~OO z#ocdUfMWFV;amGAseiWi%Z#6i#NRWOzh`QC@9e%kqf?iND!mtx1!-hz zauPLt@%Ucro4bWZhSK;N83`6{ptTsVxLXVu5FAgvWTN;TCpqo`5Tja}>EzzoF;Ps> ztacAi7n%~}fnj3!0%{avvoj+T(@@hb9)_e!nVlTFWR`Yp%%p7tZFTSTzA-u#0&W>c zE_lND?4DuT(Ktp80$E^9ZgOhxF4}=s;7AE?CfA4HdRKl&NJoe4!~kQ=YFB4rwHpIhljO52%h;o+LI=gd zM)*rIC3lX^7s}d!c+A(V5rj*V+4qd^8JifN6#WUtHEY)+Ry2m6AcsOR48p|LVGu?` zm%;m9$3|y|(Z)uZ!6pCBRZJhGt+}?P!|n z@X)5A_9d4JeNnUK(;%ozK@IfK)iuxqSoCLbc>Ebm9Et-hr|@|r23VB!ssjsamvbGe zuI0(B2Awes=cXGl=IGdk!?dGTgQ;jq7Xe?<9IrhA^9{E-RM{wk;uU*Mc(uFFTxSz* zmR1Hc@vt}C)tMX8Iyz);4kPELxyt9j&BGaHQIoW9XjV)Z*gdVCSV?ztSMn?rNP}C; zzt9|W1GQAW>r+@oIv2{KP=BszS=u|A>S3W)u^DU1is*^$)#{o$u-)j`p1r%g&gJ%l z*Ju`wmzC>nRc+0+u9uSaXKmDLzO-J}TQ=3gX?#?n?2N1Vxm~k!wc-FDIbkg{OJxB3 z#T=fjuJf4SRzk0Z0!sOj7o`ehqT*VVy%n5TS$@yOq?^M@>AAnCJU70rY-}sAdhP&w zD;7*RYzNQ1vcw{RGQuBe-rM{@Qr3LU4m{N&!AZ18VE8jPRh&2JbXv8l%QR&Rvl-9g znf(qrwC-5)KF@BzKh$Q&K%9{K5oJKlevV~@kWtE-eG4w#Vc5+r7+B4>fw$r+fA4~U zLY<%m12skWF6DVzDV(#|18uc+xvBvbSBaEsm=2t~FH;Vz-}|63#?5Z+P4+cf{~IqW zrL)J`xMqR>kM^ra#3SDsm1V0&iivaM7yrvL)>2 zR_%j-?Oq(`<1a$8kQ}@Sug#U3@M&L zL-ePnGU;y&Cg{g+z3uo>Iw$By`AiR@j#5$;Hpl|h_toeT3dXM58`Cr07>Mi8nZ{Q1 zxwQ~3l+O9n*em3v{c)}Ana1>%_>ZOKrg=h3a#-&lrSkYXbUr)H7wv|yrOR*1$29ct$P)5sZWtvO{ty2DAVcv%eQoZV=_U^4LK>f`jEc_{-)^$8n85bov&|khn#)F~ zlFVn*xIsKzpG}>~_plEY<4$3`!Mz6EOEe|dr`MGv*Oy+UwcqCJVN>{yOD_DD({Q)W zao;(;S515vTN-ZSZFCz=*9+TXZ5W;Q%PEHVl8ru|PkC>2o>hJuU(c=D{Cl*%@O|W z>u(V&BvI^r4aqHEK0{5=w-G&q#x1#IGb$Tm-=<({AJEPnI;i z`7A=h=S(JN2l*msGJ%pbK4*Nlc=D4n&GJb-v87CegwL5wpDwaDx68y@yj)!<$`su) z$Vo8`^Dq$-K4-e9=XsVi;dWrLq_xIJE^!osDQNY8dq@!yK4*IRYzCAF37<2*aQzY1 z!q==W(k^zHvJCdJ`^w-eyRRO6WoV7hUIt%&zB2gAUezD@w8cNSPyN~ZI$!_k^E01a zWSTuctgDES@EKky+p({2mA-42iRZ5JsjGP*y(kIxTnYeiT7G zU1d(FrV~7MhyFN&kG!;L8e~6;erP2!XKy?uKpG$B^;g#!e?v+6U*BZ-FRimaUnwa+ zt;ZTxu)a*ZJE?Bb=BNiq^f=RS#uFYrO^-K&gAQ0F{9=>3qqq7R@xm9`AMZ^xjzc~U z=*IDwYg#cBe9U9E#n3g~KIQT=@u^(#CO)19!RF8r2c8t;%rriO2e$e-rLkT(qYm_I z@aorTTzqH+Y0!oBA>MTC%F7@!=m^RG2LJ&7|9AnUS7~e&RTRF%UdmSXwHCxmp-4fb zD}{OU=DkjNvoJFcsUeO^Ed`TOf<#DALI@I!iJ%Z;T!IM}66BAHk|@Ch1tKxv@5)*%zBGL1mnLDqqg|>uA?wvRHeD~b5pZi=)!Y+%HvmiTYjFMbu~Rm8JT`CeK3#d^1hgAw&~cGZuxzSyEuc zO?8ujsak4UcR>>aXp9pF6d`VWyXYr+iRm-|SNX8O@dLx6IJ3x`hUsL88a|bxihcx} zXY%J*nz74LO~P3zJ22DX2_RPqhvox~uN=VFL>j;%I4JNO-Ad|~X6PP>{8TgVv*yw) zKF81i-te>r-Bj~94-#vL`s(FBMgl3NP`$L3F9r0h5hXPLKKwFgm6Rff^?Wz*6FChZ z5F-eG?Z)vAdWlIi*e)?|;FGGaf-3gX7{H<)n_r7S6QgNhgYCd;!75$Ds;D9(E8;NC z=Qd2!=_RJo01z47)9TnG3PuSrMYPhdxA&A^z@`Ekys5!(lzZ3;bAJBjJV=ERDa>i5 zR#edHv3YGKt>@I};a;VVt)BWX`x1bYY2W~gV8d?;GDgBx-KM}%jhtVXvV|UfWcxeV zK{AFSWo&IlpxHS~4i)=|wsCj+r}T>b*`-EcS(MTkCLW{t^^Qfq45hc!B$R;`LcM2k zINkhWB#a(g!m(W+-z<5(SWLf`ZXEY7R%8Svb);^1ihHmQmsf&Z*t$9N z<5+@aqJ&TbPwj{QbJ1d3nydTBwyxri;$kxTLhBKLWkPrjWSlTLlL-(w@$Y5q=WkBm z31>_9aatmU8HZ`OSWfc?S8JLfZZQo6M&!P@8pGp3!cI03J$%Nq;ipueIE#JKBC5k8 zhthbui^juvd(7LH&!&UV1JM z!>yE=Vw%VaaO2}0pPi?#sWhNx+O@Nx$Xo=&BBV?Z{7aRXq1COT-mZ=2+-09-OxdUqxua1@H3cCSa;>a_aVD1e7QXgg4-7G zW#;k1_ZqP@jz%a7C8cbaVHZF^&gD%0VN3N?R4!>ZDlRG))Ud7+g(?ThJ%`cDlxG)0 z2AT1`LH#I1D&;YPJQnjqxO03(sL~j9v)2rxSa949VPrG!m+79r*_;@ZjbPM287ba7OdDwnUjN|M!V z>--A3#N{;FJeeczsI?7#$emBk*f&x}bU}b42J+d;$?rb`P|6WqN8&;cyZ)m3NO7A_^$E*7g2#v@uK@6vI$bm`ov!C z5>}+WLq#L3l4gCIi=NmDjEpDl|DLY8T0^ix&lRsi=4h-fy9f_TRroF!l~8aQA=+tf zDL%RjW|2NrT~}@5dmk_?;7D%Kb-Nd@f$|cVvD1deK&63Ace-`sO>B|v9p@S- zi=nsDK|=NP`jXt=h!tEJ%XV&U0e2)mb5AtVl{C;)x|iMU37I_kQ6758-^Kf=Sa+_4 znWu#%&*-kjU7s^Ulo0Rgx%-Bd(Ob56lNz6$%GG^#hh`8$2>g+n&b#(L(^`Ol>wTA} zSX`#h|8{UK_RHRSt1}dvQ+DhAidlf>68D)) diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 754b594c63e2f6dc8daa9103ef640b5d4182a42c..1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index d513665..67363cd 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,22 +1,22 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567905345566 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567905345582 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 21:15:43 2019 " "Processing started: Sat Sep 07 21:15:43 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567905345582 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567905345582 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567905345582 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567905345723 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567905347942 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567905347973 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567905347973 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567905348004 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567905348098 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567905348098 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348098 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348098 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348098 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567905348113 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567905348238 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348317 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348317 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -1979.500 C7M " " -47.500 -1979.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348317 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348317 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567905348317 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348332 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348332 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348332 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348332 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567905348332 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567905348348 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567905348363 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348379 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348379 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348379 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -450.000 C7M " " -4.500 -450.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567905348379 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567905348379 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567905348488 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567905348535 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567905348535 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "259 " "Peak virtual memory: 259 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567905348676 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 21:15:48 2019 " "Processing ended: Sat Sep 07 21:15:48 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567905348676 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567905348676 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567905348676 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567905348676 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1567909568834 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1567909568834 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Sep 07 22:26:08 2019 " "Processing started: Sat Sep 07 22:26:08 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1567909568834 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1567909568834 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1567909568834 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1567909568889 ""} +{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1567909568980 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1567909568985 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1567909568990 ""} +{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1567909569009 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1567909569019 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1567909569019 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569019 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M_2 C7M_2 " "create_clock -period 1.000 -name C7M_2 C7M_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569019 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569019 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1567909569019 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1567909569029 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.000 " "Worst-case setup slack is -47.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.000 -2056.000 C7M " " -47.000 -2056.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -33.000 C7M_2 " " -27.500 -33.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -1.500 " "Worst-case hold slack is -1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -3.000 C7M_2 " " -1.500 -3.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567909569039 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567909569049 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1567909569049 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569049 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569049 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -22.000 C7M_2 " " -5.500 -22.000 C7M_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569049 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -468.000 C7M " " -4.500 -468.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1567909569049 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1567909569049 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1567909569219 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567909569239 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1567909569239 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1567909569380 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Sep 07 22:26:09 2019 " "Processing ended: Sat Sep 07 22:26:09 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1567909569380 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1567909569380 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1567909569380 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1567909569380 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index 676c5083a911e9568cae0a2276f1e413c0c48973..1367dba0dad35982a4ddd2dc8d30d6cc00c3ea3c 100755 GIT binary patch literal 11521 zcmb7~bx<5l)UT1n-Q6uX1cJk&!68VH5M*(JySoOL;FbhSf@^SD+=2ynhp@=v&fO&M z`+jwA-G9E?s-8Z5eto+4%z0{Ob_Nd)4z3&n9@e_TKGLwGj)jY>jiUoCFCP~V7cZ@} zyN#VW?Q_28v^)abywACx^YHS~YFW5=*qB++z5)4)d+6-AsgJ2luX#8x<8``uSOw~5re?l2G+e&8hHmzEpad!_D|2_Q zPM)4l(uC$O-Z)>r*AO6Ou1fdBNjX@qJ6m(rr|~{-xVLxOqJTSfzrG{Cf2g>o5{uXBT51KA*d?U9uHS-7g=ePSj$L?bf;VWPAW0CbIMV|>jBuP#FK)wM9l3n`b zcJ&rciH;Zyc70g{m!b?d)h(*|bQhH6WBQtbl;x0Uq_|>&s%^kKjr?zUEo#@$VDmCAS$puky;r*@hb2S#_wOIuPvf8Tg-mRrJ zKQBNrQp4ubFCRQNg~&m~Z}*fVSe@28QaEtxFW2a1Sby9U*T1&1mr#hGI@+VP$CCH2 z+RjBOM9~rXm?5*9!#UothO&>UG&u&0Y#2l74hXQ~hg_hPD!P=W6wy}YQw2o|QRJU# zb7=D+iIZN$jn&tJvOJMXcU7LX!ttKARq2uy4zBE73mpP^mX20E!H%W*!9WNOUE?Zv zZH0zs^*Hiu7*|XA2?qi1X#*e50X@Xxi3hT*bZ1kN;!dlOpzddqA5vgeIz{A7zh0x!>qdX>ms8*wAAo`~XcNLQlwi>8SeKM+ASOt)WNA-?*` z5XIq4h*=k1^yx6$#7fC`PWjd7DoRUsuF=6LeAk*ILf`}D>7-MKg&H$Ei;ds`#B*ws z0~El3PryDcKTtV1P6y{^&rkab@^Erxux9;8Ln%E4!l?4kS(%M*#lrTvL;XCLf%y&l zP)MP{vm$VzN7+O00H_daNY|e!^xgY`{)D>tL4a`yp6QJ>)-8KzN?9t+7VekJZz*N@ zR|}+HkqKolniGj9L|m^ zF|Dw_I&0AXrnF_s=d{;#S_}sTj=gemLsEW-9lT` zP`xCFc5L45OBSV+>+n-)+mL4pF8QoidQch|RkPF}^WYbRg=6Ff7aV%0e}_xXK`3Zl zgd3^2u-^q5X+hqn=cg%W7{_qoVwT<(*gPS$}fvJHL{$dg^g89`igW8e=zY6M{ zg_z{20iUjTNA>}2A?~ts15{U%>$@KsY}03NFO?0LC*p3f|6uz`)aGCmEL_!BWn;Wg z6=V+S!#wT!WpTm-#Js}kTz*Cqo#?kGy1~$BhgQ4w+=p8Z=^>5U?LE$1S8e(e?){NP z_r!Y-d!hDognQ?B>-kC0Kr^e{M#-#FCmb(PE7jtrMQToMC0t_D*~Ru}u)~0@ zH-+@~!ncA4!|r}A>N5v`z0P+pZ9nURaTd-+*REbzZ$+|a&!xL~K4bOeweW&cRZI}d!2o2SMYHSyXX!gt`8{HoWwKT_d7L{|48-Hf>mF1*5R5F%aCjt#WxeSjA-zfg5>bU1>4ZI|~2RQe)lYcqM= zpn$TB@#ht7*%zvy;7)5R`@L4P;U-Tr&x5|a*XXyqUd-0(5iIP&8G|4iw%I_W%@_IEHA~}YU z<-0z7WSYVlXmYi1bdfvV#bfAy;N^5Z>V(Zk?v!55XT8vPtS(XG?Y_(4M)ddyxECJo zGQ_&nXbc~@3-zD!CWwENB(xH+NCJJPPj+edB20exq>! zf?IaBq_xVpmlOf27sm2d$i52CG}hHA4N60@cVrQR%nFsz2;l;-i!AZ(Y6Np&-x5_7 zAFwIoLXWG0-yG>mNRY!=xQn~4$NYiPN{Ewl6Tn5D&T*GJ5Oh^`EYST_&fc8@hKu0J zT)Q`PYOHzJOZvkYQBClOYChD7(F*ygdc~~IG0*4N8(*xEH8j_KX6{pU=qljFJSKcmqnnBAMp&Ju9m1pY|YCHDQ z9Tg7`JB-*!YlPIRY>xr1HPwluSn4?}L9@+RR+1apEkda%#@r6c{a#v6J+F4O){tdNX>?xyo9gWH2kjU3-0*_m28c&e0p7^hlR0-hrJ9wqd00&5MEc|)n zUeXg3@4%nXBAF?(oI1tUo?e6^n^C6VTxEy(3#tOuCWlF;pIWXZ0&#eS>bLns zHh!+;cQ06ZpE0TN=bx$Gy~)<+7;c++$=;E4_DBy|+5@|ES1GY@1Z1z{=ZW@*ZVN&*5R2y0F&phJhy*&^oVIY zx2|MGP|l?GNb`m0$#Fwb?+0c_@n_)sqba8>i3A5@?04TfEh2}c;8yP&flcUX?@HlF zYTDu1lNRffK@Zk%5Io%7iGT(`mE*(i&!G;Z4M3%(nXan_0cTEQy@{4KBq*czdWvcz z`EhsTuAp&SQ-{anL;(=vpi^9E5Z~40;l4k(0RyneK(R9*t`|yfx-V2cYbfEUZY2DK zdb4=5+?spX?b3m~^{uI=T<_tdkl!d}--Y{?Xq9zU=o{njq&wGZ&w$U=?B)piS-E&1 z#NTSeTkR5&t2Tr=6d~IBku@3Mx$Zmh+P=G>La|*X^wNu?#c3a`pRUOJTd2~jX%F76 zaA^}%oxc)v78Y(2%nX`rh>6wh-YoD9AGZVEWz_$I7U_R~34t4fbl;9%s+iWs>)$kE zGv%W9+5ldoxC@J{bfkEq3^M5zjv1KVePS~{Ez__#ybqW6IMh?ooI?tq26PoY0#J2q zsR)%37wVCr<-xk@Cb`>&DXU9GgF4uy3^dH#mq&rZLvT^w4L@DY3VEnQ(7+65TQUhz6@6QlaDEA|XNE12f8-*e#B|EBAW561A3B zAwFYY%Ct_T^q<1p`VY}aF@P*M@pbjzl z^XTheYcf!M$@FmQw&121Al0+`7Fo*D5Y=3*UV|+{lI(JcP#bpw9Z$jG`j}@QEuRMq zlB%YJVKir(me*Cu@feD~0a1@pWmz189&`rs8m+}Rma$7$HYXt~ktC6(Ni*i#A6E-J z2o^-%x=)9T@;hCY*)G{F`V5{%nWaNB(pU4H1**uzymjU`z|z@x`6Zm^N&3~ZGO-Zx?Z+EZ5+`P{x_JQ|u4eF|k?9FHxcB2id(-HgAT|F4;^S5r zLiXd*8kmryu}|ci;cN5FP`wp3kzWmKkG&l2Snjq7So`B1ulM`AN$=0GHb*u~KGLsT z8|i+gYV;hkPf&ghV9fiP5rCR#1d&msslb<7+;5a1&@$9r-uswa_hq~5V2WMbs6`LT zrPQx(It~2xqj%aWi^KkILp9Bz#x14Dy>!8R|1%krlEst&kYH@RFZIC#6eV>}v$5jD`d2XK?N_7z5QMNIPhFVU-upr}R(r z5X8^T8&Ntbc6k>JXMcein0_s@qXsl!q72+rV=l$}iBcB+-~)2qNc6vpACPyt{3_St znFG#2$uW5m@FEURFJ|2};I1={f}b)($LvB+@m1dO3GQ@35XKR`gxDu@ZtcyVB;5L4 zkJh1koqTsuJ^b%t#bN>oixSb^IRX9#3VQ8z2 zhL4>h4y`EXM*guWX=|6&uaggHsCmToGr5K<3o+)WEhSC8T1O+veVRIww#7J>ZAyI{ zVJy2fO^aI|lejRQB?1>=-U!W$DSt>19~|7)xO-Ng!BiEYHE!6BD;2e)l`KRIy~zO* z1y!C~!uctsrB`w>->yx`>o@NYEt$uuh)Gqby;GfeHh6ygwG4_z7XIM{Wa*%v+eG$ z+rIj??Ce>t$};2XCDa2;xWi7FsUMxxeMO25XAaRRYbLcB<5~)sDdJJ$UE&!HM>O4b zE!j9V2~ugtb2BS+^gS#s`^)^1WLH!rjMAMXVQ=P5;A}e);3?EN11;SASPm#ATa&G9 z_6RW#dsM1^IxmO}wP5f1clwOh6cmf@`@0^XTLkG}*!v=Q&m3|^*Du30j0<*GFY-jr zyO_{9SUj}ua`nyIFFruZHD>IEhc}VFd~1Va{W-7s%mkwtjU^lBO(DCqU8drXK`NAB zf%&dT7Zxfnlujzysa5b`&j|)a+SY5n)VV~p!i0F&{Rz zj|}&^6CY9Q%yy&(q;JWXu&A5Ol*uG=XhBp-}8(8Dd>8YAWN z?T(zbR{0$-{CZP!h97`LDqtRr*kd}#ZfkoipTKAQ0la$BR@tEy9)d2eA{n}s^cr;- zZtJm6s}%n>QNt#X!8^={o0F_xRPESc=#m=c-C|q0U0hL-12y-CT8pd?LTA3+MaS0fs@Zxd@<3D z=k;1nDQVc)##_ucf3bO`damIYZr0cL8+XgN5-BTo3F+%w(M4REqsFY&>zW6@#?5FA zwWuvB{jRC+T;b##yDT7}SNtr26=Jc|S6Ah7(`0K$FBU@M9ICR;MBAuAo@+u@9@+*- z$>a>@+c!RPtC8`F8T_(QBVehki?PC`_AOGB)8`_r_@{d7PD@{fca!gP@{qIh$RlaY zUFGyO8oNgc?0aQrKUV&(ZU#^Bti99eQm>{9aLBcT5G~%4ZJd^ZIF_vSoldg~XLAO&T@?CLe+3pQR%@O!P;!=E# zlT5cGhwO4?qfx{226#Y22%4W1 z0R7JH)BLJYE6?mm@IYXd7pPpiwxh!fbSOQy`UW;?fSlCP=molF>~ZLTc^wnWiaMIS zK>guW9pAk`6;udGfu2w>Hu~se-$uAQ1_%h78~7FXGJ%-et^@ZnwHM=n2pr%6lVE@X zt)Sp|bP%u}HqjHA{%L(9+`o7nQW`@N;b=2=I2=QANj&&m+)6phA(9s1j<&;a@67e@ z*QpW>x1?da1hms4gg;bD)A+5u6Ab2#k_2_=px#ZJs;^R*SyOS^E0*$dKMn=cT2kHqZ>W* zvX17U>p?&Zngsyw%X}bgQR?LSMxnd0aGrbA#O1K(A*erN0oNS}#<;=Y>qkgwc>JI4 zqZ!>s+4OuMD}Q&Sk_SN=f$T>z#h@ql6C}K6u{3uf(GFq8e{#6G)7Mmzmr)_~Wt0QS zO!ZSNEy9btII!3Ct7(TnkxpVlbN~`ab=iNa41etj{dW~@;^hf-D-uv`X&che6fPcK zMKAna1S;IT++OVk(sOF@_lJTTSFh`PF}mMWEgo|jkpew;`!~TX0K7d~2F;N>qU#V; z!pqHYs9xFc;8kNJ;C8(|=HGjSRFZ&~RK#&v{}?RjAcvh{`tS#Hx{=YPw}m`EE^?m2 zw*h$8F9Vjk)h+&%36w!3Ao~=BxllA@K3&z{!N&d z7o

g!s=k)aW({MZT82vFgybj?g-QFmtd(N%AGbJ?Z|*Q^NDpfr3A~)H)2P4fSiR zD*RIi(9nD#^-SiK-jk^%p@euAbauPlL)2k3(>Hvl_eU%~?PWmG++C=@6)2i_dGrqL zl0VBduEfF2ie_h*#8G>XwN|0hi=bOQ`okGf49XK?@6E+q&Z81FnI(g84`T@|=Qio3 zuhv(U(XvFv?1^WV+I@8g+CTRc0q zBe4}ptqb*859HK5bfapt*}CBt2Spwa9*i#NAPw(~=C4C{?!q->QRHwNSPahJDi7>7 z8J99bhq0#G71uA4tdh0e2+|~rYSeW3bITOB1L3)%@R>eDPtTaC>WRV(Tt%Sf7gxuw znaw06>Ndi6sPwWd4P=WNK^XM1njkSp`QM#M2o6zdEo;rfRY2YNc2|ga$SOnjWzmbG zF#1w=Htkan*=bbz!n`$|-fh|n-aka!A>oX$bdR2PY%I>a|7t!Ipwm~;Q!O+;K#s6^ipT#bacv0YL^&{5u- zh4un~_jw9MU$UB|6A6!g|Gey~LrVKo>>}RpeV6oINtexD8%14^uVPdiZTiy9Z0dLA zSjlM;$wn3K-VhhyGX0+R{+I_{>h#mr6fIi+#mp#6T^arpzU9b5+lc`j-_D-@sPgcJ zU`4Tiy2{0^c#Rsd`reu%_2&Qp?>y%(^J*hlVs}_S%gzpNpmnXQ>9N>eUJdNb+Fs5M z?4I`iEI{}E(M!+W@9Ln+KUKYGorqhiY_ay6b*O0UjqAw9@s7jcb+Yt2%_6J zvNMe^qgwFG&CEMp?)RM?^zyBE6SuyS!&SnQo7s2I3K(d6MSV~F4ON=;^MFX3W#F>S z<1N^9(SG53E^L?n**3-=S;oX!OAb@*2mBozW$G=^0;_fGkXJuG4v4I-wL+QjMXpqp za?9p{)w~^87#6KmAOWdo1*|n{l^Hnfzs&vH2hNN+_zC`5LZ6q0V|p;_;jsM1-|RB| zJ8Y41;_v34qXD1T6q4KpavrA3Z!T_?)+49dn_hHq?#(c>eb}=d&o~x|Sk#thAa>lxkzdQqBl)AKOGd z3L!jn4XQ@cDoHVDYtI%Nbv?bw$YG{0f!$w@t@raviB<**iVocBe@~qkC22jsJ)m*t z^XXMUo7uH+WbP=oAnPg0P)|9itmH)Y@aL_fhzV}1Bh|~Y=Yqt)u5161zcu6LpKAD( z&7KtE3iCC?e0J3H4P)|LoHJaq^F9_Cqxx2ROa{}!pv@XLp8N{es`6hsYuz6$B@A&4 zG70=L=0WVP(xi44YN(;*BWR$iRAD;IhUY9{T{=5q!k`Hohqm)mpCe>zIn7SN_?g+( zSWyp?kOO*0*aM4_ONXT}@i_qhP;Tu_uW}1_$D26O5>}O9_^ZpK7`>%rgt1KLSI{F{ zK}<`2_K(|5wxNdjj&TAh=-RuM-33t7016GmvSz_wc9_;mRv1CW9~$7Sm27!G^@lJr zH=c+h#TL#^vbuZ@6a(d6rz+QUUE2H%HI^ViLw%9=^ZgZQeAmC3I!)|t)K8wQib$s8 zcueIyDzXNc&R)0$kBtJtGdF}RiU}LQ4lRfDM0V+TZ0v^hF67R=&nF;}Df!0K+X zYzyhb{@JT9Cm4Wf1(6hYvN0mu% zBAc*&f+2cTWrma%g!2GxqV7^s;G?S3(4Cw?|bDa0YKjaL83B67G1Jq3HxQ9hA z&E6$YWtgCdy`HcCF1cdW-jBVu?doyVU)6`Lf~cmb<}UnC_q_w%+6Tx+NI@RG`34w+ zTYFqVLT>p!nwg5An@Jr@wP01{*N!g#9{J0ui>j@CGpgOH$>aq2h~HT;SV*)rc-Oqr zP&C>YG2td|XTwkd;)k98g)m!E)!EJ?7Y5wYp(+5{nhy52vHiv{3Q<~AK@VA62S_6A z(j2c;V|8eVlbJlydLK3hxV-fN>wI5FQ9Q_ z8LnW$ubKIf7Sb#gha7|7(lUF+_G-5-SZ+Bs_8Uj3h<0DvH+Glaf@&24(bYV6Gihav ze%S!Q2;b}g+QPW%6fJ|Wj=}Fvm&{x-jdlC$@Iz}z)W=8J z|KASnZ-WzQm@g1_A#XqnP#-q4(0`GPhwHFq=!df;M~Zgz_Fum|Mz9*4?_(23Q#Yqw3Fm36H}dL z%ro^^` zj?xVI_%M%cs4*0rgS8>3ALe91!r+4Jal59?mmhoLDT47u9RNy@M!aX${|tmtaU#4h zaRMYC521c=7G*Wcn0i^)Q?T(|*YKX#ij4XbpDro2oGyo0^cOuZLb>XbXD+7e8g*ft zUs02S0&I&Y@OM67%LH*rXQ(1tX9;uC-k@qOz2u!OqkaYjGstd)%SZJvu%)%H<4H5s zT;NgD;d6^gGa7k?;Zh6N9rO;OXEI6io0x<-shFU7X8sKBV%iZOHDgZ=0mI{e%_NJs z;!i*-{NfZO`_3|A9p-`erwAGP&tT4?yq)SGLNe?` zh0zh7))H?H+VBWOM$NyOL6OqJ;MA#J*!*}N&TZ1jOw6yXpQm(^#JPP4O5rjRr)QUOGUI8o<( zUnbMS9kV7rT41;&l`Q|x19?MyR102_>+3vO)D^!v65~ywr4=nKI8ox(hpgOSSYEUx zlXd?SI{c5Y=&z7`($dH$MC3*!5KhzOml#>vu-Vl@F$4fEIZ-aHza+xrapX>%`se=5UpbuI%>|K%Gtzth#` zgM%Ns>nVJ*hQ^-l==owTN4L#qudlau+-GNVE5R)Jl`ZW@_oM+{n;P(BE-XQ=G10nw z7Q-Myj>9b7l2Cl1cQ5F>-k)G_=SDR+3E{n6ccM=NugmU*b-!0Y3+Btr?q4fiE?tNW z+Y}Z%ZPoMI@~J)n<@uXuX%8KaQR4{J^-^!E-|i2; z-S`xX@Q#usnGc}1*v5Zw?c&S$Vk7P4a9t-*JK0c$pZ!I@nm>?C;6>D*Ffde;iOSmk zA95AuCg@)wBFod>R9v9?%&`&#uOiswTdEzj;U`27zrFQQ<@ttE}aOn(Ui6>6<1F$+2 z=#|a9`W=ykny$ug9giLwWy)C>024j;?`8;7GCyGW3${Y*2`XKyHQr}#7Wg)~4{{7@% z;NdlW8vch-)(p=79i#sj))_1WkMa;s^Hi2!!v}ll*K8eSp;No;FgUf1jpTJZVaQVD zNEpK#Z+G3EiZmm%59J@Mo!`KO$1~}(6EL{jjZjL)61rdz%cwm!ErwOGDe}2)9}llY z_fGCd)^io&BYef)l57Uecm*kHoTLpAYWi>&G1=%97`jz3bYH^I)$5bwx2FX1jVBG$ z!#K2#bW7Yy`j1KF|Cp5ck4fQwn1sQb`k&C?KViUMVM0V*=J!k))X~WK*tXVr#4O9< zte19v#SFdJeD6?U6@$e1zwGUjnyYFGDiBc59l-E>O=e_lEDz&$c;+v+_uv2FcH|#! z$0}gl`VmPqc`skM@0Okn?jBTBiUK2OR=l(&BSv({vhTs);a^H|H@RA$FyTMp44C~BH3#O`EXsc`CEpZZyl+Ww`x5k^O|E=*Y-+ya7 z>5TW^8ejPzAPpr@v%8rmO#|Sa(Fh98Pn%IKdL`p%7B~ixaF?uH4>6P?* zAQ?6wk2=RsXcAUsM8wqS^#c~D6&9!o7U=AEpfxQ4!hwb~Oy5D}n<1Po2|F)qLu#;Y zj(}*}O!i>hB`88gS(zpb=xg`)#$a1*&0czhD65QhY46vO8O?kMgy zoQUvtqUzPhzRiA}i2J7t&qQJpP$V{`YhbsLeqTc3B}6oV2G~G)%$fTHguNsC^yCG< zVP#^5YGQ8E=yMHbMngtM)}Y09agN4;y{3xe>~`uLcjbBN;*JfE&d2iwo|mK>fwl>F zU@nkoii=>Xz5Sz|ixv&pme$f77cN;NB5jZs&w&>CE0zn^2~G&<0D#aGR0X%-NJ8)h zt&o)QQ*?+7>{lt2USp|q0A7d9ys;0nP#f^==k)C0bZ_r8(l5=I)W}#LM|#yaFy@2m z2(^iN_`2xgq40SD& A+W-In literal 11507 zcmbt)Wl&sO(=Kj<2X`kSxVyU(LU4C?f@`p#L4&(9XmDo&WN>$PcMJMW&Uw%I-nu_- z)va4o^>pvGx_hl&)lctLQyU8k3JM5-hM+6tCk~OH%w1fq9UUmxIG9IqdJySq zi|)wr3i9YcX;Yg;qucZMqXFR`Itu4l1T^9|2wxpcLkt7igrn`_fAUjt zP*Zc1mshmCueftyKDtUfh_3wQxJ^xUsivJAM=s+3RC3-_S9i(y1gxy8@+E#+9`rsK zpgXY26n%R{PCxemJPlDPlGy$>clb!LYYTO$asT-B{_#!j1;&;d=5xzM0P01+`Td2Z z=sge2bj)@0jFJIKbiD_IIc3Mg>>RMt4nvs?wSG%rYy05D72H2lL2R3GM2w$kyW-4m zN4pfV0XCW^vJ81iBPUP{1Dhq$g%kuYkrUBoxh0X_C0-bflV+*3hHO=4ktjXbD+hTW9>{tPn=k{@~!4ld=t%nOfcMEu)VlCk^z>pu66PrO zgVko0WWWDWVvJlS(dS0XfU@?CZ%FEm!f@N{kQQ=|A%e?^MDLtfu|qqv@JN(i#WA zX&0h92n=;R9|#>W93HdMN*+o#F7n}oE@ek;?kE7&LBhDyuR;^VWaexr{-G%stw(5mjT{-vVUo9e-0cBia~$Na2Q<% zsMH+~nT2y<9~KUE&xz>=9p9P>62vmofJYn_VS+%{NSr^XF9=H_8m$-#WD@fxTa@`7 z%vUBtIRj zyarKj8fw9GYe=)61>lJg*^n8qfP^koRf}E^&gu* z6ka><`^J}g!*6LKtqVDz39Ls-ZwGZNq0dRvTMGybiiWtCC%Sz{<1g(+!I4CJ zSZ7%bA!}w|7jNUYjya1?@L8u-H#JS9IV@#szXU4Y0yR>&qPzVx-McoNy)lSX9|H?>gx zeW>cc-tbP3%5DX@!aCpSU`Uq-Oab9XiI&mnW=EIY^jAJTqE(R0o6PS4(PBl}Z%+#B z+>8O7!(1Q`wJMJbPPUw|8}0=bjjq^T?bpTkN_DK0o^VEpBL>fabD#KFdioCyl>R%~ z_ojRBT%&|UF#`KnbJ7gJBX%-xCx(#0&+8&QAr;A3@la9Hw@6}jeR~tH_?}B~Fe*}E zXGR}bx|p@jkA?f87lrp@FX7;|SAnDK<=OJ5=DNYjoqQsZgX@vt@vC{O-la zC$`~wnZzrGt|#vB!Ug9A1RIKH%eZEboAX8OB52_a2W81Mz@0ow5?-c@h? zl{0mX2u9ozaU`Se;;f-r1VgR09Sl4}1TcAK05c6AocQitMaJ2oP z9D9fHf;U*3nz*&GAG6BHCykV~b%yvopCn|XWZazPyZ#QP!Rb;q%Gac+X$6V}tGPly zn3mZsjjk{N>&MQSh{nk#O`lhI-cRFHGw>F_@82OM$IaXsZNsm0lnl|;x+JVMy$-)L zbN~vRk9spYCNAbcLab!n`h7jQhg+RIzsGNrJ22E3o}Q_m($YgVgk#m3!-vBPB)B}U za2kF(`#wxnMaX~`J#z>4z_tTrq~#)TzvB#4W*@wfnrl0=D-YxV(Z0ueaF&-9Yf!Jt zr%i9|(q1rld7h#aYfPO-_*~8S8UtnB)%L^`|*OZ5x&=6lRO=FHL;2i>*}&o`+sPJlSw_YS^6fF(_BH;q&foW%@(mBrxS z@TL>bXOe%GJa}2Ijp>ZK2*4~N47Xg$SEAr*;?sW{tsnDzsL2^_g+4)&&o{1!8c?7T zk&NjlevN!4ofF6*eD5mkA0sn6{#8HItp5f2AxsOo7W)UDq*6pWCRY!7az3A=Ys4<* z2=sH{Bfch1uDA*9Px*0+Z#ib2G*?Pcju=_bs}8>eIj zcp9!@hZ#Ce7Zyx!X!@oF61w1J3lant-nI_i-_9knvKZ2@U{Fh~z?sI`LaWqZM>`zi zyUsWV?DpyLwrcX7)x}a*du+yzp>lJnj{_IT{h5 zoBcc!151$V5jjjjV?2cR%)|Aw%4;H-F{wBPClAtfa~xKGuB#P35n5;r*D`E&g2q@S zXgVeBtu5P~26u@s>-1oFG+uCCl4TBcz}{|O^~&s>3tog{j5&0QLgiK zN}yvf**ca9nw7~s`mTiQTJ6pQuX{+#zcQ=scQv^n^J@)!YLRt~iO#4=q4_a^j6lg_ z($AtMH3DGSUL%1KlzF{7l$O~Jyz z!T;do^q6Q(toYH=bE^*gE|bTt)byvXvgAPO9^SeQ3@nIp(#IQw3 zaU*F_vaJZ#J@EcYHM-}bW(E|ZH1ckay4@9te*8!v@|Hhb>qz4*Fill4GWQ^tiM|80 zq#cl?_r+9tCh|^R^-^`4#Y+d*{Q0;==Hc4b?Zd8?nQGY?OwZ;IJfG$=VJ6%)7_S33 z<*#d+FC#Qc9_+kp&%9m^POrE|qDt>WoN-3Ux^2c}alHm$hr2X2g_E#V1XfixSdTvH z!fxYZI1`q?1>)WJ<{0w@qUXhgBRdw%$q2X*>UUJz#IV{ghLe1>mA1|Z#_JV3_n$hf z-|&O4v@#OJ=(A0$IXU1o(++D9a!z-!lXGVg*RZU(MTvLl(CJclq4EQ{`vY8r>e2Yz z4KnqsobBvPn>-AW$WPI4zpNp!9zt6-1`xcRn*~hrhP}#(ObK1AOoy+AE5+b@U-LgO zzucFVtif+Kznz~+%jX^7+;U#n`8*DEXlm6b$SsPrgr8QTJI}5;Oo*2-w*|%s1rr^v z{JHO(`+A-cDE~gCcY@*aRM|I3#CcIhAVh`FQW=QtFfC4ODA)*mP})B_U$!zm9q&14 zD|VgIpOG5b>a#b_+*-?FcZagA^31=P%4Z%G(%9aK+7yO%FIeO^uX-;#r7DsC)sFO?a5)Vrpy3*+jeS2qQJ-s2rcXAz81EQkJ@ejmhC~xh zdMNEZ4G@crZ4E@dkBo6-hfj>Ju`x@bcE3l-hI68-#DSiSpfnSBmYrbCl}((L5oBIM zH6)M7*0nNQxEA&sa2s2C%kQA5)@I%^mch1va8wSwY|5oHc+CY*kfFogL@02=@n)xe z_s5LSCJxSy!`=t5f#$o>t0tzGLLT>OWr50w7WOvxWjo6D)wY4Li;?#I)`}o8nuy9- zwc{U>#dgL@)Z*5bTMv@IHq&>d;ZGXv-p3habd3L=?F-}il-?Do^jf-%pSl)lK*ULs zMB{z1UsRBq(qVHw*+|TEoPHU5PkLM=g3*yEqHL(HPI4H2Q#ah^{MK*adTGjrKDH1L z_To(Ahu)7j5?h#)#a()h+N>vKNlZ1?e}nu>rN&DFEJ|ei!|irGna|sbEm70pX`0DH z5<|$m!8R;3now&~XTZ5`UT2`5!>3|D@8ZJhhTws5x>P&Lebxw&Qe$cHv=sPOvtlQ| z)vGlsO5dBN^iyAEsI6@L4PA$1mXY+3Z;g##W~>PMy~X`o;{)Ha0=Xtz3qqR_J})g* zih4SXF^v%$?k^1FoFCcOdz19yQWmyD4?O|68LM<(#%g@m@ z-~~0iJ>h*}f(qIAe90(I`4Q55baJyXgOY8T)I(_Z-i=Ghgn2==d*K0BP=TXD*!kId z(&r(T&7z}m=0^ONBbag_mi~H|b7&P`FUWfiO7OD8s^NXeoI*5&xqw*vM4)G;(<>U! zmtwqzQC285QtC{SNQUg2L>1-X`ek^sEUon1 zOiy+HGZzR6EAneiTuJ+4t5#AYKMyxC@Ud^fY6X7*s$aWa%(|jJ=#jUEyK%;}BK7ga zjTeEGKqOG7XN*)8SV~3S`-ZvoRfsOH3a}^b%=8>%tu?d2sMD4caMafc{^CsdvSoI| zI1z9xCx1GSUk;M+aC=8BXpGa8OB}F4Nckxz{%2#v*xoV_DsyrH`uuB-p|U;C!}^qr z$mjYUKJS=mpJ2Vy>HN93=htTp)fa4QC}7+D4zVaABUj}ubCI^HsnS&Q?ofas)zds& z5@O5Vj7Es_A=kadW$RwiICTx|m)3%^qH&H67QvFyCi?Oc3;iATLdh~rMc;} zk$v8IjVn|VX@%VNQy1B$Lyv{YeGq^reN8cZA-6!*9d6{5)z3BIcBvSJ6?$+QRW{E_ zDKC(8zB_A-LB|^=!wMaHBa{#6W18o2Hi_?@?G~D zt#;hdK;{qqL*33|G5!L)VuD>}`F^`*^dB%$jUdLy)E8jELtfiVhQetsyJ78;9F8pfpbUfE%Kjoy1`MC*{6ut_b z*KwjgFp|Z`Jr<~V`n&Bu7`Cel3!tL?`|iTEH4bbHIELf zPouD8o$+Q&2$ZLO-wzg_un?X}AAY|6lOO#|E6FytBZT{Bwg&fc zzo%16e93~ObSBUR1qH?k#n;! zQfm*!b8XCI%1l@GznTwSVc7iw-9@DL3R^4OUX1`aZjq^8wXb>?8_ghIS_3GLkC4Fv zbIjjSBLI*L_QS*62*4-H4EUsX)$9FfUc}zu`$4$qwurUC{5PhBF>aPh$Ixf-t6u+K zD36*W0D&L9{>5I#uV~Vb-PAVdfR|q>dpS$-;b&M}p)19kl~2-Fz319do&FtO#)vewJ9Fvy9z!UPGrOT1 zHdUSeMPA1D?Q({O4hHiTkl8hE7Stw~{i8Kby5Uj0fIzddJ{KiVk7F#W=~0uSM&~FE z?yDcDub`AY-AXNtHEJ7_N1^wqhCEZLkOiZV+J;@vBXMuF6LzY>J6|*u*JsMVhfTim zl$l?v?e#BYGj1FaP0?mrrgsbxx5i4>-?^XFJ&_LUc22^ThQ27?!3Yn{IzTKoXHRDPT#Z)$vS1G zac)zX7UI5D?j9dceVX4($G;s%d7Lmu(G|~N(jfNo$FpGPoxySir>Mp}{iGV+`c_7( zYr|ECnC31i=(o(s9kTYLnC9&=hi9 zX&Hq|shNT*Ci4O|zseJLxx>3mhod1|y13E>3p-1N8w!Q}v6RD`*Y3uJ$5L>*tEM2M zmUuwpbixH5+S8}9PC=T?^d0 z;(Nc>fHm5wi?+ii*{a}_TnfE9TDR-~lOrh{Z7uR~aOP&ou&6~Y#QqNY{b^GQl6tc(butSqViOO)p0Z`=4pIq!0vSmO;u1l&g|qg6Xuek>w%W$qJmpwt)@_<;r zptIe?Q&NmFvkLYsB^4QNq(w+c6i}y!oeX-u`MQDSK6w4^{icllfuK`dDRtx;QTj7( zQJ>oxXX6{ki0w%)r7bM?#e=+=h%v58tS6Z1F)l`HuLjHaW5}L=O+|K)`iNpIQym5GoJ`1YX;=zV39CBkg*(aCUJ3Vvic=>)+SxBaGsQzc;|$VMezY%coxmxj zzB@F?a+aL#;BUZpuU0 z1`*3#an|bjHOQ7Ku5`|TF$i}u8 z1A_F+x}danc*$y?gwbI__WNIpSbCltNo^hr0M?W4wnn0$2h4RS1 z7FyYST~2~**C!=6U~*6 zpJwBDn4^Yfd`T}q+jWk6wwx~VKY{zrndMB$T?wc;K#W&u8s zJ&ZZ}jt@Ry#0+iTJ$IQktiAs#GyPt3KxgK7jh$&}S#5@e#ntydym6Pp=u_0+8~9a# zO6KMHXa6Z0Alm3XwxtJ{WXERoi;BC42l=hK8u;tJGafIcZLFI`fW5fklXoKG8P<*2 zMHtd5%|?%pq>%Pqnbv6aUxU9FOW^-Hi`h8clPzqB%9g{p?Qxs2*;G>1Fz|+VVPmF} zqq6`Ib_Rb2>fu?yRCaXQoDjWlC;8%{Ow`Td{1$tZgrD0mT6$z^Z)pDtF5Y8JbJD9R z!~R?Quw zj>*+bVw+J=ZH2V1zx|M}fWKU*zTR#yycAGFJjV+L-rZc-cy2FqPb`_@;4 zUwGE^v>?gxyS7%@jN-RY?t<#yW+Q5>xBDVT0v!k_{dJ{U4>*ktY358HSzi{Xd>eRu zqJ;8-^LkkF$!d*8MJ>w833O3~>b8Ol7^F3Ds10NU@LcXD`txIg5`!?DtmaO~bW;yc ze=j+e*lqVXmSxukOYL1KyOKQ>ZI^x>Z0V!aAoP4^noo($dzH7E>RMukfMO&O2uErVbBZJ0Ash9P7Eq4Pe;YK^+ zDcv8=Ymex5S;`LRVHQ`MXW%{FfU(jp_@Lij7$W80R_D5M$cjKe)|7A7VG|8}PCyni z)pu`UnVg0ez%14x7zQ6IU$+-+k4Cy3IAPlsP>=g8jI5hlG|%QGiHV;sLklZ1jz4HS z9Q7DDAl%Ax)cjZwbXqY$%ha{guc?dqz@b|+f_b-l#bRgXht$2J0o!R>TYI{%#cc95 zfzmNx@8Lb-oFhd|pmYm>pG0vnV57)od4y?=L9dko6zF67$~WvF7KUGGnxqXnyBuD# z&207m%zxbbU(f`Hr)yWzUgCy}(lAlPW{q5KQ=4^}JsFxt-uR>MuqUNaOK5%)uL4nb zQGGUq>Ezu}Ps(l5jgf-SAiVLB&n0$*$!e1#N#z z2KtF^wJf7xRWmV6x?MB0RoxasE;vO(e9w)Urn%%Z41t{G6UDExhYRkEF`)i+xEOQH z&bk!b*mcd%sa;oQ)WdcKO;AFZ4;5{6VTG|=8!RZn0x^u~q-eP{vkP0^r_8&isN*AK zhX&f`NmA56rW?lR>0|b`( z2#fE%PNK|gg2KAWkhZjAK_Y;04N2LXI0{tk1QQoXbj4+)c7JMcF9Y)2KM8eQP|m`-C^_Ll^i4Qywl zu2V;C%WvHugf$Z*WHPp4In|v?cY}LD!KOfOA_BbCDRJnSZ2_~}aP1Y#hC69f-rUyx zB#Ft=OWG+BE>`&ENklX*XSoH5z0_+#PUbRCtL0u{#m2-!ORJ_{X2nfeZ-nJuvj0f* zzvZNtk>x?+@tz z0ii!YdWtj8zZH+I_0jn{3J@p3;3Po|x5M*83e&+Vkz#qATdX-RCfG?r7k(p*8_=uo zN%A4D3t}hlbOeDw>uyzU^s>Xn_eMkglw8J24s2JWCNSKGv~1Nb)?MUyk!4hhv3)B# zT0NwM7?(3Xt~38%AZdN}Oi4Zv9Lczme+OM9fL<1; z??&(wXGSV>Z!z?EyH-LMQpP8C+Ou{yEbiaWUbQp<5a|yVVA21g^b%me2sZqp zbUZy z_=3r!9ze5l!!+KsWPRJ4xtQ-KILPd( zu|V#Mw}Hj)Is>9ULJ5_@!$pa!e2YV-t^8K7F6F_qSKL>$sVRXJ+4TWMBCuclyAq6S zIv*Fs0n&$M8Me80qW!IzCQS0`&d_^L$xsGIKR_PI!ELD{rLch?;Ns#?7ir_0cm_Cc zjp9lx0OnbNE|9wCOL1Dj3BZQoW3~Yk=fBCbc{p$}aHq=0r^Y(9lgm_LBSZvzATn3;L zcCAJ8Y5rQ0Ky*?NO*ZN8C1GXLOQ0hYhEYM3U{ff$D^3cvgfwGb8ZT4|>udxXd3Fxe zaGE%1nvtnMNjZzKjri>zcg3@0BsA^fNQU1UuQz8=2_7l=^6Nv_?3li3DG0$VQwyT! z=0qe&or*cIJ*n28Del?ztI^_8c8+cCm@FE5-#-v!y|7O)=C^RN=*cA`xkcO zx5XCy-YqtHep6m-lz7bal4N(uVcBEa6{TrI50@<_LH}0CLqbJp$17Pk;S^z(LW!wC zJ}|~#_)v!gIlHn=gapnHbsU)CG%Cn6Wrb#z6zFo<*s_yy;89UX;n2nxCM9GAK{Au4 zkb6%n0P8G!nIxK^BkzH*8NdB5h^8ay81*M5n3}R-uV_VWYN`bgFI;k+c;nl0NVbfj zHvM^*Hr`CY-`e8pF9n(s>;WU5a02&*W(gTduJH|Kf*n1QS*SWoozPs^_H(ARhNov* z=T~MBeKJtq(7g*a=emi(Z|0fZ_rq@awZ`4hxmh@PwZZv(f^KL#ZJ&!Yu! zb)$+3^6 z3%!SFrxeopqRwUSzAF@`azdE#?UF53k;QtKv6uS9lsU~{a#;I|%;A6@dq-hfJyP6t zT#K2v97jobiT<;~T(XM3FPrOZdgcX%%U1${hRcAlYi_|K>s9N8Txn9arBH|7BIU{fHWhS zeSutL#x|mpScT1TCbe~65-dik+8^*X_x|n-&hN~AL#(8BfR2<&)GK5+Og{%8|3dz0 z5|r&mcvR4~I!H@!yQ~iKZaxZ)@aS?r6W)p*#)toqzSEdMwC>G6-GK4G8-Vq{4S)b? z@u}$IUj~B(Z2y<%E@MRT|8|3D?f;K%FkOIt_eJCn>Dj$-^W-L2=5saH76kQK@Xn(EVVn;x=GPM3L+1@aWmUQ?*{X$!g?D zJSs~$G;Ux#-uu?un~G_BN!A@fm_4|G3HAn2CiH|!&=8}A%UTe^sNFa}4U6h9N3F+i ze>*g#7-}U&*c^|JFHHCkr(NXu-z^YEKuQKKA?e>XU|sZ28~C8`ZyQMc+l7WEHu~Wa zQmh|CT0i>nJqH!GSZG*gtnte=f}*j-AvF*4|Ei^hR5~4^SP#Pbcy*z64TFZRHQWe= z%j`5B${)?|3%fK9Do#^364^D2s#^`iRMPd6I}iOK244R$xcXzTcYgGlj5glgqoR~g zWjP6{Bh5yogvC^)pAww7#7|>zQ}ut)o1+6l?}`nsm;a^rq0T>5t)|)gPX)K4|I2yb zf68C>@gKu)5C4|`^WU65*s#`oqSo_ z>I;E#12S8t!y6Oc9lD=Ri%Jn2(;1kc10KpS_49eP2o5}@u{WdXs7Ab|?13A0V5l$- zT3CY71jv8{WZ)PH+EfNQS=Dh_2Aw(61rp^166FvQxQ}OYG9O@iqiA z3{b%R^w!tXrcJ=A>zm#gNy)Dtb(g~TQ_hbEYW#|i_O8^UOf?qbiY2|;$rm{r(SuN7 z_3t3d6+)Ja67QZjpPsdMao05-7Nv?zI;y)SGLDC zJ~Ed6DUUc$T%)xsrHJR9fjIJj8z$6~d3yYu5Z>r_TKvJA(PB-VFO4y=0I1wRwnVS-sewz{`S-yH(&sptySrUQ0 zsHirg!(de?nmfy^VT4E|Xtr_}OGEs4xDYN(4t6If@LP!?vv?#P%#dk>7KD35F;p`c zlv-9js00tTp!8@_9qjVAywh`YY;Upq(4vn~c>*WJ!)%8|<)w1v_5HH+^!4=gbD7Pz z5r*`hXgcH3O2tviQ8v@oF$eCURJuGh$u$i%MIxvzesQomu^~at*!$O$X&h(vn-XGSp_Uf`~!g)QkfRZoS&!J+< z__RNu7h;N`G?E;uN(+Z^h_Y$PX1);e6xTxTYgCjTKRHx?$n1~8t@H!k`gqf?vSh=211p-|X9mwGM Ph{=Gent#4OetrEP`y7@@ diff --git a/cpld/db/GR8RAM.sta_cmp.15_slow.tdb b/cpld/db/GR8RAM.sta_cmp.15_slow.tdb index 2437df48123b6b4f9d82e77a50dff1d32aa4960d..da868b40c6148224cd5701cac4b9490c10a4f59e 100755 GIT binary patch literal 36734 zcmd>li$9b7|9?eJg_KgE6_rXQlw<7f=uV=NBy3ddmUEcnw&YX_cc~DwO4(h?M9g6a zO~`Sy7?Eu)%?umHX2;*<{(Qf`-}m?X7k&?q$FBEvcwdM2`}I7$Ue`r$#fla0*Q^A7 zV}QTo!18j?t(cI=i0ziv2M-;z+svrEjSTVf%KTBlZf0hX=r-+)eb6z7# zlxxV-ztxH^zS7%~y>9EyqGuZ}7OmOxNbAh5>#i@|-W)D^eay;b*I|Q4FV9>E*%qtd zQ$zeYr0>dccWxq*IzI{{lB7-aBpj8rSX)V49OF&ZP{+8j4rtpauIT=HSN2uTlt*Pm zON{$I=nL0;No~cYqm?PIzixm1sOj}Z@{Y?$-@*#Q*?j{pF&FvD;L_O>=3}LxG0a^? z$G9@wzB=XOk261%UDc6F#*q7PLLnMloHZ@Lc1`~?LmkA|ET9G>72S&5IyQB%rVL)k zp)O7-BUvM%^u_w`KcFtk+$RZQe>zj;bmWzBe)!s9caDr0O>c2lQ&;s=$}!$%ab zS*2qm`d)i)T|BG+zo_u0#vh&;nZFJz@c1srP8Z3Q`2OJgV+GvnVwz7LqTGUAj5# zL5}3B?B)3kfA2O1TzDrgfhbc zXc+YNDkjJ}lD)mXD+LxRk;hf6XZiWA<7V^D1iJ-`LXw9A+FVPEGKZ)3orS(?sAtd-FQiR07wmCh6S%iPZ?1NS~NcI9ClnP zd5*f5nCXd9rG0PquyLAiDH>c&+CPymAN~0p3DJ@#AA^1wwtpmh)@-Zp`212x`9Nxy z!8~^DQoN~bkJwQUvPl6?2Wg|sDS4^nEv$A51y#l zov>P*tpV0kxTbrjTjU8`1qG%AD?j;dpWTa_l=*wen^|70vnu#$1z(aT`MTy9gCRNa zZY%9)bEJlRkum%x7kQzN@pJ0iZ3_+AX}Xfv9IpK8{C9{!yZY-yx98on&EI{C=SG{~ z`+xlw^lSqQ){MC)s9}1nu5=Drr0!PUU?x5QM#$ljEx5wBN#H3Jc0UJQpFW;^kS1&< zVsebx%s-PVJ2oD-d#ioeY;{t$B{B_TaNQ&)QwUtyRM z@ENHqzI_*6Di~QWV=O3n{fw=`*Y?A{O%b;l>&08$C)y(KFiNU)T^IWGS+*^a*#cjt z?Md-_0Z)Z)?@%-5{P{Lvp+ZHYk8iYt>#+N+Cn$5idM^G@obG5O#oH?wZWnJGf`rYeG?O9HG#T|tiy;9l_ z)wBCuGZM64HrFgN!Q9iGpLIL1iu&UBs!5UMUrFbdT_h|mCWu^FNu7dC! z_H-e}ig1Qmu8fD5KaVu}V68nSuox=JRgB(67YSk%chEi)JeR5NOuBRpy!1Hg^55Z6bMaqgrXQ=;#W;D?571xJ7ND0F{`wEVio7Qf>21OyGFVp_yTK^>7V zf3!U|n~*lLvF`d!suAs=^EVBqUZojR=bQ1T#4`e@Ns6x6Lyu%2%hF(|EBw>>>dM^| zQ5MRWrQS?_Ah;vwN%cj2@yw?d3p`X{Plrh(zhBc@nIJWVKQo(}Dxj*E75_Mxu{SJR zkjBKE67LXrtP({#uO(RulDSv(t>0)A<*kYob&(~37sQ!&(bt)gYNb@!)CmwavgFI0 z#dYZhI(Q8QvPRNbiF(@7`?k3wSpLR6@B*`IqW-Pt+dqO&F?S8?J@DRHb2N%E)+s13 zA!w!eq+`~ic2Ful8Muhw2r~2_8=S#WJl#3vVex!weotM6P6o$B7Avkvm9kHmioZ3> zXIblhMmEw9L>PhI>M1*pkq6$3j!qrj=EGkta8bc=B`vLjEK|vSX11LG;>Luac4bIS2uD&brQ|#UbtUWz$D{7nAgQ1kaUU(CvuKr8PhX2UQk{yBZYGo-Xm;elL7 z2xV5kKNF%+bWqQE?bu#QRtqjy(8Mxc1*RJp%%tMiq(BEm+Tubm` z#&V`+ry=!~EuFC8zE_8{-FO-xZSp<}KMSf?&j5kaFra#HDQ2>c9~2>lm*ohG8P8`eZc{=X5pnU&txA8h zdU(!Df3Gys10G)bQfc!wa{d!u4Tak~1+!tXWkt&P(oTjYIT7Ui4dq+=)|&y3L`(kTh61Izvlq-rR) zl-g2I8T?Hw@~3$1l&A^nyWP^&h1H7skB%hy9ru#f>h{-8Oz`TNyt7Rd)S42nAURcq zv5D3636&!t2rvM|YCZ2#41i$1=!i$C*H1fcoQ54kRq!xb0i1k5DNZp#z>vb05k|6; zrD<4bqQFtX!*YL4we70*@vx@cEb=D1VMZdTaz_I^r+vrL+cijBng13c!jJs^9uoPA zQL2V=7CfzFlz!=iXu@MjJwvdKSuYryx%el2WFw)WUa?}Q_&&f)I4$T_7Ph(IWI~+y zr3P*#bX~$;hih#}khA00O^yeNzkhlf^voZF{-~|cftTJN0vjvBfI-D*q8wi0)G@}a z1y;=}*wY-&GVshk4o^$b^GY~}+{@BcM?mlh#%btNtKC)%-aegWuHjRY|QEvyjUJbb@7kXWThj8pDn0hwU`n%q+DU%sF`iJN9h4}f7So# zR%p%X;S39k5~`JxHMjWBBR?a9qi3?vhNiq}UH-;;J_i3O`Ls}l>12|!o#4ytuI3Td z#|{d@l&=sX%807FjTqzV^z-`wy_VUl}9awwpP!#zGtl99Su!gx{nqotM0CzTmSV=eyOoGK7 zZ{3nGo8Gf~O4hT^vbLVx(KCAX`pEbx@tIVqJbpFdI1{P#Uu|Jf&p<&ly|w)6dK9-3 zS~XCsk^hmllCZ(vWPR7wr9V(_7#iUHQO>!_N`4D0NHU%D^U7NI{Si`6V3w+U4;}$- z={*JvF*%EGTz@TST2dlQO)UtBNU`?29&uTkWrxxPZ>Nwm`5+dfS#)2(XG%|sbp=Ed znVEQ7s+4z?+#IhvijOxu>XFV{(Qp=cis>K4b|_G;?y%yFY^3>|DD$b;A9j__-PM4* zeXp@UVyQ1udsLbaQh22s+>X?gX>vSvhz(L}zE3TFg?&7i%G;L5kOwmEq*=Es7vL!^ z)Y>YKJI>RRGkQV*KJxuqjCJ*39sMlSy8eQ6GKlq>$zL5Y>p8Iuo&=j-!7YJTs&w)4 zZQNZ!wqRTjvPE$*-8()-U61Jtlnb3x5 z2*}y|8g^yM>2%w4kNbi)!Ct*FeZ|Rij4okBc(gHXGRrmama})#j?33B) zgcx!9T?7KY*?{$))p(h*&of2VS&2W8a^oapo3lxWG|EHOsAL#AST7IS5SGyA9m{_0 zK9RM0W@KQs^Uf$TIW|K#d!ng@e^0`5Z=e%)j4+Yl3TRlT%M^Zi&DHfmdB0(*^8j`n_pekUi zCSavWxs78F{H0~Ayme=BS6k=>N|4CSWE7V+%-HUVaph|M7~!KyRuRDgK3N83^H zVhg=e14T?aUsGubm=B8JT&h%YUZ1ohip)T3VH=9%Gv;eM%yJkultFbwv`tE;XPliR z4v6}^+c@n}5&o-SKu>-_5N{&;OY95uy{xIY1<(wqHh<5AqkY3P`}{`IVTwnp#XZ5K z;FKOjg|wX#`iXNY!B`xVDivdNP&QE@j5W(ceTMC$>+DG3wE)`5j#(+|2YeDcMsGC& zSM-3oOORzk*phNB-8RePuHdO4T5n9tc{c@@&R7ndG7|z2G3ofMyt{&ff*q++r1Po> zxojn!8V43MKj%+K5_N?tt3)HO&17}Wa`@D0KB%9e!8c$LSqK%;$gEC+2)G)ayHIi*J2H_E;5KoQs$-Nftd<7A_Os=dp9t9 zOj7_VV>(B8GIIbu%NaSWrL7{0E?ybAj`iO}l@8VhTT?I@m~AZTC*H~W$}^>#So5q# zs_7PGhQQM_#gcF}oxB2UPbv6RaZ-F%(3vWo|KXaj)GS|`@e*q;uidJ5Hv57iBcQ1~ z#B5nXYad zXpM?E2L!A1SCNxK1HSP9}y3HxQ!8ela=a5_jG3|Gb-p%|xK?SqSl%Ope(}+|jn^7E_ z`MLjFC)1&8Kt_P=Yx<0|EPv55cV&ZC?Vy zM+2k^AnpXy-y~%-!82Vq3u?@YZ*e>+b`rq#kQRh<>3NyZjVw_MK&>AHU8X5V38&J* zE6DpPpme}(=(bqo3S5{5r^VL=^C}{C>Kno7ROxJUthix$u|ZG{V9R>T*iH(z+0aFN zxPFFzrZm1Z3$v-7Pd&@Tsshf+mkIaloNN)T@-pEeG!f$(P#xT6yWuHC^_}Axcaa+j ze(Aiidu(6~yOISL0W}<7CK4bnW^;dfY97TSC6 z>gf>OgXO&VzdDm0lTLrq1;EZ%Q68j-(oh>%(iU=VlzfoirD37LFk-2rKJsYCSrGubE=7?j+zgpPsQb{#zA z*o!ML5H)io^Uc_?z!wwW< zO@F=MYtuSiF~&U%ZupZY3e&eH=hq}m;v-rwi!6rTbpd~UN$){Fort{OWWH8S(Y*=U|wXPo!3 z{)6$uazk}u?$Rb|$b{kU>8jDS327Rb)i@BVhP7ZK3lSG-R>~(f)-U<&6u*&AoK10b znwWO}c-Xu)C(tfgRMZoV zAePrqfMHB0Yk_wNs6%_|{4am%T8+Hx7)eqCJBMI(MRUyuN+k!*wCRlaQ&SvlCKenw z)+jeg%JSiNM;rxZCMibOYr^> zbtO0xm{$x%H&<{@K+#jICY(=?Of~rPmQ1-iLcz23+X6lzTkzZG*CiY4k}4XsRBrpIQSihASno5SIq`gEbnV$4L<0wY$m*r@Hw<)fs7o_2`1zLiQ zdJsL*QHn<HLFCNrmKQPpu_<&bhf4ND4dhxEdtmov*Kwg>_Wmm!8_$Q+uPK;f=oe(o-~K$ zX+qd5ySLNK*_gQrQ`Q5LC=EXU`tY3YQX5PLI#f)goA$*V*~0kgWwFl_+?oZq87{CTBYeAS|cF z+5+EIIR4;>it~2Ca0GD~##6#OqC9~iOa6$Ui>YA_f?};YKH3`TO4W#^rq`k;?vvub z>U1rn@$92-D5IRe)^L+fiNgh@dd@4xOeyM=rd^33l67ja5?U3(SJobb4lRN85u8`X zPn$L%&!sa~^Eb0hnbW4r)yQ-JlgZq+9W6b=bvCTM8zv(8Av^H z3+uma&HtUDom|GONs5&p)v$FOEZpG4{&*qXBMV@}>Le(7>qR z3|TR(TGNzmgv;p`x9N8UwygHe_-S{yO`j^%OX&+Ey9A!AyrET!Dt*Y2DCKC4H`7e5 zcYG>L>7!`@vKxMf_TF2v?gI{X#n_m|pop|(p)iiI_(CdZda!9L#34$#lypFL_pTf$ zbEqi0hkbM{^y-Yuv5P_>8Cp~WmUKR>8MpMei>GH&=95-svM^a4 zv5UjW6F8|b7+h2)M|)IRxkH<=t9s1;3eMT@CUK1VuL)9@VVmU%Ar@lY)S9>Nl+tGM zno&`OOn@_y*@=0n)M)s!3##OF`=n@nB5NF#9zF5Yd@Vy?ya{WuO1TGc*DnRydJrSU zvGjj)FBi(fQ5U~2qm!Y?r>SJa`aBOG@d%)jM!Kj2lw~uMQgYwJUi`Lsq-i-L(;z&j z0VRB0zw6fXHTzORn9k1sSBZjE%bYhM987U%lA!37sAapgT!)`w53X=FrQm?-Kmybt z3kdjB-Kgll)?(JzqmT)n;tc?<_ie%hRY5@1np73%({0l{9teI5H1)>TJ0GO@eri9N z5Gwws8F6%k;-WUg9tP4fz}LA80`-svgzL-${zsddvs4xT-1h+Ldd<4GBr^iXRrJW< z1EoZ!TtzfX091*R+#)@l08QX)@YTtd6lqKHe}(P3u>*jc`XmSiobom;zEOSN{11VS zo|?)YEMcz!ceTj$UOv`h2g-H1t3|Vi&hqLm=#k~FL4tkDT@5-g z`pb8`oFD+J1sy;kqpJuBl;?pnDK^fTsV$#)6=Vl+i{wWsOU<<g)3BJrQN@$TH;5^dt6nTkV|)pJ|h_ie#9 z(k*?@bUlN_bXD}uDMuReu#pDm z^4iWN@sztZL{}-43e+E?3VfufC(zKiGRM7I;dhtN_KH_{lnw`<+`f}$IuZe1+B4K= zQeI%}WH|C@76pSLs`81j`x&)<9RW8~7J^-XlYgHBsh-n3_Gotfui6OTtY~BF@ldbb zyVwq|C+a^u?uhvj`jUP6$gxMsi_Q@oxh6>;=fV#BDULyYk?; z)#Hs#u#RHIp3b*4L<+H?hHHB6O}YfpZ&F^JkuRFK9ykA!)@)^Jsbw%+pGh-{C(pY zyTkKIBKVAFJD&23Ja*wHCiNxHbq?h~TXMIM&XM(K*MAWaOW-Hm<5+rf)GwuvTb`Z# z-s3n3Dw}%^>#gi3zCKsD4W2Z79^E@#U^Sc+UTO1Jb#)JDtT{Qi< zasyBFwdjrc(ZzY?z4-VoLE$xL3uofs9~n1|uJPkOjMrlRGWwc*rlUUhKqK5Pvm*x4 z`)%l#D=@kniw*vveZZEo9gzD%FpiHJxEoav@!ubC-RIgiN_V+AY>U5@lX#9oSjhaPo z&&!~x-G5q1gT7Sg>bOnNFAhf6WqsaB3mpkDoRk!%SUW$gUJR+_ZDIo+*gMm@Xl$xtKPHDKesja-L1^Xm_m9Z%ch!@p_nnwadNNWylWgqm z`#PlkTieoJ#@=#%;MQ@W@18DlQ{mNoTj_U3Ez!a12S->(jL7om3co`}_CVVYw{ZuQ z1HX$4ui6tOQ_4~z2Vdc&*G~NMA=33?+u0B9!r(4;>32+r1AG*F|@10zk6C7 z-W|PDUH2iS(eB&B9wYiU{4d(h&hmmEPCK{z{}SFPlY1sf39>zjkuI3zCkKa)IW8tH zX+E8W3md%OaCN?apmursw2c6Z9C%-@<*E;ILb92$GJ^X zgt9*A1#K2!`R81k7B1bSV#Oj?T(%AEkZ{^eSF8L@#&!m*NhV*vbj2oO@Ds= zbogrT+@Dq-mR$e7;kW2jfZ>L=CttYyL##x*TM#>uQY z=h#l=KfV+rO@dlq=+h$IaUcFpK|Kla#?lpKi>1x4o;*YUemt(^x!r0OtLVq2*yRHI z$aku9btA3hnJVSxJ-`q-n5&GoeRVK?|M2#{B71j_D{y_dgcBmN|Pu=GuHc z2kl<%+%WtOc_=97#8b^jZj2`c=lhq>Ok`cW%t$-~aAVWoP4J6tYsYIIUWI7_+GbFcP}@lVVFKT_qK-c`)b=G&QovnxUXt) z24z*7+4;f60Z#AnKiJPgrTY-9x`O(UORz*^%oDN~Fr4kMw_hEUMp}oRbE;*i0`7H~ z(yg;`>|*AS>P|U3UMf4cATt9fYq0}F>zpoxq-q*w#i-I3MxZ_m_ zF>eOof?I>SHRMDco@KFq%_3E2T`!JpV*xLbBnsLF6N4#U^ zfIt-1y&pRu&ZdQi;n<~PwpTj13tNu0I}u|9L0F6?4LQ4EY9|)8xm3{qm?A)()ZFxz*s!yhXGm<1rZU#@vL^xn7sQ+6>+RKDlGRG&|wjgX$5%E{9xg4iuk`drJ4~x-$1~G_;v_@{Ds`+ZfwDysq-& z!d4!xoc(*sTlGP_f~;0n20>jc5 zTw2fZpBYUGMHGEoB5Lj`7QCgr{z$4eT=M!*Yq-_BVp>Jyr1;(DwXsRrMaYUoU97jy znef3ILB)nO)jjb~&26sYuI(rerUL}hrTZHB;yp5P1eDxl_ow+e@^)d;0GId>zaq!i z(JMZ!rYwo}Pw(8bVvjQsTdBG`bbcn$u^i0B=lh&;<0K5#O{pD7bD>iS7}T<-yj>8v zJ?@j=+dXb_PrlmpZ=qq}ceNzwL;%)8hi237cmfwE(G8r$lm(nXLomMIz#|j~M|o1A zg!AVR+8q~DFTq|*$)WL#DoGWa8RNp672&{`9**(%f1f^HhYP;AuW~W{Zo}!FSnFs%bk6J~<)SgQ; zA4zd(NO_Eqh6Q$wq$I;HMdm-XC0au3k}5oh+rT}?y9p4s`7Whl@RQg5eDo6nB#5Y- zkA5EQ@8}KTuXmz`8~D$^+V@^`-9x_*F8%@9z~hE|)Xr=UwnJDJO1$E)s9+f@JTdhuHeK9lJKW}P@k!akY*`rA z!24oxxM9Uq!`$V%hLHDR^Ty*!VKmA8uZpEvs}KFNtfPONGsx;ZG@YE+vgtf5sYi6< z;#-z*UqwXpx+LP{+ZX<;4o6IT|Jd7`J9)0mp)0g9Xn$fv;((8W9^lE>SoFiFgj~ML z*GAqWaN~Mh7u8qpb3_U4^RHdyZ-C4QtzIhL#cO%TCLwYMY-q`u-gd;whBsiIf6K-a zi28;Fj(e4tJ1#V7lG+kDUIM`w4q$MZ#NWajHEbdqy{#mKUA#V>fZ-vkBJCaFqkdYB z--kcULcE4X+)>t~JrU@DAT-JL!L_8#%CR^}HW046-#ws_S9y;1roN9(^2d6Pmbc`_ zN(=(CM;fX`z!f4l;>`kwM!VbA;iE?B5M1M(zy1@@-p#`oh|9d*17tze12~5+)YdSP z(e3CQvmpZ4^&9HMn0R+*wNfhp%%L88ytmxr7bD(XxjJZp`lJd`qWGipyV`gl*iOEw za}tB2EZ&mbc{~92xGn!BgkHF;Ofjy@qJjSKkBf0!MMsG|P6#8pw;!6o6lXr9pl z`824?^?a=1EFVCh77h6-9)+3&0I5$)0*PO^qt>}eACB!%e4s_%d4riC{>-wM2X{_- z^ZFxWCC4Avf}T7l71BncA(rAk?n7AK{H_v+pXTGDcVRKnfB~IHk7sKKD?d;dDgf3d z_Ycu$19vbF7-S6sk%?W8zrH@YYIdyH-2xQk$*;R?CAFbTD?Os%`wxC4O-}t8*|p3m z>NE<9Sw1TZl5JAjTzXb+wdL89u>whUFUEUMmqPT-B3^Onm77;mq{0g>dGdGwvrxHM zP(oT2lpR7O6SHY#S0A|#KMML=IKHO>%p=a2Im&sG+kcvseQ{ikC}5D0^J^<4Qh%uepOBce5sYKItJht~~&*%OV` z_0zB=kl9P<-!MmFr|a`>fOqekM+O!1_qW%ggm#CH{8xPDH7&| zI23z0&(86!Z4MN&zi?CU%{nY9d%D7bSPbb18#Fy}_iGXqVa=ghPwX0S_YYW+AC$@t1$Fn%h*jzxoexxDAz%5TYhUwipc;dfj`OPd{$ zvKD|ty;RN~^_tTah z;?1Zp(nQ0LWhKTP-NB(Sm+t!iJp*|D9~NiDWqkj?E=yJp+7B+pfaNY3!pc7j z#j%&GA8MVpAG(1>9r!$Lb7cb-oT_%-P4tU#35zoS{2u)7Aq|uUiRP^?o)Jo%uS6TJ zaHMlBPUGqqZui6{IqK|D1NUYU39Ds55fYUQnyI=+{2~30eQoPbbR($cJt@Tj~pmd#X&2+owEv z<%{^mp@o+6ck{bA9zlhYK(i}B9O|RzAT`tCTHHKt=FKP7bxZTZA7%JAC7|hWp>BSt=ezeKyO?aHADJn6hnY+YM9h7Cv%kLIYt? zQR4Y05js)|H;#O_H&6GImc9Jk#NKiX7Go2Zp9kTzpQ&2qj#5clXuTgW9Dk}TS(mto z%YQ@V3ti3+Mk`iN;vJ-Edn<64%A7H@_}A}Zny*cg0q#*^*(|#uk~?@EvG?JDK#YSt zOz7a^o@P8Hgq6`@b(vKlw8BRy>9$d+!_qLR5RsS7ZTXZ?rJq-N$ES+m&M+ptmIN73mUjK`p3%Q|1><4v-?p(_n9_MoV z%VCnz9Nii-K27Qboj?mA*MoYndawccE49BLd*3{%=1ogx3Y=rZ*fo7;C2N$Vm__Qb zKfIFSg;IOs_X_#7NCko|yh)xUWli&i0|9TFwkAUziD;M1nnx-n5VA@?vR@ZPij~wJ znl`Ylessqj2OolQdjN!d{BY=Mmvm|OM|FEg$+pXNTFuTeaG}$FFYbY=G&DqXWN$=9 z05Bj)g+CWpPo2l2R{d%DBo760lqbHs6g{Hl*v$n2D41R|G9hfV`1_i~SFtfhV%TRM zF%mU-VtH1gyINdb?T9&s8`Botahr!Y?Ja1C-~VHp`QTa9pSU7_uO%e9~1TO`6iHPN#!A9{DS|Q;Z&C=Q#JbTF)57YMYhS-(kF1|EABJ;}{|*&m z0~qs;{k$ur!!O7ha@Yj#eEjoy&h`UtUq4J=`5jE&0M{w^TR(l2!&%U9Zq0402I@DH zDA(_cS8Ip+NxLHIaz>K8>drKtI~Hm4NW!^e(Y7Gf$uaC=b8A zfz`}i{WlK9V#zD&QX7M+PP>CuEmx>;8e%%ng?b)?OV6{?lsY<14FIXL@t%io+cTe2^OQb4x#m zE?XfFY`I*lSYcSuxUeZb1Ytng7Q2XVKJ)~s3NNCClKe|XGA%#6DqJ1LSv6SUfY43E*OW2;v7VsRN&beNXf^>THP}Q)-nj6hlNVH^Ct}kPdNxl>kXD7y~SA_*6`C4~E z3CH?)C1x*X&A*J;Y@VJ zE%|mJ3uJ6S7z+IZ=yvky3H~XtD!hPJ;UC@~pA{D?*)rkslB{Aw;qp^!PcZZc$GGDK zlkTvsT%kAR3F&$@siIow+6D*%dVG@<^;U`33O}NZRYoPtBHew9o9w1?15_;wS0p#L zvSNhBeHh)QZtj{gvZ4I?q1Kiu{4vJExfQ?(Tpl*8LFA>Aj3pKQ+HSx#LAObUl82R` zN#_n;A2^-#o|o0=F$WhG?3{w$BbiCgXi7z8WNrB=O+=`WUWPjSg1#`iZNmE^s%&1p z@NY+o^sHMo|4*Xa5s7mhz-qT;JjS2}j7i=LM7D!@{EKs)qSxnO z_Kqh4FTGCQz{aAHT5br!hAB5}etG+Sq89;CUxX)*}J50cpV3<{P0IF&L`x@+L!lRh7Y0DBh!gLe+t&QagK${HlP(33wzU=m;zVe zYn}3WW?a>rJ4)3JgNn?0*wf}op6%x_IwqcUQ(ZbH<|FgdA#4yrfqvE(Dx+;hm1~?_ z{xj|ZQpM2qH)p?9!E^8?!_rXM{2}Ih;Q29a6M3gm&O94O+m`Z|j5`X;!El1Do5*?7 zAIh|ODA}@~m;IYgUmAQP3klr8 zz8_Hk2w58U`^EfL)HY>Y>D7BkL$lrNfsQ|+&i$ZC%1!H_ndvxqX=~GY8a3^I@{Lh(^XG&hb_Go*Zkd>Da^9)J33GK z82;ND+}GOkls07>t*MYfZR-s9-`ZYuZ~V`ZGEx9jr~#U^Lqdb zyhAy(O)sD`!uFQK?iIPDYMLmMYger$KMNE#fTM0rRM^QqfrB6?$lYAtr^D`!+nk2O zlFh4r9C4QduViaOcWpsrN~0z3C(f3k)ZtHQAWh#i(xIun%b@u2V#slX z%eZ5{ai^Lrh`pEi=-&!TL+dtgE8c&`qziPtZ9MDix4z5lMhyHwipj`qv_V#*M(%c< zo}pY$lih^9KmSGK1BE4)9@FT0!FIJg!=0g8m)n@!5B@3i49N1NtI1p4m3tHfk00y_ z5Io7r^H0VuOjhY+Sn}C2s7FKNs*~05_d7gS$xXr%Dx0#1%G8?4gQ+=jiqQR+?>1%yc-zU-#5F~Y!9XRJv_)s4 zDd*lYW+w0*l87?Wk#_+1l?ho6k?`BqfrSb{vVSc`MkZtCUc9rLh^b5KUaOYnWPCp0Rh zGF}3;d^wMF0@7Ksqx(fS>Tia&dn5$*_0i(S(*Nz@;yVXiNU@9DK?yF zFr@{QLq|^fqlT_vmFvxPU(TE!8h3}@&Ry6dK~~MWEB8(X2+5YUQAyc*2e=ZdiaYfD z#+^SLaip!;!wnx$&wQt%chywBLOcmKDPCwVz3C!mO8!W_aXJVR0Yo0cp<&;w_E-2aX|=-6cJwS)5erNu2sU;w203_=kkx zGBBMR2ktv9Ozfw|N_4K8Nud20w$SvKX-MeHoXJjd+I3TBotKF%w2Hm|1be-xe3&9 z%#zX?lMWqud~LTpQ_kLV6tHgz+opK7RM>8z!_p)q4Yonz-$!=)!JS|{ADg;uO+Ej3 z`uZE&%7$^T|4|0D(6V7@HUsapCmcd@%%SjEuB#7c)+z9bUrNLp324JKLQ`(F+v)zq zDH!(Rm4|A36_SBlALl$o=C12+3^#nQv-S&rB-fXAK|fso{tE2aS&_cFE3>f3*kAWs zEm)@Tm>m2fT^B%3C7rpM`+lzPmuUx!Gqu+jmb_&R{lONw){#7LaV^3@=O1CiYO|;Ch?_XW`m>v#K`r+|L0bY5zj~l0*daco3Ns3J}Y~QD*Zb!Tp zz#hV($9`rtOe}6JXp04^MwLSWiy*`HnTs!k6Wz!EEu0SA+OFfGte`PeXm*P?mrhtYf@)SC&P5zk{QbZnumzc> z_?52k6fX50I6I*Wd?CH!(lK19Yp@HsCGsQ-BJ`p-|FbY*O%#T&UtB=sEZj3FLEHRQ ze6cbOosTxoNya>v8NnChqxLTHoSuNG>1zri1<+q6i)oJcJCP+Va~A2^e= zPO_YJ``=cW3yaYZ#!Bw9vq+)3gWR-gO+W60vVoRnMU7TGMk}@hg{}rySoHZ%H*88* z!it`RM_m2)PO>KkgRxK927|$j zW%lRY_xJq!JpVrbJb%3}?|HxH-gD2r=bYDhojYQ_aqUXg(RN05r15Q2NCMQdvP%$Pu%hVcTV@ zstH}vr|>;Zg8<~|#p;H~S{~A~k)R6^(QRfMoHsvwB(U)nE5~-$mAH0DF%(AAn7l)~ zc)=_W$fAIu#PYPDOB^0_&OSZwrTbTpFg3&n`uJNt6IBfRLvdjCGPUEGIgf#ynK`$$ z6#FEQgmV)3EXiWKOCbN)t=RRkeqKpuola|Wag&nag!x7O z#&z$=y~FC_2JMP%e>RR|t0=&~t_{gSn-4G2i8vc%IgJa+w4xOG2NTEwZQ*UqhwduW z61koE{0)SuD)lB1M*bvV!cFK3`;*^4U-WK93MF0oI^pnROO?udt-|7rV?#mLz3`-j z<{!LDR1UyVI*<2zhlIunT215wsj4F9_2a}3-S+@v^tdw2<^Mf-sH__8(WU z+$&D#A@F65LJp40)P>5A<{Y!s8;x=DXcSA!mn2NkIQV>s&pnL z1iwxS2n9Esk+?Z4fD=3@cCShaHTIZBdrm^*iwXNByN-gccOC2#AojA0vUX2YaHH~6}DUjnq`@324j}(xEHTD96F7cKbj`iOvK4BoMr5p*j zrv4_4at*+09kzSh@sUzD&vM7rW(KiCYghg)-`0=|K^#qsh4z>w%Sm~ccJw-dBp4tS zgebvn0xkTjt*v4pE@^X1GER{1^%rb;iLQ# zI3HgT;sO0yX%z?Q?1ub9o@9Htyqs#wkHF+kPO9;05?b#eW(GT+5=dizYh1D$Y;B8y zgjl<5)y5>fB7Lm;GP%g$wL@TaO!|yvYe#HCV~xvvFK!!Df=*ATw$;|%2D;dY{KEI2 z*BYVE31f~rqcFNjz69l&WQ}P8fTQ=OrwN6Q0099V|N8-me|llF(y-R-`qh6{ zkm%XHF3iiX+{O61Um3cG^XC@YLA(NUQi*EZ(Bn5<&q_h4hYkr}&K#zws3OiVjs8rA z)1GqIQ0gMo-$-F$b2k8r$DAmcBzOdyx$X0+Q}q`n_6*|u?cup@Xx|lsOLP^PqNzV~ z3L+kR&$wH*Up00+mmGxxQHL!xd5`F%iSL^?gFgDYOL_b>G+yNlVEr`C?68c|Gdwcx zSvcNQRc+HS$v`GK83KFq;+I1Ap06s@?u{=7AZCEMaoC|1wB}ov0W6;%7FmMlB4z=* zH^FJH?wD0zPc9?KM)yHqBG3caL1L) zCE@dbB)=qOIPqdk-_-<=nB@d?ZGNzYkhp+b13lBKV8z^8h&`L+UqXyy8%>$(s00aR zLMqYETi*tt+6sRfwJym7cAW5{0K&N~SBWC`-5V8RCC_Z4E(#^#=YOyTktTX|S11tx z=ndA)?I-RyGO1FzfX4BUL7-mnqC#Cik-g07+s-R#J|mZ@1decZv;l%ARI~(_*ma@H z{=Gda<9BkA*!MiGn}oI^*JsBT`XDJM2eFge`A?uaezU0~n9@$^v3Fd-{ zg?^v!MyYv=#A0)g%0^GHu54wy!4X7tR!hz1FaImP68})+HaJ7_BIh;||xqq!Jy;dTk7TeS2zN|UjT7|y} zl2m2%5y>8B__aOrjBNi~sKH02?>_eVQQYCJvj+3(8jKFVlXpX?nOd@u#8`oa6N5Xv z9LKuQ99d$b!v$pSi9G?NN)?^aoCIotDv@4N@c6dz4M-qqUk=>dah|#aV22fm?Bg=} z(&m&O+o#?01hNWMF1HIMlt8ns#9felu(-B?SEeHCMkWCm1z-TZ;rvv4hC~6jI47Ty zT?T@KGF3?-eV4&gznVp<(}$ZY-dFcG-6>*-TkJ3mQ3$3cn;q|ayLB39W=}NO2ML*K z5{j@-zc~n#?v&ulM&Ht+-`XQ?PK3lUt+clUut*s%R-P=kQf9N-v^hxJ9;O0YM^131 zyu*B`Q*NFFMAtphzaBnZ`Nd9jG2-veCkaIPZou+h5#T_w8-_SuXG^)ow&(XoeJyKy zX_)%iYWC!XCS6+#lyav;52r&ls|9U*7yS~IbCitNg}e7m5jc$i*MMb%vwh9TPnjwK zEF3FWmFZ0(Mo$OX(-A$J`6W3B+|S(S)Ry4)SpmVlHNII5E3MhLM~=R~xaB;55Y@#$ zpS2J^bz}DHsfgJcE1%L^o&QPPn-~{o&8e0H)Ug|{7Eub$AwpPY3dk)w!itxsyg$@CXmrl@0&zy zK!d{7EA{7Z$kImxNp}I^+*u@1vOg2IVd_r{d;r^@;i(fFg|?4-sU2OYEF@gXlYJTDC@WGO85;d!`|FX=;SQw?$alV+!bp^ z=nIg?A#CAdE9~FysIvqCAUS_$kxrDa7R+60_;_=}G?0c31B^+sOX ztrHIRuWfmD3%(2|p6~?W9i`(+<`A_)_^~hr&R9OcfZSm6?Yyq zT~gQ$I2x$iyEy|r-~MaXFNXGrVC+~{7E~z>yweo;p*3d*E854LUy!B-tVn<{R@r&nihZ#5*U|!s^(tm5JRg4mI}Lc(r(_r>(sZ9 z8-x$=(3ZFM`vZ~T*GT!F8xFUCoK|`G58sN>@5^N?@Z>CTfxV5`i!4R3>Gno}|9^A& z1%>mM%(9|5rxCNtXFub$OlOiz=v?82+`chpg-x)a&0N=^>4bYLy6J`~@rkTcAMFPq z0ti3XPJ@0-UGxFxH+sC z{;p&(hok_NPH3^tGt;9)7)I-Br{a&@Aky`XsU_TJzZF7w#qB4X;1k8U&5*L{qwH9_ zHNyN@Ri|IiE&vXOOf4b4z<+KYUa(IRV*3dT%$rcDHKE;T2NZLicgvug#B9WlWxT)#xfLN-$6?9?S9BA!ZsYRF{*C7q7 zL~9O!ZeHvoGE}et@ssvv1BZUX=Z1j0kNh>*f?p%C_&o0}Hka%sKZIu&XD8-^NCgsA z2HoDC$0T>x-5Bix?|$b}nA!{~N~dc(B^B6XB#3Apg~iP(COYnIA(oIZUIl%28XvWF zQWo`!8wd(0W}wa7F`+p7iA&7X{0&a%5i?kauzVNLKolQ-^Xvw+WV9LOef7x~%euRO zSyC{X2Vd|`Mj~EIXcRgH719E)R++HJjsyV}4M&~lEax|$JM4dC1h507(+A-22^$mi z#fp;)@1$RbH|02ca?t_Y07%Gw*6>b4;*#yj<5#SMDp64nZU>A6#-qxfOf*^b4K0^- zq|eIL;%tKopzo4yjYcVQjeYMFHUbJys0ZT`? zX~B8;+%mSRsamUFew}=~Y+Etze#Xl-3d!Z!vkVUtSn6B~G-OjBeKLL5xkD(A-tt5I{G~!w;f?)5*sKdF?79$s z*wR;b#{|yXNwSr{It64qm6Gqt+tT%>?bQ?PsWtY%GB*4QuhH8GDjNI7d6|^Qs7c}2 z%KF_^ln_fK2+xpD+4iHO^+{aS-|=*%+~u%)-Z4lB$1;(v`ZE&4vafdjC=H42_!3(C zj?+K9P>~ZcQD<7vr4-&5AiaIDl76vsIgBxJtD3F?6E?0vg3_C?wuBFuzs#MZ0Ut1= zxAv%-M(=pwBHRZxyLtU1*iNWFl5~N0U#vM%HqPl*)1}~OJp8iJzS@POCL}~~nRwe9 zQYp*7945ZaSBX-3xKFv#3`JrW89!jU>j1}ts=L3yI6ts?Sj*VgAoss-?h-Zzmq0|l za*C#4pAhMzBqHo&|}b4_6bUwmfnbX|S;#8Xl#1rJv- z+W*az(NlrGxJ<0cMRK$3FIXkAIff^dt^PXRK=L~#dGVKr?j~uZAgk!&MW9k3+OQp$ zEQ%mRp5vjNJy-(Zy52bq9yN3_0eNbxW{90kChn8#DHK_7t3hvXHvh zAmvPadIikTvcw}-BP-;c(11R51H@URW#ShFPTOlx0&Zs=`o0P#6y|3?&D+B_je*+5 zNEl`%5fIb2G1w&nC?ktk82^D0ESlm3+xX>3M@NaqndjzOhxR@8p zX@e8d1jMw8>5YMaUhjC$PBBw+oIs>&^+KHY6N!QTmgda1%}e!iefaw`!=v^?dN++BrD(4Lbxg;2r3eq zP)NNrlL`%*Nihi`jmi=6YNS}e{8#Km@sjd@!l$`i{5T>ce0E?e(3nuE4ED;^>bOY7 zn}B$MkK7`u0Q>EAf*{z$dhQ|?t8dfElUO^4)Sl4f=O#74#qJ*py-65vnbjTp z*x)Y?#`+sU_K)D6d1KJG_QM)!WAyj*4bx!EH9*HF z?T$uSM?Hp+4% zwPO6x+Nc~_+JIi&Ml)v6b$fh+kI3DtblW-&6VN+a@reT)c7%qg2B6nQjn{eDi#nJG&rhBi;Wl1Cb;fLfIF<~9Rk5B*c+!6D~J$B7oe2r(Z1T1;8rA{fBTfH3QLuN81i8s=TwPH$WU?UcujMz z#A#m?uSn6Mzek2>!u$vI2;3^2OX?;5dbWfVWopevP}g^k;4b8`77X7fUw0D-P{~N* zvW&GwC8|9x5bmWr1Mt#u&>$HB2Cr=brWDmFvf~7h0yx7LRqvdq^QnNt0L*BPm+r0v z79zBT{M8_^7IevmFFN>(k)E0WDWKZ=WK9S3Kb&FFVf;MRyAm+EyRfTqT>ufsj;{?~ zDn_a-v`2JLQZI9iK{pBEIdQu>9?7()m8heM&T|~&&|RR;t<9m7C;V#zdDw%{=HM)< zkm(H>CtC%wwn;0f{p%@f_+u>yXSnpdN(r2S&kZ@hY5MwW;!f-+WKmlaHS)=#klq%j zMTXttQl$=@^nA529b59WXLm&ns?B51R4O_&vi%<#xX6&`4j#x^+bdTif3?w{&KYbI zvI;jv3hQmqTvfhR(<{R zqlgBlgXdz^)eSJr8_)KfxK1nqSqD?-JTD3C`Y9gm&{MW6gtDICSs{8#AZfb#ip3^u zpRQi%z=RBb`k&+)q1qhr2u-$Syzk;ofHl+(__;kB=8N{a*huxS_yD z108jpUdkvnIA(LYde$sm(t!7Y&SOhCHM6kG<=!HPOG?Sb9#Si|RT>JL!E8)nquc zR4o3-*~Y5({k6-kIxO6_sr!wJ?(> zR&f!2qKE?LzjM+q{mp-F-FtEO;XzfHq=pqOMsiZMEJ^wKR?k?_U_@gucCT&>Ma9^&m0rdAR|FvKx!~@}#6r>k0@RgIDRpanme0 zKrSgOm0){#uE?-%aMTVtpoQIf`5F5+M^?n^?Ugj}QVMbxrfDnlt%vNtj<3>;xRdU1 ziWmR!`K%u8YU4*lCiqr5H+|~lc~bSVY_k~GIOsz2N0H+nN9k$k49&76dCdrogLYXD z{tWVc+w18dmV4_9TgA8a`!sM^Wm(#}up8-PM6%gOj*e#OOG9N(uOvTLlOYTpNS)14 z=pDUrDz2gx0_n$#58!Nbwb7b4-5wsH*?0#|g2mMZh8&o9fAU3f((*Zn9L|CJUoow6 z>mI275X$S}r_ZK!R5SFdCOa&1<~5c_{hZHMfWsUGW!Pg<9!7EhIQ3jm8}sN4zps|m zCy=Jxqu$Z{mu%B}-UP2c@ln2U+5T@=4^kc+g+WL8^Ue^nM2H;sU7*%9{vrG^ryNLv zysT$WLBJ39K!p_-hpMG z-Z|1MI$l|T20#zD^oqdGH|3#_9UnvZNg>~DYc>J6^g)C&N$2B$Br#InSr{zV`}2CR zLZ7mA59DAhY}#kPHM?E z8irD(5GC)sP&z|1go{WO_4they=gXEwJM5(otri8d3pKXr9H{<08{ow{R-3RY z`(F|TOud&*zXd#qjyX!!qjeUSC^st5$oiu6AYg>Q>Bb^q_Y!_a z)Lm5^s*>zv?Z%{>f)XhPfy=sS)SUY~BY)A?KSMrj z#EesS@GsTJx`c*sDXxF0H{eN2x6%h*nB_|PQ!c~CK1m;jbo#vTdmFXi74(#Volisa zIYr+wwLF006!pk!!A_^i|O9m$euXyknt8lAt9T{ntIo@yjqayFR6PLo&3V&LfpaxNl2*g$ea|T9Vz5Lyz#hQUdB=hiS6dia zM@o$M(A*+KTnz9*-p1B6iYhQ(zq50(X=)IA&JpLX-wCUlGUuTZy-M1j#4!$T@v`C#o|J~A)(mS zzj&@FEZj;I(e;g>;xKyDT<&mpOtzM1*ijCVNEwZG`la^dqb#=w*91Dn8aQi2_umpy zbXtiPA5EY{&ayQkMaZf@H3CT3Vu33|Puy1sZasy&Qyzv>$ zVgGrgpN0l&u0#@VdFVcfBH}{}WL2YsokbxG9tE;F(F&k+`Z=H_#ISRsM{^!ia_G7+ zH=ahBF81%D@OiL^5GC)gM7Ex5Jd;Lo`=>-4G~0_q4rh2V!`%06uMYV!@&~?J}t?L-RGph!9PMXHO#2afK!c ztFu;I>&_h-dL3FB&67;w^Aqb40UDUd|EP0{2ko~mShy*WVsHHcj*|T(ln4lr4Xt|Z z_g@Rgl?Jl{zUY2ylT$vpL7H^2f0O|8oN^kat+?Lw=Ids6Q9xA+9E6y50)Qz`eurO9 zdJj3)D+1~Eg!ywUgJjb&5$2-_7Mk;`D`QOU~0eAF1xV9w3~R5kc0QtIY;rjf?$^W5f;L=C9AtXNc-?@IVKnpE#;zi zk-l?rc9!GbsBV;O*b7%(3Z1iKCV4FOhR>W^@+%{aE3=r~gbOpmkoUF%R0HwJaU$4G zpwlXhRuEJuKveeXAH062!}nL15#3Of1BqU^2C;~t*z&H@t2AkgtOsbXR1DgnCINcf z8bnA@7{o^;st}R9tL(n1z;R9#71jfHg+Uzp8l8BSsLs18>zRuFqDk{&J)qdvvO#eQ zgMN$G5I8><)r%Sb9lU9xh6mim@SV@$kTFz)^DyNw3VJv6`Y8KZsO}Kx3gI!CX&y{G z+8KWR4~r(BD3_q7g6`F4t;8ozW@cSRh6=Vwa3EKoxqh;15(`Z8^13-)g-jyWBW|ht zrW_UE6HZJGg3T*GtW|R@c4KbN#|IJ3(8ub!`iW6Al<3ZV}k~tB!+bbay)%~^0#(cJa zPl`b3%NX=A#`X_|iAJ$g^83J7UE+03*E=lXN3g};8l5IN_xZ6051Yfihm|4xDX_!x z-q6>a+xU!V2$%3AyKcvOev>fOC(^xQGWFnObs^$8&^oj6mc{C)l69X`Pve>!b)QC^ z7uJcqd*g_F&dJrYd*@TOM#%4(>j^D4s^JS0g3klMe^QP;b*8^G*pd?Q0FG8IvhW|X zQ03oe9{z>c(=Dzxe?!gICDWh<2zHONA~Z!uac&` zwqd4oq*Ne@4dV*}XYjAna$-}&7eA8Wz90_#TE`2AUfq(`>tvkD$F@+3W1L1mJtiQm z!*U9?Yc{_Z5Vq+zT;=9DUyrNMcwhPs)(@3_$Gf#fdTJ-zc;ZT*b?iFfmtKFeGtkk7 zzgnddm1U{;Fi4>>>P6U7_Xb`=*iPGw3!e|TIjd~P-c?rwWK$>;?h}*~`==|aCN=CD zaR^l)mFX1LRHdbXw}pBTRlz0s*RQ18q56`>`m}se3;^ z#zkQ4GXgO+gn) zAG-y-+-3nc%l2x*DI6U6_i48m-u~7kKji0Lp1BY_i%97P45nYtzUZ9$U&nmVbcFgS z`!Pk#(f!OAH;Hyo@T!`Jon9b2fBYx()DE>%K*PQG0!)Ihxa&eNrqm5Y<4%6+p&gV9 zdiRqQK4y(aS=P~BS3(#t-gwiKZ}zM6@Y8JGxV4>^ru|1|MO_Uo1E7?P!#jU=e3#cy z`@wxhy+I|IviVpv6o^HM*kTt5rJ!KPtD|5eU|SCZD{}_tz%XHLlTZT58FI;0LN-cg z_t+lt6z!L`0$Zud0FBQtPLVkx750x};n^(_JSs-2A><>~4*to6pD3E#)734~XZI_z z)jA2A%`+=)N@ut$Z%S7VaItSmn-%=+ zwY@YnQ4t!d&|%=;@%WBU-^$)I_V6!RB(4a8QJwNPT|;@oXMNLD2bB$Z{a5P2;2i%! zVNJ>B=wT(|gJzSI+rI; zrW2H0I`4=Cbxx88x%?}#F5fl(Mavq@7%h{@AZ8X?E?|_NO)dT!{ruclMcsUBnwXZh zuYc1=#;!Ugva8>c^X}YA1F4bSd*qy#<}p`@e53jDM3r%WYix_Tv--rZZ$nL6e)2q8 zpFU$WIF?moFMHiPFWO&$+xV}t%z`51GIrr-FkjNWWnQQnR8(t-Fc5O=>q#QhhZm+zpz50B1_gmkH69a5IV zCVdvdDiw=XWU7+*LNro#g$(#Zx+Glbq`SNON|^z9

    ;LFEfxd&Z(J{^a=a>aj1N zqZB`xMK#``_IdKK-N)h2FUnEV{J73}HfIYzu|`x(gUFf7yIt0ne-EOb z-=j+URBR+WB(xH&jb-+k`64oxEGlvjRr1OS7Dp*9El`diejW($p6?r~%*&K=fATe_S!u#_GLfJk z^=UCtb!NUm+-0(sopQFE|8`VT`W9>R)Z30{eDfod&xAJq?&sC7$w!B~C?}-6lbX1iJl_AMw3w_D?#?5YuW$0UWD4%6kK3Qqm7wO?5q zkJ0nvwGTEkn#XNkR1OGcg_y66rlI%JtU^q$w;QyS?y-Oro1YDfh8T8U>2BjXbmb;I z+m9)sTu)8k?UaGD1lBA>7ITKRJYL$LA`U-&(IX-gbnJhyE7fT@nxsv<@J3V5ZdF2Plr;TXzzUPQno zsO$Vv(5=ib=$rvpqfl0b#vn($ngRdqR*_p6>%!wuZ+yBBY3z#pCbdr|T-EY>5 zkq_bh!^1EEpt{v1X2M#xe9Nf6b=6?ImBYyW0a!RoD(D}Uu* z4-DFK+4PcX{}gsaaCADvS@N<_JJ}{iPm8$t8A7ZW^C>;6wy!ayJ^s;92Xli1_4bu< z)w|P8@6Cx^1>h62>tSB+6Vu}u^MAiFJ)^%oq-2<170X5SDcBhC8zZw!V$SOKA0WEP zde3O;dat~RNcB-we06W^IYAy#hYR@wO!^1O=hcqh!-MCXvPmv<`~UPYufqoz)elYr z?k5je`<|;w3m-VE-v25vb@in4PUtl^%A4`NpFDfR*Yxu#Th)ncZ-GXEix`fBImMwe zyTepWjpm)X0*HV=+Dke`aG!h{RT^URMLXUM(QP(RwnXLW46NPerPSj>pO z|M-JjMfR>9&Y$+<+Q?b{oDl2M7@it=SwWevkZEoeA^Dvv%qx!T(BwtkP?r$5=mhUkK-RCjuz9oH^M5ayB^(faH zPvY{3H3cg*jDbYfX8e6gD4W_ts)J?cV0_VK3Emh-?6Le_B$Bq~aeg&GuZO4~nQE{^qZI zJ!($rQ}Ba#?-(fSyCEWhxA@fZh4N>i8P)rLPEBrJ;&xuQ`90WlIz@0jc6!3YAF(Q` zj0SO0UO%}q;6iy`@F@)!x^319b+pGz8V!iJtu+C*;4aaB1ANc=ub#sd!U?iGBwJc` z&D;DSx_IE}lhJ<8ztr*K0r}ORW6naB7s7iplyPI_u5BXi%H!H1yk$u-oX+ZsjqeZH zV?=e=j9)9VxSt!f6?UV{UPOsp2eGShv!p%uS9{2sjVj}`zqBR#0^eAyo6p3ko=l#5 z-Ev{0W#y%%Qq>VFpkEG`lyM3EN219$U%q^@Ci`d2Krd0)+V&)WA$0N%-}`O+!=iyF zU-e|0hfWx7->1A*d`4tF7b-_PemeG{@;zM}_!P^O4a%9GZ{?dv)!9Q_^sG_r>teWP)7RxQ z+QvnGZQpwP=x)GtzB9bkXB+POjg52>M9bkF37iz&l=u;lEh_%~I> z=~dgy&krJc>&z0c?US8ekW05F-A2+M)RLCQ$Zm`6N4zhM(A5zr8e3`Eb?j0Td==cV zYn(svcn-FiM{qJIW)z<-?gt$(ipl9k+P|v!70R-ESK>$E8N(8>k1y|-#~4jZ#Se`P z?u$8fjORycXAO1!eX%5(wRSB@to|EF#e`v6B)8>WkUJRHF31SgZDKaLPEF#@0FRD7 z4NmLcYiSycPCS>KsI0_jFzwyIsMI>#&XT=eyng2KTVkU5=u?Zcz#NLYl(gY5c_2kp zxmoZkLM>q$&Lz%}P!2AZZzJghckVweT<_~04-4){X{M1g*{?$tG^JDf4OYd@Kk!iH zuYVM2BGM|7ofR4tT0V z9G#%exaE29R15llg?BB<}K|MU8(pugvg6&f_-hq*Xx z7(d-e5%P?_kfqeAOs{uU<d=8EXMk#)V+hJ=fyO!|KYL*>(LuH_5=1QJQcd8o0BLC(3i}RX#R~1!kh8*>N*JbHm zI-7KWaIAl;aVasV`%+5vtQ)hIH~LZP0>tSN^Gg2@h3VQNc!JEMH}d@u=(jsdEFZrL zH-Cxy#Z%^VLs2&*;wARVJ)3kMMvRV9(c`vzrv4AXxf1P01saUlR*yk`8n}U0;ceHxS&3+pT6~SSV*=_zBiE^mD#f z`-0_$LC1He4jx^pdwBtKj?8I*Gy1Z(f5uJXt@w}KMb02ut{bwxiiHESy0(ub%N&p0 zG#m6=r3)3TwT^QO*p~-+u0h4b_iK<+{L1Cydmb6(FMA7|1Lvq`h*H8TzI$^Ib3$DQ z7d8$&_%xnBeG?*Mt2Xz);k~&eV;VG^?H1Vkt-tCHyK#N8se1NBPFXv(t+(@IpNB7B zxD1vF%)9g4`ptFDRp#+?4|lE`CB+XoZYOTfh7xnaOn5({y{)YWs|0Od_k(yx4i9T) z>K5MO70bHL9KQPui46x&!ZkYuw^YsGmk)7fuq0B{q*rkldrCA<^L0Bn5yM@=zZP3{nr~9VQ(9h3<9@_dI zJjn5rvuB%~|AP(dh+a2ZIevwX{*m%G)MB-F?&l4&B6m2(#!0+$m=1+>>!Ea~C zSCV3sFdcu+B+KuZCC7T*Bl2mz6qxyo;=}zQepSL)30{vwIz?&UpN;8;v&;6$b`zP4 zZ||1hUpX0hBRD_sWK-of)~m1+Ki$YbLwSR7sCKzTyxHm zX~Tn!jZ|SIPWc)Q+d9!o;t^AQdreN*gzFl~=O8T-A8}H?e%0^i(qoKN2PA=?dpLd; z@!vg<$Zy@RTQ_aO;`?Ajcm&l<1AdQe<@9b%ZQ+MRzC=S*)-gIL%Jms{byD-Fp~uN; zlYdx-sRb`1W?5zI9!%fw5zA`r5lAXTw%a-U=kus1)kV+xv7W6`pKP9EwPtIwKCLF^ zBs`-xoftvFS1Q-s)4j_)JcuJ<-O9h!U{&%tdEg|_#-TPA+VA(%cq1DA@ZCi)R_AUht>iRJs#3$;Nz$mA*MgtTL<V1==B%ulb>0`uUVPosQFN_ZIJQpd6G&!3RQcY5+ev{hafGZhele+ zEfmu2sblU8)d;dsXESIcPsoP7@eqB)9md#nSc5$b8zT{yjvKFwxY0#F1$jB`II`{yb={shu8WF^pFGX*U3nh9TY*{EFX#+JAGDI!fNc z$yynz^%ZpSu@_e(Wt`ByUg-uIqtsIGQYqvBY5LhDXf}j{dTdG{A6t2x1g?3Vcpl|X z>y&tr?C=&1eq_{_P7RM0&=hfwwAe(ylajwxdn6AeEwxYtGL=@0#Z~UM(Tsz@K9QBG z4*7IDe3@j|9n*Zz5t?g?Lj=6!5Hc3ILyh5r?!dk2&Fq)!fouoziFoH#F{=| zJSgQ6>d@<-$TtCngpJ9w%+bu|p)bg=CleyN}zdmZi2L_iuGU`WY78O)RJ z*5li~q7-T(v{2io1fmZyAK~B=Dc`lKZ&9=9b!<9EX5FF2c7i4!{y0%)Y@{F$6x}1P z?={k1-1fvHcIo(YY$Q@<%INnPK_NU84G&y|1dr@hfKvcb98rqlD^+W8|8}R4LNqlQ zRz>}%Nki(OvsAKQ`#P+8#kk20@)a%QK}GJ$RvTa#)>QMflSk3;4HIfglrezbg z-W24E{C?i9B{ipRe`LjFWu6r}ROCQh!an<02?)k%3wiW8aLm z&q23{NZfwm#!1i!yD=Pn;z^V5`keth1>HcQ0EGgS%_ho-Kt$t+vrTl~E?)QxU=05o zp5o&FdY|a>ulJNq4UK7fZ~c;S4b%z3*8xvAq88#;Lex=nWJxta3A zRS2y)0M%`wFVs?Tkhj!BxeZ##A;^PDOE#gyg?5h*;gT9Lg6LXW`;h|@$BTAFq4qX^ zLAOr=lOSy?s3tmKX!k#ElXx}kh#3M<%>mHjK5hjNd^`QUzZ_F+_@EEi>0P~{D zgNJ2VOMEGt$v9UWq-DGXBg-3=p$@8upv;{d<)b+v(p^#WD2nX&@KB|jJ!pZ96)!l* zj7;XPfNF<$4DK~hhMy0*LP4!vJcx^Oj29TRfBgTK|BpoASa4~^v8qH^P@Bbk!0>m$ z?bDfmOPnmVwRKo3T}(dh{OsAgtn)gA6aRt=M`V8Bc=nn!F7|Oh+T~!~8=R zb!^sOH}CV-@$WC%1~({tyMb$*?KONOezr1`zedm}+wwBIj-sY;ec#n`%gCy_rTp-} zun!+^P9MB_G1s7VW|}dzIn0h#gH>+V;B;I4xkR0?>|38wT|PbgE)V{kb)nxJA}v?N z$#c{BeH+(w=8Q`fml*VC)FX?u@#a_B@;3JG#RNxAS+d^#J(8PKVe3<=9W8&_{?O{~ zDdACGdpWy3^P$Ep1GeU=i$L}G!hv|~?^~fg8*j_%Ub&lx*$2Js7~#Ffr)BOWP-E|V z$~?b>^H_m^I2NxpuY2SAeE6>%)}P^bWk`x+Z1U7b*4_iJu&c{?`;wf`Os)u2b)HlF zUZW{@pIZbMqZd{-((umO^OU|Aaw*ui56pfI6{xZkk>@Vq*BHIpmacWt#=l_7EM7JH z9iOOV>*SrqHz7fvU!_0yvu%^+lp0D?}cR6g&Hnuf=*=RX|@6)t_~jyb1|P5WJ*)v&Ch0+JH)IQ#qS&ozi?%EBav}oWg5P9ilE9fk$l*+)^2W5 zK9r-Cy29$AnqHcDBf_I^vD%K&GrkK)eY!X?d)3|Yy$a`-7ytKr#kut3Le;`;ky(LP zk=ZzFUU%(V$$e~!K*qp46|5&Q{le7=#fkZI62pJfT06z_p%|h0PpsuaRwGX0+U9%W z^vQ8&g^L8^%FKrnmgpZOO%Z_18KGs*d-dBdlzx}0G>RC59mt#li?V9X@5W?b^gxO>Y>^4&r$`~Bim zpYomxmgjxpde!m{g?epxGo0UX)Q7h=r@HV-QEnF!mSu!=Q>$?DnW%{VES>T|B zudKcX+?;)JMe@sl1oV`?YJr^Maayn*U_0BZ1qm?zDXcyWYNBF7n^g=7%rZF8Au?e~CM)Y0Upp zgo(XWg%gU%+>&pyseD}C!t3^2=(l#jM7H>g(0BV@f_sxQ=VHIev5DZ@bSh6@);XVI zEE$BgeV@!=84{=dyP)1yd&0N*oFXGy`}?*}&E}lf{adrwe_XPx&^muS9e1U?aY_Io zvyu}oly8Zk;`N6`d(Bk$Unyu$MwuB`bs4|C_i5}yrI5`VV}q2(UEoobh=t74+CeN>72$uxzx6H}Q)mWB!OHBl2tg zwOMbelPU($0WZ0lcUQa>;;tA~^}lg#sQ&{Spp}QSCQqJ<$yz@Z^J2<6)mwp!613&x zS>9d4>svR$?<=>sWF0YN(^7QRc0b|Cj=PQ%L*k*8F$ER|>$X!R|_u_6IB`eiaGfd^caUS}2L7{a*ng2j2L6)EWDpck3d1t?S=Ij<=12 z-~+CI$2ilAT{U;vp`i<(7#>~$Mh}`jG<4M8hlhUzTi4@!KF+W7=SSlF$Nu~XoL}Y7 zKaTUy;QV6<@dDKEN5m^HF3=s?6}HcZ?Z1G&68MLs{sd}{@eEWihtInZ=?hTLhrh#N zYi*qW4zxL_??$~A>ffVY6ZJi)*Fb$Q>eW%-hk7;C_oIFp>OY`+6`9!9)oA=2J`RHXPyG3TIKRf9AAs|p`t$v9eyu;>59inU^L=rCy+7Xv z=PMzGg|PWCoP%6j)`iS(Jm$3mHN?k3TdvZVSHR94J=*yi>}-XcTMjvQI`ZuRdWI0pd6fz7LFigB8lDI6Ek^ z6Bz#FG}H%z{}t3*!`||+_X*fLyulvTIQKV)?9!0E0J6J8vL}LfM8+TWPr~tW$XtT@ zJ+Sja*jWfWM@M!jC*^dU9FVbj2Kf7f|7Fzgg}oQS-h9|QzQG>tUXJ6RAiE4?KL^>} zBiT=YcX-Ah^*@Q@Fvwhl`hBpo0_+?LJ10kWC@1C9IN2{_^Gxvf1^)}Ew}HJCVQ+uf zJEOrK?fwUjEg-urWIqepy(8I^!8r%jV5pdnPg6mP>A2&hz za0%ku0r7nm^#?0)UXOkKTd_U)QKw=-NLvkvHY*3c#HCxOMX6)cMT(S|Xb342dx?1^30Ya+IL9(Q}Q^U>b5 z!6s$T!?9b2|9S8~n&E#A{M|GBZy}a#d@K+hx)SZpqxQL=?UB(x2mC!V{KsH#`N-aq z!0EY`I5D23ApdL+^0RQgTU@!`y#TboS7>L$_FkE|KMVfe8UAzNFPY(k-E(;_;{0|c z&e+Iy;D0^C7uoG0_q7&sl>ZmVUffca@;gI*Q4jLe*#kP~_NYU>{h;^hQRq?kNa&uR z=+1}CLgeNW7{`|&&mKaat%~|V)c=6`kEmBh{b$s_g3Z@L?!QsL2KE1-UJvyu;6Duh zi&6gx^-Z9^5%gc6ego=XqTUeo&8Rm(eGBT>qrMgOKcfDBsMkk*8|v4g{{I3100960 zcma%*O=uHA6vtoF@7mV%(`r*wD~fuv7qt{|yE{$RY zcrFF;Ac9aSco2#pB3g#laEbI3LSVe*_q$}&CGjm5~nU2C8Fl} zxo#dP&Ze9x?YUDTPr_+fr!Oe7nW3+ny?qO(4k=z9C zH5;ui<=+GDXTwGnFoK9B(>l#n#;^apg3nSJaIwvB)oIUCc2Z=uCliK7we=b5)#n?B zFxAcm|H4TKe4Ey9kg@e|D3~&W_!ZW6i;-+R6B7E?WJ@Zt;QaXhpwJP-5qjxB1u z#aeETFY;F}8&G5fSeRb01JucVXYSZ99?CU}%y_P!(p*iFqn*a0X@iEhmw)5c)aBhO zeut@y*#qC7RPwkypTiNSg`*uyS;jZIA;bUu^!#ZhU#z4$d=o!rqGuoGSHO3$m6L}F zI>YhaNnFR|+!%g1FFGKVKvXv1cY5G$`G(nw!2D(GKA|* rcB{%u=p(*WA2qoOeKgQH{tt;}=N{rVC_J2_Si_hy|IYsa00960zp$*X literal 35566 zcmd?QiCa?J-#(m~L*!(y}etb5(}{rT** zjTS9hRIywG{2vYe{Q(}mgQKFaBW`ZkZEw5FcK3!qVy=f>*|5ic&xTzNcDwi3?b)^4 zeuGzVRP6Pj;0>n&gF-j#-r#j?gHQ0~4TrB>zXg8MJ7NiVnMI3i?*4mNG^q8TyagXhQ%=Q+?-FS01eRoqhEUH1&>QEP=|ss;>+_z8TZwgNx5pgo zxpxt;~8PvYuUn0c# z^Vn-`jL-~(=j#znJ@RheZ&m5~duCtVf5_Dr<`QJf<#tWVH6ckoS5&pUEi$;j?K&Q* ziBKfaV`3&u`ibV*LFlN)W~tgMJ}OD|wp=jVL4(LJHP8mQ%AY?HkfiQYWsPyMLwUnc zUm;{?2$SmZh;KNij>=c`&28qY`{uTC6(fR=vC6*sFLM_N<^@}G)n$SK=KRB%I-b(V zvt8E2xXxFXMLrT#$GbS6v{m~s-ZzW4a1k`QWnq>muCAwF?Ze&52$_k!^}FnNX{}ual?jNxSP8ej7oEHSb|JF zDkDyb5?dHI2S*3@PME1#P-PjTVl1|pHT7#2Q;Wj%v%V{viUcFyw5A5mkdxyQ!TW0Klw_Y0&~Zvst@$amtf5uZf8T%6gm>iqb`Sm%P=QsvWAxeE2& z)_+PXICbILD|W33ftZ9iBJV$EQ5rtAad=T@U^-BwOXU4VrzEy|mBPT*Lqj?ayGBFX zdK^@oY(WS|r?k{iAg{KkQ0bpfk+QT%1)0@`iafILislnsv%L6>++GA#oa#iSs>30R z`19MPU+WapyowC0Zj0|QkPf_D*kITiWY$1PiJ(V!$@~D@-F=yOn7ty4C=(1pciY(5OunC!v z23ZPU!+F9M{uv)EWf-AXDJpbfro_FJGBPU*cn3IcBA6nNruk%$EI1ux6Zd$1=|8&o zrI?+R(3Tp~^D9wd3DBprWk!|IB4xqvKF4vLn@Sm6oM8;`v`DpL-}CU{nxILpQ@*PL($f-`M)eG4385ude>62$L(t+Zc0W;@h zvpu&au+C0yudlSc;cguY6I{^GTc_}>P&%Dl8?u>8ezC^`3tR=60liScV&+UE5386ehY`~j>#;qsxRY&O5I znhhiyF^!=cC`qky_jtHd)5dCuGFF@+$pJKgLL=x3bP&7oNXYCr$0v#3twsn;gqq*HYtMQ2g#DF%%O&K z*^lJRb?`35K_k^V!WDLGv(_78@UTmS3sx}V_+ZiNp8#H1zinI<7FW30h@qqBch$6jI5knlZv-Gj}|)9W!fMevnt+@ zjxk8ati9*8T6Kh-Sb6*Mc%)L|=gX*46nsKk`-SwuJX3ZWsJ2Wy`##cwFZbKM_lDDh z=O&9W>1GGH9eYGK*ykEvn!yU6`z)3)k`^Na(&%?c>p5ahwIyMrqD>dJ6lz29Ooo}% zi*>^3*MX45Ar4LcA*bTEQ)p>0qk416{hr0D18kL|>OsExFU*j6$XyrMt&M80R19i> zl)!&~QmQ`)xj*P2UHEa}tZPDA8>L-(CVQgccdPRl*ac)D8^+7KlvtG-Q;Cc$_Il$9Y}D z2-xuPd7iBk>F_+S^1iXOVY5f%-W`|5>sORK;^P|YTptG=$ng`I?D4XZ-tkxaforT@ z0xvn`Mq%Cd$p+p4MV5;2_%SlZKbuB-#&Yv-i#=Cz*rKqob zKEzDScbREQSB}w+w{m>6iJt`$;dumSMaV~nP%zVRWKM1Ua%10wlSaS1a!v{u(pMb^qxe{-&|o26 z!o}*H6cMHFa6DAnPk#Qj-TD}ZPgW+B)-Xs`jcEDa>2$VTtg_ZAvR`MKabaOWvJi%8 z7}J^KEwFpQ9-uGT{!3w<4JB8{h%jcRbXM!ks+gOO1#QoDN91x?K9*O>kkJ3Ruy z1-JfdVs5$#Ji~`J{Fu?Lx z#Knx+>gVC_ML*1KVU1e}>lMVCO_RnUv76C{q?yTbWztHd_a~T^$}bJ4joBKpbx_IB zQ~kw$?T}YD4rDAWM;0lT(f2Lf$D}s&BH(#Tt~{yO{&?ww1k^d%po2>RdO7+m`_S_` zWsHVR6YSIAYtvBMIlgD1bjL->eu{ll;VLtOeDh8F_F@mmU?g6wmB06cV^#ay~X{kRpIsnLP z;7$iBqAh12cW}laaIr1494WSyb|0`}hiFqbH(}r@j=IBhb`!_HCexSa4~7-;&b&3| zfFfmy)KJuum645W(I?m{C;!FxHT5tL6H2Jov_u{XyfCUvsxmcFITI4u-B;`Qn#(e@ zZ+4W+Vuww7}1n48cKaeTf#) zD3kcpxjYn`wM6w;lu02OIJ2eiw;>!t&B*ZMx{aY)Y~GuY`&g6mqIeGAA>8lNqv*$f{Mi$drDbL?w``#K$}s3 zvFc(PWI1LZ1)D)y$+05y4#)dTyNm)LP*9NJ*OSaz!8r(vRXe=eWx2A%8kv~pmZzqVA@e-{O3cmMC-qe4DlxTf z5JUgJIY)-3ak)s3Aztn-n%u zq7l(3U^9huM`+GrwMy;-CxH|rl_ipa3NtOVioie?fJH_X z{!77lz~55gD76KLyk_%6o|DC`!WntGu0O7$@X2c+V@)o6D%S{Fma75|IMR2Q{jdm>nPZ%UWWG&XJo$(X66oAz$?7ykC6wzSasyGBjDjZ03jiAo&# zxYo2>Py%RLs+{BR>B0@|Eb4`IF!FLOYFI7nX7Q;F5x5K-JLym9ns}8iYZ=Xi!(b;^ zy6V%6ISB*Oy|id+AEH|Vhp`FiD76D4TjQeOf%1G)ShxxeBAB!R>6In~v+D>PO_Pn& zp%!1^=B8oRfZTWxs4)sKC2pm3QD8Yh6mZTcz=F7kVwj4*3oHX9oBqv9eL&vf{C{95 z-ds8if^-1nWA4`2s=4<$9atxe5FOHHE7M5*#GO-ZWE92;w7sD5kV{rAqa9ZCuxWov z*8wFUTa@fqydtC2*EKL3B3PJ<6fov@0Qn}xf9Hf0-&QMk=@@X&h`9y|r7&7L4#&IK zGx0C;<=l_<$Sa^4h>%- z^GvQ=Hq0^{Hl*`B`aCeOm$bKqka`lNUI!h?CgO(wWu{d4T8ND z51-Lu=V?khj&GD2-V=A)>8Wj7)O|Bej;gvAddT1o#9_9XCXAJnOLVQ%uap0MbX;<> z1lK0pBTWoXZR#O|rN|>`j(0#p`A=a)@k$o~f}P^~|AP7#`K+JOa86I=4#fJB zw-aAlNG!3aYsP9Tx602~D%C~kKukF1?4V5qW8~R1)*{jt09#&gqKWLjq>^SbgeEP6 z?pRr3hP;*r*Cg$vfTfW?rDEWq5!w=YDNT|gG4K<*)yB@H8S458u?|c#CM*I|DAW-e z*TZP6CBoJ5bBdna@Dzp$MepxY_3bNh2e7yJ&06a8E9+-n*P{K?Sj$Kb6hsER;O9qP zKz)rnE|?l-Cm8%IsZpDh&A_(K;Pw0}$3iv}qSLVHae98Z%srSL1QdHvu|nt}U2O!_ zU~Z*=MpBDfaj@1+Lbl71N?PnrMEFZ^slFCdN!xFYsM8(l^oY%PY~O1OGQvo@wa(wL zCC_TK3$ui|gHqC(cSNce{|MC3-V^a$vXa|b!YR?2rio}2&+Jba3v^T(Nf)tJYCpAu z0kM8W-Fwk`V_31R!q;)55zB^}h8xHxzqcH#wCv3=snUFj-<;*%j0$eC2WNapI z2e@cN)D5ttz%zV2BWf^O!$WvlfNai3p9rM~3*h%Lw>ISrsT~MWX?CfgM;B7CcL50} z!(FNa95VJ3&_np;%K526gnDBOou?>QLO788yG3*O^xS)ZC;QDNg_kthh-eV7i9&D9 zbeA59e?S&L-xtc$j(<-U%N)4>=6Dh{f-PTS>r*rpocv_}!k^u#7RxgCT;e>7?>`-uZ z?R4O)IbaROQn5>VUl*^3aRG{LVXN6fEof^E2`qYU_ShnI`A@AAuK`~bZ&}9#jfy5_ zsG<%mc5bHrx3^6R#T4k)Dc?~4QC^nw``CQ>$}r4CQ^jx8dGN;(@)zMuI58YM_jr_a zN*AGv*-i0Gp&g5;Az2TDseJgXfG+u3TF~Yv7mwVcZ65u+UsH894Yw4ti9$<(S#UyH zA(=ol8@siB2xB=sMDiREc9IGq@lH}!gA#3_O1w=uW^J8&>5(+gCE2XgnPW#WJ0k4@8k6PndQIP=|K8Aj* z>R?%dX23pRO49It81&T1{M|LOF3xIANkY6~z($JCf7xjx)owx<8wv|$ztIeF$ivmF zG5|e!2l_EN^g1qG0rpRb9RZrNv~W z#Ohyzgu2=?f9|xDo-8K_bkut&k_?Oy2gEuScnHuoDKi8}xtfAscNmH`sOgXqIe>!GdJWUku8h0nbJ=$jPhrDaBF zSH%-udYl0*6KDi-EeTL$Y#MF}Zgo9PWDW4Z^arZ1I>Cn0{*{rPNF@85AM*t{1Z4Xi z=b0}kCGMkp|0{vhs^kst@BouIaHi_gKqkDdgw3=8f^Le~KMwz*0LV4@GM|==g*O+9@%2ybNucnsqq<2iWDHGu)cAq~E%fbD zR4iNw6r&|zU;Z=5da$oLQTh`67L2B-Zb{`>H^~UP3+yYoq#9qit{X>lac&UWZXB^} z;Y$~E3Vbt=2xniUDu@6vxobBGB0?<&zXen^hiOILGhuLKK5P-wK4SkG_0{nt@S;0Z z2c+3xPxYE{gf4g)m@XOx4iD0;h*=m<2DwSc);-wl=QVZ&P0xF-qhd1q_?ZIz_O{=C z_4Utq&L%g$eQ4iDYIaK0+sMKl8k-z0I*1L7+iZ}hfKRVpNgZXt@+{T=z_HZv$@rBd?cgM;P<1vu3mim)1Xxq{Hp`aL*g-lrt{$#qbp)RK9@|-`x{6;t7}d{$$`RLi zr06Dtqd$9U`K{{Pg8AwMDQO&IK6g4H=9qRkDIK*g2GR8JbTD_4Ht&&WmQ@s9r!K=- zq1wL3=`pG-BfT@6g3o_Op6p8B@J=#3elA=Tn&M@lHG|y-IVStFeXu(ZKZiOX(`xU@$IrK}-RH_h zM*4ckIFL3u1#7L#f>2%0O<>p2ZsliGKNo~AN=iu(iCtgRO189D=i{YA%${|SIN~Uu zAlb_uq{5F};AQ;C!tN)n4EDFH!B8WKv!(P&v)z;O9p!GFC6k3$TpEd9TBEZQJI8pFHC`q#{dt2~ zKOOwoQQ7`!vx)orb0to>_Ad}#;d$5~XK(iR(ScjrVxYJoQU|vtkdU1q`l!U8DkOgn zbI8eo6qHr2y0VL;Cvx`D_mqZO;|}=y9MK==Hz9A8vs&IJa1&K;H;(mZ&HKHLbc4-q z8vByvmXG(F9PTfexyrN2b*|G7yy9SnSv~e>8^3P<-t&q>&v1uFUZ$yc2a8M1w%9+z z>=Mz=IR1T_Zo_ph_9!Y}ABr<2J^Mf{aZX1>5hr7A_I&G-jn3bXzTzZkl*1WajzTa*5;x z=F|80m7))qhpE@l#0`_rdUqrIM5dKj*X`yu#{SAL;ZAg(>Igd~_vR5opXFTI%Ni{! zcQ9i;Nzh-@T3_7gaSfoEmz6iZe-Q`}I|UacP8~7Cr}huu7lf8nURc#ruugc3SQ&YP zwV~bSS)H`e;u^-E=F}n+dU@w0?x~GLYjrZV8D7f&slaXv%}cTm7*|9+j!_9|@wuY9 zGv3o5Pv$zq!_OAwR6Hd{MYD$CO208TIJB>OX z{-T{AyB~_prNu$3zpJd(R+GeERBfJB#DAdZSc^)U znwcGRS?i9?SRHb8lgH_U>Fo<=Nn^0KUyP!TZd2+&i&LXISG}VM8zfPeOkY~>AG$ik zds7Zo-_&CWe2@7VbMO05kB;|?57guOe)+1$F*|#eQ(aV{dxKu^2&6BVIr{qz;l=FL z_JnRT(!Hn)or(V9B|N@V@oZL-)qNFJz%VFw{qygZ(O-UVf|`;jbeVg{T=>Iv-E>*V zt{D2RoJhZpzbmt=*SYLM5G#usiTxq3x0{uih@5G6OCitk*C7Yf@udso5+b5&g;Z`x zjSROsH{qOh+?*~x&@ri*JF}A{BfU-uRVHH5o*mk)}R|?&9f<-+^c;2eY8v+`fYcGG7M>WP`g0>DT~qT zXT!MV-XIPZB<&3Cl27DBRCuN~8+O_uIxZXK>NG?V`}9&J=a^=arX`LgRhgDo{kjGT za}DD@%l53LLrb>%7e+qf=AP+yD8IbpGePFHjW6BaA+;2>GtTtSh}Uonsr2UiQHiU# zG^&sKR1Pa}ctjR=x_{=}y%`f8Kj5zpdQ|&JKCJLV4*q@?35-ynGm#lI+$ZC1Q=e#9 z%#~1a$1b4wLG8`?7>(c&!^gqB?L%|#uzd6-{i2oE{7?$_&Nu7gB2}9^8@HARE3%io z!0Zq9H#WEoUZXK?+g}B94MZLtdGcJz#7!z)d^EMvvY&pgb}Z|{V6jW5p#B@Q|3fVS zr!q$xGbUL{j#=S-${&9m(XS;|cB>Eg?>s_tKjm$(X6c@1_>Qvhw`C82j5GcF@qexO zN-`~uW*!-81^H{JNPb=E{a9PnS6JLTAt{;~ew{exBMCPpzF{Iyh6ltr;7gyrZ;8(H zDfr5Ft(2i!7q<(G%elX7+8-X^zL<3S0bKY8{=CRJkDd@9(gan9@9KH(BK^yU16=!U zWlEd1u-F;TH|4xQgPpGzG z*;QL?shT^www8#S0{aT^W91OyG%_;VVL-9&(>KM_Q-O->o%eCL_iMgMtsk$(*l_XEI*Mx!k|J0~34wW#!<6$N>-d-`M1L7k1nYa!G)mGR>kzn_8STx5Yd#&7-N zJpMuh^*yeQ9*vHER%w^Fj_0Hf>}I@IEyeG1RrZF;x^e4p(e@*otS8xNJrza_jkV|XGw}zXugfRQmrzmA`N~R$- ztO(8jI<7p6V_g^amj!v;IIhZ$iM-W)`iTtXs=n}&cd3(+mlc$c=bN_OTHWqptJ?SY ziKFrK3~@sSiJ!EoK=KI%Vd8oq6a(5zj;&ztrK71n7h>l1q54dp2FK}>2e?m7`ovL@XAwD|a(Xe+ zH#>HS&V2OuBfbA6ySVJ^8)0BU>f7qzF7hhUYIY*MaNn-`6V?i|QKXyIOVzMx|A-9I z`jPfq_LY&3(LEtQg_984Wr?n(Dpw#p^T!nJBd**4>Br3%Ob~43{#`q!{3GX5T1lL7Wpw=OJfl? zyBN2Uz@Jz2)RFbf&bPU*G)!V>l98VPmspun<78(qJ^oP77ghinn6`r}z`&DJSD5F16$`h|ngNu(RSg!>msKQTunjz8M*0B!esH;@1R zK~7OwV2BHx#tDV|iu)6^l@^dv_=Mw8T9nFim-rs1$|@g0*BWD=0Ov8lq%ewDuN_`3 z2zug12M2tka$(R4A<;H}Rc+tUtI`6IL z1`<-fK-N@cc+S!3?l}L_>+Kb^SEOZoD|y6KaGWo!zs4O~w5=FF#tNmf^ov!ctVoj=r`d9+gM^@lb5;|QEkCRx*42RPc8EWr_}MSYX5rgud+nH*I@rhRFACts!;OI z36ek9UbiJJ0alSE&&dqpwaBWD+2)K0`_gwtt2PY%Lx@S22@f=Aq`$EELYj&zCYw?o z*ICU797Wr%1{^=bH06+lqb7H(5%!ldygD}9hy5hY_Ai-B7GYDt*8($~)#skg_OG3L zB@)zo8yG}F+omFw4@7PIuI25!I9S|X9@bMZhLJ>~E)95x;tKISks~IjhQ|)l=o2r7 zOy;yeY~PS1$z34%k;G;HNY0h8T$HBQhG+k2{KqzD^6Tk>uc7aP!+?Ly06gQ_a?M>t zJNq#2#x-J=JwjpDd7q?Pl^g151d`!CY26nY>$EopZSMU|mgLnBEi;gRm%&bXWBL*7 z=?O*iwlWw$E)o-~y4pEOJ095NLfQ~4<`o5!;vvOJ=40`W!q#5;6E3^}A6_GpRZ`u* zjHeAw5u_llM}(*%t#y>mqT$=MaghGf+wJZxADfMr_$5`o+(ybqYKsJDPdVUtgsb)u zN*umVJl5<=EsiBgPQLY0?S1P(I$hQx-_DN#S|sHS!oEcTrn)I_G$gVmJynYp)ZzC8|Zd+>{BYi-(mwDtx&Y%sK=9LzbBT z23@mQZO`LJKFuj=1q`Ez{w9x8Yp5YJQ!%eTf|GE2PtlX`?Uf0j*9d8dIy5j90^d=I zyTQyIGqbOZy>@4lw-OONs6D$4eQCP3_|tQD{1 zL5@DZe32A~$`Y}P%2f?_=G&;Sln?VE=*duLj8?FiR?$luSF~D}VaAJ8=Xt5sk|ew{ zB>&4Rbl$+Y@)mS@=>kyCrGJh7H&Q&m4jJ$JnmcH$c6W^G(5@HYiY^0n%;?#y_cDhL z8H>EF7{-BX^7!J_V--uLw(xSVqB?zk#woCcuf#2|Iz2v5uw{8^7jc$58?=e zfSZhaO^c3veZkD)R^+EF`B>W@*Er?XZfdQe3TNfkdhN_oo^r7KBe<974|-uP@Wz|R zLRGry&wwG4wA#h0*1w#uMjujG@aP>S;IFt}7ex%P^a4?u=^2UkM~Xv~%=l0qf1eZB zGWdLj$B$Vo=4n-R6(DFr>ki}p9Ks7|VRJ|*Q>zYn6c{^|RJ!TJmkheAcw-?BMH zA=-+N8cGWedsOQP3Cjw_GBN)s!tM;t@2!!$30h-qsp>v?^Tv%KPeV?EAG(_B+4Tx7 zXMmze(qS^jdZs168KX-7^-ZiEVYVa^?K}764-+07#`C-lBGHh~LpD29fMjYn+#ySB z&Iacifq5hnJ9&V#$|dG5w3L66k}%a^>$vjW#`27XM#uNeD&PN*sWuq@SpT(C$VmN{ z-PeNi?uRlv9Y!vA^r-sf&6R<^6{r_O%7BnuortTxzZrZ7VadGPhuysw)-yczoBOTr z%j4#nEPr*UnmVVjo`U!JKfZKm@IzS(cLAH%l$)uAw<4Y0{k0v zLSHlwLHXfKK`@r=kss@bv3HpIGdCj+L?d)@()Y209l6sIXi(TMq&Ykhe(mUycON=R z14!RPJ=!SC!kZKA9tB_-2bv`&UQFXtt{nPHg}y3rQWz)ruKJer&pto)P*K~VyC?oP zv*M~fzQWe7*%Pq1m@9GZm%R)141boxvI*>Hoa;6qqD@i@wH_DkWrD@*6o(mzxdY%jZ+ zZQ9C4Jvq)rj}GAlPpWmjJKL=Fi?;h~*7nPy4*lvqNHwX8*_~Ga`7~n*-Lm5fRlj>1 z+U7EHdeo4d>--#}Q!i}>N{`j0qd1C|1O5+g ziSZk!z*UzNAA_8TJa?Lx`%gKa8#YObRQ=UCX_GiyixoAU>Q7J|o+L%1Jv*Ht9%-v% ziOk%Wp+Co}X!$U0IQ?_Sr7Z<4BRIB&njRiYwCI@FJy2A&m`dk5HPe9g1D;^ZyV;!` zIbiW`iw5i%ShGOi)1tnTSr>?#9NJp(F9J%qZA`06!Zrt5@$5cCaNhK`lIzb!S#ziz zIlDo-=-u(pAQ4ww9bcK?R@+;(ulG9B#k;?ksX15VaQk4Pb~EjEak1oeOHFgU47;Iz{ZO1Y>MHY*b?VDP}GrZzrT|CET@u$eD;;_R6xysuS1sCDuaW0$f;Pc*@+@jNT!;NUy(*(7`@*23f$v|`ni4ZYB7 zCI(lV{Ju~Wu3U!y-g)hLZ11w}`UUB>E)EFVPs?1o=PXz)6s({((4xgj`iRY=13{TL zdK2LL|MoOhU2l%s`z&;9l*H{V|J#f^@0UJpX7eD=%Affg+}7ROtm)D-CRl&|=wwcc z8%HrwMSGjHJoYIVjNkjGw^wz5@tL!ZK~Si%Xw1OD-gBvL;|ge1P4CX+07{+WJ40MqvKvj> z{+cEG)v@y-7okqu#XtyDS!U*5C-Z z0FzKt3wktkH5;tV?f#E%DiW*w=^c#L+KcjDYz%70r&@#91gDk7e6HcH3YJ3k^8&pC z+$ynF*qT*|m25@2<@AVW9;V?tB1Hgr(;77+9%yt2x0}IC)Z=ORx!yM66QHj!X$CqCio2v?J>=NBX~aCT=pPzEr0Y^w0G_qpA>OzTx4uuOVi!z95M5R zzk>lfRAEqM(Yub6@%)Q4=u#b6)_Ro7sY@MUBS$kuw!qRQx_o}VCE zO?@)sOW}YoxiW@0^ro>ftImbA+OPG<5q{71F8ZYf?!IudLESWu;Z;@iLz`(OI|Ya@ zwY6~x1IB@_`=2c{b)Ryu01M34Hj4X=WpEg?gwhn%03@vTpaYz z&hmRATFQeLzvBl^@c7k>R(YjL=>szR3#B>P{6J4jb=&R8oN1 zNvzB}bg9He?SS-mY-%lxA^JN$N%bnguOHxc@(S!K4>HQXcRK953bOPLbra1@OaYNn zXyw>=lS!`a2ce=>%pc!b<>O~zkv7pNvoVV$hotNL@;sXj&tYwZ9rR0r{LfhyJ^_D&8x7XFRpvhhI=FEZ7=*MXyvAvs@adW&4=DNJ z&2_#yCOP(r&RZ7_`4|ydxP|I8X_4;69?d_JANy&)$(#efO6tR9-Bat8@8R!)K7MWk zc&6@*1}*4})Uze$mg=}Pe1>+rnXfRA8Uwgga}H9;d`*lST{R%5lGYas3+hwmAk)a2 z<1vXR9=t z1Deq2gtd$FveZk^M|p6a(32z2r8pPTvKiq&Hw{!8;7X<1wc#^7V;pde_`E-4F_*7Z z#d-u4uSUmQjz@1)jofxzsZvV6&XkSfx*B)p6!H?*V%$`%<&645-u~RiQ7Nl_&S#U# zvN!y;fICFW(#qqQ9RFCdUsWW9Zy6HpB3dK~^8jBq|GlI=O}&9g?0KcDnyFNF7Z+ab z1@4EEY7Z6Z|F24+yvt?Fu!ig%c zb>hN7oZosk_^UvTt_kGz$lr?e`0#$qtHZ@N#;FlCr)LEAzH8{kj7$W7FU_;UBeJdo zcWz6ow=I>4Ka>=Z=4j~%OLtC4Bp#I?!MW@pe<63AYwqm#wqf*kNV){N7>|Q%%Xgv^ z#7p&A^|9}El)UvgugCO}g$|?qFD&(Ncv_ zamk*$QEOEPLB+KlyHv5DxWes%1x6enQ0==~crxy(jpIeTlV7F|fi~oYBv{s-Rc3DL zogTgqnl5b=UOd2LMkoBz?LpBae+qPSGlF-G#C@e;=&~o58imeF)qn8}Z%?>>Sb=#i zN@}^qI=7tkL4-@5Ach*L7*v>fvAoh$3U1G?vyl5s{PTc)1F_BBS%oyaXW(WBzDxlz zR)s0vU365P-rP0%n2a&TSgU-e^tf^z^{+n_Z|ZAl+Z&t`-Q?ku+ADeHCM6n?+pC#o z_oBhN>y$Fwoq2s@!?aMP*KM=UG`8@?3Q2}cH_U8v&8y&%mqJKAHmMI z$<@bYud7LIpQ8BZLD~h!5Eyi97Fb)pIL7cj#H_(J-!KW+_)6;OtkxLd^v1LzrW7Tg zxyvS}+i??$BYO|vSNo^1KFJaxDFHT(CYW>)_@LmS89Pdeb5rv?Vf0vM-{TG1jLI$D zk2~Oh=+Q#i7+t5W9J_Yd3e0Ym5g3+VP>#LAeufpJ^pmXKQkt}wbSIQF-PV6PZq1a& z4u#MVy|+;@91jffZaq@vb* z>yV8}-t{`=>TKL^zEV&(*3OQ7P3=r19v8J;lV3A&Kl*xmbFx72Q(?QS_iW_AHXb(Z zLF4bl^Cmo#ML(W+)dX7Rt1WH%-n&6!pNPa4(DzFd6=vg#JJMUq-|Npig2wspY2!(9 zx-%S`x~-TuD>UUXP$^Lnc?+=h`~{ZK|DQts<8c`L^x$ad4K8W)Mn<|upl`Tr`V$@X z$6@bp^f8Y2vuWd}t@9181j8QGAM4ITcs{o$pn?0Qw(?*a;453s+wmOJC!iejfv;e_ z{ql&_dM!Q&>9(~WMezmGTD*Myh}GHT1s&#rvv6{4^&oO$zD%BWd}iNyi(AS*zAA1D z(qH|%Q60P#vrlz(aw`YZMQ9tO7})&vYO9LbYep9_XW_>`*`-~Q$6JcV`LdssRZPxH z>(S9)vY*0jKBF7fwm5gwxxbpN{q*@1=PZ8Y@4DU*1G6zjc=;{JWFy6SKgP%TKJ++7 zCoD^3n7q1$w5GpBR(8p(v}v^iRR(Xpf2J<`eSyfj_-qLe(+*ZWTSLFe^#spO?`Q9* z{&4bZ&4-h5kA0`FAG_)g-k!SPloP-pZD#}yByZGg{8HIm04DwEvIwNj;05x4P4!-yGLG`NWE z07*M$KnAaZHq+%vifAyiSU%CrOyX2ubAU~j>9AQx^k}4Hl*h=vPkxpjiuKU3O%`! zNbLG&ag4n&5o*``xUpS|*bRQ?DOmZ+W$vdDeqMRG*F-OFtTS`1OXwR^P*y}IENaIF zr&s>nFVe7UQv7~Z&Ywm6#x>f)+)_!$|4|d}k~1t$|?jyc~bxcZhGL>vTq?awwqhYlivg zXs^bH&>uB{W%-y*Cm0D^%JW6ye8{UGt$`31jJ`7cY;ilK)0w1q@a?g>jEYEfV9Kcz z+2io*Jd9^jua7gO-xN+*Q$VEv_k%|hM{>98Y+0~Fs0kjJyuJ+25x|4S z2Nz0?MdBZif6crR4{W3Lhjw>@OYWaQ-)#O&@$_+dbqdASFp*Fy|G}&h`dct>PY{1Q z4+K#0+C8X=O9SANTh^0sWl{M{QC`a}_>l2jkw^z@IIpNJ5g`^j9~q!g@mgT#4Fl6u zjDE5F2S1SH1ZTy)lmFOQ1>Sq1>%_o^%-h2puz3R-jdmyvcLE^1F|)nxBwv8U1M#E2-}U&@Of}u?P3@@#0i=(h$pvR@SIOA;ofX$*fkH^U`1Y z?|9B&qRsMCa@kdoKRh>OI**pbvS?@%aB?@5}$8`lJ6#Q9??|8WmF6l6@T|DMS*ojwMUh5W+0k$@Z?SS%zfGGGr$+ z*+XWOWh^mSCkBJT>@(l#^Zowv{SUrBe1G9S?%aFNopWC2yw2-+p64}7Q)Q@O#+~2B zN0d4>!Pgt*#z!mRIQrw8g*-OnESx4(fmh8bf%*FzrSZG$^9Ng}qlPH5=i)x^qwCKV z!UwbB%mw4R{($uACcqO{r-x7&uxS585j|>w;<5AGglarpg{sJ<&@+Q~YKU3b{-;iX=uu&?`d1L=xgwjZ3I7S2gDeD38% z$GH?1z)6RxJpgX?Van*P<P{Gzfvn@Ka?zuRn{z_M)AiO;bF>#J2vOG+{#YZL%wShQ;oEt$XOJ+WSMN*ozBELVoi1&+ zOsMrBhbZu#tB**k5G9=CiSa09i$UZJMa(r)X|0j0R{%U8rWIPT#EuR>H(x)P(93|v z5_R5=jqF$q*g9L@>NO?57fcZrP1Webctu?m=p|~A!!I{KBSW_RX%= z8YPJ2S{nA-kBCg#5_WMG&Nw&|%5ZgJFA9+R&aDgeizq19;my!vF1FgNm+Y``5SRAy zUooF@%(bu(&M5O`rq%yM0Z&9_cHFK{M=5dZBzE9k-3y^QlsxnKGf$&%KtuifrSL=w zXd`rda3`>rMCYY9?!q6C(M#xWk{@;s=;Y_*`JGV7VW8)ciXuMF`9x97#G1_P*K&3t zAN`Md0R;5P*X3Uv#9jJ}@X%vzhf%6t!^@S5q0UQt#IT(V(|xqnu7&5viYY z{;OdCD?+<&Ryk4gaZGlh&sO zN_^FJIO^}WbMAkj-UHR%6fV%gKBDH)z^p3La_pH^2Av+^%ju&9{Id%DV|2 zNy8I`Bhj8ZE$fhtGGw$nkVz*Ha~%P+Woj8d5wz3~?!l;d9XuyxQkKJ-JY7#b0P0Ls$H!M;Y_RejyYFTc4V(Bo8XJRxCNRIx%hD2y1tc-Pi!h|-1~Ne zjZfq{pV;7VSOe*K6^D#nn|_PJgm7h5;g3gQD5UO%k^tV#lQ_Jtb#lk)dQu@$xFxkC zsJ^!lcq~jhbjw9j6DdZsgn-)DM;$eq4E> z>`|Jq2fIpjeNwOIR<#o-<$Y@4?r@y2&D4I^BhByqLbnoUHtB|baB_8xRjd|O|52e- zy3Bw!MnVr=wFNq7)6-Rl7g0a)I#eQvFY7&+Y1A zz?sf8r0!xyKQJDkwHW?Q=?zwUF{2Ng#0u>p!~l;Yy?bn<5Z$b+i)eQTbm{i-x^U}C zoRUi1NKm=1l4JFg#rEI1NGV6Ga;58a{{uvwF~23|L)bP-{V6+U7!u!~n>*m}7hEr=|EcQD+wf>XIT6A8 z+U^oK$#e_r4)%ewV-s0B@cqIByzf5ocuded@;L<4cep{5=|}cqTo4E)vxhVM09Xou z1NM2sm+as~M;SCD)k7(TO180%qYm_-zMo-W^8%P-!QuTUW&AE(Q5~8p(X(|^JWIR^;W@BhKH zRy5JZ&eBBcg1tQ+ZcRJmmtL!wKj-8nLOQrwQ&TLI$C(24lZxrHC5Q0XtWX5Cc(z1X zQU~a7S?HD-qTg=@c!ILg0`6w%tk!%b9*AcJhhOq5`*%QHtf=oTz!qHE(C+NEVM7pN zE9di=oHpH*E;i^NhQmgoBwxe9M3tJ4#K0a5F_F_{q!23xbBk1ARid_Ys&|_Gu`*QR z3y>c4spd~u=sc#mB}ug3g+@Bf?gwpoOD=Pa+6VhbGPh1n%hu^pw;$=T4i#%Y5D&V_ z*eCB#3*h(%Fd_FxTn06f8VH1K#Vx-EB76W7t^*l*I!^iXqj+@kYctS)!o^>;j_`dV z+*raSl~a*jU*6Dv{hUo?ACs88mH`t2#4eKy;X)J`RLvGI})+eBB=}QwAd82+(-jsBFF|!Cp_``zN ziO)ecNv^9_GtSM;+R8}-S(vw|FQ4uOF0T>2Wx4J3(C5rtZ0TF2ovFOMCI zIPmIhsd!EKHs`b!iY085-N99FTnN2s7OK>Z3Wb1YOFPD0`E{VDw|U+Y z$g`Wbarn-=Hj4mm9W2FZhrc_JH-C-(mMpo1j$?B)@)0U6x~o^oqVh1SUE3w}eKIwD z)o}O2RS?a5cAcAL1nQ^X?iP_}CilsL>WLHsoU*D@p)S zC-m4sh7EoD%v|SY8Gv^ACVcDJZ}70EBE=G$_jDi>{MP=Y`mbgnMrajxVmb>2kAu@e z7K|4-rBXBNNF`=yC}OIDP9M03U8F1RX2G1=5RO|QFs@>+v4}cXh)n;oXH`J;Erg!& zcvA(hL{3s*kEafTfE(}-1VYjVGnh8PABF&*@H@Lvg<3Pl7_V;p`S0dPeqBbK1@!K_ z$Ts%Y4OPP+g9A??mQyjCmp&S)1z7H3)5Dj5#^S3Ki2;Zr>WuW~UqUK}p+WbKX5pM% z!0bHI_YFlX^?^Fmj=bc*t2Q0!wRBEfPlC-qgcC5OH$t^)DpHUFm0KT9iv0z3DV86$ zCd~f@fk_q9q@&V_>$%+ur|yly$<*40IP=a)$fZ5jFuc{baUo23fc%|FL%B*$5h zzDb%CuHL4U+?YH)m8QKsoY4|4?w3oPgg14yeya;cAT+W+@AI)!F6i0vEj^K`eu`{Q zQy04To)%QmY;KNsYKRi*s;wvyofd>xvND@o1D?RiA<|PQ^+71;h4ST(S~Z14F*ZHJ zSNS3VG?Ezn>3_rJ%}%lY)w{{k#_Zm`>opltMWaWhi3*58zQQhR&NQH4*0oG5l$0p8Y zL)P6Dr^9+8Ty5T(sP`AtZwqKY7gj_R1Tt1mv5Qqu-f~Ba*M)d``OSm(>i@hzUal;+ zBNoa|?=Op$L4FAy<`VHs)iB<~!Y#TT`TRKTF{zE{kMxziW|1v9>RT^7ka!Nra6P3g z+D^7@cuh5w%Vqa%U;(AfN5a6^-3vde0ic|nP{w12ZBz0{GTcMSj2tM?>-M-X4VV@2 z`Idj)YKv_~wBMY0@D|4X!_xxnbn;S8wbjks_c>pdEIvV6QsAVhr*x_!Vu4V?EEiVN zfoinamQ?g?XhY@xEz;vgLlAqVO!Z@7F>EHJE!3AIs-H5s)12YelOE0#BX0zzxjyk~ zt>)GD*^pqk*KbXd+N+UH1v~r$w$Ld3MRxrAA}b5(I(?-MkK{@L3g ze?rAuhit*Qt@QEV7XD?Ads>n<{ghc?oH-_;Yn8rduo`l7^BNBN-6RXW>_X5)UP0`& zpRpODbU+{J`*#0B4oQZURYuf7m$?H@#GakhBAzCfg~psiY_~S~9mD}|tPq3zRb%^s zBfN{_UJYmEl06(T*YA{N_Y8L)V&bpEjC*agScCteTU}QR;Dp%ns`cUej6yg~Z#6`u zriR#z%tA}K`W66B11TUOn^}tFq8!Zl+ds)@+{f|-41f)k5_YoCy=;*}S!jL%b|q=* zOX5{}`(>C=!nx9Z_&>>{hIdLy9&~Hrl3TsZI>z9gOteyv+K0e##*5~Hti&<%t)3e- zt(7UI7~0C$p~$>v41e-cf2JRsuPTR_ZI507Z6%T~2hBr#4%HEjV4GV!z1xjaDzGi8rtH+16 z+;3_;^JSKD1Y+6h%ubKM@vgv5a%7hy&1roGO_{1wI=3Z%e%3De0J6B`cX|sX`x7N= z$f95S2|#~R_Q7>;t_TEly*Jb$ew%71=fsDVyPnWyZH)nlJ^G>SV!(Uriq>uuEhrD- z!#n=NWMcSW#f>gOn*qx6;>QJ&EwhwPHo+$#+OlvygK8eS8q5#k%N}IxJa|a0h(n$Q z`b5Vel@QtU1?=q#638OSj5=Ixr3s+l>f6j z9A|>Q%4&9`&$;-pZr8^vGMjHUvlD+!9J&N>jaBB#!}gA&woJ@7A01W*s2M5$Zy};L z@}vxqz+RhR4Ai>B{pyI5*a?^|+b;tMC&(MKBD75w;qlp1R>j3q?HE7hCeJ-}*%{p@5VZ zm3ng`ANRmh;T~QxAU(7^#H0r%utyPxsBHLkMLHQ$bT1s93>`S=NZ6y?sA(rAE;epF zc`$8=BD{fvAY8tSblU6T3U%sH9541ekO35YK);~R#^ z<+LNK!!lue-c1fxFbw_NgvD)(sqgKL%evzniGV@?l46o#V)SwjS`Illa|4ZKNE+ zxlue0n#PWW`yW=*$gNsHnkl^cbYF#b)Yq?U>DK7030ykP=6x(tma^L`eK8=~sqp;{ z+X^$r=a|>ro|;KksBnJ1)ezNjh;O#(2=EmCGYiMqhtfZPY$a|*Fi{wWR^q)# z9taTU;LvpXf0H9hpK)Czx()cxs?>}RQ_bDm1-1T0wH+2%xIE5rM%~sOYp#$p!zH@a zixmQR%Za^Q)0c1=mrv$@*ce6P3!$784COL_Ph<(?pTYGx+&ju&rz3INMxrN@JKx^O zy|ew`Gi>Dg;BekEV(Cf>Y5Wd*-wS^IQ8UuzffpE*`OW6go0}#=P*pP;LwlNCR;vvKP&(6%rl``GG4h;N26yAsuJO7$sCxR88^ zXGnLLZ}oGGI?)awVontSp41ng7(ShW$R#|rOg}h1g$S80+AZmxLY-=RQZ~1wzqW)Y zO`i`xzUe1aafxBYXy@4s!db*s0em$_C^>AZb2crG%@7(ooYT6M2Tk$!Z&)Qjqn!n&T@M&SBFP@RK<4W{a!6=Aj5@xHZg|kBvfhxo9hH#};nV^=}?Og4}zcSPUiB}5SA?5T@Om~{QAU&Sa)&{cdtFw!(sVLbGQ4D?I;W( zq*B4%CA0ueETU!|vTHqaS_YKEt=7YTD5fvlnp1f2Mk1kMvH5r8oPdEj=et4aD3C&l z!jg)23KzS7GUD^Ehe-|7%~oi+&k8E8gKgwUXG?IUvR^Wh5cfP^^+^cCVR!=;jD3ly z1>)=dr@lZZ0JRD&^qX$gQ2hs+CIMYeu6}nq&ids!5FXw<$ilf8Ya=zx7{v3_ohanl zafMXSn;UG=l&ZguWr8JqXtg+lULl8_RjE^ zyr)S4T~n}}-os$T0q#xxLlnWB_n?;K!dVEFGh?)KY#QUj6D-YY|6q$ylAKmhecr=H zqEccNv@ULI8w7^&_nWa;{r^_IgD(1k*YaF3XL|SEU!`oIkz*En9pujXdMYu%uP;y$ zVwg)MicTw{pxot5N73^9t0{1--(!GdH5VOlh&XPR^HDoW@uX0nDR0c1OTX*;&Pe|W zNO~>$%<5;G>klQzqnyvqQjE?>Io^dNnHpHez7m|kf;BG3urDsY{p@VAYxvPjqp&u= z_oS`QR!)=S))mNg$~I~AM-^_ps;&{^Q1Q7sCog%$!r;~p z3LPl6=X-Y#WPXhT4U}fxoAzQ_g;`1~F|`tQHGL>b4M~VijR4~U=o|Vl#9g7U-DZV! zzmMbl)$IiO)?2!AagZMHIHg&NVtCt~iRGa2I#7M=Sa(gl2R0l z*X)xQ_bk#^NJscalUM&kYQ1C0d!H$EOp>%nUI7}5{n0y45tE{zqZ2&UfKwSOx9d*9 zuJ>t<%Z>zoj#eAb*X=9uE}wr==gYnvi5$?_b$epFoFMI`g&fK-pgm)EGhmOL?^T z{m4A}|33~*f~w3%|HA&L2*eiYyTp`JnfPPkoM!t*{n`f&3*;qjOzu;|FF(Ode@ z;vmFtuGtVNN^A50<;!VrK*|b$LlifnP^<@dha=bpA#}N$c-RVy8KCT)7;8yiVVzY~ zm7VI(AWBh`*!KjV^Hxe)@c=YRc;k%rzQ8DY-gEc%bb7dmtg2#0+hsHz2ic5&tNX!v z5p1lh_^uVOqkbHUz~VqN7mJH7&fsWZR1TWs)0Pws%FPx$P+TZD1mt8NsTFUX86vVQ zmC+iUTTyT6w*UEo^xKcV(lOfGl;a6eP6sXterPJw@14t5XJLh5Aw?+=hVcr?Qn>hs zdKx8Edr6uRc!nosIzoiwHhbCDJs|f{Gn^x>!SBRus}#*EWZn^r&7Oz`6>nhr3fkbm0-+U#yEOXgQ-cjv#r+2nZg=H5EoMC^UrE>Z&y4|5oz-K> z=zF`H{KpEy2a6Y^-XwmJ&lHsOBSPLN>t6(zr)_SY5XCKrJR*q9oMnZ-7MeTTG4|=< z+z-q2Az3M!c*xtMI{P_o%|9toQ~vw7=;?csPl}MNF;CornXU!;JL`rN>s_iYi}^So zJAZ2rIQl)r$-j}fno4&Tp;)QxIpXFvl}5f3BRuozI=A*P9xEEC?@(ze=!<*I%v3r; zgo5^1A$|W2)ste)rqV@3C{DNbaB3@;uX5g0a{7bGCxswNUT7_T=_8uHc=6y*w|Yv` ziQ2+2YRDroIeX`iPCp@?WOu*J_Z<(7U)9*TMHmMGj5M;(LVlz?zapm|FWWqpPaO?= zfVeK_Kh@ye_|RE?E^J{UaQJ1z&xz;0A&^~5;gNfFpCJ$bgFDWw9KOxDTSN?11Rm@a zwEBY{{s;+xJ9nQu53#|aep{$kAF3C92ez-QM{2f#7uzSU(3~u=BDy7^wGouEhgBZ5 z?rG$5MCXztXh->2t5fBj#luu1P=^h*vG3f2cC-5mFK6yNpg9ttzL&{V&{&z|Z%Dl# z{`01VzWHUMho(6Rr_@h@QbQDbe}ylNtcp(dnQNl?c0aI}3y3#O-sO<%>$BiUO3q~O#Xq(bdC<0q2IBCs zSDXsCBp+t+Yj7+059#R#2!#z@^&rp0o`36+-jFfKEoGI03=iLL^%^iY%hnn&_P>+U z_G!Mx6#UB8s_o|msN~A1(mt8GqDok`=bX9%z5IqDtSfe@kntiQdN6O zGVyflh|`tF{ z=7*14QU!?~XyNf!&U@pT{*7~)Z^Pv0)b+0ne#l5SHR^3>2l#GR<+n8JYb^WMsf;&B zE??eNWt?>KQ9!BZf7F@R&XIM4SEK8Kn{<*kU#?VCj~m0Q6+>*dFH57Pk>n3=<1@1D z)I+U9k)u{`b10WJ_YU~~4RaWNLiQE^aC49>5XyJ(Sezcp1lOn6W#otMk@^~h2OBia z`?`V4b7$%Nub7<0V4gv{u_%eZb1?|PS$b$=tR*b@!{J>ff3doeZN^>k z%w;&3J*zrMpG4s4>p{bMH`QLiO=08aPM>q<8X_bd?%oV2@Ga&1&gCe?&lf|=bReCeBd_) z$_PFBS2n6W@phzcI`}8-oTbu0cU#dK1$mElwF#RXtE&$vp)OM?4qe`=Y zl-Prf;>Lwz47brBH^x;U5j|etB}M;oL67Mm*^(2f&sse)2iT27lIWyY9ZeCeJ6gHb z`;@#Zb8b*23m_K1^@p*Cp;pbk^O3RJfYn)_ZEY%ns)i=z3; z!UXY$&7qYXAp)O#8HFx>qk3cYF@xaJ3gk?yOp$+=Q+M@s7E4dk$9m>8Bc|%ciB^NI z;>d;vHn6GTNnbVnsM4$xpM_FD9Jr#SG073_NsII|Pv2+0gfHh>)y4n$yyW(_;aRoB zj6J;NmbJwza|?SE_N9aHyK5Uw{WN_ck*E=or6S%3&$c0N6zYzLvi{@Ba%S8bT<7*Q z&rsNS99ZT#3YAm0 z^^MCHVy!2BeJ0(Foh_IJeAJlNta2d1?-jk22rW>{uxMRJ*WPiQoF7L0uEpFQcwjQ8 z4QS>EnV98QKhXwVMNP%>tvn|%OEPrAd&c|UM+W?+I4&GShotJ@MP*b!FDQPmaX}3~ z$#kjwlDE;0SAgNI2+>@gPPL!ZM-BL3CY zyk?&)yTRzCdonF{+y3E0!Z|1892fMSIQ|W^z?KTCZGUMET1FLaP6XwO=-Qfl8Y6>n zbNP$uoqv`F3In4P5_tv-2_`lxAYA7)YREE5<8dz@sJl!}qbVPsVbZUyQ8%j#xZX9zWTVt;qRUa5%{STGl{i zVNOcC#rMCiuFbLkg(C7`Tj~{JBJNe;NHxXkCqaLVzvp})8THu_qOuJocEHkBqJT9Z)j5i3x{|OzEeR)aMb2*kcW9VqY#9UBMuG-xd66HK|%4w*>3G zDE&&B`ux{G)E2^9mC#E-UrERaMO-_FneD%)*r^f0Fy&h0nCY=V_Pxr)nepgrX5wXy4#0 z&jHD(C&=cPdP~B)bAplAGCUKeKn~0yzZJ6 zc%Qrc!9iELN88hI5x~Hnf&1kBgQSj{00xX-Aek!Sl6D+bcvub z0=`tV*MGMV_FS@~HMnc5@z$q>5D977{e@x1)ArWo6dtMfd9(goK_>@Pyv}sJWImA7 zINdAxd_5}SlMG5VYVA(Sch>dI8+f&pW8G75)Vthlkdsb5wIsc{$qr-3i zApBXiH0j4U$#%F%Gkd~tL(hK_?beK_IH~^juc+My8f@49yO^Zm+b^~?1znW7KI*97@jAAzEY-1LDo{(UvE%$#es;VeWdE!sDIarZ9kDqFGo7NS1>^vKL{)^2-a&jsyG z#;zn#Pi;qdCjQUl+n=5nXVPwGFctaUiD$KPJ+NPt{2mmUO8heRkyIG7BBi-(+u1CQ zyjZ(@SL#hj^`#+smeHS|o(d20mH6N7GYc<0Sb+chOdFgm*~VJm-qSZ|v-tB9YZpDJ z+@al+)R%DkU5lp9>_GHWn6R|S3{K_Uia+fB9{+gD%rkR85%#HfV@td&{e4H4MBIum zN8shZImaa!PGa`qQm7oR2PhIL2D7GTRHP0Q+}}P*6fEh7u7tYP_f%3YOd8$er%Rxk zALsKM>?K{IXxW(?YLJpdxxYaXEH$vbfdIZFT=!X;w}QF0$_{#PHAAveoE8 z%NdOwviJvZcw}mg^8qFLfI7jvSKQd6yNrAmq_EU(_(vH9Wt4y!YeMl(z9Pg3{sYb3oq=qxhX!7{<#xL&rt(% zzk6W|ZY0#y6H<<4ShD!9r5mqM{QVS$bOIBPcd00eXGB6zF*POI0JSp7UJ7;u*=dp& zm&CyaUdMmR_9>NSnG`?MXt`ssvOW^=+T~!bGc={4?E>qJObAI|9E1$wKm8Fbboyj- zwodo*&*2|ul9fZE<0&EmKZi8mo&ETPH}P#g@PrHRvDkO=HJDnIy;+yGx}fh4GRyM$ zn~+_NW2qXrj~{MJ>OE8j+MVQspVZ~o!>wG2)&DS9RlMuDX@2t2+DiE?VzQ3a_Q~s) zC@A7Xy);nvpSPv`>t>fFbd9ZfZhms=J{#S(MvRCQ6kUBPfX@|r&pL5;?^V&sF>2b| zs0L}ZTFHc1|KXAkJ`8E4F&{=tYN+$#^7M6#4+AHIx8H1yT=m<`FhWnaN4sIgMGM`q zWzU>a7zXfyG=`xJUh6kva4pv%FffS2GTeU*jq_YOd^Zh(DG! z^9iZ!>(6v8owC+neac_g#e1Wv=Yz-avl~=M5ot8?#g=ThGPqH~ktSb!+h{7*7dlJx z)XP;bt9p5N`_%icrx)*AXTDY*-67n#|3H||I&%J15zfX;BrW`s+V^Lp@4WT%#eo6? z_^(4a)jW`Nc$>xp53T#|4{E*xT0HdPDQS-3&g)=6>8UaLa?wp;JQ8{z&qyR=kn%=f}^=0Fy^Wg5^cEfa(rqb<8G$Q>-Iyn zGjnnA_w-H6q+clEb7eAlh8p4cDh3IlD5y!CnM zdO6@`Zk}82UiV2Q&L4bN|2z4zwyO8BQSbNM?KuM-^>6B?C-b%l*3zU>w@2@fY<|2n z41J3ds8K#ltiR;=Mmwy!&`MT#sX0z$!0Uxpzc0_}N}<%Dr*);NGL+lN&LZ7e$I{F0 zns&LEB`k^jd2__KCHB9Yh!t%ucEul zmX63=W>)2$xf>gm$lnW8DX;V2P|3U@p#8@@C1cB!OMkrkvj6d3)qBfAYUgJ>xixR< zFi#QpdlD|Kc1Y}=`DwHCdY%S9nz~x>i_0{rKqb~2VHD?lK4K`k=axF^p2 zhJ`y+a?`1-UT+c&R0c8Ptn0+CLg%6cQZJm|8Yy1DTx z&5`fCzytTu<^17aSA%Q9dxCU|S2^88oZS(E=a!yLoG4*l+c10tOQIH50+OgFCk9LP z1rBJBkU|HKCyYxyd5xFo!Ud9QY1HPioN035!1&mLc#C49!t8ISl&~M0e)Xr`-`H$;&Gp3sn9~_jwaW8VnNJ+`AC- z#Zh%RHl+u%BdRm-DFPwqe`nj%C5Q`UM-J??zrj5vX;#$3|1}-ihlYr^o)di64(Qz6JtVJj-(u?%O!|qe08=&EIeZV`8n!Jk&*Y zlWwu;A3D?{7k({hmpf}li9^)~^rkzS(!)0*z)ug&gYM0&(tmBTPO8+bEcSS1w@tru zvOL6wLo&OTEd~gWv&VMcuHN+WzzX3s)9YrGe3vcuiT1YFOkeN~)rx%*dLm~7q``sk z&FGxBvhML~9v^G7N6b;f&%f~eIJp!2WCM8twvG;@H_?B5a`O6;jV%x==>0)#u@Ct) zgCUnX1Dcr6>EZ!*F#EJRDEMGd4}oChZ&B6tSMj~|gSNdu2V@gjmG)KN`<4u%#<0EP zlj(wE26TG4Vg|E=A-4w!c9dgD%ujH{1=v6iWXgSiqD(~#d!IZQmGlRTA2Le_^n3!< z9^$>Mvw;U<>4kXeEEyG!Xn`D#^7CO`(MqF;TJ$Q(s*ypxxv@)#f(DW>v!`y^Bf_Ac zj4DiXo~BXDoLIv@MQp_+`AS^T{G*61{(&gy%?6nHy|rMa=&O4IP?%$)~yLgnC+AzhTt zwm*0y3Vg8{(oX8d0#OGC5A%_|+emc;u@JDi`*WxHp#3XL_Igbd4I2TQ_+CFGB3+wli9@%+EoSLr zBy#g^A%X6i5eNi@AZ=w|jzX{p9uxS^Emrf{qIr-%3%*KdBRS(?7m(_#dthj3TE-M~ zT*>QO{jL~^F;WjlvyE2j?&uFvjZY+f9F{3b?`I-2x~cs{2B?dGwJpLEZAUC>S^ta&0fN*R2=dxm?U)*kZQ5PZBxY%x{0r?^ zyY5TiS7lfdn{hOIPzyAFo+R||4rV0AB-9NKQEg>W0nO% z4le@RQ8=GbW<4BsNbk_)024d?=G(x#7sD9{sR9R$xVjlaCv!9m%qN+qq>1x+h&@Dl z#QCut2D~Ql4qDpF>5-s;c_@~5sJ8PCW^;}?vmoiMbt|8;tj{v;f^O=xd_k?SpI=D> z_^?FvMFEMOV`^Z3R;?bEy~p+}?VSJdRNx(hf3IrVG8kQPV3A^(4-I2Iz4u~*790F> z;Nk)KM<@%dVsP4f`zfFR=@kLp$`t+5m-Q42aCuS-+VcIUZBGhyA2pVIm0j( zfms42*+V{jV%eQJLR&_N+`xnCrZ(porV*e4F!bX;bInmz7AW%?fDn8U+Z4*0HX*7 z^R5UBfvNa%uoyxc2&Ar3)V68W2$899I*p29B9?vNH=>G}HvDGh!596ijcbAF&bYP1 z_&XSd{r6`8>~E!yffMXmO^j|32D9hY0!M-|7zFhPQWl`A6+s+6ch3Jn#5dFUb)@Nb zZb88|UCBX`$&wwTlSbJAAPic&#iFG{f#6!`2k=8^%W~-fG7!Qx89O3E0_M+llmq5= z$Cofv_--?Oa$gORYQ-L!%}n3jj84Q9G!3xLz$~#N?bm--ZZ8KeSERY*0kDIusp+V! zh|Fp=9wLJ|Nd=<*L!be}Q?gg7?BT7(Y6LTWQY&^t@Cj^#rm;mdlbg>9}okr zy9!dDR*mf(aBBnBe>>v8#H9s_z=9;PY-6gjJYF8qEFNO_T2sf`aDiIXWNtC+tvk#5 zh*>JT)GFEn5pe>8MZ-Yg-Ss)ZL+qND$mM+4D#3IR%-k=8F4qB3&YjFdC#)(+4e=Yd z>hln|OxnV-HO`(s{%4kf@8W3Wr>qf?k6RYJfL?gq>ui9`yrQn%33do@uOlcmGx_rY z+cXD%Iv?y7MvYZPo>*sZe}omK^=EgLSti-`RnD_MlfGP%Bs9^!Q{<23iA$mR399op1D>Wkwcz_Y zg@;P3Whsd`KHU$`+sbTC-M^8y_six+-t*>f=Moy0vg{?2C-zli9udo0+CQ#YnqqtJ z59XF7zw6vIm)kf$wOuPJe|)gm$vRp$&F1&q`Isg`5$^J}-42W5$8Cj2o^vl4vjOng z4GFJ`r}887qiD0()n9X8#NWq{&s%4&8k7zv|CmVcHc=)jz%^ET0(>Jlo%k$_4g3^x z+O=fLGy@G=K4aFO+-mx4`Jkc;z0$OIrRXWYRP6o1Yq8uFx9M0 zY+O;_agzpJ`1Cr{zBWXK&&|{RvZJ6cK`CuEyrvmit82llRidb(*D2DYa2V(p{E_#W zsietr&fYBps;c$iWwq?*qac)*_~_lX=QpT#A0+1{jGLsXZk(rN3fU{ay!_nyBY$ge z@LBO@X-iQT@BXT{pHIBa4!SR6Sbb}1wqY#s>9b)?gDh3s(D1n{dG_2#!v>9-MRfa? zaZxLB8Fx*@vGYcMEqP3icDJ?GO3j<_o_U$reE#mx8~%Ffj~@cI{Ix6BZ??2(n@yYl zSMBtm_EYUx7N`O|_)Gs&pH|bo@|{n$0#B=+dH1hp{}a4x`cJS;kwL@vOy!sx&+B}G z9G2oQ*$b3?vR&fq_1wX|4Xo0Qk&pm3mxm7%&rSW0Iv1vO^DZ3S%bvKOsF}s90J!IK zuYBNEE&X+c;qO_|R}W8vy}9+zxuiTBG6{Dcg5m6baU2ajVq)N#AZ5PzM6dJ_=UbBm z8;>9GYp&OaaG~0j7pbdg4nmojPE7hgB=3o0GY-DqwyNxpRxPWx6?t}^e(-I{GyB%diw zv>Ow>%jdLoyGFW?ynC-UQH5jiv*s6j>4q!ijgfNt-Ak4E3*a`Dv!U8YO4MQ{eg&PB zFky(j&f#@Wao$k!FMFG1FViIef2OkPQPXGWm{LC;>f7<4+U|kgen8oE!Ekw_j{y() zUUb}^Q0KPs=_mFKpE1rh3G;m}k~i?!XO%w6$#4HF0WtF8$B22xWs@21iLdvYNAxwo-9`ptMRJHVL7`82X3uL zRVJVQ_d_gy;9J?i#HEZ9+#S&fm=BaG)34-nLF0l?x8#|3p0}Jdt<53+S+}owN88Q zt;tH8_2)HF^F`j)=$YNcH{r3>haBbCaJuE6r$YLa+NV;k$_-`7P4$JMejcLM($d>T z470v3rjyUhen|;=Sc0c!uO`Jyx?(aLEMvDgvZ(%4?zxF>*P7@6;#FyGTN57v;~`@V7RoE%m|i}LCw z#>w4nX}D8X_W6oI0qYbFu!FPxLr-MVb2M3R0Z4J2WIyHTP15xV(v_XqZFtA6hCdB$ z8w)yG5juZ;qgl9}-6zvsyxNJ;hf2lsX_03)(!O=`fj9>R zX2=|5(ytW2@Msnf4o4xv7U{A~dW9wc3j-z*dFT{QP2k9Km_F)ufPzKr54awxmP4WM zgn+)md|_#i5$ROLV(l1&#S|Sr$3?cGy`Hb z1-=LH%@Cz#SSJ?Fa>53qS&BQzBDsLeIm#m#RqU6=k^Ad;yz(_od&0M5=F)lG?G%&4zj50!=LL|-WC zaxk)ZcEx#^ojjm=ucoj3Q_KiXN|X}lVtWke>?i2pu5s$Bv+#(QyS$Q5>gvxwlFb~; zu4X}YZw7vyrRF?Eq`c3M9?yS0PK+Lp=PO9(VEt82vRcZb-Y@tX&b$1MjL3K|7LAwq zcEI`V;44?1uNz~UBPtzs{_uob^%It;dQq4KB;)q{)*?%uj1@Tu7YmZVD?Z{d)i8M* zdchBtNLKGhk#6{PYuo`VY&?7f+-g~|_7*DzKb%nP*BRGEFbe&WVGwmL!Ux_AdIm?v zG0|5w#|w=eUP>IJ_A0!beV%Q7ndV|VBY7o3h$5WbJ+=^Ez?3EjD(+YuWhrnnO_hr( zjNA9PzZ0zf1BlSUJoHi*!r$);+}=n%AC}lYN$xL_+IvpTTk-^>mQzRa z7?j01HK#O}|l?~aCOsNgoVg%oTV12vR z3M8Hiw*)3fs!aICLgmQ#Vg%;_nys6^LZy(a8^B@+*T(+};{qK0OHglx`cl+eqP`6E z-=Mx6^%kgqiTdfN|5pG200960cma%*J7^S96o${{otVVDjV3V~A4EITs39nmnVa1) zvvb$kyNPRaK^wtFgkWKzAc8@`#yX8yh#*7)7D5U^L_<&n5g~#KTBWhE3h4Py_BCb$ zUN|#5XTJa3dmgjH)J7ddR6jr0&NmunGv1^P{7Ds=ymfV$HId_o#-^RnNva4G)vz^* z@W{pEhu|4tgD?{W)(x~fZT)acZxJ<9D}%SM{~Uy6D?iX8;>;hQ^`py(?o zB4txLV|l}WkF}GoFmw58{sH*zIA00Z^Q#sbCQ~Q(LOhKugT!+g88ujYbNZNG1I&DxUV;NLx<@PTpp;4s1GE zZg4%V^U+r>+&czsv69=sU9!>2V*Wi~92AGEfKf!elGQ52*p2mTcr1@!ty`#9pKl(8tC$C!W9yKX4K~~w!)$c10YyiEmD>xpSJ>9v$zS|Xno)En@WW}#)152_{(O4ztdcKGQWd^V z_~#$ym%+ENm6`_!o#$fiCLRXz?vAa&Q=C3&@c4KMFV|(0f@X1HHJ0a;6Y89i-q~cN za~Ytr24s&S-^)G(W}ZJP$u^D;(m_Vf9++>0dZ>WAsa!bC6`4`4(a{7VKzj;|tfBvv zpuG0001Zob8uQPr^VDhVTB0$=;#eRz41e1QTO4F;PtPU`(^6qi&!d zZnp#yf4u#Gvb3dw2Dy0LotbxL_j%j2)-;s1>wwQZ9FVJVe>}Qvdn~jahd>&eqlkFm z4+w2j0v|CGGLAO8_xT1~Uqko2b-^V(<{_YFTW?dii22L~!!$teYShpSotp4~V$LTB zcWg2R3J*8%gbrM~Tqc!dcm`liacPGE_hn>9C_yg6WIlnfDA1oEfp?A~iG%~9Wd&*p za5tHk6h&`5k|~e=lVfZR~7aP8`PO#w*|^#rQ#C& ozeP_^jlT1b{zT}FQ={+xqi;Z8-dTN9q!*F?Tnx{?0R5}AU?@Q8LjV8( literal 225 zcmV<703QFW4*>uG0001ZoSl(fOT;h`Mc?}?E_t_YQV<`u1s4`sLC{^$$C9+OZ0I(P zNm?lQg}6{U;4wzvMVhA^>rtOth(aL0%(%!z&I|0xO}Zi6%ccXz{uWQ9uxWKz zNLD4ls-wBKgEqm}o&v&bm-`$Y$dPG?;i$ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index a6870f7..b226b9b 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Sat Sep 07 21:15:31 2019 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sat Sep 07 22:26:03 2019 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -9,16 +9,17 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 1. Legal Notice 2. Analysis & Synthesis Summary 3. Analysis & Synthesis Settings - 4. Analysis & Synthesis Source Files Read - 5. Analysis & Synthesis Resource Usage Summary - 6. Analysis & Synthesis Resource Utilization by Entity - 7. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 - 8. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 - 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 - 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 - 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 - 12. Analysis & Synthesis Messages - 13. Analysis & Synthesis Suppressed Messages + 4. Parallel Compilation + 5. Analysis & Synthesis Source Files Read + 6. Analysis & Synthesis Resource Usage Summary + 7. Analysis & Synthesis Resource Utilization by Entity + 8. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 + 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 + 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 + 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 + 12. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 + 13. Analysis & Synthesis Messages + 14. Analysis & Synthesis Suppressed Messages @@ -44,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Sep 07 21:15:31 2019 ; -; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Analysis & Synthesis Status ; Successful - Sat Sep 07 22:26:03 2019 ; +; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX7000S ; -; Total macrocells ; 103 ; +; Total macrocells ; 105 ; ; Total pins ; 61 ; +-----------------------------+-------------------------------------------------+ @@ -118,12 +119,23 @@ applicable agreement for further details. +----------------------------------------------------------------------------+-----------------+---------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + +-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Analysis & Synthesis Source Files Read ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ ; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; +----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; Z:/Repos/GR8RAM/cpld/GR8RAM.v ; ; +; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; ; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.tdf ; ; ; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_constant.inc ; ; ; lpm_decode.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_decode.inc ; ; @@ -153,14 +165,14 @@ applicable agreement for further details. +----------------------+----------------------+ ; Resource ; Usage ; +----------------------+----------------------+ -; Logic cells ; 103 ; -; Total registers ; 52 ; +; Logic cells ; 105 ; +; Total registers ; 54 ; ; I/O pins ; 61 ; -; Shareable expanders ; 1 ; -; Maximum fan-out node ; nRES ; -; Maximum fan-out ; 52 ; -; Total fan-out ; 831 ; -; Average fan-out ; 5.04 ; +; Shareable expanders ; 2 ; +; Maximum fan-out node ; S[2] ; +; Maximum fan-out ; 54 ; +; Total fan-out ; 862 ; +; Average fan-out ; 5.13 ; +----------------------+----------------------+ @@ -169,7 +181,7 @@ applicable agreement for further details. +----------------------------+------------+------+-------------------------------+--------------+ ; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; +----------------------------+------------+------+-------------------------------+--------------+ -; |GR8RAM ; 103 ; 61 ; |GR8RAM ; work ; +; |GR8RAM ; 105 ; 61 ; |GR8RAM ; work ; ; |lpm_counter:Ref_rtl_0| ; 4 ; 0 ; |GR8RAM|lpm_counter:Ref_rtl_0 ; work ; +----------------------------+------------+------+-------------------------------+--------------+ @@ -290,7 +302,7 @@ Note: In order to hide this table in the UI and the text report file, please set +------------------------+-------------+----------------------------+ ; Parameter Name ; Value ; Type ; +------------------------+-------------+----------------------------+ -; LPM_WIDTH ; 7 ; Untyped ; +; LPM_WIDTH ; 8 ; Untyped ; ; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; ; LPM_DIRECTION ; ADD ; Untyped ; ; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; @@ -304,7 +316,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; DEVICE_FAMILY ; MAX7000S ; Untyped ; ; USE_WYS ; OFF ; Untyped ; ; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_qnh ; Untyped ; +; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; ; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; ; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; ; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; @@ -317,20 +329,20 @@ Note: In order to hide this table in the UI and the text report file, please set ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit Analysis & Synthesis +Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sat Sep 07 21:15:23 2019 + Info: Processing started: Sat Sep 07 22:26:02 2019 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Warning (20028): Parallel compilation is not licensed and has been disabled Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy Warning (10230): Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(126): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(131): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(163): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(168): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (7) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(133): truncated value with size 32 to match size of target (3) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(138): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(159): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(164): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(170): truncated value with size 32 to match size of target (8) Info (19000): Inferred 1 megafunctions from design logic Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: "Ref_rtl_0" Info (278001): Inferred 4 megafunctions from design logic @@ -355,47 +367,38 @@ Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:ad Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add5" -Info (12133): Instantiated megafunction "lpm_add_sub:Add5" with the following parameter: - Info (12134): Parameter "LPM_WIDTH" = "7" - Info (12134): Parameter "LPM_DIRECTION" = "ADD" - Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" - Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "YES" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder", which is child of megafunction instantiation "lpm_add_sub:Add5" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add5" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add5" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|addcore:adder|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add5" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add5|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add5" Info (13014): Ignored 32 buffer(s) Info (13019): Ignored 32 SOFT buffer(s) +Info (13000): Registers with preset signals will power-up high Info (280013): Promoted pin-driven signal(s) to global signal Info (280014): Promoted clock signal driven by pin "C7M" to global clock signal Info (280015): Promoted clear signal driven by pin "nRES" to global clear signal -Warning (21074): Design contains 7 input pin(s) that do not drive logic +Warning (21074): Design contains 8 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "Q3" Warning (15610): No output dependent on input pin "PHI0in" + Warning (15610): No output dependent on input pin "nMode" Warning (15610): No output dependent on input pin "A[11]" Warning (15610): No output dependent on input pin "A[12]" Warning (15610): No output dependent on input pin "A[13]" Warning (15610): No output dependent on input pin "A[14]" Warning (15610): No output dependent on input pin "A[15]" -Info (21057): Implemented 165 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 168 device resources after synthesis - the final resource count might be different Info (21058): Implemented 27 input pins Info (21059): Implemented 18 output pins Info (21060): Implemented 16 bidirectional pins - Info (21063): Implemented 103 macrocells - Info (21073): Implemented 1 shareable expanders -Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 15 warnings - Info: Peak virtual memory: 304 megabytes - Info: Processing ended: Sat Sep 07 21:15:32 2019 - Info: Elapsed time: 00:00:09 - Info: Total CPU time (on all processors): 00:00:08 + Info (21063): Implemented 105 macrocells + Info (21073): Implemented 2 shareable expanders +Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 16 warnings + Info: Peak virtual memory: 4587 megabytes + Info: Processing ended: Sat Sep 07 22:26:03 2019 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index d314aaa..be27b32 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,3 +1,3 @@ Warning (10273): Verilog HDL warning at GR8RAM.v(52): extended using "x" or "z" Warning (10273): Verilog HDL warning at GR8RAM.v(60): extended using "x" or "z" -Warning (10268): Verilog HDL information at GR8RAM.v(194): always construct contains both blocking and non-blocking assignments +Warning (10268): Verilog HDL information at GR8RAM.v(190): always construct contains both blocking and non-blocking assignments diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 5e35126..5ff776a 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,7 +1,7 @@ -Analysis & Synthesis Status : Successful - Sat Sep 07 21:15:31 2019 -Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Sat Sep 07 22:26:03 2019 +Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX7000S -Total macrocells : 103 +Total macrocells : 105 Total pins : 61 diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 151b21f..5e6034e 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -56,7 +56,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM7128SLC84-15 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment @@ -97,14 +97,14 @@ D[3] : 33 : bidir : TTL : D[2] : 34 : bidir : TTL : : : Y D[1] : 35 : bidir : TTL : : : Y D[0] : 36 : bidir : TTL : : : Y -nMode : 37 : input : TTL : : : N +RESERVED : 37 : : : : : VCCIO : 38 : power : : 5.0V : : nCAS0 : 39 : output : TTL : : : Y nCAS1 : 40 : output : TTL : : : Y nRCS : 41 : output : TTL : : : Y GND : 42 : gnd : : : : VCCINT : 43 : power : : 5.0V : : -RESERVED : 44 : : : : : +nMode : 44 : input : TTL : : : Y nROE : 45 : output : TTL : : : Y RA[9] : 46 : output : TTL : : : Y GND : 47 : gnd : : : : diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index d90d235af3867eaf585f4ccc873bd7d800af654a..12dfa39ebaba94d7b8d33f3a26ee076f0b007b87 100755 GIT binary patch literal 8022 zcmcgx-HRPX7QebgGmQ9wVpt5zMI^YeV=@!J5rRq<6oa!HRv&!0L^H^sX2LjG7sr_b z-TVddWl@-YSQHc)A0$`2&MnO2zQngYjG1Bt5xtlmiLo=c?LPj_sjmLGslH=Rz{5<} zsZ+n-Ij2rl*X`SP^69@e8jWij*U7bo>)%csnVmcFYV(OFn)ly3_Sp1Xb8>d(#n~gT zyfQW0d}eC))#;gI&GG&B?7L^Y`Phl+myb3l_D?kT-M@EyV(-Mh@%_!iQ?vh^eqpLP zdE|wcn&ZvGPd1;OdcJw^==2BgnzYLl2BkJb3u2#~-|RZ2Z2)9~vXD{^Qu( z^xVr+M;ki;W4WdA!JkCICHRT+q=Lj0^$bx2Q$nFr(F;w)R>wqJU=f)l!v?WaldWiN zB8Q#N)g%}m?OQ}nX{)(P{Vixpu$pI~MOCauThTBF>aE$5fY3WHYG-SjHg0aDf>iDF zd&B33lF@fYLc~zNw<>7C77IX~d5Z4 zVinY-oYor>EkNNTLo|==lByjNX@59`1_Wq2wwXayiAxeRY@w<>3ECPdM>~&h7A+Y< z(~{&SW0k1=jMlBBVci6~N`!S2wU^UQUy)>pVn7P17gS3e1Qe{iOHvu2^^L5>fYpJe z74iUJ3z|(9?3%RfBq*K_6k+nbgagh>Nowv50EQ!mXN5W_FA!<+iR6`12o$sH5Wkhgec@_O4P^(=DAhx65hn11;Fw- z2^B@*@@%QvE>+Po+Lj8=F^W;Rg%o)~ zTJ{}d9lQLQ2Nh@FqE#1wT}iR^n-G~7qa~!_L_(lME?P`M8ZAaz+mBYr4{@FNYgVu# zouHf~Z~HV!`YcuPDa1)CJE83-8RC9%(fH1>newMXj9%A8BRZC;@%E#+B1oIy1p3HY z5(Knr#9?I@6iQN}ZMUPPhQijUroFi{XTzdtM)?7LD=858%*ds1D#2Jm%i*;&4RkqL zVZULlI$DbJ1N_!nnQarihV?N<$hnM`^}_ z&K1IAedinolurHQ`_dFl?Bw~X-DtY9@Z zng$jsi^hK%%@%6LRVP5^JX$FQzbPh0d!~Xivql9?5GyjyLxWvviSji>s3t7 z=2(%AKUzeXMWkjB)erDnLrM(3$JC`E3V)2?FO^)g27feroC?AZ@LSU)TOj%YertBG z)uTzYi0YQa-Pm=L#9Aw52)hq&lD?zPh3^ZVDxKLRRqc{!cCHmJym%^ILCxWp**H*1 z93CWJ2jeb}LIwd^j*n5qjkriwRap(XX{s zTj54!m?VuUhEPigL~^spa5fXp2pATZI;)Be6*=I3Ta;nBtmG=C(fp|c=W>9D0&6(U zl?(*GU%xe^c!6h;28!S&uM2B_8W^%j8Z9JJxTKmib zCONc{GB@q8CR%nX+==+3m1)6mrP+-!#IHXZ6ABx=qs2pd8CZZvAG-VcZ~nXc?YCwp zZ~T9$Gu9n>V13W-x8FH_D@Ojf^ZIY31K8LnCr^L5=a)Ahy*zr|`rc1ZzPYjMhBK|> zlZ#*cxqI@x%e&tF`kjr@#V?w_?08E?HUJy@X-9iw&%1z)9$LS%JA#qFeBC;J^Nl*KxgS^AQJFmn&(}^Th8!Z%YH7JSSzA)UlJvRgwDeo=5z@v52?5XUV5}{D*o*)xWxHl5D_qU06M6|3P-`$JB+Y5tOyZZ zQn;L>I6(v?jbzYbU0TEn<^TqCtOE*0^fT0i=Z6H_He2=u`VvNBWzaJ+KSNksTp)?T zdK@@=@c>#>!l}u1y*bP A>i_@% literal 8022 zcmcgxQEObs72Y;D*ijnVI@m9vETxzjqS%t-)D#zE7Z+lZR*h-<;0MKtMGfX^hL<^oI7+v)ut@nX}?bF^4hPtImeOlO2(Fu93lCZ-C()c8dzi<5nVVYc?%xyf+5>A?Og`&R5Ory=yVY3 zFsN0AuC5KXJ^?(f8vxM*hHQj35DZ7a;|7BnRBFy~%^=Qt+WPW>UV_8Av%m}_^-bRV zXo~cT7g0BBd%>`Iak2!KsZyk@Pc+F>FZc_jl8}y4-#qBTQBQ!4*nZlc9 z#9X1JqAf)fQPCpSEuzK^w7=Uf7N4@;C>r4dB>2Vo^x_;D7V%f;iWv;+El!Ez0tl~I zTettBGb|GuDh`~X3~up6>t0m3kVM>~7sS$x9{id~Wpf(VVDf*W7o7M)*Mn+|AnF`B?(bs}2A4gk4ov`JUkD(n^!_}j-6WWi&jHZYJ7dyf%DBz(1Pd+f5Y>YvZkFkfY75 zjeQT^a$Ye4D}myn)wwY88B?Z2<)Hxlt;^duOZ1#QX>nnLu3qj+Tkei-25D#Fpcpt> z6?b3>L?fEmykBF+&U0}SQY9!}HQFbvbzN42nciS$?8WL|*YXrQ& z+aX%KC=Ja1y9}?i=Wqc&67OkyPiiG3xl6E?Xmy{Dbq^>~f z26I+8*J*>aHl(qu-!#k-`m%duGfgM0B}EEHgYR_z)eC>k7VRxvqnw6CHfe*j7GVr^ zS}jCK8>F>?5H@VuAg$H^cDE<&^~fOCl$n**cvlV~+TbG}DBgXp=^*$q;t*e{c30XU ztpy44e_5$CPJ$0`tPrK5>~OJv$0 zt%ZAC){T8Q7tr-AK)g1^FzHy}y^fZS=IhxU%b!8{?}m+anGhxpDO6 z?K?ikK{b+gkpBFCi{wJq1a^RFYXzVN#<7c+w+P$=93Fz|z_&9$;n=A9jxpyi3lI>nm8N2P?}aBFY?%UMT$O&R<%r?|?bI+>s?ObP( zhXc`(8R!g_yomI`85>FKU~N!Cc_`DcnN!9faKVIbfu}L@dIWw%Q)t#F&I!Pka<$tU_J1JcKgsfw-3?n rgY>cVkcoc#;UW9sLAiRsLS`v$-!~mapazZ|569ZoR{6j6{onfx66@m< diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index 8968aac..66f5111 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,6 +1,6 @@ TimeQuest Timing Analyzer report for GR8RAM -Sat Sep 07 21:15:48 2019 -Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sat Sep 07 22:26:09 2019 +Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -8,35 +8,36 @@ Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit --------------------- 1. Legal Notice 2. TimeQuest Timing Analyzer Summary - 3. Clocks - 4. Fmax Summary - 5. Setup Summary - 6. Hold Summary - 7. Recovery Summary - 8. Removal Summary - 9. Minimum Pulse Width Summary - 10. Setup: 'C7M' - 11. Setup: 'C7M_2' - 12. Hold: 'C7M_2' - 13. Hold: 'C7M' - 14. Minimum Pulse Width: 'C7M_2' - 15. Minimum Pulse Width: 'C7M' - 16. Setup Times - 17. Hold Times - 18. Clock to Output Times - 19. Minimum Clock to Output Times - 20. Propagation Delay - 21. Minimum Propagation Delay - 22. Output Enable Times - 23. Minimum Output Enable Times - 24. Output Disable Times - 25. Minimum Output Disable Times - 26. Setup Transfers - 27. Hold Transfers - 28. Report TCCS - 29. Report RSKM - 30. Unconstrained Paths - 31. TimeQuest Timing Analyzer Messages + 3. Parallel Compilation + 4. Clocks + 5. Fmax Summary + 6. Setup Summary + 7. Hold Summary + 8. Recovery Summary + 9. Removal Summary + 10. Minimum Pulse Width Summary + 11. Setup: 'C7M' + 12. Setup: 'C7M_2' + 13. Hold: 'C7M_2' + 14. Hold: 'C7M' + 15. Minimum Pulse Width: 'C7M_2' + 16. Minimum Pulse Width: 'C7M' + 17. Setup Times + 18. Hold Times + 19. Clock to Output Times + 20. Minimum Clock to Output Times + 21. Propagation Delay + 22. Minimum Propagation Delay + 23. Output Enable Times + 24. Minimum Output Enable Times + 25. Output Disable Times + 26. Minimum Output Disable Times + 27. Setup Transfers + 28. Hold Transfers + 29. Report TCCS + 30. Report RSKM + 31. Unconstrained Paths + 32. TimeQuest Timing Analyzer Messages @@ -72,6 +73,17 @@ applicable agreement for further details. +--------------------+-------------------------------------------------------------------+ +Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. ++-------------------------------------+ +; Parallel Compilation ; ++----------------------------+--------+ +; Processors ; Number ; ++----------------------------+--------+ +; Number detected on machine ; 8 ; +; Maximum allowed ; 1 ; ++----------------------------+--------+ + + +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clocks ; +------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+ @@ -87,7 +99,7 @@ applicable agreement for further details. +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ -; 10.42 MHz ; 10.42 MHz ; C7M ; ; +; 20.83 MHz ; 20.83 MHz ; C7M ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -97,7 +109,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+---------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+---------+---------------+ -; C7M ; -47.500 ; -1979.500 ; +; C7M ; -47.000 ; -2056.000 ; ; C7M_2 ; -27.500 ; -33.000 ; +-------+---------+---------------+ @@ -130,7 +142,7 @@ No paths to report. ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ ; C7M_2 ; -5.500 ; -22.000 ; -; C7M ; -4.500 ; -450.000 ; +; C7M ; -4.500 ; -468.000 ; +-------+--------+---------------+ @@ -139,106 +151,106 @@ No paths to report. +---------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; -47.500 ; REGEN ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[14] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[22] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[7] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; IncAddrM ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Addr[8] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[6] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.500 ; REGEN ; Bank[7] ; C7M ; C7M ; 0.500 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; RASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; IncAddrL ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[10] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[18] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[2] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[3] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[19] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[11] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[12] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[20] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[4] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[5] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[21] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[13] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[14] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[22] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[6] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[7] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[23] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; IncAddrM ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Addr[8] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; ; -47.000 ; REGEN ; ASel ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -47.000 ; REGEN ; CASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; -; -46.500 ; S[0] ; IncAddrM ; C7M ; C7M ; 0.500 ; 0.000 ; 43.000 ; -; -46.500 ; S[2] ; IncAddrM ; C7M ; C7M ; 0.500 ; 0.000 ; 43.000 ; -; -46.000 ; IncAddrL ; IncAddrM ; C7M ; C7M ; 1.000 ; 0.000 ; 43.000 ; -; -25.500 ; S[0] ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; IncAddrL ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[0] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[1] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[15] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; IncAddrH ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[16] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[17] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[9] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[10] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[18] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[2] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[3] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[19] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[11] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[12] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[20] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[4] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[5] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[21] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[2] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[1] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; -; -25.500 ; S[0] ; Addr[13] ; C7M ; C7M ; 0.500 ; 0.000 ; 22.000 ; +; -47.000 ; REGEN ; RASr ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[2] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[3] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[4] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[5] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; CAS0r ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; CAS1r ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[6] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -47.000 ; REGEN ; Bank[7] ; C7M ; C7M ; 1.000 ; 0.000 ; 44.000 ; +; -46.000 ; S[2] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 43.000 ; +; -46.000 ; S[2] ; IncAddrM ; C7M ; C7M ; 1.000 ; 0.000 ; 43.000 ; +; -46.000 ; IncAddrM ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 43.000 ; +; -46.000 ; S[1] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 43.000 ; +; -46.000 ; S[1] ; IncAddrM ; C7M ; C7M ; 1.000 ; 0.000 ; 43.000 ; +; -25.000 ; S[0] ; REGEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; REGEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; REGEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; CSDBEN ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; IncAddrL ; IncAddrL ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; IncAddrL ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; IncAddrL ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; IncAddrL ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[0] ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; IncAddrL ; Addr[0] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[1] ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[0] ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; IncAddrL ; Addr[1] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[15] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; IncAddrM ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[14] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[13] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[12] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[11] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[10] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[9] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[8] ; Addr[15] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; IncAddrH ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[15] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[14] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[13] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[12] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[11] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[10] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[9] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[8] ; IncAddrH ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[16] ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; IncAddrH ; Addr[16] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[17] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; IncAddrH ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[16] ; Addr[17] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[1] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[2] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; S[0] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +; -25.000 ; Addr[9] ; Addr[9] ; C7M ; C7M ; 1.000 ; 0.000 ; 22.000 ; +---------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -285,29 +297,25 @@ No paths to report. +--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ -; 5.000 ; PHI1reg ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; PHI0seen ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; PHI1reg ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; PHI0seen ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[2] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[1] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[0] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; S[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; PHI1reg ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; PHI0seen ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[1] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[2] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[0] ; S[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; PHI1reg ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; PHI0seen ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[2] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[1] ; S[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; IOROMEN ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; S[0] ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[2] ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; S[1] ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; S[0] ; IOROMEN ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; -; 5.000 ; IncAddrL ; IncAddrL ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[0] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[1] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[15] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; @@ -330,50 +338,32 @@ No paths to report. ; 5.000 ; Addr[22] ; Addr[22] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[6] ; Addr[6] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[7] ; Addr[7] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; Addr[23] ; Addr[23] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; ; 5.000 ; Addr[8] ; Addr[8] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 5.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 9.000 ; +; 18.000 ; S[0] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[2] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[1] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; REGEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; S[2] ; CSDBEN ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[2] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[3] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; lpm_counter:Ref_rtl_0|dffs[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; RASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; ASel ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; ASel ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[1] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[0] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; S[2] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[3] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[2] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[1] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; lpm_counter:Ref_rtl_0|dffs[0] ; CASr ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrL ; IncAddrL ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; IncAddrL ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; IncAddrL ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; IncAddrL ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; IncAddrL ; Addr[0] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; IncAddrL ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[0] ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrL ; Addr[1] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrM ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[14] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[13] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[12] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; @@ -381,10 +371,32 @@ No paths to report. ; 18.000 ; Addr[10] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[9] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[8] ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; IncAddrM ; Addr[15] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; IncAddrH ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; -; 18.000 ; IncAddrM ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; ; 18.000 ; Addr[15] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[14] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[13] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[12] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[11] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[10] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[9] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[8] ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrM ; IncAddrH ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrH ; Addr[16] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrH ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; Addr[16] ; Addr[17] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[1] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[2] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; S[0] ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +; 18.000 ; IncAddrM ; Addr[9] ; C7M ; C7M ; 0.000 ; 0.000 ; 22.000 ; +--------+-------------------------------+-------------------------------+--------------+-------------+--------------+------------+------------+ @@ -413,82 +425,86 @@ No paths to report. +--------+--------------+----------------+------------------+-------+------------+-------------------------------+ ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; ASel ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; ASel ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[0] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[0] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[10] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[10] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[11] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[11] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[12] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[12] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[13] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[13] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[14] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[14] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[15] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[15] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[16] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[16] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[17] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[17] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[18] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[18] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[19] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[19] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[1] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[1] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[20] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[20] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[21] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[21] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[22] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[22] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[2] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[2] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[3] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[3] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[4] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[4] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[5] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[5] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[6] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[6] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[7] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[7] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[8] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[8] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Addr[9] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Addr[9] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[0] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[0] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[1] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[1] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[2] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[2] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[3] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[3] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[4] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[4] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[5] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[5] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[6] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[6] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; Bank[7] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; Bank[7] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; CASr ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CASr ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[0] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[0] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[10] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[10] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[11] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[11] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[12] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[12] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[13] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[13] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[14] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[14] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[15] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[15] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[16] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[16] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[17] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[17] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[18] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[18] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[19] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[19] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[1] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[1] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[20] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[20] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[21] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[21] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[22] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[22] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[23] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[23] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[2] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[2] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[3] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[3] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[4] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[4] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[5] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[5] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[6] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[6] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[7] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[7] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[8] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[8] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Addr[9] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Addr[9] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[0] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[0] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[1] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[1] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[2] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[2] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[3] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[3] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[4] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[4] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[5] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[5] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[6] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[6] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; Bank[7] ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; Bank[7] ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; CAS0r ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CAS0r ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; CAS1r ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CAS1r ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; CSDBEN ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; CSDBEN ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; FullIOEN ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; FullIOEN ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; FullIOEN ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; FullIOEN ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; IOROMEN ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; IOROMEN ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; IncAddrH ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; IncAddrH ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; IncAddrL ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; IncAddrL ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Fall ; IncAddrM ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Fall ; IncAddrM ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; IncAddrH ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; IncAddrH ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; IncAddrL ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; IncAddrL ; +; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; IncAddrM ; +; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; IncAddrM ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; PHI0seen ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; PHI0seen ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; PHI1reg ; @@ -507,10 +523,6 @@ No paths to report. ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[0] ; ; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[1] ; ; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[1] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[2] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[2] ; -; -4.500 ; 0.500 ; 5.000 ; High Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[3] ; -; -4.500 ; 0.500 ; 5.000 ; Low Pulse Width ; C7M ; Rise ; lpm_counter:Ref_rtl_0|dffs[3] ; +--------+--------------+----------------+------------------+-------+------------+-------------------------------+ @@ -531,26 +543,20 @@ No paths to report. ; A[8] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; ; A[9] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; ; A[10] ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; +; D[*] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[0] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[1] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[2] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[3] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[4] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[5] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[6] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; +; D[7] ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; ; PHI1in ; C7M ; 101.000 ; 101.000 ; Rise ; C7M ; ; nDEVSEL ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; ; nIOSEL ; C7M ; 24.000 ; 24.000 ; Rise ; C7M ; ; nIOSTRB ; C7M ; 11.000 ; 11.000 ; Rise ; C7M ; -; A[*] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; -; A[0] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; -; A[1] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; -; A[2] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; -; A[3] ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; -; D[*] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[0] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[1] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[2] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[3] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[4] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[5] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[6] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; D[7] ; C7M ; 24.000 ; 24.000 ; Fall ; C7M ; -; nDEVSEL ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; -; nWE ; C7M ; 46.000 ; 46.000 ; Fall ; C7M ; +; nWE ; C7M ; 46.000 ; 46.000 ; Rise ; C7M ; ; A[*] ; C7M_2 ; 26.000 ; 26.000 ; Fall ; C7M_2 ; ; A[0] ; C7M_2 ; 26.000 ; 26.000 ; Fall ; C7M_2 ; ; A[1] ; C7M_2 ; 26.000 ; 26.000 ; Fall ; C7M_2 ; @@ -578,26 +584,20 @@ No paths to report. ; A[8] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; A[9] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; A[10] ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; +; D[*] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[0] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[1] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[2] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[3] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[4] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[5] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[6] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; +; D[7] ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; PHI1in ; C7M ; -12.000 ; -12.000 ; Rise ; C7M ; -; nDEVSEL ; C7M ; -38.000 ; -38.000 ; Rise ; C7M ; +; nDEVSEL ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; nIOSEL ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; ; nIOSTRB ; C7M ; -3.000 ; -3.000 ; Rise ; C7M ; -; A[*] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[0] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[1] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[2] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; A[3] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[*] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[0] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[1] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[2] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[3] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[4] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[5] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[6] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; D[7] ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; nDEVSEL ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; -; nWE ; C7M ; -16.000 ; -16.000 ; Fall ; C7M ; +; nWE ; C7M ; -16.000 ; -16.000 ; Rise ; C7M ; ; A[*] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; ; A[0] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; ; A[1] ; C7M_2 ; -18.000 ; -18.000 ; Fall ; C7M_2 ; @@ -613,6 +613,15 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ +; D[*] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[0] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[1] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[2] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[3] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[4] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[5] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[6] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[7] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[*] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[0] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[1] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; @@ -629,29 +638,6 @@ No paths to report. ; nCAS1 ; C7M ; 56.000 ; 56.000 ; Rise ; C7M ; ; nRAS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; nRCS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; -; D[*] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[0] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[1] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[2] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[3] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[4] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[5] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[6] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[7] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[*] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[0] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[1] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[2] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[3] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[4] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[5] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[6] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[7] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[8] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[9] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[10] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; nCAS0 ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; nCAS1 ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; ; nCAS0 ; C7M_2 ; 54.000 ; 54.000 ; Fall ; C7M_2 ; ; nCAS1 ; C7M_2 ; 54.000 ; 54.000 ; Fall ; C7M_2 ; ; nRAS ; C7M_2 ; 54.000 ; 54.000 ; Fall ; C7M_2 ; @@ -663,6 +649,15 @@ No paths to report. +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ +; D[*] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[0] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[1] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[2] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[3] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[4] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[5] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[6] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; +; D[7] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[*] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[0] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; RA[1] ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; @@ -679,29 +674,6 @@ No paths to report. ; nCAS1 ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; nRAS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; ; nRCS ; C7M ; 34.000 ; 34.000 ; Rise ; C7M ; -; D[*] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[0] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[1] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[2] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[3] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[4] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[5] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[6] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; D[7] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[*] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[0] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[1] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[2] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[3] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[4] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[5] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[6] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[7] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[8] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[9] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; RA[10] ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; nCAS0 ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; -; nCAS1 ; C7M ; 34.000 ; 34.000 ; Fall ; C7M ; ; nCAS0 ; C7M_2 ; 54.000 ; 54.000 ; Fall ; C7M_2 ; ; nCAS1 ; C7M_2 ; 54.000 ; 54.000 ; Fall ; C7M_2 ; ; nRAS ; C7M_2 ; 54.000 ; 54.000 ; Fall ; C7M_2 ; @@ -816,7 +788,6 @@ No paths to report. ; nIOSTRB ; RA[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nIOSTRB ; nRCS ; ; 32.000 ; 32.000 ; ; ; nIOSTRB ; nRWE ; 32.000 ; ; ; 32.000 ; -; nMode ; D[7] ; 32.000 ; ; ; 32.000 ; ; nWE ; D[0] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[1] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[2] ; 39.000 ; ; ; 39.000 ; @@ -946,7 +917,6 @@ No paths to report. ; nIOSTRB ; RA[7] ; 32.000 ; 32.000 ; 32.000 ; 32.000 ; ; nIOSTRB ; nRCS ; ; 32.000 ; 32.000 ; ; ; nIOSTRB ; nRWE ; 32.000 ; ; ; 32.000 ; -; nMode ; D[7] ; 32.000 ; ; ; 32.000 ; ; nWE ; D[0] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[1] ; 39.000 ; ; ; 39.000 ; ; nWE ; D[2] ; 39.000 ; ; ; 39.000 ; @@ -1077,7 +1047,7 @@ No paths to report. +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 87 ; 0 ; 324 ; 200 ; +; C7M ; C7M ; 644 ; 0 ; 0 ; 0 ; ; C7M ; C7M_2 ; 0 ; 0 ; 14 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1088,7 +1058,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C7M ; C7M ; 87 ; 0 ; 324 ; 200 ; +; C7M ; C7M ; 644 ; 0 ; 0 ; 0 ; ; C7M ; C7M_2 ; 0 ; 0 ; 14 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1113,8 +1083,8 @@ No dedicated SERDES Receiver circuitry present in device or used in design +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 34 ; 34 ; -; Unconstrained Input Port Paths ; 464 ; 464 ; +; Unconstrained Input Ports ; 33 ; 33 ; +; Unconstrained Input Port Paths ; 477 ; 477 ; ; Unconstrained Output Ports ; 33 ; 33 ; ; Unconstrained Output Port Paths ; 266 ; 266 ; +---------------------------------+-------+------+ @@ -1124,9 +1094,9 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; TimeQuest Timing Analyzer Messages ; +------------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 32-bit TimeQuest Timing Analyzer +Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sat Sep 07 21:15:43 2019 + Info: Processing started: Sat Sep 07 22:26:08 2019 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Warning (20028): Parallel compilation is not licensed and has been disabled @@ -1140,10 +1110,10 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name C7M_2 C7M_2 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -47.500 +Info (332146): Worst-case setup slack is -47.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -47.500 -1979.500 C7M + Info (332119): -47.000 -2056.000 C7M Info (332119): -27.500 -33.000 C7M_2 Info (332146): Worst-case hold slack is -1.500 Info (332119): Slack End Point TNS Clock @@ -1156,14 +1126,14 @@ Info (332146): Worst-case minimum pulse width slack is -5.500 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): -5.500 -22.000 C7M_2 - Info (332119): -4.500 -450.000 C7M + Info (332119): -4.500 -468.000 C7M Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 259 megabytes - Info: Processing ended: Sat Sep 07 21:15:48 2019 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 +Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 4530 megabytes + Info: Processing ended: Sat Sep 07 22:26:09 2019 + Info: Elapsed time: 00:00:01 + Info: Total CPU time (on all processors): 00:00:01 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 24349f4..1163fd8 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,8 +3,8 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C7M' -Slack : -47.500 -TNS : -1979.500 +Slack : -47.000 +TNS : -2056.000 Type : Setup 'C7M_2' Slack : -27.500 @@ -24,6 +24,6 @@ TNS : -22.000 Type : Minimum Pulse Width 'C7M' Slack : -4.500 -TNS : -450.000 +TNS : -468.000 ------------------------------------------------------------