From d3f0ba8caddb8b358fc2458aeddfc120e5759602 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Mon, 25 Mar 2024 19:27:08 -0400 Subject: [PATCH] Delete Altera MAX II/V stuff --- CPLD/MAXII/GR8RAM.qpf | 31 - CPLD/MAXII/GR8RAM.qsf | 252 - CPLD/MAXII/GR8RAM.sdc | 3 - CPLD/MAXII/output_files/GR8RAM.asm.rpt | 92 - CPLD/MAXII/output_files/GR8RAM.cdf | 13 - CPLD/MAXII/output_files/GR8RAM.done | 1 - CPLD/MAXII/output_files/GR8RAM.eda.rpt | 94 - CPLD/MAXII/output_files/GR8RAM.fit.rpt | 793 - CPLD/MAXII/output_files/GR8RAM.fit.smsg | 4 - CPLD/MAXII/output_files/GR8RAM.fit.summary | 11 - CPLD/MAXII/output_files/GR8RAM.flow.rpt | 118 - CPLD/MAXII/output_files/GR8RAM.jdi | 8 - CPLD/MAXII/output_files/GR8RAM.map.rpt | 322 - CPLD/MAXII/output_files/GR8RAM.map.smsg | 2 - CPLD/MAXII/output_files/GR8RAM.map.summary | 9 - CPLD/MAXII/output_files/GR8RAM.pin | 165 - CPLD/MAXII/output_files/GR8RAM.pof | Bin 7861 -> 0 bytes CPLD/MAXII/output_files/GR8RAM.sld | 1 - CPLD/MAXII/output_files/GR8RAM.sta.rpt | 792 - CPLD/MAXII/output_files/GR8RAM.sta.summary | 29 - CPLD/MAXII/output_files/GR8RAM.svf | 11651 -- .../GR8RAM.4205B.MAX-Placement.pdf | Bin 174005 -> 0 bytes .../GR8RAM.4205B.MAX-Schematic.pdf | Bin 868289 -> 0 bytes Hardware/MAX/GR8RAM | 21 - Hardware/MAX/GR8RAM-cache.lib | 661 - Hardware/MAX/GR8RAM.kicad_pcb | 95906 ---------------- Hardware/MAX/GR8RAM.kicad_pro | 538 - Hardware/MAX/GR8RAM.kicad_sch | 10027 -- Hardware/MAX/fp-lib-table | 4 - Hardware/MAX/gerber/GR8RAM-BOM.csv | 27 - Hardware/MAX/gerber/GR8RAM-B_Cu.gbl | 15288 --- Hardware/MAX/gerber/GR8RAM-B_Mask.gbs | 2648 - Hardware/MAX/gerber/GR8RAM-B_Silkscreen.gbo | 276 - Hardware/MAX/gerber/GR8RAM-Edge_Cuts.gm1 | 71 - Hardware/MAX/gerber/GR8RAM-F_Cu.gtl | 31998 ------ Hardware/MAX/gerber/GR8RAM-F_Mask.gts | 18924 --- Hardware/MAX/gerber/GR8RAM-F_Paste.gtp | 553 - Hardware/MAX/gerber/GR8RAM-F_Silkscreen.gto | 8926 -- Hardware/MAX/gerber/GR8RAM-In1_Cu.g2 | 12880 --- Hardware/MAX/gerber/GR8RAM-In2_Cu.g3 | 22240 ---- Hardware/MAX/gerber/GR8RAM-NET.xml | 2588 - Hardware/MAX/gerber/GR8RAM-job.gbrjob | 164 - Hardware/MAX/gerber/GR8RAM-top-pos.JUMPER.csv | 77 - Hardware/MAX/gerber/GR8RAM-top-pos.VCORE.csv | 77 - Hardware/MAX/gerber/GR8RAM-top-pos.csv | 78 - .../MAX/gerber/GR8RAM.4205B.MAX-gerber.zip | Bin 642650 -> 0 bytes Hardware/MAX/gerber/GR8RAM.drl | 806 - Hardware/MAX/sym-lib-table | 7 - 48 files changed, 239176 deletions(-) delete mode 100644 CPLD/MAXII/GR8RAM.qpf delete mode 100644 CPLD/MAXII/GR8RAM.qsf delete mode 100644 CPLD/MAXII/GR8RAM.sdc delete mode 100644 CPLD/MAXII/output_files/GR8RAM.asm.rpt delete mode 100644 CPLD/MAXII/output_files/GR8RAM.cdf delete mode 100644 CPLD/MAXII/output_files/GR8RAM.done delete mode 100644 CPLD/MAXII/output_files/GR8RAM.eda.rpt delete mode 100644 CPLD/MAXII/output_files/GR8RAM.fit.rpt delete mode 100644 CPLD/MAXII/output_files/GR8RAM.fit.smsg delete mode 100644 CPLD/MAXII/output_files/GR8RAM.fit.summary delete mode 100644 CPLD/MAXII/output_files/GR8RAM.flow.rpt delete mode 100644 CPLD/MAXII/output_files/GR8RAM.jdi delete mode 100644 CPLD/MAXII/output_files/GR8RAM.map.rpt delete mode 100644 CPLD/MAXII/output_files/GR8RAM.map.smsg delete mode 100644 CPLD/MAXII/output_files/GR8RAM.map.summary delete mode 100644 CPLD/MAXII/output_files/GR8RAM.pin delete mode 100644 CPLD/MAXII/output_files/GR8RAM.pof delete mode 100644 CPLD/MAXII/output_files/GR8RAM.sld delete mode 100644 CPLD/MAXII/output_files/GR8RAM.sta.rpt delete mode 100644 CPLD/MAXII/output_files/GR8RAM.sta.summary delete mode 100644 CPLD/MAXII/output_files/GR8RAM.svf delete mode 100644 Hardware/MAX/Documentation/GR8RAM.4205B.MAX-Placement.pdf delete mode 100644 Hardware/MAX/Documentation/GR8RAM.4205B.MAX-Schematic.pdf delete mode 100644 Hardware/MAX/GR8RAM delete mode 100644 Hardware/MAX/GR8RAM-cache.lib delete mode 100644 Hardware/MAX/GR8RAM.kicad_pcb delete mode 100644 Hardware/MAX/GR8RAM.kicad_pro delete mode 100644 Hardware/MAX/GR8RAM.kicad_sch delete mode 100644 Hardware/MAX/fp-lib-table delete mode 100644 Hardware/MAX/gerber/GR8RAM-BOM.csv delete mode 100644 Hardware/MAX/gerber/GR8RAM-B_Cu.gbl delete mode 100644 Hardware/MAX/gerber/GR8RAM-B_Mask.gbs delete mode 100644 Hardware/MAX/gerber/GR8RAM-B_Silkscreen.gbo delete mode 100644 Hardware/MAX/gerber/GR8RAM-Edge_Cuts.gm1 delete mode 100644 Hardware/MAX/gerber/GR8RAM-F_Cu.gtl delete mode 100644 Hardware/MAX/gerber/GR8RAM-F_Mask.gts delete mode 100644 Hardware/MAX/gerber/GR8RAM-F_Paste.gtp delete mode 100644 Hardware/MAX/gerber/GR8RAM-F_Silkscreen.gto delete mode 100644 Hardware/MAX/gerber/GR8RAM-In1_Cu.g2 delete mode 100644 Hardware/MAX/gerber/GR8RAM-In2_Cu.g3 delete mode 100644 Hardware/MAX/gerber/GR8RAM-NET.xml delete mode 100644 Hardware/MAX/gerber/GR8RAM-job.gbrjob delete mode 100644 Hardware/MAX/gerber/GR8RAM-top-pos.JUMPER.csv delete mode 100644 Hardware/MAX/gerber/GR8RAM-top-pos.VCORE.csv delete mode 100644 Hardware/MAX/gerber/GR8RAM-top-pos.csv delete mode 100644 Hardware/MAX/gerber/GR8RAM.4205B.MAX-gerber.zip delete mode 100644 Hardware/MAX/gerber/GR8RAM.drl delete mode 100644 Hardware/MAX/sym-lib-table diff --git a/CPLD/MAXII/GR8RAM.qpf b/CPLD/MAXII/GR8RAM.qpf deleted file mode 100644 index 0ba958e..0000000 --- a/CPLD/MAXII/GR8RAM.qpf +++ /dev/null @@ -1,31 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2019 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition -# Date created = 20:42:53 February 16, 2024 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "19.1" -DATE = "20:42:53 February 16, 2024" - -# Revisions - -PROJECT_REVISION = "GR8RAM" diff --git a/CPLD/MAXII/GR8RAM.qsf b/CPLD/MAXII/GR8RAM.qsf deleted file mode 100644 index d44ef3f..0000000 --- a/CPLD/MAXII/GR8RAM.qsf +++ /dev/null @@ -1,252 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2019 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition -# Date created = 20:36:06 February 16, 2024 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# GR8RAM_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus Prime software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "MAX II" -set_global_assignment -name DEVICE EPM240T100C5 -set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 19.1.0 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:36:06 FEBRUARY 16, 2024" -set_global_assignment -name LAST_QUARTUS_VERSION "19.1.0 Lite Edition" -set_global_assignment -name SDC_FILE GR8RAM.sdc -set_global_assignment -name VERILOG_FILE GR8RAM.v -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name DEVICE_FILTER_PACKAGE TQFP -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 100 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 5 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" -set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V - -set_location_assignment PIN_2 -to RA[5] -set_location_assignment PIN_3 -to RA[6] -set_location_assignment PIN_4 -to RA[3] -set_location_assignment PIN_5 -to nFCS -set_location_assignment PIN_6 -to RA[7] -set_location_assignment PIN_7 -to RA[8] -set_location_assignment PIN_8 -to RA[9] -set_location_assignment PIN_12 -to FCK -set_location_assignment PIN_14 -to RA[10] -set_location_assignment PIN_15 -to MOSI -set_location_assignment PIN_16 -to MISO -set_location_assignment PIN_30 -to nRESout -set_location_assignment PIN_34 -to RA[11] -set_location_assignment PIN_35 -to RA[12] -set_location_assignment PIN_36 -to RA[13] -set_location_assignment PIN_37 -to RA[14] -set_location_assignment PIN_38 -to RA[15] -set_location_assignment PIN_39 -to nIOSEL -set_location_assignment PIN_42 -to nIOSTRB -set_location_assignment PIN_40 -to nDEVSEL -set_location_assignment PIN_41 -to PHI0 -set_location_assignment PIN_43 -to nWE -set_location_assignment PIN_44 -to nRES -set_location_assignment PIN_47 -to SD[1] -set_location_assignment PIN_50 -to SD[0] -set_location_assignment PIN_51 -to SD[4] -set_location_assignment PIN_100 -to RA[0] -set_location_assignment PIN_99 -to RD[7] -set_location_assignment PIN_52 -to SD[5] -set_location_assignment PIN_54 -to SD[7] -set_location_assignment PIN_55 -to SD[3] -set_location_assignment PIN_56 -to SD[2] -set_location_assignment PIN_53 -to SD[6] -set_location_assignment PIN_57 -to DQMH -set_location_assignment PIN_58 -to nSWE -set_location_assignment PIN_62 -to nRAS -set_location_assignment PIN_61 -to nCAS -set_location_assignment PIN_64 -to C25M -set_location_assignment PIN_66 -to RCKE -set_location_assignment PIN_67 -to nRCS -set_location_assignment PIN_68 -to SA[12] -set_location_assignment PIN_69 -to SBA[0] -set_location_assignment PIN_70 -to SA[11] -set_location_assignment PIN_71 -to SBA[1] -set_location_assignment PIN_72 -to SA[9] -set_location_assignment PIN_73 -to SA[10] -set_location_assignment PIN_74 -to SA[8] -set_location_assignment PIN_75 -to SA[0] -set_location_assignment PIN_76 -to SA[4] -set_location_assignment PIN_77 -to SA[6] -set_location_assignment PIN_78 -to SA[7] -set_location_assignment PIN_81 -to SA[1] -set_location_assignment PIN_82 -to SA[2] -set_location_assignment PIN_83 -to SA[5] -set_location_assignment PIN_84 -to SA[3] -set_location_assignment PIN_85 -to DQML -set_location_assignment PIN_86 -to RD[0] -set_location_assignment PIN_87 -to RD[1] -set_location_assignment PIN_88 -to RD[2] -set_location_assignment PIN_89 -to RD[3] -set_location_assignment PIN_90 -to RD[4] -set_location_assignment PIN_91 -to RD[5] -set_location_assignment PIN_92 -to RD[6] -set_location_assignment PIN_97 -to RA[2] -set_location_assignment PIN_98 -to RA[1] -set_location_assignment PIN_96 -to SetFW[0] -set_location_assignment PIN_95 -to SetFW[1] -set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 1 -set_global_assignment -name IOBANK_VCCIO 3.3V -section_id 2 -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nFCS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nFCS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to FCK -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to FCK -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MOSI -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MOSI -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to MISO -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to MISO -set_location_assignment PIN_21 -to nDMAout -set_location_assignment PIN_19 -to RAdir -set_location_assignment PIN_20 -to INTout -set_location_assignment PIN_26 -to nNMIout -set_location_assignment PIN_27 -to nINHout -set_location_assignment PIN_28 -to nRDYout -set_location_assignment PIN_29 -to nIRQout -set_location_assignment PIN_33 -to RWout -set_location_assignment PIN_48 -to DMAin -set_location_assignment PIN_49 -to INTin -set_location_assignment PIN_17 -to RDdir -set_location_assignment PIN_18 -to DMAout -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RA -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RA -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RD -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RD -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY ON -to RD -set_instance_assignment -name SLOW_SLEW_RATE OFF -to RD -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RD -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RAdir -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RAdir -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RAdir -set_instance_assignment -name SLOW_SLEW_RATE ON -to RAdir -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RAdir -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RDdir -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to RDdir -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RDdir -set_instance_assignment -name SLOW_SLEW_RATE ON -to RDdir -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RDdir -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to PHI0 -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to PHI0 -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to PHI0 -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nWE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nWE -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nWE -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nDEVSEL -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nDEVSEL -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nDEVSEL -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSEL -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSEL -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSEL -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nIOSTRB -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nIOSTRB -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nIOSTRB -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to nRES -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRES -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRES -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRESout -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to nRESout -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRESout -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRESout -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRESout -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nFCS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nFCS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nFCS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FCK -set_instance_assignment -name SLOW_SLEW_RATE ON -to FCK -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to FCK -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MOSI -set_instance_assignment -name SLOW_SLEW_RATE ON -to MOSI -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to MOSI -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to MISO -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to C25M -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to C25M -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to C25M -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRCS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRCS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRCS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRCS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRCS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nRAS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nRAS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nRAS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nRAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nRAS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nCAS -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nCAS -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nCAS -set_instance_assignment -name SLOW_SLEW_RATE ON -to nCAS -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nCAS -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to nSWE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to nSWE -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to nSWE -set_instance_assignment -name SLOW_SLEW_RATE ON -to nSWE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to nSWE -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to RCKE -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to RCKE -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to RCKE -set_instance_assignment -name SLOW_SLEW_RATE ON -to RCKE -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to RCKE -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SBA -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SBA -set_instance_assignment -name SLOW_SLEW_RATE ON -to SBA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SBA -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SA -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SA -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SA -set_instance_assignment -name SLOW_SLEW_RATE ON -to SA -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to SA -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQMH -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQMH -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQMH -set_instance_assignment -name SLOW_SLEW_RATE ON -to DQMH -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQMH -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DQML -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to DQML -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to DQML -set_instance_assignment -name SLOW_SLEW_RATE ON -to DQML -set_instance_assignment -name CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to DQML -set_instance_assignment -name IO_STANDARD "3.3V SCHMITT TRIGGER INPUT" -to SetFW -set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to SetFW -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SetFW -set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD -set_instance_assignment -name WEAK_PULL_UP_RESISTOR OFF -to SD -set_instance_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF -to SD -set_instance_assignment -name SLOW_SLEW_RATE ON -to SD -set_instance_assignment -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to SD \ No newline at end of file diff --git a/CPLD/MAXII/GR8RAM.sdc b/CPLD/MAXII/GR8RAM.sdc deleted file mode 100644 index a7c9d8f..0000000 --- a/CPLD/MAXII/GR8RAM.sdc +++ /dev/null @@ -1,3 +0,0 @@ -create_clock -period 40 [get_ports C25M] -create_clock -period 978 [get_ports PHI0] -set_clock_groups -asynchronous -group C25M -group PHI0 \ No newline at end of file diff --git a/CPLD/MAXII/output_files/GR8RAM.asm.rpt b/CPLD/MAXII/output_files/GR8RAM.asm.rpt deleted file mode 100644 index 5bdfd4f..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.asm.rpt +++ /dev/null @@ -1,92 +0,0 @@ -Assembler report for GR8RAM -Fri Feb 16 20:54:00 2024 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: /Repos/GR8RAM/cpld/output_files/GR8RAM.pof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2019 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Fri Feb 16 20:54:00 2024 ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -+-----------------------+---------------------------------------+ - - -+----------------------------------+ -; Assembler Settings ; -+--------+---------+---------------+ -; Option ; Setting ; Default Value ; -+--------+---------+---------------+ - - -+--------------------------------------------+ -; Assembler Generated Files ; -+--------------------------------------------+ -; File Name ; -+--------------------------------------------+ -; /Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; -+--------------------------------------------+ - - -+----------------------------------------------------------------------+ -; Assembler Device Options: /Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------+-----------------------------------------------------+ -; Option ; Setting ; -+----------------+-----------------------------------------------------+ -; JTAG usercode ; 0x00163AA4 ; -; Checksum ; 0x00163E9C ; -+----------------+-----------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Assembler - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Fri Feb 16 20:53:59 2024 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13097 megabytes - Info: Processing ended: Fri Feb 16 20:54:00 2024 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CPLD/MAXII/output_files/GR8RAM.cdf b/CPLD/MAXII/output_files/GR8RAM.cdf deleted file mode 100644 index 07b57a1..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EPM240T100) Path("//mac/iCloud/Repos2/GR8RAM/cpld2/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(1) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/CPLD/MAXII/output_files/GR8RAM.done b/CPLD/MAXII/output_files/GR8RAM.done deleted file mode 100644 index be946f0..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.done +++ /dev/null @@ -1 +0,0 @@ -Fri Feb 16 20:54:03 2024 diff --git a/CPLD/MAXII/output_files/GR8RAM.eda.rpt b/CPLD/MAXII/output_files/GR8RAM.eda.rpt deleted file mode 100644 index 9c146b0..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.eda.rpt +++ /dev/null @@ -1,94 +0,0 @@ -EDA Netlist Writer report for GR8RAM -Tue Feb 28 11:21:31 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. EDA Netlist Writer Summary - 3. Simulation Settings - 4. Simulation Generated Files - 5. EDA Netlist Writer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2022 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+-------------------------------------------------------------------+ -; EDA Netlist Writer Summary ; -+---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Tue Feb 28 11:21:31 2023 ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Simulation Files Creation ; Successful ; -+---------------------------+---------------------------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------+ -; Simulation Settings ; -+---------------------------------------------------------------------------------------------------+-----------------------------+ -; Option ; Setting ; -+---------------------------------------------------------------------------------------------------+-----------------------------+ -; Tool Name ; Questa Intel FPGA (Verilog) ; -; Generate functional simulation netlist ; On ; -; Truncate long hierarchy paths ; Off ; -; Map illegal HDL characters ; Off ; -; Flatten buses into individual nodes ; Off ; -; Maintain hierarchy ; Off ; -; Bring out device-wide set/reset signals as ports ; Off ; -; Enable glitch filtering ; Off ; -; Do not write top level VHDL entity ; Off ; -; Disable detection of setup and hold time violations in the input registers of bi-directional pins ; Off ; -; Architecture name in VHDL output netlist ; structure ; -; Generate third-party EDA tool command script for RTL functional simulation ; Off ; -; Generate third-party EDA tool command script for gate-level simulation ; Off ; -+---------------------------------------------------------------------------------------------------+-----------------------------+ - - -+--------------------------------------------------+ -; Simulation Generated Files ; -+--------------------------------------------------+ -; Generated Files ; -+--------------------------------------------------+ -; /Repos2/GR8RAM/cpld2/simulation/questa/GR8RAM.vo ; -+--------------------------------------------------+ - - -+-----------------------------+ -; EDA Netlist Writer Messages ; -+-----------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime EDA Netlist Writer - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Tue Feb 28 11:21:30 2023 -Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (204019): Generated file GR8RAM.vo in folder "/Repos2/GR8RAM/cpld2/simulation/questa/" for EDA simulation tool -Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13024 megabytes - Info: Processing ended: Tue Feb 28 11:21:31 2023 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CPLD/MAXII/output_files/GR8RAM.fit.rpt b/CPLD/MAXII/output_files/GR8RAM.fit.rpt deleted file mode 100644 index a227abb..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.fit.rpt +++ /dev/null @@ -1,793 +0,0 @@ -Fitter report for GR8RAM -Fri Feb 16 20:53:58 2024 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Pin-Out File - 6. Fitter Resource Usage Summary - 7. Input Pins - 8. Output Pins - 9. Bidir Pins - 10. I/O Bank Usage - 11. All Package Pins - 12. Output Pin Default Load For Reported TCO - 13. I/O Assignment Warnings - 14. Fitter Resource Utilization by Entity - 15. Delay Chain Summary - 16. Control Signals - 17. Global & Other Fast Signals - 18. Routing Usage Summary - 19. LAB Logic Elements - 20. LAB-wide Signals - 21. LAB Signals Sourced - 22. LAB Signals Sourced Out - 23. LAB Distinct Inputs - 24. Fitter Device Options - 25. Fitter Messages - 26. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2019 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------------+ -; Fitter Summary ; -+-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Fri Feb 16 20:53:58 2024 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 233 / 240 ( 97 % ) ; -; Total pins ; 80 / 80 ( 100 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------+---------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+--------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Option ; Setting ; Default Value ; -+--------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Device ; EPM240T100C5 ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Always Enable Input Buffers ; Off ; Off ; -; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; -; Optimize Multi-Corner Timing ; Off ; Off ; -; Guarantee I/O Paths Have Zero Hold Time at Fast Corner ; On ; On ; -; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Periphery to Core Placement and Routing Optimization ; Off ; Off ; -; Slow Slew Rate ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -+--------------------------------------------------------------------+--------------------------------+--------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.02 ; -; Maximum used ; 4 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 0.8% ; -; Processors 3-4 ; 0.7% ; -+----------------------------+-------------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in /Repos/GR8RAM/cpld/output_files/GR8RAM.pin. - - -+---------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+-----------------------+ -; Resource ; Usage ; -+---------------------------------------------+-----------------------+ -; Total logic elements ; 233 / 240 ( 97 % ) ; -; -- Combinational with no register ; 109 ; -; -- Register only ; 6 ; -; -- Combinational with a register ; 118 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 124 ; -; -- 3 input functions ; 30 ; -; -- 2 input functions ; 71 ; -; -- 1 input functions ; 0 ; -; -- 0 input functions ; 2 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 200 ; -; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 18 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 68 ; -; -- asynchronous clear/load mode ; 29 ; -; ; ; -; Total registers ; 124 / 240 ( 52 % ) ; -; Total LABs ; 24 / 24 ( 100 % ) ; -; Logic elements in carry chains ; 37 ; -; Virtual pins ; 0 ; -; I/O pins ; 80 / 80 ( 100 % ) ; -; -- Clock pins ; 4 / 4 ( 100 % ) ; -; ; ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -; ; ; -; -- Total Fixed Point DSP Blocks ; 0 ; -; -- Total Floating Point DSP Blocks ; 0 ; -; ; ; -; Global signals ; 3 ; -; -- Global clocks ; 3 / 4 ( 75 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 34.1% / 35.6% / 32.6% ; -; Peak interconnect usage (total/H/V) ; 34.1% / 35.6% / 32.6% ; -; Maximum fan-out ; 110 ; -; Highest non-global fan-out ; 53 ; -; Total fan-out ; 1071 ; -; Average fan-out ; 3.42 ; -+---------------------------------------------+-----------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ -; C25M ; 64 ; 2 ; 8 ; 3 ; 4 ; 110 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; DMAin ; 48 ; 1 ; 6 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; INTin ; 49 ; 1 ; 7 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; MISO ; 16 ; 1 ; 1 ; 2 ; 2 ; 1 ; 0 ; no ; no ; yes ; Off ; 3.3-V LVTTL ; User ; no ; -; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 16 ; 0 ; yes ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Fitter ; no ; -; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[7] ; 6 ; 1 ; 1 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[8] ; 7 ; 1 ; 1 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; RA[9] ; 8 ; 1 ; 1 ; 3 ; 2 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; SetFW[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; SetFW[1] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; no ; On ; 3.3V Schmitt Trigger Input ; User ; no ; -; nDEVSEL ; 40 ; 1 ; 5 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSEL ; 39 ; 1 ; 5 ; 0 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nIOSTRB ; 42 ; 1 ; 5 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nRES ; 44 ; 1 ; 6 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -; nWE ; 43 ; 1 ; 6 ; 0 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3V Schmitt Trigger Input ; User ; no ; -+----------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+----------------------------+----------------------+----------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; DMAout ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; DQMH ; 57 ; 2 ; 8 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; DQML ; 85 ; 2 ; 5 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; FCK ; 12 ; 1 ; 1 ; 3 ; 3 ; no ; yes ; no ; no ; yes ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; INTout ; 20 ; 1 ; 1 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; RAdir ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RCKE ; 66 ; 2 ; 8 ; 3 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RDdir ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RWout ; 33 ; 1 ; 3 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; SA[0] ; 75 ; 2 ; 7 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[10] ; 73 ; 2 ; 8 ; 4 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[11] ; 70 ; 2 ; 8 ; 4 ; 4 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; SA[12] ; 68 ; 2 ; 8 ; 3 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; SA[1] ; 81 ; 2 ; 6 ; 5 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[2] ; 82 ; 2 ; 6 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[3] ; 84 ; 2 ; 6 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[4] ; 76 ; 2 ; 7 ; 5 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[5] ; 83 ; 2 ; 6 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; SA[6] ; 77 ; 2 ; 7 ; 5 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[7] ; 78 ; 2 ; 7 ; 5 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[8] ; 74 ; 2 ; 8 ; 4 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; yes ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nIRQout ; 29 ; 1 ; 2 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nNMIout ; 26 ; 1 ; 2 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRAS ; 62 ; 2 ; 8 ; 2 ; 0 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; yes ; no ; no ; no ; no ; On ; 3.3-V LVTTL ; 8mA ; yes ; User ; 10 pF ; - ; - ; -; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; yes ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; - ; - ; -+---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Bidir Pins ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; MOSIOE ; - ; -; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; -+-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ - - -+-------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+-------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+-------------------+---------------+--------------+ -; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 42 / 42 ( 100 % ) ; 3.3V ; -- ; -+----------+-------------------+---------------+--------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 83 ; 2 ; RA[4] ; input ; 3.3-V LVTTL ; ; Column I/O ; N ; no ; Off ; -; 2 ; 0 ; 1 ; RA[5] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 3 ; 1 ; 1 ; RA[6] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 4 ; 2 ; 1 ; RA[3] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 5 ; 3 ; 1 ; nFCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 6 ; 4 ; 1 ; RA[7] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 7 ; 5 ; 1 ; RA[8] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 8 ; 6 ; 1 ; RA[9] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 12 ; 7 ; 1 ; FCK ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; -; 13 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 14 ; 8 ; 1 ; RA[10] ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 15 ; 9 ; 1 ; MOSI ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; -; 16 ; 10 ; 1 ; MISO ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; yes ; Off ; -; 17 ; 11 ; 1 ; RDdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 18 ; 12 ; 1 ; DMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 19 ; 13 ; 1 ; RAdir ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; On ; -; 20 ; 14 ; 1 ; INTout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 21 ; 15 ; 1 ; nDMAout ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 22 ; 16 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 23 ; 17 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 24 ; 18 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 25 ; 19 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 26 ; 20 ; 1 ; nNMIout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 27 ; 21 ; 1 ; nINHout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 28 ; 22 ; 1 ; nRDYout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 29 ; 23 ; 1 ; nIRQout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 30 ; 24 ; 1 ; nRESout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; On ; -; 31 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 32 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 33 ; 25 ; 1 ; RWout ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 34 ; 26 ; 1 ; RA[11] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 35 ; 27 ; 1 ; RA[12] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 36 ; 28 ; 1 ; RA[13] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 37 ; 29 ; 1 ; RA[14] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 38 ; 30 ; 1 ; RA[15] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 39 ; 31 ; 1 ; nIOSEL ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; -; 40 ; 32 ; 1 ; nDEVSEL ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; -; 41 ; 33 ; 1 ; PHI0 ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; -; 42 ; 34 ; 1 ; nIOSTRB ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; -; 43 ; 35 ; 1 ; nWE ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; -; 44 ; 36 ; 1 ; nRES ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; Off ; -; 45 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 46 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 47 ; 37 ; 1 ; SD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 48 ; 38 ; 1 ; DMAin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 49 ; 39 ; 1 ; INTin ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 50 ; 40 ; 1 ; SD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 51 ; 41 ; 1 ; SD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 52 ; 42 ; 2 ; SD[5] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 53 ; 43 ; 2 ; SD[6] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 54 ; 44 ; 2 ; SD[7] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 55 ; 45 ; 2 ; SD[3] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 56 ; 46 ; 2 ; SD[2] ; bidir ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 57 ; 47 ; 2 ; DQMH ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 58 ; 48 ; 2 ; nSWE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 59 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 60 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 61 ; 49 ; 2 ; nCAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 62 ; 50 ; 2 ; nRAS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 63 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 64 ; 51 ; 2 ; C25M ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 65 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 52 ; 2 ; RCKE ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 67 ; 53 ; 2 ; nRCS ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 68 ; 54 ; 2 ; SA[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 69 ; 55 ; 2 ; SBA[0] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 70 ; 56 ; 2 ; SA[11] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 71 ; 57 ; 2 ; SBA[1] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 72 ; 58 ; 2 ; SA[9] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 73 ; 59 ; 2 ; SA[10] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 74 ; 60 ; 2 ; SA[8] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 75 ; 61 ; 2 ; SA[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 76 ; 62 ; 2 ; SA[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 77 ; 63 ; 2 ; SA[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 78 ; 64 ; 2 ; SA[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 79 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 80 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 81 ; 65 ; 2 ; SA[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 82 ; 66 ; 2 ; SA[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 83 ; 67 ; 2 ; SA[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 84 ; 68 ; 2 ; SA[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 85 ; 69 ; 2 ; DQML ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 86 ; 70 ; 2 ; RD[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 87 ; 71 ; 2 ; RD[1] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 88 ; 72 ; 2 ; RD[2] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 89 ; 73 ; 2 ; RD[3] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 90 ; 74 ; 2 ; RD[4] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 92 ; 76 ; 2 ; RD[6] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 93 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 94 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 95 ; 77 ; 2 ; SetFW[1] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ; -; 96 ; 78 ; 2 ; SetFW[0] ; input ; 3.3V Schmitt Trigger Input ; ; Column I/O ; Y ; no ; On ; -; 97 ; 79 ; 2 ; RA[2] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 98 ; 80 ; 2 ; RA[1] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 99 ; 81 ; 2 ; RD[7] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; yes ; Off ; -; 100 ; 82 ; 2 ; RA[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -+----------+------------+----------+----------------+--------+----------------------------+-----------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-------------------------------------------------------------+ -; Output Pin Default Load For Reported TCO ; -+----------------------------+-------+------------------------+ -; I/O Standard ; Load ; Termination Resistance ; -+----------------------------+-------+------------------------+ -; 3.3-V LVTTL ; 10 pF ; Not Available ; -; 3.3-V LVCMOS ; 10 pF ; Not Available ; -; 2.5 V ; 10 pF ; Not Available ; -; 1.8 V ; 10 pF ; Not Available ; -; 1.5 V ; 10 pF ; Not Available ; -; 3.3V Schmitt Trigger Input ; 10 pF ; Not Available ; -; 2.5V Schmitt Trigger Input ; 10 pF ; Not Available ; -+----------------------------+-------+------------------------+ -Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. - - -+----------------------------------------+ -; I/O Assignment Warnings ; -+----------+-----------------------------+ -; Pin Name ; Reason ; -+----------+-----------------------------+ -; RA[4] ; Missing location assignment ; -+----------+-----------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 233 (233) ; 124 ; 0 ; 80 ; 0 ; 109 (109) ; 6 (6) ; 118 (118) ; 37 (37) ; 18 (18) ; |GR8RAM ; GR8RAM ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+-------------------------------------+ -; Delay Chain Summary ; -+----------+----------+---------------+ -; Name ; Pin Type ; Pad to Core 0 ; -+----------+----------+---------------+ -; nRESout ; Output ; -- ; -; INTout ; Output ; -- ; -; DMAout ; Output ; -- ; -; nNMIout ; Output ; -- ; -; nIRQout ; Output ; -- ; -; nRDYout ; Output ; -- ; -; nINHout ; Output ; -- ; -; RWout ; Output ; -- ; -; nDMAout ; Output ; -- ; -; RAdir ; Output ; -- ; -; RDdir ; Output ; -- ; -; SBA[0] ; Output ; -- ; -; SBA[1] ; Output ; -- ; -; SA[0] ; Output ; -- ; -; SA[1] ; Output ; -- ; -; SA[2] ; Output ; -- ; -; SA[3] ; Output ; -- ; -; SA[4] ; Output ; -- ; -; SA[5] ; Output ; -- ; -; SA[6] ; Output ; -- ; -; SA[7] ; Output ; -- ; -; SA[8] ; Output ; -- ; -; SA[9] ; Output ; -- ; -; SA[10] ; Output ; -- ; -; SA[11] ; Output ; -- ; -; SA[12] ; Output ; -- ; -; nRCS ; Output ; -- ; -; nRAS ; Output ; -- ; -; nCAS ; Output ; -- ; -; nSWE ; Output ; -- ; -; DQML ; Output ; -- ; -; DQMH ; Output ; -- ; -; RCKE ; Output ; -- ; -; nFCS ; Output ; -- ; -; FCK ; Output ; -- ; -; RD[0] ; Bidir ; (1) ; -; RD[1] ; Bidir ; (1) ; -; RD[2] ; Bidir ; (1) ; -; RD[3] ; Bidir ; (1) ; -; RD[4] ; Bidir ; (1) ; -; RD[5] ; Bidir ; (1) ; -; RD[6] ; Bidir ; (1) ; -; RD[7] ; Bidir ; (1) ; -; SD[0] ; Bidir ; (1) ; -; SD[1] ; Bidir ; (1) ; -; SD[2] ; Bidir ; (1) ; -; SD[3] ; Bidir ; (1) ; -; SD[4] ; Bidir ; (1) ; -; SD[5] ; Bidir ; (1) ; -; SD[6] ; Bidir ; (1) ; -; SD[7] ; Bidir ; (1) ; -; MOSI ; Bidir ; (1) ; -; INTin ; Input ; (1) ; -; DMAin ; Input ; (1) ; -; PHI0 ; Input ; (0) ; -; nWE ; Input ; (1) ; -; RA[0] ; Input ; (1) ; -; RA[1] ; Input ; (1) ; -; RA[2] ; Input ; (1) ; -; RA[3] ; Input ; (1) ; -; RA[4] ; Input ; (1) ; -; RA[5] ; Input ; (1) ; -; RA[6] ; Input ; (1) ; -; RA[7] ; Input ; (1) ; -; RA[8] ; Input ; (1) ; -; RA[9] ; Input ; (1) ; -; RA[10] ; Input ; (1) ; -; nIOSTRB ; Input ; (1) ; -; nIOSEL ; Input ; (1) ; -; nDEVSEL ; Input ; (1) ; -; C25M ; Input ; (0) ; -; RA[11] ; Input ; (1) ; -; RA[14] ; Input ; (1) ; -; RA[15] ; Input ; (1) ; -; RA[12] ; Input ; (1) ; -; RA[13] ; Input ; (1) ; -; SetFW[1] ; Input ; (1) ; -; SetFW[0] ; Input ; (1) ; -; nRES ; Input ; (1) ; -; MISO ; Input ; (1) ; -+----------+----------+---------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ -; C25M ; PIN_64 ; 110 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Equal20~0 ; LC_X2_Y4_N5 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal2~1 ; LC_X2_Y1_N5 ; 16 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X3_Y1_N1 ; 2 ; Output enable ; no ; -- ; -- ; -; IS~19 ; LC_X2_Y2_N7 ; 5 ; Clock enable ; no ; -- ; -- ; -; MOSIOE ; LC_X2_Y2_N8 ; 1 ; Output enable ; no ; -- ; -- ; -; PHI0 ; PIN_41 ; 16 ; Clock ; yes ; Global Clock ; GCLK2 ; -; PS[0] ; LC_X6_Y1_N1 ; 52 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X2_Y1_N2 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X5_Y1_N4 ; 8 ; Output enable ; no ; -- ; -- ; -; SetFWLoaded ; LC_X4_Y2_N8 ; 2 ; Clock enable ; no ; -- ; -- ; -; always9~2 ; LC_X7_Y3_N6 ; 8 ; Sync. load ; no ; -- ; -- ; -; always9~3 ; LC_X7_Y3_N7 ; 9 ; Sync. load ; no ; -- ; -- ; -; always9~4 ; LC_X6_Y3_N9 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~2 ; LC_X4_Y1_N8 ; 9 ; Output enable ; no ; -- ; -- ; -; nRESr ; LC_X3_Y1_N7 ; 30 ; Async. clear, Sync. clear ; yes ; Global Clock ; GCLK1 ; -+-------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ - - -+-------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+-------+-------------+---------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; -+-------+-------------+---------+----------------------+------------------+ -; C25M ; PIN_64 ; 110 ; Global Clock ; GCLK3 ; -; PHI0 ; PIN_41 ; 16 ; Global Clock ; GCLK2 ; -; nRESr ; LC_X3_Y1_N7 ; 30 ; Global Clock ; GCLK1 ; -+-------+-------------+---------+----------------------+------------------+ - - -+--------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+--------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+--------------------+ -; C4s ; 211 / 784 ( 27 % ) ; -; Direct links ; 50 / 888 ( 6 % ) ; -; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 13 / 32 ( 41 % ) ; -; LUT chains ; 8 / 216 ( 4 % ) ; -; Local interconnects ; 379 / 888 ( 43 % ) ; -; R4s ; 199 / 704 ( 28 % ) ; -+-----------------------+--------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.71) ; Number of LABs (Total = 24) ; -+--------------------------------------------+------------------------------+ -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 2 ; -; 10 ; 20 ; -+--------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.71) ; Number of LABs (Total = 24) ; -+------------------------------------+------------------------------+ -; 1 Async. clear ; 5 ; -; 1 Clock ; 21 ; -; 1 Clock enable ; 5 ; -; 1 Sync. clear ; 4 ; -; 1 Sync. load ; 3 ; -; 2 Clocks ; 3 ; -+------------------------------------+------------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 10.50) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 15 ; -; 11 ; 0 ; -; 12 ; 3 ; -; 13 ; 1 ; -; 14 ; 1 ; -; 15 ; 1 ; -+----------------------------------------------+------------------------------+ - - -+--------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 7.42) ; Number of LABs (Total = 24) ; -+-------------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 1 ; -; 4 ; 2 ; -; 5 ; 4 ; -; 6 ; 4 ; -; 7 ; 2 ; -; 8 ; 2 ; -; 9 ; 1 ; -; 10 ; 6 ; -; 11 ; 0 ; -; 12 ; 2 ; -+-------------------------------------------------+------------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 14.13) ; Number of LABs (Total = 24) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 2 ; -; 6 ; 2 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 2 ; -; 11 ; 1 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 4 ; -; 15 ; 3 ; -; 16 ; 1 ; -; 17 ; 1 ; -; 18 ; 1 ; -; 19 ; 0 ; -; 20 ; 1 ; -; 21 ; 0 ; -; 22 ; 1 ; -; 23 ; 0 ; -; 24 ; 1 ; -; 25 ; 1 ; -; 26 ; 1 ; -+----------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------------+ -; Fitter Device Options ; -+----------------------------------------------+--------------------------+ -; Option ; Setting ; -+----------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Reserve all unused pins ; As output driving ground ; -+----------------------------------------------+--------------------------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (119006): Selected device EPM240T100C5 for design "GR8RAM" -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EPM240T100I5 is compatible - Info (176445): Device EPM240T100A5 is compatible - Info (176445): Device EPM570T100C5 is compatible - Info (176445): Device EPM570T100I5 is compatible - Info (176445): Device EPM570T100A5 is compatible -Critical Warning (169085): No exact pin location assignment(s) for 1 pins of 80 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report. -Info (332104): Reading SDC File: 'GR8RAM.sdc' -Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements -Info (332111): Found 2 clocks - Info (332111): Period Clock Name - Info (332111): ======== ============ - Info (332111): 40.000 C25M - Info (332111): 978.000 PHI0 -Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "C25M" to use Global clock in PIN 64 File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "PHI0" to use Global clock File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 - Info (186217): Destination "comb~0" may be non-global or may not use global clock - Info (186217): Destination "PHI0r1" may be non-global or may not use global clock File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 10 -Info (186228): Pin "PHI0" drives global clock, but is not placed in a dedicated clock pin position File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 9 -Info (186216): Automatically promoted some destinations of signal "nRESr" to use Global clock File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 16 - Info (186217): Destination "IOROMEN" may be non-global or may not use global clock File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 94 -Info (186079): Completed Auto Global Promotion Operation -Info (176234): Starting register packing -Info (186468): Started processing fast register assignments -Info (186469): Finished processing fast register assignments -Info (176235): Finished register packing -Info (176214): Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement - Info (176211): Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 1 input, 0 output, 0 bidirectional) - Info (176212): I/O standards used: 3.3-V LVTTL. -Info (176215): I/O bank details before I/O pin placement - Info (176214): Statistics of I/O banks - Info (176213): I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available - Info (176213): I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 41 total pin(s) used -- 1 pins available -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 -Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 30% of the available device resources - Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped - Info (170200): Optimizations that may affect the design's timing were skipped -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 -Info (11888): Total time spent on timing analysis during the Fitter is 0.16 seconds. -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file /Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 13772 megabytes - Info: Processing ended: Fri Feb 16 20:53:58 2024 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:03 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in /Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. - - diff --git a/CPLD/MAXII/output_files/GR8RAM.fit.smsg b/CPLD/MAXII/output_files/GR8RAM.fit.smsg deleted file mode 100644 index 6df10d8..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.fit.smsg +++ /dev/null @@ -1,4 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176244): Moving registers into LUTs to improve timing and density -Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00 diff --git a/CPLD/MAXII/output_files/GR8RAM.fit.summary b/CPLD/MAXII/output_files/GR8RAM.fit.summary deleted file mode 100644 index 4cfb17a..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.fit.summary +++ /dev/null @@ -1,11 +0,0 @@ -Fitter Status : Successful - Fri Feb 16 20:53:58 2024 -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition -Revision Name : GR8RAM -Top-level Entity Name : GR8RAM -Family : MAX II -Device : EPM240T100C5 -Timing Models : Final -Total logic elements : 233 / 240 ( 97 % ) -Total pins : 80 / 80 ( 100 % ) -Total virtual pins : 0 -UFM blocks : 0 / 1 ( 0 % ) diff --git a/CPLD/MAXII/output_files/GR8RAM.flow.rpt b/CPLD/MAXII/output_files/GR8RAM.flow.rpt deleted file mode 100644 index fe43cef..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.flow.rpt +++ /dev/null @@ -1,118 +0,0 @@ -Flow report for GR8RAM -Fri Feb 16 20:54:03 2024 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2019 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------------+ -; Flow Summary ; -+-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Fri Feb 16 20:54:00 2024 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Device ; EPM240T100C5 ; -; Timing Models ; Final ; -; Total logic elements ; 233 / 240 ( 97 % ) ; -; Total pins ; 80 / 80 ( 100 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------+---------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 02/16/2024 20:53:35 ; -; Main task ; Compilation ; -; Revision Name ; GR8RAM ; -+-------------------+---------------------+ - - -+---------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+---------------------------------------+----------------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+---------------------------------------+----------------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 121380219419.170813481504184 ; -- ; -- ; -- ; -; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 1 ; -; IOBANK_VCCIO ; -- (Not supported for targeted family) ; -- ; -- ; 2 ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+---------------------------------------+----------------------------------------+---------------+-------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:20 ; 1.0 ; 13135 MB ; 00:00:43 ; -; Fitter ; 00:00:02 ; 1.0 ; 13772 MB ; 00:00:03 ; -; Assembler ; 00:00:01 ; 1.0 ; 13093 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 13090 MB ; 00:00:01 ; -; Total ; 00:00:25 ; -- ; -- ; 00:00:48 ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+----------------------+------------------+------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+----------------------+------------------+------------+------------+----------------+ -; Analysis & Synthesis ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; ZaneMacWin11 ; Windows 10 ; 10.0 ; x86_64 ; -+----------------------+------------------+------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM -quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM -quartus_sta GR8RAM -c GR8RAM - - - diff --git a/CPLD/MAXII/output_files/GR8RAM.jdi b/CPLD/MAXII/output_files/GR8RAM.jdi deleted file mode 100644 index cd3ac0c..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/CPLD/MAXII/output_files/GR8RAM.map.rpt b/CPLD/MAXII/output_files/GR8RAM.map.rpt deleted file mode 100644 index 05fb753..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.map.rpt +++ /dev/null @@ -1,322 +0,0 @@ -Analysis & Synthesis report for GR8RAM -Fri Feb 16 20:53:55 2024 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. State Machine - |GR8RAM|IS - 9. Registers Removed During Synthesis - 10. General Register Statistics - 11. Inverted Register Statistics - 12. Multiplexer Restructuring Statistics (Restructuring Performed) - 13. Analysis & Synthesis Messages - 14. Analysis & Synthesis Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2019 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Feb 16 20:53:55 2024 ; -; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX II ; -; Total logic elements ; 253 ; -; Total pins ; 80 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------------+---------------------------------------------+ - - -+------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+------------------------------------------------------------------+--------------------+--------------------+ -; Option ; Setting ; Default Value ; -+------------------------------------------------------------------+--------------------+--------------------+ -; Device ; EPM240T100C5 ; ; -; Top-level entity name ; GR8RAM ; GR8RAM ; -; Family name ; MAX II ; Cyclone V ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Block Design Naming ; Auto ; Auto ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -+------------------------------------------------------------------+--------------------+--------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -+----------------------------+-------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------+---------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------+---------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v ; ; -+----------------------------------+-----------------+------------------------+---------------------------------------+---------+ - - -+-----------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+-------+ -; Resource ; Usage ; -+---------------------------------------------+-------+ -; Total logic elements ; 253 ; -; -- Combinational with no register ; 129 ; -; -- Register only ; 26 ; -; -- Combinational with a register ; 98 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 124 ; -; -- 3 input functions ; 30 ; -; -- 2 input functions ; 71 ; -; -- 1 input functions ; 0 ; -; -- 0 input functions ; 2 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 220 ; -; -- arithmetic mode ; 33 ; -; -- qfbk mode ; 0 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 45 ; -; -- asynchronous clear/load mode ; 29 ; -; ; ; -; Total registers ; 124 ; -; Total logic cells in carry chains ; 37 ; -; I/O pins ; 80 ; -; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 110 ; -; Total fan-out ; 1076 ; -; Average fan-out ; 3.23 ; -+---------------------------------------------+-------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -; |GR8RAM ; 253 (253) ; 124 ; 0 ; 80 ; 0 ; 129 (129) ; 26 (26) ; 98 (98) ; 37 (37) ; 0 (0) ; |GR8RAM ; GR8RAM ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+-------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -Encoding Type: One-Hot -+--------------------------------------------------------------+ -; State Machine - |GR8RAM|IS ; -+--------+--------+--------+--------+--------+--------+--------+ -; Name ; IS.111 ; IS.110 ; IS.101 ; IS.100 ; IS.001 ; IS.000 ; -+--------+--------+--------+--------+--------+--------+--------+ -; IS.000 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; IS.001 ; 0 ; 0 ; 0 ; 0 ; 1 ; 1 ; -; IS.100 ; 0 ; 0 ; 0 ; 1 ; 0 ; 1 ; -; IS.101 ; 0 ; 0 ; 1 ; 0 ; 0 ; 1 ; -; IS.110 ; 0 ; 1 ; 0 ; 0 ; 0 ; 1 ; -; IS.111 ; 1 ; 0 ; 0 ; 0 ; 0 ; 1 ; -+--------+--------+--------+--------+--------+--------+--------+ - - -+------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+---------------------------------------+--------------------+ -; Register name ; Reason for Removal ; -+---------------------------------------+--------------------+ -; IS~8 ; Lost fanout ; -; IS~9 ; Lost fanout ; -; IS~10 ; Lost fanout ; -; Total Number of Removed Registers = 3 ; ; -+---------------------------------------+--------------------+ - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 124 ; -; Number of registers using Synchronous Clear ; 12 ; -; Number of registers using Synchronous Load ; 33 ; -; Number of registers using Asynchronous Clear ; 29 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 29 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+--------------------------------------------------+ -; Inverted Register Statistics ; -+----------------------------------------+---------+ -; Inverted Register ; Fan out ; -+----------------------------------------+---------+ -; nRCS~reg0 ; 1 ; -; nRAS~reg0 ; 1 ; -; nCAS~reg0 ; 1 ; -; nSWE~reg0 ; 1 ; -; DQML~reg0 ; 1 ; -; DQMH~reg0 ; 1 ; -; RCKE~reg0 ; 1 ; -; Total number of inverted registers = 7 ; ; -+----------------------------------------+---------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; -; 5:1 ; 2 bits ; 6 LEs ; 2 LEs ; 4 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; -; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[3]~reg0 ; -; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[1]~reg0 ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; -; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; -; 5:1 ; 4 bits ; 12 LEs ; 8 LEs ; 4 LEs ; Yes ; |GR8RAM|RDD[4] ; -; 18:1 ; 2 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |GR8RAM|DQMH~reg0 ; -; 7:1 ; 5 bits ; 20 LEs ; 20 LEs ; 0 LEs ; No ; |GR8RAM|IS ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Analysis & Synthesis - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Fri Feb 16 20:53:35 2024 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v - Info (12023): Found entity 1: GR8RAM File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 1 -Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(42): truncated value with size 32 to match size of target (4) File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 42 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(47): truncated value with size 32 to match size of target (14) File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 47 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(134): truncated value with size 32 to match size of target (8) File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 134 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(142): truncated value with size 32 to match size of target (8) File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 142 -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(149): truncated value with size 32 to match size of target (8) File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 149 -Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "nNMIout" is stuck at VCC File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 563 - Warning (13410): Pin "nIRQout" is stuck at VCC File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 566 - Warning (13410): Pin "nRDYout" is stuck at VCC File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 565 - Warning (13410): Pin "nINHout" is stuck at VCC File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 564 - Warning (13410): Pin "RWout" is stuck at VCC File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 567 - Warning (13410): Pin "nDMAout" is stuck at VCC File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 562 - Warning (13410): Pin "RAdir" is stuck at VCC File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 561 -Info (17049): 3 registers lost all their fanouts during netlist optimizations. -Info (21057): Implemented 333 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 28 input pins - Info (21059): Implemented 35 output pins - Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 253 logic cells -Info (144001): Generated suppressed messages file /Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 14 warnings - Info: Peak virtual memory: 13135 megabytes - Info: Processing ended: Fri Feb 16 20:53:55 2024 - Info: Elapsed time: 00:00:20 - Info: Total CPU time (on all processors): 00:00:43 - - -+------------------------------------------+ -; Analysis & Synthesis Suppressed Messages ; -+------------------------------------------+ -The suppressed messages can be found in /Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. - - diff --git a/CPLD/MAXII/output_files/GR8RAM.map.smsg b/CPLD/MAXII/output_files/GR8RAM.map.smsg deleted file mode 100644 index bb83fda..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.map.smsg +++ /dev/null @@ -1,2 +0,0 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(110): extended using "x" or "z" File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 110 -Warning (10273): Verilog HDL warning at GR8RAM.v(286): extended using "x" or "z" File: //Mac/Home/Repos/GR8RAM/cpld/GR8RAM.v Line: 286 diff --git a/CPLD/MAXII/output_files/GR8RAM.map.summary b/CPLD/MAXII/output_files/GR8RAM.map.summary deleted file mode 100644 index e488d89..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.map.summary +++ /dev/null @@ -1,9 +0,0 @@ -Analysis & Synthesis Status : Successful - Fri Feb 16 20:53:55 2024 -Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition -Revision Name : GR8RAM -Top-level Entity Name : GR8RAM -Family : MAX II -Total logic elements : 253 -Total pins : 80 -Total virtual pins : 0 -UFM blocks : 0 / 1 ( 0 % ) diff --git a/CPLD/MAXII/output_files/GR8RAM.pin b/CPLD/MAXII/output_files/GR8RAM.pin deleted file mode 100644 index be7c22e..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.pin +++ /dev/null @@ -1,165 +0,0 @@ - -- Copyright (C) 2019 Intel Corporation. All rights reserved. - -- Your use of Intel Corporation's design tools, logic functions - -- and other software and tools, and any partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Intel Program License - -- Subscription Agreement, the Intel Quartus Prime License Agreement, - -- the Intel FPGA IP License Agreement, or other applicable license - -- agreement, including, without limitation, that your use is for - -- the sole purpose of programming logic devices manufactured by - -- Intel and sold by Intel or its authorized distributors. Please - -- refer to the applicable agreement for further details, at - -- https://fpgasoftware.intel.com/eula. - -- - -- This is a Quartus Prime output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus Prime input file. This file cannot be used - -- to make Quartus Prime pin assignments - for instructions on how to make pin - -- assignments, please see Quartus Prime help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition -CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -RA[4] : 1 : input : 3.3-V LVTTL : : 2 : N -RA[5] : 2 : input : 3.3-V LVTTL : : 1 : Y -RA[6] : 3 : input : 3.3-V LVTTL : : 1 : Y -RA[3] : 4 : input : 3.3-V LVTTL : : 1 : Y -nFCS : 5 : output : 3.3-V LVTTL : : 1 : Y -RA[7] : 6 : input : 3.3-V LVTTL : : 1 : Y -RA[8] : 7 : input : 3.3-V LVTTL : : 1 : Y -RA[9] : 8 : input : 3.3-V LVTTL : : 1 : Y -VCCIO1 : 9 : power : : 3.3V : 1 : -GNDIO : 10 : gnd : : : : -GNDINT : 11 : gnd : : : : -FCK : 12 : output : 3.3-V LVTTL : : 1 : Y -VCCINT : 13 : power : : 2.5V/3.3V : : -RA[10] : 14 : input : 3.3-V LVTTL : : 1 : Y -MOSI : 15 : bidir : 3.3-V LVTTL : : 1 : Y -MISO : 16 : input : 3.3-V LVTTL : : 1 : Y -RDdir : 17 : output : 3.3-V LVTTL : : 1 : Y -DMAout : 18 : output : 3.3-V LVTTL : : 1 : Y -RAdir : 19 : output : 3.3-V LVTTL : : 1 : Y -INTout : 20 : output : 3.3-V LVTTL : : 1 : Y -nDMAout : 21 : output : 3.3-V LVTTL : : 1 : Y -TMS : 22 : input : : : 1 : -TDI : 23 : input : : : 1 : -TCK : 24 : input : : : 1 : -TDO : 25 : output : : : 1 : -nNMIout : 26 : output : 3.3-V LVTTL : : 1 : Y -nINHout : 27 : output : 3.3-V LVTTL : : 1 : Y -nRDYout : 28 : output : 3.3-V LVTTL : : 1 : Y -nIRQout : 29 : output : 3.3-V LVTTL : : 1 : Y -nRESout : 30 : output : 3.3-V LVTTL : : 1 : Y -VCCIO1 : 31 : power : : 3.3V : 1 : -GNDIO : 32 : gnd : : : : -RWout : 33 : output : 3.3-V LVTTL : : 1 : Y -RA[11] : 34 : input : 3.3-V LVTTL : : 1 : Y -RA[12] : 35 : input : 3.3-V LVTTL : : 1 : Y -RA[13] : 36 : input : 3.3-V LVTTL : : 1 : Y -RA[14] : 37 : input : 3.3-V LVTTL : : 1 : Y -RA[15] : 38 : input : 3.3-V LVTTL : : 1 : Y -nIOSEL : 39 : input : 3.3V Schmitt Trigger Input : : 1 : Y -nDEVSEL : 40 : input : 3.3V Schmitt Trigger Input : : 1 : Y -PHI0 : 41 : input : 3.3V Schmitt Trigger Input : : 1 : Y -nIOSTRB : 42 : input : 3.3V Schmitt Trigger Input : : 1 : Y -nWE : 43 : input : 3.3V Schmitt Trigger Input : : 1 : Y -nRES : 44 : input : 3.3V Schmitt Trigger Input : : 1 : Y -VCCIO1 : 45 : power : : 3.3V : 1 : -GNDIO : 46 : gnd : : : : -SD[1] : 47 : bidir : 3.3-V LVTTL : : 1 : Y -DMAin : 48 : input : 3.3-V LVTTL : : 1 : Y -INTin : 49 : input : 3.3-V LVTTL : : 1 : Y -SD[0] : 50 : bidir : 3.3-V LVTTL : : 1 : Y -SD[4] : 51 : bidir : 3.3-V LVTTL : : 1 : Y -SD[5] : 52 : bidir : 3.3-V LVTTL : : 2 : Y -SD[6] : 53 : bidir : 3.3-V LVTTL : : 2 : Y -SD[7] : 54 : bidir : 3.3-V LVTTL : : 2 : Y -SD[3] : 55 : bidir : 3.3-V LVTTL : : 2 : Y -SD[2] : 56 : bidir : 3.3-V LVTTL : : 2 : Y -DQMH : 57 : output : 3.3-V LVTTL : : 2 : Y -nSWE : 58 : output : 3.3-V LVTTL : : 2 : Y -VCCIO2 : 59 : power : : 3.3V : 2 : -GNDIO : 60 : gnd : : : : -nCAS : 61 : output : 3.3-V LVTTL : : 2 : Y -nRAS : 62 : output : 3.3-V LVTTL : : 2 : Y -VCCINT : 63 : power : : 2.5V/3.3V : : -C25M : 64 : input : 3.3-V LVTTL : : 2 : Y -GNDINT : 65 : gnd : : : : -RCKE : 66 : output : 3.3-V LVTTL : : 2 : Y -nRCS : 67 : output : 3.3-V LVTTL : : 2 : Y -SA[12] : 68 : output : 3.3-V LVTTL : : 2 : Y -SBA[0] : 69 : output : 3.3-V LVTTL : : 2 : Y -SA[11] : 70 : output : 3.3-V LVTTL : : 2 : Y -SBA[1] : 71 : output : 3.3-V LVTTL : : 2 : Y -SA[9] : 72 : output : 3.3-V LVTTL : : 2 : Y -SA[10] : 73 : output : 3.3-V LVTTL : : 2 : Y -SA[8] : 74 : output : 3.3-V LVTTL : : 2 : Y -SA[0] : 75 : output : 3.3-V LVTTL : : 2 : Y -SA[4] : 76 : output : 3.3-V LVTTL : : 2 : Y -SA[6] : 77 : output : 3.3-V LVTTL : : 2 : Y -SA[7] : 78 : output : 3.3-V LVTTL : : 2 : Y -GNDIO : 79 : gnd : : : : -VCCIO2 : 80 : power : : 3.3V : 2 : -SA[1] : 81 : output : 3.3-V LVTTL : : 2 : Y -SA[2] : 82 : output : 3.3-V LVTTL : : 2 : Y -SA[5] : 83 : output : 3.3-V LVTTL : : 2 : Y -SA[3] : 84 : output : 3.3-V LVTTL : : 2 : Y -DQML : 85 : output : 3.3-V LVTTL : : 2 : Y -RD[0] : 86 : bidir : 3.3-V LVTTL : : 2 : Y -RD[1] : 87 : bidir : 3.3-V LVTTL : : 2 : Y -RD[2] : 88 : bidir : 3.3-V LVTTL : : 2 : Y -RD[3] : 89 : bidir : 3.3-V LVTTL : : 2 : Y -RD[4] : 90 : bidir : 3.3-V LVTTL : : 2 : Y -RD[5] : 91 : bidir : 3.3-V LVTTL : : 2 : Y -RD[6] : 92 : bidir : 3.3-V LVTTL : : 2 : Y -GNDIO : 93 : gnd : : : : -VCCIO2 : 94 : power : : 3.3V : 2 : -SetFW[1] : 95 : input : 3.3V Schmitt Trigger Input : : 2 : Y -SetFW[0] : 96 : input : 3.3V Schmitt Trigger Input : : 2 : Y -RA[2] : 97 : input : 3.3-V LVTTL : : 2 : Y -RA[1] : 98 : input : 3.3-V LVTTL : : 2 : Y -RD[7] : 99 : bidir : 3.3-V LVTTL : : 2 : Y -RA[0] : 100 : input : 3.3-V LVTTL : : 2 : Y diff --git a/CPLD/MAXII/output_files/GR8RAM.pof b/CPLD/MAXII/output_files/GR8RAM.pof deleted file mode 100644 index 78fa46ac8b8743151df3841df4c91c763f764527..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7861 zcmeHMeQ;dWbzfm5y8+A70b1-tMnfj#4?5VO1f#h2k{FzR#C*gtgl5DB>W{j%q{giHm`4QYrMJnrkihC*!ZoE^&3|=-nwXEdFTll@37cN}(^~j9KA7E)!+m`iPHm+G6 zsRfVz`@_hU^UN3h@wPTe5s}36oEf8J=6NFZ$a6z_-OOE#BIKLqpB^3XNc!uwo*=bk z?O6L@h4vOJU!4M7$)+jt3>_0-XgnwI$;PNK!<=2B`-YyL#+@E7(Wi09r=pSntUWTc z6YE|OCyQK-uQYy$1LLOUr4LF-w1u|gQydF6W@#99vFY`yeHt(1%LY|`fLmDmtbGaX zC(WlQFe9JVPp+9mRte~bELe;`rg7oNq*My)qf`J!E(d%!6XG%06DMIaay^+ZN_Zek z0bqiVP9$K5DuSYTKp4mYi0z9iT>&|?SCKDZH_K}O3vCDNWj-mNIK*J5woChyRnG}@ zV1kcBPSc@Rntj`lHS##>aMW{O==CfmAQ#em%Aub^{_a%+M6q7**pkw_F-qdFn`H5n zuap3~Ecn3lC1_bZkXMKwrx?I4EK1IyFM}~-wN?N8LJpw6w)b54yQLyo+)LOT#(sS%Dbn)W9jpR zd`ilScQ=b8v@qBH@ZewW;=^FohDSx$FIQHtI~O`V0*Ge*8}D{<--_uT0Q$DqCpRq5&oO8P$ZW}CYtiSVy>%Vaz)w4G< zm}y$pvv{$g>wP=$1>B8uW6TQFS@>me00&_FOWeeN0=6i82Vda9@FivrIiwfjM$3~o zJfcUg1lF16*SNf(M+=XlE-jx?pd~N|3{G;I@6yQh#_TFxav?C~IxjFP8^M+(4&0cY zV^j%m$Rn9Y{$-~SHvv~MtjlVC!FrPqj&MD1N+Ito#bIv{n0-kudc9#MCK0iKCc6TB zq45z|2LF_?KY{OJ7&}P7Bh3eUF!q#71r>`QfE?zm1KZN;$foK1bCJ&i+`hXNTA{Z~*L6E) z8~^r{;Rn<^r|0|6SY8CuW@-ykXwrfq#4D>E{;zbmh)! zej?8LRRAXvA%b0Z2~Nv{>k0^((0@6sw1bYrgS`@tRk=W)znK>TuKBe*=JpODqWIMw`wJU8?lyCg4d8~6RrNhxV4l$Snt7zGK}!Q8eB(nS!v#Ug7r@&!ugy=cItr9|#HoI^CEg1$f& z2*G*Z0ff^~xLwv$0aG$orKa@_iaFP!a_~Jn|eyoCu#(svVq2%>R zO8ooON{8AmN;}LyNq@^!@e^wOn~4RUUHF}aI+pCW$WF)a3-fRICu2iB8>~H2luP^2;NSJi6xM`}z5`@0WL88|F{z*YAmp-5I%K zN8~>iFOPhG-kLW*_~546$mFhTBcXi^eUb06+9R)BxBQO1-!5L9%CDV0!%JOzd3gWj z8mGMc)@PU8{#tZ-WN%%^#i{duBrm&=nia}7l+Kd4*&YgaFB+IfE8(Z~L{ zDc`VfZp_#(1_vK|*l`Bh?mFshe0HDxtNn9xnar}D1O3K+%PaNgGMjPkBg~^N#6<=9 z6d#mA`x$5Cg5H-^rWv`mkRoxaanwT5~MN~SqSuyt~lg* zA#fywXOqB{2(O$OAxAW9F+CQ@s^DJi z?knkd(fEk>BF2#x#8(cn2RV^V>iSR&&o9~Ls`wHHe(iyA8y$g`vt5HrlDqH&R|P0W zohVD7$O#n+}6aI@$OeEhcKTCbTT9u zGx5>d!KF8pz&h|I@&PXK?RzIUhzC45<6K4rNGtfJ|2kY~{_z95#QF29Fd zuHZaL9k44PJ5cxc8vR?lVZSKqJ_%`O^mtic^6LJGaK33F9h}D^^m8O2uW@X%KNvE$zw$)sypKO}E=JuJ&_$PURoW7b11!RkQqwTvp{24pzr>>Yv zeGulal{;`$JzjVGE34m{bJzCwzT0rIX5+ER8B-07j~e?E#Y)Su{BqAe`|Qx#<*2hC zrEKTsh56L%+F_G__Tcf|QA8d3s$4N?=-%73n z@1u{|N(0EL@cdohb9LW2L2XQOq!rfTVOI4oC3+FJkF?};1ZqCcb2-GVLeK#leNT_$ z3X*C9^$~G}2V~GWP>4?20{2zBuKOtb$#yMo;(&$mgSoHkuI`^+wG`q;3~D(Uubw~0 z=%dL+ML=CJ?|;hjB|?U&SgVE}Mow;s8UZ&5_dZXF@NKx_!_feN>l zu`dzmI*omSIZOH8gCr=7OW+!L3h;hOsV;>ZCIc&E&O@!RDQ4&vau@fx)cfldt|}5r z$T0F(z+Nqn+g(x4ZQW{Pv|g|SO13EhyF&TVGUZOuL?CVOJIQY7KcTvLAUT=*=^$PI z1pJn#Q;*!$r%|ziVGuOWDNQHZUy#nMFRbyi^d0* zIArnO|aCW#}&vzwn9p zmjhC5aGi>Hyg&GOcn?m989<)ez6 zb;($QRCjKO$$?7j@6W{iiWIO6^#AItzwlu|f7Yt|Pm8{GZ~6N>mM)83m>sEGkORFK zz3cFYR&-}a^YJU{mdv`krt^VEzwr9o>fpTkxRzgE9`H}GZGV@#y0T#T$|r8L<>t%0 z-_DubwtX(w^0Iqi$YXE*<{&+ne2iy#B-j4e3_Bo+NVSgZ4%bGTBmVCdELc%^b*#QFh259!U(JYUuyjtr;J=o`1*H& z4Dy>HpGvC4gwW@Uh~v=1Y>vwXbzZ+rX}QxJa)!?QGJOJ^fO(9PC4}KPUYRWPIcGrE zJJEJN-9>bUTPDxSkSxJkjkC=qWFTi2W@lJm(2unw2qnDuhWK$RBN|Hy^s{E)lFp3u z5&UH6B^L<+nbTaExvk|pZDQ^ zKjcZph&p`mI~4DyVS!r~Kb1(K<3~~)I}fPDi64Tk&}+^`!jF1iI3K@^b31*~7vmXS zUzwi_GM-g%LONI3Pv8x?azy0p>t0%w`06iq^I)nJBc}69vi1pezmrBw+}<{JQR7jk z|CLJ1ubwpWh(E$UJ8LQq|0F~A_t3}#%E`Ib)Q`;h+UjnaAkbzw-4+Zeg{|`Y|rast#3cRWWnJpZiw9W<>y)L55F*ZM{(899vIg4+lT)A zwOss`$4+MtM?3%dnK`u=m%U$qW#%KR`KPF7pvV4l_E`Lthl>2YIh~RHpx3-#A9B$)G3rgUe6{5O`%d8A6$wXBi@uuhC@ANf(z(U+S*kTQ%Zz z{-X{dK0nn*s0P0`5Ah*iMj;6OhpGaesvc(Snf8a#6Uv9@&!_o#$d5Yo`}q3)GPsx4 zugmE7->MvA;kqBn8~nI$!+@)e(66#jru=AILOcc UKKH=q9{7Lifm!B%#kZdLA7<8F*Z=?k diff --git a/CPLD/MAXII/output_files/GR8RAM.sld b/CPLD/MAXII/output_files/GR8RAM.sld deleted file mode 100644 index f7d3ed7..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.sld +++ /dev/null @@ -1 +0,0 @@ - diff --git a/CPLD/MAXII/output_files/GR8RAM.sta.rpt b/CPLD/MAXII/output_files/GR8RAM.sta.rpt deleted file mode 100644 index 2fc6021..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.sta.rpt +++ /dev/null @@ -1,792 +0,0 @@ -Timing Analyzer report for GR8RAM -Fri Feb 16 20:54:03 2024 -Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Timing Analyzer Summary - 3. Parallel Compilation - 4. SDC File List - 5. Clocks - 6. Fmax Summary - 7. Setup Summary - 8. Hold Summary - 9. Recovery Summary - 10. Removal Summary - 11. Minimum Pulse Width Summary - 12. Setup: 'C25M' - 13. Hold: 'C25M' - 14. Recovery: 'C25M' - 15. Removal: 'C25M' - 16. Setup Transfers - 17. Hold Transfers - 18. Recovery Transfers - 19. Removal Transfers - 20. Report TCCS - 21. Report RSKM - 22. Unconstrained Paths Summary - 23. Clock Status Summary - 24. Unconstrained Input Ports - 25. Unconstrained Output Ports - 26. Unconstrained Input Ports - 27. Unconstrained Output Ports - 28. Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2019 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+-----------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+-----------------------------------------------------+ -; Quartus Prime Version ; Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; GR8RAM ; -; Device Family ; MAX II ; -; Device Name ; EPM240T100C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+-----------------------+-----------------------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 4 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 2 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; -+----------------------------+-------------+ - - -+---------------------------------------------------+ -; SDC File List ; -+---------------+--------+--------------------------+ -; SDC File Path ; Status ; Read at ; -+---------------+--------+--------------------------+ -; GR8RAM.sdc ; OK ; Fri Feb 16 20:54:03 2024 ; -+---------------+--------+--------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ -; C25M ; Base ; 40.000 ; 25.0 MHz ; 0.000 ; 20.000 ; ; ; ; ; ; ; ; ; ; ; { C25M } ; -; PHI0 ; Base ; 978.000 ; 1.02 MHz ; 0.000 ; 489.000 ; ; ; ; ; ; ; ; ; ; ; { PHI0 } ; -+------------+------+---------+-----------+-------+---------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+----------+ - - -+-------------------------------------------------+ -; Fmax Summary ; -+-----------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+-----------+-----------------+------------+------+ -; 51.43 MHz ; 51.43 MHz ; C25M ; ; -+-----------+-----------------+------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - -+--------------------------------+ -; Setup Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; C25M ; 10.278 ; 0.000 ; -+-------+--------+---------------+ - - -+-------------------------------+ -; Hold Summary ; -+-------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+-------+---------------+ -; C25M ; 1.376 ; 0.000 ; -+-------+-------+---------------+ - - -+--------------------------------+ -; Recovery Summary ; -+-------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+--------+---------------+ -; C25M ; 33.311 ; 0.000 ; -+-------+--------+---------------+ - - -+-------------------------------+ -; Removal Summary ; -+-------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+-------+---------------+ -; C25M ; 6.135 ; 0.000 ; -+-------+-------+---------------+ - - -+---------------------------------+ -; Minimum Pulse Width Summary ; -+-------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+---------+---------------+ -; C25M ; 19.734 ; 0.000 ; -; PHI0 ; 488.734 ; 0.000 ; -+-------+---------+---------------+ - - -+-------------------------------------------------------------------------------------------------------+ -; Setup: 'C25M' ; -+--------+-----------+------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+------------+--------------+-------------+--------------+------------+------------+ -; 10.278 ; REGEN ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.389 ; -; 10.285 ; REGEN ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.382 ; -; 10.289 ; REGEN ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.378 ; -; 10.642 ; REGEN ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 9.025 ; -; 11.085 ; REGEN ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.582 ; -; 11.357 ; REGEN ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.310 ; -; 11.401 ; REGEN ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.266 ; -; 11.402 ; REGEN ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 8.265 ; -; 12.395 ; PS[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.395 ; PS[0] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.395 ; PS[0] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.395 ; PS[0] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.272 ; -; 12.440 ; PS[0] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.440 ; PS[0] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.440 ; PS[0] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.440 ; PS[0] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.227 ; -; 12.450 ; PS[3] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.450 ; PS[3] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.450 ; PS[3] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.450 ; PS[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.217 ; -; 12.495 ; PS[3] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.495 ; PS[3] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.495 ; PS[3] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.495 ; PS[3] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 7.172 ; -; 12.804 ; PS[2] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.804 ; PS[2] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.804 ; PS[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.804 ; PS[2] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.863 ; -; 12.849 ; PS[2] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 12.849 ; PS[2] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 12.849 ; PS[2] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 12.849 ; PS[2] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.818 ; -; 13.331 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 6.336 ; -; 13.753 ; PS[1] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.753 ; PS[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.753 ; PS[1] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.753 ; PS[1] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.914 ; -; 13.798 ; PS[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.798 ; PS[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.798 ; PS[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.798 ; PS[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.869 ; -; 13.971 ; Addr[11] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.696 ; -; 14.103 ; Addr[7] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.564 ; -; 14.314 ; Addr[15] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 5.353 ; -; 14.675 ; Addr[14] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.992 ; -; 14.748 ; Addr[8] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.919 ; -; 14.753 ; Addr[9] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.914 ; -; 14.779 ; SetFWr[1] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.888 ; -; 14.780 ; SetFWr[1] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.887 ; -; 14.785 ; SetFWr[1] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.882 ; -; 14.975 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.692 ; -; 15.251 ; SetFWr[1] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.416 ; -; 15.322 ; Addr[10] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.345 ; -; 15.387 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.280 ; -; 15.489 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.178 ; -; 15.612 ; Addr[17] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.055 ; -; 15.651 ; Addr[13] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.016 ; -; 15.653 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 4.014 ; -; 15.700 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.967 ; -; 15.911 ; Addr[16] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.756 ; -; 16.065 ; Addr[22] ; RDD[6] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.602 ; -; 16.103 ; Addr[18] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.564 ; -; 16.349 ; Addr[23] ; RDD[7] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.318 ; -; 16.647 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.020 ; -; 16.656 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 20.000 ; 0.000 ; 3.011 ; -; 16.711 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.956 ; -; 16.777 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.890 ; -; 17.105 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 20.000 ; 0.000 ; 2.562 ; -; 22.720 ; Addr[23] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 16.947 ; -; 23.632 ; Addr[23] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 16.035 ; -; 23.717 ; REGEN ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.950 ; -; 23.986 ; SetFWr[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.681 ; -; 24.629 ; REGEN ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 15.038 ; -; 24.898 ; SetFWr[0] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.769 ; -; 25.067 ; SetFWr[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.600 ; -; 25.201 ; PS[1] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.466 ; -; 25.277 ; Addr[23] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.390 ; -; 25.323 ; PS[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 14.344 ; -; 25.783 ; Addr[23] ; SA[4]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.884 ; -; 25.876 ; Addr[23] ; RCKE~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.791 ; -; 25.979 ; SetFWr[1] ; SA[2]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.688 ; -; 26.015 ; Addr[23] ; SA[8]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.652 ; -; 26.018 ; Addr[23] ; SA[3]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.649 ; -; 26.117 ; Addr[23] ; SA[5]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.550 ; -; 26.222 ; PS[1] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.222 ; PS[1] ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 13.445 ; -; 26.274 ; REGEN ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.393 ; -; 26.312 ; Addr[23] ; SA[6]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.355 ; -; 26.361 ; LS[7] ; IS.000 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.306 ; -; 26.498 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.169 ; -; 26.543 ; SetFWr[0] ; SA[1]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.124 ; -; 26.596 ; Addr[23] ; SA[7]~reg0 ; C25M ; C25M ; 40.000 ; 0.000 ; 13.071 ; -; 26.722 ; PS[0] ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.945 ; -; 26.722 ; PS[0] ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 12.945 ; -+--------+-----------+------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------+ -; Hold: 'C25M' ; -+-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ -; 1.376 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.597 ; -; 1.412 ; nRESf[1] ; nRESf[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.412 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.633 ; -; 1.419 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.640 ; -; 1.420 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.641 ; -; 1.426 ; nRESf[2] ; nRESf[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.647 ; -; 1.429 ; nRESf[0] ; nRESf[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.650 ; -; 1.646 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ; -; 1.649 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.870 ; -; 1.652 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.873 ; -; 1.653 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.874 ; -; 1.661 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 1.882 ; -; 1.664 ; Addr[19] ; SA[9]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.885 ; -; 1.670 ; IS.000 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 1.891 ; -; 1.675 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.896 ; -; 1.719 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.940 ; -; 1.720 ; PS[2] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 1.941 ; -; 1.793 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.014 ; -; 1.794 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.015 ; -; 1.806 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.027 ; -; 1.809 ; IS.101 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.030 ; -; 1.846 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.067 ; -; 1.942 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.163 ; -; 1.948 ; nRESf[2] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.169 ; -; 2.048 ; nRESf[1] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.269 ; -; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; -; 2.082 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.303 ; -; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; -; 2.115 ; Addr[0] ; DQML~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.336 ; -; 2.116 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.337 ; -; 2.116 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.337 ; -; 2.117 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.128 ; nRESf[3] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.349 ; -; 2.137 ; IS.111 ; IS.111 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; -; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.145 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; -; 2.149 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.370 ; -; 2.150 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.371 ; -; 2.151 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.372 ; -; 2.153 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.374 ; -; 2.185 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.406 ; -; 2.188 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.409 ; -; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.232 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.232 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.239 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.460 ; -; 2.240 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.461 ; -; 2.241 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.242 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.463 ; -; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.250 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.252 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; -; 2.259 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.480 ; -; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.261 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.263 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.484 ; -; 2.264 ; nRESf[0] ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; -; 2.264 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.485 ; -; 2.267 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.488 ; -; 2.270 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.491 ; -; 2.271 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.492 ; -; 2.272 ; SetFWLoaded ; SetFWr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; -; 2.272 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; -; 2.272 ; SetFWLoaded ; SetFWr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.493 ; -; 2.274 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.495 ; -; 2.276 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.497 ; -; 2.287 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.508 ; -; 2.573 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.794 ; -; 2.686 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.907 ; -; 2.690 ; Addr[0] ; DQMH~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.911 ; -; 2.902 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.123 ; -; 2.906 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.127 ; -; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; -; 2.948 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.169 ; -; 2.948 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.169 ; -; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.959 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.180 ; -; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 2.983 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.204 ; -; 2.985 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.206 ; -; 3.001 ; PHI0r1 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.222 ; -; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; -; 3.059 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.280 ; -+-------+--------------+--------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------+ -; Recovery: 'C25M' ; -+--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 33.311 ; nRESr ; Addr[0] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; REGEN ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[23] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[10] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[1] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[11] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[2] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[12] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Bank ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[3] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[13] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[4] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[14] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[5] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[15] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[6] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[16] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[7] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[17] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[8] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[18] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[9] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[19] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[20] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[21] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; Addr[22] ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; AddrIncH ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; AddrIncM ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -; 33.311 ; nRESr ; AddrIncL ; C25M ; C25M ; 40.000 ; 0.000 ; 6.356 ; -+--------+-----------+----------+--------------+-------------+--------------+------------+------------+ - - -+----------------------------------------------------------------------------------------------------+ -; Removal: 'C25M' ; -+-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 6.135 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -; 6.135 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 6.356 ; -+-------+-----------+----------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+------------+------------+------------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1520 ; 0 ; 88 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; -+------------+----------+------------+------------+------------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+------------+------------+------------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+------------+------------+------------+----------+ -; C25M ; C25M ; 1520 ; 0 ; 88 ; 0 ; -; PHI0 ; C25M ; false path ; false path ; false path ; 0 ; -+------------+----------+------------+------------+------------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------+ -; Recovery Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------+ -; Removal Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths Summary ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 44 ; 44 ; -; Unconstrained Input Port Paths ; 246 ; 246 ; -; Unconstrained Output Ports ; 45 ; 45 ; -; Unconstrained Output Port Paths ; 217 ; 217 ; -+---------------------------------+-------+------+ - - -+-------------------------------------+ -; Clock Status Summary ; -+--------+-------+------+-------------+ -; Target ; Clock ; Type ; Status ; -+--------+-------+------+-------------+ -; C25M ; C25M ; Base ; Constrained ; -; PHI0 ; PHI0 ; Base ; Constrained ; -+--------+-------+------+-------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; DMAin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; INTin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[11] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[15] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRES ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nWE ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------+---------------------------------------------------------------------------------------+ -; DMAout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; DQMH ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; DQML ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; FCK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; INTout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; MOSI ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RCKE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RDdir ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nFCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRESout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nSWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------+---------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; DMAin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; INTin ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; MISO ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; MOSI ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; PHI0 ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[8] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[9] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[10] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[11] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[12] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[13] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[14] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RA[15] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[2] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[3] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[4] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[5] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[6] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[7] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SetFW[0] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SetFW[1] ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nDEVSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nIOSEL ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nIOSTRB ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRES ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nWE ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------+---------------------------------------------------------------------------------------+ -; DMAout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; DQMH ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; DQML ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; FCK ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; INTout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; MOSI ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RCKE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; RDdir ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SA[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SBA[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SBA[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; SD[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nCAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nFCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRAS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRCS ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nRESout ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; nSWE ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------+---------------------------------------------------------------------------------------+ - - -+--------------------------+ -; Timing Analyzer Messages ; -+--------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Timing Analyzer - Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Fri Feb 16 20:54:01 2024 -Info: Command: quartus_sta GR8RAM -c GR8RAM -Info: qsta_default_script.tcl version: #1 -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Info (332104): Reading SDC File: 'GR8RAM.sdc' -Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. -Info (332146): Worst-case setup slack is 10.278 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 10.278 0.000 C25M -Info (332146): Worst-case hold slack is 1.376 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 1.376 0.000 C25M -Info (332146): Worst-case recovery slack is 33.311 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 33.311 0.000 C25M -Info (332146): Worst-case removal slack is 6.135 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 6.135 0.000 C25M -Info (332146): Worst-case minimum pulse width slack is 19.734 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 19.734 0.000 C25M - Info (332119): 488.734 0.000 PHI0 -Info (332001): The selected device family is not supported by the report_metastability command. -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus Prime Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 13090 megabytes - Info: Processing ended: Fri Feb 16 20:54:03 2024 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/CPLD/MAXII/output_files/GR8RAM.sta.summary b/CPLD/MAXII/output_files/GR8RAM.sta.summary deleted file mode 100644 index 2f7497e..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.sta.summary +++ /dev/null @@ -1,29 +0,0 @@ ------------------------------------------------------------- -Timing Analyzer Summary ------------------------------------------------------------- - -Type : Setup 'C25M' -Slack : 10.278 -TNS : 0.000 - -Type : Hold 'C25M' -Slack : 1.376 -TNS : 0.000 - -Type : Recovery 'C25M' -Slack : 33.311 -TNS : 0.000 - -Type : Removal 'C25M' -Slack : 6.135 -TNS : 0.000 - -Type : Minimum Pulse Width 'C25M' -Slack : 19.734 -TNS : 0.000 - -Type : Minimum Pulse Width 'PHI0' -Slack : 488.734 -TNS : 0.000 - ------------------------------------------------------------- diff --git a/CPLD/MAXII/output_files/GR8RAM.svf b/CPLD/MAXII/output_files/GR8RAM.svf deleted file mode 100644 index 432de55..0000000 --- a/CPLD/MAXII/output_files/GR8RAM.svf +++ /dev/null @@ -1,11651 +0,0 @@ -!Copyright (C) 2022 Intel Corporation. All rights reserved. -!Your use of Intel Corporation's design tools, logic functions -!and other software and tools, and any partner logic -!functions, and any output files from any of the foregoing -!(including device programming or simulation files), and any -!associated documentation or information are expressly subject -!to the terms and conditions of the Intel Program License -!Subscription Agreement, the Intel Quartus Prime License Agreement, -!the Intel FPGA IP License Agreement, or other applicable license -!agreement, including, without limitation, that your use is for -!the sole purpose of programming logic devices manufactured by -!Intel and sold by Intel or its authorized distributors. Please -!refer to the applicable agreement for further details, at -!https://fpgasoftware.intel.com/eula. -! -!Quartus Prime SVF converter 22.1 -! -!Device #1: EPM240 - //mac/iCloud/Repos2/GR8RAM/cpld2/output_files/GR8RAM.pof Tue Feb 28 11:21:26 2023 -! -!NOTE "USERCODE" "00163AA4"; -! -!NOTE "CHECKSUM" "00163E9C"; -! -! -! -FREQUENCY 1.00E+06 HZ; -! -! -! -TRST ABSENT; -ENDDR IDLE; -ENDIR IRPAUSE; -STATE IDLE; -SIR 10 TDI (005); -RUNTEST IDLE 8 TCK ENDSTATE IDLE; -SDR 240 TDI (FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF); -SIR 10 TDI (3FF); -RUNTEST 1003 TCK; -SIR 10 TDI (2CC); -RUNTEST 1003 TCK; -! -! -! -!CHECKING SILICON ID -! -! -! -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0089); -SIR 10 TDI (205); -RUNTEST 8 TCK; -SDR 16 TDI (FFFF) TDO (8232) MASK (FFFF); -SDR 16 TDI (FFFF) TDO (2AA2); -SDR 16 TDI (FFFF) TDO (4A82); -SDR 16 TDI (FFFF) TDO (0C2C); -SDR 16 TDI (FFFF) TDO (0000); -! -! -! -!BULK ERASE -! -! -! -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0011); -SIR 10 TDI (2F2); -RUNTEST 500003 TCK; -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0001); -SIR 10 TDI (2F2); -RUNTEST 500003 TCK; -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0000); -SIR 10 TDI (2F2); -RUNTEST 500003 TCK; -! -! -! -!PROGRAM -! -! -! -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0000); -SIR 10 TDI (2F4); -RUNTEST 8 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (79FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FCFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFE7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F3E); -RUNTEST 100 TCK; -SDR 16 TDI (79F3); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (C666); -RUNTEST 100 TCK; -SDR 16 TDI (6FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BC66); -RUNTEST 100 TCK; -SDR 16 TDI (67F6); -RUNTEST 100 TCK; -SDR 16 TDI (733F); -RUNTEST 100 TCK; -SDR 16 TDI (FF19); -RUNTEST 100 TCK; -SDR 16 TDI (AF3F); -RUNTEST 100 TCK; -SDR 16 TDI (E4CF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFCC); -RUNTEST 100 TCK; -SDR 16 TDI (CFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77DF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FDF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (EBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7E); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (A7FF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7B7B); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDF7); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BBFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FBF); -RUNTEST 100 TCK; -SDR 16 TDI (E7AF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (AFAF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77B7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBEF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F9AA); -RUNTEST 100 TCK; -SDR 16 TDI (B3FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFA); -RUNTEST 100 TCK; -SDR 16 TDI (75FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (AF6B); -RUNTEST 100 TCK; -SDR 16 TDI (EF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (B7EF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55F); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FF77); -RUNTEST 100 TCK; -SDR 16 TDI (AFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FDBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (DDF5); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAAF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFDD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (EFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDD); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (CFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBAF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (3F73); -RUNTEST 100 TCK; -SDR 16 TDI (BBFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (D9BD); -RUNTEST 100 TCK; -SDR 16 TDI (AFFC); -RUNTEST 100 TCK; -SDR 16 TDI (ECFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FCFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (FF13); -RUNTEST 100 TCK; -SDR 16 TDI (AB5B); -RUNTEST 100 TCK; -SDR 16 TDI (9F7D); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (F4DD); -RUNTEST 100 TCK; -SDR 16 TDI (A99F); -RUNTEST 100 TCK; -SDR 16 TDI (E7F7); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B9BF); -RUNTEST 100 TCK; -SDR 16 TDI (BF3F); -RUNTEST 100 TCK; -SDR 16 TDI (6FF2); -RUNTEST 100 TCK; -SDR 16 TDI (FEEE); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD8F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (B3EF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFE); -RUNTEST 100 TCK; -SDR 16 TDI (767F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFD); -RUNTEST 100 TCK; -SDR 16 TDI (B7B5); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFD); -RUNTEST 100 TCK; -SDR 16 TDI (FF22); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (9FFC); -RUNTEST 100 TCK; -SDR 16 TDI (74FF); -RUNTEST 100 TCK; -SDR 16 TDI (CC5E); -RUNTEST 100 TCK; -SDR 16 TDI (B7B9); -RUNTEST 100 TCK; -SDR 16 TDI (D9FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B76C); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (9E80); -RUNTEST 100 TCK; -SDR 16 TDI (A700); -RUNTEST 100 TCK; -SDR 16 TDI (1BE9); -RUNTEST 100 TCK; -SDR 16 TDI (78B9); -RUNTEST 100 TCK; -SDR 16 TDI (F00F); -RUNTEST 100 TCK; -SDR 16 TDI (A1FF); -RUNTEST 100 TCK; -SDR 16 TDI (2800); -RUNTEST 100 TCK; -SDR 16 TDI (60FF); -RUNTEST 100 TCK; -SDR 16 TDI (AF8F); -RUNTEST 100 TCK; -SDR 16 TDI (A004); -RUNTEST 100 TCK; -SDR 16 TDI (7875); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEDC); -RUNTEST 100 TCK; -SDR 16 TDI (3FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FAA); -RUNTEST 100 TCK; -SDR 16 TDI (7EA0); -RUNTEST 100 TCK; -SDR 16 TDI (A300); -RUNTEST 100 TCK; -SDR 16 TDI (23F9); -RUNTEST 100 TCK; -SDR 16 TDI (7EBE); -RUNTEST 100 TCK; -SDR 16 TDI (102D); -RUNTEST 100 TCK; -SDR 16 TDI (A1FF); -RUNTEST 100 TCK; -SDR 16 TDI (A858); -RUNTEST 100 TCK; -SDR 16 TDI (60FF); -RUNTEST 100 TCK; -SDR 16 TDI (A08D); -RUNTEST 100 TCK; -SDR 16 TDI (B800); -RUNTEST 100 TCK; -SDR 16 TDI (007F); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B77C); -RUNTEST 100 TCK; -SDR 16 TDI (FCFC); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (9E00); -RUNTEST 100 TCK; -SDR 16 TDI (A0E3); -RUNTEST 100 TCK; -SDR 16 TDI (17FE); -RUNTEST 100 TCK; -SDR 16 TDI (6646); -RUNTEST 100 TCK; -SDR 16 TDI (1C06); -RUNTEST 100 TCK; -SDR 16 TDI (A19F); -RUNTEST 100 TCK; -SDR 16 TDI (D804); -RUNTEST 100 TCK; -SDR 16 TDI (78FF); -RUNTEST 100 TCK; -SDR 16 TDI (57BF); -RUNTEST 100 TCK; -SDR 16 TDI (B998); -RUNTEST 100 TCK; -SDR 16 TDI (00F5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEC); -RUNTEST 100 TCK; -SDR 16 TDI (3CFC); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7E04); -RUNTEST 100 TCK; -SDR 16 TDI (A0C0); -RUNTEST 100 TCK; -SDR 16 TDI (23FE); -RUNTEST 100 TCK; -SDR 16 TDI (7858); -RUNTEST 100 TCK; -SDR 16 TDI (700F); -RUNTEST 100 TCK; -SDR 16 TDI (B99F); -RUNTEST 100 TCK; -SDR 16 TDI (C878); -RUNTEST 100 TCK; -SDR 16 TDI (60FF); -RUNTEST 100 TCK; -SDR 16 TDI (588F); -RUNTEST 100 TCK; -SDR 16 TDI (B998); -RUNTEST 100 TCK; -SDR 16 TDI (787F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B8AF); -RUNTEST 100 TCK; -SDR 16 TDI (33B3); -RUNTEST 100 TCK; -SDR 16 TDI (63EE); -RUNTEST 100 TCK; -SDR 16 TDI (E6E7); -RUNTEST 100 TCK; -SDR 16 TDI (A323); -RUNTEST 100 TCK; -SDR 16 TDI (39F7); -RUNTEST 100 TCK; -SDR 16 TDI (6EEE); -RUNTEST 100 TCK; -SDR 16 TDI (FEEC); -RUNTEST 100 TCK; -SDR 16 TDI (BD8F); -RUNTEST 100 TCK; -SDR 16 TDI (9989); -RUNTEST 100 TCK; -SDR 16 TDI (77CC); -RUNTEST 100 TCK; -SDR 16 TDI (CCE7); -RUNTEST 100 TCK; -SDR 16 TDI (B999); -RUNTEST 100 TCK; -SDR 16 TDI (99FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFE); -RUNTEST 100 TCK; -SDR 16 TDI (7737); -RUNTEST 100 TCK; -SDR 16 TDI (6946); -RUNTEST 100 TCK; -SDR 16 TDI (7673); -RUNTEST 100 TCK; -SDR 16 TDI (B736); -RUNTEST 100 TCK; -SDR 16 TDI (70A2); -RUNTEST 100 TCK; -SDR 16 TDI (6444); -RUNTEST 100 TCK; -SDR 16 TDI (5CCD); -RUNTEST 100 TCK; -SDR 16 TDI (B9B5); -RUNTEST 100 TCK; -SDR 16 TDI (19DD); -RUNTEST 100 TCK; -SDR 16 TDI (73DD); -RUNTEST 100 TCK; -SDR 16 TDI (CCC2); -RUNTEST 100 TCK; -SDR 16 TDI (B5DC); -RUNTEST 100 TCK; -SDR 16 TDI (DCFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77DF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFE); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (7F3B); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF73); -RUNTEST 100 TCK; -SDR 16 TDI (B3BF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (6F7D); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BCFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FEB); -RUNTEST 100 TCK; -SDR 16 TDI (DBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDB7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DEF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBAF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (AFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BB7F); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FAFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBE); -RUNTEST 100 TCK; -SDR 16 TDI (6FF3); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFE7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7D); -RUNTEST 100 TCK; -SDR 16 TDI (CFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFA); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB77); -RUNTEST 100 TCK; -SDR 16 TDI (FBF7); -RUNTEST 100 TCK; -SDR 16 TDI (6F6F); -RUNTEST 100 TCK; -SDR 16 TDI (CF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFBD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (DFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FB75); -RUNTEST 100 TCK; -SDR 16 TDI (6FEB); -RUNTEST 100 TCK; -SDR 16 TDI (77FA); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB3F); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFB); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6BB7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (ABFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEBF); -RUNTEST 100 TCK; -SDR 16 TDI (FDEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (F5FD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDF7); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBF7); -RUNTEST 100 TCK; -SDR 16 TDI (EDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7B9F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7AFA); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55F); -RUNTEST 100 TCK; -SDR 16 TDI (9FB7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFA); -RUNTEST 100 TCK; -SDR 16 TDI (EECD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEAE); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFF7); -RUNTEST 100 TCK; -SDR 16 TDI (6EFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDE); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFA); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B55F); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFC); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AAAD); -RUNTEST 100 TCK; -SDR 16 TDI (7BF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFD); -RUNTEST 100 TCK; -SDR 16 TDI (DFDD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FF6F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (6FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F9FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFC); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (5777); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFBD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (B37F); -RUNTEST 100 TCK; -SDR 16 TDI (DDCF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (D4ED); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFB); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (F5BF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFD); -RUNTEST 100 TCK; -SDR 16 TDI (F5FE); -RUNTEST 100 TCK; -SDR 16 TDI (BF9E); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEEF); -RUNTEST 100 TCK; -SDR 16 TDI (EF3F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EBDF); -RUNTEST 100 TCK; -SDR 16 TDI (AFED); -RUNTEST 100 TCK; -SDR 16 TDI (FFF6); -RUNTEST 100 TCK; -SDR 16 TDI (773F); -RUNTEST 100 TCK; -SDR 16 TDI (DBCE); -RUNTEST 100 TCK; -SDR 16 TDI (B8BF); -RUNTEST 100 TCK; -SDR 16 TDI (9BF3); -RUNTEST 100 TCK; -SDR 16 TDI (77FE); -RUNTEST 100 TCK; -SDR 16 TDI (FEDF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFEA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFC); -RUNTEST 100 TCK; -SDR 16 TDI (53F3); -RUNTEST 100 TCK; -SDR 16 TDI (67F1); -RUNTEST 100 TCK; -SDR 16 TDI (3F33); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBD); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FE7D); -RUNTEST 100 TCK; -SDR 16 TDI (BF5F); -RUNTEST 100 TCK; -SDR 16 TDI (FF8D); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B59C); -RUNTEST 100 TCK; -SDR 16 TDI (000F); -RUNTEST 100 TCK; -SDR 16 TDI (7FC0); -RUNTEST 100 TCK; -SDR 16 TDI (0200); -RUNTEST 100 TCK; -SDR 16 TDI (BE9F); -RUNTEST 100 TCK; -SDR 16 TDI (C3E0); -RUNTEST 100 TCK; -SDR 16 TDI (6019); -RUNTEST 100 TCK; -SDR 16 TDI (F803); -RUNTEST 100 TCK; -SDR 16 TDI (BF5F); -RUNTEST 100 TCK; -SDR 16 TDI (0098); -RUNTEST 100 TCK; -SDR 16 TDI (60FC); -RUNTEST 100 TCK; -SDR 16 TDI (F00F); -RUNTEST 100 TCK; -SDR 16 TDI (B01F); -RUNTEST 100 TCK; -SDR 16 TDI (8075); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFC); -RUNTEST 100 TCK; -SDR 16 TDI (000F); -RUNTEST 100 TCK; -SDR 16 TDI (7FA0); -RUNTEST 100 TCK; -SDR 16 TDI (0000); -RUNTEST 100 TCK; -SDR 16 TDI (BE9C); -RUNTEST 100 TCK; -SDR 16 TDI (3370); -RUNTEST 100 TCK; -SDR 16 TDI (6018); -RUNTEST 100 TCK; -SDR 16 TDI (1003); -RUNTEST 100 TCK; -SDR 16 TDI (A7DE); -RUNTEST 100 TCK; -SDR 16 TDI (80B8); -RUNTEST 100 TCK; -SDR 16 TDI (60FC); -RUNTEST 100 TCK; -SDR 16 TDI (FC0D); -RUNTEST 100 TCK; -SDR 16 TDI (B81B); -RUNTEST 100 TCK; -SDR 16 TDI (807F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B95C); -RUNTEST 100 TCK; -SDR 16 TDI (2303); -RUNTEST 100 TCK; -SDR 16 TDI (67F1); -RUNTEST 100 TCK; -SDR 16 TDI (0111); -RUNTEST 100 TCK; -SDR 16 TDI (A928); -RUNTEST 100 TCK; -SDR 16 TDI (3FF1); -RUNTEST 100 TCK; -SDR 16 TDI (6326); -RUNTEST 100 TCK; -SDR 16 TDI (1080); -RUNTEST 100 TCK; -SDR 16 TDI (BF3F); -RUNTEST 100 TCK; -SDR 16 TDI (E098); -RUNTEST 100 TCK; -SDR 16 TDI (6CFF); -RUNTEST 100 TCK; -SDR 16 TDI (F90F); -RUNTEST 100 TCK; -SDR 16 TDI (B87F); -RUNTEST 100 TCK; -SDR 16 TDI (8075); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (0303); -RUNTEST 100 TCK; -SDR 16 TDI (67E0); -RUNTEST 100 TCK; -SDR 16 TDI (0001); -RUNTEST 100 TCK; -SDR 16 TDI (A92B); -RUNTEST 100 TCK; -SDR 16 TDI (03F0); -RUNTEST 100 TCK; -SDR 16 TDI (6326); -RUNTEST 100 TCK; -SDR 16 TDI (7000); -RUNTEST 100 TCK; -SDR 16 TDI (BE9F); -RUNTEST 100 TCK; -SDR 16 TDI (E0C2); -RUNTEST 100 TCK; -SDR 16 TDI (6CFF); -RUNTEST 100 TCK; -SDR 16 TDI (FC0F); -RUNTEST 100 TCK; -SDR 16 TDI (B87F); -RUNTEST 100 TCK; -SDR 16 TDI (807F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAAE); -RUNTEST 100 TCK; -SDR 16 TDI (3181); -RUNTEST 100 TCK; -SDR 16 TDI (63E2); -RUNTEST 100 TCK; -SDR 16 TDI (6023); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (B9F3); -RUNTEST 100 TCK; -SDR 16 TDI (662E); -RUNTEST 100 TCK; -SDR 16 TDI (F682); -RUNTEST 100 TCK; -SDR 16 TDI (B8CF); -RUNTEST 100 TCK; -SDR 16 TDI (98B2); -RUNTEST 100 TCK; -SDR 16 TDI (63CC); -RUNTEST 100 TCK; -SDR 16 TDI (ECC7); -RUNTEST 100 TCK; -SDR 16 TDI (B999); -RUNTEST 100 TCK; -SDR 16 TDI (99FE); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6732); -RUNTEST 100 TCK; -SDR 16 TDI (6557); -RUNTEST 100 TCK; -SDR 16 TDI (2776); -RUNTEST 100 TCK; -SDR 16 TDI (B111); -RUNTEST 100 TCK; -SDR 16 TDI (10AB); -RUNTEST 100 TCK; -SDR 16 TDI (7364); -RUNTEST 100 TCK; -SDR 16 TDI (58DC); -RUNTEST 100 TCK; -SDR 16 TDI (B315); -RUNTEST 100 TCK; -SDR 16 TDI (4D89); -RUNTEST 100 TCK; -SDR 16 TDI (79DC); -RUNTEST 100 TCK; -SDR 16 TDI (C9CA); -RUNTEST 100 TCK; -SDR 16 TDI (B199); -RUNTEST 100 TCK; -SDR 16 TDI (99FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD9D); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFE7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7F7); -RUNTEST 100 TCK; -SDR 16 TDI (BCFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (F77F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F6FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7B); -RUNTEST 100 TCK; -SDR 16 TDI (DFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7DF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBE9); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7F7B); -RUNTEST 100 TCK; -SDR 16 TDI (FEDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (A7DF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF9); -RUNTEST 100 TCK; -SDR 16 TDI (77EF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (69FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FF3F); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (EFB7); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (DFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFD7); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDA); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FB7); -RUNTEST 100 TCK; -SDR 16 TDI (FEFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFD7); -RUNTEST 100 TCK; -SDR 16 TDI (77EF); -RUNTEST 100 TCK; -SDR 16 TDI (FF5A); -RUNTEST 100 TCK; -SDR 16 TDI (BAFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7F9); -RUNTEST 100 TCK; -SDR 16 TDI (6FFD); -RUNTEST 100 TCK; -SDR 16 TDI (DF77); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF6); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (E6FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (A5FB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FB); -RUNTEST 100 TCK; -SDR 16 TDI (F5E6); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD7D); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFA); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFA7); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (EDBB); -RUNTEST 100 TCK; -SDR 16 TDI (BF5E); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BE7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBB7); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFD7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEEE); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (7EFD); -RUNTEST 100 TCK; -SDR 16 TDI (D7F7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (D5BF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (CBBB); -RUNTEST 100 TCK; -SDR 16 TDI (B776); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDEE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (77E7); -RUNTEST 100 TCK; -SDR 16 TDI (DEEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFCB); -RUNTEST 100 TCK; -SDR 16 TDI (5DFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FBBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FB7); -RUNTEST 100 TCK; -SDR 16 TDI (EEAD); -RUNTEST 100 TCK; -SDR 16 TDI (BBEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF6); -RUNTEST 100 TCK; -SDR 16 TDI (7FFC); -RUNTEST 100 TCK; -SDR 16 TDI (DEF7); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFB5); -RUNTEST 100 TCK; -SDR 16 TDI (77FB); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBD); -RUNTEST 100 TCK; -SDR 16 TDI (DFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (6FE5); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F2F); -RUNTEST 100 TCK; -SDR 16 TDI (FFDE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDD); -RUNTEST 100 TCK; -SDR 16 TDI (ABBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F3FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFD); -RUNTEST 100 TCK; -SDR 16 TDI (77FE); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BEF7); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (B7BF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FE); -RUNTEST 100 TCK; -SDR 16 TDI (7DBF); -RUNTEST 100 TCK; -SDR 16 TDI (F7AF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFE); -RUNTEST 100 TCK; -SDR 16 TDI (F6FD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (F5E7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFC); -RUNTEST 100 TCK; -SDR 16 TDI (DFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFBD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7B33); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF3); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (EDDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFB7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (F577); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF3F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB7D); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF6); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (F5BE); -RUNTEST 100 TCK; -SDR 16 TDI (BAFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7AFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEE); -RUNTEST 100 TCK; -SDR 16 TDI (DF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDB7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFC); -RUNTEST 100 TCK; -SDR 16 TDI (FA29); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7E44); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (BE1D); -RUNTEST 100 TCK; -SDR 16 TDI (CFCA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (761F); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (56FE); -RUNTEST 100 TCK; -SDR 16 TDI (7FAF); -RUNTEST 100 TCK; -SDR 16 TDI (4FFF); -RUNTEST 100 TCK; -SDR 16 TDI (B616); -RUNTEST 100 TCK; -SDR 16 TDI (D7F4); -RUNTEST 100 TCK; -SDR 16 TDI (6FBB); -RUNTEST 100 TCK; -SDR 16 TDI (B727); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7E9D); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBF5); -RUNTEST 100 TCK; -SDR 16 TDI (EE6A); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFC); -RUNTEST 100 TCK; -SDR 16 TDI (EB49); -RUNTEST 100 TCK; -SDR 16 TDI (67F3); -RUNTEST 100 TCK; -SDR 16 TDI (B5D6); -RUNTEST 100 TCK; -SDR 16 TDI (BDE9); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (D9D8); -RUNTEST 100 TCK; -SDR 16 TDI (B3FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBD); -RUNTEST 100 TCK; -SDR 16 TDI (79E7); -RUNTEST 100 TCK; -SDR 16 TDI (CBEF); -RUNTEST 100 TCK; -SDR 16 TDI (AECE); -RUNTEST 100 TCK; -SDR 16 TDI (B1FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B9AE); -RUNTEST 100 TCK; -SDR 16 TDI (F238); -RUNTEST 100 TCK; -SDR 16 TDI (7FD3); -RUNTEST 100 TCK; -SDR 16 TDI (4B82); -RUNTEST 100 TCK; -SDR 16 TDI (A001); -RUNTEST 100 TCK; -SDR 16 TDI (7FE7); -RUNTEST 100 TCK; -SDR 16 TDI (6200); -RUNTEST 100 TCK; -SDR 16 TDI (3E81); -RUNTEST 100 TCK; -SDR 16 TDI (A61F); -RUNTEST 100 TCK; -SDR 16 TDI (07E7); -RUNTEST 100 TCK; -SDR 16 TDI (78A0); -RUNTEST 100 TCK; -SDR 16 TDI (075F); -RUNTEST 100 TCK; -SDR 16 TDI (B118); -RUNTEST 100 TCK; -SDR 16 TDI (8075); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B6AC); -RUNTEST 100 TCK; -SDR 16 TDI (0A00); -RUNTEST 100 TCK; -SDR 16 TDI (67A2); -RUNTEST 100 TCK; -SDR 16 TDI (0022); -RUNTEST 100 TCK; -SDR 16 TDI (A100); -RUNTEST 100 TCK; -SDR 16 TDI (43DC); -RUNTEST 100 TCK; -SDR 16 TDI (6200); -RUNTEST 100 TCK; -SDR 16 TDI (1A58); -RUNTEST 100 TCK; -SDR 16 TDI (A613); -RUNTEST 100 TCK; -SDR 16 TDI (8787); -RUNTEST 100 TCK; -SDR 16 TDI (74A0); -RUNTEST 100 TCK; -SDR 16 TDI (000F); -RUNTEST 100 TCK; -SDR 16 TDI (A918); -RUNTEST 100 TCK; -SDR 16 TDI (007F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FEF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (A023); -RUNTEST 100 TCK; -SDR 16 TDI (83F8); -RUNTEST 100 TCK; -SDR 16 TDI (6222); -RUNTEST 100 TCK; -SDR 16 TDI (19E2); -RUNTEST 100 TCK; -SDR 16 TDI (AF1F); -RUNTEST 100 TCK; -SDR 16 TDI (CFE7); -RUNTEST 100 TCK; -SDR 16 TDI (66B0); -RUNTEST 100 TCK; -SDR 16 TDI (C06F); -RUNTEST 100 TCK; -SDR 16 TDI (B918); -RUNTEST 100 TCK; -SDR 16 TDI (20F5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7B); -RUNTEST 100 TCK; -SDR 16 TDI (04CF); -RUNTEST 100 TCK; -SDR 16 TDI (6FE7); -RUNTEST 100 TCK; -SDR 16 TDI (6E39); -RUNTEST 100 TCK; -SDR 16 TDI (BC02); -RUNTEST 100 TCK; -SDR 16 TDI (83FC); -RUNTEST 100 TCK; -SDR 16 TDI (6200); -RUNTEST 100 TCK; -SDR 16 TDI (1960); -RUNTEST 100 TCK; -SDR 16 TDI (AE1F); -RUNTEST 100 TCK; -SDR 16 TDI (CF8F); -RUNTEST 100 TCK; -SDR 16 TDI (68BF); -RUNTEST 100 TCK; -SDR 16 TDI (402F); -RUNTEST 100 TCK; -SDR 16 TDI (B910); -RUNTEST 100 TCK; -SDR 16 TDI (007F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B89F); -RUNTEST 100 TCK; -SDR 16 TDI (3B3B); -RUNTEST 100 TCK; -SDR 16 TDI (73EE); -RUNTEST 100 TCK; -SDR 16 TDI (E666); -RUNTEST 100 TCK; -SDR 16 TDI (AA23); -RUNTEST 100 TCK; -SDR 16 TDI (19F4); -RUNTEST 100 TCK; -SDR 16 TDI (6626); -RUNTEST 100 TCK; -SDR 16 TDI (DCCC); -RUNTEST 100 TCK; -SDR 16 TDI (B18F); -RUNTEST 100 TCK; -SDR 16 TDI (99A3); -RUNTEST 100 TCK; -SDR 16 TDI (61E8); -RUNTEST 100 TCK; -SDR 16 TDI (CCE7); -RUNTEST 100 TCK; -SDR 16 TDI (B899); -RUNTEST 100 TCK; -SDR 16 TDI (98FA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7373); -RUNTEST 100 TCK; -SDR 16 TDI (6146); -RUNTEST 100 TCK; -SDR 16 TDI (7777); -RUNTEST 100 TCK; -SDR 16 TDI (B376); -RUNTEST 100 TCK; -SDR 16 TDI (72A3); -RUNTEST 100 TCK; -SDR 16 TDI (7736); -RUNTEST 100 TCK; -SDR 16 TDI (3999); -RUNTEST 100 TCK; -SDR 16 TDI (BB35); -RUNTEST 100 TCK; -SDR 16 TDI (5998); -RUNTEST 100 TCK; -SDR 16 TDI (7BDD); -RUNTEST 100 TCK; -SDR 16 TDI (DDC2); -RUNTEST 100 TCK; -SDR 16 TDI (B5CD); -RUNTEST 100 TCK; -SDR 16 TDI (9DFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BD6F); -RUNTEST 100 TCK; -SDR 16 TDI (9F9D); -RUNTEST 100 TCK; -SDR 16 TDI (7BDD); -RUNTEST 100 TCK; -SDR 16 TDI (CEEE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DBFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (3FF7); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EE73); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (E7F5); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7B); -RUNTEST 100 TCK; -SDR 16 TDI (AD9F); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFC); -RUNTEST 100 TCK; -SDR 16 TDI (F7BF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDDB); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (EFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (3FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (B7F7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FEEE); -RUNTEST 100 TCK; -SDR 16 TDI (B3FF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDD); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (B7DF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (7BF4); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FED); -RUNTEST 100 TCK; -SDR 16 TDI (DDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (D7FB); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (F6FE); -RUNTEST 100 TCK; -SDR 16 TDI (BD77); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFD); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (3FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDA); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BD7F); -RUNTEST 100 TCK; -SDR 16 TDI (DEF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FE7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFF5); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDD); -RUNTEST 100 TCK; -SDR 16 TDI (E7DF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EF5); -RUNTEST 100 TCK; -SDR 16 TDI (DFDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (E9FB); -RUNTEST 100 TCK; -SDR 16 TDI (7FEC); -RUNTEST 100 TCK; -SDR 16 TDI (FFDE); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (E7F7); -RUNTEST 100 TCK; -SDR 16 TDI (7CCC); -RUNTEST 100 TCK; -SDR 16 TDI (FF9D); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF3B); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB77); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEB); -RUNTEST 100 TCK; -SDR 16 TDI (BDFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BD3F); -RUNTEST 100 TCK; -SDR 16 TDI (DFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FF9); -RUNTEST 100 TCK; -SDR 16 TDI (ED9F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFAF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDB); -RUNTEST 100 TCK; -SDR 16 TDI (BAF6); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7B3F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (7D7E); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7E); -RUNTEST 100 TCK; -SDR 16 TDI (76F7); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (BCFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F57); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (F7DF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD7F); -RUNTEST 100 TCK; -SDR 16 TDI (B7BF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FF5F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (DBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BE7F); -RUNTEST 100 TCK; -SDR 16 TDI (AEB7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (BFBA); -RUNTEST 100 TCK; -SDR 16 TDI (BDF6); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7D5F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEB); -RUNTEST 100 TCK; -SDR 16 TDI (7FBD); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (EF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EBDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FB6); -RUNTEST 100 TCK; -SDR 16 TDI (BE5B); -RUNTEST 100 TCK; -SDR 16 TDI (57F9); -RUNTEST 100 TCK; -SDR 16 TDI (6D3F); -RUNTEST 100 TCK; -SDR 16 TDI (5DFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (CFDF); -RUNTEST 100 TCK; -SDR 16 TDI (77BF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEF5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B6AE); -RUNTEST 100 TCK; -SDR 16 TDI (FDBF); -RUNTEST 100 TCK; -SDR 16 TDI (6FF3); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (F7FD); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FAFC); -RUNTEST 100 TCK; -SDR 16 TDI (7DDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFB5); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B9AE); -RUNTEST 100 TCK; -SDR 16 TDI (7FD7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (EFBF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FE); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFD); -RUNTEST 100 TCK; -SDR 16 TDI (FBDF); -RUNTEST 100 TCK; -SDR 16 TDI (BDAF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FCF5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (DEEF); -RUNTEST 100 TCK; -SDR 16 TDI (B5FF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FD); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEA); -RUNTEST 100 TCK; -SDR 16 TDI (75FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6EF9); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFB); -RUNTEST 100 TCK; -SDR 16 TDI (AFEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (777F); -RUNTEST 100 TCK; -SDR 16 TDI (FFBB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F6F); -RUNTEST 100 TCK; -SDR 16 TDI (7DEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F5FB); -RUNTEST 100 TCK; -SDR 16 TDI (BF77); -RUNTEST 100 TCK; -SDR 16 TDI (FFBB); -RUNTEST 100 TCK; -SDR 16 TDI (7FEC); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFC); -RUNTEST 100 TCK; -SDR 16 TDI (8EDB); -RUNTEST 100 TCK; -SDR 16 TDI (6FF9); -RUNTEST 100 TCK; -SDR 16 TDI (973B); -RUNTEST 100 TCK; -SDR 16 TDI (A7BF); -RUNTEST 100 TCK; -SDR 16 TDI (4BFD); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FDDD); -RUNTEST 100 TCK; -SDR 16 TDI (BAFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7BAF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF33); -RUNTEST 100 TCK; -SDR 16 TDI (9F7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (7F77); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEF3); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7AA5); -RUNTEST 100 TCK; -SDR 16 TDI (DBFB); -RUNTEST 100 TCK; -SDR 16 TDI (AFBF); -RUNTEST 100 TCK; -SDR 16 TDI (EB7D); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FEF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFEA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD26); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (E9C4); -RUNTEST 100 TCK; -SDR 16 TDI (B94E); -RUNTEST 100 TCK; -SDR 16 TDI (F7B2); -RUNTEST 100 TCK; -SDR 16 TDI (755B); -RUNTEST 100 TCK; -SDR 16 TDI (37BF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (D4DF); -RUNTEST 100 TCK; -SDR 16 TDI (6F5F); -RUNTEST 100 TCK; -SDR 16 TDI (DEDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDD); -RUNTEST 100 TCK; -SDR 16 TDI (78FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B66E); -RUNTEST 100 TCK; -SDR 16 TDI (260D); -RUNTEST 100 TCK; -SDR 16 TDI (7DD4); -RUNTEST 100 TCK; -SDR 16 TDI (01F6); -RUNTEST 100 TCK; -SDR 16 TDI (B2A0); -RUNTEST 100 TCK; -SDR 16 TDI (2AE3); -RUNTEST 100 TCK; -SDR 16 TDI (60A0); -RUNTEST 100 TCK; -SDR 16 TDI (75A7); -RUNTEST 100 TCK; -SDR 16 TDI (BE1F); -RUNTEST 100 TCK; -SDR 16 TDI (382E); -RUNTEST 100 TCK; -SDR 16 TDI (6F8F); -RUNTEST 100 TCK; -SDR 16 TDI (CC0F); -RUNTEST 100 TCK; -SDR 16 TDI (B19B); -RUNTEST 100 TCK; -SDR 16 TDI (E075); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFED); -RUNTEST 100 TCK; -SDR 16 TDI (4623); -RUNTEST 100 TCK; -SDR 16 TDI (7EF4); -RUNTEST 100 TCK; -SDR 16 TDI (E941); -RUNTEST 100 TCK; -SDR 16 TDI (AB28); -RUNTEST 100 TCK; -SDR 16 TDI (2B75); -RUNTEST 100 TCK; -SDR 16 TDI (6660); -RUNTEST 100 TCK; -SDR 16 TDI (7BA7); -RUNTEST 100 TCK; -SDR 16 TDI (A19E); -RUNTEST 100 TCK; -SDR 16 TDI (802F); -RUNTEST 100 TCK; -SDR 16 TDI (700F); -RUNTEST 100 TCK; -SDR 16 TDI (CC0F); -RUNTEST 100 TCK; -SDR 16 TDI (A802); -RUNTEST 100 TCK; -SDR 16 TDI (E07F); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B97E); -RUNTEST 100 TCK; -SDR 16 TDI (2FFF); -RUNTEST 100 TCK; -SDR 16 TDI (77ED); -RUNTEST 100 TCK; -SDR 16 TDI (802F); -RUNTEST 100 TCK; -SDR 16 TDI (B7FA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FE3); -RUNTEST 100 TCK; -SDR 16 TDI (764A); -RUNTEST 100 TCK; -SDR 16 TDI (A1EF); -RUNTEST 100 TCK; -SDR 16 TDI (C0B1); -RUNTEST 100 TCK; -SDR 16 TDI (70CF); -RUNTEST 100 TCK; -SDR 16 TDI (D0CF); -RUNTEST 100 TCK; -SDR 16 TDI (B987); -RUNTEST 100 TCK; -SDR 16 TDI (8075); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BD7B); -RUNTEST 100 TCK; -SDR 16 TDI (CDA3); -RUNTEST 100 TCK; -SDR 16 TDI (7FE1); -RUNTEST 100 TCK; -SDR 16 TDI (6033); -RUNTEST 100 TCK; -SDR 16 TDI (A426); -RUNTEST 100 TCK; -SDR 16 TDI (53F0); -RUNTEST 100 TCK; -SDR 16 TDI (7201); -RUNTEST 100 TCK; -SDR 16 TDI (1B4A); -RUNTEST 100 TCK; -SDR 16 TDI (B81F); -RUNTEST 100 TCK; -SDR 16 TDI (8036); -RUNTEST 100 TCK; -SDR 16 TDI (630F); -RUNTEST 100 TCK; -SDR 16 TDI (C0CF); -RUNTEST 100 TCK; -SDR 16 TDI (B804); -RUNTEST 100 TCK; -SDR 16 TDI (817F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEAF); -RUNTEST 100 TCK; -SDR 16 TDI (3FBA); -RUNTEST 100 TCK; -SDR 16 TDI (63E7); -RUNTEST 100 TCK; -SDR 16 TDI (626F); -RUNTEST 100 TCK; -SDR 16 TDI (BB3B); -RUNTEST 100 TCK; -SDR 16 TDI (39F3); -RUNTEST 100 TCK; -SDR 16 TDI (6662); -RUNTEST 100 TCK; -SDR 16 TDI (FEEE); -RUNTEST 100 TCK; -SDR 16 TDI (BDC7); -RUNTEST 100 TCK; -SDR 16 TDI (B9BB); -RUNTEST 100 TCK; -SDR 16 TDI (778C); -RUNTEST 100 TCK; -SDR 16 TDI (0CE7); -RUNTEST 100 TCK; -SDR 16 TDI (B9B0); -RUNTEST 100 TCK; -SDR 16 TDI (A0EB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (7333); -RUNTEST 100 TCK; -SDR 16 TDI (6957); -RUNTEST 100 TCK; -SDR 16 TDI (7767); -RUNTEST 100 TCK; -SDR 16 TDI (B373); -RUNTEST 100 TCK; -SDR 16 TDI (70AB); -RUNTEST 100 TCK; -SDR 16 TDI (7776); -RUNTEST 100 TCK; -SDR 16 TDI (7C44); -RUNTEST 100 TCK; -SDR 16 TDI (A885); -RUNTEST 100 TCK; -SDR 16 TDI (9C91); -RUNTEST 100 TCK; -SDR 16 TDI (62DD); -RUNTEST 100 TCK; -SDR 16 TDI (CDCA); -RUNTEST 100 TCK; -SDR 16 TDI (B58D); -RUNTEST 100 TCK; -SDR 16 TDI (9DFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77CE); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFE7); -RUNTEST 100 TCK; -SDR 16 TDI (6EFF); -RUNTEST 100 TCK; -SDR 16 TDI (F777); -RUNTEST 100 TCK; -SDR 16 TDI (AEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (6EFF); -RUNTEST 100 TCK; -SDR 16 TDI (6EFF); -RUNTEST 100 TCK; -SDR 16 TDI (B3F7); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7EF); -RUNTEST 100 TCK; -SDR 16 TDI (6FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (D7FF); -RUNTEST 100 TCK; -SDR 16 TDI (76FE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BE75); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (B73E); -RUNTEST 100 TCK; -SDR 16 TDI (BA7B); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFD); -RUNTEST 100 TCK; -SDR 16 TDI (7B7B); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BD7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFB5); -RUNTEST 100 TCK; -SDR 16 TDI (77F7); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (D7FF); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BD7F); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (ABBB); -RUNTEST 100 TCK; -SDR 16 TDI (FBEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F6EE); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F77); -RUNTEST 100 TCK; -SDR 16 TDI (7EF6); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6DBD); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB73); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (B7EF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBD); -RUNTEST 100 TCK; -SDR 16 TDI (EFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FA); -RUNTEST 100 TCK; -SDR 16 TDI (6FFD); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FBE); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F7B); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB75); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (AFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6EDB); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FEF3); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (DF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFD7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEDF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (E775); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (75FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (B9DE); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (DDEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7B); -RUNTEST 100 TCK; -SDR 16 TDI (6FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FAFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (75FB); -RUNTEST 100 TCK; -SDR 16 TDI (F77F); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDBD); -RUNTEST 100 TCK; -SDR 16 TDI (7FEB); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BF7); -RUNTEST 100 TCK; -SDR 16 TDI (B66E); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDE5); -RUNTEST 100 TCK; -SDR 16 TDI (BBDF); -RUNTEST 100 TCK; -SDR 16 TDI (FF7E); -RUNTEST 100 TCK; -SDR 16 TDI (767F); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FAFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (A75F); -RUNTEST 100 TCK; -SDR 16 TDI (FF7B); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (D7DF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F77); -RUNTEST 100 TCK; -SDR 16 TDI (7EF9); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBCB); -RUNTEST 100 TCK; -SDR 16 TDI (7FF9); -RUNTEST 100 TCK; -SDR 16 TDI (FDDF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFF5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FBF6); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (E7FF); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (DF7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (A65F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FF7F); -RUNTEST 100 TCK; -SDR 16 TDI (A7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFE7); -RUNTEST 100 TCK; -SDR 16 TDI (BEFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (77DB); -RUNTEST 100 TCK; -SDR 16 TDI (DB7F); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FBF5); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FEBF); -RUNTEST 100 TCK; -SDR 16 TDI (6FF3); -RUNTEST 100 TCK; -SDR 16 TDI (2B3F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBBF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7F7); -RUNTEST 100 TCK; -SDR 16 TDI (7F7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BD77); -RUNTEST 100 TCK; -SDR 16 TDI (FFEA); -RUNTEST 100 TCK; -SDR 16 TDI (75FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (9EEF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFB); -RUNTEST 100 TCK; -SDR 16 TDI (7DFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (EFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (B37F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFEB); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F9DF); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (EDDF); -RUNTEST 100 TCK; -SDR 16 TDI (6A9F); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEEE); -RUNTEST 100 TCK; -SDR 16 TDI (F77F); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD2F); -RUNTEST 100 TCK; -SDR 16 TDI (4FFB); -RUNTEST 100 TCK; -SDR 16 TDI (C5CD); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (D6F7); -RUNTEST 100 TCK; -SDR 16 TDI (773F); -RUNTEST 100 TCK; -SDR 16 TDI (FBE1); -RUNTEST 100 TCK; -SDR 16 TDI (9DDF); -RUNTEST 100 TCK; -SDR 16 TDI (97EB); -RUNTEST 100 TCK; -SDR 16 TDI (675D); -RUNTEST 100 TCK; -SDR 16 TDI (95CF); -RUNTEST 100 TCK; -SDR 16 TDI (BE4D); -RUNTEST 100 TCK; -SDR 16 TDI (5D7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BAD6); -RUNTEST 100 TCK; -SDR 16 TDI (7BFD); -RUNTEST 100 TCK; -SDR 16 TDI (BB37); -RUNTEST 100 TCK; -SDR 16 TDI (A7E5); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (4DFF); -RUNTEST 100 TCK; -SDR 16 TDI (55DE); -RUNTEST 100 TCK; -SDR 16 TDI (8FFE); -RUNTEST 100 TCK; -SDR 16 TDI (EDF5); -RUNTEST 100 TCK; -SDR 16 TDI (5EA7); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (B9F7); -RUNTEST 100 TCK; -SDR 16 TDI (E6FB); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFC); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (77E6); -RUNTEST 100 TCK; -SDR 16 TDI (7EFA); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF9); -RUNTEST 100 TCK; -SDR 16 TDI (7BF3); -RUNTEST 100 TCK; -SDR 16 TDI (FEBF); -RUNTEST 100 TCK; -SDR 16 TDI (B33F); -RUNTEST 100 TCK; -SDR 16 TDI (FA1E); -RUNTEST 100 TCK; -SDR 16 TDI (5BFA); -RUNTEST 100 TCK; -SDR 16 TDI (EF3F); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (8B5E); -RUNTEST 100 TCK; -SDR 16 TDI (702F); -RUNTEST 100 TCK; -SDR 16 TDI (47DF); -RUNTEST 100 TCK; -SDR 16 TDI (FF12); -RUNTEST 100 TCK; -SDR 16 TDI (B69F); -RUNTEST 100 TCK; -SDR 16 TDI (C3E0); -RUNTEST 100 TCK; -SDR 16 TDI (60BD); -RUNTEST 100 TCK; -SDR 16 TDI (FF2C); -RUNTEST 100 TCK; -SDR 16 TDI (8A5F); -RUNTEST 100 TCK; -SDR 16 TDI (1AA1); -RUNTEST 100 TCK; -SDR 16 TDI (7CC2); -RUNTEST 100 TCK; -SDR 16 TDI (FA2F); -RUNTEST 100 TCK; -SDR 16 TDI (B000); -RUNTEST 100 TCK; -SDR 16 TDI (60F4); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFC); -RUNTEST 100 TCK; -SDR 16 TDI (7203); -RUNTEST 100 TCK; -SDR 16 TDI (47BD); -RUNTEST 100 TCK; -SDR 16 TDI (FCB1); -RUNTEST 100 TCK; -SDR 16 TDI (AE9C); -RUNTEST 100 TCK; -SDR 16 TDI (33D0); -RUNTEST 100 TCK; -SDR 16 TDI (60BC); -RUNTEST 100 TCK; -SDR 16 TDI (1028); -RUNTEST 100 TCK; -SDR 16 TDI (805E); -RUNTEST 100 TCK; -SDR 16 TDI (9A81); -RUNTEST 100 TCK; -SDR 16 TDI (50C3); -RUNTEST 100 TCK; -SDR 16 TDI (0B7F); -RUNTEST 100 TCK; -SDR 16 TDI (AD58); -RUNTEST 100 TCK; -SDR 16 TDI (70EE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (965F); -RUNTEST 100 TCK; -SDR 16 TDI (B3EF); -RUNTEST 100 TCK; -SDR 16 TDI (6BFE); -RUNTEST 100 TCK; -SDR 16 TDI (9E0E); -RUNTEST 100 TCK; -SDR 16 TDI (B928); -RUNTEST 100 TCK; -SDR 16 TDI (3FF4); -RUNTEST 100 TCK; -SDR 16 TDI (62CA); -RUNTEST 100 TCK; -SDR 16 TDI (11D4); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (CE40); -RUNTEST 100 TCK; -SDR 16 TDI (6F93); -RUNTEST 100 TCK; -SDR 16 TDI (F00F); -RUNTEST 100 TCK; -SDR 16 TDI (9E01); -RUNTEST 100 TCK; -SDR 16 TDI (98FD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFF9); -RUNTEST 100 TCK; -SDR 16 TDI (B3F3); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (132D); -RUNTEST 100 TCK; -SDR 16 TDI (AD2B); -RUNTEST 100 TCK; -SDR 16 TDI (03F0); -RUNTEST 100 TCK; -SDR 16 TDI (60CA); -RUNTEST 100 TCK; -SDR 16 TDI (71D0); -RUNTEST 100 TCK; -SDR 16 TDI (9BBF); -RUNTEST 100 TCK; -SDR 16 TDI (987C); -RUNTEST 100 TCK; -SDR 16 TDI (7303); -RUNTEST 100 TCK; -SDR 16 TDI (D00F); -RUNTEST 100 TCK; -SDR 16 TDI (BFF8); -RUNTEST 100 TCK; -SDR 16 TDI (7CFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (3B37); -RUNTEST 100 TCK; -SDR 16 TDI (61E6); -RUNTEST 100 TCK; -SDR 16 TDI (6267); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (B8F3); -RUNTEST 100 TCK; -SDR 16 TDI (42EE); -RUNTEST 100 TCK; -SDR 16 TDI (FCEE); -RUNTEST 100 TCK; -SDR 16 TDI (99CF); -RUNTEST 100 TCK; -SDR 16 TDI (BB99); -RUNTEST 100 TCK; -SDR 16 TDI (57CE); -RUNTEST 100 TCK; -SDR 16 TDI (CDE7); -RUNTEST 100 TCK; -SDR 16 TDI (B9F9); -RUNTEST 100 TCK; -SDR 16 TDI (99C8); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7277); -RUNTEST 100 TCK; -SDR 16 TDI (6957); -RUNTEST 100 TCK; -SDR 16 TDI (3737); -RUNTEST 100 TCK; -SDR 16 TDI (B111); -RUNTEST 100 TCK; -SDR 16 TDI (10B9); -RUNTEST 100 TCK; -SDR 16 TDI (7644); -RUNTEST 100 TCK; -SDR 16 TDI (5DCD); -RUNTEST 100 TCK; -SDR 16 TDI (BB85); -RUNTEST 100 TCK; -SDR 16 TDI (59DD); -RUNTEST 100 TCK; -SDR 16 TDI (73DC); -RUNTEST 100 TCK; -SDR 16 TDI (DDC2); -RUNTEST 100 TCK; -SDR 16 TDI (959D); -RUNTEST 100 TCK; -SDR 16 TDI (DDFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (DFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77CE); -RUNTEST 100 TCK; -SDR 16 TDI (EFEE); -RUNTEST 100 TCK; -SDR 16 TDI (BDDD); -RUNTEST 100 TCK; -SDR 16 TDI (DFE7); -RUNTEST 100 TCK; -SDR 16 TDI (7FDD); -RUNTEST 100 TCK; -SDR 16 TDI (DE7F); -RUNTEST 100 TCK; -SDR 16 TDI (9CEF); -RUNTEST 100 TCK; -SDR 16 TDI (FF3B); -RUNTEST 100 TCK; -SDR 16 TDI (7EEF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (B3FF); -RUNTEST 100 TCK; -SDR 16 TDI (BBDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (3BDF); -RUNTEST 100 TCK; -SDR 16 TDI (99EE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FD7F); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFDF); -RUNTEST 100 TCK; -SDR 16 TDI (6FD6); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EF6); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7F5); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFED); -RUNTEST 100 TCK; -SDR 16 TDI (BF3F); -RUNTEST 100 TCK; -SDR 16 TDI (FDFD); -RUNTEST 100 TCK; -SDR 16 TDI (5FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (8FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFE7); -RUNTEST 100 TCK; -SDR 16 TDI (5FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (B6ED); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEBD); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FE6); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (D5BD); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (DDDE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFE); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7DFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (9F7F); -RUNTEST 100 TCK; -SDR 16 TDI (9FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FBFB); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (BBFD); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFB); -RUNTEST 100 TCK; -SDR 16 TDI (EFBF); -RUNTEST 100 TCK; -SDR 16 TDI (9F7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9BFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEEF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (4FFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FF7E); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB7F); -RUNTEST 100 TCK; -SDR 16 TDI (57F7); -RUNTEST 100 TCK; -SDR 16 TDI (FF6F); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (5BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (89DE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5BFD); -RUNTEST 100 TCK; -SDR 16 TDI (BDF7); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFB); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9EF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFE); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (5BFE); -RUNTEST 100 TCK; -SDR 16 TDI (EDDF); -RUNTEST 100 TCK; -SDR 16 TDI (9DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7E7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FBF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (9FBE); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5BDB); -RUNTEST 100 TCK; -SDR 16 TDI (EDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FF7); -RUNTEST 100 TCK; -SDR 16 TDI (DFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9BFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7E5E); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9EFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); -RUNTEST 100 TCK; -SDR 16 TDI (DBFD); -RUNTEST 100 TCK; -SDR 16 TDI (ADBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BDE); -RUNTEST 100 TCK; -SDR 16 TDI (FDEF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFB); -RUNTEST 100 TCK; -SDR 16 TDI (DEFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FDF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (A75E); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (6DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEE); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FD); -RUNTEST 100 TCK; -SDR 16 TDI (7F76); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (9BFE); -RUNTEST 100 TCK; -SDR 16 TDI (DFDD); -RUNTEST 100 TCK; -SDR 16 TDI (5B75); -RUNTEST 100 TCK; -SDR 16 TDI (EEF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF76); -RUNTEST 100 TCK; -SDR 16 TDI (7FFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFBB); -RUNTEST 100 TCK; -SDR 16 TDI (9FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFD7); -RUNTEST 100 TCK; -SDR 16 TDI (AEFF); -RUNTEST 100 TCK; -SDR 16 TDI (F5FF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7EF); -RUNTEST 100 TCK; -SDR 16 TDI (BEDD); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (8B5E); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F37F); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77DD); -RUNTEST 100 TCK; -SDR 16 TDI (B9F7); -RUNTEST 100 TCK; -SDR 16 TDI (AFBF); -RUNTEST 100 TCK; -SDR 16 TDI (FF77); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (9D7F); -RUNTEST 100 TCK; -SDR 16 TDI (F7FD); -RUNTEST 100 TCK; -SDR 16 TDI (5BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (ADFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFA); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AEEF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7F77); -RUNTEST 100 TCK; -SDR 16 TDI (FFEE); -RUNTEST 100 TCK; -SDR 16 TDI (9DFF); -RUNTEST 100 TCK; -SDR 16 TDI (EBFB); -RUNTEST 100 TCK; -SDR 16 TDI (5DFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (BFF4); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (5DFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FB7F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (5FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9BAB); -RUNTEST 100 TCK; -SDR 16 TDI (EFFB); -RUNTEST 100 TCK; -SDR 16 TDI (57DD); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEEF); -RUNTEST 100 TCK; -SDR 16 TDI (7EDF); -RUNTEST 100 TCK; -SDR 16 TDI (DD6F); -RUNTEST 100 TCK; -SDR 16 TDI (BDB7); -RUNTEST 100 TCK; -SDR 16 TDI (777F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFD); -RUNTEST 100 TCK; -SDR 16 TDI (7F7D); -RUNTEST 100 TCK; -SDR 16 TDI (4FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFCA); -RUNTEST 100 TCK; -SDR 16 TDI (DBFB); -RUNTEST 100 TCK; -SDR 16 TDI (7BBB); -RUNTEST 100 TCK; -SDR 16 TDI (F8D5); -RUNTEST 100 TCK; -SDR 16 TDI (AABE); -RUNTEST 100 TCK; -SDR 16 TDI (E465); -RUNTEST 100 TCK; -SDR 16 TDI (69FD); -RUNTEST 100 TCK; -SDR 16 TDI (DFCF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFA); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (F6D7); -RUNTEST 100 TCK; -SDR 16 TDI (7FEA); -RUNTEST 100 TCK; -SDR 16 TDI (77F5); -RUNTEST 100 TCK; -SDR 16 TDI (BFF7); -RUNTEST 100 TCK; -SDR 16 TDI (AFF6); -RUNTEST 100 TCK; -SDR 16 TDI (7667); -RUNTEST 100 TCK; -SDR 16 TDI (1FFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5EEF); -RUNTEST 100 TCK; -SDR 16 TDI (A43F); -RUNTEST 100 TCK; -SDR 16 TDI (BA35); -RUNTEST 100 TCK; -SDR 16 TDI (717F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF5E); -RUNTEST 100 TCK; -SDR 16 TDI (803F); -RUNTEST 100 TCK; -SDR 16 TDI (77FD); -RUNTEST 100 TCK; -SDR 16 TDI (4FDD); -RUNTEST 100 TCK; -SDR 16 TDI (FFEA); -RUNTEST 100 TCK; -SDR 16 TDI (B5FF); -RUNTEST 100 TCK; -SDR 16 TDI (DB9A); -RUNTEST 100 TCK; -SDR 16 TDI (7F77); -RUNTEST 100 TCK; -SDR 16 TDI (7BF7); -RUNTEST 100 TCK; -SDR 16 TDI (BDCF); -RUNTEST 100 TCK; -SDR 16 TDI (8EFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (8A5D); -RUNTEST 100 TCK; -SDR 16 TDI (69FC); -RUNTEST 100 TCK; -SDR 16 TDI (47D6); -RUNTEST 100 TCK; -SDR 16 TDI (0B9F); -RUNTEST 100 TCK; -SDR 16 TDI (B780); -RUNTEST 100 TCK; -SDR 16 TDI (33EA); -RUNTEST 100 TCK; -SDR 16 TDI (6420); -RUNTEST 100 TCK; -SDR 16 TDI (1CCA); -RUNTEST 100 TCK; -SDR 16 TDI (B21F); -RUNTEST 100 TCK; -SDR 16 TDI (2147); -RUNTEST 100 TCK; -SDR 16 TDI (6430); -RUNTEST 100 TCK; -SDR 16 TDI (EECF); -RUNTEST 100 TCK; -SDR 16 TDI (939A); -RUNTEST 100 TCK; -SDR 16 TDI (A9EB); -RUNTEST 100 TCK; -SDR 16 TDI (4BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AEFE); -RUNTEST 100 TCK; -SDR 16 TDI (E9C3); -RUNTEST 100 TCK; -SDR 16 TDI (67B6); -RUNTEST 100 TCK; -SDR 16 TDI (0BE1); -RUNTEST 100 TCK; -SDR 16 TDI (BCF4); -RUNTEST 100 TCK; -SDR 16 TDI (03D0); -RUNTEST 100 TCK; -SDR 16 TDI (6400); -RUNTEST 100 TCK; -SDR 16 TDI (1CC4); -RUNTEST 100 TCK; -SDR 16 TDI (85FE); -RUNTEST 100 TCK; -SDR 16 TDI (A006); -RUNTEST 100 TCK; -SDR 16 TDI (500F); -RUNTEST 100 TCK; -SDR 16 TDI (22AF); -RUNTEST 100 TCK; -SDR 16 TDI (AA29); -RUNTEST 100 TCK; -SDR 16 TDI (9AEB); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BB5D); -RUNTEST 100 TCK; -SDR 16 TDI (9283); -RUNTEST 100 TCK; -SDR 16 TDI (7BEE); -RUNTEST 100 TCK; -SDR 16 TDI (4C61); -RUNTEST 100 TCK; -SDR 16 TDI (9B7D); -RUNTEST 100 TCK; -SDR 16 TDI (03FC); -RUNTEST 100 TCK; -SDR 16 TDI (5E05); -RUNTEST 100 TCK; -SDR 16 TDI (D9F0); -RUNTEST 100 TCK; -SDR 16 TDI (A01F); -RUNTEST 100 TCK; -SDR 16 TDI (C207); -RUNTEST 100 TCK; -SDR 16 TDI (7030); -RUNTEST 100 TCK; -SDR 16 TDI (DDC7); -RUNTEST 100 TCK; -SDR 16 TDI (BD9C); -RUNTEST 100 TCK; -SDR 16 TDI (C9FF); -RUNTEST 100 TCK; -SDR 16 TDI (6BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFA); -RUNTEST 100 TCK; -SDR 16 TDI (D2B0); -RUNTEST 100 TCK; -SDR 16 TDI (67EE); -RUNTEST 100 TCK; -SDR 16 TDI (4D86); -RUNTEST 100 TCK; -SDR 16 TDI (AFFD); -RUNTEST 100 TCK; -SDR 16 TDI (1BF0); -RUNTEST 100 TCK; -SDR 16 TDI (5E00); -RUNTEST 100 TCK; -SDR 16 TDI (59F0); -RUNTEST 100 TCK; -SDR 16 TDI (A01F); -RUNTEST 100 TCK; -SDR 16 TDI (8007); -RUNTEST 100 TCK; -SDR 16 TDI (7000); -RUNTEST 100 TCK; -SDR 16 TDI (119F); -RUNTEST 100 TCK; -SDR 16 TDI (9C49); -RUNTEST 100 TCK; -SDR 16 TDI (9CBF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (71EE); -RUNTEST 100 TCK; -SDR 16 TDI (EEEF); -RUNTEST 100 TCK; -SDR 16 TDI (B33B); -RUNTEST 100 TCK; -SDR 16 TDI (B1F7); -RUNTEST 100 TCK; -SDR 16 TDI (6623); -RUNTEST 100 TCK; -SDR 16 TDI (FECE); -RUNTEST 100 TCK; -SDR 16 TDI (9D8F); -RUNTEST 100 TCK; -SDR 16 TDI (D998); -RUNTEST 100 TCK; -SDR 16 TDI (59C8); -RUNTEST 100 TCK; -SDR 16 TDI (EEE3); -RUNTEST 100 TCK; -SDR 16 TDI (BBBB); -RUNTEST 100 TCK; -SDR 16 TDI (BBFA); -RUNTEST 100 TCK; -SDR 16 TDI (77FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5555); -RUNTEST 100 TCK; -SDR 16 TDI (6946); -RUNTEST 100 TCK; -SDR 16 TDI (7555); -RUNTEST 100 TCK; -SDR 16 TDI (9773); -RUNTEST 100 TCK; -SDR 16 TDI (34A3); -RUNTEST 100 TCK; -SDR 16 TDI (5776); -RUNTEST 100 TCK; -SDR 16 TDI (7C9C); -RUNTEST 100 TCK; -SDR 16 TDI (B925); -RUNTEST 100 TCK; -SDR 16 TDI (5DDD); -RUNTEST 100 TCK; -SDR 16 TDI (7B9D); -RUNTEST 100 TCK; -SDR 16 TDI (CCC2); -RUNTEST 100 TCK; -SDR 16 TDI (B199); -RUNTEST 100 TCK; -SDR 16 TDI (99FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9BF9); -RUNTEST 100 TCK; -SDR 16 TDI (DDDD); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDDD); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (FBEF); -RUNTEST 100 TCK; -SDR 16 TDI (6FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7EF); -RUNTEST 100 TCK; -SDR 16 TDI (BFEF); -RUNTEST 100 TCK; -SDR 16 TDI (3FBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (777F); -RUNTEST 100 TCK; -SDR 16 TDI (B777); -RUNTEST 100 TCK; -SDR 16 TDI (773F); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEB7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DCEF); -RUNTEST 100 TCK; -SDR 16 TDI (BDBF); -RUNTEST 100 TCK; -SDR 16 TDI (9FFE); -RUNTEST 100 TCK; -SDR 16 TDI (7F5F); -RUNTEST 100 TCK; -SDR 16 TDI (7EFF); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FDF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (77F5); -RUNTEST 100 TCK; -SDR 16 TDI (FF7D); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (9B9F); -RUNTEST 100 TCK; -SDR 16 TDI (BFDE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBA); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (DDEF); -RUNTEST 100 TCK; -SDR 16 TDI (BEBF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFA); -RUNTEST 100 TCK; -SDR 16 TDI (7EB7); -RUNTEST 100 TCK; -SDR 16 TDI (FFBD); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FEF); -RUNTEST 100 TCK; -SDR 16 TDI (DFEF); -RUNTEST 100 TCK; -SDR 16 TDI (BBFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5DFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FEFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (77FA); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BDF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (F5FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (BFBF); -RUNTEST 100 TCK; -SDR 16 TDI (BDFE); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (D6FF); -RUNTEST 100 TCK; -SDR 16 TDI (B77F); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (FBBF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF5); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F7FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5ED7); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FF77); -RUNTEST 100 TCK; -SDR 16 TDI (77FE); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFAF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFD); -RUNTEST 100 TCK; -SDR 16 TDI (F7DF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FDD); -RUNTEST 100 TCK; -SDR 16 TDI (E5FF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF7); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FABF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7EFA); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BEFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF7); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (AF7F); -RUNTEST 100 TCK; -SDR 16 TDI (EEFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FBFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5EEF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFE); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (57FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (6FEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (4FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFBF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5F6F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (5FFB); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FDFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFEF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (DFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FF8); -RUNTEST 100 TCK; -SDR 16 TDI (DDDF); -RUNTEST 100 TCK; -SDR 16 TDI (BDDF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FEFE); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFD); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BF7F); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (EEEF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFDF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFD); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (9FDF); -RUNTEST 100 TCK; -SDR 16 TDI (EFFD); -RUNTEST 100 TCK; -SDR 16 TDI (53FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (977F); -RUNTEST 100 TCK; -SDR 16 TDI (E7FF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFF3); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFE); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFB); -RUNTEST 100 TCK; -SDR 16 TDI (B7FF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (BBBF); -RUNTEST 100 TCK; -SDR 16 TDI (BEEF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFC); -RUNTEST 100 TCK; -SDR 16 TDI (F9E7); -RUNTEST 100 TCK; -SDR 16 TDI (59F3); -RUNTEST 100 TCK; -SDR 16 TDI (FFFE); -RUNTEST 100 TCK; -SDR 16 TDI (AF9E); -RUNTEST 100 TCK; -SDR 16 TDI (7CFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (F3E7); -RUNTEST 100 TCK; -SDR 16 TDI (B3E7); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (5FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (7FFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0001); -SIR 10 TDI (2F4); -RUNTEST 8 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -! -! -! -!VERIFY -! -! -! -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0000); -SIR 10 TDI (205); -RUNTEST 8 TCK; -SDR 16 TDI (FFFF) TDO (7FFF) MASK (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (79FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F3E); -SDR 16 TDI (FFFF) TDO (79F3); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (C666); -SDR 16 TDI (FFFF) TDO (6FFB); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BC66); -SDR 16 TDI (FFFF) TDO (67F6); -SDR 16 TDI (FFFF) TDO (733F); -SDR 16 TDI (FFFF) TDO (FF19); -SDR 16 TDI (FFFF) TDO (AF3F); -SDR 16 TDI (FFFF) TDO (E4CF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BFCC); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77DF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FDF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (EBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (A7FF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7B7B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6FBF); -SDR 16 TDI (FFFF) TDO (E7AF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (AFAF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (AFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77B7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBEF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F9AA); -SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (FFFA); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (AF6B); -SDR 16 TDI (FFFF) TDO (EF7F); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (AFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FDBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (DDF5); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAAF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (CFEF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (BBAF); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (3F73); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (D9BD); -SDR 16 TDI (FFFF) TDO (AFFC); -SDR 16 TDI (FFFF) TDO (ECFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FCFF); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (FF13); -SDR 16 TDI (FFFF) TDO (AB5B); -SDR 16 TDI (FFFF) TDO (9F7D); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (F4DD); -SDR 16 TDI (FFFF) TDO (A99F); -SDR 16 TDI (FFFF) TDO (E7F7); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B9BF); -SDR 16 TDI (FFFF) TDO (BF3F); -SDR 16 TDI (FFFF) TDO (6FF2); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD8F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B3EF); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (767F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (B7B5); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7DFD); -SDR 16 TDI (FFFF) TDO (FF22); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (9FFC); -SDR 16 TDI (FFFF) TDO (74FF); -SDR 16 TDI (FFFF) TDO (CC5E); -SDR 16 TDI (FFFF) TDO (B7B9); -SDR 16 TDI (FFFF) TDO (D9FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B76C); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (9E80); -SDR 16 TDI (FFFF) TDO (A700); -SDR 16 TDI (FFFF) TDO (1BE9); -SDR 16 TDI (FFFF) TDO (78B9); -SDR 16 TDI (FFFF) TDO (F00F); -SDR 16 TDI (FFFF) TDO (A1FF); -SDR 16 TDI (FFFF) TDO (2800); -SDR 16 TDI (FFFF) TDO (60FF); -SDR 16 TDI (FFFF) TDO (AF8F); -SDR 16 TDI (FFFF) TDO (A004); -SDR 16 TDI (FFFF) TDO (7875); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEDC); -SDR 16 TDI (FFFF) TDO (3FFF); -SDR 16 TDI (FFFF) TDO (7FAA); -SDR 16 TDI (FFFF) TDO (7EA0); -SDR 16 TDI (FFFF) TDO (A300); -SDR 16 TDI (FFFF) TDO (23F9); -SDR 16 TDI (FFFF) TDO (7EBE); -SDR 16 TDI (FFFF) TDO (102D); -SDR 16 TDI (FFFF) TDO (A1FF); -SDR 16 TDI (FFFF) TDO (A858); -SDR 16 TDI (FFFF) TDO (60FF); -SDR 16 TDI (FFFF) TDO (A08D); -SDR 16 TDI (FFFF) TDO (B800); -SDR 16 TDI (FFFF) TDO (007F); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B77C); -SDR 16 TDI (FFFF) TDO (FCFC); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9E00); -SDR 16 TDI (FFFF) TDO (A0E3); -SDR 16 TDI (FFFF) TDO (17FE); -SDR 16 TDI (FFFF) TDO (6646); -SDR 16 TDI (FFFF) TDO (1C06); -SDR 16 TDI (FFFF) TDO (A19F); -SDR 16 TDI (FFFF) TDO (D804); -SDR 16 TDI (FFFF) TDO (78FF); -SDR 16 TDI (FFFF) TDO (57BF); -SDR 16 TDI (FFFF) TDO (B998); -SDR 16 TDI (FFFF) TDO (00F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEC); -SDR 16 TDI (FFFF) TDO (3CFC); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (7E04); -SDR 16 TDI (FFFF) TDO (A0C0); -SDR 16 TDI (FFFF) TDO (23FE); -SDR 16 TDI (FFFF) TDO (7858); -SDR 16 TDI (FFFF) TDO (700F); -SDR 16 TDI (FFFF) TDO (B99F); -SDR 16 TDI (FFFF) TDO (C878); -SDR 16 TDI (FFFF) TDO (60FF); -SDR 16 TDI (FFFF) TDO (588F); -SDR 16 TDI (FFFF) TDO (B998); -SDR 16 TDI (FFFF) TDO (787F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B8AF); -SDR 16 TDI (FFFF) TDO (33B3); -SDR 16 TDI (FFFF) TDO (63EE); -SDR 16 TDI (FFFF) TDO (E6E7); -SDR 16 TDI (FFFF) TDO (A323); -SDR 16 TDI (FFFF) TDO (39F7); -SDR 16 TDI (FFFF) TDO (6EEE); -SDR 16 TDI (FFFF) TDO (FEEC); -SDR 16 TDI (FFFF) TDO (BD8F); -SDR 16 TDI (FFFF) TDO (9989); -SDR 16 TDI (FFFF) TDO (77CC); -SDR 16 TDI (FFFF) TDO (CCE7); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFE); -SDR 16 TDI (FFFF) TDO (7737); -SDR 16 TDI (FFFF) TDO (6946); -SDR 16 TDI (FFFF) TDO (7673); -SDR 16 TDI (FFFF) TDO (B736); -SDR 16 TDI (FFFF) TDO (70A2); -SDR 16 TDI (FFFF) TDO (6444); -SDR 16 TDI (FFFF) TDO (5CCD); -SDR 16 TDI (FFFF) TDO (B9B5); -SDR 16 TDI (FFFF) TDO (19DD); -SDR 16 TDI (FFFF) TDO (73DD); -SDR 16 TDI (FFFF) TDO (CCC2); -SDR 16 TDI (FFFF) TDO (B5DC); -SDR 16 TDI (FFFF) TDO (DCFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77DF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (7F3B); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF73); -SDR 16 TDI (FFFF) TDO (B3BF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (6F7D); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BCFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FEB); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDB7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DEF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDD); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBAF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (AFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BB7F); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FAFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FBE); -SDR 16 TDI (FFFF) TDO (6FF3); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7F7D); -SDR 16 TDI (FFFF) TDO (CFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BB77); -SDR 16 TDI (FFFF) TDO (FBF7); -SDR 16 TDI (FFFF) TDO (6F6F); -SDR 16 TDI (FFFF) TDO (CF7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFBD); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (DFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FB75); -SDR 16 TDI (FFFF) TDO (6FEB); -SDR 16 TDI (FFFF) TDO (77FA); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB3F); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7DFB); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6BB7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (ABFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (FDEF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (F5FD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DDF7); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (DDF7); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BDFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBF7); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (7B9F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7AFA); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FDEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (9FB7); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (EECD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEAE); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFF7); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFA); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B55F); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AAAD); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (6FFD); -SDR 16 TDI (FFFF) TDO (DFDD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (F9FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FEFD); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (5777); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFBD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (B37F); -SDR 16 TDI (FFFF) TDO (DDCF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (D4ED); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (F5BF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (6FFD); -SDR 16 TDI (FFFF) TDO (F5FE); -SDR 16 TDI (FFFF) TDO (BF9E); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (EF3F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EBDF); -SDR 16 TDI (FFFF) TDO (AFED); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (773F); -SDR 16 TDI (FFFF) TDO (DBCE); -SDR 16 TDI (FFFF) TDO (B8BF); -SDR 16 TDI (FFFF) TDO (9BF3); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (BDFD); -SDR 16 TDI (FFFF) TDO (FFEA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (53F3); -SDR 16 TDI (FFFF) TDO (67F1); -SDR 16 TDI (FFFF) TDO (3F33); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (FFBD); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FE7D); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (FF8D); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B59C); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (7FC0); -SDR 16 TDI (FFFF) TDO (0200); -SDR 16 TDI (FFFF) TDO (BE9F); -SDR 16 TDI (FFFF) TDO (C3E0); -SDR 16 TDI (FFFF) TDO (6019); -SDR 16 TDI (FFFF) TDO (F803); -SDR 16 TDI (FFFF) TDO (BF5F); -SDR 16 TDI (FFFF) TDO (0098); -SDR 16 TDI (FFFF) TDO (60FC); -SDR 16 TDI (FFFF) TDO (F00F); -SDR 16 TDI (FFFF) TDO (B01F); -SDR 16 TDI (FFFF) TDO (8075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFC); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (7FA0); -SDR 16 TDI (FFFF) TDO (0000); -SDR 16 TDI (FFFF) TDO (BE9C); -SDR 16 TDI (FFFF) TDO (3370); -SDR 16 TDI (FFFF) TDO (6018); -SDR 16 TDI (FFFF) TDO (1003); -SDR 16 TDI (FFFF) TDO (A7DE); -SDR 16 TDI (FFFF) TDO (80B8); -SDR 16 TDI (FFFF) TDO (60FC); -SDR 16 TDI (FFFF) TDO (FC0D); -SDR 16 TDI (FFFF) TDO (B81B); -SDR 16 TDI (FFFF) TDO (807F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B95C); -SDR 16 TDI (FFFF) TDO (2303); -SDR 16 TDI (FFFF) TDO (67F1); -SDR 16 TDI (FFFF) TDO (0111); -SDR 16 TDI (FFFF) TDO (A928); -SDR 16 TDI (FFFF) TDO (3FF1); -SDR 16 TDI (FFFF) TDO (6326); -SDR 16 TDI (FFFF) TDO (1080); -SDR 16 TDI (FFFF) TDO (BF3F); -SDR 16 TDI (FFFF) TDO (E098); -SDR 16 TDI (FFFF) TDO (6CFF); -SDR 16 TDI (FFFF) TDO (F90F); -SDR 16 TDI (FFFF) TDO (B87F); -SDR 16 TDI (FFFF) TDO (8075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (0303); -SDR 16 TDI (FFFF) TDO (67E0); -SDR 16 TDI (FFFF) TDO (0001); -SDR 16 TDI (FFFF) TDO (A92B); -SDR 16 TDI (FFFF) TDO (03F0); -SDR 16 TDI (FFFF) TDO (6326); -SDR 16 TDI (FFFF) TDO (7000); -SDR 16 TDI (FFFF) TDO (BE9F); -SDR 16 TDI (FFFF) TDO (E0C2); -SDR 16 TDI (FFFF) TDO (6CFF); -SDR 16 TDI (FFFF) TDO (FC0F); -SDR 16 TDI (FFFF) TDO (B87F); -SDR 16 TDI (FFFF) TDO (807F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAAE); -SDR 16 TDI (FFFF) TDO (3181); -SDR 16 TDI (FFFF) TDO (63E2); -SDR 16 TDI (FFFF) TDO (6023); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (B9F3); -SDR 16 TDI (FFFF) TDO (662E); -SDR 16 TDI (FFFF) TDO (F682); -SDR 16 TDI (FFFF) TDO (B8CF); -SDR 16 TDI (FFFF) TDO (98B2); -SDR 16 TDI (FFFF) TDO (63CC); -SDR 16 TDI (FFFF) TDO (ECC7); -SDR 16 TDI (FFFF) TDO (B999); -SDR 16 TDI (FFFF) TDO (99FE); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6732); -SDR 16 TDI (FFFF) TDO (6557); -SDR 16 TDI (FFFF) TDO (2776); -SDR 16 TDI (FFFF) TDO (B111); -SDR 16 TDI (FFFF) TDO (10AB); -SDR 16 TDI (FFFF) TDO (7364); -SDR 16 TDI (FFFF) TDO (58DC); -SDR 16 TDI (FFFF) TDO (B315); -SDR 16 TDI (FFFF) TDO (4D89); -SDR 16 TDI (FFFF) TDO (79DC); -SDR 16 TDI (FFFF) TDO (C9CA); -SDR 16 TDI (FFFF) TDO (B199); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD9D); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFE7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (BCFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F6FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F7B); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBE9); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7F7B); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (A7DF); -SDR 16 TDI (FFFF) TDO (7FF9); -SDR 16 TDI (FFFF) TDO (77EF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (69FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEF7); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FF3F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EFB7); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (DFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFDA); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FB7); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFBF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFD7); -SDR 16 TDI (FFFF) TDO (77EF); -SDR 16 TDI (FFFF) TDO (FF5A); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (B7F9); -SDR 16 TDI (FFFF) TDO (6FFD); -SDR 16 TDI (FFFF) TDO (DF77); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (E6FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (A5FB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (F5E6); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD7D); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFFA); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFA7); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (EDBB); -SDR 16 TDI (FFFF) TDO (BF5E); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BE7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBB7); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7EFD); -SDR 16 TDI (FFFF) TDO (D7F7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (D5BF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (CBBB); -SDR 16 TDI (FFFF) TDO (B776); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FDEE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (77E7); -SDR 16 TDI (FFFF) TDO (DEEF); -SDR 16 TDI (FFFF) TDO (BFCB); -SDR 16 TDI (FFFF) TDO (5DFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (7FB7); -SDR 16 TDI (FFFF) TDO (EEAD); -SDR 16 TDI (FFFF) TDO (BBEF); -SDR 16 TDI (FFFF) TDO (FFF6); -SDR 16 TDI (FFFF) TDO (7FFC); -SDR 16 TDI (FFFF) TDO (DEF7); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (DFB5); -SDR 16 TDI (FFFF) TDO (77FB); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (6FE5); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7F2F); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (ABBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F3FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BEF7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (F7FE); -SDR 16 TDI (FFFF) TDO (7DBF); -SDR 16 TDI (FFFF) TDO (F7AF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (F6FD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (F5E7); -SDR 16 TDI (FFFF) TDO (6FFC); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (BFBD); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (7B33); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (EDDF); -SDR 16 TDI (FFFF) TDO (BFB7); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (F577); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF3F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BB7D); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFF6); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (F5BE); -SDR 16 TDI (FFFF) TDO (BAFD); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7AFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEE); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDB7); -SDR 16 TDI (FFFF) TDO (7FFC); -SDR 16 TDI (FFFF) TDO (FA29); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7E44); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (BE1D); -SDR 16 TDI (FFFF) TDO (CFCA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (761F); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (56FE); -SDR 16 TDI (FFFF) TDO (7FAF); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (B616); -SDR 16 TDI (FFFF) TDO (D7F4); -SDR 16 TDI (FFFF) TDO (6FBB); -SDR 16 TDI (FFFF) TDO (B727); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7E9D); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BBF5); -SDR 16 TDI (FFFF) TDO (EE6A); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (EB49); -SDR 16 TDI (FFFF) TDO (67F3); -SDR 16 TDI (FFFF) TDO (B5D6); -SDR 16 TDI (FFFF) TDO (BDE9); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (D9D8); -SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (FFBD); -SDR 16 TDI (FFFF) TDO (79E7); -SDR 16 TDI (FFFF) TDO (CBEF); -SDR 16 TDI (FFFF) TDO (AECE); -SDR 16 TDI (FFFF) TDO (B1FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B9AE); -SDR 16 TDI (FFFF) TDO (F238); -SDR 16 TDI (FFFF) TDO (7FD3); -SDR 16 TDI (FFFF) TDO (4B82); -SDR 16 TDI (FFFF) TDO (A001); -SDR 16 TDI (FFFF) TDO (7FE7); -SDR 16 TDI (FFFF) TDO (6200); -SDR 16 TDI (FFFF) TDO (3E81); -SDR 16 TDI (FFFF) TDO (A61F); -SDR 16 TDI (FFFF) TDO (07E7); -SDR 16 TDI (FFFF) TDO (78A0); -SDR 16 TDI (FFFF) TDO (075F); -SDR 16 TDI (FFFF) TDO (B118); -SDR 16 TDI (FFFF) TDO (8075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6AC); -SDR 16 TDI (FFFF) TDO (0A00); -SDR 16 TDI (FFFF) TDO (67A2); -SDR 16 TDI (FFFF) TDO (0022); -SDR 16 TDI (FFFF) TDO (A100); -SDR 16 TDI (FFFF) TDO (43DC); -SDR 16 TDI (FFFF) TDO (6200); -SDR 16 TDI (FFFF) TDO (1A58); -SDR 16 TDI (FFFF) TDO (A613); -SDR 16 TDI (FFFF) TDO (8787); -SDR 16 TDI (FFFF) TDO (74A0); -SDR 16 TDI (FFFF) TDO (000F); -SDR 16 TDI (FFFF) TDO (A918); -SDR 16 TDI (FFFF) TDO (007F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (A023); -SDR 16 TDI (FFFF) TDO (83F8); -SDR 16 TDI (FFFF) TDO (6222); -SDR 16 TDI (FFFF) TDO (19E2); -SDR 16 TDI (FFFF) TDO (AF1F); -SDR 16 TDI (FFFF) TDO (CFE7); -SDR 16 TDI (FFFF) TDO (66B0); -SDR 16 TDI (FFFF) TDO (C06F); -SDR 16 TDI (FFFF) TDO (B918); -SDR 16 TDI (FFFF) TDO (20F5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7B); -SDR 16 TDI (FFFF) TDO (04CF); -SDR 16 TDI (FFFF) TDO (6FE7); -SDR 16 TDI (FFFF) TDO (6E39); -SDR 16 TDI (FFFF) TDO (BC02); -SDR 16 TDI (FFFF) TDO (83FC); -SDR 16 TDI (FFFF) TDO (6200); -SDR 16 TDI (FFFF) TDO (1960); -SDR 16 TDI (FFFF) TDO (AE1F); -SDR 16 TDI (FFFF) TDO (CF8F); -SDR 16 TDI (FFFF) TDO (68BF); -SDR 16 TDI (FFFF) TDO (402F); -SDR 16 TDI (FFFF) TDO (B910); -SDR 16 TDI (FFFF) TDO (007F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B89F); -SDR 16 TDI (FFFF) TDO (3B3B); -SDR 16 TDI (FFFF) TDO (73EE); -SDR 16 TDI (FFFF) TDO (E666); -SDR 16 TDI (FFFF) TDO (AA23); -SDR 16 TDI (FFFF) TDO (19F4); -SDR 16 TDI (FFFF) TDO (6626); -SDR 16 TDI (FFFF) TDO (DCCC); -SDR 16 TDI (FFFF) TDO (B18F); -SDR 16 TDI (FFFF) TDO (99A3); -SDR 16 TDI (FFFF) TDO (61E8); -SDR 16 TDI (FFFF) TDO (CCE7); -SDR 16 TDI (FFFF) TDO (B899); -SDR 16 TDI (FFFF) TDO (98FA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (7373); -SDR 16 TDI (FFFF) TDO (6146); -SDR 16 TDI (FFFF) TDO (7777); -SDR 16 TDI (FFFF) TDO (B376); -SDR 16 TDI (FFFF) TDO (72A3); -SDR 16 TDI (FFFF) TDO (7736); -SDR 16 TDI (FFFF) TDO (3999); -SDR 16 TDI (FFFF) TDO (BB35); -SDR 16 TDI (FFFF) TDO (5998); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (DDC2); -SDR 16 TDI (FFFF) TDO (B5CD); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD6F); -SDR 16 TDI (FFFF) TDO (9F9D); -SDR 16 TDI (FFFF) TDO (7BDD); -SDR 16 TDI (FFFF) TDO (CEEE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DBFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (3FF7); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (EE73); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (E7F5); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7F7B); -SDR 16 TDI (FFFF) TDO (AD9F); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7DFC); -SDR 16 TDI (FFFF) TDO (F7BF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDDB); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7EEF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (B7F7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (B7DF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7BF4); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FED); -SDR 16 TDI (FFFF) TDO (DDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (D7FB); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (F6FE); -SDR 16 TDI (FFFF) TDO (BD77); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (3FFB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDA); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (DEF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FE7F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (BFF5); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (E7DF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7EF5); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (E9FB); -SDR 16 TDI (FFFF) TDO (7FEC); -SDR 16 TDI (FFFF) TDO (FFDE); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (E7F7); -SDR 16 TDI (FFFF) TDO (7CCC); -SDR 16 TDI (FFFF) TDO (FF9D); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF3B); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB77); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFEB); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (BD3F); -SDR 16 TDI (FFFF) TDO (DFF7); -SDR 16 TDI (FFFF) TDO (7FF9); -SDR 16 TDI (FFFF) TDO (ED9F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (DFAF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (BAF6); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7B3F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (7D7E); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7E); -SDR 16 TDI (FFFF) TDO (76F7); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (BCFE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7F57); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (B7BF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FF5F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (DBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BE7F); -SDR 16 TDI (FFFF) TDO (AEB7); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (BFBA); -SDR 16 TDI (FFFF) TDO (BDF6); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7D5F); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FFEB); -SDR 16 TDI (FFFF) TDO (7FBD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (EF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EBDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FB6); -SDR 16 TDI (FFFF) TDO (BE5B); -SDR 16 TDI (FFFF) TDO (57F9); -SDR 16 TDI (FFFF) TDO (6D3F); -SDR 16 TDI (FFFF) TDO (5DFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (CFDF); -SDR 16 TDI (FFFF) TDO (77BF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BEFE); -SDR 16 TDI (FFFF) TDO (FEF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B6AE); -SDR 16 TDI (FFFF) TDO (FDBF); -SDR 16 TDI (FFFF) TDO (6FF3); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FAFC); -SDR 16 TDI (FFFF) TDO (7DDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFB5); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B9AE); -SDR 16 TDI (FFFF) TDO (7FD7); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (B7FE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7DFD); -SDR 16 TDI (FFFF) TDO (FBDF); -SDR 16 TDI (FFFF) TDO (BDAF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FEDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FCF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (DEEF); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (7FEA); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (6EF9); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDFB); -SDR 16 TDI (FFFF) TDO (AFEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F6F); -SDR 16 TDI (FFFF) TDO (7DEF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (F5FB); -SDR 16 TDI (FFFF) TDO (BF77); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (7FEC); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (8EDB); -SDR 16 TDI (FFFF) TDO (6FF9); -SDR 16 TDI (FFFF) TDO (973B); -SDR 16 TDI (FFFF) TDO (A7BF); -SDR 16 TDI (FFFF) TDO (4BFD); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (BAFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (7BAF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BF33); -SDR 16 TDI (FFFF) TDO (9F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (BEF3); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7AA5); -SDR 16 TDI (FFFF) TDO (DBFB); -SDR 16 TDI (FFFF) TDO (AFBF); -SDR 16 TDI (FFFF) TDO (EB7D); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (BBFE); -SDR 16 TDI (FFFF) TDO (FFEA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FD26); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (E9C4); -SDR 16 TDI (FFFF) TDO (B94E); -SDR 16 TDI (FFFF) TDO (F7B2); -SDR 16 TDI (FFFF) TDO (755B); -SDR 16 TDI (FFFF) TDO (37BF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (D4DF); -SDR 16 TDI (FFFF) TDO (6F5F); -SDR 16 TDI (FFFF) TDO (DEDF); -SDR 16 TDI (FFFF) TDO (BFDD); -SDR 16 TDI (FFFF) TDO (78FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B66E); -SDR 16 TDI (FFFF) TDO (260D); -SDR 16 TDI (FFFF) TDO (7DD4); -SDR 16 TDI (FFFF) TDO (01F6); -SDR 16 TDI (FFFF) TDO (B2A0); -SDR 16 TDI (FFFF) TDO (2AE3); -SDR 16 TDI (FFFF) TDO (60A0); -SDR 16 TDI (FFFF) TDO (75A7); -SDR 16 TDI (FFFF) TDO (BE1F); -SDR 16 TDI (FFFF) TDO (382E); -SDR 16 TDI (FFFF) TDO (6F8F); -SDR 16 TDI (FFFF) TDO (CC0F); -SDR 16 TDI (FFFF) TDO (B19B); -SDR 16 TDI (FFFF) TDO (E075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFED); -SDR 16 TDI (FFFF) TDO (4623); -SDR 16 TDI (FFFF) TDO (7EF4); -SDR 16 TDI (FFFF) TDO (E941); -SDR 16 TDI (FFFF) TDO (AB28); -SDR 16 TDI (FFFF) TDO (2B75); -SDR 16 TDI (FFFF) TDO (6660); -SDR 16 TDI (FFFF) TDO (7BA7); -SDR 16 TDI (FFFF) TDO (A19E); -SDR 16 TDI (FFFF) TDO (802F); -SDR 16 TDI (FFFF) TDO (700F); -SDR 16 TDI (FFFF) TDO (CC0F); -SDR 16 TDI (FFFF) TDO (A802); -SDR 16 TDI (FFFF) TDO (E07F); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B97E); -SDR 16 TDI (FFFF) TDO (2FFF); -SDR 16 TDI (FFFF) TDO (77ED); -SDR 16 TDI (FFFF) TDO (802F); -SDR 16 TDI (FFFF) TDO (B7FA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (7FE3); -SDR 16 TDI (FFFF) TDO (764A); -SDR 16 TDI (FFFF) TDO (A1EF); -SDR 16 TDI (FFFF) TDO (C0B1); -SDR 16 TDI (FFFF) TDO (70CF); -SDR 16 TDI (FFFF) TDO (D0CF); -SDR 16 TDI (FFFF) TDO (B987); -SDR 16 TDI (FFFF) TDO (8075); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD7B); -SDR 16 TDI (FFFF) TDO (CDA3); -SDR 16 TDI (FFFF) TDO (7FE1); -SDR 16 TDI (FFFF) TDO (6033); -SDR 16 TDI (FFFF) TDO (A426); -SDR 16 TDI (FFFF) TDO (53F0); -SDR 16 TDI (FFFF) TDO (7201); -SDR 16 TDI (FFFF) TDO (1B4A); -SDR 16 TDI (FFFF) TDO (B81F); -SDR 16 TDI (FFFF) TDO (8036); -SDR 16 TDI (FFFF) TDO (630F); -SDR 16 TDI (FFFF) TDO (C0CF); -SDR 16 TDI (FFFF) TDO (B804); -SDR 16 TDI (FFFF) TDO (817F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEAF); -SDR 16 TDI (FFFF) TDO (3FBA); -SDR 16 TDI (FFFF) TDO (63E7); -SDR 16 TDI (FFFF) TDO (626F); -SDR 16 TDI (FFFF) TDO (BB3B); -SDR 16 TDI (FFFF) TDO (39F3); -SDR 16 TDI (FFFF) TDO (6662); -SDR 16 TDI (FFFF) TDO (FEEE); -SDR 16 TDI (FFFF) TDO (BDC7); -SDR 16 TDI (FFFF) TDO (B9BB); -SDR 16 TDI (FFFF) TDO (778C); -SDR 16 TDI (FFFF) TDO (0CE7); -SDR 16 TDI (FFFF) TDO (B9B0); -SDR 16 TDI (FFFF) TDO (A0EB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (7333); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (7767); -SDR 16 TDI (FFFF) TDO (B373); -SDR 16 TDI (FFFF) TDO (70AB); -SDR 16 TDI (FFFF) TDO (7776); -SDR 16 TDI (FFFF) TDO (7C44); -SDR 16 TDI (FFFF) TDO (A885); -SDR 16 TDI (FFFF) TDO (9C91); -SDR 16 TDI (FFFF) TDO (62DD); -SDR 16 TDI (FFFF) TDO (CDCA); -SDR 16 TDI (FFFF) TDO (B58D); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77CE); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFE7); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (F777); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (6EFF); -SDR 16 TDI (FFFF) TDO (B3F7); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (6FF7); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (76FE); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BE75); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (B73E); -SDR 16 TDI (FFFF) TDO (BA7B); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7B7B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFB5); -SDR 16 TDI (FFFF) TDO (77F7); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (D7FF); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BD7F); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ABBB); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F6EE); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7EF6); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDEF); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6DBD); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB73); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FBD); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (B7FA); -SDR 16 TDI (FFFF) TDO (6FFD); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (7FBE); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7F7B); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB75); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (AFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6EDB); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FEF3); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (BFD7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BEDF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (E775); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FEE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (B9DE); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (DDEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BF7B); -SDR 16 TDI (FFFF) TDO (6FBF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FAFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFDB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (75FB); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FDBD); -SDR 16 TDI (FFFF) TDO (7FEB); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (B66E); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BDE5); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (767F); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FAFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A75F); -SDR 16 TDI (FFFF) TDO (FF7B); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (D7DF); -SDR 16 TDI (FFFF) TDO (BFBB); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7EF9); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FBCB); -SDR 16 TDI (FFFF) TDO (7FF9); -SDR 16 TDI (FFFF) TDO (FDDF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (7EEF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FBF6); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (DF7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A65F); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FF7F); -SDR 16 TDI (FFFF) TDO (A7FF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFE7); -SDR 16 TDI (FFFF) TDO (BEFE); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (77DB); -SDR 16 TDI (FFFF) TDO (DB7F); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FBF5); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFE); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (6FF3); -SDR 16 TDI (FFFF) TDO (2B3F); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (F7F7); -SDR 16 TDI (FFFF) TDO (7F7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BD77); -SDR 16 TDI (FFFF) TDO (FFEA); -SDR 16 TDI (FFFF) TDO (75FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (9EEF); -SDR 16 TDI (FFFF) TDO (FEFB); -SDR 16 TDI (FFFF) TDO (7DFD); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (EFEF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (B37F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFD); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFEB); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (F9DF); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (EDDF); -SDR 16 TDI (FFFF) TDO (6A9F); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (BEEE); -SDR 16 TDI (FFFF) TDO (F77F); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FD2F); -SDR 16 TDI (FFFF) TDO (4FFB); -SDR 16 TDI (FFFF) TDO (C5CD); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (D6F7); -SDR 16 TDI (FFFF) TDO (773F); -SDR 16 TDI (FFFF) TDO (FBE1); -SDR 16 TDI (FFFF) TDO (9DDF); -SDR 16 TDI (FFFF) TDO (97EB); -SDR 16 TDI (FFFF) TDO (675D); -SDR 16 TDI (FFFF) TDO (95CF); -SDR 16 TDI (FFFF) TDO (BE4D); -SDR 16 TDI (FFFF) TDO (5D7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (BAD6); -SDR 16 TDI (FFFF) TDO (7BFD); -SDR 16 TDI (FFFF) TDO (BB37); -SDR 16 TDI (FFFF) TDO (A7E5); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (4DFF); -SDR 16 TDI (FFFF) TDO (55DE); -SDR 16 TDI (FFFF) TDO (8FFE); -SDR 16 TDI (FFFF) TDO (EDF5); -SDR 16 TDI (FFFF) TDO (5EA7); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (B9F7); -SDR 16 TDI (FFFF) TDO (E6FB); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFC); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (77E6); -SDR 16 TDI (FFFF) TDO (7EFA); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (7FF9); -SDR 16 TDI (FFFF) TDO (7BF3); -SDR 16 TDI (FFFF) TDO (FEBF); -SDR 16 TDI (FFFF) TDO (B33F); -SDR 16 TDI (FFFF) TDO (FA1E); -SDR 16 TDI (FFFF) TDO (5BFA); -SDR 16 TDI (FFFF) TDO (EF3F); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8B5E); -SDR 16 TDI (FFFF) TDO (702F); -SDR 16 TDI (FFFF) TDO (47DF); -SDR 16 TDI (FFFF) TDO (FF12); -SDR 16 TDI (FFFF) TDO (B69F); -SDR 16 TDI (FFFF) TDO (C3E0); -SDR 16 TDI (FFFF) TDO (60BD); -SDR 16 TDI (FFFF) TDO (FF2C); -SDR 16 TDI (FFFF) TDO (8A5F); -SDR 16 TDI (FFFF) TDO (1AA1); -SDR 16 TDI (FFFF) TDO (7CC2); -SDR 16 TDI (FFFF) TDO (FA2F); -SDR 16 TDI (FFFF) TDO (B000); -SDR 16 TDI (FFFF) TDO (60F4); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFC); -SDR 16 TDI (FFFF) TDO (7203); -SDR 16 TDI (FFFF) TDO (47BD); -SDR 16 TDI (FFFF) TDO (FCB1); -SDR 16 TDI (FFFF) TDO (AE9C); -SDR 16 TDI (FFFF) TDO (33D0); -SDR 16 TDI (FFFF) TDO (60BC); -SDR 16 TDI (FFFF) TDO (1028); -SDR 16 TDI (FFFF) TDO (805E); -SDR 16 TDI (FFFF) TDO (9A81); -SDR 16 TDI (FFFF) TDO (50C3); -SDR 16 TDI (FFFF) TDO (0B7F); -SDR 16 TDI (FFFF) TDO (AD58); -SDR 16 TDI (FFFF) TDO (70EE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (965F); -SDR 16 TDI (FFFF) TDO (B3EF); -SDR 16 TDI (FFFF) TDO (6BFE); -SDR 16 TDI (FFFF) TDO (9E0E); -SDR 16 TDI (FFFF) TDO (B928); -SDR 16 TDI (FFFF) TDO (3FF4); -SDR 16 TDI (FFFF) TDO (62CA); -SDR 16 TDI (FFFF) TDO (11D4); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (CE40); -SDR 16 TDI (FFFF) TDO (6F93); -SDR 16 TDI (FFFF) TDO (F00F); -SDR 16 TDI (FFFF) TDO (9E01); -SDR 16 TDI (FFFF) TDO (98FD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFF9); -SDR 16 TDI (FFFF) TDO (B3F3); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (132D); -SDR 16 TDI (FFFF) TDO (AD2B); -SDR 16 TDI (FFFF) TDO (03F0); -SDR 16 TDI (FFFF) TDO (60CA); -SDR 16 TDI (FFFF) TDO (71D0); -SDR 16 TDI (FFFF) TDO (9BBF); -SDR 16 TDI (FFFF) TDO (987C); -SDR 16 TDI (FFFF) TDO (7303); -SDR 16 TDI (FFFF) TDO (D00F); -SDR 16 TDI (FFFF) TDO (BFF8); -SDR 16 TDI (FFFF) TDO (7CFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (3B37); -SDR 16 TDI (FFFF) TDO (61E6); -SDR 16 TDI (FFFF) TDO (6267); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (B8F3); -SDR 16 TDI (FFFF) TDO (42EE); -SDR 16 TDI (FFFF) TDO (FCEE); -SDR 16 TDI (FFFF) TDO (99CF); -SDR 16 TDI (FFFF) TDO (BB99); -SDR 16 TDI (FFFF) TDO (57CE); -SDR 16 TDI (FFFF) TDO (CDE7); -SDR 16 TDI (FFFF) TDO (B9F9); -SDR 16 TDI (FFFF) TDO (99C8); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (7277); -SDR 16 TDI (FFFF) TDO (6957); -SDR 16 TDI (FFFF) TDO (3737); -SDR 16 TDI (FFFF) TDO (B111); -SDR 16 TDI (FFFF) TDO (10B9); -SDR 16 TDI (FFFF) TDO (7644); -SDR 16 TDI (FFFF) TDO (5DCD); -SDR 16 TDI (FFFF) TDO (BB85); -SDR 16 TDI (FFFF) TDO (59DD); -SDR 16 TDI (FFFF) TDO (73DC); -SDR 16 TDI (FFFF) TDO (DDC2); -SDR 16 TDI (FFFF) TDO (959D); -SDR 16 TDI (FFFF) TDO (DDFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (DFFB); -SDR 16 TDI (FFFF) TDO (77CE); -SDR 16 TDI (FFFF) TDO (EFEE); -SDR 16 TDI (FFFF) TDO (BDDD); -SDR 16 TDI (FFFF) TDO (DFE7); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (DE7F); -SDR 16 TDI (FFFF) TDO (9CEF); -SDR 16 TDI (FFFF) TDO (FF3B); -SDR 16 TDI (FFFF) TDO (7EEF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (B3FF); -SDR 16 TDI (FFFF) TDO (BBDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (3BDF); -SDR 16 TDI (FFFF) TDO (99EE); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (FD7F); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (6FD6); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (7EF6); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (F7F5); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFED); -SDR 16 TDI (FFFF) TDO (BF3F); -SDR 16 TDI (FFFF) TDO (FDFD); -SDR 16 TDI (FFFF) TDO (5FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8FFF); -SDR 16 TDI (FFFF) TDO (DFE7); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (B6ED); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FEBD); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FE6); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (D5BD); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (DDDE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFE); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (7DFF); -SDR 16 TDI (FFFF) TDO (DDF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (9F7F); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (FBFB); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (BBFD); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (EFBF); -SDR 16 TDI (FFFF) TDO (9F7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9BFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (DFFE); -SDR 16 TDI (FFFF) TDO (6FFE); -SDR 16 TDI (FFFF) TDO (FF7E); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (57F7); -SDR 16 TDI (FFFF) TDO (FF6F); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (5BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (89DE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5BFD); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (9FFB); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9EF7); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FDFE); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5BFE); -SDR 16 TDI (FFFF) TDO (EDDF); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7E7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FBF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (9FBE); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5BDB); -SDR 16 TDI (FFFF) TDO (EDFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FF7); -SDR 16 TDI (FFFF) TDO (DFDF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9BFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7E5E); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9EFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (DBFD); -SDR 16 TDI (FFFF) TDO (ADBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BDE); -SDR 16 TDI (FFFF) TDO (FDEF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7BFB); -SDR 16 TDI (FFFF) TDO (DEFF); -SDR 16 TDI (FFFF) TDO (9FDF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (A75E); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (6DFF); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (B7FD); -SDR 16 TDI (FFFF) TDO (7F76); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (9BFE); -SDR 16 TDI (FFFF) TDO (DFDD); -SDR 16 TDI (FFFF) TDO (5B75); -SDR 16 TDI (FFFF) TDO (EEF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FF76); -SDR 16 TDI (FFFF) TDO (7FFE); -SDR 16 TDI (FFFF) TDO (FFBB); -SDR 16 TDI (FFFF) TDO (9FF7); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFD7); -SDR 16 TDI (FFFF) TDO (AEFF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (B7EF); -SDR 16 TDI (FFFF) TDO (BEDD); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8B5E); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (F37F); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (77DD); -SDR 16 TDI (FFFF) TDO (B9F7); -SDR 16 TDI (FFFF) TDO (AFBF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (9D7F); -SDR 16 TDI (FFFF) TDO (F7FD); -SDR 16 TDI (FFFF) TDO (5BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (ADFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFA); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEEF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (FFEE); -SDR 16 TDI (FFFF) TDO (9DFF); -SDR 16 TDI (FFFF) TDO (EBFB); -SDR 16 TDI (FFFF) TDO (5DFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (BFF4); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (9FFD); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (5DFF); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FB7F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (5FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9BAB); -SDR 16 TDI (FFFF) TDO (EFFB); -SDR 16 TDI (FFFF) TDO (57DD); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEEF); -SDR 16 TDI (FFFF) TDO (7EDF); -SDR 16 TDI (FFFF) TDO (DD6F); -SDR 16 TDI (FFFF) TDO (BDB7); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FFD); -SDR 16 TDI (FFFF) TDO (7F7D); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (BFCA); -SDR 16 TDI (FFFF) TDO (DBFB); -SDR 16 TDI (FFFF) TDO (7BBB); -SDR 16 TDI (FFFF) TDO (F8D5); -SDR 16 TDI (FFFF) TDO (AABE); -SDR 16 TDI (FFFF) TDO (E465); -SDR 16 TDI (FFFF) TDO (69FD); -SDR 16 TDI (FFFF) TDO (DFCF); -SDR 16 TDI (FFFF) TDO (9FFA); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (F6D7); -SDR 16 TDI (FFFF) TDO (7FEA); -SDR 16 TDI (FFFF) TDO (77F5); -SDR 16 TDI (FFFF) TDO (BFF7); -SDR 16 TDI (FFFF) TDO (AFF6); -SDR 16 TDI (FFFF) TDO (7667); -SDR 16 TDI (FFFF) TDO (1FFF); -SDR 16 TDI (FFFF) TDO (9FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5EEF); -SDR 16 TDI (FFFF) TDO (A43F); -SDR 16 TDI (FFFF) TDO (BA35); -SDR 16 TDI (FFFF) TDO (717F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (FF5E); -SDR 16 TDI (FFFF) TDO (803F); -SDR 16 TDI (FFFF) TDO (77FD); -SDR 16 TDI (FFFF) TDO (4FDD); -SDR 16 TDI (FFFF) TDO (FFEA); -SDR 16 TDI (FFFF) TDO (B5FF); -SDR 16 TDI (FFFF) TDO (DB9A); -SDR 16 TDI (FFFF) TDO (7F77); -SDR 16 TDI (FFFF) TDO (7BF7); -SDR 16 TDI (FFFF) TDO (BDCF); -SDR 16 TDI (FFFF) TDO (8EFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (8A5D); -SDR 16 TDI (FFFF) TDO (69FC); -SDR 16 TDI (FFFF) TDO (47D6); -SDR 16 TDI (FFFF) TDO (0B9F); -SDR 16 TDI (FFFF) TDO (B780); -SDR 16 TDI (FFFF) TDO (33EA); -SDR 16 TDI (FFFF) TDO (6420); -SDR 16 TDI (FFFF) TDO (1CCA); -SDR 16 TDI (FFFF) TDO (B21F); -SDR 16 TDI (FFFF) TDO (2147); -SDR 16 TDI (FFFF) TDO (6430); -SDR 16 TDI (FFFF) TDO (EECF); -SDR 16 TDI (FFFF) TDO (939A); -SDR 16 TDI (FFFF) TDO (A9EB); -SDR 16 TDI (FFFF) TDO (4BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AEFE); -SDR 16 TDI (FFFF) TDO (E9C3); -SDR 16 TDI (FFFF) TDO (67B6); -SDR 16 TDI (FFFF) TDO (0BE1); -SDR 16 TDI (FFFF) TDO (BCF4); -SDR 16 TDI (FFFF) TDO (03D0); -SDR 16 TDI (FFFF) TDO (6400); -SDR 16 TDI (FFFF) TDO (1CC4); -SDR 16 TDI (FFFF) TDO (85FE); -SDR 16 TDI (FFFF) TDO (A006); -SDR 16 TDI (FFFF) TDO (500F); -SDR 16 TDI (FFFF) TDO (22AF); -SDR 16 TDI (FFFF) TDO (AA29); -SDR 16 TDI (FFFF) TDO (9AEB); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BB5D); -SDR 16 TDI (FFFF) TDO (9283); -SDR 16 TDI (FFFF) TDO (7BEE); -SDR 16 TDI (FFFF) TDO (4C61); -SDR 16 TDI (FFFF) TDO (9B7D); -SDR 16 TDI (FFFF) TDO (03FC); -SDR 16 TDI (FFFF) TDO (5E05); -SDR 16 TDI (FFFF) TDO (D9F0); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (C207); -SDR 16 TDI (FFFF) TDO (7030); -SDR 16 TDI (FFFF) TDO (DDC7); -SDR 16 TDI (FFFF) TDO (BD9C); -SDR 16 TDI (FFFF) TDO (C9FF); -SDR 16 TDI (FFFF) TDO (6BFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BBFA); -SDR 16 TDI (FFFF) TDO (D2B0); -SDR 16 TDI (FFFF) TDO (67EE); -SDR 16 TDI (FFFF) TDO (4D86); -SDR 16 TDI (FFFF) TDO (AFFD); -SDR 16 TDI (FFFF) TDO (1BF0); -SDR 16 TDI (FFFF) TDO (5E00); -SDR 16 TDI (FFFF) TDO (59F0); -SDR 16 TDI (FFFF) TDO (A01F); -SDR 16 TDI (FFFF) TDO (8007); -SDR 16 TDI (FFFF) TDO (7000); -SDR 16 TDI (FFFF) TDO (119F); -SDR 16 TDI (FFFF) TDO (9C49); -SDR 16 TDI (FFFF) TDO (9CBF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (71EE); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (B33B); -SDR 16 TDI (FFFF) TDO (B1F7); -SDR 16 TDI (FFFF) TDO (6623); -SDR 16 TDI (FFFF) TDO (FECE); -SDR 16 TDI (FFFF) TDO (9D8F); -SDR 16 TDI (FFFF) TDO (D998); -SDR 16 TDI (FFFF) TDO (59C8); -SDR 16 TDI (FFFF) TDO (EEE3); -SDR 16 TDI (FFFF) TDO (BBBB); -SDR 16 TDI (FFFF) TDO (BBFA); -SDR 16 TDI (FFFF) TDO (77FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (5555); -SDR 16 TDI (FFFF) TDO (6946); -SDR 16 TDI (FFFF) TDO (7555); -SDR 16 TDI (FFFF) TDO (9773); -SDR 16 TDI (FFFF) TDO (34A3); -SDR 16 TDI (FFFF) TDO (5776); -SDR 16 TDI (FFFF) TDO (7C9C); -SDR 16 TDI (FFFF) TDO (B925); -SDR 16 TDI (FFFF) TDO (5DDD); -SDR 16 TDI (FFFF) TDO (7B9D); -SDR 16 TDI (FFFF) TDO (CCC2); -SDR 16 TDI (FFFF) TDO (B199); -SDR 16 TDI (FFFF) TDO (99FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9BF9); -SDR 16 TDI (FFFF) TDO (DDDD); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FDDD); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FBEF); -SDR 16 TDI (FFFF) TDO (6FFF); -SDR 16 TDI (FFFF) TDO (F7EF); -SDR 16 TDI (FFFF) TDO (BFEF); -SDR 16 TDI (FFFF) TDO (3FBF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (777F); -SDR 16 TDI (FFFF) TDO (B777); -SDR 16 TDI (FFFF) TDO (773F); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEB7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DCEF); -SDR 16 TDI (FFFF) TDO (BDBF); -SDR 16 TDI (FFFF) TDO (9FFE); -SDR 16 TDI (FFFF) TDO (7F5F); -SDR 16 TDI (FFFF) TDO (7EFF); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FDF7); -SDR 16 TDI (FFFF) TDO (7FDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BDFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFD); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (77F5); -SDR 16 TDI (FFFF) TDO (FF7D); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (9B9F); -SDR 16 TDI (FFFF) TDO (BFDE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFBA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (DDEF); -SDR 16 TDI (FFFF) TDO (BEBF); -SDR 16 TDI (FFFF) TDO (5FFA); -SDR 16 TDI (FFFF) TDO (7EB7); -SDR 16 TDI (FFFF) TDO (FFBD); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FEF); -SDR 16 TDI (FFFF) TDO (DFEF); -SDR 16 TDI (FFFF) TDO (BBFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (AFFF); -SDR 16 TDI (FFFF) TDO (5DFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FEFF); -SDR 16 TDI (FFFF) TDO (BFFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (77FA); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BDF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (F5FF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (BFBF); -SDR 16 TDI (FFFF) TDO (BDFE); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (D6FF); -SDR 16 TDI (FFFF) TDO (B77F); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (FBBF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7BFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7FF5); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F7FF); -SDR 16 TDI (FFFF) TDO (BFFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5ED7); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FF77); -SDR 16 TDI (FFFF) TDO (77FE); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (BFAF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (5FFD); -SDR 16 TDI (FFFF) TDO (F7DF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FDD); -SDR 16 TDI (FFFF) TDO (E5FF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFF7); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FABF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (7EFA); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BEFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (7FF7); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (AF7F); -SDR 16 TDI (FFFF) TDO (EEFF); -SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FBFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5EEF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFE); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (57FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (6FEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (4FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFBF); -SDR 16 TDI (FFFF) TDO (7FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5F6F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (5FFB); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FDFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFEF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (DFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FF8); -SDR 16 TDI (FFFF) TDO (DDDF); -SDR 16 TDI (FFFF) TDO (BDDF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FEFE); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BF7F); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (EEEF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFDF); -SDR 16 TDI (FFFF) TDO (7FFD); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (9FDF); -SDR 16 TDI (FFFF) TDO (EFFD); -SDR 16 TDI (FFFF) TDO (53FF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (977F); -SDR 16 TDI (FFFF) TDO (E7FF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFF3); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (BFFE); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFB); -SDR 16 TDI (FFFF) TDO (B7FF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (BBBF); -SDR 16 TDI (FFFF) TDO (BEEF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFC); -SDR 16 TDI (FFFF) TDO (F9E7); -SDR 16 TDI (FFFF) TDO (59F3); -SDR 16 TDI (FFFF) TDO (FFFE); -SDR 16 TDI (FFFF) TDO (AF9E); -SDR 16 TDI (FFFF) TDO (7CFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (F3E7); -SDR 16 TDI (FFFF) TDO (B3E7); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (5FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (7FFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (BFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0001); -SIR 10 TDI (205); -RUNTEST 8 TCK; -SDR 16 TDI (FFFF) TDO (FFFF) MASK (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SDR 16 TDI (FFFF) TDO (FFFF); -SIR 10 TDI (203); -RUNTEST 8 TCK; -SDR 13 TDI (0000); -SIR 10 TDI (2F4); -RUNTEST 8 TCK; -SDR 16 TDI (7BFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SDR 16 TDI (BFFF); -RUNTEST 100 TCK; -SDR 16 TDI (FFFF); -RUNTEST 100 TCK; -SIR 10 TDI (201); -RUNTEST 1003 TCK; -SIR 10 TDI (3FF); -RUNTEST 1000 TCK; -STATE IDLE; diff --git a/Hardware/MAX/Documentation/GR8RAM.4205B.MAX-Placement.pdf b/Hardware/MAX/Documentation/GR8RAM.4205B.MAX-Placement.pdf deleted file mode 100644 index 78ba323ef8ccbc5c396b430cea3f8dfe1a65fba5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 174005 zcmYg%2Q-`CA9uB?t!iyW?Ntp*#Hd|FC{aRUj|!qSirN&dw)UvDM$C#Gd)Kbo#1?zB zYR9Nu`+a`@_q^x4=Qua9@;vuG_kQnZU4C6COa%N$l9K=JyP)@!5H2w;XS6+~ygZjE z-1e27`wK1!;758~qOg~a?zXRiS4S&%Td1wIvyCm6q9Uc6`)gY(CrakX%Y4C0rhf za$Ft;e+cJ(0rV#o4gE#~sCA*W|f{I0uvC&TWzeDbJDQrI*! zX;wv~u-jTdV6Lq0w#&=Vq1g2eW-T=;)G z3;Kr~B%4EHk1}Tiw;S#S?8{drbi7BX-f|tLXp;Q!>Ii#6efczn{Wla0T{`B~K7X z__5GK-l;I!!E`Ujg|cfV)d&s5H?dWN`ghqR7UK^Gq`c)>>=`&pEG{*rPE+RXvR^M z>JqJL^Og%|1VMwC=7bDpIz5O?5Z`a=wA+ZY;@c8hRp*FnnS6(wUU>1balp`B!T9FG zj!gdu<GXLGCb$*r-f4rzElKTPK=OpqM%l+c{#Wtl zvoB&<4mtMISPt9R(i9LZ_yxg$Q4=cO7!W2e34!U0KJD-Gmwe8`M-ivCX-$FBEJIT; z>VPnVe&0DljYXmJu?=Ra{+YXD&Jl}yP2hM-H!VM}k75O)ai7qw74;!l_NJNjrHmtW*tBhw=e6SQWo^3#e#w*^}JzBCDrA> zuz8wIF}~{0Q+UZ})%tg(*P3!-OjY5?qltNeTGF}^uK-?d4j8~$yhCJOo3N|^sFvc! zKv?KPlc6>nSts-$GRHcrobVXNB+?t5k5~0@x#+225;HJmWc85@tk6*}L+goAw23WD zqHjSN-Prh?ql@e}=NA<_{|P>96(pYGYij>{!?<_rrmy+n1GJG_cCJ$&xM||7V*aTb+}bkR zzKaJ;pz+axBMpg})_kF{l$n~5_E#ZLs>WPL`c@62r3RlS86CgoWjKH1i!LL#M!yh5 z=XlbTcS`<3a|iVRa{Rl2lIYX^zhBH8-0@=}VcIB8ZIjd`Vh}zt7SCVuGOVZyV@+0e z&v&xKItq(FWM*`d=MupG9V9O?vU9G4!I3^yS>*RgVX@&GYb@1mIKvz2*=_m7U$FI- zajMm7Hh2(en=7!b3tf5!wno)Jz~FLb&clW3>i)+QO>xcjW`{DKOXrGfiIQj|{cIzK z#MpKDFT0V#X}PRvRtItM4coclRXv)mhujrCyxM=mJsyFzo(S^FOd~>L*C$TijVsR| z7*w^*>UWAO6J)% zuP7rJ5hWHlUbp_P8`)N{9=mNnHI|rR-y~c3ESpeB9PS=Fi{db>l|skFS&>LGT0R1Z z4daEWV*mJEIMUVvGQ$^HM8*>?QKGOl|FA@Hee!nsguRqQn}%dKdjzw|Mp=r6xaz>E z0*CK>oE3xbJ9{1h+9Ef&EhB^=W&*d0cFWV8H5C1v|9$(aS=J|by8=hOH5aW~x<@C+ zkwH~;4E}D^4UT+|c^#=1YcM^kn|0bHb*>GLbVV86e*hy4ibk{?5h#!6-hs?_rTovj z#16&-PrSdH`1J4Wr=adc=msXNyhcJ~lpV28`xx?H={?ncn*)_U#D}kL7S(mp;v=wa zN?!gwH#^Z3wd5spW;%1Z4JoZ9wWF!6^|Ibah0-j^3eC&v9T#iO{)uJY^Luk4j>hv^ zbIqhTXyVTRw{9qd?S&fqEW;Bh?UGlB^%ZP*TEo>a!7ZV%UmIe{`0Cfe7vl7&k>(MD zN5I~7aDnu2E(^IR&7*4EeyGr3h(WB@)(Z-jY2dDg*(!iF#}OyzGOJPejC1fuHicAD$n6N*Q~%a3ifY zVBF{3 zK&9-O+(NS=_J~CL*nLFih~Zk*>6siZQkU^uzwf->n(Wi) zby@6h-Y(XH%uOONfe}TIJ}*A9&JWGktk=*yr6fS~K9bz+FfYK-S3owa{u?`pydGgn zH;j9>pkT|34(Xvv%0aeI6rq9!0^LmD^}JO{@cKS885)-~`$PQ;bG{g)ZIH(n%j~Pe zs7l4FT&2FTg!jDzGf970I5%vN^ThQ`F-Z;W+{pxCFZL9znGvHWb~73A34pMYD*zrM zCZ&lF@_=9b&i}ZQ7&leohqG8uVf2`{jXHMR?K5I6x$yX~64haOxq10-hqsWGMCDdn zj9+o*vPwVRCezKi>nMe?;zBS&^r9T*hf}RT7TN{qKeR%y+5}2g{Z9;bqbqB7TC&3AMZ|1g|qMN3sA4chJ29W7r+w@ z_Esw%yqpQ>{BF^>zumleeBPP5|LW)9(W15FlIGL2_VG$phos87pQ(C$`0#mPWvi|v z=J{G|eig_?1#M4Bo_9`iCEeMnCi# zo$eEPuhD|ZDZb4mg&%yD%m~jIUG$JY{57rZ`pGvivnJ~0z z)HZl3UcTo~7pbx)=0e*V8vlwtiUo56MGzYSK!HJ&Pc(S}HSdp&gS<_ht!STprl!Ty zpyG=z^CRcs5cXX~`$RX{UG|2;?M(F;$ix@3lUE6$pyl@;PrV-cHGQzo>hWlP>J?d- z?JvEA%~MI!p)H{~B7V2S)h%1sB!BQX-=0MF9cgGTlmW=cDgLcKEjk2nE#ad5bF<2|hvUpzZ>xWm+ zHh1vxEIt97c30xd_VX%bL}mO?cZ==6EK%JY)BS0}m*Wo|>$JQD(V`OKvw9U4W^blP zo5d5%0*fGs8LTQmBK?BSSX$1kBu;sgQp-d2BE|LV&E;r$iDm!Rgd+9om+6n$!p+<+el)g*Bo7ecY8U+SZ2O4XkY(4; z4e49nIe4kS^l3AJZ=tz4VV| zw_z;H2U$1e!5lQ8LrdZD4HyAXkyiK>2tt9!*o?22_kO$WA2!DF9yp2-|1P@nRoBsW za3>iK{1x%?QC`hD7YtwFA8VHwh5UrpK#68u-Ke5%kmSozlR~JppNd_Imv|zkX%oc^O94IyONybT;rX^x116p2PzFVUGwr_$Ut|gWkyshk zRLJ&8-+O7;k>6tyF0-(RdXE}gdp0aw-G=0Eb|@7lBU95FbAJ0NdrYLM&0^K6`3F;Z ze$u%4RQ>SUJpz(wPy%HXM|3wK9b>V1us-RGka8JBY+PHk^5@+;pXHFC!y?LQ~uGx zXUXP=UmwrSCIpIg@&w9v@^sy9t<@hf`R-=v!98?DOpc=+zrU|NHCf#T@d>e5iQ+0i zCsIH8Yn1$H-3r`P#>~ky;-B8a%6GCAXwfml$C!BZ4vw7Odx3bKgI_wXl5Y45M;2+w zp9a*!$QiCjUnLir)vnH@Q7v)kk~)3I4Etu0;Bd*uq!y(B+ri!$C1ii`;8@C&Qbx_~ zJhT_;UHW-$_z8k}%~$4}G++^&P`9kucOEyVoqI7xnV=LMBWtwo-*vljCi7XYl(?ae zJxC!LPrFQ9(AG2l6K;po+^Z#M|FpQbh|ODXkWSXJ+%4V1W<>5BZG z1YZlc#{k!|_bBn+r4pGN?{N48bX6OYq0^iY&I}{t?Qz7Tn>j!j(Jpcm8v%S)T*MrN z9yMt3HuiZie(LBMTUtiD-%XE00p$U(96^jy(x3uH#TyR7B(hg_Q9+#2cr)r`Y5#3B zrs-3cubB3@*}{)68q-WKdYg~62}@o81T~^kt8nUZrnLPJiyfsT=VnheVc-! zq8@V$cu~Q1@@D>+<<_mAM?(qJZrZ9dOaSjNe*Xx+{Js!7#k}v!eK0k3+$e$i!Vtaf zwxs4M0^BO1o#SMVqjQR{5z#J*I8iK;IBk3;5fzi!{9EwIr5;@)Ksyh;T!vR;c*1A0 zpIqYzNlbfQS(F86Dq3>)CV2&NE92e`PIps65JmmjTB-@*iD?{$GyR`$4rmZ@srF$R z?Wd!EHnfA%a@}Q`AGF-elINh+4IY9!LbunnF;B8lZx7!#d;__o8|;4;w@4aa z2-Fw3@L_PcrelD4?B7#o;-2AF4sq%H#D3#-uZNrxz>}AIBiV}Ws{wIkB3BoLBkjKj>%U0P&4C+x9=2`?Y)8^0Ox)if_q_oZ25e+Ua+CChnZx)aDnztae+R=&D!8Ad&WO=urhBsdw~f z>4`l;#(Wg2OeY+&eoYPxnNQ%z0(yODSS)3QDwT*{P8fCt3CVD4-{|RB=RNctJ!Jjt z8C6VDG+%2H+{n}gX!IbkOUHK%mRq4=p9l%$__pZ#V} zU9HaaZ59RnIC$ivmYg6!6`9;pH!YWV0?kNX&b%5b_}Z+xg$|7BhFxq(g2NYID$waY zVeqQoD!5v7Kp&$4vSB72f16b|Wy$Zs7A`Rx7tY$hWybL9ZGEyb;DLQj%)`M6c8p-c zU1Pq(Ap1tqf@gey^x*GTG+UDPov$p8D)(YbcGZ+V;q~eFk^W<9z0c=-)!mdI)eO+q z*+GsT3G>)@%gp&5lO*Zl18Q4~kDe%}&!R$n=vAV>$?@_=sill`?d(UH`HrrnD9(ux z(!DKhQ*4ac@*7QsjQ(wWx14e^7J%gTgI+;98`GQ2`HsPO>ld`k7C;piE+D#XddBp? zk@-bl2FEEs62m}U(Hpf=^+Ff{yb#G$7D=0oKTgeB6b8Vw&`JE=20H9r9_)N8( zj2e{yug7;Gk;RN=Ni&FF~3{SBer@!=80CT57lbI>iWXH;K-+zMn|&M6rrH~ zDvc7q{x3ik*=FdopWMrpr$-UT<$?MSGVWayrqd@rZys=Z5u=cS# zFxw{T$;mW-I{`dOX;lug-}@%eP0}U3C(zbQEAwgS)B&c>C(%$myAgYKuzmT*`KPn0 zaP#>{XX7LFu*0f3S>nt_O*I=(s7L~PQ%5PcNi&<8{RJeUpHFnFAhk{YeqXdocnPd@ zh(b0T=GnJu>j=#^e5Oy}?>6zpOI0>rRD3vZw#-eVMTaQvwV8Vqy3}Cgj3k^Zccmqn+8t=CLo{ zZerJG^Q&1eAXGhcXcOZFD9I#$M4N>!{dxK0b=5~brhZ>$-zku;e>mgGS$Rtw7qe(X zR)Gk(yC2@H8)*5ncTSC;LJSB{;?GuK)BC(_?+V4P`8=#2<3_aOOGl*me8)`6KGxa5 z@cQ!_U3B|&m|x(1DZYu<8#M&6a3g*KQj&v}~D@) z?_Bqvg9di8@W!m~7aZyupGSJAy{l@0i`>QEIn};+LX0UB*^G=HAr$71+^TrO{iYP*rF`~W0+?JU>-85tuu)wRm7*QnV# zXGGl~;znC?;xo?4mH9t1G^j$ww~Q*0$oN1moBSU$kI;)%sbAPyZ|br$Sl;-ZlDoj0 z6#rZIG}9!p@tI;b2TiJTbq8|+88Ar9WZag~JjER7$(R9>Q>VLcYtslg2D`TZwKFzc zu0QjYQlR=jF$`zCbH(}J=)atb&+huK-e}Y`m-~55=F~sLmH*sK=Z+~+jt(IM5S#QG z_JX3uR&8Q`^xvHI80!ORrun?FfMqxoJf?oozx6xqDMRrAi_+c<096&MzOW6jkAzbA zSjiS|($gU%&hP79@#*t6JGu895EZVUlUsLs)6=8szMn%an9teOcuLd*Jl_};;9nV{ zUD+95!>HV(l=M*MV_)ClEe6iUdv{CJOALdoY5lOd2R(`AHn`!gS@Lo%I1=JnNOtwu z&Y|5{j+Q+pkvdvt;$)wF^H0(?U-I(Vj}KY%A7Z@zMZ5765pig^!vgHSWwU1{*pZ42HveTnP zG&L0t0Wn0ebpsHs_#xO7z;_DoKD`TN;C^Y!ML~cb2qf*$_WkS9bT^(<@0bzSk4xz> zRCC!n$)sRyTPscTIt?u~{PsCdB9e?I(yP^oP9LQ|0QQ>RQ5fEENgZm86!9hu|0r{x$67z7I5ciVg)RCC@M zy8;te;K2%Bd*)GI9)0InO@+fcoj15>+vr-G*ymZrjSXhZM`W8=t4%C3iqcmn5`UMJ zGC@L&q@Qz#B_5b^m+zTeR4$Ppxw@IF_9+q_gIZUuJd?P}!~f(DhiysM0tHpjCas&z zNL21F7{%zM@UOC{%0FxGbPWNC8GBei`I0UawD=6-v5F^D+N#~AuTuadEcp@zD4?r{ z{gYg|SssqfLyvsE76G8xq&@bqWy7r&uB#fQSH(Ub({@ws93@4FD8IsDJH6?YgG8K- zV%x;Ry>V8-EE)QIV8SC4o8Y*hCP-#@E9Ha3*Te?Dcfvp9RWGB9Q`w>y{-t`bT)hK$ zY@(^YkHyQ?Cskpf$FpL*5iSd8iYmDdJL>c@GZaTu7S9NMbKu&KPR@cTFC9{h}xBI4d5RAIR9@Tklk!y|9{8Rb-<=apk zUlD4Qh8`6qTbwD0#@qYKM5obb3Zkh1zs0)te0|rcD>Ys5usH-LZ9uwBAw3kuuO~Op z@Rtg8-u7#6<;k41gfd1XxAw=yjl+Lb7Kuz+n>DlxW08H(@;dE9_xojw-&@**hBcF+xtH>rtbzK%A!%`mhRy7~j| zP3-Ph^nDAb=iOF4YziSDZtC7{6U2>+rH3kGbgz97j~oj{R3(l-$y+ZyXtRh(@{AR3 z9ry7WsZNr4U`G~{wz_V`+FM%uszcft9_`26y|wh<6_xaS(_fgb8(q*V z1>5^ckEKwkVba{yU{aqNqIKs_ooFyLj}JL=>jR&ioqZf5- zT{4-5QhGjAcCV7P0YFp*Jp;o~z!kFMm z*mf)C)F|Z1(qjSq(!=~vZPd)4w+Freb}AV6ZM4QsWqv&H>(sq|za1EcvZln0BO^hgZh~vw~aoX}_}=I^_dbBo?SQ z5w9(i0=&(WF!OhgqDJHRsE?eF4NRK)4R-;AhyC(+_pEU9KVo-$^_$)E&8EyrKXz}R zbSAcH_e(P>(4$g>sGVP@fqVq;E+Xa4AC>^2xD5Xo7}{>b^+`YR+ zMDs;0JQ1VYB<)2XdJT;5IF_O>2vzPYRYQ-8_CvG=blaJ74Tla&UdUK9N*FbV?J`ar z@9LR-p@gYXd}kEH<|xp z&hIiIPBs72*pn7=_j4jb+38O%aG&k)_N48OA!hEt;H795KX-rjXW3LmAA7|KaFj9V zo=J`Py@iQJU)whUx0~ugy1|T$pWENETzzfF;1N={POuD-pnuXC1k~q zKDFu@lyUDaPcIwRQ=&`5qdzU!^y~n zu8V*(=Uj>j3aX4o(@;;{pEsjIFyAjGb+Q6~-c>_;6#AaDIgf;hS6&h(DW=kf+n+tl zjgQU4Xy!X{&)Z5 zjwVh|S2UhzD37_bZCW|K@lwNNsH@=J8rSt=+N;k|b8By<^E=Y`yc0pQ6B1L8#4zhj}<9)-_2qWOH2y=(R3wdXtc;?oJz^?NL4-3~Q5<^7w< zU1tiNP=343Gy7iR>M7)h1p+s!q4uKx)K%8IM9&8+vfoQQ!HfMV+|vk z<|ajs?xwzsBy_?sZQ?{%=TbjaUGPhd+~&}LuMzp>JF=wsI@204y=t05*NW3~0^WyAaN zD=m?4`MHd0kIO4WAbRhLTI=NWeB81ipwz^ciFWvO`o4Xx2_`BJM?%rtaG$3J!1&H0@<%O8)!1+@Q`@GO;JMGYMN!D5{%O0*}sZ5dU#zZI>{Q z&LnsYf{bls|L9ibqT!c0m5!{jR!*aqV;Y!m+$k_AH1NYm-gTr!*3~>_7b>Z6=<8e% zIQKbx@iHbf#7t*#UQ&ue8uXvF1{Cnc%r!gg=8%| zZyN+)sNqv<@}QfJK}0Pokwa-~iyE-=@nl&uO{<_g8%vlK)c7M6M3pJbD!$tfJu&@| z4uChTCm8c0A%-3ra0Aaf__Y?qdr)wq1y-sm28158+o((3GJVEL^6r4a8YxW%B9YFp zaf-|U3!iZ62=-z=T(2mdqXLQ&9LWc4kFdP7P%F>;v{3(wG=qWln6fup>&#|_Co-aJ z#ALsifGv1TuI>%>gYUR?=iGSZqu?V@qtB^{z$$oa3Rq#2X4`=i-|;%xKpq1AY*)VL>d>8cYbjLhr*;vT-|7myBXj zsxaxMBk9Hm9Go$41Y^B+CldvR6vJZtx3q>Bi0H?IPC7l=Vv( zC*87C=km+*Z7S~M0u*fRrOZ9kE;49NM{k0>^XGmWola~AWA=HT$` zy?!2Q%rbcK{mp9mxL&3RV6NdnifH!vHd#ew$-PrwuLe=t|RT_=_$rOU4iXNy3sU zR-V8xLntSeaVzb_TyAM#wEe47!}g(1Vt=mP(iqfZvs0;=u_BUC0FdY z@uFRtwsK>;>q~fIp27B2hbPdo&NutmM2p_S_4i|dYrv7p6;05%e*8R`30y%K^uxz? zejk~SlsgA+?MwgZf8l%X3Od?)R-mcPZ%WjkmnTQL9?0r{EHu=lMFmJWJHz%4nfpvv zeeXylSf>^|K>HqKjYsY+87LX*bPb9!_nJ&~$};WUZ{BS!abqf-kQ2bOi}q$(g@}0UPhZ>U`&M}s@rAgL@S2Ulf*`jsv`XE>am-O&OQjOUp0{Y0&qmr z{=@@#R^bYO4v_^MU_8)g7BtZ3X`s)ADeD6)xkQ6^8e+H|ZD`SFFM);RjkorOTV=pi z>+M_qyQm?Gh75QaccjtAWCan#R3j3XIuDk17=!@lpR6Eel7yJXulph8V!h4eB)m2U z-RrgbsVegAojVE+nMI$r*%T+!|WUTwcZyf9pyam->8 zcy^#kb4804-gPK4!xR=g6!Wv|_Vmzc+K+AKd=o_{YXAn%G4?_BWWQE4e7IT7!P`y6 z8-{PRtZnyG%y$YYwBCdRYVAMR^&N}Tk`UMU7mss5vtJ?mHa^_2fU1Cn(jzbFh@B|Q zo!{d#?@H=5fr-K1qJLWhnQ>nfEh0uAhbg!_a)nGyQOhOtQ?|Ea^dhqlThh^E345QL zE3Gf0tr%L91x@a3tO6?pZy?YDaEZ++`7~Zcdyf+IEjDk=OF=9im@G0@FedJLLf4)? zzXo8*IRS}alZ)T^i}NydA;|v3f4sM;{)kd-*?OeKAAZZW6w%rBLB05~BKHmQ ziEiZ8{8+5A8a#xC-K2$;2DRw1Xrn3uMy-WF(N)U9ECtV99>AEi_6~bkhr_p|}CfHKNmFgXJmBieV~5bL=1 zWENxj9T*PW6Z80W;Q%6LdE|&qL!{@4>~hM;_Pi^X|97tuGbPcAAFvpQJHOvp$WM!( zOeTy#P~8ooLj(PWX&Lxb1wf^V*xU$S#^xmzim+^M6aw$;N1Ns3J_4wHGQ;*&sr%UX z|6C;XpZP#mxywd@Zgjj!sSheO~I0uq&>GE+8I zY!9R0h)9GXF=A229_#c%=qy&^`a5QfT}rB^0)1SeRGOlh!MnCnjzK?+iK%u>Gzcxa ziU2gQF?k=INMDx!w$ReLP(*Wwy+H8XQH9)GovRYnPwX97HbHNGAQetd7nT$YAnsk&{^($MK4|Op5 zzSEQ)mVCOe&()$5%@v}$;$(&=Z9BoG?uu`_$sJzlZt}DyB|~H?3S?}J+yHPSsSdy$ zgJrhqg>qaZvi2-Xs8$ah?-6!K1QtBbhs0FP~EpwJGFp7+kHvy>ZJw zp~;R#t^+@ttHA3pUd%ZFC61ePmz`qmaP|(w-xGh{+u=a6BQi(!OkrUl5|tI;WunZ4 zl!t(Rer$Y1-S7bL-VF_O`9ky8S%`ll*ORF}st5NEiHtlM-Sf6@WzQxd`FRxyhytXO> z?qSD=A}$0Cx !I>W3yfrP%s0S4|E11_`L<`15e#tYdnhXqS&Qu$p2;M@RDM4OoE zq5^nhllc}V>*o{?O!6HI5A4s?sMD?&W{>0cu$&4a`Moz57fI5;_Y{3W)8GjJpe|ka(?Qurh9S`+*fs-hUv9uI8eiq;(#5Pj6~`t$&m!xdgEM=bm+ zS+14_E$xS>b2o+MDF%0N zyL2+&=qV~Ed)U5CA;R~SB~k!f9(>FT!|N8F0PK<}qGBAKAzNDQzaW;h?MD(jD*1Yg zQ^`ei|Mza?X`b>Sm|BF?PbnQH&b=?-M=ZC)e^&U_dUn-H24s^J-r|6M?l5lAxQ5LO zdLzv}S~hO#2Z%EJlYD;sRd)JBU&QeA|Dt+ZL92kmj!t&dzKn+y=+TOALD-CyByGj9 z$4#Qtb1#M6px-HKI#moY z7^AO2*y4uxmfE7R_@w=7{)e@wE)8P8J56U7+F{OOr020kwbd9v@JJ6+SXFr_XCWz) zfNi!;Q$+iv(6WGi*FOSv|G!F5VK8`dGe3VLGwEBMCuS^dBEm7E3yE4ZlQ`N_sM3iP zrs(B_7)TZbqHVw?@z{LL9M9MH4e$CDBX23B*BnM$p$^cl&tZ6zh8(79gU)U)NMU2N zB%EMhBJG$=Tc`l=-6>v&HbGID$=XlM|1(xW9OeDm$2%(v=dJhGT{scWcMtD)X!u!v z_kXVrWpGfs;k~}jT+pwLwYKR?qX3{+Lz?OIod|3Ea4wt6^k!ln`q#=V6zY1&LVl+# zKipxPv$6xoREeGf8CyhAqbRVdkO%*ZLpOCldtw^K5e&-&>a z`9fk=gojplBU$)g)a2V6*8x<&`s&Hw!4`0Dav2(bWwqwp6xA$&T{h;C{8^~sF%`2y5!GsFaRlqa1 zHA?5L7yvvdx!+eer9dkbk%(Wl2G#Zu3t* z9Di*dx;`S{&FzAGkc|z+@9Hy#-`#ep1C(*2vG|_`_JD)J;S7sblRM_v?I@v~8$b9w zrq=^+oYy%nl26P(I(^t2#|k+J`2Gb$Ep&;x zlXJ88;3T3W6v$tm9IPj&*eL?K|B6l-fJl~) zvbzD*5;>V&rj|^$nwS?Z{Ma6xo~r^V>ju!u+WwzNU~wI?C)(M#O6YQ{Zm)O=l^_K%uS28|Hayrs%+*UfV0dG zWRHJBuBC7%mV=;E;nW$~HkXxfG=t*<{2 zUXI&C8u=gYHDw0Gl$NVFzM`r+O?l-kq2%LZ@cwZQKIc5ZNEDFYB=%SH0E*lA5c8jm zJpRl{r-l+365-7?!9(YNo9n}9Fw zic3*mxZ>^k?6YmfGzz9=alAWcrGL+doQmMz1d^!5A%tgTD0za=Aq~> z@5SV_vKzL8)>#ubAwvXR;?n2$sGc7t zn?%Je0+qa_T>s~l2xSJrEdm!Vg&hs#GZU9i$(V=K1Vhw4cw~=0L_CrsK>5M0AoQ-) z+V^rw8K9sPO1_kV@`U4tTG_-^8J9n?7-h1FUmJLcBXCiEWyjDU4EV=Qbvy?b{8f0h zzihIm1Sh_cGHt@Ue7VaxE<_R{tPa<7P04btCeN`Iu%35er+w}4DF5+QV_(JmOYIuxCu_Fr?P>kn8* z0t&uC8Z^oHTJ?Pw5at^$56DBN%(rtOwJ$*FMY5q!PN)1;WD(CP%Ip*N1Hy^uEc`K2 z5K?)wYAewvpu_Xuxi50E2IQ=M2j#Znzv^?6EIzz`Qjlp(-pSMnoK#T?loL2iTXF7$ zqBwW~-C_M!$80tag-9hx$MEOg#SGIgd<^M&XAY<>WE;30`C@A}dX#BVS)wcqMCt}^ z^?R`gph}drg2SNW4LCsd&SIB-sD5qnIye|H0M@noC`&O`+WD9w?GLsC9C|fBQ5o8@ zkh`6i6fr}8@vpZFsn|g3uDTPz;`8MvH7YC=XK|sHWsL*1D7AS2i8LRF{IaTvvj~k& zB;z^ILK%|+Y1f$ZHxP~1HbWK?kAvr0fgcM~{{8&n=6}v3ru;>*aF#Td3?(#YBIM|V zy58`qd+)c&w?7i+LZu&}3^M=5ZGoO3OMruICi^=wHXpDjZbgQH$N#L`-nZbbX>4B3(i*|AO&L!x?S2SJR-2?LTB%=po8h;-r zR$Q#A`PW=82XL+5%oAXSZ-Q@%(D^Axw77BMCMG|~TERehj2N3uZ9F8oeKNrGB5A@UoDKj6A; zn}xDW4t~KBXjhVYn}c4%QGNfljWHLDU#(|4Fkt66OJ&>hZ{G{90O_Era3U1AYzqbu z2^&2FB)@EMBh>aw(%JM;%i}sw4Kh?`WTEmbc;qqvRmfV46!N0WN@hYf&`p2F#SIT(`@H}KHyPom<| z`h$Cb$FqJ?EOa)0GuJ~{Q5E7e<?Buh(Wu=*p);jG{bdM`%UJ3TLapW@4bd`02l7Ld+3b@tVn;h|Ao_n)6PV;aU6Hht2!N$BuB=u$0}ez^S-B$;H!3HwKe&+3^{91K6Nzu?H~L zBeWQ2f8`Gh+^It!SWNC`q?-XpS`v6E{EnW%Q7G6b?MS`^5J(g4mth1ls-7%>44#Lt zwtKZy)+vC=Lr19C&+g2ya%XivfS{VA6*o+XK-}f{&g30!wdJM30>x&YGF_{EiZP&1+kcXC`SbXNa}$Dg`+LrQO3|M5?|V{G zTSuwz#O>}ef!N)8qJ6R+9{r)w@_EI)pR|->@I{BUO{xoJ(s2}u*TJijqtTvLo(Y0L zDH!xlMgudz_S~F2qHVCmGwtxr;kmBx-iG&dmz!KU=W)_>h#zu3q*0V*JNZoVdq*wH zP(y5=|LR?RQ?O-+8tR8Lf(G3FeHZjf0RQPY$0yD4WQa?j_(@>ki?#yD=Kp13R33=| zdqN(bkZbDzoG!6Ucs>vYga#?v1jiKPZJ(iTGxw(6`KRr=;YTesAQ)Zrq%t;4v$+^7 z4Y)9&h_CiyK&r3;vsfO71i*gRhDGISB7WJae_w(vDmH;w+Wz*YV8@(!^erRf*avd) zQJdzq!l%*7BKyPbG=ZSoq$AHd=+%?U-YSNZr=S4Tw^wR@W=3ew%(!Z6Y$jZy+LbPh2zO2ZH;GIV#BAPn8o zD%~KRqmq(J3W(gz``&fe`o6z#xpX*l&e?lE&#xYoVVcM!)<2BC;_Bf0rcX?~e4AN| zJ=IU7rhvIT%VO=NY4{GQBE9*#k7c`MuNn-_HwCy_%$Qbmt3iX3bg$TZ=_d<0xornK zA?syPd%!$r;_z)pb|cNVom->5q1q@!4R#4?N{s#rtszU1!JxQs!A2Jh?qSu< zN!a<|we2H{;Wt#j(i4*WSMQv$DP@27dnCEGd*=M=tvDmjc;rfEZR`u(7xIz+72V`+ zbQK>6Jou03hRCV6GV-0_Y)spwUO|pS@EN9xt|)H6p^OIG`uZw~!tZB%#DKAT)INda zEfrouI7h$*>TBa5fwkG7{ZPfewdn z6Px8?0E7|DjqeKAEUrPE;S|2>og|M(7EqFGjZ7mbgpN>YS(A!@PEw4m7twA^nR`l- zKWykR^jKWAd^r8nB7VwMG?Dh#BdyO3WgX)bIy<87Lo$#^x8D22BycseHf8($_H!An z_Q074L+36-A%^hP9FN_&3L>G46{uMmwl_+#mh$M;9_s<)F*`~-4gd+t1BE#m@KKed z6DxwzK7@Edp(X#(lbpjMpan>YR^7Pn7CSCeWoBxAkii0nbEpMXWr+s#5k*ST)87q&{>%N@Fj=a2K%Gy|jK=hU=bu zHus^W6vtZMfMr$L8ozi=aYQ*^iX{SRABoft46>)9n#TIWzhhj8%cNkGpPN}!y9D@G zxF7Q*#4=CGZ2$h|6#!uye74svpU7c=z48{g{Bloco-G9b`&VUDjiYv8Wk_I6%Wcl- zeQ&33=^j>ZJRMqXgSh9ln(>6F@|dUhn;!U)BS^FrL?1zp^)EAY7^A}}8@AgYdhz8b z$aMWaN}v1Zu5ikYIIpVVb3DhPgoozez}_`o!wJeks)G=olW zP6V78n83g)P5Xt9!VWimU(}h^M0ih-2HH>r@D{+BKGV;T2l)BCHd;WC4j{3 zY$->Ymbmgv5QQUNj;bfExMz?@*gl{h# zpN5&EJlbEn3`N)D(wFZ4DK8gVXKekqx0r+~;^1tAeW4K-BR|`vf1_SxJmy;C}GG)abu|r)tE$4TvZ!fCL{aL1aAG5Z2N^*khZKa zfw4z2we{l_d-Awmqe9&UFip(W1guZe} z^)N(inqKi~lKYtSxs6 z7+ST;!-KTCAh2Mq(Yv>yb(A9w%Gr6R0@~u}xKHWkXe~D2{2(-7MbEMCi%9g&-{A?F zgC(tTVN%2FV{ip-ff#}2QVOLT;5V?`i@Y)Pf^2lcV|0vpngV)6za2rP#GEaHmu&&l zf?rO-99?Rb=;xf_{93OPErsDOBbUEl?ZveHvc3ALDmUOqB4K2(cJW50>hJlAB9pc8 zx#Lrc?)HCjSkw?@oqO^I=obm!o7H)2j%$Dj_tmrnqEIT-brr+bl8tK0We{0K!aZRc~w(J;H+ zZsY|>5#R>|vHmXb8tjNs-nE(R$gN4$ia|fOK`)PHilaWni%`YN+St07S8||Fa2jGM zPd479v7-%WQymcVMwK7JDW@gCUG_)5Adp92B3gfzuZ$aQERt1fqsb+rg@AcGx_}%K zsUXv&bn9~P-{s$FZ;q^3)fEoGEu&2c*j`r`9(9qDcJ|b}yGG41qD^&d!VCt>l>)xh zr1_edpv)q38f}a4mZ*;DO9uDOntQhl&0EhYLCJNyjo3-@)~)b#r^K6b$y-&@nmfVt z4Yi*>L*P?F#B4N`p<$SJLT}#y3awfCn-Vy6rV53`=2h<8A+=IvU^{u?McZ;|6ZJTb zT%E=@fUXDkJfN@bbC&*@mRX?DLVm0k6@N z?)20t?a}Tj?GQ{7ws6jX^u7V+vmkKhmRl|nciL~0EuisAqhMWC^B_p2?>Tp@5lgOU zL%>(!!`QWv%X{s-3GEJHKAhcre;%=UnLg*aL%xQNk2e!-2QR7+w6yZt}y}%HT^HDT23*= z4I)(bF?d-88+*IfhS{x{Ao4S`YyQiB5Ar|Z`D66NA`VmIxIIH}34+tilItHp6o;$4 zGbwqs+QW>B_*Qvgy@4_v)!KazAY1qi#|@Unn5K({(@&~x^0!e#lN)(v7d-)rk+50i zFpGyYCte6ld}X}6Qmpx?{Aik;Sxn3cB&hqZV+>{V<5e+8q%R7pElcXjUR6mVA3=5q z(7~Cqe`STsPMaca`}9{~58I4*}?yzQbzp`O@e0-Gd;#DBQ{GX(X?w z(dOVzaJHurY#4aZ;;{{slwsU8J#kO^6q07rNXr2`WaPxvAdo^;(ubJ%&9}EUV)UP| z7`m`sc91~?HyJ59eoITt5^>X2CGxU)#}9m{Qr{C$l7~U-U#(TGx4rh;2yk}MHX*6?Kz=?}4q2zdSDU%3~-LEOTz@Fxfye)hys10{J93Hz;f z{wk8!6zLiTbO)865}%C3y$+QV!D$QJKgj+pp>haoT^tS};q2U3o& z>Nua>So6nPc&}~cp!4=sfVTCk`C6^h(g95=9B!{s8g!_aUH_VB2{YDLdY0iY9!{3< z7Q%=o8YNd{#BgpwZJg&Y4yv|Q|CHdo9r7}NjL+Mt5AYlcTT949lO^#pb8@A!Z_6rn z|0R}pAUjmWld42oI*wNHPu50%f$r+57lZ(5FElz=igk9BCyJ`CDt#*hQt&0U+Eu94 zM%j&_=>?n_TFKXu69_Ny5PE+)40q1)FcA~6o=W=ZV{*42r|h65`wz2LuwP2)Dz!%T ze*=MV`LYh_5-5DVDnx-Pu;TOR2K5*ejvvKX5(^6bnqKm-XQQE|Y;3cA%8@YJSDR-= zT{R;~|9Q+=Nn$xwv^BiM6P9drdXER`p*57efkXOJyR8b5ygeapAIpDM;7qnBb=Fh_ zc&@9`_mUHrakQXMBVqNK*`s)6_1jhixVom*TX)~WMfPKAO2w`9JpjK6zc>B*g|Mr1 zuZ0rEa(AMVsnb{_aDnpB=Y&9#5cT(4VFRqyChs^GCSDWlipPzJ=q(yx7_~8_S zb!h%!)a_?C^(;?;tS0C@HNt0NoNle5XI5&;7t^MmGmDKd%?rgBSG1a}nN!&ercTl^Dt zNevUJ@#t2svIcc^?ROUh(JjOo<6XkRl3InKEz5Xls6@jy-hwj#Dx5ai<=~Voc-+#(`#_gX=KEJZ zQ(295%K#?cCZguv#9{Hma zv6*VD-$t9x&vs(UH+}X_YEOv+cP-e@4NB^Vu?&jy;a+w?h9fq%n+hrzX$g5 z6L|pdisQMXOGJ|7*Eawx))-b)=FzK$W)QrfwLI>*kbf->Fi~eHdGmm(s?=2<7$6W6Kg*gT3YdC?G}J%nlux0NHULNp$DSh z3mG+6;Vs}mDB;E(<^>&HCXx(5UKmwVb5M z70ML{+VgGmtg>$7GXJhIgx^HF?XD5|hTcbwi%^Tjm5h(dgGU2;ehHnnVr415;n$iC z4#1V^x^;=Op`}=~hgn$QTw?@raf|vP26%!dGs!eUf9LOZ) zflN{>*vDd>SvC?z2?sYHsu0=Q>qt_dk~wgOOS53ov|DYr%w|w>rJh%=MVenAqTckPeQA=9KQjX zTBhn+P;IdqJmrMQacRIb(&6G;!g1>3S{1xf?xG*|+}YHo9fxz%qmUZix7IO~(}U^)hYbW&({!b=21{{Lj4+<+*;gR@O#kA@cHLFSwJbvRZV)=rg-a{&Jy>boiYJjPdg{tKH;B|^&A(^<4bW89`Fg#zeN+kUFtYD zm?irl6U?hSM?ZfCfP=QdQ>3qo(QO4Xd$Uv11!}AOdFeMBVCja?qNH;rK*#a++AaJ7 zAH2Q}3tQn-N^by{IA<`=9ppHV*W z?=tYO`X8szwMGz40V8mt4=B4_+tY#9Vdp(rb%<799qek?Q->Y2l^KzFCOOJs$ZD%8 z&XzcosA_TaZ!?EW1-xdTy5F0PA#LMhE&AliHgn*o_+JAq%f5b{)Uwsws&9O)z#v3w z!Nm8mqC?lwP+HwC;MFj6_;osifp zzF&;~OD9l95^~slBe6C7wQ?$*5x;?&d@{9Xt*_qoYm12cZfN%Rj8an8O@fm*$zBZD zCZ`qxxg`J?MB9CX0!kXm4`it8y<)8SvzBC$V&Ku|6P2^4RX<<`^_^TGf*o_zN)A+{ zT2m(PeT!or0PnoeKeJ=o$gx+ST&`O`3(-L(@X}R0gc0dX9Y)SFAiJha4=?^s2byd+ zY^s6+G-&4NhHjM$i>%A5L~}IXhe&Iz!$pQ0Ep+HU=zG!>vC6Ba0?V0hJu8{ele4?< zuC8V2pr@6VMHnf;%+T9J-R;t7NJtt+_v#BZ6Pg=y3q)RyY7C9JNyPat$y)TPH4CNBFkTNO zsEI>G6a<@9l)~F5X7%f1A^}W(K-PC+*K!Y zhc|vKD*sWffG-#OU)B3RVhD71a~@CLcy+2)4Qp=A$4uFT1KT0_G}Z)ct)w;9EL^Wc znFo7Nj$xn}3=A^wFhi`#IB| zCtKl0bU*!G0CL@v?5(}DSC_q=mNegk>G>K@(%xpho$1kXvz9>|P+9dmqO3eGCPx0w zjhYES553A4BN+?@Nv_UP&MzZRjcu#9+$Srak0^)JB}kgoG&GGw6?$C(v(Ma@x$Bfd zX%%(yG`mY<-y_>5un%zhTR$GF;+6-_^zY?JtKZbR7hO+GWl_jRVGvGB66VJ{#db2;lYw zzD_OlCuZn?u=nUrF#N552ylz5V#_MudptV^_mk&J-wfQ`{8Ad$S*VIU9*W9<#ioty zQt53QJ9DwikR?Iw4Ot?VX6V^GvFkC3!KEBU_rN4ei#j0^*+VD zbXNIVaso)1E19eHcJA^HRjz-R`!dpG{mFrL&cc<$1Bdc=T$NjbiXX5QjdkchxYX}j z{9r+*HBIn*C}~>R^3Kj=o7_8G?jKyftX74jE`?>&n<2vM*{?pR0d1Uce`3qlggqb@ zD@8PK@o@lm;Gf&qvB(521fkqkZud_zQ;UyCC@F6;@(d9sNeP#DQ zFrR$cQbu)E>2BXWW3>??*p&fIoczD7e zI&RtUl`Y{@w5GVC->`!Q9&wG&>vSSGIDDv~?nCB};B4G=D&WoJ!&utT* z;zPD>+D1_x7kOE}_Gw2kDZQB;ghSz#5=NuQ84azndu4_rnyUB}{JMM_A7+M4K0Mip zds>AQnNvtYH3(viVMGN3g+w=~;LaMO1N9KSQbNlccSzT?77Ck6XLYehL?0iR&`*n8 zmfPqxmAC(F5f-dG#4QQ^-W0}5TrdQ>KwHNzqJ%7DJqeTsT|KElbdVF!%uBwpuaLN~cVEO(x^4l-ncX7R3O>RAZBS}pSqQ6!0D*swh_#nY9*IDVhF5i8CL9!fLP@=P=Y z!742F+wXAb_#9pueqtqx|J+us5MiE^(>cEeftW)|c7bVoDGq z#^z0}sm`Hy-eH94t=3L{=DocSWUxlg7k_k;)&}VvA={1XFRlZ*1UqW{RDZDhXnq4w z!?|L;IEOMlh{Wd!b_tC7p=WjUfcPstcKFAcuC)B$3p15XdnM^-AFnq4P8Z>vG1A$Q zm$<;DU_4pkEr@Fqg30qAfD2iN<6nqxJWl4>q^&A*i*d%%#!ly|kYdehi!Wr|y$H*g6yc75 z8`Nv%-iBmgT6)XRd_95vORz)Qzqd@7z9EB~e_k1BTbLsm zD&bJ;S#Qzv95(E#cn%eixR|g!2UlPZ008E2%@H{;Vk=)Jd|j56J%v zG?MUB9s^LyVGo@F(9#>=u{#&`w57sa`PQvC#~EmRgsiTBym_s0>^Ne+vofLvSMM2Zx|L5)Ca5h7GQ1Rvy=;E?Pg8lO+SyqBE|w0&sh)P% zufFVxk-WMB0jw25{qb2MGdimztgS9+IifOVCR{7rZfX)Q=GTj_Z^-RezJ$6=*UU%5`?K?%IJpF4|9FN39|%2=4+{WTa%Sj! z9r)zkpf6;?ZH0+8XFLoeL8^?n|4epNP5L3-$(+jrhEXU{h2H z1wfBDkpTdjNNsl`w@_}IcYV}?yDds#O5hBHM)y(^iZ^WT05twu*Ts{C7gd|tPmgzxP|`=o zYYu^5n`b}n`>nBq7WXknT8*TsP{1YUrREiJ!o|i0=>zJM)q};n?*|b>mCqmV)=%Ms z3K_pSbfE%NW@&fLVO{8fZDZbRiGCp`*De6zfB>s6zkEuG5Bx)@s`QSS7CMgmLss+qf6k-hiI)Js2^0-|Mw`!HyYZ5&8TXMG3PHkMvu<9mBT zerPu`tiLqGI(humAi~_h&6#nstyK#$p~1FGHhZxLi?u@%Zlv$$g07mj?j)9INI~c_ zWTOwyAx5kZ4_;9*mBA|?F&{qn!)~s$Xm%tukE3ch*=TS?oFE>c8}+rT`c@}z`w+WE z54I}BH&mV9k~@qxGyi>DT5-~p!_S*glbj&~%^y(kgQo};Dx98Tbk z+&X2d1RSO{^(qLODBlSp2kLn7K&m!-%930P3pBac`!Mri&A^B|Qm-$CYiIaAOl??L zPmK&$)sVr#z20x|1lR3R39iI&166@WhuQn=85@A7GK76EJtv@@wLC3Qci)QuZL#}Z zqf6lEPQwEiH+R%n+wZNB(?9Fd+{=3fo>t?a_$#Mpn12F=<#nW5v_)?;J$!Oy2`;!`Wrbkx}rWo6tr>j(kLt6DEI&nJSYGarb;d3=rWitnv0UFPryUbdte%e8OJTl+ZGN)XL~eaQ0fTuQMeL zA|E3-l?n$)xoRWjH6>_GEjE)4;I3R|llbYkueKxDTH2_kV^)~nqb@-` z(mR^OEK?2a_3e8Pehw9kexJQ{Yr|s+2;58)KKt}%t^OtyjGFVV|M`39wMtZ0yWg?L zK9x;sc1new5;r0pyQk>@?4@L4%V|DT2m?Fz`Gc~n1lB8L4z|Q(POqbtW8b$N-^b|B+gV;(!;<7jYKg~kqdM? zVm_nd(d=cv0s=GO=JCn3CX%u^-)+~52vWuAu*23M3IaNhm@k$;3BavQ*xdOu%+rcn zC$jP2KpcgnyN;(T^qF?5#79yVM|S64B7T=~i6|P6H#r}z=|snuFc+~Ynsf?fQ3|r4 zes;xDm7M(GNsjCGV+O>dsh+hY@*}foO39nPM)z}4M4~}C7W&ZDAk6FE(OB2m4{t10 zq@s0dAiZlE)jF0E+czh2f1RH5wfS-!8-g@t-4T|~(p_`3pqH!G%x*c*+;DR0!Z3dr zBwOBGmwo8UT*59Auy#4m1+RRF@7(=&w+;HBgY;bV^#jIy&St+HFBFqPC#+DF%ygrM zfie{+GMd5r@xFL1lMGU~KCowu*O?ykX1jmVn9BF{Ully5FibVfm^nh7A#UKKlre!G z*pvp|x>*%*o5UV8OD%5nMZy*eRK}>4&{Wg31w%V`k$yFI!#J%z{ioB%?pRq`BPk|R zQ!zHJqiMI9?)t`38Wz|RO4Jv9v>}=D$xcleGHuK}gTyeprD@SyXb>0RJ-Z-wpe&K= zD3!ZPaIQO3C?C! zB8PBy<*rj=9rICxA3DtECSe};z4s1sua5ESyslP49N^<#u9l_YbG6C_`7h(=Mz;zn z;SqHr7*Cj~YGq3=PX2UwwjTb*1KG$e*!f8AJn~tuVW7?jXYfW8am38vO6+yP3S9zF zyC1GtiZGH6=^E4E+{|jEYDu{x7f!3$L(Jm9wOn5Kv3wXz*}2Ed!r$e3?u=L+|I<^z zL7~g4vgTx3PXz~aZs7fsbYa{!k6%-y{=9sqckxZj=KXw}tlTu?m!aJ0SRR$l9j5Kn zHfOOm-&JSHCR_~Shw-y>w)>Vf1|_BYhHO%fvcC!1DLpOSVz~7#P99bU=eSfa{gIP= zfUlwFLZjfx(A;N;?5)Xb{x?N~X~1ezD`A?E|0vPqy^U9nyxbtg)z>XK1)xa9;w{J_ z#Rye-HCWU3lg`9wA&{DHU?Uz27(=;gz!-d?Xrq#>$6qNS~FsP89ug))hZvShKOG1Y+TYv zQF4+4N6f81gjD)ls0w-_%qy&mFMelj3?&578&Sqprk|}0OkWs%7sRY^-|epJ_ajre zriFTKoN3k&rS2$hYs`e*WvTx(D~E0M=cil z&t==fKpnLuKF7cZ$R-oyZZgh&u3`=7vq`(iwuT9t#$|9&T#@aj}s+ ze-wYrArq-ezRZcmQyI7;dzEc4)cpPxhx;w8jQO#8H&?tCQ6C{R-2R003o`XiQE9~k ze@-lcEs~Ak!*wM4su9yPNDGxqu^hWS1oJqxY4fRV4^wMXv`KlSWm6=iDIp^2dzOv+ zt)%=QY3oUVTT}zWm$l$tXkN#}Z&pIBzQH>c!}=z#5_Oz?491k9D+AT+{KAhfc6R~? z@!-~|gUVT=+JOfQEN}NxJaf-AjYu*hC%R!rzu!wOVTn;R2Ud&*Ww zD8JCK(JQXC%5(S)n^itH&pOiOxeW%hC94g5&%@L7gkg;t$hb>8F|eCVV@~wM%IF@Z zJP*@qq9dA%L&kxv-FkS)ox^pgFJGqGvIpaNE(ENNi#x$o-=&QNvaylaB<|YYuf=BS z-V1VXn-ZbkuPIBOFJH1it8|y5>4}G$@zPNDZHy~knsy1S=m+mu(az3ya1nFu3IdhF zddFsxZL9N_RkG&F8rKW{#X!k+vM9j>m&Ap2&IsAnfYHW;eRLRi|7CV1>PfhD**xF8 zK%KtkIwj~F^u6MwIx@S@YGYj{bWM4fJ z{Fv;^1~_Gl-r;cRnfki}KDko&NMUHX|7+gwq9;ZgPmZ<_@Q@ps;+)^X450I(JdV)3 zeHz~+5I8JQZ>Y6neU9n(HEXxT3$qgwG#d2c4Wpbc;V>$Yg%M4<<%-_9R0kl@PVsct z7`AQJcn;YS(9!?l(b*H#B0o7Lq5YopSQDv zR-++)Ta6#xNs=CqmeN`v<~950(Xm`Ab$V*LH^Bf4NkatMUtl_os^OR0diScfPlD=N zvTBP}t1n?ey1Z3d+&xCs)Hn_;#mM}(O$vDV5owc`1U^R;l1cBX!&{AK41vu4$G$%| zuBEcw&ntg@JvddpjEeYg(1Oi+%zYsDEhr9e3hgsUY{^3{|v=_Hwo zXdcDJmb)T)BVnk2A=c*QKE>lq7?nVBlnvB#X>G~|*){rLHQ7SigTeW1QWJ;22C1U2 zL@qCJ+TJB_C~hMF83LQgfZ&iMz*mM?fVW%Ra`)IizW|)SKlV)*?EQo?e&f4zNctON zw|tt~;=}PS2=ab9{a|u-8FvJs2FvZm;piQas3g-j4MXbxKJswkdsf@xv+YNt!=C#V!p*b*?c!` z*EId_>pP?uEO$xj+@+uDqw9yQBklKHJaUMZk&$U~J062sIR|$Be=S{^Bb&e+ zlDi8JpxV2mLCJ4~Hb2C@h|23lqLB>PRh`x~9lr$4-^rR_a*Yzj_6Q#I?*H?d9W0Tn zI@0y=C3JOD2YKoso8Nxso2HF-2tW+-4QC!-S0%MhPkC&LP8}~jg>00#KLTkI`Dde{ zE)oNDk+uy)J|Y*hY+747q5m2ujMJuHqDLV&QU}SLKNf&G7XO9%J5`BlyYyns|A>sU z;1_Mt(P71;&iPc7pyRgcSUUUW?Gn%y!+HKRX!=}zA_-Fk{CE=Fy5M*DO%9g}BE(J3 zRq>9`3yp*WF2DcAX5W)GSsTdAP3>W~{Hp5ob!)E>j|Q`sIsIWMl|)N1nXk!xJE2e( z4T9dEY(%eeo|mY4VnjU*mgUq{0=1(= ze!9Rei;MVu=LcJ9*fBq6=ua;rE%Ym^s|O^nB$Ksh7gCP}E(X=BP67;!vxh2TEQnJu z`@w7Y2{n^Yqr>&%3+o*QM`{Dzk}y39zr)SlrL}Qm7yN>vtCfz+gexS&fy~u~+r#mT zznrz_Pn4g__&#Y`s%1=YSKWPEx~^C4qT;>j zCqt0Oe>7MfqCi@2+m9;Bx5gN1&F(Ycfvm|mt_5mB?H%|TFN=d4n;JW8yY_CB#m3Hp zDQ-NjFHr#?XymHd(Bq1zA=6kA%iOJlPx@cjbryY_mA!aXR}J902FFEjeb<~NgB8f2 zEe#!UFZ}06-ftU@{T`~oittmhV^*EAuABU24m0YanWQALV`E6@PY>($8F2|_Olr22 zfgLyq8>ol(boT7n&|2l15l}p-8%{F; z^O$?UKr&N+9qr#YbuIbp3@$_5XLTd%rp9(q@bc0}`e1XmIE8cYr<28gYNJ9_F5Uq`MDj3HI`~F>QTP{PiJSYdKaQI zC26_&1^{pS(Oy?>0o!Isp4Yq+O(@rPzT;-jay5h z5Dz3wQ_T)yR5#v{_9{OumR5!s-Bu)lx(gN&^&stscF6fdZjex5&~(y#TAT-~ei4xiXgMQD^^Q(2Ur$`v~cPdb5mJ{t zmj}gzwOb;er^U~q{W?0Z;!--3^oQTa^LRB7Z7U$0G zb5XVU35|zsb5WD{U{v8<`!Qyy{{+90*XVHfuf~7y6veIaEI~k|ZW60X9TzYI8rtKd zg;n+EQ(VN0?b=HTB2nOHT@v`k>fGZ@sSpesV*FwSz)k3FY|4c_-xd|n$mJU@FVNKM z<5HJ`pqjnm_b?&Fl36(KCJ-Jieumt?6N;oh9pc#&nqAK5_RbNd%$Qc+}H zIwOpxh;Q;I`!>?jwX-f5r=`Ei0)ugTcx)Lr_g7lH@dd$$Ho^&)oHru;9B;$@2bJIP zW;qSw>&W;l*Ja+00nizRU81^MZO!O1`_FAx@W;^ z4$>>$WjFKOA~t+qc-%IOOkI4Rwn;)VHKJ2Y0s_^`{2`c7JBII(QmmN!W8BH?smWg# z!`J(TZOX){dV%(51fpZ6*v4#O1sqxB=Sz)9pRzAd@`n2w!e@Vjy9u(J3ut@C!p?hV z>$H)d@dY*ZnO_Yr)vCcPo{Y*Ke7&L7z4_GORVe48EpHETb>4u#`=m+NO;Qaijjfl%fBHb8ula2e!7VOE%9iJwKs-!L1 z7XG%F-CRqxIvZYlU%8Oub%iCsAM?TgzO$yJn)7|u|9iLnSeH2F*$*1HBt|gP-Fp8K zNN`)_-0;ZyrhVh{c~_#wfGyX8hL28$Yw2+6zW3#Fn+ORWV$r|RI-PKS=*MzqpP*XC z$I9=?aRV(&Y=CXDaEY;|Dl|8mF&m7g_|lFLlyyOiEK5@5LKwlx4ZZ;%Vsf?`xKvl3 z5)hJ1+2TxRfMzU?2~Ey5&{cJk2%}@6V_%9Qizy9+0*y8$qAhdMp4f z-X5uCCtx=W%|WgAe9HD(_G~}(m;`Lf(8Z=-Hm}}or%Rww|5&yU(2G_X=sjz$%L|%g zh^)jiw)kprC3H*RWGNo8aZm-lebnfDKL@d}dbgv%C>-9ysNbW-I)~$oC!0Z2v2U4J zY~Q}K-Ctre)SvA7e2Lno`1x9;&p3rqV*f#PJ#E0+^ApYQKK+r&`F<`gmU_+x$={d- zU7PCLIBKlHENIWRD6MFJK;u16MneJWO4f4JU@WZWPI6MmddxTw zL6$bjFHvy1_NK*k@4jOF5&X4oLxG=A-a+~J0;?IFJkQo(*){EA99>^XdhECEm>^qo z(p{Ko3N#H?Vau}f{mLL7SJo8`;x*vqQjD0*+SNMLOPhJQ)|0;|;VA2}=PmcZ%!>(A z`dNYFq=^;DOa@5Jeawz^-E=E=N36Ml)R+2;VAjM5Bfy_U>dg+~)3B2-MN&+I030jH zbSaJiR6(y#-zOhbDCGarnYv{FMt2pj&xU<>?SOT?m@;W8Nd#fYr_K3* z47muPY`~Km-yl_G=l`{=%S-HGG=3=Yq?FQ1|5Y=!`OyW_xF9S_>Pf2>7Y(Lb^%Zr* z-90yD=I|F*1glW@VL>fxgWZG4^!tBsNL4=dT7^+{MQjb>AvBSrcyP-;gb;99{@#H( zQB4OpQC<}7P6ocLSJcaRESWp;WnXhwPunYJPn9qDubIE?)mg_S_UdPcw8);Zdwsz+ z!)~E*);7bN7JM4akF02##eHMm&YFBPvtB-wEM1T2PTKP0LDL}1vXvc9<75-Odt%23 zv~^*X(ksyYh$@3&J#q$Jruq|2vZ5qf?k2dK-Vbnnp>4m}i}UN>z5DB*Oe};4hZXpo ztgRPBYB3dg*f%5e#L3evhO2pjo@r~L`sKv{xlJ+mqXA|#`9mvuz`49}1j0<-3@?*i z{|mZM&Uwjo&ex%%OOm&EArpPJLl5gItSDuUfWI_P1O(Sa;z7%#l$tbLrW5p_4?H$F zi0gC*FaK_Z{W>z~&SWma*fID8+~-<8l0IHP+^Xu3XSaS9!8cYB8;YoBbnNV^E9}tn zDIMTJeWHi%rT?07$SdLqU$TiSm@uH7Q3{*h^ZW)fj5%I+9Fm%O<(PqAVLkNgLC~?` zOi2Lmh`#5L1meZT=Hl*_7?5c*L#jl%E#15YKlVgNpRUqX`)AQ*jr=Aj{B_GN^>ckt z8KhI?bYkJ=&AUb!Ykx?nc3BE~m!m1`Qn%TUrxENy#vemh)CgVu-2Vjx6y%IQfJRbH zr&9G`vHR8Ec0`&Q-}R3nUh`bv*H5jio|1&$(qG?c3#GQ9B&zGdE1=o);(2kHMK(J@ zgj#alu$2CJ`EUaql7)y`+|ZNu`NxbYuRnQElRf>`{$@uYNIKmfgn1@($fSIlbGemm zg(eSbSvr0S(^ZFj85(<-$k#QN@t)Z;UykbTodVS}g&iH~a$T}f|6NB}qWyrd-ED_1 z4pG+4;XgeYE zm!3vctV;{p3`km~>QLp3g<5EgLmxpR89)@?I`AO=ij=QMdLEEmzP@vKIDBZ{Dn(!_ zEv#81SA`u)&FK2&n+=h0(VG4zh4uA&F;sfT&=Reer{lny>TxzKrP*#mb=V|>^EP=| z@_V=T5Gvu>W{IQUo+*_`+jLbMVdsw1>4)4|XMeh-IFg`^3VnzsKxx$jO?MCN%1$>X zy!VFcl`-Bzw{MLS6J?XX`F2c8noZ`D#kEAo)HyqzKnjU8@t>HPGJ5CGu>sOs-;he! z=wFaKYm&s?+s<4Ve&}>ovPjy^**q-5ibXDrpf479MNuPZ9S9alf2hwCpTzI285Zw< z$3Av<(sccW-s~)7!uTZYOgnUBNa|A9SH+;Bga(JQGMMKz>G{y0AcluZTVLc+x@^FZ z^Q$B-a>jt_o}_G%PR6!{Rtb=Wa7~)9~Y%t?&F) zuhQr`TC}=($Dqz81)NGvIdkn@V-GFw?bx^G;8_7iC6(|LnL4 z^-IjoGPA&?$7*+~_#pe&zNN7GwBDJmdtozn#8L@EKSH`!ycWZB>BfA)EJ)K+{LZ57 z3w_gRX@P!62I&jq8H+ZtU^D9Ob5RFz3anMjgc$Lw%L;3M*2VBWk1}_vmhLhvCe zucJDW^bu8fYK;~nQE-KVf@f-$>vJ>w@G#DBeNS`TP|oJ$=hO)KBzHQ_n(m$YQIhRQ zFaUs-+xH$-39~*6&a~XEVJ4UZ!E|Wez3|&I#1h%8>fb+VVo@~ksygP6+ke?PE^;1k z1Ue3R#TXP-MNPRcm*)!#e~tV-t^aT3>hJ#5_LUFq@sded&H3@vPZbwe6-S3Iv&~M& zg&pnsWb60z7(99Sa_21#gLBiXbGid#J+GK6VaH;njwkl;POdPjdHJcj6pzmjL_43s zK+mEvFyJh`0HK=pJ*JTLtxqdH-zSq+O1JTR=IL0bl!~1NqoSS z=rUbxZ^7@6f?Db^*U`yV%6N5Xg^>=d!!RCf732V8HpNbCMCntrTe7nv`3s=oSvOTa zMfmJIv3B(m{AJaiy$|&AX~p5rjT%7n5ifNQ3^D#CGD(Zeb+RctIEKy35{Hn$lf}#? z8g&%$jOf71ECoPj)tc-sKFid>EuuQ5-x-j{8ZM_^m4djXJ&`th@WfwdZ|n_e4~JXC zWI!gUKl6Gsk35{q!S#c+ZS~XlX<^Tm1b>JHh}=DD+1686ObMr@+3c#UG`l+c4Mv3u z^L>qC&nl#~S21l#s#_N{0>fqMEe)24;_^ZvS4|B!Qo}4j+xxYfcBTD3H5yY*d094r zIeRv({@3mwI;zGm-q$9Ocv=9Am`#HvTja;jJkg>T+0rJGCwpSlIrd{u4TvG~`l+ua z@V}Wi;_XwrDo1K$yVd^gMeP2-3sR9Ej(hsI@AlA(;AJcHw1D7H)jG#O2a0<^pY^F{ zV1@LlnPELfM)+Bd6?A7C$I;}1pE<*B2HLbJs3pYFF_VBpq6IU#2zt_`kmND^=-Sm# z^XjbF+5m)h{HoFJPU~?=E6%U@OZ;`7dQMP#O~`Oju;wF$epRIX@=4NXfdje9>3dmi zR9J5)y~}gFAAH=o`f-xUuUiFJPT~nyJo?IhKUVIoe&r5)Q0qpI0g!7m(!|1+|G*edZR*Y23Ju$tB!Pi0Po@yyvF&d95f>Z*&90?lNUBES|>F+ z76N4k`Tlmu9Q`sl53NDH=QVS(FLQ1b0lKK+)qA)fKCl+G#XAO#0D{fl*Tyz3^~A(f zm47UUSSP8hQMXsk-kCt0?X|WD+AVTzJ9SjW1#i&%8)RY;|;y(0G+leGS5G;Y)R4UFW0HJHTK`J z%FO1nXXX(w`wbO9&SINAF)JZPMQwATQEuZEAhACP6t{CFJ_#+=Laq);60d&0L_n_1q4-_TNrJYG}k^n@wu#Hmgrt4%C<7 z{n#q|i`RHnD`BBuEHjUoYC71!gxt3^vDN>P!x-i8_?nq59ku>T7v`O;9&lw_hWo`3;$h9M=6Ejz!+GJ586V49LbpLVOk zqvDGj#)rOBfP_SojxNFkW)1xr&{Vct9{q~YRNKf_Py4#=3n|YJd9^sr`0%b5HCt3} z0WqgL$S)3EXdl7t<`QR@+7Mm`yL+ctQf6S(6NObukNfnM(fMVQ-7VWkhi<) z9GBI+((dQxDCP-Pb~H29K#R1$V72B-#bn}5XVgG)yF;}C`h5Y@^yVdlky~y6nZS7(Mq6S_&qiLV;Wv}QA09n6jPK#jw+ADPV zW#3*eJ+|8I39D3kvu3+*=;q++{Z3KU?#*NI8m**Z?rQt`y$tekyAXPHMshma0nupZ z{&sSBK|{@MW>owE>ix7G69><^xoeP#A>iMKuAn+^G4zScDpI&gvf6z8fOq!YfP?2| z_Dv|Bf9S2%g`&wd0hR-;x7QSLo38tKey8GDcP(;6VdkF6W64R+Ew)7;RbLxf`Ns84 z^Om$Sp4*=Lg^IDm1?GtPlS8k&WEpLD@vv9qct^+YRg3iY{<0Shzd-@=MQiQ;)nz zxIVwQV$IKV;m@Cbd3aT2=Ji-a~b6ydxUGj}#n2&+%g4Ly>VWM^;M-jZfAwCZ??U+v-DhG*_1HM)!*WprkN zr^|fK;l3x=lsg=1Qle)i6qy6t+V+X&QK-zeatP{3({*oiu3ZNNItqFVzgRi%r_$SW zj_cYL`PyfHemNmL`NoMABSL<49`?N<$GOjy0pkqSofX*tY{tVEgT5K0Yf!^Z3dvu5 zX0_{++}TeX>kr>)@blmNY=Vp8@S6Kko2f@|-0HIN$`SJ(SzBxDe^c90X#Z_n)vAr0 z5bnrjJ2opgC4S$i{4Q^K&}h~2hwh5|f5-ORCJxygp)f@wFwIT>K%Gu#R(O1{=~OFf z@ZCtZ=F0f6(+|q#*3dVkxh4QH9kFjsb*XYr(yaWF_}0>>yO?eEuKE&+rh@IwCwKGv zVqY4ETmAIw!6Co?;5@h5FLUFef?M}`v-K=6#zLF2{ln{XzA#tLvbSvv2&^53U$vPq z@LT*2Nn^vC8D2*&)@$u>(E$4=BzUcFkY0>_yvQ_6t$LP!pYE5a=m4_JGei3^6UY`A` zc}`{nbw=n_eF0Sq^5tSY@BFcH67i#C*hGs;;{pB=5Q7_UEj%7E4soe?oFsj)4=9p5!H;M=#~nKihA zu%hPobLx*mjf*y^?OX5Xrc29vv_E#Bwe3!8qW792(wyW=2uOI7ap6eCS0i2bH8hNk zIR@$dpn=&`HCUi<1ry6)9Bf@8p5S(C!beerz$l5_TWtX$Uzfz z;->c3#xAe@C0x;DCv;bst(f0?Z^YHpYRxInl3qS_{Ouc?%(w;SvE!2H>qb`W$S>q> zJ>qAU>sb2iP4j}Y8^R83I(5@zZ<&*7$E)HoNwL1$T2i>Hf5yI9bu;ESlD_RNlhios z*88UmRxASK32Vzt)(5atNqlB2%AX`=C`~w>r}>u z&&e)NIe0qaqVJo&KVQ7?L%zAg!*W~h(#=PAZSIx7zGRf`*W2^xyV-+|Vc;j#7XR^3 zX`8cRLG@ztWMY0mV!hWn6kbjKOf+78N==o^~t`Hye*+5YmBo7ORwB5i_Y_OiJ0 z2NT(h3uih)5f1femPKq>`vjM%u8aRz-ZZ2^yNanXT;ch-rf8dBBFBHqnW?S^)e6jd zEm+Ake8yH$vjZo3?b0t*_N|X!kb7aNV)IITMb;YTf%!WJjdiKz>4h5{x2Q1u`A%5- z;J(3rldqiNPFP<~Nboq}HtiA{O;^%!TRl7M&l-@Vj-y}WIL^9$SaBS$o+T=z;o;xPJQj5cjl#;-cnbLN5X%w8Q;@YBx+ zcRh{mr}5l{3jXG@0rRe!Ih4dJoH2Kf&(%x1p7!Qf=CR^&2M0o;&f)P6htxyNL^oH( zAeC!*?O&*He6pRA-bQiuLxX#Pa{s$!#TmDv-3A_QYCksM>`<=8+kj^zPcQGJtQVJe zkPBLOHeGBW9;~iU-ZgYklvtep9-X4mvL+P6Ee+_C9-OWE>a!P*-xXAT*gPTEk@>Tg$Zs3dH*k&@B5tIaPT z%_S+={-Tn|dVKldm;3sSsGGUo(CD#?!`!n*UPlVf{-HGGN7wjV@5fF4r)_Q;QO~Vz z3Elfu){NxCCmh0u^>(a@V%DzmvdvDm506t!m~T?vm;EBg_m%qty+XyG9c<1zdoS6v zV{IB?@}04Luz>Gwd9+70K}r6P*{}BQ*)Rm3v-&{vYKYL*o6?*z){?BZH)n-u`7!~& z$%RRI4Hrt-Cc|3phh-gU{-xsfO@)m8KaN+QTy4QyvDTSA>Fh-3#4(xH74_fDUs`0r z4rVGW+gXAyFFaYAGy3VJ$00}J6FfY$Rin)lZsmVvJ9A}ff~tb;2p^tzAgTS_8*0|; zSHHWAZnOD*RohpA!!BjpW)&@|iYdPD;7$3l?pWr`uX@+c*FC$|ya*{3(;M%~o-xvb29snoS(CEV7;4#J9;;nOgH%xI$;r>2+No~i8ii;;= ztP*~h6&kpv#jm3D&BdP@=SJ+0w0(BvqLMc@%yj-NLuh5zILX}{)pDyCNYL3^ZU#L} zw%3I$`LHv*otuoE4Q-!W?MFj?)bp<01gv{zF2ga@)zFsRFfMfEi1_=fKy`b}%Sg~n z;QR;+ZcAIRSon93$dFq5I~twu_Z+G{@tCN2C7`PDNPwPm;Gwq>LYbYi!Kn9bf1 zmY%-x{E4sZS6vJkMeV46*I=RY>|H~BWXT%kyZ{r{CT`&Ir>O&W&NZ4{a&qrtwuWPH zbLC8f>C10qRd9)r&-M3z^rAQQj8Cz0{PKM;y2u5P2?X*gB34^Sc!@RE~$#t{Ac=Hfh-9q^gER#}h&wGqx{* zK~%--rZAL`0ViAbX;skIU)SEu1Ppezdqkg8LseY;_eO1`)$CQmtH=K6L)d`oVA>>z2mp{cZcD`N#kzls_EU| zzS-~2x;>#S{_}1hn7lu1(UPFEoNtS(OnV!p+PirHMQ(cjE|`o{RHx#;WAoxcK}o>2 zeu$)?Z@b{ya0eiW`!@d6g_vucmZdSjEN0*K!I$mWlr|Edu_lR|2N_|d| zq0De0GGy%4lNx`Z4vE^ctDl8l4OhQC3s5raW+r`Gs}g*91jJYCX8I3o8#s3Q_kBg~GbuqgmgP47K(F0mWCf)3)s)b=m9<8Rt9dI77)!ebpzAKUi04KE31h@v++uhvsB0ONpJE zGl-+5QtnV@{3PU=XY>)ryz(a@Ujf>1-_o6^KAh}TqcYfdds@_q`E^!59dTYtRz5wc zVE(lo>t@m`)h|3pHR-bpTjz%l+u%@rV}3YQ>r(9LaZ9FJPSc(6rGdo`dYs0?t&@8_ zGq?GXx_=#XeG%{*Hb0-V$?Jd1DEW>egsti$JXux7UPl@pxI|E97(Hf>u}iuE76+BH zRO16~gl)`Cim>rncIG6!s~aQ*t((N}4`qK3-Ph{p6kSVZY)XUXsJx69T2Se3UHcZ| z;Gv;616|{HRji65n8bG&7h`9REK_ip+F`r!_~^Dlt}oV^z4b3>;j|?ztxmjESFs70 z3w8ysOLjXHA3foB-~wJ52E6ZH!4>Lwysi;2+wVJ^H)ZW+dw?O1{h479v2S3qn@P0w zyAvLBofdje-&5%Zws#;iaAH2D1+pJ0|Va%L*)}}9y zL9#+aX*`hK+a}wWJUlS>knXOnWrsONz1E-oW+X{vmL~LuRp!rViyq+i4e&#ctzI8| z@OHA>ibV|X8!id7N#C8YyJ<7jZQkJnhBkW#JA2+V%0Jy)3^@>Lp|+CejMtBfn*+Wx zO$^a-X085XG3pM(Ey!Ep%QFw?+6}hees)3<#9#1P8MKtgjdK|dlxqcKu(g3u6nkqC z6U?uQHETM@Z%w$YnAaIleiz359uAbQq?T<_a?nN&foy%Oo=yc7?uBW=^qW$=7zFjjsEKRui3uNUZEKhQ zqboVD_JFII%IT=h{ia@qQok!%VR`bQ<6{87p{bPbbTTkAf69Scr+4pSR1G%T#Kg{y zi%A|mq}jwkt>o@ox1{JwW9NtMo5!s5SoQ<+kV!oE>fVv-BYMTS#ILQa3)pq-ut|DH z@@#HOa&}^RLGb9k-^Xy~a1skwnY>DVHLm~QIol}8yPB^?f@gegJpu_neR~lRR0YpE zwpZXDAF`xHb5vh7@UTfappVR0h)jg z_7CBrAp6yZF=Kz#)(Tkr>xA3GAiBxFbPSBDp}C{mS*qXlF948}d`oXoW;k=++?JrM z#`DF+!9ieo61-9TX{Wye*k67>cC;A|yEx!@G~`S+C_q^`xGzgFUnvBLNBTzGJ6`cn z$!I8f{r7;~&nZoQ*MH%Xh=osE(yQEAU-Z%qq3JtWTv_!iq+LC>G+KH@otYSY|AxA2 z@szY>jTAH69K8_m+FRpK8}2cPu&Kz&BP9hX=|b*rLi-C3#d%-lM^)Lr+AuNxHH`eaCG`ii8jnB?OQW-H z7}f$`h@BcW4lXp+zM~|8GxjNGaPdLb8DQSb_Afbnpfq!S=zb5Kb9OZk_0r2OJYRn4 zR{pc0VfDM-F%DgrdS(8r7N1j-)nr{g$BX%g4sw>zh4y z5Bul|tLFMpZ}t0(@+mEUIJ}!u_+*XhWwX0Ss*{NK#)HWkw)|JyHv<(cQZHO$907fC ztm7M`71w*FudohvjlZ=#Y0xi=8gsV3e4hF3+jAo`b`X+opDcLrCTee=lPb6&=ZgB< zaHfmp^#S`Mz$3W<=v#vVX1H4OwDZCE{R`!**3HzpkorUF9r6zgEBAbRcl|(6R+O21ny?m+!&d0uJmcC?(3y-9UV&p2RE8nru&(e_bWfk zc@r0U?>A0I!o~b-*8UYKLvPMa9ya3i0F4XD%?ew0-k+UPw7YHoq-)rmN_EQcd6QSq zRaIZWeLf{8sTQ*0CrDR7N#r&ep+RV?-K)Pd`XNPWa4XCODGkCOT^vzrr21&==~-nj zjraAdG;uYF^51nrlRJa)3=ynyv@Zk&RvAXvaQDnmRot8u=y1l&HYx13aY66Z?5N(B zo`hYqO5%q9GW?8Ul2Of5TEU3EXE$@7&p0yF;2ZKPyRTZ;f8}{B2hZ6R`m>T1XYlbu zwR_fFD=WT!Q1$AAS5Xx&mY1bHZkp)ix-yaIGuZ2rLqLk!*u3OGTfZ$QM&zQL;K8Rk zM>-|}4k9V!i>hReIMvgcF(KQn6N4{Ld@x4=Qt0kC*UdD$OTPPji4}~QnQ!G!9P{I~ z`V$_j9`zf)?_1luy)_`@Ja*{L49b8m=IhmC zrYH~ZzuxE4hAn;}4Y_66=YGufxVw`RJ}`f20%2UgifX;9GlmwNVP@Uj=b*41dVDVh z-a3`I1;&t-F&3n^WH6vDxqf#;6UFz~VE9L_Gx(F0I%;RZy0zWu>j^Kmt$B^^k7l-k zoVHYYnbD0hc&`}~74mkii5)dMZRy>w{va_;y!vn z(T=J&L6`XXL0^??ZDBv2h7t#ufr*5qYECU7PVxFiNe8M2uCOFZiull)h)>~bVIIw7%*7-}- zx!>zMyW|+YS$itJcF7f}XvCzEj@vG4KJ+T)W!BN#b{C$+S?fcHHv8Fth2Sr33Do~B zLc=c5dB%X#T86`vS4Qugd}GiESEYnsVsH0$h}O`oKg_!Y2YOcqF5hb{S*CiW0ccgyndu{n$td)oBZ~a9=p1(5vGJkPSo6%L|yaC*!tuZ1IKx~b+E1) zJ!4;Q9(HGBGW59Y;Ehne*zEsP_&{6l;4NuykAby#90gtPHo;3%T%ns``^vaM_hD5# z-!K170owm>Bs4wG57{uAno~5v5OVzSb&uwbobdAXBBh}@eXap$WzbY`8n5q}KX}}R zfW$@KiUqoLj~#b-cs*Tl(YUcUc_TM@Bq2%*Qv7Gvy=ZBx3E#Ya{;x@AbW<|tO)4gN zIjx(ok~F;W%f4^!40veYD;>Yuy#1cSwR`n2po(nvgNIAhph_2=?{6nG9?C!9kYLL3 zr^Jq4z)sI>QHWhIt44qA=HUe8D5lTm^-*mL7TP93tJ;`jKo1yj_~3pun68~RGeRTo z#TR>bv9_(-JiN;3+~BwysiykT%gaw*yI*m(RP)S*5JN5Ohu{If&(E2*uV41h_gY5U zhwoi{+kTSC!2!pO(+ge6HD4!3=pElq9ALe@aoMH1sldyiu61)R6ivK~`*>a(U*{9& zH~DbOgmCqV?9J9sx9TUkmnw}Qz3a?MFPFhu&F1xrceM=RWW0!d8d57QD zrBhC1XrIfvuXe+HWnf2n!|JnkiPo#?tAh3GPQ_)mAF}Y$Y`jqL`&d>+tc6zIR?mY= zwP$BqG@of4zO6Aft=-R}`37xdYvi`joskPz>&~}U&(R-tFh+UyotMg+))H?ndhnp% zX!5MrL1tTG!!wi&7rSllzg@rFBIDqcHQz>V#)sat$ndBPdNinc*X_XY@?pVeE7(s) zE1kPJC}XJFL*FZYTc;`yzY*Je^uhGy+N!rwW(M6KuRq#;LTS*W@pm(>Ul=^;+9K~^ z?Mru?RK-o+`o{N>?sNUoJ2@Ak({E)j&B|`J^?B~#b2iZ*FZ0KjZ;yGlBj#DcBaL&9 zG@PEfQZLL)znLA9mEC6RQ{doJkm!H9#Q*fN?J>1GVpf+^*b@(o?%l;Zg7NhdPq(A7537!Ifu6BU5ut2T$MI2 zcTh%2t*%mF-A4bqhVZGWB)df&(STV9eHF=6EmUDGAT&Rb`VHjH?ln7$zW^zf3m zp_L6~%igr<-g-Cc&61?1_9=Qzsztv(OLSWPu(_oyu;ckln|J9QFVjnj{dEgeQ!d&R zDD{qcN~o@0Hh*?;W=V5Q`K`RFrn8NOlPYyWTgsJc50(b_zucbIwtH_nt6pW{U?wyEU}Hw%p>Gxg1s)v9)fCS<%9$hRVsCW|eQ)(CB^Wz@UueUlQ)T+T~eVyhTr! z?R)&!xYGxeH|aE+4b&TLKkJv5ms9Ur9Q0@pxoB5YzGM$)R-5ncNn>hs7fwD_6_9Y* zqA=>z$#^qAy|@MAV=H^r(;iJs^3IPbSHgeW@pj6DWp4Fhk4~rUYT6a5>yxnjdHqz{ zz;~lF?D9GkTRT?8&a3m>{&M4((A)cC@41W*9XZa9msn-Y%dSa`oNT(29sTrh`59i( znv_hv`}Wg^>bV`7vm&jc-0b)z-8<(r_8Z(#(%D~Dn!aIc=$Nv__nUrO`KbSb62B$w zg=KI5C>egCaNhEIa^=3!uU)4%6vV z5wLKvm-nSX(N9l7L0l)cfv4lM#UjJ6GSNCWu(>Wnw^*mcdPa8E!)rk$+ap5jn{+Nj z>6M+%T6mtbX-k>%Ch~;z*^|nOQj}X34Lot#G=0$?&xcW*%H@}KoG8CTeXy;uQoH2g zm=o*J1CO3>psSpC(5@*Z|M$bA*ZLhh9hiLF`pVczt$&=lyMd)t6=Ziwxy7q!oA3d? zDhXG%Zo1*O&?Ky`jGWwd;cz3PB5-b*)LLr?CgD9WhbJGnBH*s`Kj_jE_ZAEPX6So+T= zpFW{8wTS&=*gN0b`8E}*Ha54`>_6gik}|9H@sZTy73C++j@236==glcfvw|PUK<-P znLUWJ$>C{Hsd`89thPI)9p~Lbed+!sCqhQFTqxV9(eQ?->a^2r#E2lBy4D2|lR5%s z@{gJx`p20wrPsbve(svJ;q`s}$n$aawsq6Z8?L7ApVu6w|7xQqET9Q%4+h$1EUAyu zXsB`6Gb=3cK6ku%QKIb~`q>(C*^QG;#I%6?`m~*EifhuV^M?Pu{_U2_t=1;8;=RAIyvwLP(tktE{ z0s3`0#rc&D8**G0M!3Fmro;^2UvyD1`}uWunwH7wVDhf)4LM$de~KQyzp`TZ{`SkY zAv3cZzX&QRTK4wdX{FJ%a~j$YuQAaaq3o5O1OhYXA6cOP_SqBOgc9TR^>yWxmhaON z>LX*Git3IBb%WTMODASMH=IXPzLnH!xg&c`l9%2#_N%=bF@xAef`7u4Z{-eGzV&(-Ih0fW~x z^$P3=3;TZ3&UQ9##J!(aJ=eOSdRs&6aWGK@b{4gv10HbOUtD=*`((+r*Bx88ABkCc zcSzXT%WZduJqeq4>6@ct8XAubsh)ePp~KH&Rkl~9*2MQZw6%&Iy?bHq?qRy7{%!G( zulhZ1d-V`ms&g}!*KWCVI#9o^PiWGZJI_|vh2#%kaDC&~l@;~Mn--@oi1F7;`|(=R zx#P`matBirV`>K#D$~zKj85$1kXQLz%z@JlnW^PggBn6=mOL7-|6rEq!0ij(Z}wEB zUQJ)$8~O)!Zd2^|O-(9ckIx^;8$P`C@%ecvUPBkGd_7@Vn7QG|lTEycqjv+R*A7o> zztnz_5SFs0G%=t)L$}oMVeZSXU)u9EM}K>~j(hjZ*xkxc9(bMcZpiaHe%qY!e(lBW zXw35q47JFpwLdlK&XRMcqZQiQuYQ01SBGuI<*KcZS2t^PgoeI4|Fq)1vcszS$cNM* z_r%8`qj!es7N*oaRGUOyeko5UUfW*r;>eRbLsw`;CV19=Pd~IcdCw+?_)uLnxaQQf zjPip1+p5pU@j|XI%}fI8etGg}VrA>lJEKCK(y$O#{mb*etUva4`!ub#FRL1|YIbgW z$lPX3zG)KA3VufuQ;F~a~kE_?%7;O2{8+QzbEf3A;?Cle%t+>b8lq?l5MaDyPm!A-JRSVbiZkQ&a?SD zQ}b+h()E?JM>`nD={ziR8{UE4aQJX^}jQhMcHnX)o#rc-;S*W0kAmr{Hhuf(vF z_8dR*sE}AbN~bw$x{gYAq!uG9<93ry!~%+5*wcZ9`=6)of7&u=hihh{^{v3Opy}tP zTG{NGSnUqn-Ana!cbuB{{3_K{Ev*c6S9N*u)Dya4Z?NPk867rvEiyV5X{i;FhI|v} z-JpH4F3j=Pl*ZcNMX{5XJ?KAgr^m9<1UH*S^{v|035k)f3e&2B!uyx&a`W~o&s0*= ziz~n3tlU<2G;8>Ft;mN8s)^M`JI55oMSgs173I$h3FptnI7Nh=S98pW4b`#EebsV= z-h8R;ZPSg?a=+KXWwXzZP^b=z^F1B;aMf0C(>-bpFMp0PSL#D`$gj3rrQB!KH-}e| zw62!xTxpGcrt{-3&k|!aR+CEKt@$PCVfx!89mFZ$^4_IY5^})t8S_l{U2E+#o!|5> z1+>m4W$l3jYUCB7LTl0tA&0KP8>(Z3CJLOOEuQi5U+t&E9*0UZf@m*RJ5%fr-GOtAu zv-%u)qLtCt6mE}?o3SZaZNCBsNMjhB0E1fHa7Ta$j* zba?yg4u^-C=--s}Gk(6^&=R%Td-=O%`5YySrg!(gGt7SF@b;qH-1Ms#pSK)g4cbzw zV6>;?ZNP%OjAm1;c~OV%VMf7^k!9(zrTFbK)?n>Lm)X@P=Iadg|}o)U1?VI0CJfJ>k5?^@y zo4Z@n3Yt3J&^l%w2%Avaw%xsL`y%20e(acezG?F1M)beOF{UY#roVFBy8hjh3$Dt6 zs@r#M(PPKb?|R3)A+5`gsW5U_WnuBgbcgz?%(Tqx)xRm%s~7sUSGmk;o7(qK-gp;> z+{0eaz(d+|xJ8k_gw=k0_MBJvn9@?MSntJ4aqhP#BQLhRAe9bBmY?UHX=yxhH-6!{ zkxO4(&1zb!{Y_&bR(5aU@Pli?dwjA~@8pDK+IO~XYJPI{)mmF*uo{g*b-(>T{)HS8WJ>i+rc@dqYpk+^PJzjF#OqMSWRlPEROp<%5%D_xG-+UiPQ_*tC5&ExyiOz2By9G}M9{lGYWXBmrGJo&KW-L{8WE46YW*+uJz?0gn> z?J#B5m_pa!X}7oDCn_A?7de0Nn7axMW<_q33R^dgTYs@Urz~sKyH}AdX|@N8u$PC6 zsJ0cf+vn`5Hy>O|NOQSxV}O-QrHVfN%!u(bCbtw`SZBcASt!pC%HO7IP1oR+sNSQI_&m5rM7YKcs5z>+_S>g}o`zT3T4I?(~kR z71-*kJ412Y{555(-s;VHU4B&~Ja7Gt)VAFjTCpMYxP+|NQ+T?GF3|%UGafK}ms+IG zDAZo9=s0|c%f&YYvMOWowS>uoC$pZuTNCo*CC8>0264tWIJ&3rbu`{}*R@?2arMHC zsy2sV8&kuwwoIDlsHl{^aI9{aR%CkO*U69HnKxN1yXF{i`|gMl>Zq)FVX&4<8JyPr1R)4pB=jeLnezq^T`8 z+qOOJFv(YYkYneVTSN%nI(3+SHqrBFz{NGcw2lkgKBP_C`HYe7G`C-tZW^h+@cusi zyUbI|{)ospOx~))S@^WpA}c4@t-QrXuenOAvONBxa_ziJs|SsprFQslD z+od_TFV4s}w%Io|f7YPgPwQ;bkG(Z0Jd_prPQU&kxlcc~j|-RQ&t`g~zj2%w_oEO< z1pZGNnT!cPWMJ<kYxBxAb~6p zK^Dj$3xtpbQZRuOOdtglNWlbBFo6_IAO#ah!30t;ffP(21s6!c1yXQ<6kH$$7f8Vc zQgDG3Tp$G(NWleCC;}-IffR~B3Pm7=B9KB6NTCR%Py|va0x1-M6skZ9RUm~bkU|wm zp$eo>1yZO2DO7QpElZ{wyC3}N&-URF_(%8CvSM>N0sbsDmjK5R z;6E)(e-6u<%_BHySsIxTv~1WxJc5>~H=Jwu{^zs>f+I~hAV+Y~dV2k|%-Jp;%xRn; zf&;oBZ3>yF&7cve3@Q<0&=&O5GK9n7o4Ex34ffMA@bTfmZ~Sdx1p?mh9R|8_!G&vC z6SSstY&ZlhBZ31%@LaS^Ja`NGbvhh967h$_et~U(!#XF!YQq@XBIl!rDCv9*z8r%E zgfZywlic}yG6_9NNdjoGmz#X;;=2zgT!GAV%k)ZD-D&ZFJPi}#)>m>>REsTM>#6ja?6xf%Y9wOg7NE86- z9|J{;BSD`EkY**4K>!ZVfDDEJ$3)iw-v~*(0#LLV*ajTb=%;UiZ?_}~Aj58iODB*) z^L{FTZ|Wooz|g}(>j3SAPu2n7I!Y9PbOF3C3?3p*#zo!&-=Inqz<~RQ6@!!DA(BOx zGT#PE5`cs8;csWOls{2ve6uY{0ES*4cm({tpe=d}d`mA$fZ+boGDfdabS3hg1Bn7a zAE0mN>w{0PK$?|^gRMc&fUg%mc?NtJMB){IO2a|@@>Lo`RH^d47Ks8tBlF(^xDcPd z1-_#rQ2;Ds47?E>wlqc)eTaPDNRj}IuM6Q-!m}VVL>Cg@osuK~M-~ZP0od0+U4b-) z1p^NN_5f1p=wXWN1-{cJ@d_Ad7oyh)OBo}H2;lp9k_7Pe0o*KKA5cVJBi}WYC;*P) zt2A&H;W>z2fpjYo8CDAa7I3PlVc|QVlCA&)UBK5!uy;SzNPM4Fk^meWf4*HnTly2V z&UbSq3E;m*lHfIB4AIxf_lzY9fE(pIS;)wKvK#r%v_t`5Jp`5+1bm_o`2M#<0kC5* zaKq7##OR`Lf$y?Q5`g?m9AYgpvQA`*$U5MA`H}<()cJe!P8MCt{J=nx01O!xw3(1$ z`D8QkV+lzD$e@vM2;ayM#=w#my#;BuGvBbF`|rX>;zukJuK-jp{|dms!m1Rx0+1<% zs|z|2hnN%TL~yu71xVv;^IsoC$7BfCz~K}XAkB6rBYg;t0RJI=;^IIEA^8^g-%JLL z46E_e*9eZhGy>4Q!xbO_m`K2ZNfoh>!35(F)RWQM5Pb_6>~hIhfL0)XJ0o97bUR~G zMJyO-B4k{_H-K#|qLnd{G!GF6mmE3VIH-28P@P{RMv~?s;*dn)yShlRi0VX4s)z-} zixBSd|Bo?5--1*T3o@?!r3}XPlckJF6|vxa7Z=t7+Kr#QMyVneGNezyA`!@t0Kuu4 z$U~GWV!@ENj+8385>cfJF_<(932qdJ&;+fAPr^P-x`+k&$S7vw$4(+H4#v-cNzzCZ z5CBG%A3J~g5T%M($S6{Q2Z&Y;hKsHP=^_?Le&OJ7^WTPOz=1p;$-5B+-^fEjp6Vwa z3MN&=f^r9fpo{-3MAm^+5epfv6J0AA=>^fq6&Wqbw?IZt7WhbH@Reapi(Y{=+nKLa zky=MOku0iEq>ETk0tT6QcoP^!RG~;0vG7Aa5P(9SPX$P2Sm0ful}JWz-Y45xx`+jG zzx)IQx|L4_Nb?Z+DKNB%klX*sN|Y*M0b2koQQ+!6Pgfw#O613l;Nl4KNuOMSG!GF+IuYg4kY4!2HcAz-Amz_DuE_2G zRDe|083kKtLm@Ly6^CY1o> z-XYZv+gUU%48KYvp!>8i#xIyiTFPS5!kAPMirofgKWide*?g;5+L`7MY^ z3*%BnEd1!b>$ETmBc!;3?$g4MC6+>fn6xlTD@bt#-KT|d=^_^X{Ua|GOq*z07zK2a zuK@2pEsQeeQV8fiEsS#3QV8fiEsO#gDFk$%7KVz6Gy=L$3*%BnEMn5a=*38J3*D!M zaj7B}F==6>Yoxe>?$g33DZ@E7k8Z&M&&ojS0E-Wj66Td z0>q?+k*Sa@KulT~mnvexx=#z^Qq@?n?$g4!lo1PoJMg>k7O7BOjIT&fz2 zn6xl1UBrSC(p{&8k@q9{83?laU8aR`sUj9JX<-!1NWKCwX<-x%OCg~9v@l9`N){j{ zEsRSSv2>pn#-)l_#H59BsUj9JX<=Ndh(%0V7}*@j4^d277?&zy5tA0irHWWk3Z$E~ zFfLuh(tTPOMa7bzfta)~Dn&~c@G*n&r?fCdx`?Iwv@k`gh(%0Vm?BlgA|@?Nkt$*l zlNP2(6|sm(3sa2Pm#H58OQbjCc(!vy}A{H@eVTyDSOZRDEic}Gcn6xlOs)&V;!Rb0J zOpz{PK_Jhr)4~+#B9`vc!W5|@mXAvHPibLFr-+51HI2z-^C8SyGuU2B*gOvw6XBZQ zgQd~G@PD-qaOUyh(+EUO=6_`D!{WGj__z_Y>^*!8e7GLM4?2M42={y+WBj~-ap-#LxrHHJ(L)T z5Mu)7oJa;V3gCl?d{hcXBhn~PG(i_XjpOga_J?BuYyoRV@Sy?V@jrYGi|4`dAs}%0 zOy&}%HOt?_7cluVtqBvCF#QQkK=d=cxddH;10j^an$7fPPa&vTv0d2!^k=aNE^Mxc zn-7!6@rOfZ;%XWMmKB>9;O{eq;1l5GMOdcM=`=g0R{$H1^Y&o*b8M}ri9g!R(Atmy zU(bUx49Sq1qEW=3VC~Lk^ISc=&{s^i(zY@%CwiiH=fq{XOL3+T+#8%J{zy*`C%9$) zLqd;DmjJgxFh}=8;P*jHBd|C=KJa=t{t^XwvV#NR^`M9Q;UvC1{__!kx(SEF^Y!RKl%VRpjqYd=nxf5Kym_BZ74T3X=$K!ZwdUFDNcp3z2b0ZCczlWPUPZRzbj&*bl zAdxU_cOK7oik4PjU?9<(gZm_pA$4mTGgA(klyM!W;F!3jLrL_#5NHmfJ!y&4f#D7Pp9sc3w zx;jI?wDFJJHC%9}UbU8sJ%*ooz!-ef+WIe-@gxOd-S(1qu-P>Ed zSWz7T2A!ZCqzTugDY!2Jl1-Qe&%=i8DG;q~V+~ITeHFMZ@JzT!6++-eCqn10x3};a z;Q$5m_VD7qe@@8jaS0}HL46X0hj7_!LMI2s6QuCio^K}oD39qh#11lQRzey)F_}Mo`^bKpp-!b zpqLCCAQMv~X+0nhmjIRrvr8-Rafi#qtuc9jX#{hozbE^%QY)^)Q@y1)wX6Lp{BH5P zPIQMFdib*fAjTpHPlga5tgJK8V?eIQ*B4T9JdUpp0fP@c*=%1<08i*kn6a0zy$A+f zb5WRW-roK-qq{KB8j;Q_&mq`aw2v*vp8^@;A3|4p!|Ly| z>*6j-zTG8l_mAj_&#>!Mu-`zG1YH9}8fx*Pk%$y%b3w^WIt|+X{_*W@C@Hrn^x5S} zOMcBtp0xZg6eIwiFRb2v#^#1>3<-f$7_iAv!w;E8B%^*-5>!;7_e%zQTS7uyzPCjR z%ksVbpV-?ULCDhYZRo!v(h+9~fF&5vJ_Ph^5ST-UFis|tP;2QwzPTYFmonQX3?9Y% zo-%Q*g_kA|$VC*9JRs-88UAA84Vn->--rXkQjk)|wIKrs@H{9zGN5w;h^vTnTo$EA zTM-1ypR+eYBTlCn%rJ!ZPRq}!Ktc^k0%+l%&rq#G-ESe2$o(3loF+La$ph)l^g)z;# znb>$$xg1okZiO*`PHZB5~B82#QreM?r+lv<$p=D=GIjMMBzKB+U-N zh_(c||AaOF6S!IC3G}}U87NPn^U=mV1O0YtJCi;R2TB1!y^I1bA+QcWgFlf5jy}-B z%BWkxkRoBr3C*-Tf&RCc>A!1l%Td3AR)QXG?w-KefHMU)HJ`^6VsL={!e%ES7o18Z zGRVMT_m3ypY{^nq!|4<=J45nxGDe;LIpH@PPnHng{}UFwtN7d6!bTIQL%NDSKO#p& zgKhE0c8+ZYvI*9Pnskz;Ji_fs&rGMLYw67=G+`4d$ZTQ&GXa1-%(oy?fl3N!R{^ao zBV3u3_EVTE7Qf2=iS6cWC@5dp`R>IXZ2>8V0pFqzsXMkuX-gy&CsWBlzAfH8_!qZuB3=>$f)}u}xcGDUZPEzy z360hMY&U4I7BqLd2k=}tfj$IZ4<8Kz)J5zxX?6q;A75yyUc&L>0f`#4UlX*2j{WsABo_G6-HSoKNJ7f9Tzn?j&D`Xy_vT zTRy4Xbtha7OO*gig%O!OfTTl_j)aD2Y2)Bj2q5WXND{zgb0UQ*i=v&a1l1w*C5$c2 zVT7O!nM5+A&KAGIM70gTrX_rZOcH+vUOcuxlVENz7b6&2ni&abEa4UjX2#-gPjuJw z1=!3nu@rw&S9=?sr3nwziA)J1Qv{&+&&0$d5unL{kIE9j@B)Si5q3?)L&{})d+-@H zJ$zh%Ytz@=SD)jHVC}j|uaFJ12;Ud@xE?T>1rQHB4u6CT45{&S zV}CsQL%B{cm5}hUYWMzpLEX;F!`YwdAFLU`gHbkG@D1>R7Bhgyf#bD4T&~u8Y2qKP zXifCP$>LAyswVpJT{;=NxUt0x=ppQ(E1~kjorJ<2kl%hj+yO{zh%jvOqq_iuNXejD zWauv75(;d>h(Ycy$lZnij=Rtkiam@0SSHBsqud@eJn*@)VTdQ{fxu}oU{_dha^7bZ>hz-}|BM6x#W{YgXy6^EHs(9Z;tWJi%bL&{h{!mw_cgaGEq zxkq073^a6;kDPn&Ap-`lD`&JjgfV}rHWK6xg2bQLm2Yhcyt!<24NmYj5!}#bhIk>O z{%fC54gq{~z8t8oere2b~I&V4Y^meRLIF zsBCz75mZ$PEJJsW$Dc|0$OK0LzwJ0R^f&mA=kCEJ`a+lI>;P}@ihP;=|HcYD^qPJC z-p7D;fM0PJvJBx2qBa?|EX!=Djg&sRoMwohQ5OxWQ( zKt5wWbPYq}j4T=RaS4>yLd!2Nr^(A{|CyXSw5Wdm<`$OIAWDa18$jw{^eif;k#IC# zS{4C2CZ$&|-`n!N{omQ!Jwn!@>>H||0nZSP20vjkB~C%VGXP>I6WAm z(QF(LaQDD)V{~YPfi72QX{W(NI#eX53aEYPbXnkLt)%qHsMWdi82=jt|qP-2JGH}RckUFy^ zOS!q_vnHWDD;|XU312PDv6Ro6{0nF@N>9*aALd&KTRnvHEo9c~fk`=mPHsKr*7Lt( zJ$nKsBO@vYm^g=!p%~1tBh!(i4AvBlo5W>;6qQ66{h_Fg`HHt4BJ8R_J1L={C6n%u ze;pg!-vt7fPhtNnQ`o6J0gDO8TfkNZVh3C}-2%nFBw1vpZKdRDnQKVHNHj}e#W%P5 zj2%v;P$c->|HKaOs`W}RPeS~yhyugJANz?@+;yd1&S@Zl(}3C&5EdDlqqG^Yn*fZ3 zL0<(4D!Y7RNs&p1)I2FO#AM7|{E`x}l?)O|0&)32v6FyR#Dy&&F@a7=h!jmY0seeu z6qbK5m&f$dAWWZaM1X$+wiGS_BQSkj2$Y_eb^QXE{=5(Z3uYX617!W^sdanM_vSx?M4R{NHDk7B#|)#_*dkTaNqkR zOdqBf$Bplgc(YwRm|8$G_uk>q^kq3~B4;bWpN0Gsfg7Ub>*?l%d=@VBd^!0r{edq} z(_hQq2_}ZX#~(=UKC;{WpUtHD|KXauccm_ z`Jn_Vh2Lrqa3Y#4%8yo3VteHPKsf;Lf3&xj+7kdE@{zPDPzr%ECj+uNWB~p#KoY_X zkw~&Bg-D27!5z_EIvhYKvECqsU;JA`yr>uNFY|l%o^3DA} zYPWj=HN=3gh6X)2P>%pt92)JwUejsNlLPTK6{E<)Zj+@o-134WTW-1kBb@QH9$^j1 zF!2?&ze75lK?X<^kVXqgs-WOVl|>rdRsu#qm^-s)Xk!vG<|6e*8ixH_afUY57M7Z( zrkYfW6KZS>f=(zh4N<+5h}xf5-=k7Az1U0_0+LAJ1^W_AO}hdJ{kh8637Uw`h11ZF zE?c1<(w5*L{}afXu7E3YSgio8+dU3M4y)B5{8jDH6Xcz6=pinI)xIBk zC_`AS1n>5Pc8~|T@*wxWG8hf=PM=?S!eJs3l?05-sPY8hTIi@ljsUdI(nvBPh?2JZ zhZG>bvLX`TlBwEM3AmGg!tDR4{pVkYm)9mq(5#~UJK|qKr@;9`*1W4T~C$9!~({3sw{T)3@Jlq3G68{*{6RVcqkvKf=M9d?6mv6nf{(^ zfBDw$NjTpVWEe(Av(kXTRml4YMxI}DmLczBm-*6o=U|_J^!j{{9V1f# z6GrEcQ^3&d6sSSdQF#i3?hKj0K5eDU)!AdzIb=+Grk%CvoUV+)M{OYDyff%jOhQ!f zPdEi#~xt#ih7$;6 zbm;pdxEe?Xuy66t6r9EVK+hnc?;?C;X?X9idxDScqUq(~?9cQM)(qfzcyYDhd*A~t zW&n=^$7{Wx!OZd3dM{1($oC?M1joHE6R>8APqHzylIj6@}A zni?5unpira=j3FGoO)1Pc0lO?x8WuJD@1DmokSCS{W)$xHRg?;u{%UKY=0dQ8ZWqt z_s@XOn_=GX>%{?2gfIv=P>)g&5h$IY)vB2x$Ds7%qc|$B-&!zF+PL1$MX+{X%} zOmZ3~?=OvD-YsC};}wc#2V8}xc8|6BcxvYy0hj0E%XHyR5q`J$$08c~8+!P&0=$_1 z1mVfRp9$4TXQn?JqG(@Vwm*T#@zo(fPL$xuX8R)0)cb6S8RS;H2nJH+K{{0+yT3bm z^erj+?h%NPhC|C80uiF#S40Jg5w&snokk&Q(_~@AVWUe~fD|rD@he)s(S4>s-V^W# zA^;@$Mu(KJqhP+xIAIqa&)tJd^o5B6vje=LuhEz3|F5*@J%J2*6%6G98F1q+f*eQgT@8Z8O zY#Lf*Ki?pefsmO3op?gz9~nSE6c{ujL*$>7WiC1LPmcWawe>Y;`ve#V5uq;T73?^X z06#SdOw0dVyN-5GkbgJ^t@MCf5jNOEZ5uR!?E34aOrFVWV1LV)d3g=&-WDK7x#kGI4|Q!sl0!mmc>rRe%LLJDDIWpc_)Dl4iU8 z6JB~(0k4fUqKL#uPM}zW0CON9hopVxBwCCl+LCAE?%_kQb_cYuXE*J6Ht%a!nn%|F|ehxjZ>KttTs+mwgp62fx8psfddURSthj4 zn1ng;!p)D#8L|EjY>Rwg!@tt5_XM~`1_~?&9ins8nnQs!I*CLi!OS%1(Sy!gS)fv_ zq#Yvtndx(=@pWZ0K9<=236uV(#vXb4oDH>QIR;e}g9?qaJ+RYsNT0)4h7Wccy6`9% z5J=0=PIu|1ecW<#J1qi35e2z@V3Cs#R&s|K(rh9(z?sWK9gUEcBLVpC|5L;Y%o_gu z&5bi)4m_W$QUEZgAUlntvbW3t=29b80>s;0k;q%lUHK_Ct*a~m3{8{g*8Y_~cu&x1 zI1t#u{)WIB0&NTeZ7|%>v}r;?8r?X(atxf6`5s{`eh zNRlP$34BXPQ-Bh{BuESkX$mlHm_snL=cEEK0o7$NCau9BKd(t5K&+O z1W9%zf)WadLOV3m#$b9^4$H^7hfMMpat=ZNE;|c4JFs#NLEuU3ijz>ZU%HcLF6R*R zBB-hgmH4MMs=qkE@T1=Br)3lD%O+@9LO(XQpVll7pxbun2c#qpl-{j>}@0X{qe zxu2e1Kemqx$9Zu-3g}Lu*6pWd>CXWo5TK}-ILpHjk?|XuOoFrg*?gva;Gbj@CK^ov zXeWgP*iMW@VURK50US8X(s0^rV|)0-#)Ai}qZ6lFX88V80GJ`So*1`o2e= zQ&CNMWy_+Djzm%vrPBT6Pgwpw^<%)dACG?A9^&7(-@m%ujLsK`+^{(|9#-<-AAWnn z53^Zx69sSZe5{?Ay-jV#{~OEizWaZ-iEFEmHvi|#hku~i7t}Ym5x*cqATA&v_ioSe ziw_m0QW^2^TSWZTt zX^44AeHXZhVqanwE}0x=-+$aZa|r=KnfhFCp|qf6a+nwYCOo6SH9_DaO7nN0 z!k@T~WpdzhXH5a>jY1FKk8zi(TY%W!80lgOF5$h^3KaOtDq5=I@d=<7p~?QFVo2?0UbyIjVR z+*l@udBK<86(s~Nq73Z#GdY_VUXVk8QBGEu#sikPL6ODpHy5}Df*-T2vy!P{yqb)c z3kb+b0ONNLvmPgI7%!QXRxc}l)8nC!f}iQETrxRu!RG}u_bwn@`T-1{`Kc(;*M}bC z_rnM!1TLZs13BbO4qS8za{)o=tC(F7u_5so$HLc44l35z@sSa}M41Lc$>gBP`1+vQ zeh3K4NdUthCX+KRj4~X1m_AD5fIpKnF5+QaK)9SlFpXwE`ueQN67Y{Ma1jN+=2LYn zlQT``*$)9h>Bkm${=A|@U!S?ih=ssKlz}&unH;$2?7M)V^dp#QqIt>WF#EEp41tR% zxZ+z!ER(bC9?we%FiPK9P6JJT<_0RVs|bN>AOpu1nVQ)oTT2KCNI$k{Y%Q4^s7P!P z0#{LFRuVaQWVIF-5R`rdGxD@#azK%334x0!6YnZoB4^gZ^AZAr(vM*9)2&sHGdaw@ z>?%UwB1&t7lF4EACEgDKLFt>zfFE+GaZ)0Od1*XiA#f3;;ioVQN+xHT%xhQ(2ueS; zK)$!4WOAls5{n;hUd9s?j$kr5=$P(a4kMVcAHg(^U@|$=WcHOIAS2dC871OR;=z6*2pph1m!G(A*;;fY{VD^kKzFLDvH>CB4?V+BR0B#p!6e{=D+Wkc9qfB zM>J+XWkDlWe-QB7PHa3u;UsVL^?}m-7e@0=tQ{tK`wnK1&3@vBX^|Xn2=IXQLGZgC zRl}mE4<>``^+P~FP9hlm^l|a~nH%O_cCO6@uA=Z7mdRnjcFrd?7Z8+_2u3VFkpqgX zVYqO3U@cQ0rAeHW$YI3P@?Aiq>KP;iu7TKf?P8Ic z8fISe?uWV8{vtW07&1~xiJC26JSQQ*O!^@9dQ)MNso6>_k?Y|x_{kE9i^ByZQv(w@ z1s`32ne;&>UR5$R^O9^QA;2L0rE5r$U6_2LW}6n)t`N8e(s=)ssbRP@YCSsD!EZ(M zog}Vs*ICKrpv1ZXJ368|g&F05GSLGYv6T>@Ap=a`O*T#>WqN>-BgzoC3S(Ceiz;V& z=JQ$0LO@~q8_J2dt(hL!$njwaT!m@k_7gp*v+P?#Kw0!`x5j)DhLeu(d<-`f4OwZ8T&ZXU> zWqM$<@G27mS7D~4SPqlv84Nql5V#D3-{UIgnd#Yb#%v}RxC+x!pcjLd=m7>la&EnN zITs57g88BEpYc-$l}q&XvAJjx#D>5%5Zu_SAekCgP1%Wu0E6^>^N@D;v1%r2=4M2d zk5U&u^g%|>zGrICFv(nm05j?P<;DjInVJn3GYNrfAS2&V$kgC2=i8#u(-(_pCpo&nHIO0YLEIQKH4K+t7Cp-G{Ma|fiPKfl(+875QamBRO!^=x za}Y~PVrEX5N9!mn@?+oL@!2Cydm@H=Z@ipDeWuaJupfpkYgXa~&64a+)Mtz{8{uFg z5yM!?4$K}Gk|gDieUO$eA;hYfn3;|6XoUbVdE2*cC%VvHeh6(!Aej94OPfdo(fbfm_3QnDWsi?2`QfFgQ4xPWjuL17(>zCOE1NmLET zI(Fh*M8^_2n-`8$?YB9^@bMc~{So8aXwlaPmq~TZ1$e~z1J*cHjjldG2DywD0@pwW zKb*<#B~i1jss8kop&cL-bq85kr}0~5*RhX8^6^w)mO(o%TpR5CZXVL=Ijizp*2aV7^Y5_*RK zp}aex!seK{VOB(mn+se7NlC8oUWpo*h&eVF5RiVnI!fw0aWfQNw?g0|O5=4t`ucD! zqh`L3Zf(44{b?C^q0i*NMHc!H5H5X`F&%D)9w&0pV_E4#Kv4QY%fR!J$w9^BeM;A~|KpI~Vh@L)XevtboA;5ETVk+shHcTZ^Gj}Y* zb#xg0t`9PB_XrgNJ|^vSXB}=%!y3XNvsfGd_ardlv+d~V!$CG-f|t)=STtP!9weq9F|jFN13y|lp5GYyTGMKA zbHKjoj0ar)fRFk86VE?>{POh)(a+0L|EKpTi2gqKd^6aWQ)gdgh>g7%bF0HPB^UL; zO{i(TbkOu~oPdN+eZh>zoLqaTXEzeWk|;$?5p)~NGa_hEzkX1XTMic zWfy<^rk1UPjNiI>ZoJmp{fb;E+wT6TOD)4adTe8A8E(?B9&8YmdedS{MU|Zw%SF_( zWwrE0En62mwy$N|YWu%hwy(CUDrNh9l`-A%Q8~}YjM%A)SFgY+bhO=(B87z zE%{n+T}A))Z5937_toO5EQ=>YR@=+fGJH}%eMWv{W(<520CQ$ps6CA-Zbtf-?-gTN z>};Txt&44&YT34%&#YzpZVOK-!xw|n7o0v=3|s5rH3{w?GBK=wxC*z~a#v;HuB5$1 zbP`WVz%p5O6a7Q?7S

Y^-d*xER0KB2xN-(_z+Uq(>Gzrmpp-#e%|GhS$+~4A30= z61-Oh?O<8VhxBEKW>GzS?`+AOf{Smi>{y_d4ZG#5Y8mE(F_0*=bHrM2#xrYN2D;1* z@4DDoSe3=}O1*uvE4!s09=c+?G_Px+Lam2ejXdXgN=o=*`QSALABp+-UvBS?_02Yp zREEWZ%b*54rndC(SS?t34rDEHoH6jciys=~GW=4pr$2KU%)?&;$z`bLPd4Q;9BlYi z;#_9+WL##v=0tjmdVWPO%i`lee-k2?Sv~Prc#v1t&}p5-Z_K#PLvxAtW*O5N&-NVD z6MrzzyWMS~{w=%);3+20pi;e+=Lny}`KwM`Pka+z$hYJU)!TRt0NXqJ1MuC&7ccnE zgLKI1iH^_nVo`R*-S7ZnEyJhQciZt%J->0B%Wz9`x6EiRyF=GFPQeseM2LWq#2YX%FV6^Re+*f$e5@Uvpp3zfJ99)p%%vJEcD3 z!3l{spj3EZvtqyxt?Y}fp4!K0eKVX+TuX$*1v5bDkfZpGE-M4yT zAJaao-`L@xsXc6&IOaloa2!-`!M1{Atsd(*{G{J&$bCjV3^cVj<0Cq44*?9-TgJ@} z{!4rF{Vw*gAVo>CclaE!53D_QcY)gT%vvsk9xP%<@FZd%%iTYt$g;ro?~ijC^ktR( z5$f?6z^jR^qCMHe!eDmsfAf9jIf7no_C;~-3)-8-KH$S-J%TT0TSZWUji|JzaW=vx z(R*m5*vEpSF>O!cEAaIzI>cia`F@w9@0^?a82YR0$0+#bSR)q6a9 zr|tQh3zY{pvCD=uUBKO%wp63Yq;!g&1J++U`-?gE7o7l&KuvmF$z6AMN_Sxv) zF7`1a?IYudgGd>_O~wG}A&Jr94>T`|dfXT2fxmQG`C=Iq{j&v=#~II;a{m^5lPu$c zspR$$v+|sShkurl`vQM}9kt-jY99!5Wc|Q%q0&Db>`-5TyU6-6&$F&?xai64!4f2= zikLy{1JRn~KoLuceJr@LqvMRhs$&5Ea<|*(d8{l=sP=JRIiCZiI>>n-hb-fVy)N@z zkjjwxz=dn+-?rH~XYC)JLgV&kB;B+4KWxlAzV}OK_zW|!KA)?vTE)o22d((E8d=6qM zu@6Lv>Nn6CX%A^u(E}J9^Mwv^U%+D$`&jUZrszF7$n9ZItoDW!DEALt(fA4}0B#SS z&TdZUF-89*hC`6(Ux9Kxu@B@9IlqhcHnEQdcaEtqsJDxK;Ji`yTL`|DFBG47Ao)NT z(EC;QN46QSvWS31ckhUS)jn{1B;$vz6}N{Rl+Fi|Zqh%*DpUqszy6=c9+9`dQJ2e5 z&tDkJWvGXQUFBx=SSPVB^Lv_E7WGiG%HoV!Vjt9#Tp#?O-^ru)7O{_MUk~~xIW6$m zBo90zMa%u0appi}=%3^$&_8H-<%W8@*vE`RQW-yl)I7iNP3jNUJNE@~*k*SL>bPLr z&FxuEU-WAA$Aq#h_GPl?yw9unPU!O{=O37(1N&I)^Y4s-_WZSnT!sZ+a%5Id>|@5k zuJVOHblm6yUY54GZsFpM0UupndiP>oRNXyalzS>%m>mrREG8> z_louwdn_Sipq{@5nai->((?+`WBag-mg*luDT#qM7(>N{Z_>COz2o@GGWFTsp1!>Q z_{)bc{^LzQef-x4yJT~F{r2R`&-L-o4)b8F@Q(axX_p_hcMWZ4i#l*(Ug--L$KOhjD<2~zp2U8n#LBaPd z3KsU3U#;GA0+-a@vq)LnezkA{er-*@T1Z%!Ihb3#7Zyf${_135Vu$X&aL{5@#nj}o zbUmW%xE!Mqs*jm<%Hoh1H^l3j_B{tC*I+>Z!<2HtKvis9HD0~nQrQf7hpz|qO4uvC z>L_eq&tmszg|Ns!;~6dO*v@?KqNDlKrqxsK(@j(FyX(!irpKqYkAj5@i0>T(IPT65zrIUq(+zptDdTw#B|2MN zYklkX>pe~075T%c$cRY6UR0eg%{g6@8n|S@QFD)JmsGL5A@|1U<2d=@z!`-H7EMH( z2>kO)XtR31u{C(GUbN23O53B92sqN|A(_g>)8+li4zq89hhsQdWHQ;>F z{aNI8*zfktsPSnJkRKA;Zih!73znGD9zSTiG4>Mn2z}p+iJO#d!gM3+Z?n)?`jv45 z$1LK2b4r3v*3jTi36dXdwMq}>(i~bsvC^&v$!knw2uOjBidQDsI;Bae(EK9su!i535f@FxDWt47 z_w3Ds-abrseMTr~42&3-^vDPHHSG(UMDyrlo1rwbc9Z8`Zj| zfY-AQoZDX`l3%>xl720XIZn#zg)RuCs#7S{^t1#ztElGlRdXwmP7z0h zUH0-a)ac6$To_N9DmvtYDf>nzdrM>_E3KjR3JKj07Hs9UhbXQ%}N!mB#g|3tB5j z()8+G?iVdTuKwP()U~2V`yiaDkR~@X}6%7Y{M&NmPnh?LH`y~ z?3`X%R>SAh)VsGHYjbYw5jLX`WY59qoP~Z)xX`H6;xIdG)&nnQg#07iXQnX|-DWf? zl4qL&CS*p;86N;>!6f(f@+iv} zbqcw|90ua!G@;ydO%T%34iC@b%lCDi)8|ZJ4G=O-Ly>+$I}IjUjq9?gZrAE#Hj7Sv z#Xmi<{ii2?@gYxCU3H^Zt;#>@wx@qP2#dRi;U}*hCYQ2yzj)~|3W0jd|L9!o=WLdo zj(W2!42f2jtm9wsY!2Lr4(a*CE5_JVhGG^G(qqO7#+>Mjy12gvobUKC z(suCE^V~)D57<({7Ajr@b_xEZS($oh_%wJ`O%^ag>akAp$V0-@ypK|l2S4cdhvO(e z=fFCOD%t9)fA)u5MHsZW&JCC6H^M!q!VH!y7-t#9HDN9X z@;Xo&sQVB%D+uB@9Oc%MRC854+vgHNV$T_GkvQ;K)h@J{9i+MG6x0f$SVJ-G4-d>6vB9o6YlmFdv zQkw<${oe7`KybtoZIVTVL?L(55La{E#3_36b!>mNfZ-pT1cfEX%6$GZev4dF*TQ>? zvb1^$M9LmfcFJ}bSz#?A zrfKOjtmWU`w28fHyNr!BkG+$RMp&&V)Yt-NdT=n+-Q1=*XUQEh>hnWB8v2+LU$KZB zEaYOR6?I%nC3P9C-E)SR41Xz|hf0J`)xUFeaea@NdcjA2=IF%$UO;_!Y7bnfewB7@ zNE}KCH<;=e1j!4UvYt)dUW3wyDbe=lOwl?UZ>lPdaif7X8?(KIZ<2VyoNY&yqC-EJuM=qcW*BB<-cB^J8iTQu5ViP&m4Lw9&#_nFAaKo zP8rTkLEqx?(?-v}({5F>rc0`huS=gw-*OO59iP8^%SJTC)vD5L^~Q=*kMrfggwFVT zSd~;Lnu{Xu2V|f!n?#W)DpABE$P=b28wi7#{`x!izMduGH74?%mF+*(*#D_kKlV?x zA810hK{;MQ!ZVmxxf0*a(we#7lRT(xbeMbsjer{ONT42QE^TUQ)9?3Ea_1`FxTNL> zc76)9Z!&vhr83JaO_3#o-q`f9i#d@;(ZvSvO1ydnN}K33qq;;Ksv(22^W=6vcr>~`#WT01 zk`$o@;%soC8vwR0a3OQn+lYPEw|o&@yRT%E0ts>@_1=v6Fd*gX4LTQYb+^JEcWYfMXt#OA^OfgnWKl3M4WwL91X2LVQ9hpxaV|58TU_wRwr5qK6 z16R}5)1C*Ml_T5^l576`S-(UrBv5o|(G1@7f&=B_&rrfz_KVdosB{nBDMEjf-hPdM zq(<-x(}@ZY(8ABn!*HTI|MyU6Cn$mL$Ab)4{`hiJNM9>H4wHaU(^Bcn|6 zpkium$+LtegI-oa`N#cFqX)Ji&;?7L&pn3w1oC(TLK+C zMdh7ry9#TvF_P(9Ohn4SySk~gWSHqsy&SxxkO6%!$_BhCW|=H%8xyb!WRGd69eL_J zNhra0N%MwiC%RPAKzpqwDTJYnDqucOF6dnCg$f~ndy1(z6g0R(s*vOfW;A9)8>W#; zYzW}(9Rz4JIbm$Yx|F>($P`j4WL9bn$-l=o6X4Sl^Q@@D&&-vdY ziiW|6$kH+|^dvhFC?%BFdc{jwN^te(`Jd;n7l}c*nu@hggfkisQ#hAs2noE!k400! z)oHv{4YCrbf9O#(VO;Pdf)yroONnU5*_j2Q6p6K&T#VIz!lW2?*G*Be9!g=DZv5wo zos4|5fhU%`YMaX$`XpI`0LlGI0Hf6u7$QK7qC!9C&30FE7!H$~gR*>(S?_CNAdarW zksn(V_3-@q&M-D?5h)B{-J@uDCi@G>=kyP{sWVktSEt%RL$2Y#h~N}Q@e~&>RMc5% z3`uAv7*ChyGo0b0)C>w`YDX4@ssnX zBoeqZzeIz&B;IP*U7M2)O2pF$K10!^g)^)nfj$DxdZ{09*3c%vS$`o-Skih%*M(Xv zODlab#y!UwX7f9F27~p1c;2YDz|3olF|3&hO$AP1cxkrXSJL7%(@u^X9;go>-t9M%qp!ByiPYG>^vf0GzAh$g3;bA<2agih^zkBoUdAcouOrD1)%jRKTZrVTZ8&sK2u1 zD~kE}!K#&IKWQ)_@JZ6uqbA_Nez zh=mH-?Q2BV(Ud^4KWTX;F&Z}w9)}elMHQ)vR*VHYi)I$(_xGf=Q6^cP zWF~XsWlC_T4E7g8XmT`Ogw$hGP@xM;4JL3-%%s7VUKd#{`th_46N`Xydi7HTkMu8k zZ#nK5w&FrDPRHlb`MgoJnxE7ofJr{CpcgI?yMPnaSZi7+iiSggvow z6E>2*S{SQW68Bz;s~Csqy)i zT}khFKVC09y+3462r~xPfd)|OtO%z_w{!>FUr$jb`76qHA7tD4)9|x|M6~C}wh!6s zs`JSn^v#;mGHBbi09M?!xt<8GO6!g&Dz8hG#SXGfjg5CnjL4DzFdj~!8yjx?HF(+@ zXx+04B=_BFi5=F`vySFZ3OG@HJ-V&mk@2y4e;i1z)4z3>%|G*0nPr8*ueQDsUP40# zp4ak3=Uep`Fhp2L<%Gztvk(kI{n2|XO;pGtEf7bZ>Z20K*UCO=gmW<(Lr2^l6Ze~y zjtvP9$#6!V>KlY3s@|#jN=)sk%{03Zb{_-y{3}IS1!L;AuyusRPpjs{FR%4RSyfE- z3DGwHIl0h8gTipC=G>dXzuCYE7GYBFMKS?|&jdV<73L$nQJfrPjIY z|C)hyYV&a!w-QwPWBi**PK<=oKXXlE zdN*G{PnZQBJ_66>4M-1Z0k4qn-K}*wJ60}0XiWB3(EI%+JOJKfOWd#d6A+4~0CY=t z3Og>*C+US3V_;(eQMOiB`)eyTz!d+IvlI~Vbm8EO({T3NVJFIwf5|nR+)H6T{RSrj z>)IssBs`@@l1~l2PD`xRDfr;x0jA6J(12c(VuJNR ziZ3n0Ii9@#-h(l(O*{8`P%UtjVf_<3i228`>D*_>Mx2;lwMQ?Pg*dH6jO$m$8d2+a z*vofEHhy9#DM(`o0KPC`TMGQpdl>4mNrs8-vhWq~L3Gh-Y^1e%31{_KQ2O}Jn=F{G zoi5H77kU8e>*gNxUBWrz;WwW_2w;smylNKWw8MH^Jrwn%^TsJzZd0GnC2*ldq`#Ub(+)dwj@zy1x_g+3bV;I+%@}dq*E_^phQC zox|ghMogVDK^l{BS6f^dvv039>Z4B!SJ|E7iPgt0AR{2nRTmJ-$Mz!Y*`@9b3~Fr;VkT`SXn#}WlSDbo^eRs;sL%THb91U?^4 zzM)269vx|!2S{k>`>7qlNk$C?oXK6L3)vLW-}U_U-Fk_G>{NcFMkV-^lkjA&?*RT zp@W@qJ>#`TD2^=vp^t;K$si%^bF|pg;rFn&Hl3ml)1w>x8m9@kf@<9_vO_sy)dzi= zq8LULM!D7VUW(?asGF^}>dOsZ9lhjIWF8NHE0uE!PJ4shJ-3Bev;+{L9ds$rq>Sc& zTMn!mf_T8HnpM4k41+XTl|U#Mt1^YcfP+^=P>OOJ<~_^PBXNec;X4R1q4K+}$8|)R&CK9-`d8aC+LI3rTN&tY zHDV7mt^;pUycU$b+Z~bxiNmK!lIwuzh*f%vDV8pn?~IQlP{R2VSD4*_5Vo3?4*)%q zscO*PYiTq_6oGaMsX@S0nxY+w)8vaA{!$gMHc0JGiklbrlNv@Sknzj8D!1ZS1(kGq z)p)iEvd+Nh2r~!`FqU7HgZ7kWO|NwJPV8G|a0c;C&)$FR@L1fGf4ZQ8qjiXalVIL= zB$OF3l?rkSc%w4d--tS173u~40+_s+y2r7TP@&eowfY;(U4A7`o4oybtd8}P>5LR? z&A`G|uP#Tjl0x#roc8YpQnIUgw)f+=A#Y|1 z(OsAQ!Q^;9559|p83v};k34>V&&k_1$0gtu=`a66ar`d#&-Te5@1n>RFVu_jet?`g zxTpw;yDiUE`HU2Cd!?R|i{XB$FEtBd6^|!cjW}jk3oz`KKr|UawnGW-Xqs%Xkp650 z4%X)vM6?Y3g&qI(=!Pw>G=@CBD^a%Z1_n>OgP3TTjdtTcZhB${i}NHzhcG}{8ZgzT zpG8x@tmNZk5|pE&h3nyK4r^&*=@Z4Y-ZajnBpVc@N1N&QTybrN&W0X(@n-CsCpCxq zmNJ0P&ICUDG~c(z?JRozH1I-5Os7pIX5`o&(Xq#R)?6nts~Km;>iS9g?qWdu2agL$ zkt_iU-=++MSDlhWwO>1+;c5s7dn=oHtKV@{NZ6R&>tO}9*w~hxZ%#ZGF1E_KO4JHf zwN@>-GB=PWUw&SwHw>Hm7l751SLjupH{9jzuDij#a>l+Cur)zij7x^8v1^-N=nVK3 zC^em(?KZt=5Yl>Gq2mWhYIbHOJlE+iZgY;*&P;x08`4X^e5|mbj0w4R@5a+^EAgbE z%=w86HFp-vIkh`f5Sl9YrRnF^!GH&c$O&UeZ7i4jx-pIAwpu3X?1f^&l(DhHyf&;u zWCrcz(B);b-FL6Y1~FrQqn7^gFsDO!Zuv`UE@(R_!?okXvAgq-iPN>FCSN)QnbO`F z93q;E0fN9uETS>pB!;b>Phc0YTvd`0n;`yb5N^EkfmO(-^ekugJpmuEJI?N1D7(ow zdcuC+UASxvCbC?kL9l}0Dl4E#UAuZ`I#YL&LY0?oodg>}=wOF@kkeZT)K#Zxt7WQc z6{)$3ZN7-b@3hP{r4=wu#o1RgRfISI2G^$K+ppuc8cibo*ksrrE2=tBRjXT)Evrcq zjO1%1g;Rc;$_vjj<;&Wf$HC(3K=m}H3nj5iM}x(b77{$}NbJku%^Bndn#oN2q4Ep@ zs<1m0OUt=~)IZ!IeGjp1L}=y0#nrtYJ+j2KSB7#Q^Q$RGpViL{RU1`4PYT&Hu$7hJ zEM4HHnA)$dwb#{iJCr|+2(E=`pco*<71w9g@8!vTuzBUDSniNyPRSP=F0R1_z<(L~ zvzOco8kSfJ#;C-!@ZVRztU$!H4D%iNr`7~h7$uT2N35By^MJXk!Fo^2O`qKwWY5Wz z>J*o;+L+%>b4b&RBz61lxbWd@+z?aF{Oh3S`p??)$Uq8mUA6wznuWLI!!=2l)Y$oY zfLnaoVc5?qi;GP;z1z#Lq_>zVMepmY{b+Q*mU?AtddIlO^LEzFcA5Ho_vuWz?Jg+l z+Wyu=W*&S_7Wq~wKpMTwH(?naOjvTrb#`t(ZR{Brt4ULx5i(2kE6+V5Uh_+d6Q_gv zB4lGt_&^#|I&E5WEz$C`gjJy z#8w+2A0+NY@+WuAJc;Bji0K^r9|9x6j>?G^+K;B^;&e&XOv+KjSk+26t2Fw#qu=7r z-JyqKpV*6?BdZ03GQGrs{Ylrd&tcqIH&C?2@fQ&5*L#s6ms4NSBQA{dRk6LSAU3+s zF4}1>oe-Z~^j{!NNj|l-X}rRdeGuv;s%m~Oq@>n^-=1yr=0F0vd&95AHW}+YH4REF zV8vuXC5{opHz3YXbC1j0FQF? zAw#p#i>(1j!DKe~+1b{F(irI=6)Kd_dhY1g`51<(mv~FVu|q%JlqZ6T2CouAA1WpS z$x*xY9+Y}~Ge;2dj@(JqK?vrsHK9v~j)}Q`VqvC=n1RdwZgvE)v4DTNO$4Jbv|9b6V+udX_-(w@1W+SOzy{CTs_?3R!~1Z>$AwWcJ(-5pM2XP=$h;wDn-Nb zA^K1fWTTv5iI(ubh#jRU22OrbVk!Rv?|u9Ub&6b4=g(;FBwL5M4dEa18={q$>+yda z8eiiG*~@aVD@28!#V7frLo_}!z9f@RKAtwy zo=JXv#UHzxKD%S?2;1=f#k2*%%x`fr#IXCkIK!XF`||3fe`1R83l)6ZF&(r^s5^qa9H}r# zlxj53Vzd*ZZsiH;FD#SP|AXd%Wqyoxo=ZeoU#_*F1@$-G7(pK{6Yy0~vr7d4QRyP;!?7sP=#s>QAGi=}u)b7d23HcH6$>eYji9LHaG3+Cw;I zKBvYuKVG;Z_u1H=Db7}aoBL?5*ND!cqFTiYLV``lIlf`cKwp+;<4XjyIDl) zik^>8q$BFWQQpnHzx?t>Lip+AZsqAlKXNc=!c`=L`ItpGwsSk>qntk~z(uhmOwcm> zIe%aR>&N_QzIF=G+`LQ63MDahX=@kS)PDUm)S{#eOB~JWC@*TYuK_fYj(!GemO2}M z0ty|S{EwP_D7%scj&1Wx8XlD{m1qJbCRcbv9(W&y&2J?ZWe?u%hFqCrSs@rR1=_0x zW3%DkO*tcsttFS+HYWHHDRl(wNhxmm_^@?~apZKXb+Q@O$%O7o&qm?{%h>k#o?Kg% zB~-oc@*9cN14W7DJ(-~2kc41J^R%b}&i$Wdx=$8K`AF+m7SQV#J{E#Rtxn06x|NTik}RO=I7)r+~;^5*$i;{aHa z*$kNGtrZKmj#TP@g<{B4dmVyY7iMxxA!{!LzAY!z#|jZ%*qSkeGBo>vb%+*X2xmwZ ze-P>+X+VYAT~%^SQZR#U90&>x%87cl+GqC3qr3PeJ@EUuM8u;%F0~%e8oMDRXv*|I z-C>VMsX95BKwZQ%M`XPRh3a}J=MBq&#EZYfNBnwvezlRaqGvh-zGcGX@%beJ`!C7H zS)1{%kia_5&G=QlYC+d~HS~^xHBOa?iA=ki@Bt<6XNahT)B8x}CA?O<_3rD~pHF+o zj~4~Ndmnx377-%PjkJ6}ns`(9^ta&YMEU6?>S?>T!X}0heMG?HNh=5CcwuF&*;1Jr z$D*1MM+%{k6Th=IKxIfl8?9{D#=o9Dj{QdU7bD~+!}0BFOg7aYSGCL2IhDx745qLF zu9aw74o0^A-iZ3Hk)xC*YTe!Jt-x>3nWguRCzAZRJ~xk&QDRHRyA$GlB3lU6z^Td~ zU>a60TFagR1Fr;|qZk)9a%Eq{54de5=)b#4l<26=QHM#CPfoa$vZT+}IfKe<$7 z3d?vvkA4(B9~bH>`9v9-P?LODW`c8CBY5`P4^te^9R*P?Q66KW<6QlWqxu;V9^p0iAmx0K(XIZ^w87fOQwBxDVJlajs!C$xz@@Mi; zw6DZD>Ghe}Zv;|yntmN9WncvNHGIr;kEEpx#Tg={h(6aHndjyT*I?U~pXjQtKDb}P zmnrWVBgTvs5NSzQ1}J9@YN_7j&hi&?HC{@!mxqe+J31U7`R}R_f*GQ!}Na!gB4^T4Zj|Jk9$v97ldHk`iq9SIJx*Ol;XE zPHOO}WoJ%WPGC9mf|nBhErBM0ri0O%V20?xb`IqTPj86Tqk}V26B(A&KhNamdM6$- zbc>NMWZ%00436+^F_x<_Fpuas7_s%CgfnFN$%K0AN2(HJ`PbpjmcpwfU!Edn(iDyl z8Jc^qjp@KS12v70Bzi){j$h)VKTsZ#rVK+z6pHJ-+`n53LlL*vL2d`m7f39Cm_k2=3g(vmdUU)lcP~~)dpj!C<~`gBib9XOtFpIChwX>Ouq!NUY$qN=tnP`j2=V@{buJI zkB3}C>yE1wG#+k{FPsNe@_Yu^8L{^P3m4^TeD%#sDwRFf%*&F^caWV=eCB$fPy(E@ zr~Cp2#D?*&bsVwq*fg>=lTAYA#D>bR<`#y=a3)#UJ(3JLl>%fJyvwH)^;&f-H7C_Z zwgc^yBu3)!9HhUSIOP|Mn6Ee&3q=0kr>Cm>$s4oB?K-B>r$Zoh@pz?9S=}h{#8Lp9IO_i3a5I|Ol#qNfnCbhp zSyphCei(K-Ip%x&H~IQ@*4N{ypUUr1a8vAv!Q6v}rsi#119QQH@85Hg$MxJ;-^cLm z^yB`+bfkI2nPtN9xbnoFH{R!zo8Qyc^Ui(uAvmTPuOL%->fE+fLEiAh=+5b zsles7J-fz_vZ<%n+rOW{6Qxdl#I)MFPGp}r0mnU{{h?e6Y7(J>_ow@lMonUC41IJm z49XSQ{9Rb1OTwkr6Uur0AsLqA^DZYQ2bYn4KwG8Z*GT!yVJuHUp^GI}y}lJLuvqx3 z_5ki|y~6SQhBN_KZ5_h6k+rMP@MJT{+2;uEYP-|wQEvpttUw3}m+E(TER@p7&`^S@9l>U=>y}xv*S~NP{*SX5 zo&HxAIdlq-MSkarRo4DVU^;|e)Pv5*w~&=~qE3#NG3ZG7Cz<{zUAE248EVi8E*qKN~DAO4KDmnlvN#$N)KR8L0_T2HE(5w;PVc+R-fs6?W#( z1N|i{L;yNB!5FV1->F3tFeFjfWO#5s9MyW8Bk~Ybc_*A`rhbs-9K+Dj1k5M;Q$%3_ zYhY7>VJ|QhcHNN60{pdk4e{J-ctX0zlz$ku^^xBuC0wcAZjojW(v7SqJ~H*000=2Q z=Yss?9&lz~{eD2iz_3m(3c#>aK1Y;av5Es3BY9}V9l+1cOCdcZynjv3j5-H_+|cBy z<^IGu7gxG~>lgcCh%v2lcFu5(R=tR_-|xQqSOMN-9Th<)uWW{4b)1@jqG|7Nqx#2> zFjo&kG@~JWeRGb-;eAs}__)yx)&>8qOkNvgM_U7~5VO#ajPu=SY?%c>4*7%wWQ7?jU-Op^?6Gt(L1L} zOrj!JhNxuN?X5@$`c!$4l=`cgN@%oA1OiAkGaFRctncceW*8{F6R(#B1DUnU5$QPH zO1psF@?>@m%?wSSz!At(Jcvt}qqj3HsP0m-#udn;ag|G*qi3p6UjXEg^a)y5Ir)P) z?h19ioSf>AbZ+?ns1TAK^FMU{)lC0?ZV61Q@CL7hn+F6YX~gGf#vgX3t{o2W}^Ejwu4zXb0+nNDw(32dQ@{?&S%G!G!HnnjH7KH z`tg=ADLwspg&|aSiq({Ou8JFEhM|Uym4X;F)v3IlGxHu~KGDq@>;Pw8Y ziR@41rDbtcSngu;2r)aOjqH~viRE!rFkDY+24tMxKZ+!=iM+H9fGqLB1Gm>!<9}4Z z={3jwcb$JVQ~94;8YWX|9kp2g4i@cK_^R76@@4K06uA8?>-N!uRfGpCBQxd2IXl+Y zuwYW6N4f1*N99nU1qW7w1sP+iM>*rUady1L^+Lmp;C8TRA0!GV-E-l{%lTCgF@|;M z`OitEy7kXS27T`mXK&We(pX++o^@NCc3W(O4X%d`_Pk5%ewP@*!W_oJOl)L;Z)CvT zTF=;8Z|m)->+LxIcfHbmzVfsi_)7mx5zqz1f3F183;&M|2ud@Zkho06X5`{{!Q7au zaj4NgW>xB9Bv>%%a6>vOi=PjInRF6rjOT$;B|c+njj`@bfJGHPhfhxN+a z<;srfV?zmt0yQYBUQ{1^oDJM;@L=_|A!8gA)Zsoi*4^r8On$M?jx{qZr~{0WJs=MS z#&31Bb{3v|T}al$_U9{K9SEQINe_SsQkNTj{!-Hi(o(mm%4Zx*skEJm1L&cI;gm{7 z$Ur4fvQX6J21>>BKza)!_!H|^_H`NSxt80(vlT&PU+GqF>l*+IM^)v)mZ-s&5T1Ey${z9ltU~Hd ztTn1*G)+K~!oEwi1q~)mB(8%73*zFf!65jRa&^dVxGT1M=aB7)m4+K7Yp!NE7J$&U zzk-PcPO5?%kDk35ub(VvpNr{siLKYA zu)s9(J~?Tl5f;KnyJlq?!uOkY>x=x*8aG>zzUk{aK*n*dzoh4m<8@ssG=!QAonGP^ zX}=FKTx=PEG;KFuozj;b;+GwA8!j>#F4{HM>NnRey4%$O$LWGSaBS2pt=BB|K$^NC zO%b!MVIr=?Wi6`zL($#N^8cuGx-jye8W7+YViedjE+849zNGe3P|(PgbkPizm@P3^ zM119vo#9lN4Ke?SP;tq>(X<>amsm)qgVq$Jdze~1|4nBJ#lIvD^E_`3)EwF>MNSMt z-TDOQ<5+4l{>U1b9K$#O04M&TatT{&u#T7KU_g={(v{&+8@YU@?=a{%BXw=C0PJ2j zbYjneXadNtRKjHzj^>r26W`>u2Qc({5OnMaCFiIK6=9Nx&yRt!@VhqnnPa|hk?x_mwdD7$)%U` z=tDBk@h#A3)D~F-7t}v;pvdzYbaQwy`s@@vpljcCWf_rXWP~ar3-xp~JwdZ=mP}F< zwOdm4R<3qEARYVSR8w>8DUZ<( z!9>=C-&XkedAfaGwf?+nRL6XxT!Qh~_O$Kyx9I75BTw{5Juc{dZ4jn#nF$9>>e~)Q z@N;COwxA!CCU&8MX@7{%e-4eYYOFc(f^{gV$Js!TR$alr7N@H`VK1--15AYU1mECl zVxR+CQ{KE$A5P}d+{*^(b+BsqO9I}n!X?RixF+KAxOc+sgT$Gqg2nf2-zv$CL&rCj zzW^^CZxwa<1xsly&a22?!JcoxPw^~ebx7o0+O+a>OW#)`_q4p{@?Y?|J9Kpds>)xD zbmFA_Q2c_Qu*#_Ng&Ub5_3t-;6GUU>jbO!1Wa|I6K)>KcgcQ&rpD>!}d@?}@rP&V* z?f-VjV*pEQsb@g>Ts<-`?15XDy|A!|!! z0iVEDvTYUA)S)CySzmBr~hc3Pe!c2Mls7DMDuX}+LX1y{>j1_b8{ zX}r~3pPOZY4ub4wSJK%!HWi+^ojDrK`d4t$97F*(DLIBPZb#(QoPG@b?D-0t%&b^W zFTtn+M%%;Ev9O^?K^CIL!3w+svHc-pil7 z1tOuJ7KzcKW)NFQg0LR5i%(d1!Atr_{$vc#{54Ipq|v)no|l*zzN zzg}7we!)>q3s35}#h1H(jNf;b{qxW}zHWe(Q76w_D1Ag%55!2*RBl7m4m#@btrJvu z9IBT|X>!1hLgB)6)@&d|i~suyH`L_U#H()B4{Ytx%Q2z+8t3)11Ps#}K9Z2_4L6u(xkN6S=vQNAAE@Ao4r^ z?{`DSOq;B0!W_l3*W|&e`@goy5`)T$W4CZ8XmWaMFgbMTEM85Wc;uOsD>=oTrV$>8FP51L{eMAVbgbA=z8}BQ@cCHQRbjf=^ z&#Lu~e|`m)V^?U?4TB%Mv5aoY%VvhKzfYIp?Rh(Fl!V&-H|3AyYBV0l!Fu^e69QMq z`-68JJL^Awzh%?t_{0N}n|k|R;%mP+Js*&8_m-%$tDQ71Lg3T1%t?hK({tevE}VHr zX87;Su08t_TZ7L?+B|(dcKBaXHx`KB75D2N>Dm8os2%d@O)V6S?fE^EYYio+eSP&D zSYF_Kz($aruR#!W`FkWI9Bl(0!ili+puhCn$?yLA;2Ggy8R3XMKX5eM!b(1m|M_jB z>rVu9*X3j*U*@ZayfqzWU@*Ib>0Wc!ln1W*HckFWY7#$iu&}vsv$+`lA2)!j%Ux6R z8O$dC?2ZkE`5W)IvS;`*tAZbgMkxQ(rWvh#tgey)rKu|!EfHiI(GiqVwhU+rUfTtb zPZStP>izezP#;&DjQ-Ltw)tAY1Wra%CM;OEPNUS7p%d&gQfc5XXu zCzg3%)iqV4Vmw4EWKy!4zR{;~cNt<0E@79v>XOMD<-rWZ;V7j^5(->ZxYVkmq#g_Q}?SzY`pRu?z_{}+Igg<=x-zb;RL6_XOU zO!Q{H_ZPqVFM&dDl66mefgP&a77$NW<3b|}6W7XD1 zk&$4F%*E5uWW}B4jZ854(OF#BQxyAG(H@avL#8eXxT}cVn15EjBFx}AUclyWFW--q zC>$!|Jb}Fw@_)f6ysgpQrxe zs$*l+{yh2BC$7Hw8ON*PUDmBzh~ci$`#r6FZVM<}olF5!b9aTuCaB$u|C{XXvWfPv zUYGNcX!u?K(z|h?*U%c4?$h`IlQPb}6KeX)vcunS*-pKpjuSnY)8B>L+u69 zk?0Q4p0J_gHjV&Q{6YsSBU`#*nWVz4_rYCx3zO7gNXXt!R9>m|s?uz`g5LP$ad$O- z>LWB+=kfq64Oj~wdTHtR1(k+~wzllyj!*^QBk_b5{a@CuWqE1b7ABM5U^Z+blc|l#9`zt5bsC!7x{|M!7X_C zfvdIrVYp3ryA1I;7R&EpH&JN+yy)nO|Nd$tcVwfxjDM1)&;Q_tr%lSejEOcx>HlNw zJ)oNEf<@s^L=+7molun~y@e)%s35)fCM7`VA|N1yB7#ABhtNUky{mMj354FeNR=uA zp~Ktw-Fx4=@4xF`Z>^KF+w9pVCwtGHnLRT}_Zr*%xY`g++wpdkHO4J>NM zB5vgax55+NVj^JHBsmqnA=O$n>FWAjn!HxP0*7Uxc7QKWsATBMdN{bhEQebDHP?*#e*dz}vBYw^H^ zjr(*V{2S@TOqArIs;7nO#TkvScb`eqSb7~pYx<+b{@I^@ua5M(_Vm;CbR?+xo39I# zbPthqr}5pU@ZA=^nBl&d;k}pvMM~do(DZ-SJDhzrJo`F*9;lDja{$g=F`)YFbb^geu30%9YKH&O)?{MktOJ5<5*t(cWJ=;IGqxV<~ zl)dOM={(+eHNM1hA#T*CLSHMfI=JX4`FhRFOM`QcM`Hh)KoI2kZf9%jG`~`GbAI}w z`Rd`d$CR~qTFrgHyA|)gA!0{me8;H9YzR?t)byd&7aY|2v_hxFRw@Rk@%5YPf%OFJx9EgEudLPXOrnF9Xk43gf9~^!u zG4NbS%otJx#ymywT7O{!94udi;|DJ*ooc}<;jd^pU zOOb2y((j~=;w4s6EAZqrQ2Pd7k8@efoIO?YO>E+ES2kYQRGn9mtQO%;5Bf9XC@C)LTNCe4N*sP~XET>L z1obAtDq7TJUZPQcH0_b&`B(t4TeBg?>PwTVZ{do&;dw#rFoTgrZ0=&00K1b{JC$8K zWL-NoeK~tKOouyS=Sv|4C*~i#jmQ4QVzMh9;h#$j@M6L18)=zkr{yLceG|g4J;0|i zn`pz;N^*Xl6J@D)`fCF%{v+I7=L@&zT=5Ms2a>pb6HwA*i>|gsLX|mUow}uiI6%EJ zW`SaX_wcnQnRCLVIP-WvgJZJHQfwj8$qZWa$0?Vy8sZ5F2WO4hig&?$`4|;osdoCY zGSJc#pEeNXr!7yuX)WP6f1O3Ze=KJT=AR}Ne`N*10oybIhxvKFPwimShow8oicG!P zyIqrfLq;bKO=&JRUb{w~K0QV!aT32blEf1_EO{gn^p|EZ*-k!&XV}nLsx^rq!S=J7 zhi~qI9s5K?i=T8cHg2?FXjLRlEqNWv9bGsZ-}5nR8M@fn!W z$;>>t`ofL3{?ezcDPDfrnKoLdU))ZlE?J4#rAD29iIY%GL>VtQ#QUrYj_}l#O|m3_ z_nLB34oLDOuuz~CIA`U4Eb4IPVQ}U#g(0v>q!~h_$@hrIZ0kdSleADw>JzZ}PgN{G zNB$reDQ~aL*;T5zj;!;cb(xgj%i|K{#7Vqc-{kRkC z;Vg5!P}~f)!aqirb8gmfs4n@nD8>8d>-N6$J!F7p9u)RGO*uJfv{W7ycek%;ycrAp zhQ=$nTS;0QHa|n`N=;%aA;lTIbB1oyLxzSY(_`Ls@niw?1Ho$1X3C>yc{807(p*yH z6J30Hb-D5o%FOf%zeIy&gZoWYr?a@ z-dS&My8@k6rP*=dJ4$Q(bK4GDF!D3rENIG`yLHO$uo{e<+FdanKR4y<5Jf3rWF5Yh z?n}{Gj#}YtpYOgr8Qy8~`eChkx*((~SSr?+otArX@WOGr`d8J9wd#372~A(72|6*T zG2QPIUiTldT}oQj+%vPOH3{;Xv6T-082N4pN11(8SUxdqiffT3Pg)7bkIzc&6(}kX zluv`sHO$X6;Mon^<^g9^`G>760wAVvn8vlX26}%_z(53Qy zy1}KizTfM=VQ(?q4|~q)?B3P85XRT~E{o_IXxIJOPV+FKJ9RvDQ#ar1$xPsQZgWiO z^>APOv~GR-D|0V{kMR$K-0v~}xMM^Hc`3OZdqw4jX2z>Be)&GKBJZ2k{T1PwI;@H^ zVC4v!<#m2=y&Ph@v~SM-h;rCgZIEt^(xdf3rG)S1ty8`zOrOmcQTbPVU7K5i+y0X2{wgofaeZozgyWUp{C_ z4%q!zxIPCQR-wCoTyIkNITTJr^(cwFSwLg9VO)S)o#Yn7dxaFGO%~*%Xj2gk-BW}C zW5FB^?~P7HkHI(WrA8CI#xJHe-{4a5zn8fVtTDp5fvp0Q;$y_a(#J9s{E6Ld=Ly1g z?;pw}{tD0)loOWA?Hl^GfVN&wfoPEsrUN_X?wD5-PJUNWFKBmQtz( z&pUE{6now?*J>LvbPB=f#^b1dg6lMJ|JOvlI0+ zwVZ(l?=YgFo3AW;e!|%yLANh=*>#?(R-(yJyvhH#g)dRnQW9E0Mw3;^ZcFvc$iTV} zo&!r>;=%?YYPzacqB})d3XUx@qKiz#7gg|B5bpXZRy$o8*k~hm=dGL9AN>fmLwA&C z0sVp=#~@$oVma1s`q06jA1QnJak9?1CssHmEeR-ziB7Ev{wxeKnsmilE-;H?3wpM~ zTz;FcxWA}2=B%B=@=|5)9>o)%EPVdwWAdj=W4fF$6j+R3U&`W{xK4!I-q;*!x~XL61`g1Dnb10m z)xL2Q*e43JMuj}%>n1IhfR@QyWxb%hQWeU&7l3tqkv$)>X3h&h0=KrEKsZPV)ahPZCZ@0eOuz~9nqqJPLg?W5V@kKcH|i_9TI!V!1(dE z_vGzjbE<+2SIF>ChQkNb;z1S60FJ3k>p;?#&Qa%0i^#iNQg7!74xi2Eo!zH%FM=1t zSZDkylp3t3UnbdzbNk!TB^CBnC!6*0osHv~!&CELh+IZZ zHpue%H%FC+&N`h+n?bCYi2Ix+J_Mw3dc{LYfr)vZu}|&j5xt3rULUi7O-e@}S-dqm z@1*;>og+GrIrzRJaPl@fkM|j)+aM2yxkmgkAhE^kqKT_f{q-P>qa+gW$Daj?BB8Uc z3Y5i`c&q~O48ez=o(eHC#uRO)>Kcs$j}_xDUV^50Bp3^WopYdxmJ<~C$WgKh7>sH< z7+b{2HCR4!XM7|V?MIgvmKY?_J{{Rz7FcsV-dayH%qI*;7+Rl9{8=E^$+uA;7D;zy zQH&xj#5Uqe8vfY3m08AucfIgqHwdJ(P6}knaxCg;|20Lgy8gzG;b||Apy{dozUR{> zwI&Z4d=EaGcu0?#SdE91*42HVXn@4DCPvF}FO-FQ^R2j{W)8T+cAxWWge4SJlXeIa z2Ckdy%S4oCMg+ee%3j7bxFpyLf@zY^8XLUi&F-xpaxUKer8D<{ZgurF-UhToqXQZW z>>}6|r>Od5Wh9p5uUF5kSs(Ga=C}&h!%3+z`u=591R$ z9x!Xc=A8_+^$JC|p~XM~SuFf%>i(=!MfWU!&+C^};KFuyhlXXjmXlpCL2{IV1rm5% z@xSB`2V!3Sqqgh+2)Zpbb}4DkbSCyzSQp(L6dTTiDea`ol$qHou5+S-Y!56gcSAl& ze7&+xY^XM^YK3T7bGBv}XLWy)rYUsZ8a@&aDcC;rr6k7|h_QUg6fF6Q5teGD{m3O=25K|>heTuT#>WZMsiRW{$mUVrBc*Zy-U_=db zuq^Tr-^}~ac}{WhBF$3S6(jQPY5OKWQR6o@i=lPFh8stWK%uYlF@_h;oRsz;=;RJe z+ey_lXL%5UK#!%a@U`X;l!0A`yZk+OjGNP_b)@)q4T#4kH0l+BkGuv`^GoHb+mKE= zExxSNsW}uNe%^oUM&ocrj=?x%d>7Bc2X0AEgCk6G^r~k-L6d+>_q4zv!y)mGWVr6i zFB9WKpG6G*L3cf$6`KE>1do(a$7f4e*t@}hY=RRnaS`xVW}@abp&vIO!znmxH>iSg ztlm83HANSEze9!h5HfIwD#!H!C{Ou`SDCpR1lw=nr}?lhzzNDbXVZ+RD+5<#n-ej7 zYEi}LrwwwWm5rti+xGlwNW||PU>Rpt@X@aKu<&X7#Vu{UpFR}N#6fFhVC-7%THB__}!q_^V{Gz9@;FSyUs4&Ic$a~h1+h& z@V(udl@65E(K6NXp;Hh_;zvm)79}()n9zvsxFzUG(R`0fnTT8uB^3C12qMMV9Abm| zKS-$H$m12xA7bT_OMBGGaf*VQ8rs_qywqJ#S?7-3Bq!#*rXO;BrPN*Jwfifj{0Zqa zU46ddu@PoFUPQ~V@OQ7da>WuGA0w*~uSI_CE(Ttw2yQu7P-x;J#1}-Lp zJp%O;_Eck>$K8!g{X5C?68!T!1tfmPgapl~xjU-J7e6)}su zwky3%&C$()(6PP!c{?Aqh+D66|X z(b9N9G;XcmyiYyqyG8wH&i*0OMNOykA*I(KsP#mj}L z-z5E&`+@JwlfHhCM6Q=i|1uoYx{nt#&?BHu=k1@Q)s|F{4p}Bil>ZdR9D?J`Fe;#) z-H09-Z{{Y|wl*XXa|DL|gnX=e(P9EUWn=4P+){UxR=?qLCyK;lYO2Fm{$9tAU|MZ3ucDftZn3yep3H*934pn z+K<$N%j*9$2*KV(z?mqO8R>h<1l6*AD(JZe=3+MvajtYMC(3oTcr8Hrvia}|&!Ec> zdLZ6f-z10wta>XN2+7pkhA0->W*cCZULR~`jbC;CGjoV`{0e%qLhZ_2$NuQ|r<{J} z+x-oG3m_cp8;!fVx~~U94Y|dRB0pT;Xs!Km55cX!aSuYY1c7jf?9EN>^B5RlwV~_BZ4a5tD4#*U(oq$Tbr;|S;KceuHGg=T2->}1jpN*r0*A(^IwT;il#MD zhg;q5+$;RH`zLGx#Cqb1tq54OBn?ksq2*0}RnN8XW>U$`GI6t;m6lgg)L3}ojsCaY z3jEF36Ls_8Uxt)SM}&u_4WYIe+>mt|34sMr7eZk*K?~O zFRybF6Ssd6!0iBsF&T5C`d-l!O+BaXP-{rw`iv5@Gx9Iph7d7#TebtD5)yTEr#lPS+ z8L(%GzuLo^0=~OR{ND!qZ^sp&mb5Q{C+|c8)r!=WlbpsJ$M$pX8*SRM+3l~@T&3U z-%bbZ3tq4F1Ea9*YX9V2TgCF|joFl&NA*GNn$k3V z_Mg3-i*8$A@|LfY430k!Udy~bMZ*tx(AcWc{-;ZFVB{lK`DzL$t zRCLgrQ;npZKww&CO=fs3(OZd6x4=mOh(&QHF8lq76B}^b7?qQJ3s@lQo?6OmhbDMb z4Y`0yLilRz!BLhh{mCwI1W-Js{;PQESCl(Hec!)T?X^6dZJ9=1w1<&~rgx`Ev;K6c z$IrEUm)xwR5Q90Zg+c zyHvr*%`eP>ByDS;Jst!+T*rb?W-kv<3sx>7=6w68m*MRz6#RslWEm_9B|K}x45RI%bzD^-Zl(Oj7M#S;@T<8wtUFE@q>>l5`=Er?ZRqL z&EPfQ(^WyMbw-)_B%s3mH`S6sKoEN-uCNL5=grj2p22T>;HX0iX41I;X`%Ip1at zqHioo{}3ZH^my#9f0dtJk{RrVnN4+o_fv2vV+chZJkzGCc{e*XXgpzp?h2p(A~wea z4&bVDX0=@pKWS@YE?((l1J(KePpvI7G_|MuN^5&S$9tuwz3uQ4oS}jWps<6tHs78o zh13-X6|Xw*uDE%&D9U|-=|-$#$51|-81Kc>jkALg_Z8dIC)<^$&L$p18U{nRC*lhw zdau9i9^HjM7SBtUzP=?ktUhDNIZQQGqLc)$pCgzy2cZow1K0}DLAEcKW*6H8)O*9~ zk)*u>#a~N40&;O(7H|M~_u|cph0x^??jJ4jS8RC|BjDo0in+L=Ca)Wi+RZm`)i4}} zmQ*xkhvTk~kjfYse2Gs!^amTbkVshOl^&8w843!m6J-Hm>tk+6GRAuZ(4JfH_T3OJss<>#xS_N!t6EbR7J6=_hK^ORGw}jr1P6BfKV6o&PdoSKBZ-}ZFxRb3=UpZfl1AWEY zavLgx5l61|(d|zxa?gR9Xsww9(5rBpJPDxuFnRLm9`W<}KE@CMDe@XZ7&pN*6n~5w z34v9;#fmdWWUDqI;2ytVe$&~^w-_-nzn-o{Z&Mav=;QWHZjEOXH1x7M53D3M7maT5 zq8cwx@vSkPl;)|@6T9Hdd~eo_ z!%)qyAQ_uhq8p$b1F$VwPgtg`a47ZD@b%nO=`3#gu)aEP5GO6WGPuMhHrET+z$8fr zsNoUi{kZ!tt5oGs7yxBA_`F#oMZ?=KSE%D-S&1(56c zi_tWm)z1rlDETT?%=bn%KWWp1m;4jnFpEB`L2GmiM8V-31hM#bS{_rjGI9ON5}5;7 zOZIsJ>oq|uQYpnBZ_Myqi1X32Fow85T!dQDvC$f?9tUJpKoS?`A5hO``jD-3Ye19_ z^30u9(+8^Q9@co8*SDp0&N;76Q}WI3H{Z*ajki*agc-@-H04y3P@fsty`0{Vl4{f2 zNbL+o?t2eKt&pNbV6RB&{U0E*YWd|3(c7CofJURDQ7li3ApIw)p zk`_95Oi_w_V!CE?idS2asZ0|fwaP5Zl z_HW`-k|?C!simGj9M@0nHp#8q5?k|k{k=(4Pet0~Tz4MbZyCxcg|gN}9S-IRL0X55 zlbt`pyMyS1AE*A!IE9@ki6SqtM+V(NfHL$o-dk?luUE}YkxR$7(yU&vFR&9$E+DYd ze9=0oMK1ogN}I; zSP3l$%oP(@Sv|W^Z7`=dgsPOW)KIaun|h_AXsD_3+Of2YCdaC?@KtxvuhFlQ0?@5U7$^5Nt< zzk^NDBa%&i+xI)^d_5Zp97$Y1+>YCMv=KvoU%6UFZp8o6c&{y-+F^t?wMkJi=ON>3 z_p{`?5$l@&k)7}@I=frRDMQy7^!sVbqsZssXQ?+;t2L+vRoK+HAtaoNM~bfR1{F&b zhZfpn*|*1#%DHBVJlbERlU1a4UcM-Jvf+`e;@>4P5)AUHf3AAGC@^LJJ5*jNXj^oX zumnvedsj_d4u7+DVgx;9Rg~P?3Wn@Pu91gv$&$AIKYIG7b+<0}PkBuY;h_xSMzleV ze<~jm_~NOho2dI@e_VL1tV&v3Y(&H$Qn=?Oe4HND^c(x+@8&tWdciJ~o;DubNqYKw zLkR>L-Tf2ibj8+1@7!y3F9T9*oFm6o2DvuNeC`R9tn2BUmZN8(JKnBG!WH0L{_1Xu zU%>$@<0zOy-WX^52ieZIO?*-tTWsR>+^1c$<=A{@z(m(3@nmY8o?hXj7jCWlQW|bX>Q6cbM;Zo_+LeSt4VN{(7&Er?2`24L z$Lk|fJo7~(;k7IHwW!DmLqd9~RAV7^qo^g3J~H>IoY!jWS%)jZhQ2<^mrM2wX-LR# zyUWC1IDaO-zh0<6;!3)u2E(r(HbaZa>+ov^Zfwu$v#x)k+w3#IzI`+p*(snQ|HD>` z2|Ze9H(?GPGt$+K&m8M58Q?(W)8yDIqs(kBPWyY6t+tHz##Rect6hE;x9FSnd}%jS z#IpS!?Gq9)>e5xsX-v{;)jfo0t`$9a>KMi$BH-Nc-Nbxq!$Uedx5(#2PWc;?&?5Ch zV%7MeHJJS21aB;-_J1e_0@;tlx zUQT10l7>|OqSWX0Q@R*m?{y3BH6J2wN6iQQfrl_<0s3oYN}3NUyFt{Mpy+bJ$MFKB zl#A-udQ9_$^U_CJm~*~dRNF>m&k%kq&5y|})kbo?$B(bcQ9(88jGME^gP&OTd)z9!ZrWvzk%yo2 z^7~xpZoe2eDdwU1tY&!TTU!Q694v7)|AKAtk( z!ow_vi-G$8%nHD9j?2p)qoQs%0*P0#wbbMxVapEnx=0mm z;E%)9p@c8?x6*_q*tsRxXB%XiCT>Ve5`A|?eJpVVe z0zkqYQfxtCXrph>QaN!|PHfjPpfK#>su@C*$HlknOo3d*f42Nb0SpIVeszLQ3QER} z?9(bA+m@E|+m;eZVpdC8S^Hzr;!Pa<9>+CpOUIhiJ06oh{mEO0_4ZAxy;!7i&HNtX zRDu`OSDvROfO^o}gGJ8SH(C8#VGkfG{;#H0%fDSF|35fDXMk-OdwSVFH7%$(yom5T zJtl8Rw@#kN7}cC=W4=er*dF99-wM<<=dEX(UMOn~!S8i+XOSd1B>3?#w?MVHw*TNK zyTDUc{CiJdkyA#^Km1Hq)nPB)iyOr#Yq|(1h3Vb1uox(@7{Tq=c z*V(J!_Y66DN16X73;!ET3qS)fG=K(Rd;mIE@5l^*sr>(-`Op6UW5@p(=D+v9!q4$v zPjfYl_TPs}2hhL3(eaJP>EXp6rs- zlRmSEkt1jFNp^;Bsu!*^mnUD4e}bs1tmo zuIhM{ea8CgWkJ7vtmiyoP24q`LusBMea9LZQKwH6EJB5^E66JE3vqlNyT!g2Opvcm zbRfTE5e^@65lxqtX8w^vN^FlGF2aUtKz$+!&cwSnXi~0dJC#`6H`bl?!Uubw7T+2oi2&!;Cf+&JIr)ap7BS@~23Ap|K#hI4_^=*^APm%Pst*)i7=^ zx9mhqxZOPh$@<}@(wp)8OOOt1%{$rvQ>#YY@A49eA**)F)SbaIn=pPZRxJjQXqq~ z26e91a5Y5}y~6Pctgl{b?>M=*XlKzQ3+^azecz>%IPusWMzR%;#xqrPW$q~@Vr*Nn zis^avCVHc2=zJ0vip_hReyN)uXcrM$CEKC86}3<-@#nMe+0G@Fp=s>YxX+(U6RFrD z-)Yaa+CamO_!8G0^43DG=slhY5#o!}qD&hke*eQAJXqxIFOmHI6xUzYU@{J`iM&K) zynlN~5;kDHrt`_|wOqldlgh)S_e^4UZYnrP%zyH?;G$7Pii%-YemXngsQ;n*FhjBs z+h)u-Kw=XO-A4;{LDJG*w{6}x*b@xWaH-6tNNlNj(qM&W2>Im)m5X|u_%uXN05jkf zMJ)4&&&8^Je{bUPM_dXi+G;2cUZNwnT%_}*u*|`s<7{S;L#PIprTl(1W}f-D@QIAI zL*ih@wRY5q=i`AK_`UZ`pQx%nd@7>ar?m=&XWU5f4wjHcW*UaQ8>yu9^j5c*n-tmP zbDSs-#UBX(;0D?dgEnF@%z8PJgGqVGY-M0%blCSfUCQ|Fw7ADTgC3}t&zjo6RMTuS z3=X5v-@PO==#7@*qU zm9WC1aUQ*e)_f4=h5Zt$otFFkYY;e=CE@08+2c70_X}IS7ftTBy!_%OT*ihf)=;fr z>j=NY0-4d1{(`jb2keYV{{Wjid{OpWbzu)_9y+(UeDAu+6H8X z)8Sdw4K5R^V#)Gc1!>s50mPsD{4}iQFyfx;M88h*(~!V(+#2ZqMOpf=@O5rl?C=aC zW3bg{rBGJaNrmM(#D1#P$ES_LitEp$=FvZGkZgN0(u3;Lw;Ha$6i=#e^-?29G8e5Z z@HCDLJI5OIpoc~k8V|DMrzXA7lU_59eiqF*iK{E)b-2Q~mG`SX2`lvhnRk1H?vZ|p zKO#zOjc-*BAFxYl4{jM=OwoV{db}=_f5g#w(|y~svD(G=#jfQR?10P4q;86}${$0U zSlKnl=N96*f;sJE-aG61KJbMlWwRs{#E_A!LW)07@kAaTZ8@fw9FMy%pvF`^dmW#B zHiFe7@wGQw=(nupdL?iom`dUIa{4;uJVvh_OCA^Jx@S<>WpmvP>|TqjhGM7I zuGeLJY;7u2@Ijwn=+t6)g!@X`4QZ5gVRz_&y&N6W&Mz_%HCUurTj~f{|K*wx&+t#V z6UEm!Ttk=c8!S41Z$oLVyTk;Hp~HrH&POU$%I|A$9J!9*z#dYK0hNMIrDSa`js`$8azb$ERj1`~7a`e{>UN4|=$LA4ydz+M;muf+r)VR_Lp~Z(dUkYp@c|lF3`N`7!&H zq*qPh9dnXd$fJ>FTqMu^JH2Xmh-Ctywq)7pg*DR<%&RP4I_5;g_67JqgBc>PfT_dr z`eW#Ngzu%hiOMmV0eayN^2TisgFj#5`Dug)43>W>D8r>}w>X)f+Z#2Oxs`|O3f`p| zmna&&j&*ORHy!qSUocU>zL#WgxD8Ot73{v+ zM`s&_^WB8l?hAEYTgD>zlMZTO7>~c#&v7N4+6P}HckNg?U)+f<%ug(OO=UU`$$r&f zh2rk^jIh}Ex>;;?V2Luwh)Y3l-sZ}dS{1nM7T7}JDw-a&`KIXFx&D(6vl7ds{%19_ zLCY@h_lLIEMFp_1U__pJh46=e-&SnbZAKJKMsT-BLU4%w#E}wg7+5#K!b|U|XC0FXJQmi9(q!oVM60{$RF3G_2^jWC zY?uX#>|U@uNSiR06r-y~)`s?wL?)_jI+GT_`Oqpf&TPU5h-dmM2{>Mo+!qem z^MpzubrWQ@)GUm~?)%Wa;`?nK)D=1&w?jzlQaz~|n9C>z*Z8ipQ_~^b z?F5D8GRCtWATq8EJ%kOg%Jch6{9|x-d~!6mFtoUF;KXngz;_@vBOlJh{|bK?BXTc+ zZ;Liynnj5$@}7*wVHa+1*>i{=U+bKv=zFT7?fl7CQnq3e#krg zEPMp>4FgLQ_s?7W0F=*<%Jv-&X&XI)r(7rX_(lsZLa^zXM!J=7Yhp}icDT3;s}%c# z*f=FN&O|jGjm)B@fQo2*OO}7D9%dF!TNXV zh?V|AhJd#vwc1+^hNKtE$<6)R)diMvKj?j>yhRzM;99)4dLss4KiX2}iY%UB8I^6w zl5xL&C7!S_#{>RcrkDO@)MR85EX}ju9=EB3&Z+1og6;kNLj7u4!IRj;9U^&tn)?;% z$o!}I?!7$u^=Z^s(#*k#T7*1c7SpKL`Poqofu2fW7LAx|Knw#w)@&zJEE=AN581U8 zdeC?GF!cJB+|)Qd0<2;}?JvGEz1hztb@qn&l(OwK<(%ZA!!LJveJ`dZyU^&DLsB|7acUdm4999d{df}bY8b7OqL`JeXRpO4_B-x?%!ZZw8#KLg^D z>&$eQ1_seSs97DjbWJr>!b+GkT!qdYYK35X9;Xpx7NX@6hu%*q_>A+E;Kvcal!L}s zJh-TU)`w)OaDi?ALQk`VMayw7TFwC46kGwj>;fw$0p1yEdG0)Uz-`B=hb?~&3YGHO zkS~`$tfm;~cG)DyO;4K?)%%i8AHheGeDGG5yxI8oT_*lm+c%L>R5c$CQWDK}EWIR3;6DnDSrSCcb{AXniR& z$JuAD)0yoA7Dwj!m(FK~LtlJtSlzfA*#TcNdh;kNpGw{@4Q&>Zw_Ah=+Slsf9_EUA zupLhEW4PYqrXY<5lDyVXN`M(#G>Ezp2J_St0^v_|fNhJRDy*s)EGbS^m#lcLaAjs6eGjFK5I29d`F(RUjZMyGZZala}AN+?4I@ zz-O!Uu@zQ%N=J?zjuZZP77a6=vsKpsvM-z~owF2;(6;Z%X*Pii3+N=chh9l4Nt5_6 zWDo(nxvZV=V=12VmU<0Hwka8TD}@;z2UtX3!oZqA=Ld(0|5&DMR?@a#^U=5E(*#2M zG(*g&R(YpH$>9Z|Z>w)ywPZo{<;C*}DY91jc_cBhmvf2>21PMmFUyV)t#!AvnJoST z^)!$|>r||6dn;Hd+p_lk3*?VQ5t#5hzZ7UNTmp3-t*^@^)&>fHpw!{OJKC*%t%xtjMJ^**6{+lC6c-bOPDM?aO#3_YH< zQ@trb@>G`JZ*@MB1T5z;0n+8>SUm(Rf8Vn|Eg0qf9xCUm6l96V?RIr<*g=!@K&l4V zS>mazW3hOMN3#bb8g29fIe-u)k|DRq`_tY%{|3ocGr^RZuxTeWW|@Qx6qyP4xmUL@$K1XaX#Y$_-8vPPay6!54GY7FJF zF+Yul;e+2^m@JcJ(rT9e8U?<9{?Xyb(_*H)o!ZmE$e)9{?L7H$fqsG3SCcR?@oo~|Z%<`4$l@1t6wS=$7PFneNw2jny-NplbBeYGKmXMdKSHFG_PsewVXt=vx?6ywMb3I2_2xlQ~SmresC(3zSxK4MtoLel9l+8RT- z6B;3Xa!0qHQJ8Qzbccnj9W1a90-wLz%Mnb!MfTg%e#M8KJn!TQ@*^8F>VdTu%5AlY z!wBz;O={T7DHFhzAGV{kQdG#LpO7)JANyRXHfi3$Cr*)z_y4?ofUUYm_zRQpm*Wek ztU`hPy8NRt5L@GiRjEy7G&24r8X(AIxBzSfr?wbFk0A7ZRBAD-36X`)+93GM%it++ zw)ceMFl*v@zV?j6qZ$spq-GHl{s9ho%ZA6BgBcW+#thi+8ar1B*L`I^DfST#Sc-}0 zGmN!Qi#2R-b)0DP_g&eupACjHm${NPWK)vMDHjXrO~PH~;crab*c@gz!;U#Zf=14C zwcN6PoX=ODwbv3+?{R(zI|~+Y^vyZD4X^CpEF<_*cDww3+)Bg31Y@Osh})YK;z}vN z7uCL;L~+!DNjPvl4f>Rk1U>o=*YG2R2R>nUL|8y&`=p{TGK015lRR`@0%sOV&h<(_ zR?|T0&s%-JWBjkZG|dZzYW*jy?(L{pA7RElnvq+(YIc~MVVnKpiO8Wv8EIy|;TvFk zdI-ZHj*}h+qkUT;>1mC6B*`VtBcDHWV&4)sQvk`Bcwlu7Mzg(1?KE;77W<8h4p8Kk zdBDIfPVh-7n_dvWMmQAsTZunTK7q*6Ap4aN1nZgaA6u0#Z7dOMy-f_aklPet*lYTr zd^lgfF<8H`>r`&CO@P{ipO#5m?YS<(HTH%{NaLfMsj+O;Cl2Fl6_B7h2NfdFCA^R z10(W`&BoLVG{}`Gs4b4b`de{K%G`3?NOD^31IsxS!oaSedS$;h(Rk-GF;*YUP>Izf zNFokKEx6+7gIS0uB|2=bRK3}w9a7!gUK-i^jK#CWU1+>+ukZCT{nebVi-S)fY3UFjZ+J08W(cdJpy8034lqZNeGn^%_5Hwh=Am-@lOhc2vhXml z2pedRy}EC15>0|@lA&UfA;6R?fT~81zxyc!NjRmYB>2zdu(VnX+umz~)uey&3DrxV z1ou`2ML7jmJ}*}+Fq~Efd-mC_5uD2V%hs7R+?#1XQ{X6#-|-wM?r$_;gP_+TYF8tr z8r_%@`5xXL8`d6cS2AU%yLpW%nSFH0w5f#I&X+@&lzOF4o!`cPLMan{N~QpatBVdC zelzlZd8e_A0srR1&?-1v9RIODRSg>4(fhPjD!4*#ZJu@5a@2PeYf(3B$CY*Ps*4d< z+ze&I)^DoMfq$l-|I>gahLX6&Y1=b9?6=AR*`maQE?_WFR{OLo2rhwI71>1va7emSSsf__8g14q&LgcV=doqQmZ?hmyCR{9U3Y? z?Vr(PveL#X6MnDrOR*b)Xmd?!w%(E`ABT5)?-NHXep*?efI8H0htaj4N%nR; zr9_CTP)I*=Wj;#;T5+~_Jd2uethtkcnH|A2EM{47%imqPPcgvY~6hB$0o}N;b03g8jS`MOWGbE zeY6pY&C*#7BX0;osvxDmX<6G$fVt!8=T)^?-e3e93%Cs>)w3#LU}~u= zw?p+s%*Rsr?GTtG7(zJ$8a5CSAK|vIuiQ`-L6>Q7x8-}W(hYn0Y3xO9*XXiaANlY2 zMBni|7TbDXOPxbI)p2iz%n=}~CRONG98weZX2|Ml?D0mZVaq$lT}md;Bb$6`5DBkl z*L)hQ5trCp-W|8CQ^LB3XTXr()s$duR@+{V3B>T5&MX3_X_^O>eKFjCM?MjiA zSuc@LJkuPs;sSDZXQeo|wvXZy58(2!k64KLdw+j6wY-|s`aDiztO?Te?(*djpnfgA zH$h!_Q=A{0G8tJ>_(G^~R{6&ZU5FuBf)Q*}BD4YsShP}zkLs~c-!b_Y^S7#BJaIa> z*f-uYV4N#QeoH{UGFD*tq5=CC*~HHJ$S5;n`j-o;tvP^#}i8ij)KYG0qqh& zzP`^L&;P~PSHMNpZSB7bA___)NT|fn!Z0*a(%mgJz|dVvh=fRY4J9cJ(n^Yyz|h?) z0@5lmH2)3W_r3SM-@V`W|NS0^eb(MS;)bcmU z5zwGx$Rc_6GsycXhuQ}Wkc&dvkmheal${Cul-kRKWhj!_>f2H7=4)04$iDW3XM~GA zwm}a`>p6Q-Nzd)Xckjn}Lh4_P(pXfh4Iek#t9_hj2@2xfHH31d7zK-aaH<^V|tT)Yc2j~*r?D-Rse$x?t(^MEW6c{yvSk}B))*iT{_PC>4WCe2oP?d%Y z%}bbO3gmqKEhZ~4AP1eq^`PfpZT{cZewzX|ftvZ{YJTg3Hdt1t=;r@0vG(U_63s*3 zFLNRqaT#NfG+@RGk~sg6H07ngy=}LCm}l3NYS$z<9gpYzQe znbhuK)a|*6OcjnNMMbL)E)4a-fw{qAbZ=jKJcIV#FPa=^XiNq^_vf=q z9pL&Bssemj4<6sZ^im>_gBM)mj1Ts65(j?ScUgd=VWzR2ao+O>GjSHnz2L#6d@JD| zz83R8PTLlI45*Z;^uw0dg0+T&<9SWl9SL7EaN!_{}hEt$Y#OkEftGX9qge}y+-_OaT<4u zJ(&uVx?48+D#4M+N&8#|@Z=ex_vTLho2+fQo>3MA{90@$@a$AUIIbloXAw{T0m9PN z4B$DqO{PB#WJ!rIqR7rUNxGUno*k72zP0;P-1{1D7 zU@w>ZE9os>+Tng<8>V8a@%NTA zk~mWKXpM(-QGC@A8rM-}cUP<~2j&HmpX85e8@FCCfgE@(Fzvn7{9>E^?RZ?g3e~zRwRwy!ID&*WsdB< zNlQ3oL_RNXipbfQfrSmOnp-}3$1FC5Blndof50$S9()o0h?{mjkp@eN1yprU8xP#N z33G(SF4jVprXeS3{t|RQs&IjaROAOon_-TA7cTc7uhU*!?mr!26WFd68WPq~Z>od7 zU8%b2OxbxoCO@dwtLE`qYIHG{sh~eZ)|c|dyzO;XRZH*swHwZaQtJXucl7j(W_5#* z-b4BC-z1w|->AD|atp@!-fvm}UV5~S;)V&}uYNQ0CJgA}R;E{X;&L3b-2cdOca_L&{R z4pQJeV!2~JT4eXwml5O`qdRnd1^@u;Oa4a{W{JLi4{V0G+YF zs{u`oe^b7k`Da{%mA3=0km2v?FXsmFF+~KgV!wR~%04A+jte=*^~Jv4y#rhL*KP{! z;Y_=|vOtDK()U~VKXNOSLt}_3zk>IpCo*bbVtS@Cu1Vn9y|B!IF|B>a1#vR6>)?OZ zSNc&81ise;HV#^*K}MKmOlJ`JZiW<++>!>a@p7sSmF2KPB4rfZ+IV+Q!^-g1RTyL% z!z?u`p6F*7^Vd6??d(OtMy@o^ha^t2fe7;TPI|Br7eUK+a2#?V`FqrFktH5?He~xf zhUv%fWune&A%{DwNjy&EFKa;+oz640?Q0Mnv709_jFof_?PZ#G``F&}96ZvRm>BTh#GqFjX&u;vTP! zY&!ez66y+@L1?oGcOedGV(QJIREXsCS9_fI+2&!x9h~1no}p+>{N~%eYDM( z5Q3USJ+vPeex=8R(lSG&`wAXq!wISKu7-qW#S6`1T_fB!s(Uq)Df4ws-Rs@0P`WaP zad0U5wY27&<#1%E(Coh1nxj6;k>dd&_c* z1O{EyT||AGoOXHC_ocQ^rH9&n23NoO)r@1%R>oSJ9p?y|Xr|5Xpq6rHQ-#1Iev&p1 zuTECpt8w!S&&E&J>j$gIi!}wM%(mF$)qN>wG#*w)waKN!*$j{dZxbmd;!^kqPc>#RxVE zCHlHo60jpnh7*tki@+X>@MmVFLb z1o!OIIl$o}kG#ax)fPa-B{*yRS{DKpA*#7C$QJwf&UzZ|X#T z#O1yZyWQP}TDnKNb6$KK@`l|b(|u#{xz~=vv0<7z?hUZx!xe2M5bcwqIwOBe$AoCm z^VMMEq)>y+x`wW1BWLkcl%NJ&fR5-ogsT_0=nvv6Zd3sQGkC-Sq^i~iyjUrGar}T* zK%Y>DC20h9=;Y(#K1@8hi5X%SN2jA6_Wr<6@jwRfw)hAO0hvws$tTR}KctDdF(NAG z$DlJ_bd5t-3Xh}d0Pm<`tBbE-MJ7n%(bx_xd7XL5VL%G-*YJV*?ckeN7lS|udut7{Cy4pI@ADQn(YD5 zC9&Npu^kyQAMtaJifAsz`CkVne>Xt>eK^oC|5v4ud8&Vz1x)nkT&|RhR-Znyvk?`~ zctxmK$8E{TdZAG-^s|%w{DL&kh})iT{ycvFbI-7;jM#{?`L?MyRpd`Mvc+}B@bWe? zqgUX#^FV-dah;=c;tM#2Hs9_#5D5S2#@9Jv5?;PV21;Zb%|V;%q0K7R6cDPig31=R zG6(einok6!@s@i}7A&%~QV^ERAI!Bvzwn%!6AVEWZQ9vtI`QlZ9SjLiL9L5n>=F6c zh6yx0n74Ys=H`;~ybt{Y!~S9<>(tYs?ZzNH-&M-qtG%e=9nm$&Tukf|^XRh?!H2+O zu90?!aM8H~_t?#W*XB#xe#%HO!qaVC68=Dx&hSNDs|}>$dgCoaScvK8y@`}8Vo3>b z=^!S00B%+VS3yLiLn1g!DlTUzx0XH;4w)eYftjv*@3v+3I}_qbfrI>!LqmQtHZ(pP zeAvch)3oQV@L5D>V%>*a1@zlp>Dr~E`NNoa!>L4@;TdN|cl|P1hhFX}yZ4YLwkl%S zR3f--R?3c>)tPUcGUKFd)IN!uPqe9=`GpvSSI;;{cn1 z1wVb0tJ=}oDb)KUmKYWy=;(z4iR+%)3SS@OdUVLh?Un)3u&1_d^3AGAh)q0MHCfAf z`1q$x%h#NY)2Ub;^7|67KjE?wg4hU=T7OQaY7iaj)ILZtbF=+3e8IAeyLqCM%e`KT zg%$QrDtX)*fp%-JUZW+rF)I{pEdLG<+Ge;I*@^pl{{1-Fe&@waLT!Ia=<0mIi_w%j zlQgi3zISNUR#?0$oW%%o7B^v|FHn4Rc(5dqN{TvOkHwQ7 z`&pFzY}(vA!`~H7b@RDvjbrOkk z$^&0)6e*OmPr=;`JeIhB>lC~Pow`Sn=01Y!d5`{77Z#t*PTc-h^5F3muzfXR49+4= zsgO5z)zW#9mn>WGLf;Ic<-dE9)Zm*rC*p05#&ZZFGMqjQnEDe{$JYU<6h9d06??oL z!i=t$^~iPQ`XZ~qWdhSV4v2u*2Av-1{Mb2EcPL$7%5xJ+aT5|)_vBjlbRFNb9N+t1 zvOWZ$JCOrWRVEE!VGxBdi0ZGW?yILxa}!E-6XIL<WJMN$n-SXbD?zH8Y5d9*K|?JzCJ$AC`~l8IfRCCMtZSnET6>CLupW4z@Pjvzw>W>}51f}Y&HaIPkgJl7)jQoq&`zgAt00DvkiEC7pJxQJW0{ddVwcgfPY zIg+_K_-u?hY>XYpKN!;}<`Y>7DCVxZf>sDudNtOT1u+H7FSkhrvs15HJS|9-ApGSi zfA z=EiqCVX|M|rt9~B#iQgy{QEEo8hlrgeE8c}F~qRRw%gN!!L7H&b^B4dE78s35_F6_ zSRjh{i43~I-C3BNg%vy30W^Iy!JXzuUd*puWGgk`=N+CMBKEDlR^=nQ4NH~wt+}U) z$3P3>RU-geX60H8UNtEjV{4!l*QA%eF=kz!6E zX8DBX@Az$dY0iWp-JVLTM54w*(rk=DYVUHO4f<`3{l^X=gBty}>9LfRQ_Qfu=D%z_ zyI&*q*>PXl(rL5))zmBcIQPDr79w5YvyzlEpZ}OKKCLyipft89F%;mz3GaCh?>cKe z8P5}{%mc*EXiX^vDYD~8OpBhRvF{jKIS%)Vr9^i07m6j%lSIxBZHP=LeWLw)Y;y9Q z=R?I1bfgxB6xi`Q^Up$<$kD{lZB{5D4Ha3Vv-pKC-oY!ss9kaD*zZ(aY6}U*B$JhR zOMm1oh3ln=zyyv#Gh!A4G1J7tY$DAf+{(QpmygN{gDQPa5GE&&)4fsA65SP1);DI^k6Ijq<0z z?hN`-ZC8i!vc11c5}+M*>$JZ`^LZh&AddT-0c~nrUdTD=D$6aJ7zz56hEO464f;=k zfpi>SLda^Rn3UUdCphwNzZ?DXTrMpMMW-8a!4Tf6eV#FNV))?LwK0AuO;O6loinAX z+p=Ft%7ur#Zwj;^IsAg4WyWQ}ki(xEzPtfiaYJe8;mfw1DRH2sAm!o=THTgP3u6lme-D_L|MR!C7SXDRViu;;BOs%ofFK={z5Z&M?j@pr?glv8uYM8{=~SUYfU zr<$kkzGT~yPp}=nv;W*^&@8T51&8;_C3o8vaJQ}Ek$&KpWZLI&`9AaPWe_JcS=-|l z6vAN|>w;oKn%Z{@Sft9@Y^3$IRCJB=&w#78S8=sVry9ypqr@eMZEI+>AGzzD6j$3% zE_@2sMRzkB(iNiR)n&uZvvv3sb6; z$M}ym`lj;N(tL`RH`Lwwg*Aa(0CWR+I_L)S{;7OxAYa)~=l)BLt_I`Yvc|>um{Htj z*C!*%-K`rdX$jo7urWjGN7=@3uPV*m;B(u7t~th*;7vN93#J1%G4{7e{GDT4@c6zq zK2}kpdCz~PQ%!YXL=e;5j>^^vE33LMP-ww~$;K;-lyp^zqq_LM&cyLPWYFd=IU>_9 z(u)w5rq0-bD;2bI6`H2)?%OtRvEzQqdF7${)hA~fS7*J@q~}X@3un7NxaHq2#+4m&URk9${nGun<7^T zfoHXsAZ|SK2?N0fH?g+{I8CxX13&y6tomf>xM=-3Uv{yQ3L#YcqTCum-ZOa>qO+tB zy567cb{P)SHXTogu7hyc(&BXqpw zTq6un_7rGgXW;`sVy*A2@NV>h;D49-6P6#vM z4lpk!lM{Qd)sf4cmL&6b0=)qNzSEa=5*1n9r%Eq~VU&Vaw{Ap=>|Uf~Sj!KNumq`P z%7VQM8Bxc4vfFW=BY0&y@Sfr|#cF=qX~Oy1!f#V4uT<&hJ};q=PLvl}RhNa2-O9*= zD%Y)ssW(x>qfJvwW5zkjtrpES7nuFTwyStX1G9)V>1cx3)Pk2FlP)W}VnMjYd+o0M zfn?vXv*Y12e(G$@`_Za>3(KzDH#Mr2uQ5-1`Gp>-Wo9EqC1HM{h^r)hH#E423M`2W zk`LwXb2~?;^4ye#W6|ABtTchN1V}nXi#~VBndN{-laHm%zC}23h$uQ2aP@3!{b|B?wyZ`-h_{{Qm1k|QNe&*38&;7Jn_!}4xV)ZY=Y6Gq zrY=UH|0FB-4GW{(fV61Q>dnxDU6zuujePpiZxCQ1vIo}}2>I#-56oE@zbfb|PupFS zOmlmb-%_p3JjJr7zAxu9U}(iY#WFVeCn~gy0Cr6B*6>MA6X7b|g^%wN;!ldOq`zly z-+oQAJ+V8Rb}yK{9~3v5%f1>B{^3O#3OU~hek zOwTox#%QVV32vB%X};|kFBjl3xRz?aH&}sZuCbNxX4}VHyF5-gRod=oW?Mwv7XZyL zlf?j@UV(%6LRt$|U0>z*bzu8CE*lkyjf%BN(=mXGt|9=_C{2Ja>f%o7;z%K_2q7&h zuadi$VD>5z*w^9S*KymZu>QLmvkqDDNdnsvUiUo^K^d zc-zv?UuK8Cbf5ZFXt3YZyM8ukG;JO>^(U%&NdZ=Jwf)+s$EefyXs}pJ55FO2(ObW| zSMzF$Qfi6>Y&5xTG@Zta;NwMKOEfzGbc;g)sR>S?Cc6eZUb z@!4oX|E@N?<7KXvA5v7vrQKJUyQYy+{AT!x8zJU}Al)p$nz>Jt!6_?6&Sgy2zo7Ga zsZ!p1AS1~iegaKc4pp}*OO|tCe=@1Ds_u0*9SwrS{XrI&3T;(x^h`c0|Mbc7(8`4p57i7}Lr#%ND{8daq4Yy=_L!1eFmFh0(ZATsV8`Ws^s+*_Nl-*4=xm#Cyv2^0S16(;u>J z)X~O+vJ}qhl1IH(7OCr)KcP%Ds_Y1@=m<3pBQXs#?;`E(B<+o4ON?Mkq|#@l&}S8_ z)#0htaks6owXN8ggil>UcM3jL(NPHibpEb}WfWVtgdeeFypEea8k&I6x4@TjoH3l@ zmrhfpO4vw~?SB&~=ibk2p)O4?SXexjTZUMfb>nfpB|-on5lIxpD| zz2UvuMLJRN{CqB5Np3cb1>9yP|CDXy~ z_k+jk(HfVG&rMe=qrM=%|EzUR6CWnQlV$(vO`!6s)`oMOjGDmcw5`H8NIA+K3pPr3 zL3pdUK5KO{0m{$e>^|=gQP!i~rn2u_qPL-=u%Qzim*E+gaW085D~b80L)#5dAr%W? z8bJrpbyv3Yu52WCZ1^SOxnn79=*Vs8_{U{9|4q%CfmfQ<#<|(v!hmY0P*9V~g|IM^ ziLhmspzxRdsm9XWk^RzKlH9hIx@TR=aKl*qe5umvob^8iOilWVt8;5W{$|(S?YCSY z&!ZT~12pdG+?f+CA17gLHLMI%OLdhlDlUHdejBybs}I~hZqAx~SE$8qGs zadaj9Y)Sh0GwX*|0Nob>09Ain2e7zu8*$}!|5ZxVRm!w$e94z6xW>na=^GPkKJTi*E&RVhR9QRKo)3%ERr< z!;O?D50|&%rjC~!@eHSfQ7|gFYZbgFRKwe#0Xyhn|r%&jFcKylhhrKz!R`TL0#%| z{=L6MOVxir90iBZM%eS`c6$qXPo1X~Thcs7ESM0Lt1e-vOw^E7W0~<<2o?hiV{%F6 z=TV|t%e_91K#*_+UqNWdIFvGd-<1>`FrRwoWABP`9SMm1hHD`jyLE^fqaFi%X-@M`7x9jcN56mQL20iv=4DwNey~ z>vj9KLaN|fW3nCs4|9=l6H?tc%phSOCCani{#6M68P=ljoo_ib^Vp0x?Z$p=h#*k7 zu7zu#R^A`66HVG&%b!TY6Q2A2rFs~uQ*o^=>iND#e+x4fx>Ot6k7Xa<^!7+Jpn2i$ zaJG>`xdX`esTCdqTB5TbHsPqH2NyHe5_QN{cqCLXi<=HFnJWHqO9q>jODy63&QX%A zLA}g$V_vwG+>NjLslS-Kkz(;Q^IaiUwiRm1hjk^uqnsJLc(jLS*r+|PFuheyX4pYz zcZb2MAvt&RD`>@48>HoK!eeX0KuclR-3hd0rynW}yF+DXIzS6@IW+r!8$jXNEIjfN zyNrW7q6+?2b$mPS_-39;k}~**Rx3C^K>MPH$2C#onrWrQ$)&}7`r1%^ZKv8Ycx~BN zTZ0aOsrpcWDmM}Ui*;tiI&=U3Pyjlr|Dp!zqS6tL;?8~-b1XNui(T@?d=9y zns45vaCVHvGnFYJzgk~*Rq#Vq(ugoR(#RP5L;T=MxWp^%qEH5`P#1V+G7(0JDsUV+ z^$_1tfAj9bDwFz?G|rBWc&3L+NVtRH6laHI0@K4c3Qkba3C1LY&X7Z5k{u@IWpxcy zJ|FZo94>qzBHCe6LggnXD?5SXcJI*Nqy8cKPZJoCdfB$I8kmP30|FsRrcar6&$AkR zlLV0Ed=H|GrV9^OmkmtW%!3`SCi=sZG}b5h-V#^I7fn1pZQ8tl05bY_l7GP)nA~Nl zR`2Ewx8BwOv5(@%u_rUdG{2K6X{Y#4h?{)*xA%S7+MtQaZHo~+-O9>1%*C?=-CINwwdh7!6R=$(Z5(B`^i($Yhprz zgfXI2G_8q_T**z)g=ImL3`zucACgHuipA!39?xoIyromK-w*S&PxMZm_MKtma$>KG zkTYZC$EkB-ZR?hM$(s0FjmVR6$UW@cz1m0RXt|1&a@fpF<Qy*q4aiS0Xuh&X)lFYow(qeV8$R}I*f@6ZJrX$f zMB1qsp&@3K_;H)*dC7LBSJz0|7AAbzOeylLJHR=t*i+qf08F0ZoJTD0u7HgZ_%I2x ztmkZ-&mnJD;Cdm2PdIAk%w;8gO)(PR;+cUHM*kk`SFHO4BQL$$H)89bIiNGO&^ z*XY+mrg-Y(6<*UnU0U^As~4^Y{}J^CL?d7nYRW|GpX64a)dW+^9hiC+KstP+t}FTL405?F2ncV3D9?Pd$Icwb-51EmE*8jM zugI{~%|^Coe7_iLfPdIN-DqXkRnZOn-S0Z-49zgh}4-4bCR!*k7f%?VENNx z{Azk_#b1OS4-LjD(IIpYQ;~c7`7$Tg6SHGg$6ZAhQ3gAGYf~+!t53}*0{y#qD;gm2 z)rRW=@*olg2+7mjD+`s|kIs1-mJyY&#tzlQ3@V{<@N1osJV&KeuxkZEp)n6WUgb_I7u=E>6Jjg%4wgx7=yrw=L5XafWt zirg?MaY9ty6Yd(>NC8BHId0xI(#^6Em@8UiJLNK{Ye2M+XxdC=Js=t)q~D{5nA}UC*UY~D2{$Rb8E2q`d3KTL-9G@FdXgGywAeyS3Vhi^I1IlIvXQIkg8N9 zG$x)Bp#r#Hxi^&n6%2$MkAHqtj_51sKT_{Iav|zUD>v>h2RzY$ zn`M3(Pze>B$YM3OKjQLYGg{FyWaw3UJ7njWQ(NYQSB=XPfx!=u?|d9>q*O_np{?(a zL%?;Y<^|*-Y?KjfLSnMsgRGk=Xi^s19ew=%!O1*9nVKPIU@96PL? zMRmHuvo@mY9hGK9md9@-7vZmo^~cu_v@NfLuy%2h zto79UU*5DXQC=_2WLR%yHnrkzEcw^sz~UbZ2457wA%U&(f76=x4M;Ge^eueRQoV3H zET7E&;`1(Rx}OZZN6j(0hw}<6YJ}xP2VgvysuhlX;#TyphnJaCdRWL*H#_eMc%rE z5tTiJJW&LtLG;^W5J620Ea}OXS8RQr{46r~`P@FP*`vQ|G|6@tZae&SGIwk;w_`F_ zaw_+l?XZt}q?utqg%8J%o0uD_eA>BFr-Ii4Qf2Oc!daQ)3b@ZM3&oI6nKs3GpuNTq z!+t}WYQ%yTyWzKC2|SaZ4zKwOb?|&0fz#hU3=00<_7n$Nsmr>DKNw z#91B_Iav62CY^-XvW{;Y5KRI6WT^a&UksIt%4PsvuRnFZ{uC(^6Cn~q1>zDQ4qqpcRMG6Ny0`Y0n*N z9Le**d3fONw(W4+c3a!_65Dp%At^WXAe95FB|T@H%frbWqiKSWjo@8=L7FBT>D;7^ zU^DlkZ<7Sw02Q>czfnmWYbs3#&=o1u87UJ<6%$SsLn%l@E=a@gF2U(8;SAI^g8xzj zf51xtkmUk#$@hO+`^!$xrK$gB4XFLbUpD{GrF?DBty{Pyjq|^l1nU8yb8pXZ!QYwM zkx1utn78!mkL92AEw%Gey$@n4^Q+YmEpcN|uFuLuj`yV<0df^`Vcg4(!sf@gN+){3@hx+zw^`=TwvhPulB~C%6q^aB| z2!F(28@Gy$iCqhbPU1a*li&Kq5YDP~u}SZPhEJ_(DHRusmnLv%LP-4uEL* z1?dECiZSwt;k%FToD%Ellco>?i4SZ_AR>T9XTz)rJUU#H!d zRbDYg&YVND{;|b^S(ocM{p}}*yS*dmZ)`QYg(K+gBe~VQ5p;Ljj#B^Xv6<3>;G27L z5pm11>CMV(yFSiJ3k)tt!u(6%(& z1geozut+Id=^XM)@LwJRiF%}z3uOd?GGdwUZr>#o`S12Qaist(T5>H~RuAyqE!O+s z71=ql@R{AlBHlk*&#PE zT67kU6`SANYjZ;Eq={xCnQN*3CSs_iQnVEm zsMxfG&kX$@qGT(WGU@ILj)4}@jJs6VZ#krvN)rrK?fefzRXhHi;NP?(ffkrhqhhlF zK65b&tl_r^EDIR=chNsqdbzCQe|&ZS8vn1I7i$J4FV+Z_7i>3BV7no}944fO`|B<( z$-DblQ2SUo7IaJI4K+0Qk+lAt8*HP=rIt0N%6y2w=iY;D_wxK&R?$G1Lvg-Rh#&T| zy7j_z=WEc_IMX5ii93p<7=Y3X|1o&{T6$v9OUmH@Qr}GT1U^tpG9q4_L@qHwtJj43 zTt@>wgGR&gq^doUvNbx1aI6Ka>{4VHTUmZ&E#e^v{-)U5EZYaE)grbKli6iR!5jOt z?)X$@aPLgrV`yG%Z6C&uJxNLd3Sk^kqbGVzQ^(MRO{2Bcy_J24aHytv*^h2Np^q z@E4-$c`A3k4cV3Fsqhc_@zcu*hP)#(RZSp9w2s~}pYPl_B9sF45fN12sxe~Z>EYMd z@PmK*VdMH-LQ-Rc@yV;tPd!=zes1~y#;+~^#kp$$T@5&$4LFe=cfvjHP;NgY+kVJ5 zZ@@8c;0V+j8~#!QSN%%?kYxh#i?Go0(-`n z_(N=O^%bUi|7t5B@})ri5g5y_~_8mD8HH8FxnqA6|v+!VP8@{8L>2)D9c|u z_l|s)*Iln6CU@D+D8H71fuMK&u&#oFmxyKSNT6i1v#*`T+j?d)0_^;1D8SC|LSuh^ z8XS|BfTlGU|J|A#)6Gr>b@#5XbvWLD`~^~k<#GQtl?+I3OY`&fnYw#M{wS1Q!0LXF?UnS z$}Xz5hN7Zt&7rLUGHe&Yd>0C#=f8g(8x)dhQc=dL4JCl~)YIzx2V>Qs@?= zwo<2+?07#4xS?-sbP7EuMAjC+g~NG;wRwYwU~LQ8YF+K_@ZKGVljc7p;A^aH3ap0q z5#+NsJp??u(vQ}!mIAjx{Av9k#iOU|`|BSOlU~PeR}kM%NB7sSqKfiba@AhSzz38{ zR>g3o4+D%y%kG>|HHfsBp|6{wuXkeR1*BtZ4EqD@|q8%VwYnoc(GpDJoLazBP z6bn}Ni-PGh2TGv1I@{@y0-7s4%_E>GQ1>%^`FFGE@6zcl;N9jE7~kq5MHht5we+V4 z74%Xrrl~4q*KzrWww_w&m$LY)tv{?887J{`n)fJ7uPwt4-)T15blut%>}&GL?^Ax| z)a+}p@_u2_8$G<-8!*1jQ2QRu;eUKv5M1i&zNho3?AMCO`D$+hTl``n%i-;NdQJOp{Efmvu4tsIF2=Q%)mK#!Low`~&~Mqk z@_=73O$xfyf`6>5gHnBRrj0*v8sH!=cw(V4m5|`ZyNCKz&#h~am(c%aYN@eWzKE)+cDR}m+jgJ9^8;cX)5UX6C2S?F3wCd_$$vl zQ4>(;ZOq9)<6;tOGlI~`-JGx1tf^LLK@Hr(YqX%cM(MuOIB<>~r4aDhfHY5`D3f_W z{!VMS@cA?WpUwe;~%8XAmmvBB_%l*2CVg4GNcPSJ$*8-f>TfrvSl-V^sbNrS0Ti*d7E1$3xH@)fNof4>VI9{l^{gwkkzlpJhMj9CNyHn#ri4pd9rM2Hmz?cB&2FB8V zkN=Nz0XzQ~|IbOlte1xW%W7Q64jP{EF5Jqwaxq1hPG5KUavI8V*-Xks3Bl#UnZwTj zOW{WjrNxo=0eOFSI0DF5%%osI4!V&*4!TkQyV`4hWJM%xi^VNp?JXxvdy3qFa`~>f zrUwMM)75HvC(W3qITFjH!sgPAshv*@D{1|wD*R2QF-@iUbdikQ`>4!~V1Un)1g!uS zwEh5>-I!gG*qxEskyHfXR0Loj#wbWbb_qWBKp69HG3be>B1qeN1bX<~B{|-_VRPm@>&V0N8*1d782-R0xU*JnM6Ld8 zx^}ZZ<#ey(r%`iRN|K(puveVu=|Kbaj~h3-qscmJ3Sl6fJIv;-)~x$ZHNFbUDDQTh)@DG3v)RN7ao9| zT+{jswLXTRaZoktu)6q_e9$Dl=Cb}mwzs`zc<#Q1KFy@w3*x8Xy6EZP7)TN@zBZw4 zHFakNy}#$_o*n2^*Sn|A-lBN!NxizhgR{z?zPw4vUtV?9Dn@O4NumM@J$|R0KdVnp zaFVXI6-OH?lzBRz9V74>Bk4z7PXd*uu64x&lAgwp2lJ^laML4dlUYa7EF-|=Pxc7t z3u(#?jtIe@@NqLG&>`}h9MsgSBM*&-ixV~V7sK-;L6ksoku+q+^1;=+k{5*82j;px z!E>dhPA|sI%-r#In5rb9gQ6Mt2xT$CBCZwDUEC4Uca|U6#*l~O?i+yWLMx?#nb*J> zSs{U?;c)N(Hr6G((z4UG_NwY?_|BVVf6x0oT)zFs`Z(4ZAPr|H@xyyFHYPYNAvFm-#`J zcj`wbqdB=i(x6{lU4F%n_q~+&Oh{|$6Q?`o?)rSJ7?@lVpgsdRH`S92)i^)mnmX>m z-7TvhRApl<$FZi_g*-Wv{nay=B|XqaFc` zkq(QI-&I&1(I>ta@b!?ve@{0aQ~of^2jmB_@((V#AVF9wv2X3ydj(j0>;QOOOxUjw zX|Q?oh797?+<$$8i^vX=(?@qi%^n&1VE;u$;5evZvV(7s6g34BLcYsEH}1%la#{?Q zD>^oV>c!(8D3XIdrmfNe%*RB%l#A$< zt|cxgMa_6k02IUs)GYzwOGE{{;99cw@7ga^3FWb&3pxb@POn|{ZbTlJzbAXmKWMbr zQkwg+itpU+bZ_V7k;1^sBaff9_ny2NU{N^SILEyq{MwFDq!lgNN3D;+it&vpW;tDJ z^{l!7TWqrU{>gF1JgD&alQSQGd$n{b`mX%uj?vtRJ}f45<(aZWUv9@!YV_{7g6BEi z#gA%yZTqm0_zTUK(Ej`8W-X$Tf!^7%pUWg!V|YjyW+uE z*?oaN!w!ki-O}W086cT+NokPywra)0R9hpHqqU1#`g!>CBc;p1+&OwX^0{F^!msL_ zfv4wtOPme9v|k)tk0Q?I9IAghyxR0RpE=Y%bDN(|9AG@(@jhHE`O;IMuv$v>C2A$f z{rFj8g-U+Y{YSFQIpj!OkoF}_h4XNhEoUnFrrVidJdL}okYeEBcBUlwB?LR=W6fx6 zcygzoeu-@)1b?;@Z@0L{Ckplf})SL$V6 za4WXBjSMv>OQkoSkCz{C>icd_H23#>#){yU)GU*-}+V<~1_%z91=dL5@_2kQrU(MKPy7@w+16 z`i`>n2IRRb`XmIlOtY^-xdW(2fj)O&N|^xBqErv_CU;So$|Hu z7_-$-4IKuZ46{CT@I?}%VGVAq{MTu-nbThNAh1DLdFrBq16(0@nV#4u`)S0}(e-6^ z1XDo3J2Be(lBPM7JkS?Df)DBF%kW|t3nI9XY$X}c@J66_io=b z81vIo7ABl6)Rr@P=0J3S{>g6i=F#g3-o*8%+w+(f*Cw$k%nfR4%uqbCpKHpbTg<16 ztY79VXi+gn>tRl?gNUs)-Xp6HsW95G5U&p0Bl_ZzGW500pG3+`IWKu&x&_+}iAjwu z>z~zIYeYfCu%kbUL%|uNrte|^)ep@Qw7}P%#0a`^+T?-{;+I}}@3^P8hpt}K=8Za; zy9=uP^iUd?gBrImU%&qlzts6j@;iQ6KcH$Il!M#w3eI8rqf{HzFpW|L)!v1qKso6x zXs93LhmZztwVax6OX;bh7x=%;F+J*q;Bb*40tMS@dT>|nMB>9zj5wxICx?4gI(qNm zx+~1jlGbWqhFaWuJ!v;u2i>6yeYdGBKK9;%Qek>Oen_r5PbLcZuUHT0BSE8 zCH9JpTU!_=uqJctO)Liu^2*fqo~C=nH9SJj@r5+ZWH1=*0(0VX^hlR!U*^ zIrEIRuvW@u9;}SkfES&T{@5r3TUlsw!=z1zqg3k-OOWXbYM~8fM3&IgT9fD7*~pf|POdZ@oo4xCqoGp)Lu)4Zj+q5T?-g&P?s;T03SYc%OGYf& zY(~($SW8U^1AU8|u&+EC@LPNa!%^ELTLVU^F~#tw_!y29iI#Mn6j+~wXUq!9%BDDY zrT1@Mgh*G?u$|4cs}9GE%TUfI+OSohpt~D@#zJX0j;lZWDidiuu*6XVqW7GrkPz8E zHB@`%GrP^mSYW$j?&Lg_^c~2>&(&5iq)5ECqU$0x92xrVcm0;gG(r_kml3-T? z4u^ZR{eILY)_E1u$w!SCfw>@C^H~+jU_G!Kr@$?HD%yJS3==fS?FWb-Qb<`FJ|2(& z!TC{!8nLy}*!N{0>l5u0i6Rm!p4@Q|wE>3_Vcy17J_1C(im2t@oHYww z?abMs#P$6Wa?*r8El{JBMzC_v3ajN>0y`JjfqzN6I;MNk*l)ie{*=_ovvGQTQdi#w z6PUw4b(WILb%T%EcXc8lWnxN-8$2|UQGxRQ@$jIW05;CHn6tgk(_d1Jr5$Q(RXF7n z>>*zSR~+00f_HdjsL`njGeeJgo)p;i&RVbm3X-_fVZEYl?;psr$!gAZA3cStFQE~v zdWnElW#^@&cfl&s3~mBcnd$KBnOMmoqvNxobgWFPBTF3WEhBD`=b}i-gQVmLvhLch zE*}vVxyQCLY^NEA8Ofot<^7B?v4t*{+wWJV4K?SAxun0ywx4R+ZguRKH#W})U-Prw z=$bimXb_@v=`yT6_hHtA#jg>%1GY$js+NMM5=;s@bQSf8CR;J%#uy57#ZG1!pj2+H zRIYypiJC#C@d_b%h3tGWYr4T(63jrkKyVs!S01S+hhRPZUDpfd0baWfad_C`dyr48 ze%`Rqb-r~laDH;H`3%by6|lKD92)aeIBE*j^2+9E$sF@mp(7=gus?g9R>eBjRh zSI&U5JjEYL;3Bj95_P$;V(E^>jY71QQnm4mtlHP5Bl%hucYY*Z@h9vu2o;4{vQbMm z2SNifqVQ&7yqd`@O}yy_N?vw|=Fn+M6WsA`u6M(&hu4Y|S*MZwKib|qtf_5VAKs{d z0wSR+Md=D6AR--I5W0vc9RZQvr1usG8zF%78hVG&d--PKIp=rw zz1#iVf4=9LS!<5D-gk_VmDT2$W6i|@;)^Tn5pez@4(?oaXWw6Nfs_W4Di{&P zhd(a!`t}=sGrMoxv`i-$#s}v6P1^;3z2~y}PPi+|_%mgG#*g=X+~B{5O5Cr^t=%hH zziOh9opbC~7yMC7v8LmN$#~U8OSC%-5}7hQp6)1c^AWdCjmFb<9ybok^O0mA^{l{v zmt)ft({cYrztM;-(=~>=gc-auf<&HW@%6`_#wVTMh&>&tTM6$OMkSWdn~h)6GQ4~1 zzLdB-RkgEVhv@^e8V=B1dSqVbEAvIb<1>|S;&kPgLFq(VSg`Tuk6Us#ORq%QyPJ>w zSWZYz1j%6T5@XjMNRfZ}GizYUqb`{jyv48Iv(NlC9Vw_+7!V_hMsXbIXVRiL3KhWo zCg-yK!4p2|I}m)UW30lq(ciAaaj7Ou#n5SOI5)9wyRfW)FUb>EGNsNLtSEenEBx~ zljdIr;nTUrFEy`Fy4P=wF5^Kyz7f>pGrPQ#N81u$bb;zT_wiXy&BzB<(J$8ff=Cuqo!<-Uu-^4zntH@U5t?Yi%HzODH>(C}oc^)GKG;qpa2 z*W{{s<7-wcKfP&CSV3P2s1KUTe_oFov+W$M5#12hts+WgveOVA=+L|gZx%^-^Z&jPUla)WV7x`FlvY|^lTZcSgEQ8Z^*OGHz_O+k;v$qJz* zv5+g~q&cMZU_pL+@RcJq+2N4;O2IW49#bA3bGo1ApAWeXZa8mb%G-QBq!gB0iQmyW zK9oyL`3avCNsdBx18Io<)f>Gn*3nCe7ar6H zaOG7Z>jNx2+tgSHBy_VCF%2B&xJaqtZ!nb+Vg$9zrmKAGH}_6JLXL*tnfj)|U{u}y zRm*|PGD%d3IrJHcK3f)BE}gL8cTDl<;K>g#mx!mf&`g0#+A?XnCx;EM*S(3!ZLe_hC3`z${}{OouD^Xa7KkF{;rGsSczM10@0J>EU2+oCK2> z+V=w#$-t%Dsl_5lqN=xgpi&ZivMi2QU(9p2jzZlrUkGTF=9;V%*td36@)7l9^v*3` z+SqnIrb4}gzr7*}3zj!*ZfH!B2v4evm|EQ0&x~^v^JK)>q9qe0+zH=dcSRW&@p3Vj z-pUx)-qAsI#HY+D7`}IqGWvLf^jR> z@CLUkVRsVspPok#(O%`yt>qdGyVbekgZ3XL3*4$MKRl{uPf-}v)?MrDCPRUQDCBx( z>{RA3i+(3FiXnn$Goen*Ys59IZVtkDEHVGMPSTSmL5tFa<>=&ds2=C(>%MQ&&$8bz zs0Yuj5HN#1Zxvg_lMoJ$Bh~BC1N>7i63Y(})h&r92Z`NB(PAbYWl>GfvR(Cp-J0dB zxB?QkvIhKOj*L&>4B3bJAGdV!owLR4G-sUj&(2Z9K8a_rTeCq8 ztn8Lup*_UQc~Kt^^^as*Yuj3^Vv<4Zw(qeWFg8%}t}BL5Dy)5iK$;jHa)nQ>HNx?v zmEKkmlGKo@GZ`Ap^c)JN?sz>gY|%9MGIShQO0RnpkJY4oaSP z(wtpLA{FM&gH2ZaiDXG{UKQOPou=S}LG`=8RU3ZwEUA%4l~n8zoB);NnteaFWGj=j zMt0gUm>qWbseN8z|EYby*XwK;pJ_$b>1Bd>~p1TaB z3Hk<|AXg{axkOc%@-qLZh62@Q6acN*qr_ff`s|}cnSslf%83|7b5+EVNU9xL?a4J4 z5_v?z?qH(uAqjK&xsffh1LmY!%a()PYi{dqhuv)^>Cj`v*OP)fYwc_ED`4mCG92#$ z4OWtfsBNGi537J-mlnn7*=$lywBX3tM8$0^TSl0laMReiereQ^{z^oTu`5^ms7ZR? zY5>-J4&%4;TuXe#jbj_MVQ|q^zIbuBP~Ubm{U$Qw+VxQn1aIyv+lCDT?%zJNm^!I2cHL-XW{>`DxD#QCA4 z#U6+Fv=&X#lC8Q^4d<4ew)V*Nf}W+E%iPG|k6Z?H1x-sT#^6t5RbGMf=NX&&lGpXS z#%3i|3GyUzIY$gF@P?ITH;OKn0wTvcWm-N><@w7A)sy3wVBmJOG^{F^f_#?kaGk2N z9U^=?kBGhQ9M~s^I~h~vZX~%jFfjs_O1)j$_EFamq#;%Op6g1m$Ig%P|x{g z9)CqkaoZ@sW0k?iYYqE5Xl&buGpO6Uu1wFv8RzGL4|&uIs7`Tz^@VvxUr0id zJBjJq@u*1w5op|%l{g75{%*1Dc(OzBYWC3JDc4yNTL1p$T&YC9uB?8Aihe~YOguxOl4TXbmH?P99Jfoeq4DKG>_<`PiJp9ISOKSWAYw=s9%}{I+TG zZBvL=yOdTt^Y`e^>NPR+mf(wTjFzJUo$iU#nIU1cC{IDla9R|@rUqV91;%wlUsty0 zr1sK&C1n3_xxnCTr~Kj3N#N&{+Oy?DYh={0H(U2|ckWydb;sGU#%ZVS>B!kW^6W6F zt}{A)`RgbHRPcKfF?XMaKWRk(G>&EPhMng2*4J@QD{*jS)LkE(zi%86mC)&NU38Wl zT;3Mrz^N`uMadU`Kq@V3ng1Y}YLKf!Jr^EzA$u!+JJofifOB3v!fOs30WD*SSJfN9 zTT{7yXn%S z_!IJzTcj1`cU+&>-=Xe3v0r}QG_}69R&(1hy>IhIbP+fyN3sps&ikuZ5xXL65MzE~ z#zOAOadzMPgA~({TJK_v;&pAOSw8bLMIrUSGr%yh+ zCr6U&^z*K<(A2XxawM=Tb^LhX%FiKSSe_!AvrrVE=c34wzu0+jiN$Rt$5pqT!4!MT z+a=V!6rP|iScimSFZ-mf;Ho`_y=sdiP0Gnf&O;8E(Wj!h!g-J6&T`}xPhVU6voMe6 zU8yO$>Zrfr;}@^(rVk-1u8fEoGM{_x*m65;-0#V%{0jX>h*ot3$8~zX=z-CzlOp-_ z^X>>yV&(a6?TwSYNZ<9=SGL}FQKKdSeLHt*z(HW-_)_iI1stZRkha)aFt=>aQhP>q z9Xc{NFuKSGx=tG+w5wPJ9{loF^3kut8{mdJ*&!V}=Z@M22ltahLZh5Yh0jiR*1_qN zWPcy_wOb+Ixq2l`PP>9gnpdi#fM?%XL-~f>S+2h9Gto5*Yj%;O+kr?!gjaVPE(rN@ANs$xYx}{^xUc35`_WDVA$8X2wgqa6Dg;AjND{H%AS%R zkWT*fox>(vJri}3%mvO?Y{a=QAXO@68hM>9C$clewDOZnn?#sfkzy||DqWqqCGFC7 zLy2$lmRzE7qiTp)8nM#i%q=|^1rF?59Jg*ztz7^3Eb*sb`t}4CH*WX8PwxOX>V?{1rW zOso6V*_x*_H6XQweN&$r#{k$s99#y+_zfJW26}<^-@}3GUwVJJ@eAnpZ%=S~htX?o z{I7Y+&sr=y7&g8qxn=V4 zpz!?xU&H}l+i`U3G3`sQr!Txh?n<)Xm6XH50XFcz;LKRY|8MaB#|Bhygi_zUl~}5Zb3?2b6||vDo|_CZZ87p@@Hwb6x)&$VU4djA+wOEK`xm$CEH`@`^KH~ z4((``bB-jQb(2^5GE8jL8PC_wwrtU=QI*HIlPuvMuC>#FrwB|X$h+3Xw7Xq^)uL-c zY4dz+1qkGcZ3`{o{izBuOTw4CVCTu9BH~A&~CUP2Rnb5aPWwt`PRnzPDMzB8T+T) zEbYbOMVae2uH7{SL9g$+;o_+!9kzQQLh^bqCOD+WXnl2z)Sbk|hC&SdRVjm+k7^TK zkir_#kradWsJ#?+1?|No#dEKVcn?Or+Wo+Nw1`rK!0Dx@Npj$Dzl_CBe61brT9~Ri z>4<2Ez5E67m-&r6P-(}k)B@^{M_chP>dW|#FpA!f6!%Dva1L{Mp=HG+=Mv(x{&cCfvjT_V@ z#pGVRmhW2sX1by5$h~D}GA(vmCnTGEI?KlvYOJfsn#*|8=h$unJ50yiX z%3((3NWs)0F!f~qY&d^*R}2&p16^q6oNDKs#4$vQf`qVe*?27DcW?)sUR$i{-@$?E zUwUzF`~oWARR3FV|EbgR$}KXs@+ks*7E(rE&2xup^*25}*Jb@-gd$pditRub@`q2Z zOt#QIm?-A*k!6OncGu_9A#REIAqm z;p-$fPV~bSS%esIvsf_Pl{(0^5=0fk;8oLn>s%qh=Tc6VFfmpKA&V$$zA>q%Wf#Bc zz!%jU9Ju(#IHRrDzUkoib)ksDI;{@4J%`JKr}AJ@OGz(!Hl%`Hdn}jFv&3|l>xUM%LLy`^ezKmF}dv= z`BXa_BIXI4p9TWELkYXXjMBY{(v^v?cphH?7qU;rl}omB%v0@tQ|(r4;&aEBb`d71 zB;ISQysJuey~v@(>0{MHA@96!1N2X1%(gA{p%9^G{Nw8|oJ4vkM2wS?!}%AdZ*SYO zd&c`opuUC|bs>cprk@@PMM|KguwX5m^!H#urN?RhE%@&g`C{$-$12k|ciR(PW9~F48^IAfptxdps*6sTdeXq4w zFXrU6pAU-i2qhKj+c%7_veRi+X7__x(=+@Iaeu{#g#^> z!Y4Vu5N*-s-PCrq#1MrATwKe0ZqK_lOC_1vw+Yi;-Qmvt^f>!va^KxoCCtUzOu6ds z)VaR)1$CMG6>HmS=SJ}!Rn0YZjGNR~-us=lqT7Q=w@OZjA%#gf%ur1>dnIMQG-*7Qhiiy6O_qh-An3tQm-4~pJQ+I5%C)Y z$z&L4`qBNF@|`^(DClY1E$gt_V?yT^lY(L60>0NCm1^nYQ^!{FKlmzWw2VF@e zz7?EyN15PL9aQZhFG5Qd5qFch?757U+P_OOd{hQfp$w@AWVTCXwxf`pk&~Ta4{8k! zYE`6+(7DMh$cZb;I6?}1G~@RKz~QFETEKFCM*v1Zv6r2pxmBijBO(6>iD4SO-OrOq zv4bek>nfRpgE5HQ{wzr_Oroi)ah3LyBjL(&!~+bb?oU{Kd|#0Qe8TL3(s`%6?#G%5 zG#5zZj{<*2YPHSMUXQ4j97VhDuUYz5Xdm5_CgLV{P|XnvhvHf(|qMzufHVu+v~PdUG$o4@t$z6QlIA8ny$YCl_rrv70j z_#BI!>U$^46jrkuyWT>8*$Mttz`T2GkRMMde>`FS^!ete&oZ}plyCC{YU-zG>XR2% zQyRMl;>u$j=L0|o{hk0gL^un8^IHNi0?PgauW%aD7n-*MR?ZLQe?;!SUC9+Iz4C(o za)hT$-qk5cV3B_I55mpHc&N~Oo(uBR=Ut7C9ysZf@zN`fo_@MvUg|-WOHa_E4qz)`K$Zd?>&ReP-6RMxQ8JXUc)*BYJ;jB^pr&+Ll6H z`kzL$NIO2ofQR&46>Y=;$x*Ex9BmyOpXZ%v+a(^ppdr)rn^_{IC*WEd7&9_(=jdHy zt<-7^UEqUjHnu}tQxeyXSk86#urA4x_XU@OJ5gAn2phr07R`ij_Ou@E^Nx&1;Oitb zn)9e>uI@+^W!Fe(Xre3stgJ}^ZR(oDI){xTH@-Wz(On{1mMR?w14~2}MmnM;G+a4; z$y8u53C}5@_UDKBl@!#R^HaaUdE0urFjx85jB&&b**R*WBYFV#sCHpvBb8nfiip@5 z)^U$Br{>4F^K+v~&XA)>Eu-W@Nj(F36hzKXMD=u_u-E9rRi! z=j5r7bxE~YzfXA|$slS?G@NMAv>%lUMk5akzZRJ3g=ig?V0=IFIYT7 z#6}u+1Zvuo=LkbAp7{uH7=(3rUS%bq{P{sn8S?A|eTK0f zJ9X5}GWf#KxWn>Xx98n*H+Pq|5mVU31W6^c60(;i^3@9pg`Y;;PM8hn-?Gll@)g@5 z7Y{C9SBT(AnYTQ*>v-K63>pOVZJ0zSDb?kOfyg|Qug^daA$UBWCw&_U!e2S+^)@mW z^Dltl6&nbrM!iYNe&Z?LI~Th0+3cK?cFp2fhKuw8;Lx=bsk`#d^W5&v%Ch}-BYNFn zZ)25=9zXC6(xxTu`3)a*d?2HXX>H@9@ivq4DvRPe3DzI5ftb&m3DTRZXOr(eSR5YR zvAq7_@B}(rQY|F6)z3W06((ReoOd#Ap_Co@*0?07%cf4dQ3vt-W}4lXUM8z zAD33gViB(J1Xjb){e@RD&w`g*ZJi_BS6KfTP|B(b#*Uddwobn82ajSxjOYDXq(a^6 zZFXsq>mZ=nA|vL{rHu&MT(fzR+$FwVF6!+)6uR|TglARc?8hqyfGXH|b^ieN@@-702(lllnx?(B8+L*7O0P zVNL8|O>)TOqRJ~ip3vpPZfAjNM&BeCjcN0HQ(y!Id-t-dJY^tQVQ^x+pniqW2HM2v zJDoDgHwVI-B!bk{X7>%v{E*q8pC^_j?q7s7L4(NVdk4G=8V+zOwrf*4V*a8v^3oSF z&iD7_rGDa!88dt0EuCgjS$D~N?xny&k=ObrnBqv>@~Hpe_~H%B)D4WoduQAC&LXW< zLam|y=eB);H!-(eCv|1 zg~8VB;=$Hssa-#Zk*UuCfmQS+$Gx)|XO8!sxIkxXQ6j%BWHB#q@RFpK&wqVH%Bm@|?+@6V5XQ;M{q3evYVQ#Od^7L629TuOV_4d_zC`2fz46h zPbB{CcS873&5F!oiX>an9!})V)$>g{GG2=tG%O` zo~)?26fY~4lhF{*l0;}F`i^ZjWxrjc&kogNHjbqZS8#n8axv?Q9Mj_hT^hl|I}TmL7AU_1?qG3g-aaHGvVbr0gE zm?AfXo)$VfYN63awt_3VsM6V|%4ZTj5Z01s2)+-M_Zm^Ze~Q;K;xw;0liVzg&X&6%TG@U)_6eL2XTM*C|zh!^(72n$+VZ zi$jrUPT$s|#}l!fBmN$jk>euoPC-UvD&s4{*0Y5iDX&hcPsD$2UFcCf7Md1~HTTGt z^g1F;X0$8+u-sD-K)==|@MBP#)Y;inb&V+?amsT({8UDKCbpT;u47;B)U+@_m%o-j zTdSHsI|h!SYu-~t?1fGpu}>YzZFnBMQ1(E}c%Y>`&^K$XDba!uv|tb>4u=cHI5uIQ zR`m#NoUM3>#Aj?i59Ocg8+h!y%^!cN{W2gL=TcMDy_}#}JwCLsgJRdmSZ=$)DDs`} zyVVp04v5m#j1M7}6GB1z)DB7xv~Reo(47G1r{yoAheyN^A+m=J`+orwbarc-BS}8p+{JQuQVw*7tO1 zwFBdW{Qg})UHO@;1oWdSUxX@OTV`}?Che?Rh#e=j9VfdTr<^d=w9c*#+jVsEDP=iV zIOaK_*r?uax`7nRL8QMwZ41Z7Of21 zUueM8f2;w6(*I=*xCaWb`4?+J3fp9Vb+78Ux#p9Djt-4;rK5^wg6LjDkH#k@`}tbG zw{wf575O`Z75R?J*ZWN7CCb*o-Cnl(%Mr?R;?lZc-W#}%L)(@E8kSNd75qHoTUDZzqy_Km8;!bN@Ra1Pr_-mtOyt>M3qw_f^J$S;AO>s%2l=R+U z#TAW74Ao{pnR0b)+WW=(LF`tkW)5_+6lKcyDnnBOM{Zsllj}yjrVIt`Q}=`9K)WrS zZ0g9(*z(Yn?$?xxqjKE~|BtrE0JeKB>Jn2E+WKY~Ts zuZ{6d4vd+!?)#aR3_5om`6AzM5Ocr&n0qhz2lp<+Hp{hxV}1wF9!JGZ=p0L z?&7_cjz6=-!B){}KFzl%PeK}hiDpm2?xk@*ASCdNO0YWUg?kd(Qh5zIch#7`mfXoI z=?kaQIZ;P9D0mWPFNkVN<7!+x3^~2d1^%_Z&~02TsMa^?kW{_fxj+TozzJ$gqMEYk zhHJlSnHWPVPeLpy1!I`@k0b!S{L9imlYo8zaP(PS`b5a{HMjy6>(00*F;Nr8=sWI< zYBT9_C(9?fNb7JR$HgK8X|wC1lnDl7F-q7#tTT8y4i=u@;7+2EVnZY6a{07!v|h*6 zQqX3P#f`*`rE%q~c6k!U6LmIOTH2Syqv~BtA3gd*tE7xvbrNg7?Z zNmt-TCx)$xu4!1wQOqjISu78|R4|w^YfHf$u4Cpp3TmmJkfGX)Wj0h$&_-7xW|e|# zQ!Ey<>fJ04#BCMq-Yoa~RWo-TmH9C(#~mK`i~bKJ0G0YN&92QT_%9NTp8!y#{Fk<0 zHi0F9Z;ZUzx91XHtqFFxoEektXwo8r=~S=49P`Cg!~27+76o+`5rMnxV|_eEKx3;K!briMRaVB(v86(aENGwmL$g zFg=VVNo#U^7TW}JhNBd%wDnQ1*p#kh1`G9$>>X4T(n7x_;TXsN9qf= zkq%qsi}u7Wi>1JV-qzky#%3;J!-_>tT!Shx{uocrTaM({z^usE&yLi!oNa`ASL4rG_kE4BTgi(mdtOuQA4*qP?7?Jgu#RcbJaSR{oFi%#&&#i|#ec>Q^;^)l6Ouk}>R_WU=k7yWw{wXcu`e=_)h0eV-^ld=Cly%EaSbAfN^5*Df70`NU)Rcq-3w1RvPOo0;7R>- z?79%`#;&TMb9jU5gt}1|CrO#xxOx7|(TjTQ92>0unV?|=9b};Vh*AO|rp1D5wO5ix>)JLZ{ z^1H4Z=12jvSDjMl_vc2N29)6+jgRlDL!(p!B;?H!8!;dBV$H#*2eim&Hy60w8 z{>%&n=B9*qU{OKR)k&5hbBH};p6~d!5p*wzCZHVR7qyPQc3f7;fk(DJ8I--ow?65X zqqigBVKULQb1_o%iUdT{w&1}9kAct`zI8o8i}1Jd6&MWPU=`?bHQ#SM-`-w2;9nC%wp--L;ncp&l%q6d|qOQqA68%qgS$(*&f(qZoao z9ME1}W!J6xj@>X(x>drLefUYGtz#N>=BBy#ob%)x9rhi|`7{+_vwR*|-(0eG;R8i* zd-)j#;b*Kg!QMlI~Zc87y(h@r-7bFF@9mt{P4RIMbiVU|)>OWC{Rw%+<`m7EO0z1i-0RfKn=RlO>`uzq zH<*2NUHy2#I*LVrQ{FsO!!llVIIkfZ zq)I-U{!!*Y_i?fHtw1S-l#6W2J|Av=WhKH%7ub~FVWptwGG@{c>^pr_`l^IqHqrhgajW z$CJ)?n%951dS3HHJe50%Z+MqmPA9Niy}^u&Z z2SH~$wV-Fz*=>ld?}kv}f~==GoD^8e&Je1FlZe%$0--M(vhEgU-PVM%t4HByx4nhF zG=|1#LaA|JAFT8na3FNH9;^BH;Qyl{U=`=$?-l)W(KzgC-qq?eh8CDOK|5#F{d1&E zopzruije5PZNJmM%nNrgg)Db4^~c@971G`&j-af#@1eT%%I z5-VFRq*^T$>|*=P#g=+w>gmSR?WyLNsb(!iFn@EfYqLF^1_t3lQSzXe_2UzEByxi)m-H{R`YMc|5rs)|8nuSihjA6 zqG@|=qw?_He&wOeKmkX25I)&N|D}9~4O*M!nc)?XmF7rJlfzMN=;%G|5R_mrMElz5YCv--`w3cRO?Z8A%h>FD{;r4<;P&Xznxu6LaH?(|95 zwT{NfB{E&SWcfY*vz+*zQqrab+u8}<(U<8J4E~Hopg%95bNIAqsO+8&d&eg~(;r`l zK6F_I-qRtE<&H3YB2~op)AF%dsZ1>QrH)UJim<9*VI};IPwz~h{0%tp`_%&9J?Oqw zk~jfPBFxz~xaqlc`4dC>Qh^JlveIDsAM(!?z8tq@?p=P8{7REZu}Q`3+9#Qw0vW}o z51^Le_kdi4OSz|j^`1`3H>1E}0|5EZ^*%7xpDkIQLhnw}yHY=xd56lvi@~U!P`^2U zm}hQc4~Dd9%r@eWfK>)kjqS=9p8Ub=buOse2}UJtXGc0~+cVlTM*-=q_R3Qhs%?;? zKvQ}zrtIMhiz-2KwM9S6(EZamwZ+EL@f;rYG-7EkuxNs4McpBRp|Tu|-0_KWAE>2` zx0vV*9CVA73Zx`eAWO%$vnTk9jiEtYl@bZiTWhG;S88eQpKCB*IGdrgdmKfave|wl zMpV#DESl5P+e8Iub(Mu4CPJU55kG_y6}1_!#msqeb?xyGWd8Jf%{>P?j*eq=Owh`L zTnPFiXq#NYdXcLM7V3Ez2S%!1VmwOT zs_Z=2)u`qCt0x;5y&D&hWwf5ma!D6bl_I+9!nuvNiAQw%!2{*il%Q0Imrp+K||IlxelQ-31&~zKviR(Ush?ia!M;+&N_%l>52aQU&Tk`Y9 z_wfjKJH(rvQgXy5*{2+EKf4!5q1X^;Iw2D&)Xj>OI_d+z;UvmFMO1+ebc(baRvDN!Vn9Ceq33~o<+29Dd9#<@(w6hgjF~sGv#qfAnol!^GoZjNB zhGh)ve5&0GDm%AuFQj&53y)v75R&uT$z3@Q4bZ&iP!`lKUPfs z%6=>J*2i8}HUYm6v0uM_d#)1sv6oW8`~y}peXgQy7n(F?#=#*6BRB?$ zCt3uO&f%*eejrpQ%%zD95nEY{5fnLBt?+4H+PmNeUX)u1_wo}a4tQ(eXWC4R7alV@ zWn^U5?0VPZLw@J3>-Q7N{2|*!lBCQXMPViX&UtMCRAh4BFdiy$5^f=Al4#lKk%wk! zi<~SoxLC%}5ar~!-`{rKhcXKT4rkAo7B8Aw+)})HiT=| zf6=TbD}3`1S0KiC(ui{ee@if~5JXsRX6x^JQTW1#hYIbvh&PjE1iy+mw)t#g))+aMODDXYI6% zi|rn_^dWk0q2&<`QR(}Z2}$CQV#0IrKA%mXTu9UnzbtLj9#U$%k~p;-`80Lqzw_}M z;<hMn_EOZiQ>7PkJKNhgoSmx@z3=_YO z_%qeL4@oV-@!C<8wm@}MOML!-V&F}ON?zIloa}Uxx?tI|fZXI{&MLs%I??;yi_tP^ zy#!hks{pANbx(}Es~NIlgGq;#WC_6pa1-0P3tyBSx?~)>q#U|#ikML{+zTSrhLgs^ zNw3KgD#{Rsrued__{w!Zr09N_y6`1%;fo)R0kF(CI0Vc19UQ0zdV%)e!+~m`cSDIc zXWn;RY296Yl4Eeyuzpvmps3Q?@txz%PAj9Q%|>MIcj4%LhUzJTIpYU!9CQk9e zS!vaavB`O9zRGF7Mt$#)yY16-ox}~+m?`^>MR#m+-tEadr-riyCsxJS@Gj3?i0`{0 zv@LrX5u>lrr$BT1RCD?=QAY3*)J(gPkUNc^J#F5FYI)LDnuG05MB)m6R)kllz}ln0 z*Lj6xGuODXiYrH*JB@W{DB^zC)m@a|0Iqzu$iN ze_-$~#1)+8--5x56MwU<+k6AX=zdlX(daKzwJN`2BrB|>+w%tR^HSb@w2@GL$v5xL z$U~UeE$?6s$EnA9>#Qt^y@4D%^^x^cb7bO9Y8xhFt|`xkSf_OasR<77p5&8TJ=Ua6 zTw0U6955LeuWi>7Pc*>wk;-c43U#qAuL=m9?SS0+yST87OVPqaG?5^ zUYr~M0fvgcsg~p#9VBp{ChOXM-Id!`keIEV78v`5dVJVCIWNPntTZ-5(R{mKox<^1 zD6^`&61`7qgA%|( z1#0G!dYLBY`>x)oadAg}jaMG$qXz^~e0NDAEVv(4V>X5xQOI#@o8 z^0fSfnO$w(VUKdJCd5;^2$HnD&Vyw004nhf0kMr8*QvcNnt~?tRZ1~$JdnqmPUpdC zXoi1E&Pxx`|KlQfch~FHdH?J`L^T&RjEk zJIS*=c&9O!z|?N{&Z1;Y;#p3!XEvJrd(=BiDyh-5A9$v19x2T3MYUlpZr0_e9M`HGcv9iy zY#^(78OY)woWHgMIrF$S2uFc_L~C&V0|jUm01#m1pD0|wk-yb~$+?qB9%b8hqnJ#I(d&k8( z8T8)9j}-@g$Ms*}i2u;qB*uLsE7hfN<_SAg2tm{v@fVZp<&xmPT>9%J<3a}Ur$WfTQN=%Cy zf6NxJ3jHJb-dk0N2K{sXRm?Mj+GAChHtER7n(#Nn6RN8v8U3Z&jLh)u@9usqVO+2E zGEkZ+)xPK@8D5jS^68_M3>d|+*y-mKnkm+df1NK?UprCtfcm~6%G^E@Odd7ih}RU; z!~?Cjp)v$HkX%9P&J&b#(6MJO+2Z*-M1(BhokBdP|d2CKeWMI3QNmJ^>A$N zJX%ecCp@`N$uv*WV<+G9$vO@BvFycD1)sY26Fd*seVe(Jh{v=wWb}kW9E01Xgx6s? z%clE>aeE{qJ63yo6rN{0BRZ`v#HXQxS)oH=f;ASBwXJ2&vy!J9;boi0xx@5n?aO`( zPA|(x;{ND7l3ik}XW7L+vkHNZjQe-6@K^`1=<7?!iKrjDO!SYDKztzJuQ+f_%odplRiqfBVb}xZmA}h4Fwiic7c+NVS7$Zot1*e4# zWaYTPlp!U0*2Poc>kS<5h#2sobU51Du z;sZ!F-#=u$TQA+8_;x@P5!%;QKAtkvdNiG6z#T4bZ(jZrUQcwt(C2mjg!^4&`xWDp zkhwz8la4Md+oW~TrC=mv8TVQOuSU2KjdGG``%S8M>a2p~sIbm_kO1MD^S~Zq!)Ax+ zgflilWx<3*q?4fMDH@6!MQY7&+^|^ka*YKs=eRVN>opLJr=er#rVzh@(Hsqdl3P=H zBgnd5FFGW)>VDQ{w^57XuRV3wkND}D5TNHQ5YL|%5UbtV{1WZ3wl7c|e88YS6jsOc z$=TCcrnI@?=o2b>kE2td-*@md{tZLY#}?N>e+DsYaaV9W4KK&Dc&LLH>+SS!n`qQb zznOZf-ztZ56L_~^y%nWdFE_oj(d7sVKojw>qOB&DS zT^W`XoBjW2dke6rwzhrzsDx6|2m(rov`7d7BhnIrv~)-}NXZDONUMO9NJ>a|x0Hm^ zog>{0IV0(B&7kL%bKY~l@4Noj|GE~;erDbG{XBa!>^*y}XFc2V^#w0C^7(9K0_pt* zRK+sB`it|s$m31-m@Z<1&pvBc^7wnr5?OG^!WUl0vQVc8f=q$zt=GeM2M6y(=r;m)abG-Ns!4hY)3t5#K)dEegnX_O1 z82nZ@0J-q&*+mT<=iuiT6GRV|JwQovzu=T&w%c(Lu}jYar4k4A7J*3VzNSZpc%2YfEac6Fr6w~GtZXIR65RMu1#+^2)JZeuVM zW-x1AJ-f0dwRI`GET*W%;Mzplm7O&|ZN9om=(QnR1@BL<8oRYWZba_6eL0F-34KWE zNn?wr-UnvV6Ea!iGa=L9S+C=$`WSoQ(arh^Z?z5xGCZ!_dEU>7A!8#G-(Q=Md)Jbp zkmFr{?Zq_71ln2my#ejle1kFJG)w+(bEEX_K*=rjqIej_J@ronSsEe=Bm8H1M<2!H z)?U<3=^+^7?E^=c=oWvx_^nMSLyoXwc#FNh0U5Y{%HN~#W#F#xnW$Kxl-Uxq$E5G6 z4Y@zqb2jw;{*eG}BaPvi=RCD9{eB>5LCrgv;3@xdHrmD!A(lCCd4}~Msw&y4mU9nP z26XqfmviAIiCwDw5t2$81d_VZftB23&L*tO?H|(Ff|7FOC3Q7P(RNZgn~IVKIT}*P zjP3{a$D~~L6N*xQM8>~Rfa=@>w3mOSfI$911ukx4=^Zb5c}IRIa5h1{cfRXw@r<_2 zsJzT5``colx5d$Ki*GYpfC>Jn;Xo+eaNy;fbvDQrzh3nHb?bW~&bLy!x_sd!(+W4ZPEYSscKbct458Daob#KTNb+ zfWysWoQzTU0D6&mBqiPo(~xzEwZt&D>%yogIb{@_WE_d{<57X7qa~KEYu`=nx-@r4 zo-E(Q9A*$6eaVDgD*9|PMowRiMnmQ$6OPO)(xub1P0}A9E0X!v) z3Q5--lBPQuwTQ2~EAj+HHU)sKp0^M8$jTTMK7hW@(x`VFAofWs&%?}RV#PoJTEuDH zZw3AY3n-1{GEVNwgP;PCQY1ih=hy;=BOuHzm=8qBn47KOWa3PWA4mMtUu4r#mrX)D zuCw`*qYwg)ye{X(GA-gLjs6dF&4Nybaz0NkOO(EcGKl)=wW|BT>ty^mt=Yboou#L# z(Y;`>JfXbdE3rvx;zFo0bDs)y7I~_B4Y7jA;9+ zkCVF^3edAP`b>ST;NVBJCPCV9Mc$qj zq4(+4Nlfk+8{aca-dELABGGLflrVR2sNQWm;Iwq_Q@fUfl})KIAG#Kjc6ueNK}J>Cq`_<%=6H!5&9DcG0o=qg%mwIeXO?2q z`zZt@C8qbqOg;|3-(7oz(zGf@!bCr?&*C2XX%|g*>c9}MA6O0gd{A_-QZKG_q5F;+ z$a~i|vl?~LBbhHNiy=QDlOexr<@|n`R*^F0^8oI%xF#4IZO z8Mu_B3{<5Il3smEfAvX%^0^Q9*<^GhK@*tT&%gQ?0^o?DJpj%>5`YuXG^Fe&p8iqP zK6K5}lSUXTN0a9zxD8Ce>}Ln}bOITDmq#*QK8x#}I_?BHK<4Z#Qai&GPpx8WKxr&! z%d=Qayqv3dX~8XIia1zEw($xRPvfj4xZtS8^@9o#gl`saj;}!u>g);*G%ra1fRt5R zF5-d5nm@sMpOv|Bsd%{K()QM+r|MGbQw7ap!D~%!*)0mby;$ICNrhoHe^X%kC}(07 zQd<7?W?!obDn~RY29+af=Yh%*H3Esnn6CG$8|@`^V~qW#*1v&oXmQ7VHBU?`)8`H| zz)viZN0ypnG-*jJz2$B3en;hB%{P6HROyS1(ifJb$greH6$y^(k{oINS_*+$3PdHb zbe6YS&`lCe2uz<#`4>W-1b1wh?_;FrC`M+w}<5uR%=%vSdX?nXo8OrfxBb?C|7!wbsc=HOlv$5v_h0lf-ue4)+2CE zK>cE{T@OCrIeyc@Z;JJ5781SSAI}vVgtopDzvp~`%Dr(wb?o3(3)fax&KC;b0v%i3 zTCP`fjw@R}qU^4YD~>zSKK3-N4F*kZIbR$S;L)AKl~3W@I#rUu9DonRj8{^-ZcGNW zfphP`uIWeAAZJNJf%9Jc9_0dfz3q7*q|H@v>w<@Q zi&D9se=T%xhTww(w#7Id@A`Qv*O1RNDGq+!C@>+~`tM*s#Xu|nBlv$Q1iXTvK#2b+ zbUMVVuY-#81?`(At!$gr4EfRttndsacO|{GyBmuNoW6b|-`TJh25XMVcUro-u2)|3 zk}H$%0&$)0e9g+%Ih@Q?z}%IlP^|5LTLm*iwp>;q`Ke zFGh-@Ezy4f<9fNS)PDZTT?RJaSW8uD(Z+ z4VDad9PGnla@=O~1vu$$EyCtQ`;zoEzSts2LD3_5b|YwU$CB_1p2>xKO&enrn`=nf zTs1bMYh?pZb%)M3`h&r>(M`GOd0J45t~#+lM+}U0sq-2L(68PHr^qe>Ayn?6R#xSm zg?XnMJ&n<%G*GQkM`LEcYjfCe09M829nqq|w)KVfaLWy+ou~23XK??x1QD`bBFbmJ z@dNhd%j-f-y(V;N*qV+f&$;OQCYXnj*mbNYb~P8 zr3eY?M{GKK=f)F>XLal__%d+Tt`f!W%};iXHx-xe)xW$emQY$v|QO z8uk=kY0?IN4JsP!R|!SfzE+NvVi8GGYG0sA^^{8{?PZoU4;Q0KJ^xzy9?ELAKv^MD zEMh<(bh{TmK3GHZe|}|S)xfA;=WY42SHISTsH>(_!gk(Nn zHU{n?XKcaRAp0^@+JgHNu!h76ep4r)Arl|5`D9e-$L&?C6oPs#-|Qdm`$uSKZ7lx0nM8~8xRM^%}KH6uipRqPs>vW#SUiWqCg`3Ti}*T_D+ z#mPvaS5>A%SrLi<0KQ;aE8xMT5PXNHtteNEvd)|KTSuOZvER@8F0tlR@s*_{NN z-8jgRM*`D&9AK$J`7#*y;TjCe_bzq8ElF#vEzNWW8R`And%IPFWvpKmBIXiQO!gkD z4c4Z%b7vH?t7RA1o(lW0{*&W~gk^aYF1kUiDrd8aPtU3*>E4AYjwW)YGEZ+3tqI4!9KHRM-c!dwJqQgbW z+pmUkw~A33D`ROgd&{?9#We|$p)~%0$Iar+9xlp+vSt(i0sM!l=k{<~TB8(oaLsM6 z$?)QbZgWMniIw%dO&X53_(17GbSXF*!~5T|7n>Zu}-hFP9HEX znKUj*ToEKu5ya?75#UH6Gs{Ul$LZFr(yGfCT6HhH>K?u88NGb%1@CLzfG zRGCbwdq9a83TA0M-q)cVbqzQ4k~A6C&&E4oV-*J#(sPRT*;pHo#lU+?ln4z~-=pUC z{JJFW)f?)*M{^M;XwXB!)_5EUdIG;AlqdzWp4r&Ufyt^t3)}TGWAD3A*ZaT-y6na6 zrEEMy*Z62Dlkcnc8#F+UEP~D*hhCc!b){GLMWx$OPMbr%&!u+l2Sw0zjw-+dfNV8z zn1`2F=RgYFl0|$#-U?m=!-zivB2-@(#I(gfS6b2ljZeX&@J(oLC#;>y(U?5X(&%FY znFU#YB@y6Tto}xhCgt?%4UeIdJ#0iizSnM#zR3pBcOOw<;Ay;wpmS>~dXbG6H?T#l z>?<%ms@bWXs7PH$&*Ao_MZv_O>&#@(8RHBRWiik8F{be~KTv@3A=$M5ipD!Krq1J%!_a=#+$OPyd($cfAh(hC zj-l1Z>{bWA!7@|lV8vs?I(lzUN#F01R69YuO?hL9`ek$1px2JIju2gyj)%`-5UN-G zcErp4lDlL2*`5@oB3X40kHFEWvwPVOZzx^g1}pWcdQmI&C6B+tZ<`Br9C|oL%`k@y4B*0u|AT{jr+HSuWK_ z-xWezN~y+N3EhmdZo)5sv6OL0a88dsCIVK4=*Ua%5qv*Pk_@Tn&p;I>sRM;cY{=GJ z2tsl`AEDO&6cn~B7 zYLBV42@0^Tgg)fbAlKVw@2bXHWZdNY1I1lqszIo=*^rR=2%pF7}a=vSl_1v zetaMqrrJZAY5tb=y%^bjWl5FvB$-Te95r4UvilzbnKDoo)<1!HYJ*-*+N_=+h2pAo(+4moz89UD%xs6S)$dB=YRG|e~a)&_hIBw zH?jGlEy8L?!aV918U)i3;v2t%e-nE1=_eS-o;?Ky)aNL#C(7rm9WhQJh}`D>XZ`MNxzo}Ka1kCeXMo42f zyh3VkxVt!b_RboPYt33uyuL!^3ci_#nKc${*OzVMYW{oFOp=+fFHegu0;KTW@dGuu zuIwl483yQhPUoEk@5K>(P{7XUqw8O~>MZzDkS0aJ??IY({}SP{QwYkEe((=q z9G2{C&K zNgTU8ICk+rTuVf^^Lt}-TV>u`Wxp4a{IUWHNhVeGMN^b`HW#ngd0y_DK8JvPzhwKk zbSAK~Owdble#~s$>u}}@c(9Hgd=r8*kPGF#G2!&}$#^T-n4nN7#wzadL~|u4Tg*~5 z{=Txrf?4XpsN)g=7ncJe-a1xA)my@L5Au@2XLP_ zSw$3 z0pk3SKhH300;a#}w>oaB%Q$_2H~oE0&;0BDN666}Q9{<{;SoX-zHciE^~&R&3{Qm} zFYT_?xRe(17dRx46&I;JdA#L$(CW&TW|&o0HzA%S*GLPigZ@8q#orwIKTEwx%wG@y0uJ3_BfT_8-ak=8-2x>Wl0 zO4XeV5t~Ry$@2&5QNBmIwJ+iDUKcNoUBlQkx!c;ao}ODTqqnGX$Dht$jwtiFvim9= z#u4jy=UkEAk4GT;tVm6$GorToV^u`o#*-IzS9q-lknNcG(ADqOg80%fW5-1*Aj76@<-$5uewtg0y+7XWQPr zVz#Ur=4QGTNL4xwa5BBePMmGkB%EswjxzIcIFCGFVmyR$+y}Sx#w1^R*y)X7zCCKK zDGlN{(A+>%1d>4tJ|j7(t}*XHBpcvlw}p474!43{{_a5O;bHb*lRYlx@Iu2`-U5l(dOD=K^ClucGE+ zY6+kMH3nP3(J$aC9O~>zdzvE{&Vm??KclfR%!S#@8n!y-wuYY45y!l> zt!_{9YTuml{v8FPVv)En>ToAUgcZ7+5EnZ?MHiN(W|`&NmxZ8`GN&Qog>97*u>gT zY&1v_5cjog1!~7#HBM}vA%=cJ|$mUwASG|FujqDZF?)OnB0h=lSh$3 zz@y0C&_vuQjJqNr*xKSJjScZwSp2rTHF9r9UAAj#!@%P5quOxmGqIdDkG>A6_}}Zd zxc=E1&JUA2!?;|657)yvE1PB$3kQGa=axeWDz`Q~S!%Gq)fD4*O|WC&Yv25?Plagp zJD)Fz6gU!X+U56z-ue1)R4TJJVhC{t7vY1Gd;UuQH~+kIv_IBrd~@uXYUZu~P;a&p zaC9}E}wH37g#UnJ;GJ( z)*3f_)mPd~=P8ZJL2=Qu+E?++SL1FSwoQrGM-meWlg)i2SA@zxk1Vmku*p`&TsE!F z_|YP(r_KZp*-MV!4C=FY-ZW^jGG|#2X|*tS=E4;{ppQ9Tix^zbEk-0%^^S_v_Kt3s zP3JonG0GLKYb#>6t zAhw)10C4yV>69u2Ku<^jim*>DIYk0cCt4;bTXQ5<5B5kth$YKTG^)-R%I0^G^)EDwOb7{=*?}7j%1qrP!+^9 z`=m?hOr;~THoebMtd(^&w@@q?NjA)x+uYC!Un$nrDe%#J7+9V=HdVQPv^2eJ=a*H7 zyw}WU^e}EWr#WJ_Hcu><(rG9ru2iE%^Bi+|`+9pxU$>EjRu}CTr31cdm1?o9RDX&5 zIO67SaLev*R4GtaEC`vE&I)o4eq(pHtMlE~70%rW4Gu(e@|SJhcISZxE3>S}!Mn=e z+}{puI8y2%Sd4DmP&?R&NC(ZTr#99W9rY=%~d{D>iY=U5H_e2o!ZVP`6>SNC{E9$m1g^VR6ST3Q)Ve?ew*M02RD zSIOvXn|9-hA?FA)glwf(lXWl3gx4-1?RG*MM}parmBm6`DF&#Oxwfl+ygu~h%}~%R zWCu~Fc`YEzjr4ntVi|UKJZ#^|ogx>X)huX*q8d`goK~$p z4$sDd2Z4*_q+K1BkbBxH`8P@dOz7Dw4CVqx!x@h?5;?^KT}`{OYopEag~p=cv5T_vlz!2b2OZ7ll6JVWfm`>`d`3dlFO*%%S7 zLC86pA1vwB+D?VdwAKY-90-G;-~l*|M|Y=sS;sSdQr;oUJt>9yNrNbg;>Wh@C89!G zR0!W3+k`U6u@dJBC?RyC?7QlMnFqoQb8TXIa%6qPseNR6%iiIZw@YcIO9;Np3sE6y z&$SST+!ww%pLotwARVy;%0wOGN`TlSs3)%BoZso_Ch4Y7`;54+Yq8(skIAso-V7xP*%+~FPx`?p-k@DP8)`OuR+ITC`_$lEE=k5$)zp;A*si6pzUVozRrhR%b*njN zywNH(!)BlRpp)(OJX zo`zi}Vhwqj6`<<+T6uy`)_F)Qvx@0tOCqyMDq|g?-N7;(!=^r4oELZNvIf8T@R%Y~ zn{5Komn{yXk${ITqU=IMUpW0ut{aVD7OYCJS6z*a^EV-BDkLhfe(~@YedKF@6Wpf4 z3q~XU4{wRGUncs(S_+A_VaW}1_UZVKBK=lW{Sz3%*$6~+mqn+05*&9J*frA``NTr?2JwK&Q)krV5Z zy9qXB7H7j2vAFTDo7t4B9s*NL#^yVx?}b{G?7DVwXU zrzq#wrpI!uGm1s2xyeNvvxq-zzbZ%B07mm=DI$B@lq>VY6(W0Irt%hsGqI$~-U2nMXM{m!#241$F1A7>8*A%%BzFna$VIlxG~fDO>u8&M>&*C4}ki@uh1=H$(5XGCvPdqNm3V@Rw)g z<(4%!roa7G$uUK`K6>YU(V2&2c~h+p9yPv2e?)E#??L&8$NM%UVuUcI?=-cx(C#U_ zD8EabLVBm~fZs6!aD_%V^3}Ll;fEG(7hKiS5<`*n#DQu58ehdfJP)Wy@S_!{XSJQ( zx#?&j=Fr(8!Q)#B4LY$eeFQE%b|9XgW?WqWKidKqgk~6*%cdD$O*6_j$vpHA;|l!Q z@(HgS(knEG#lBQR(~<=)fJWj#EagDVG|kA~By*`5)!vWg>2e0Ejp?(C{mfaR-Icoi5GKMOEFgWGeKDGBpc5~jQa?j=c7d+1NjMZ{r1 z%3(mS^@&;QlSJ_|B{VeI{0vFYS*g>6cK{vu|106Ygao1zI|)hP4-isP#NUI^DgNZ# ziJ$YPWTokCkAe^b)_PCX*=Gvg>1T%8tqA$PNx}I9zVK_Xf3*;Bcerk;y_M{*Y=)KE z8R=SY(uddnF~@^B%3w@!WjjamHvdEE0&je(@mNO)VlfWFRwexdxru|&tbXCaruRXq zT8v~9=k+w%dw&gc(!6eoYO!QDAEs$Cs%Ex#{u*3?8eBwq2Bhdlr&ljg{X(hwMSvS- zvKuDgNp0c)=qq$%Z#qq&*Q;m#XM%ha+ofi<^62tM=U&PBR`5}OnM@d{n4zAO;d(mT zm~nS+JFPjdb1|X#IYPza+!{>&^7j@J_XPfKiOIXk)z6u>u&Czni$nOkbtdnUJURDz z3+sLBW%KaU{k)<4-OP500oBi?&=4&Ep|?v^LQ9bUcS`%;REoD&)P)#OD(apivcq=x z2=sGIPdfw-IA-yA0h{iZ!}}-piNn9d_$h7RRo#PkYMkcX4D-vlR8J$VN_h86c#5ZY zHA@ROAUUhph5aLSQK`8e3p+H0foh?txyq51tcCq*?aCUGk(IKV%bBgp8Z2^g0qo9F zn#=OW89eREX5p!wVv&L*n#->W`=eXYwnU_0GP^TVVLzowMo~y=r_NvcndRbqe)aQ& zrgj4Rlq9`eoD!NsE0nOq>(sN{#)^0<7=IoL25)>Y8_gzQl{2p3%l35NVX9&9)AtuO z=i@`SEbe@5;?dva{n6%*e5fVd;YY6FS!Mq|xJGznZISni^xGZRSSA}inQ5>W!Cj*kx|E}QVt?6DXX(>UmA6wf zcFzi5$_bRW<2%^`09F8P-%*$RN&WsG>gk?Vv5jaX<^xVgDQ?w=76N=0(qy70M|UmUjWE{v@1k^n+!}*G1ucWK&#@Y{bDU|P*DU`obD3_15r;M|Y7MS7| zoZ@z9FmY-ynSS|Y@nu#ZNv`a59kp0{HNmM&rv|mg3mRnCbs`kXGX>Cg^97Aml3Z4W za@IIBWa=-J>6cl(|3-0WP+NMLl}VCIU3Bh!D}i}9O*e#0&%3H>J!50^G4?QoB>mHq z_c55LLxP5#e|LuKy?Hm;UKsfB@K!e+=d{_-~Pe z=)jKsscd9fKM23~7%Aa~FTA)FM)|Sb?0EHiq=_lkTDM~F|u z&!ZXt0GIp@7@GVfu6G#okwU$_;L~#MNAe-3Rqq;+@dD9o!uI*C1={+I^hSmm# z)>$IsDI(*o^W0A`MxJ0q3%`)36(y$?WeUEE8+;Wz_-fc+frT3=tfm_Z%YGUTus&$` zEYa+@|LER!I|T>QK=)5|Z|RVec0vUq)t?IN#2;`*{m=eRNMKjC&i`-1`)#-Xgo7Y| z+xbr&4K+o6U0RB7g*YBl#d=Sn26?Ezj#Lflu(f8a@U8a59fRqBi)O8f&u+}byXDol z8If!H@R*QwhN}tqWjAOVqql;x8=?zwszy*34YnCR>f5=6L-yp|h-!r7tsUvAhA@@F zo{}UE8mM!uFZm-6$zo}I?SSnA`30nAEX+)>u50@6PT!sf`2sS!6J8`x*X87y(7fmI zar=P16V4L{Gjs4vSUQ~S$Q;U-215t84;oZF(<~15p}8ReuoKeXTRvyxc^u+?e=h-^aTQBt59U z3slkmQcR*KixJ$=QAK0CmX}}%OZ*-DyU_EWU_iw@1qRf!D6c2Vq~^vrrw}aNf7ky- z`BVRNFhBt8$3F&h8vM7&L3Cipejb9}j)v{=rWgiW{2DCk`kW77k_gHFnQw<`<2A;U zr59M)i~&^y0fkSl)$bD9*X#;?dPNvN_~>X_YVf=8?#g9c(`e>Mh6~35IqMNu-|X+W z@Dwt-vA^1%w~@)$efK=o|3DyMU5~LItB*<1Dz-fr(VlJhD*KC-K=N?ARXO)Gfoc-; zhKxPWrK7?(35-TdJoGM4gZxeCjWh`h=)=G{r#_rHW3q{EX-42VhMJle*!b2wB}8x5gUfi z>)Q0FBT<{9EuI(WxpkCN?~09ixW;Sx`WTV(93J1~f&H*?t^+qW{5e|=?2M`EMhd5$ z-Ug@Ja+KeVVa<=}DeMB&rIlXT7=7NfH%!H?38iYwgAHDB;g-E^(v2luH(;Dru?Pz> z5o!O3Xdj2&qJwTyjgppbQDw^$BNK=xJY-(Xd|HgcKYG*M&!ep`#3`oIa=el^>9{M< zd2v6aYxW0n?3uEhhHRyaaPw7K6T8^e61uPOYl5HqmPLV}}+I&xM@M zmrk|(c{SP*Gc^G_3`j6DDla&1R&Ow>#!2+r@)$zNl%e&pjSY z-|AW6yTNr)4_XG+ooIeXdU5Hk&+JBsjFSIAEF{%>3Us-fTh#b1SKGR9&Ov6=YqT|m zJVs`^LQ7q)j+M@|442YeDOwAyZ7f~$w;m%f9dnT=UDLv}2{RI+A1ggKQc4qQGe!hn zzWxbtE47g}M&WF*i)>J+WPiIWBc)bk#rOSmgGI+uMqWeAW3;L?&r_8emE!Y&LChkX zhjC^pp*A9H)YjM|2svEq%N&>y9i>MLZZ<_WU_vj(=8+x8@EjaCjGzy5^8e; zQ%j)KDc6Gbt%;7#P`*)T1&_J)rUe^@#VMiMomLJzOhVULq#P#KqwLIj&hdOO)DKz#}4c|zcVi&kY<#i!` zgw04wEFZ^^~Bew7N7TZhdDDg zSS+>)W=<8awbiPOi@yv)#dMe52Kim<(W^B3QQ9N95o_#l2yPx_^LK)@HA?*L_2N9U zYyHaxSyl84Fx6>o=7YFYb0l4B>{pII`0Q?yK<^ez{{{lMc{!*}4C2lc6{FV~+dARGN?V^c?$DOvTuPqO4ty#)1H>x9T zt)~x;Co{et)z-mM!@4r_2Xd%maq*$moeZn@q%TxtMfyUqqZYnee4kXDQzZLjQ|`L@ zy#o9k(rDN2zMQa+8gNbsz}3_*KPLp>=lpLT0QAkqY0ydcpL!<&7zM9%@EH*pzq3da zwr<$F5*;_H{bm+A}sk>Izp%fJ6A@sDfvHBW4$8NRFQox|b2 zt$+coUTT|tM?$?f3bQ|K@72jNvm@eLbSTe`S2%FrT73y-Aa?|69R`OcY(M@OxBci< z8ahui7Q+k+?hmQn#BWP|%B$bbxs8qa z@FiqLQLV0Epyu3~&hBNX4%>mZS{+Q}R>Er9av4I!8=)e;nufKOR_T6V1{%}N?&Ui{ zOPnI*eFlmHw}S*qE0KzEJa2E{y9mS;#oWM`g57p>vltGvBfNnReZ?Po15>(_|9zBi z(WkQHD6EvWk}awbrlI5^g9PNO!>EI@d4%2C0{GcJit(`eF=+l{u<1Cb=Qth{whpsxQ=fW-3q*{ioL9i{zfA?O`uwXz5m52$^S(Ft{Q&%IUxW) ztqAhc!|Gy> z#l@ax+N3_(q>9JyS6&Yp9h1Q<*9f*e<#ZpJ7y0s!WUR7(s%pLgdo(}!2=N$x^WYFN zSi4Kh;~pIC7ED>wB46F|YVwg3{3hs2M!xHTerzI@VBG8p{^TQH z)lXn%hx5_LzIYX?#}emAH>U4z;4#D-zA(GAiAN&b=x_G!8>N(RBSsh3G$oUpFHsj) zJ<=C2t7fYwTUu^z|scXaO7b;{~nYqDAq=n`IX(svny>$756| zieVzI`l{AjbuGO6@&`6f?9J#eY>T{C&oH_96J5MnFaFBS{{pR1leqi_Ap@<^3%^U7 zsMqIy@4nGV2{&O}ycxLWoKsz@@wxfOix+H%(&Wk$w1vmxw1u9veygxiadprN{IZMv zvW+fkTA&*M^rBlJ#5fgVOa^VGLWD%1o7yTJ+ZtWg@ZV_7rwOvqR@Pq}zSUoZQSrh2 zUw%#qz>n{59sty8_%!IG`%k@}0T|G!VoylWI3)`%N;!9T42be)dfWc_7SnR#W$=~~ z_Cz{CWdIs-zaI@rBB)fNaUyZ ztolu+bWA#}jVfeRV%I!*xrZrJTKmLKcP|cD?vWZuzONcw`T#O2wrh@yHg09o+9bIb zEA5(-3?#q)lMnDdX#Qoj;9E+N(ck(1jq(940bC+pFZax6N{@A^1($YZNe9~j{$E_6 zBsI{Ir@a0t0iyn2di`@p!H#jL{t6VR{&n9#VB{zJcH#x}13cC}Y>yXHePfgVYfRZPq4R09(w3E5Rv2?G;Hjquq~5jD^Xyg;YA#8*-^Ha?}C{&cMj zk7TWk3d>Z);{n;VZOhad?E%@>nGx^P)h$(X`Xx$~lPy!F24p(`KJ&M?m@*@@P9Q)c z01dgXiiRXll)x;P^kX&3Lv-xvOg)nk=S1-K-I@G^*>%fKfrSU7bj5zm*js& zS?G4o#QmJfCRP%P(oH!q!=tp&liryeAQ&v=Onblo32)}Mc~WPxQk*o2GwthTVXA>A z#Sa#GB;ur#1%sK;HVX3LUnuX>x6M_5qsUez{ge7B=>7$UJ1i$2{)eD`(mV@0lReZI zHZNA?lmv4WgF@U_Ik3roLOoGGfocM8AJE=Uczudw zHw}Mx2O>gY{?Q#`Y}rkt^qV8JZb*NxjPm84AJS{1tB9s=@(T3nmfT^&k6m=4`s2JS zspy1ESE=RXa3ptxT7-yS4i8l)wk5}~ zm&et<3D>j+AT!M@xzatqrt|*58MbfML{bggdvxjU-g;_f&{%?4;`pv=@c9EKt3g%n z^}Oe6l}b>2_I5B`Qa`F@SQoK6l+)fzl_N`H~z$^ zo>vkYT6e3vr`NuXk?fc&>t)wpfXT`Q18Vh8t8Ri}w_c9}QJ81B=Js}tnPblU>NaK6 zP`B2{{K&nBs6tg$>h=Gavsw4_XmpRZjyefmC056=Ve)vetWUFd?3!T0bLl5lBCglo zB*tyKu|>%_byPyK4crYE%ESUbq9n_&+h!J4xUJ=kl5 zcMR-%AV2~U=N{j56!(z-gC|{|l=?=HeGM!N)E(|e!4oPV7i(=Tvo3sJmF)smC;!uf zM8hnrN2}VpR0y}6XYftU2(uK(4Ko%?0o*n7uEiQee21~LHAqn(xS1QePV4Q zRPw#*zmZVT4`@&SP6GNUz(dj{cH14}?;Z)$bK95gEU%QfW*zUZ&TBA%{1$aZ?U$k8 z)9?voj+_*mmWx2bZu-;7(C-xRiJb1^--(Q+~eBV zQM0|=1^AbY36q|Av56aVtb9WJI>Dn=1G<(jwhyj=Je&$~tB|LHmbn9upAqscZVvkq zDS7u?IWS-extpX^RDN-|cVJpmyl9RcEe2M9s&w zWesW8nyFCPDd2i-Tg8zg&%NGlCjGxLL@dbb~b~eXti9o?i_AtE5{n{F^ufn zv!}H~%8_}{o0U;-Ee($3ylK0>-5G*q7zD8uca>G}u7Z^``|fNpgtfP(Yka^|y3MT# z_yYmsKDn`12dT3}o#v6HniY0)B^?tU!FprGJ>XOobZeS=wa##2T%P=Wn;4sNL!eGV z;7VZoh*5Rp?I=DsTuNg)@rSKfahHtgAP?`6koUHVQEA@WCRcvVF+2E4EVIBzHHh5N z4V#}Dq z#BdFk;`10E(IT$#)jf5n)KJ>Y_6i^M5n1H2w3mediH3h!LV#SOCMr>%tO4ZCYf!bk zX{Q+Jo|rFe6?$OrMW9*moD7!0qs8>4ir1RY$D`9A0efV@Qze_IGOgZXK|azwx9=b) z|1&Cqt+fE3d1GwWeVb}j#m{*ge2|Zh<|sAnuEaZZ>>Si|OU@tA)Vdb#Z+)(-S*TS! z12Q~i?OYF2KRtOl)cN==;&a^%Oa+zdQbiRpwzRb{%ArTy2$tZ>9^Ht5p8Y{LBMhER zWU&+rfy z-zcPm;jCKrTTS%j#+G)4z0kdFlVET==)&k;mEn?>tNC1sv&-^rdhF6jQbrLjTS5DEc7)J_I<5h`Gqe}Kn_@PaTbArlbc&~J?*F=KK{C8_hVNw z8~hx10!6`CcWnFwA=l1T-lvF-ucKDqzpnN+CO?+^6SoHikp2c6gL-;veQhJ{?Scf8i(R$Sh;`n zBE4x+(7QI>CV3|!o*v1sjGRV40i-8e06!(NGy3G&CUST>t<${DpK%l?6ag zNC5iLo`~lZ2|!gq03ei~o*K|wr*S?(?|wR*lKgrgHn-l@E;OOuAm@zAeN_Cs@&v4| z(xvDo*4y0%C!Qg!8ehmXnMB@NC_L!ZfnB*^U@1|tYgXkdg%&t%C^Wf!uvce2{)M?nzORrJwb0`#_1MAe-eXMT1AaqT`#aX{gUeyUa zD{M3X$KraZJ(tq^P)4xDni3~Lwr=a8sNK#4yX~O=L;_R#jmCA5R0+<~%E6^`AVoq0`(IDd2)3%qJU=E@ zsrmV3h07OmY_A2)zKwp%FgJA7i2IXvqg4f`LrUMq*$vL<1GXQ>fmZs*9uUv3b$Kld z#~yocy!3+?VME?W2dOp}he~ip5s~_`8}J(@Psa1=UU=1ouT`Ks4cQRzs8VRq@sYB9 z(<|F|R<;N9mR9}TPzPgjpc?`+!$KJMYe|TB| z*x-E01jzyN2FG`#oTwefzL3YeAy|E#emmk#EGDK6RO^P%;X!JJ`Yfzb_{3=oL;(&H zvD$yCf`HfGvc1?;wl#_{&A*{qsxd3QTC!%iX9wpS3Ot0yw(Tyh!e%nF9SXgI*{W-A z9w0lFW7iJrga-f_Kj>fKI+XUJ3xYEfFpJKqqI+U;8U)9d!>@Ys&p}|4Ignv zNDq+1Gg?XrxWnuh!Qr|V&4)M#NYwhak-CE3skan~Q1+K*$A^g4GZ1h3GrCF8(}rRM-)mxc`;92TO@dgM zo+$X<3u(q_BAE?;31RvRw4wgejK9Ev!VP&LLx{yAOQ=m()BmIFE5NGQy7yH|N~J?Y zT3YasQqn1X=vG>!O9TX@L0Uo@sY7>zNGjbWozmU$-{AFLz2Cjx_xnH3d1hwKe%HI! zo-?`Enl*)(vBm}?G(X$iK1z{YwqaA~ zV~R(+UrGwW;o=nfD4~yhKT7fc2L6Qz?hi0fMf(j5R1vSNUNJ+0!wG&v7?AwM@3F`Q zO#HJ!KniGnTKVA;a1fCGvrE7Ek6oHB_K%JO6kz>VqrY#iUno2EULszT87hd!qA!^# z4of2%N^4DRuqV6|@x^mLf1pE#3U5tNlDE;GdxZabZ5QCV3%N z0#?PbdpVpHoNCiMgHI&pi|;{Zz&Yzq!jXq?*^0L~-Ex^qCxx~X%6o|Kyi~oF!E??% zo%aestyatR^y$PQ^Zg#G(mZ5fPb-RjB?KDiPuHZ*?QqZSs9Zm&-r6s()->ScIG*Q( zwADvDVC!*mWF4f-)LT&%#L@ub_Yj1(=tiqF9nP<{QS(xIoC^7|;`D|;tpOB}5dT7j zsdQq~8)CLayI+EVg!UWw7s}Ws`t4v}?kVe~lCXYy$p(Qi=`rpH#a5#WoGuz#aFvfL zq^Mk4oIZw0zGOsKw}jbgB<+1?oN4)>7QvA}Z?HeO28SCY>?^!h*AZmt^Lxoq>& z5%n1ExpO7C-$U0E70gfJUp2aE$MOsK7b1+G;Kp|%I=_MSM9K3nt^QzkyBGNBH^dDt z!k_#Yug`!9VE*TdUkn0LK=Y@SD+F*5kp8nvzx!XnBm9q!0~BEWSEIjguHPto0#tar zx`v*5HV;3a(Ze|`MIB{4^3OSs*AD19^mO*uM%#YqJ%#6S*mUPq?@nEmySCH}p2DWT z_^BR>3$w4bRQ*G$Eq|KBCJGm3gu1B4hiOxIVTVoFr+S#bfp5An`)H%pKSbQ}Cp~N; zabbq9lihE+q{MgEMw`JC-1O%^)%$^(!6V=N6NTUS(CaVzKoXhy4g4$R1N?&4_=GHB zs_p&YhY61Dgw;z5BdJaH{@Tag<44_Ri?5f?D{hb1&I{UaCsl1GaUFGQ9(KpQUXtdu zvFEjUaKAF__PFQm@h{%%pS{=Xz1Qdd4rIK-;$2};e}@C?^d%gS@*Mufdz;thS2&;s zyg%6wrUZZdey9SMoHqY*jOUR1hi|_U{OTTH`a$+jw*Qx_MLfd)+<_lnfNlWe|BK{r z+mZ35$)`TsNp;Jv#Gy~#b|4RzuPq|ce$*P$%!5UKx-cGsqS zB#LKA6gR_B=`*{8-O?jWB%03gj&X+Lag(JDM$7fV*B#ov_JR3LH7LU$hAbjnA9fwJU{d^662wRFa0HD{ymUxB@LTVoJ--7-;O>T|MH?;aEh`)g=bx7jy#qiKRV!?fPceBwW(PX-#uibu0$p~y9_9DQ2Z+!9t z1thpXQNhw^AAhkAB&6TKzfyicn4eaA-XrMdOdntGHyaG38@Sa5d3{f5 zhL$yuR&V2IO!GCrVQI-5?b^!P=d4?voKX%ugkqh-FzQU)wOOwu>no`-FJ|hGHUyUe zpUbwVn^_{KFyt$#K96uKi|PO6?4g_JhiFc z5N_J6KlxFslK|sC@B?Q62QUFRe(?bKbK{S${110u$b|e`rvU4}8vQR@fNRr6^GN;- zvQ!c$h?BstNbEHe|3vXkQuzbZZu9;Q*_hV*aCWuWvDP4wz1&61L!G-5R7HSHQHS)s zVP~!XaGA$mpPSgS$A(9QCgMu(^gIm_Qh;5*NVv(VtBf=#Fh`Mta{E}t&4>M240(Mkz|L@;LfR6jYD~IP3qVIp9rt zq9fZ;EnQF=#fZiU*F~JPLr(036U8_|p>>M^#aM{+sjL_|im|%@q1@&C-5>KS84J7j zh9^sMun2Z9{N|@jXa|eLK z|7P!B``W4pFEixq)Xw9f1*i6$FM4B`_Zj=F`f77)8C(6!fZ;%7yJw9rV|3U9ho54t zreRbWyN|Qzu|LiKAhMcY&ozQS%^VxbPd!2uTK3Jyr?|Kc^>bjkV!4yXa|pX`VmWj}sDRDnw# zzc^N}p83PKUkHA64>0{8`$yaV!_~+&npgkafgfIgZUE!|gXC}9@v(nJjY@vhUfX;! zNi5D@bvNo3u?B6%!0&m!|M#qAV*NpwU{oz#}Y&uMyrOp^)^Sg2um?7RU>8! zVLojz>`17vhEi&IHtkFyZIeC}BN9r(nB`ZVEn%q&ZPbVQwZX_3v*b12e}G@ff=tK zC?FBy|A~U?89|wfCD3wEuE2UmtW3XxuYCFew#KzCV#<%I9lS>_7rWmW#bGLnpeOas zdKQeW#q(b#>8BHbB>fZ3O~TN+c1uazthgg3Esv%9vnGbavl?|z71z*2T}4Ra*gC}cxstrN1l$0?e+8liokazBmAUH7-_3LMIh@bSzd#m)6n zDJRsMh5-Q^Raux`BUPoGR&ScN=`$1qRhb4iSDs!2y}$z6_!;WwX$|TR7|P^Rfvx=( z!y0VuUCC`NBFBe(q7!Y4b7zORjt_+<{7E)ETb(fGYVKS~h@n!Z!fJImMwLeA4uPY7Kb_%CDZUNih3U-`i)?m{x#g~n_Ty&v zE;I`_S2S$qD3U1;$WFNrl8N8kdE4cdfbpM6537yd@WXyaOZ^VY4wvSwfKW=t$X zv3=fjfF51m^j8jb3&Pw&okpf({d;`ku5E_92Zy+43_^>3iFKY!<`}zWcg}L$&y|wv zpxu)ayJaZH>b~bn{H}C8leA0bl*j4_XE{QyS&4NKOXfJcWthK#Zyu}roa6GlqV`OZ zEt!)ZtHYl`_nR&$8Qjltlk0@LCk1xPexQ=;D7*heF$lT(|79OYl8L{8f1zv~=a2Hd z+dDW@Da{ z(ZWUW4|1{1)DWzjl~#r#j#zgcw!~+qn6{fZ5M;bn%Ol9vu_7&kitqR%w5jiVfNd9b z-Ec)q@WW?tU`A|}PO%iz)zjq($|xtmHF+v6VHgttmM8Nl&64?zHN-QY369%UTxccRa0HL zQ`9@Ar!Ao-^P)eoB@C_dTpB@LL!P9WpxNM zmpTt^2`%$2kcl5%v(^H}imRX{2LVUKHK0PomdpLa9uP_%@cZC##-9D*!xsUFs*$jS zO2~?WrKTLGdH_4B*5DMwWwcNiL=8doa94-)sfrjms_}Eu4s|is(qMHs)XZjFc?PxC z4yicLZ-gp7mv!>)faK3l0^gQuN{4b0P>t&aV)BDUaC^T9R2BvEGN>2WKQljQsVhXABAvFQaN zYpn0e>(;Ku)amTY-5Ny~L|N$qpNY=`N&S9trRb51 zA+hdUB%(~^w8Atv>NZo3{cAjH*lB9Q*+Y-_CuR=MN|OiK(N@dCwh_LLqB(*zh)HsX zGqbVA8awHk*o``*Q~WnprLAYD-{KFRErR&|>2d8|PPL24ke9z?LsCzgs5)E6t&IM-g380dEibmtGOe8@={O4JP+qCF%|h;S59GbB1uuUB=b z@}+G5oLXbZGJ>Iw0Al9>*5NYd()AH;a!6}0^v#hPJDzms>>TCt0Rg}VjP5HLRDdDDzo`I-ccSNCRzN$z za{?1T^nppDKfToK7O?KB%PFx$%9i$M5G@`}j6;e(I4*}%O0Q2cYK9ZctG{mAEJy~T zOc?ONq&KI|Z7^hmNfUKcU!qB=C~d!fhB1|&V|Gu^5QE+=C#J~t@b0aVqMM^{Febfs zRN>!zD%18uWwjvOr@n#w2p+SzoGN3g%svTGx*@vpGI(vtHpQZ$Y1w*uTmDke%Y0j9ldfJhojw&{D6=spbAtDBq}tt~Ai!a0^)@ z!K6I|i&q&#Il#-7S%}fOgwO5G>W!IRIpi8T-pApn?3g*0Oj~*Q5)_@h?O^FFR}ZIUKPM&g8n2Ex&V>i@4D&2B zg`!2Sx63koms9l1J{_HPjd28zaiXGD6aT{wV!nV z+cxmz#vh#kV89Q|1O9*T4j2R;{A*Xf(SM7*zIwX0JECJ`0VN$oUQD+1+UK*t+?<54HotHUqJan#B*BeP7QC)moy|T4L5(l1*|TPjZBq z$9kH_eqrzY%-%V7*Kqo-;dWrrW+3FKS@p0v`t>aR>shH-6^nEY+N0w0Q~SHoyD*wa%Q1?bDX;$!JX zXIXYX%c~Zk*KIpYFlUU-R-7MW1f>%tIC0N&5zBBUwX)pAuUBLFPeyNXMf%KzPj~kdBVv!A2WT5KEDNS z&~9VHnJ&+nXJv{feq5nqD3phoXluUg5X6EnRaC|=Rp`xv9>3x)CtLYY2&iJk_Z{*F zVqEtfV$r|ReIdU6?zJj{c4-sAy@Tpc6-3l4-#YUcXitu_&1XxTANzwau8d<1x`SB) zzSCWhs0ID;HqRo)2(UgP0%_LO+EcmLM$-fpmWDa$uQ)Y}Xp-tXUfzi$H#7dR_XyK6UZM0vW2aYgV?4(d+oMAYgN+w{4rT{FIgRH1j#f%airYuZyywT;dXgJ${-Dh0 zX$&a9cLwg|_Qvk_@78w7NidY91m~XM&X;&NJkjdb$l8574!^c?w5ri(vUTcwzT#*& zJMfxU-8ZpDUDKXTOTtMb^>UHDMoXeZUx}Y9a;BPf0c6OHFIT!PfcNi4maF4tMK{15 z#Y)=k&g-;>sLcOadUTxVHO>Bur|w=mZ$TDOj)T-%bm|PY`Gcx~V^^%>9YUA)M$m%* zy!3KnVLIJ9)?ss7nH$tP4LFA5AcJ*}$9`aJGsg|RE=>9rDlblyrI8!TaPG<%Q=O`p z{aSGTR+E#a`F7A@bIKFjtiocEtlq}adllndi#aM^gYFU*8?K=x=ql>0X?5<;euQ7s zsM=ZhCg~Wjqu2@MG@sH%Rn)1~l+p4yvU|2q*#7Zk-=p@-qG(~!scTNHVs^wB}z1Xi|+^C5UJ8$pK z(O_)n5d>ww;_!~O9_H-AGa#&;o?F*mSCKzbAH zi;j0Igm+8*K>DIB>C1zKh@vLPob|nf+EZMRfy#6LWDHaU(7bCmx!jxIVv6uO$P~KI zu~YjAACw!d&MQS&<4GABV0(cBZWbLWZ#e}J=5`W0gA$30P@Y&{Q1TXJtLg?hc_w?M zOxk&(bly;FSBqQ3Tux~>V@`}sP?)GophQ1>sb!tBaL%(!_|Et<)-0fMScHE~!vv?* z;26_|mT1N6^j?~7j6rs!>*2l!%K5D+P*ALn=J2ux>SLAIq5Kx-FAx)_xsz90dEY)p z%+8;io(6x|-I#Zvaor3Tco(@R(nR!7?!^6~%(^<8=b&?|aH1*-mBOTZHcYOOZdlKd z%9n7zbo+yYe%&6kq(uL|3l=0-$ZSKM*-5g0|9q&-k5g-~cH{sbsE@nfcW&RZTEnxr z#njSP^zy2jToMkAuCU%ayu4Tj>Xx?B%Uk=mo@j-^(zv}Ie`P6blX`3I1Io z?OTNrapM#wmnn*)iw=$kZI^|*`8&5TOV)S}m6%)Fo@r9i-+DC%I_;4TN=YQDPJzV+ z;;o}VPOW`0`{oExn|pPYLGCg$5x}+3SAl)i6~AW(oLb4~<7SNh)j>1+i@`W|RkqGJ zQ}hd~!8>&d!;5J9j=|3B>`jD8PK2>`ozF8DA8S^atVi2`yxh5AgXcpt=l85?9MRV@ z8!8>q)z{9?d(GF@6zT?QXUq19&ju$(%r!?Rc=kJ8R(c253l5gb81+wbxXyf)_s{S4 zB?y^0yotXq5Slv;70QS+U$o&W6jmB^%kKF>#;38?z93Eq!N%{?DUqv*$ygkw074)@@Rt?P4)C3Ke(D30hJf#fmp}Oam&xC}{JTl7D|>%Y{^5BT%UAid_EX8~TzP9*ig{h`FjlRGE-PbuqlvC3t>0ly9ygLgas!7*SbAOg z()m9n->n!T!M3z&B8)vqwScaI%2*n@cU`dt#VXX;&ug>IJ0j|~%1nxf4c<;xm!L4l zz3a1xIB31#C9!tF4IZh*3Ff3BvG#k@E`B{)0sgr(N^2~3FsI;?1?=n5VK*M7-)xO# zCMhB!3a(&5>zRGio~m1ZAL%(Gx*!rKiM27lSL^lYVH3}XR!^w*%LPa|Nw7rgKGTAk z;fzum&;?w=PZmDDX{WjI=mElWX^j6gM@C{T|71at-^-$d;l3`8+(_h*RstMUxEg%@ zX9YFl-tdupetdDColZKqDY`dIQ2U{eq`f!ro9Ue=oE5N}ok#NJq3hrjs}f>=!Imv& zrLTP&s5%*y1wXq1wXd^w5vkJF9lB3j{@Jv@Zg+d{(oN#CAHRQe5|{-2|JnI} z>Jy&Qyaa4!sMD0I2PK!7Hc0uq(ruhgT5rjQ85S@rmq#`&!`{sSS+AAhdD3Iwbx*@U zDJnOC60I%e+5J-Q%}+@n7&_en9tdm5TCWA1tJIN85$I68C-Z6Yv4v{ywC_HbW`vR` zA%?U>+^~!$?0!AW5`1?xv}9aCS%3b1y_(j@t%+fcvz;o$t zvZjeby4l0g)T8SN)l2nwI+o~>?nL#bGUl7v>vi_Mq!*1&=(RN`dz*y8UZi4h#g)oB zH%+edOk_X1bLJqzJG6nUfPJ_xi6LarI2xZQUU4bnjAn zY#Gh?v(2r|X6CXDPa_R0^1J(`q-hZ?t&xVx*yr13Q~w+I7b3Vnz(Do(Z(yK`bY=C5 zna3iU_&3C>sXzFEwV!nV+qQ4p?x#OG0lxsLs*4!h|NyKRH2O#?2+B0+~D zkLnT9>Jk2l)jJcbB<6NE&FzBOx!$sKwSKtw`NO@rj$6|mx3&$uHw};+i!>dI;;Kg& zt4E|KUf}%)`{zpoKiJ2mzR|qa@ti2=C(j0>nkP$VLHZC0>+>fkoUVz^>$ls@kKh7N z-Kb98M9!aJoj)OWO$_1dj^*oay>B#kn`Qbo%QjNXCX(7F65)RUk*~0Cudttgg9Gf- z!%JR3iuwz$J74#&@a;?9KiL@$;ePynr~;R8e>(QVt6x=rI`*ffzxCi(OZE4Se*FH) zNnrAapFcYPAAKrUEkD>}LR}%SdY~`u0bx27MkkDQW99bU1Cd2jkQ&|-cY0aWYq2Hq z&4bw&eWn($VIjhABE}YXQ|rx0(O+WySRzAznZi>3lI=20|EmMK=sHT}<6k3-+^n`B zvZz)FB8%npw7guB7={Odo$DVss?2TdCL2ebQ;JTgI@j;Ujk8-M2#;m0Ao zk`Q3s@K7TmoR~zEzzhLA#Uw1~k~^(UGK!|Gr?g@cDy-X=)`lPFv79GkhQI?@Hv)7? z2&Xa;B`!k%OEC!pYUEDKl8o|H_HWEo83N>gFn^Q!W^>ITInO*WJwn>4lXT27zcU>Owoe?m_5o5QR)^ z(AXfg$wW?U;Qj~iIiLAPFI`uz#!<&cI*0W%q4=Y)pv+Fzk8ItANqGH6yC3u5%iS2u zle`k$bY1Npc;uCkIhZJ~X|@n*#2udvNk95RLO?+-UPNs7aevKl}Fjy9L-Ab30&|!wpew=1Li#N}DxYibfIa9xohx zh$w0kqR{*RnLT23)k(bUN>rQNzTLk2s+4zUrqy=hS>IV5X>HNy_U-kNa$EM$s^YHi zko`&0t}`a8P<*Vp9-_KoXCw%XH33p(75iRC|_7a2hYRD2J6w{emSu+><103 z9U)w!O(I_pp%uNiNm7k1c1?|p1;()7CBtbeC8 z*1?`JJ;C$wd8c3x9U^QhhqgWWzRUwCrzw(0*YfjpwXRAHBSa+HCZUMs<>jZx&ppJU z4&M#dC#?&UqBCA~j>o<*5`k5mrV*q$NQr7d+By5*>8YT!*qpI8UfnJ%d#H-q55$NB)Jw$k%El8GJ?$=qN2W2%SR&0lv^bbj( z`%>q6ta02La`Ij$P+Y)`l~7Siflm(3|XET3u>w#PFAGWS5u?6j)Mg) zyF-oKBs6eBK@Dws;>r3E|D%{0(2Z9s`tBAAe#>sXX-E>Jy(-}|`D)!7DctGHgfd#N z*TqUVVHDmA*66qu-_W3OvVQF_+Q2M%Y@S1*`s zFi#J%_?$*;YVFHH6u)@r*(0Zb?FZy{j-Rlw?2@4AHuF6vW(HSBYIY+sAhxGK82Fc6sgPiX{ zD#9}lnltpMU=#PB`Lz@8#4&G(&8ekK2)q|I_JR%M8L=re5W_O!OJ2Mh)O$5p_+8ci zyJ}nWkRqCim;7_Y5;Vs=@Y^xZ^fFigXw_o zjx2=U7bCwOUXsO3f~5oV9%~3&2^>r-i;k7zcK$mYV)Bv@0TGLpHS-eF5IE$SfoC7C$erH)9Lc~UahYk0)bc~M>i`zp+8 z+)fra`0iy+6j>2cKlQ>{rHL%+p!P(+64+sEQkH#7p(Zw8SSp_rYL5P#>R&HH6cd(; zG02mY0^3eaFsT~iVIZCQNlMYIJPA|Zi#Ge7K@`~_3#6TA6b)=JeaFj#$0@zn)KIAB zq5J%Vw~8$Kja%+|$%JP2OuWI)=B(@22!q|O{-{S)VGj2&wH0l0Yh+EuoTIDaICtG* zz^vwOq(+8@xy<=9bn??7*Q0s5GW&Dm6O_es$Bu-$>BIMEuCia1kCjE;V%Y8+* z*niC=29q{Q`8wUM%0o-`?Ie|J=R9IY7&L_LHlM5KR7hLRqlPHy;5VMmd*A#T#G=~k z{^(r`EGjo7^>IIog(`Zu<>S{3D9BNSgijc9R$wG^ds;pue2dlPM`NWLX6=)K)!)Xq zHQgS5*xrhuOm~W!VPv=izSe6?}+CRa|RD zY}hjdE4_H790}~c?JXb6UU+b}JMV0apPq0R?d)!7uQRXbR`YJ=sFc**yugpQC&*<> z=nEVV;hMxGTpUFFn)5|;Ai%frnhQhjr0asjxc-(xpI^hnATiROx3Xo!LwflF$(g08 zETB6u|7_C=m83Lr+btUgJUV#W+V}oAH#*elm5fs72i>y-=(=7qjMElRj8oDJ3MFs# zn1T*jrc0ytJX0cBtgx$9)Hb@QnPS#}N28d1U=i*gkEMnXiWp+_x)nBo>`PTH2tM}E z5fN^7$0TMIOw}Bz#z^1^i_rz>7-$UvyQ22n!72sKJD7p~;s6?Gb1fVrPPR zG8_3#j722U(szZe?#IWJ7lT3fZF3$dUf}Z$G)xSrY(JcSo#k|a$C@VZ|474Z zW!;4n)Ta0(E*HZif{~Bdn$y}eBwMntA$KR!54Xp>$Y!l8H;t|==P~Vz|B>0knM3WxZ=?We2@!JG;I-OmclR#Cs=S9eH?;R&8)^; z1RE``doijVZ)TR}_0cgsXM%YMT*YzUZUn(&FFk~-56>5g(tTH87d<`ptm@f5Q|V4B z5C6$?uac_Hl5R8xgna@WL7dWO-~?HUXb|P}LbW}g;Rv=_e1ymznEt$JR3c=Ek*57fzt@|(V|I!3(Ut0YCWOC);NZI$g(DD#p zWRP^_HchAXkLmJ&H0zmURE^iZJ#oEX7ocq@eP9|fHodv05rj$Um&;pxc95{4&8XOM z$R6Z6jLH>kXx6m zD0uhHYiFyI4X)d~{syP&Np*BP(XR1zq1>jY<7ZC1MS$G!)$AnMndVzVmoqK1z?8wg z3=36S$CApb`nOR2GU)N%N`x@sqiDYVi?Z{|x6f)j&$93YU5>u2sNViM|JsUJrgmxw zRc3ty|Mu%=Lu@xRKHEGwn!MOF@$6R+TUQek?K(V#LWt*vMqJ|Fxg>2PWbt|on`mb* z*Y!FK3d_9LPFk!tbFtOu*rYjAUY9}MT+!dzPFI}mVb}>8=f2o(`pi8_*=uO}erCI7 zFtUVCYVxe%w1cl&a(kYS$hC(p&q}qhG^Pil2A10 zo0LqZvN7s48kq7_piSYhFroRzY*=S@8|D{ziWC*rM_+A~2PGrk5jtCkO($d2AKXxu zDS+}$v0z^Je0aK1YZ3QwQIWZwAzPGsp9yzQU1{(uD(h(4n0YZOg>61Z>}q(TCV2j^ zD7DL{7i5;xf4FhIBv%DIck%gsAu%wMW0o`iKt+Z!#)d73qoT%x3o3&=F&T<@+8GUn znxV>Nf?Mmf9LK)FYU3iU64g56lZoOp@lHpvPy;&FD0kqvU_vVX`)j){e&WVk(+v!PQC$7|6>?}N4GzE`yA?Eg@)kq&5(yO7i$@Pm)dpVUWG$dd09sdNku6|Lo+3N@rL{_XwW5j<_2tCbtUF@ zp6n)Suhch;SP*)-IvP23u8hjZA2jpnlEkEy+0SOvT<~_eo{sZDs(J!m6C1WI5C>X@ zIf~>M^orGJaEB>d4@p(R`=0SffF~rwUID)tD3q^@Gtb(R)u}zVZPE5ouEEEcIB$bs zJ+%idCRFnSovBYTB-{x;M#Yb-@KU+F*wVYdMe8;g*Q@>Ah{;7S$ zj~~=Nf_)syHV&PzQ68~T{;l~R8%i?ct~5QX><_9l)5{ku;9Mj)VF86=Ni&Hao zY5KmPoKLPt6{qGWAGdOYvm;+Yofb?QIz0&NOji7uJMyd4T69glV7B}*22nE{xpBw~ zukW4Y61*4qX0z62{=(%hjK%2_NwIuC+T+paoypZFWYKw)_iAGdm}c~SE8&H7|_(<7&BX$n2OHYvqNa+NDLDpd?J zJ~O^2Nb}L82Y17E1?@`6I!ONTlD*~9pzvDsbmCIurcl`#Z5>(M3i7!}gDzg`npFD|5vmJ*k? z!p-4CP3<=c?NckcyF)rZMpO}Rs;45Iq31>WA>H(S=!4I8g^IHqk3P1bC6vgeLTBP{ zrk2Z@t+LacJnhGP^9KC^y{&;xL*{!;oxAI3FE~7-M%+E0_^?^qn@ROI46Uy5FnUqQAeZ5BtYa`_k(q@&+ZJZ z)!flDixf0)0uLUrSm-OUk0Gt~fw9)$4q)$X>L)M^kPL%JU+nQQN~nvXf+j}(*`T{e^;dRGIkb~#^B{PfK1Y&5`TAFJ z>rG!DVko7a$I7i6r@e)5<6c}Wdmmf*OEYEMM=S8FSK`riOPqEkd*g%|> zRNnc56_KCPJ4!^TdZ12D1LRG+;m6XQTU|?YN%CibucH*bAU$c3-EH(p-ZyD8yh#zy zWRoZSqIQ|a=dTY5Gw0uzXZ9dW#g67TlUt8YGKsi>DU}mJlwK-fJ-3b4G*`{Mv?tai zrPx!lcAwf)Ze9G~0(tBvg#G{*@_Bv&Uj;k!mEXwGXS*Tf)SIv^`(Z<7!7*CS?;-h+ z*DW{Zo6wre6%)Op>hU9&33xnP$~$!$NpT=c4AjB~Mx0Sj21afwnC(x5Q@^6gza>0& zQ{H75;^Wo6PLO+H_2>a-bM`%7YQ_-`jBg`QFpkyF<@IuAkd_02tP?H` zIehiyKn2N=3Wh7S(uRCJzVZ2ZjVD_OOk=P4UTe+4F0b>A?8%<{k8mo^woP+VnZk@6 z!)5Blo22Azw&U7)p5%73fE`+YHV9{-8O?el7e}Q94Js-oGJyYaNzyA{42%qAlQtz% z0X)t0i zs;oT`X`BuYm6|>m%=jK3>Q`skh9r&|iU&tbndM{tFWH;|2iabrOsFuA z`0wUKB>P3b4JLr3tU>$x{H-b5J26mE2)U;M9#kDw69*Q+A zBy|+eSMcBK$M|WVBey@_sw+b-MgW&j?YU3}CPAFh8xSdsHa~&|;x@k#xQSQFm_^m6 zx=tKTqlQ>DX)>GQK3~LMn5r?$jVMh&&D#8cyYLkCgN!$!Jeu|Gk{tZ^@Vg{_JOK=W zn%rBRN_A|=3-MscL>s9B>=C+_!A=Hdllgl!Q4b9mrY37=Qzv`0KWtY@IW|2V5mneP zK}UjOw#yK0A#9=@2R>7!y*#G9_9sBFFA_%9#gGewe?wKNKl`d_qG=F;&u5e$kl zwllGA-p6i;mEmim(>APSP`eMI>2NTL&{JuHu&RontGst>X)Vx9ZSG(i#T;ZVDsZ4S z%glZXZ}9O3Dr*kY3pE=ihQ}{ndF#CL&i`)g{@wWV5|&&O8gxjcM8YK;0*fl4T=l3? zB0F@^f%@sHx_Q!i!HmBPF|isk`|0K;?a}Nrz28?KWS4lE$!oFs{2jtO%XSdB{PyKM zz{TddYqNig^upT~>3waPcX6wJA(OjF&mQcYajV{SI2ittp4A7wDXr4M9lA_){sKv> zgIXGlguS#{9v1HqvR#5J0a1uCq5(Ix81dBk;ajb-7#T6Xw8wTtQvn78Qi@64x*k&R z^_qqr)rTv6%r%Hw!P(0qc>l@go`E}MG#h2KlB4!Z^YJ4@?iobEpY8~O;d?0XQ^`c7ON?n~ZtzY6rpkNoIo%hP z_PRAn&2C=)I+2rHgkT8rWsY7EswB&u>u#j)70B6;tb0ia#*1LCO`GGqHs5r!$J-wk zwA3}yi{8`9(<&I)Tigq)t8Lcyjt-O2AjaCbRmZ!LQfA$xxbef-GHL4WYvERzH(Z=;I}-(Kad->43oy0`kFmj^c2R%KF-n zl;ZZi@uv%Saz|kMwos(7RFyI&X1DNMTLpiE;1yHNfh2cA~eBh?N5YoAaY+A z*q$?kVJPWg5`Q@~D;c&1G^i0fxW}P&0-=sak_`%)$9+VBPtut^Z#BbkJm}gYsR?(x|Xn(=9vxkLN?+^EIE$ z{5d(lUmvt}tYMlG;h}s1o`ocb7)mVS;NO7FD|$2_bL!-41yLVR7Mw=H^Fn3H+T;gX}vsu^^7i! zkGu!cYpTw?#<9Cqwx)cz7DVdJn>cZHcpCaz28Uv5q>lCG>GAH3d?)z#to9q}mYQZc zBTgA6O)wY(;kW0}fqKcIIBcn8N>FiJXAWTl@UYroFFh0vWtRKWcuZybK!jOtyia8# zsU9=h@7g#Vh8WGKCmHy2kF%ZNSUoUr&hGP5$%fXCg`&Ac*k@f=!tfyU>JR3A5A6>; zDtM0J+|@(xwsh?DL^&%eLnOK#)dvJM!WqLUF=Vqnamk`E7h(t&Ue)q|!7Q5aWIZU( zbMLp8|AeGP6k#aLXk{YS6x=GXzTM~wP?UYw&Lo_TVGw1Vt!bcw(k+kegg98@{*Ld{=P8TX6)CkrWf`E4eAI>d_R2}x$^>#N8(9=#MekPHHe5Q6?k^YH{K zXc2G_MP0{7f>obmbVckCO4?tTEAtU?Zb&$pAJ3g#IPlt(l0IYW;cU--iQ{XtQy4MV z(*>1!yrnhW?~8>6&D)G1#Qfgqq%YW#jDPE(yMS5*R?r{-uh2jm79Adv&Fr+xhU3vM zi!-*&GFQ#6ywtpC{^I>G4+IOc(s|Q%rcr3%-rc1}H=VFX=~x0b@#RL}kgs?-Ti>qB zrb~iIi#(Sxqp(3RVdksALstBN$9_!D(FO?7ylQ4Yf#FpX5=7JBVphbXM9f7CaQxW8 zm1BdufFW!J?#U^oKk#w(7Xk5TlyuJcW`$fi#H7Iky(H zwRCX9+3~J#dt~px0-qUW_JtK6FZTjtoV8Q~udS>`q6 zllZ%dBhR?UB3;yOp1*fBLozwyu7eC^!;an5c)K91;AlD*e$8W7dOI^lT)24Wwit*T z9oMUclYx7jFm&pJb{H&yf|Cydr=Iz?^%;rg9u^<9o({we;bzciV5!;um2a5Yn@{-qXu)WsHguvb99p|on z3dx`DYih@(hnFD27W-sJLusH>6>e&vBe#3rp19r_coskCveR|jugYKexQ|`N-=eFk z-o@SRema8G%;3rl*=TATLoYe9;R@0Gz zidQ%x&-)#Ba(yliF3$TV&(ioZE|SH7fBd5(Sz#weH%gpKU7md}`MwBfPjqE5)b zNyBj69|YePYHxPPmgr5eG+--pml#By;4~%^y#I{C(1Rw~Z!Xb4cq>D|Xb~gN&{N@E zqaF?j{>_;>D}l(c4J)`KO(rzwxu*b5yYDb#rE|d*2@elkk#g_Qg3GYV7`Pe^&I`wg zXMUCA;?41q060)j?+Z#a*f(ZT)_Pa3vvPe#i4NA2USetJSGpEu5VbR)7NUbpQB0>N zACnT8qKVO<7M~fH;><8cXC;O+Bx#FHo{3n$8#ORd75{WvB{eFe(kxqp6r*V=PDzz^ z|Bb!dD=;)|O$#GPyNR2Mzd)3&z)X)oE!UJU#1HLiT=v$wM}A=C(n7*_ck&yLm88;S z)thC9$CY~pPMV2UK?!Fw5w;qRRt&2*p>dx)Au+xZY`M-_2eQUgG^HLLJC)uNkXAem z%CPE&=Llv>YJhvxjm;lgTfq_8n#m;(&A`AYDqQ2pqb*yGt7BEZM5Oy$=zZ_= z-g}?t{bKz8W9+eF&bih)vGhkwsH4Ya&-O@B#F_l^o&6H)e6{q5v6K+cn+kj`e zyUvrr-wu@bGpcB6)XquZ7fJ?u71WJ;EC6@`8CexXiFG4i_)4;1T`T!CJt&oAVX-l| zqdpF)))c9>j&LD^aAC~YRt{W=?M(6QOjby>Mo6`9{j~Q^pSLtM%Y6I(RMel_mGONN zqHHu{;m`BQ`7f2Nn%ckqg4IFjf+vLg(tQwt_j6E(aNG`y@|T$#PNsy92z zH9J}d3~>FEVKBbsXLbbAfL!}_j-+0bXEECXN?onr@^!k^>bX+-Up3TJUwgM1-E$~`owA*=(HpZ&W*@@Zt9Zv=rx3`uQz@(m zMVYUZhPhd-kC!@fW`no$Jf42>w26vcdOuiC>Aup>@*$-&&GkmXsioGsYWoD0%Y{?T z-2L*Qun0#Y@@)>mseJb$hZV!*?jAmF!6~!0y(OhUj z+@F;UmFft%p-?y;A~rw7PHcS6`1am@u>Gw_;qb-sv-_?S$6$d}FEqW{pO7AmR~uvy zTvmsU;GhTwF2l((!N4l+VSu6j+*|PqF##PwZUi966@L!GoizP=-nCeHE z+F|UDVeC!=F^^*n;FOY!7jlMDt|;8kr$A?)>BQK`Wbwm#s(%NOoq*{sf-=~W-Ae-+ z_s%=0z$$jQ2{Qn1HkFfqMm8WI^CPY-XMSrZ;1cI;1Sp4U2?99QKSqS`MUvbuG@$=))Yd^W60l@dp#aDmR`BMs@S ze1*>mbQvL8oZ9!J3Z$P-=oP-hc4;FP)=MYIpE}K-kwrh}p@bQ3FyVI8_OKb%$YODJ zO;c#NH`?2s6)pWNef)`7pN_o|(pR4a0f)IME<`gdtggI72yrkZChno%90h#v=tYN( zJfgV>tII*=;yRyYMJsQ4%tO=fL#^irr;FoXg~7hyDKl~kIZFi{O@XQjtE_~)DoN}e z8=%K%K5QSu=~(ci1*gL{F%>j51RE(}NO%LR%rg43035aRJVEk^<3xXU8%Ndf*!x9S z(z?p{I9q@R<9#Vg)X$|@^|)sn%~Ym1g$1(>lCt9BtmhvmV*x&k*~3&JILf^02_=k3 zGYNXMdjPR1UOm_M&u5xEk*Z#3ikm}hU1@&Syd-#ZQ1(3lBO)`El~da7W-TtQ0DHZt zzdrk6>m!~Yx;W7|U264xKXq~Z+~rTM28qSpwzH3q#NIbELD-#*~gVAW3jY8N~(W#R!gAS(yL zPm!rL{WLQcKjbYS4NFTVmp+CE!73DB+WiaLtgwV}F^TeC!RKVI|V=k4V za1@Hbn4{f;EcmI&yP|9|sJ*GGCjzT*}uz7BRCUx7)C*Oty3uS!5qL zXmw3Z$G3CmBDD^YSb8MOoDtFab7hIPh~6iB1u@Kc&)>7%u+6%q$f~mH`JDM%2;nzD zo?iUQ7X`a5o0wC@(+NS%y@T`M=v9!!D?q6lV2^#Ou;%eOK}5lj?umcFT4Y%Pt9C1Ak6mUSn`FR!CY(AuK4PjyDL_ zWEcSZwAO(#oY?HkDGeRlI$ZpPfSRvcNy}kDQ%g7F2M%oRpWRR}jyvZNm%}rRjKVIR zW~Ovs(gGJO>l3!|%BMlH1YWg*H?OmZyw(Rxu(Eh$=JMX38%03|rb_x1G@6hitN9&n z{0Yd7!KeEkP0<}NJEv5K-X;!3^QRBZGxDc{hCTq+pYBtZ4%M_PcBp2Cr&>mhlVEwq zh>~!g_Rt>5){#4ZRx*>V8v>QlaCJvj;WSIf4S1H^1ZS=Ws!2b}*N_f@C%P`r6HWEC9x}-gI^Ib>dhC=? zOMUZCiJKYc4TGbi9=eeNuO%_5NeV7SI1R!J>u32m+KpFbcrr#3=p!$(pby^Q6X)Ks zhzf!6AkLV?E7jVemXYxun62RGBf7VghpBlt$7;DA;wJE`Ye^I^UuN8etn6_$&!r1_ zug@7EH8bVIit_~W#3;AL4lcMQ_p!A{e>y7&;|Nvax+qmUB+SLX%{T>16hYT8nuC(c zC0L@K1g8?j<}H25D^6Al=iMuYdSOZPxJsG0O67`vG^LxV&YU!}(_kfVz&J;ZCkW-O zds|2ldgnI%Miyra5EULK3TSF?ORIhKhphBT7z>8D!=1jp45(RbevtPwiyY7y~7o=wFV?p3zF>hy!K1a)Um!pj2Wg@ z5UO2o@?^smVBA6EPWH zN&fCR-_hA*?7|rPB}36#j;h5m7qSYyLJvsCibvH9JueP?l*$UtyE-@M9GMLF^wes+?IPdl8iDBcr>n!GrDEOAD_D zwxqb)a4cljoj}`Z^5JLK2p+I&rr`n*OI>)d!S{AYb<3DLh*5Xi-ybHvud4PfFz8}` zRvVy!Rsk>bLuU7cevlkNrK;tJ=M4Y%^#g+7F%o(`jRi67MS?5|4i@amNg@Iszu@*t zHlEmE2t=E{zRzA2H#*tQZbmwLW=<#<2Nz7=-3tsf^mfu7-KGd&bdbQl21^S2^7d@p z0o!{56R^9&S<#0X>0by<#VA)Dm>uStFPoOQf17*CU#JPdGbfY$OM+#3-it zC?+e(8Y9V?D#HH_V?Z|N!RLa3-dHXwZPov}=0Yv|LM_eMD;~2~WDoYn{${gLY5$AC zdCU9FuE~%2C4;o?cJ034y7#($U3;a{$482o7NhZ+_33By1XAoAcN<#IL&2Xvr zjDUIE7)^o2->HHy0z0(lYBsT4pt#(Ftjpx;Erk6bj9ajWuhS-$?2Ngy%xMG+wol0YJxV+0v?zx@>qcLM5K1|qG;pG4^K~RoKBU6(WE)2e-uPgMVwavS_ zUCNIBE}Uz)_AB zXL)>h1v4l)qJ329)MqX@F4J_9Z+!h#J78+&?m)stZ6e1Orr&;+7nRIP-?_DYC@rlE zd#7~uM-&`C9Hqlln8_yJ4tU|WBXp|2MIp}59yI6@)bz#J=Og_0Dq7)vy6u2Tg1t^Jvl z2pr|HA(hPi0+miY{&l+Q7z4tqr%%G21XzlePMsnsi}wML!$|h)g_C{EW!S~E*VVQy znb(m!?a9tr%46K&sfWvaMuRU#o;S`BMvNQKs08hnN#6QtFwn_HY2AN-t?l4a{{#3* z%ocz8^bYtf6hj&-lBm0c5vL6;kmy`%b>4t)dITM=cOF2Kh3ZBRA;?a+X=ixunw%t1 z0JW}}Gh^G#5jC4f$)U-o#vUlg+it7;Zwq4k0B#$NB_C zs=2~?JYP>tjNaH#ev}SCYZ9^-)~7m#Kkd+_ZY900+{%QY?@Q3j8$eYt%b6Oj#?6nNp%WLeFt++d&n7*3g6$B=nd;22V!N$>%F zstT%{p&1Y?prKF}tT}&X)-0IQTeN%m<}(|PMUN6L1VegmQJMIrTs+<<*gW7?Q$>jK zErIdY=Sh?7JA&5$pTYGcp*% zO0z4jzcG9hx{15Exp5G3?BjptxB30xRdFMd;8*6e+UsR=bRV{xwQj_%tL3GWs$qao zc5d|S8NM!Tb-A|Mo}|%fex&1}b+@%aZHHCXn^X9kemK_$(#k$8Gi3KFGfWP?t~rB8 zRM_ev8pX1kuNlpV{fT| z1KBL#`Gm7F5i~+K`U4)8inNKxx-BPfmonmN7Qq?{Bt34te;oEOvzR5?FXDWB{|d&0 zD`fHEOjUuHjb56S=ze&=?@HA1w>{Rr{;UI>VuA?&3JX-si7cu5DflU*9?g$ehgGEPo&LIy(_E zihHd5J+egL6Z>3g?#n~z)~h2KFOQb65uKPJtd5mDp$p7+OuR`hgS+NO~meV6Ldu-XOHD(zn z8$1gdNFRg>c=oTKGunn!S!vZOJ>hp&NE5$jnCtU_FG&~tLTs7M!i&w-> zY(MYPoO$w&?7R912`H@&nLpj-!(Y#u?-e8w`Dhw8&m|Vc0EZ5V!Vv6kyjIXFTRKHv92RxGPkh?t!7QygF~~_)Wxq zbE$E$MQM8i;R}I>Dph!jye2dr$`=eH6j)uS#L~bw=*6B$y)lviS zn-Uau@#ZSFKCC?V#H=UxylUleX=6OaQ#2(4ro9v;bw3H=#U|EEi4U4d2R)40yg2n#j8mcH1yLQV!3W5gx|JbUsE|w0h8S}{LIa1 z)0pIbiKxBL9z#{0qh%D^m(Y%96k-&Vc)sq?efnWIcaRbqY;=Jz&kZ+~dxSkT+55+y|Pq z`(D*v+hU50iC&F@)zbI}0%4xD+Skyir!}0PE8~qxEV@RJ&5sElw-Hf5MxB+1NAJPZ zyXpk(IK6-do>^IEP!DM48F1o)ERYJyVtG0Ia5`SBjiA|+Pl*N?E2A1PIhu0GVRzHe zWbhoFX3rW#gNGEh{~bWz;1lR^{c&{wD|7 z30y{y$c*wY8H{!Eh2(hhS@f=-w2tM(aRhqwo52dg)SG@pk3`RC11w-wx?RRYqfS9> z^O>A#zpiheLgqqdvta#{( z`QyR(G<4o%(6F`UgwbVpv%B0R`FY}QmVPR+c9xjdt{7^j{(VtOtJb69SG(vI8sPm7 z*uZi*X(&=2U_wLB>$FY7jv-MA*_{cGWbB$FHG!vlwIdTRQo?@RH;{(FcKm&ybK%9r zo!b?5*Gmm}uoZH#o@6?|BQpN9A&_4aYk&MRyQNABuGA!qNCH@awi-X0-Up|Vr9xU@ zIImVgVgjbwqdNUE0Q}YP&(&|l-=!|ESz$r4- zKuU%62_lQ`ps|@KyFO(wnWz$&ja8x}@vv2@hHw&sfYE9-ixAAk2Cos>m69XDRE*f8 z=H;A2n75&oIS^+)7YQlGNiNs#!E?VM(-4}H3+yduFuZs*cHH_R+#p7pRfW@;u;@9v zvVjW&5}ognIhO-Q%_oFKvSOKXtzbCoGMXEwgvT8sB%lisTyc(Aj`HD6+Wu6hsBgvok|~R)NX_(x!50}$ z@i~Z~z0o0}2rRg-`sJ>$IoP_y)aAly+~)+F*r%7i-Zott)rL3^-e-HWs8dFc1=&dq zP)yTGK4JBH$is>k7!T8K9F7Jv=H&N-ESz`&4J*~}+Izvbc!8DRs%o99z~d0gkU5{# zxa&F}$iO!TRJ(#cJe=rZx&{vb7t5Rb3%f(x#Tulgi zh!nW@j&w0!?*mc^V`vTZT|f_>Cx*8~_8_R_bxE9V|S4AsCN7 z19#k>0)>8$z2Wrh8MXvjZ8<(yukhF}l7*?m_L-6@Kr8CH6jqa_2?-;+fBP7@34!|> zWU9YzZ&UW*bkrS5R%xtHx~#ndQ<+fZhhXCif$TK`SfP6H9xy)vXrTXtqjhI%i?($Y zBR!UrNI`C|<}qge2g7;M`A2AHM~8$=U(~<0uPybLa>N;UG9*~8)!y)VlKIYLkV9Rz z^HTLX@I?Zxp7X}qmB<`3k$v@ChJWPKNqtY{_=0N471shT?D+-1I#x7`U{K%}oOqdR znWB%$vb_gBZK`PTr#AR1KYsqB%6*rgHj8otQ6I#AX>-Y3za z9iUcv*lZU)YhvNgD|KHVfX6>Z0IdTblk}}?BM&FFhR2M$+*Usli-(>#L7hlTvNoMNB(B{>`c@d8;_Bk@?i)AM`IC~kj_wb_JVsT zIY@Z9Glu?$DppVY0c0Sjnir?Zc`Nazmf0UZf5zqQ*v`PuEt*K_+Q(6TSopg*(Te$m zEVok`E@PH|mPC~tmhsL9n8-R(fVA0fe{fm!Mq*=C$>Mq=%U}S`GoKo)I^ZdE#4?{! zrIj1^T)_+!$7v4FTgHx7te<|E1OC;A1v%=SlDUorIKX$wz{Ek?^2tOBN0PUOu#uBg zd;>8BRYokXw4^f*9WMA1XSw121g|wsEr534i9?!&bIUYPW;RJgI|>R*xEY{yan!qM zF71-4_Xp7lPh(WWjE76Q9Tw3!t4ql`mt$_O53UN$&Rbp1`CC)Y*3SwBEUtl@P51sl zitY{fHNX4Xjr(A=Qcv#7qr#)%a!>XX`-4-^DyFj366YVY!SB(HsP z9J`7hnyN(d0Ve!H-^^ztJQxKcW0EnH9BTPpM0s{4{;1%lRoe;}dX39E@ciZIc}rib z0C)3vw8GiQ$Lt|CuVz7R(qZYt(8I9>j+)}P0NN9Gv8yEJFX-+0miV&m%bqo(zthpS zw!`>AepgR}K2==gP-GOQeWNW7JP!_b%=UChi9TjmL4S|jXWk+$NWFbctKY4$`vY$D z-H+(F*fD$f%_VITT?JcDuEu6?12YNoNZww9DTVKzgBqIs9>stfIP!4&NdyT8eOxw6 z5ZR3kCY<2N^8Z#|)KScg2JICoNpM{8PM67xjkdslbFSqz{bb4Ib)+!_(jefwg*KYr zoFvsywVT|MjZ80OuMa6iQ;aiujJGWTaHV{L3ZxP4_2gdu4vF#6{CJD}(j6B!`%UE$ zYixoT^)hagchN8c33Qk>*?6E(+0O{QUjhxLp=jTgk(|@o!ND=|@ui{1SO#If`zVp# z6Hd!70~&Wv5C;=yWy2ul&d(Uu?Izk7;lOP{N*+tiZf5_qH!%%Nq*=>FRZ})+(<;X^ zbwLJxofXEC1zJm5;XaB|w(g_jjA@7Xz=;trAb|A-&>@NtFePg_{9F~c+&#@GnZKgRJ^LJ|s$ z0}Td?aJ=vaA1F@Q*Pr*O?JlIA-QPnntt39OSv4f?x5ra$F5K};)vB(Hw72=VvOon3 z{9}9d74w9kXBGk+HnH&iSE#|v`$m+67GODgY@v7ckqQt56QGe$crPW^UJv*su?CU)RF=O>;M`Yj~b@(wi-FJnv0=;H0jt-9|_%p9R<)0Ul zo&ph(*=czgR4lsg+DJzg&NGDOOjr8ia<1Gefss~bkV^&>&a@VwG(f$6KVIJbCq zfxTC*1FFrog~es^_Bv)Y9b_x3rQqE)+#@#iqn29wqNE~Md#2N-zs0{zmt+Ko%byBn;InS2btbG`xm!* zw)lB~VmUDv$_|nuSBFSRy+nntB#riPf;RQGmYwCZ0fF`zKk}~&+SIDJ0#yMi9RP$-GREbVruB+5$Mx;S6-UlNO*Z;3Iud{J(t{21S1aFZaYRe+*Fi4_=w zZ-E7o)EWj4dWz(FoZo>S6HG8whQY0qk6zf;o5E)44L?_Bt<8vPjwC~j`<6Pq_MIxU z=HpIXXMC~F<_w0kLWa^4De);9?hlwzxW-bCvt|Or(k~yn4EzwR-~gHcpNQb%i6?I` zd*@HHx^_9NZ~KQNFrY4n0YD?JEsuR5TmWo) z(b1K7k%u5({f!|NECJny#PS_CjZFt}^z?FAk@>Qxvnzd56lBcB72{Wb7!}Zz_kZ-I z7($4K=gFS+hIFx)j;*7%ayd-dO#p^f{fUR%^~&b1J)VPW*Xf;(GS%qJ*6Gp8H;D>Hf6K z+BYhbJ9X!SrVR^H!*^^sKR$hVpa@<1&hpkRh>fN>O4Wq7j zY&hA0QKm4!R=KcXG555rq2s-Ec<3WAR!C3R* zn-JF#PMo=u^jmPPR`joNj5}ys35(q*O$8!jh^q_H+H6X2cDMGb1(zX2z>0XmySj%~tAmj>@Z> zV+8=m>6^9?p6z^=he*wVmG*V9v>X5h&b@FzIYeJwMb|eeH7Ai|gvY93RWk7g%S5Z| zC!LyU2vtX>{1v8)JL>xaX~X5a_TUMg~+{cTcd{ zbZQr8R~LzA@TU{K0#FQt!2>PT0bpgyE41kczoLKh;MpQNEF5TNMH^$UZn+`b| z-COx+bX{fJp9+}KysXu79Rt74W{`{n$I)1{F$=<*9i*c%?8sU4pJU^$Y)*czn{R=kjW*yl~yzq%q!^v((>gnqd&9G+0(2a`4}+s#aqbfZLa_a8I8o z3z?8pI#P9Pz8&y5Jv&zrBEvQq`1y$W7HM5DmJ;<$kgAyn67}Zbtaf)g+D5+-`mOfn z{7gOjFonChe(BP^zTkB(hXQT(AsLlOqAEDjyreC=2Tn0aTpCz~1>a-ADL-p0!7nup zSrzR6T4laK!OuNsjg3|!9t-~h5lbE=haPy37!+g>#J26=2I|Y?D9GeMz$?DSax`Mi zC)Q-q`|cLOpQow%qYtc0-zYiGyP1xl0iTALOpZl7*`WBW=}+i#NwfWvI+j9nDpU;B z?uX?Z%W%B_bUWo;%Gq*^j2lfA=SG?qti=zjpM+!l!9Y{6=14swr4F2XF7m-K>)03< zIPR)R0=$jok0<_*MFNxxYf>UcML_@<(h??5v}~|sauR!zedKMNK2_xxgJ5gWa_uXes zy6Itls!;4!@Tw@hirun%&vRXP-p@xH1Ib6f^m=Q1%BMw1iW~b-fLs|J{0mj;0s{Bq z)#OzzF9JT?s>#hhmq^UyI{GKdy=0#!#!@+4hqeG~z=e4z@S-m8kO|6vge5Wmsw;XO zn9AoHJ3&r0>Fz}hBdW3n2>q9~9Y>$g)K&<{T)p-VvQx8QooAsZSKkookmaOM>%x~% z>lATplNWzyt!Q=OIJTrhx0IASsmxv4wAePuT>Ddfo!YqyO^$5)Q)Yl5-{d&g`8Ss8 zFCkjoU)+DlffhjEuWf(RP{hzLrZj!Mn-!ltD`}88sQgTp5;x>Rff4OkMqutqMtHu+ z*iCwKEJ5{1&n-df+hU~0j089cRqac0U>fU7MumpW^iKwF%3C#HKA*2N<6EGiCot|@(c<)2*^v>l2mW4^|oAdp5Q zErBNZBn`NHzGVQ;Hr%ZvaTV_0Hs7L|9`N6P~LB@ z`QPMjTl~+o|2zhz4f}%G;p%3+dBO{Yq1pg|)ys6!2*)ifQ1GBuBR7k^k_Q^&hC*QhEMERXDHJ_RDzRm48g*k0HIGW| z+X$!3{upveH!I;enARbxE$13V-n(P^Cdx}7o{y-y*DLO=*0*W!*)0!)scPBWKNY|J zrr}?^Agg4ZeKS^k_)X(nsXk^o(fXAu-H2ek@I@6$l@iT}pcVXXG$uW)4wTwONPqi&d@`oNkady!uzeKyn+^RGHs@D@IL92-Y@-LYYuaK}0iMd2t z+Yzq(6-I1WL|P31L4L$$2H|fk40x6E8)?UcvjvP=Mua zTDBiHk4lHWHN!xO`8v4g^hr&MpUSH(iVDLC4u1r8Kikmf=~zTScf;n`fsB+b{Vs9;U(qfU zM#}zZfy=S;yW$D@1Et&k#?#LgYPbKW0P?>&r!$>N#F#8hGqCaXZ$=?HrZJrXmDb;- z|4h4{n6}+r>~ctoSn@iWSL$5WY5leo?x`d@cbRxGBS6=O%j?nLewgzCXO-lGgO2lu zxxNWn-FSdlFcdcP2JaF*;yYgFLIj>#v>gkr(=V}aBT9J<-?dHz);s&-v7k6ax*GNL zw)makVjMA_c^Vw;`qsV%8_{wIDjYMqs^#L0cN`oR*1H9{4318+%BQ@XU=KeY39sv% zMNm*y1T$z+j&ai}c3;)iGi4qy=Xbc;{1G0^ge$-hVXs$UCMEffj)-BXKlq^P(x6Mc z09up!stds34&QTWmIDY*pjid9D>k@ar#yyIs~pO3V$>B}tV+?SYWaa?I;8>%QA!P? z{fVRWtrb@Z=Sj+wreE2{C0k0b+Owz|ooAzs3BL3^C9c1-)PD(ybp7J~UGBC85co^m|3(9Yb7>#6TYd@n$54PZpy3Qt3$H5wJRu~F zk=dGPCvEU9+JZ)fvsqmb^R-}N-3@7mc~elqK21S)VIEQI?~={`9W61B=#Lik1>L_Z zm!LmTy6rDVL>2-N?O`Ij^fWJN(e?70f(qWI(+?Ek6&i`XrB=?~iT7090z?q8zr z$MP^3UBTL^qPl`tcVH;*Vo*U4Vy5|dDXj|U%h3aV&#Q%cz7~9lgW#Ua18j(cWrjJ% zpIId@EoHm|l%mP3s z>c2ZVk6PxGc*nv7zUXrfS~8s&C?x-j zMAJ4G|BLw#+DYG??G@)B96t4|5d+G17`Sw=EkTC;X9kl_7n49GO_WaW5dSlyQQc6E z672yQ%fHJ2FW`5Vt+`6tM%dk(zF314;&0y>3Z8!7`^z{+c4>8RPe=GYEPHaXtshM!F74VhjgW%;g{wYH{t z7tSsLxATMxhFWEBCetaMFR{q#tQJpg`HPXI=AWmb?mj4RIq%7B8{D^LWKeea65=MUG14%e;6Q~4)T`9V6K+Nj|5UEb?E*Hu0H zKiU5qq5s(W|69h=@>*R3OPQdgP3z)ST66KzfXN4ELDN*P`N zw!F}L7|5%7GK5Scx;#h7Lax&U@GeB$7|;EjvVn)h`WjAru~NrgQo@5dWbLKH#ZLDT z!I@JX0lX_9boop0So08hw|wTooZ%?w2?~0W@cN@C&|7R3oy?U;qbgPh(G+=A>4ZOI zWYDf6HsX(f3qQklA#w;8hSb~Nd8SyjoqOz(S+uzuB!0HX8w`!Y11;o*B>*=c&jROx zLv&VFMid+C(T?MXjaMVi6P?|06G>$6K~z@H@`bOBY1czezBH2+(CzzrWptF=jV~+c zx~9+#%SZ5gIzbK;W9g_if`&lb!^GfUpL=l}Xh1je*`u>e{3=I$Ij2n*8^ngy3yE+I z0N?uJ8_&r=cig%TI89wS{dt;_JlTY6*BNhi?<_<3;v_`zz;Ha3(EngPA}3IbT)__8 zkwb!N+cfy1mNx-f=E#9q2rJH{W8tLUE(?qjd&UUw@HrsLG9rf{RDH`dSdxX)jiXB+ z$isF=ar_L-k4=O51#VkqH4eCQNDQ2t28&FM;&7fSkt?vy@Fn<;LuGOE)EAwgIu#k5jl6{-upe#^f4k;@X4p}QRJ8hgV!2Nr1K`gTUuc`a&%Qy zpBcGvAkMrH^7l0tW0l`^=1G7mKIyNe5e}jeUM)sZ&OuSWwuk><2LC~b6OMi<@s7() z|0oU%TI?V~9t=f!R^B@}{!Yw)tl+Z4(VN4o4e;)1Kg2t z7w9bES7#h2E*>^y0Ss)ZzD6DvgY^bnEg3Bzz=YW#*Ptq)g|W*Hdxx+b4V_aB?b@j)!*(t8l}Z^I4gw zw|P(*Ee5AX@ieCrZavNk^@0-fOO3ak_<+ATY>qaA`P1H4H2hUG{FU1@E{t6#lwF5w z$d>ijr7~nY%hS=t)A0(he_wwS`WO4(_VF(^XuXXVvGPP?H>`s;7MCUdv&vMD3ZtBP zfKr)n^0M72Le9sbA3SLARtoGJWfcP9&{-l(N0P3x>LVjJlCFwsyF-L2e`8?Yy?j12 zULU$-@2_O+BdZ?HE?N zi$i~3{l%f+WJy%ZX1&l4B>s)ipKQ?je_{h(otB}3aTsSj=Tz2|W;Cdn|E*&6o1Dlw zW%Q@OUufe2pZo10&!3*-acCy^;pw7ZY`c10ek<)b-G1iqGbpXyek!evX5zM7?y=K(k2yYig`8A#Qg_Ipw=5Qm?sC>Q`l z9;Z8Dg_i&&_QN*3>2K;(wYJU#b1z z>=x!QC38b!6PdHAapxCqAhuw(tDfdT0(gIb6mR<8bF>3a_dwM-#KV*525wc^t%T)C z7Ay&`r)!De^M6{q4JAJ3cmN{;a7oV-9l;ME^i%U}z5A0vY0f2bfbD3G0Cp1$;cw@! zZrvWO9EJ?w7TVVHI0MBUA_Uam3t2Qq%~@yF5a)YHnm4lAT<;oModiE_{p?h_u5i-d z%sbf4@Bi4c#g6Ed=vZ|^L5)=fy~A51kWlbGGKaD1eO;OrT8wa@;Cyg=s{^(-rPBCi zWCih|RCHP1vWekhq=Qf%B%;6~VN>qkOJF=VM=4xGUYe;+Y(2IX_ zZ|G=1XjpGo>tK)a8GE?N@!@&v{?ao0%{smt9P@BTNlntxA|a=jhX?Be3kx#4!3rdw z5`&6a%OR(+Q3?eRRBMWYhj_d2ncdle$7TY6ZArLn&?lFkIrESk(o{y(V3OHc_sFjW zS%rD456m&jB;31IiQ6c!3j+)J>N^Kv;11+M!7d+V?Ayr#qPSCoZ^-`CShL`^$oUpn z?dGoYg>H_gz6jWT=$@~c+oJh-dUQUe?`K*QXXY@}*;uNyz&jl&W0L;g#P&t_;`dM`en@DX5h-h#d z5GqakIdFNiehCZ*e`1jeys*WAS?-}**J0$dG)W;_Kb7s|MJNA-eH*>kN*?YyrH=kMjn3UE~6F=fU63e4njLsya$B#z_pp(m#g zZ2&#oY8*`-|B4Pglh~R-Gi(V=2`4j}FatVe!$1jV zMjBTxtMF3z_)GmYD$_*#pL*dJ9QAw0gDb@NK0k*%ObFHe+Vo3cdA>j21_eSVuyQ1e z3XI5OIHakkt;l1>p#FQu`45&KoCaUAdgau7Tn*>4NEW(E5dAc~+v;f^H%h7)+q1Ym z+*%jDIh0)_=@ebC7fGV^K{VnlMfPr$`+C*@364i21FDZk z7^g)aNn7ydql%Lhd4$3lU@}tiwigAB8%f0h$$?f0{|Kkac_j*-o zq6*m26>6es?QAp%X6eZfeV=85yUi4#{b=Ge1E)ow&j>m2MSPvyJU6BE`rRe>u<^aK?}rpLu4%oG_wa{jabMIB_LX2VHTn>y zi>7Hg$i1T|=9Gfm`x)9o^5~7tmR{vgAvXgTZBONu;t{R8dDY1Ie6sgPx1@N61Ia26 zmYvs8&P`2q`~!u>9DVMMW$>+To()i(M5xS4x~c4t)4nmy+&%E7IAY0Jz}6?6E>zYW zzca{-$*I8NfRPgWJTh8_q4MVYVUbRH>^jv}6=pklfwSTwSB=BRu-*MaE5Y@*#Pht% z-N&E(^$kH#(#Fulk(K9eQ&gXwvR!|7o|zdsb0eNta!#xbj=DKSk1*OlAKLY7JIN=oT$ zbcNM6&J%2|9SOazX16i=YfDP)ZeXq9CA!n3I1U~PtkcsU29G#bCLPhZ$kGKf3RO6z z=ki{oIni?=@#B*E4c0^b&wL3i9Yeilbv~&MR5QMEV3JFASRyIyt-4>zb?pxp>omS%6pKtutEuzduksqcy*ss) zc%NPk1uFZ!3b?%ogb~iN8^pnT94rXIiw~q*$`WTY!5au%KF4a+Jtc-uOsh}Kq!EG} zgu@bf!4W5p;F7~jNBPFt#;V6+et*iTsfJYcKGp=?Dk0VkQZqm0h%zCiZ<?%=9b$ zWXid@;T|Xwb{ATXO&1zXFroY(nO^s=T51TP`-$$-sqBD08>^ogHakpyK!2_ijRuss zSpE7s(Q*|2&cgjA1VXF=?my&iX+YpFZU3M>F}BtDTG)}s!)Z)4@pg$R-+7zcMb&&j zW8;3DGsh~aLbC+KX15k&Qw9@}C&gUMuPnJ!H-u~8Ua?bCA%@mhr?Ic_<1`wP>MKOA zu$=?xVm%#8!wfnX;&fNI$R5f;F^RQsKPm%?wPF;yGS@mDiwSJ0^x3?p=siRB9jM*~o^u@C21uSHoX|_*4iqI64 ze^)9-R_ubKixvA2I0IBq9g<&Fb25Rr^JEN#fUpAjn_OefMQ;v*0 zM4*%oiDknSN#VVucwDn`oU!;85tsBu8clus9V8jq=n)BTZ1vBoT;;KnXAA0T{vNOwXr(c2;m`Q*fwy z$VUtls!Wu7p(7dr@gYBzG!LaF7r3@P{1A^NwJ#&0ogq!IJ_csxc6x2Xcx8oXQ9=Unz=(Ib4ytl{Dn|j5avd|i69BC zFNA22&eW90%Yx`Fl7coLiIg{?`0I&aMUjlh49JymqC|9~5ASq88hkf`c1mOZG?#)P zfK%e5ZUC{qf=Dw4w=h4gGrtb5U`#cNu4NKd!Ff(!8Pmk97r5jsjwN8A-- zPC!cYcw_twFMOz%=c;P(AXkv1D$OG@S7&Wx#P`@I%|2dC>^?k^gQ=+q3vlSEqJUN2 zrf+O+DQ!YFXSo>YW<=h)6|dJuFvBJ*&Esy_X{-VMkwOl(4yJ)?>~}zFM05Cua|9+PR)=xW zeoNX+O5^2tYtN@QPC_I)i=v_E7p0~Hd+<(bj#g|xO0S{yjle5p>BWZjH_2xUt=F5R z-4|_U*>`hO@4bJkhw{F2+oFs7btLr+h0KV^dwoA@5o8%(M+#pj3Sad12&B{~T+$E! z&i*GO&5zp0kGdU6#uwu~0x>lT->*itzq%#BXo1aRr&W`{MN)2aJaf$NS5wZd&&&xr zC_ZsF6!I6{6IagNA8hwny+ad13h8^qKkx}Po*J(z?=#Ia?rgNU9$hDAX}i2(M=_u4h9 za@^U!r%?1EiT?Obp$FeoHR35==*6Rt^}3C6!&~Buw?r9SNZMVzb|gk$3{3>mvU?7zq*} zK?epx2(Cc}Nr2$)?ry<@26q`OxVyV+2*Dvtf?I$f!6mr6eND1^zukB5-Fxr<{m;`= z-KUOJ^>o##s&h_ti++dyV2+5di1IS`sfGw}Jga^{zw031`i=YcALu6_b@Zlm$5J;IWD?0-c8L@K|rqN;zP133Ooo_&ImpwILWw$7|-G!1)jZL4|T z*)r1@A`@itYnrEUBpY?z3<$3dg?@l;ury8Rr>yo*l#%fE4>`1Un6Q^b@mvftpR>l& z<6>fY^A_6Zt;#}&KaPLUNv!9`ddU*1mvn8NPh1>!cTkFud>cYs zLAq#E+K1`D*APdzv!xFzxa5}2X-EmFzB{e7rO_;t#D;PqIZOI4b=2g9Nf$=yO)!exf>ha6R%FBilVdMg}+bF@&cOVPGfRNn^uIxk-ln6SJJNs5QUGSS zNR*b9sJpR@r$ealPpIaw{c8?R$OT#SwGaarTOr&sSO-z&SpFQ@HCX-)`CJr_SPv&G z`d*($-JrymC6xC~NU5z^QYklS^%m+>n5b43nq$qZS+YmMHHVj*C|8O(?v}EoIW)wo z0u-e>?-K6zgH!(o{+&Tfq5e1Hg>Nsi%x|&^fK#oOUR@a&O1Kx!5GYc&Y##1&fl)fMAlB?1ItR3{#KrIw1*qofHTjd?BJ89 zllw@ONztD!iB>Q{I^Lja#V8817d{EICDoU5(W;+8G5vq!82miy!JnjP|SPT?lIO=N*)8Ie{tApv1Y zoj`+^?;oN1Uycz0>si?XMpXFw5M(k9L?U!D4e3-iAyV8I_Ij|V+xNa>3CpUReTa6O zeQ?g3eaA&ix|$XLz)L0r;yKEMEpXZ-y1ZOE@FjN~xQd zALEc7X=tvs_#i!ID0m6jXZh0NR%P*7;ogVTy^0y05%HL$-l}^wn%NL~ zRWAG8>>YVlL+IJ>t6W|y+*8dobAZTel6t1@mE!-uC`We1tV7PxU;po=J3qgRUQ}FP zRJ?0gR9sqAbYpf^;dE8;A>rvG=IMKZPSWZjJM1zvV~|Myqs3;#?We)x=ak?XeJ%4@216-W+{eA?f3hYEOzsE6Hq(7elwC7{^dj zNZbc*ZA#Bj$I;Vi^40!>&%lca13g38J`@k*OBS^}Nv;!3o=C@hW%eOoe=#!~Kz=rF zD+EqghOGVCcO`YAU!4@pK77|em9#7Pk*%W$TsObjB5ERk)056fPBQepflph+3PNH% zgg=@(WYP>kwU7kdEJlP&p`6=qOo{g)aI%x|spW|5DTwUT$mX?AM@*h&_i_uwIr&mM z`clvSMq4AYYoh$d{RjG;FZDK@?8o1%|HSv-Ab`RK;8Xu5@X3hD^WTMW3B>&)A5a)8 zP~IQ(tB1O*NBvTzwV2sfkL^Du^ONT5)~3qxlj2*Em*(S5@Vtsj@N7#_ms=>g!1%n( z_9JXKn}IK&;{P-7D{Qy}l+~%`iL84_Xx(Er0?HCp_e8F|z*tw4aTgmhB@WCV3sGu) z)ae+~jw)H8xR@9WCkawyVZ=;<mA^j8b5*(=N(RcAlaEcjNuH|j6%-d$MiZwa)PbqCL|Rv704uc|&;ds8%6 z5Yxx45%ye@oq8j+rtt%8HM~BTTqZK@C?vesUU_FEAHszg$(zdl@o9lk6t!5OP}Zv? zWZ5GXj~N{;_Fjx#3rCAFO4~B|v??{0t_Fw2eBk@O#i-q&$)drx^;DquEUa@QEvC=o zzZ8*6du#2f&|6nEOB$7fkc2G!I z%YqO;i8B0{h8rSpQH8Alp*CAQ)NHSE;G1Fp6*{|B(83shurwW*$#&{qD;!*bnH{|qkP|Jr>;~J zI(z;cd! z6;PfA{G;~oTC@sQIR|Q1IRY@f8w)Ek`3mUGh8B9OM=7OuS%U&g1+**Ye{@o)fcjf7 z;;C5W7-*p*jcd^o|I;aXQb@1?A1!!NsI&e*PK6B$02>0KN8ZXKo(;Wr|55OEs!ql$ zLy-aALPHGq!jV)K_^~mk$4m*Tu$-&$#qv3;M+6qg zxOwVv#MfYX6H$A8oiPMnshysLDa|$O1Eu7Ct0sWsgi5?EvjTk zL9v>qG%zQ2>Oxv&2#`bug@A*>@MB=l<@wZHoJR;EDY*<7l=2@7iy!$XPKPRzL@b$4 z;w8Z4lFs0X5--TOj|#_e4g&}NrrHI?zyY>6^Skpg#2 z`SsFrNhKw}5)t~n;sdT(`>dB%D(J%Mo>)DJphK&r4q+8-EZ?QkR>m`Vmzq&egw{RE zXB9@xD%#JSk{c>BdXz|)+KV^H&mqc|l6$FKXnrZG%aGC}3;Zf?f0jM6|yXj}i9!=)+?~QLYCo z*AvT(8p(`0u>1r1zd-;@w7>bd8DD6&bIZ?dH5vq zF#C{egkfV>a0vG3oLwFuv{D&A6S7bQEBV#aVuy2W5r`j1DxQ9=&^>DoW_!>AlU4G=(Y1B1eUBzuNmg&6J$m+LGW9NVuof`NU%7}Gm^wq@g5cYX*Xh@x@%1|<%lBn&P(-n+v4xT%^?b7iZY|hilXvs3MVSS6&D^aNcs2G|0wUNsC*9| zZ-Ve|5x^Bl^+e_W=0W}{7C=ql@u~s^sE#Ugh{~&i{u!761OeHnKwV`2PVmopx9>-l zH$T%9+AG-KaiqyL>?t3p-#4JC z!r8AoKpM@X;`Li10V2_pQ_U}jbAo;nd8iE~_)`uR+atkU+^Y0m-f~Z`>B^O%)Cf_I z%)wN6$SQY<0VZex*j@>F;b;NV*Ysbl@sM>6M4z_PT07!m7GRCh84Rzb#`%=x8p>a10v(Jd^KZEUZzp6(a3WOtb*^tj~AIGB_eh*0#1 zO4?7yw&y2Di`BHhh%tgXm6}bymaYM+GZbY>L8k^*AR7iDQ{>_?V3uGxKq=t|~&m9F-^#hs$mmGnD`OS2URpU=$*ySF)4K6e$vWW0{x zdz@e5hWz`s+fjGmMjzERHz!`(aQ1ts&!ge%VgAP$-Y#tBgTa|dJ|D+lpZWU$A7uT& zEUox+g^~SP!~FU20n;b4ChBzb&Hm5TICJ`z2&J=_k4o?-i%HzfU(%Gmc?Ib*dlFcj z`|KE}G&H@~;LC+4W>8p-7^she;I7oCX`MzuBF~lN7S-)T>>ZWNlA1;l5u1yR&kBZ9 z>fFxEwYzuv5jnX`@zzT6ZI5WKR&uzym2p`fJOQ<1)v0~9XHFBd^QFsWxNhw9oWFoS<8{7~3(RaNSxziF@D%dC zw^72LTx)eqC;@M)sLRU_7Rl3ht(MVp@K1uQ%76#VG*?h_t)&$N$thL;eDC0qs|EH9 z-b3K+P9C_kr+E=vH?~7Sole@Tm~02g2ms|`TPa(JaA2)_J(Y{#j2?^cowN=Q#a{v$ zl`AGRnR1dFS5@TUfegLQ=AWe)U@TypkU#N4Q`sbi_DUG0Dha8CJC&9lm7`kVsqc!e zvD3h~Rrf-j;+3I~LA@niCL*!Q(2A6}0W5N2(oN-LySS2Y%3;;T!*H8SRQ~>7>nGMu z6?Z ze_VvEXW#AA-@m`@LvW)SvvK?G7IM>anw5F)RTNDoT`(R+y_ev zno?e)sc1UZgf5cOgB?2;n{ON^XcU+|c4_I3ZawaJ-_28xj-O21WW$J5?-xm892v&) zNOPJ#e-&rP^&hR=J2*7QjdRmK)lwuu>YUa8xwdki1-5gjgtH&3L{s`{rIuFu%rLSf z%uS7}To+=;P|IKF~e@+~?VZ zL>|R+?$5K8H1deB71I-8B&B<8T$zboM5@Ytej=*ILeSh&2qCtpsxhkv9&yBD8U<7i zY8@(iXzQfWh_4pag7ke?K)qDki=;zl0!sn0MY`NsyzlZRe0#awMOa5;h*=vBV2fg7 z*P?=~w+u=OdDG8ASi}t(8{7Gv4FSt`%}PCyuasegWff*PXHgr}#aiLyISXYsc1)KE z=amNDBzhRhJzX^N7+Aq?wip6doD)L=zAk@Wq`^@=h(vCLO2E|t5~T=Y=3C*o5jOFM z!QP1vqKQ(Q%M>f{@F()=$gNyiUHCARz1HcDIncIQ=|dXwK|g8sjhw%-0zTBe=WnK$ zE~;}6YrjTJ|C%7~x!{JeAn6)%{AmpT7cbh!@Y@LAnktB-E6W5b_fj3TzY5!LVo-+}6)lt94PIua3`JDtJbf2_lOiVlaP z>D(Ou(O-@mbQZHv1TvNJKdbzSS$#S51MRDaXiqXFQ_6n$shez@Z#ggs$(MD5l~naH zCOYiCC^^eUbs3!1^M%9Hgq(*PBN=2 zFiqwyC6{KA$6Fqtf@@}k8FP*e*|&?fp2+46`K@~i1wBQiN31J%=1fJS^&72NGcmDe zt?EIEZeNm3-ld3#OOnI_|6X_@ks{Xu1Do56+K-LBGxNdayYFg0o|9R5q?PY_eVdu5 zw(|HJ>Xntpb~&T_BoUx66P!B?b$bwpVTi*hm^{xgd0y}wSo0j*1iLP}6)BjFIa8^t zQ#V-qchSw(vV9CRk@!(*wqs`FKd?E|Qr8fEL)JTUQ`wx+7KhQ-Y~y0;#2UZLWIkmn zmn~pl?oUZ5BElwxBA<$?RH5Rhz_=$?Kc>jTknBLUTA;$$uY?Ud?X^?4(kc~{NuHV?9rej=nf8yG7WXGSZh z6m2d>p6bq$!tNH7`Z1!nZoO34KFfbmQlX)!CWC&12K$6{<=$M*xh26}mRdJ*7>7QC zNj~_!8|&uLdx<2Pxc?NuNGK;lWJCi};N57&df$`n5DLVMyHs9 z#0ilxGTls>&pgk^)_U{%$Nv*x`oAgaPbI_^Cz8WM08N;}ixvqB#H9@+!mR{u-}!So z^7m3VgcH(=RCNd9hHTiXWcC6cC7q*tY?q!p!UorKv1egioAq2avS_jOTo6ga*jF^K z=wuu^0o~n8e;netMgK0-dWI*Z-iM--%C6I!#)BfPDn+q^hLMx^T&g7*R2_6VR9b7b6WSzRGnwGoo5)h zcTX|xXBPOZx7&?V)pqOEx9in+>lY?1+9SrjU!vtJv+HZCzxOXQwcTX1|WIRY(shcIZ)d>ha;s;{%W$dh~bhGs5;60U~gFBk|3= z(apTW!2{v}ede*<`8RnFQva2R-}#GcydM9&?dQAiKrH(p>9zd*p8Ucu0)FpJ@5`jS zBp*WHbg1q)o{cikXNd6olq93mE z_N%Sly2j_KmFtO?g)^}>9+A6W^WxV@X9L7v{W5QM>g1veoT5!`Qcl}9ZugUX?pCm? zXZP_d9-ThJAsLK)c4Qt=A`1$NlZ=YIhza|#%sJ!dEO))#c)9EOxFWt!fB*3M>a*B1 zFZ1*Z?xr=*x`H_|G3ef!*6mY;ca$%RkGv-{W|0tvU)O3@)yS=Bv2~$Lw@iVDq+Rz( z7`%C`qQGN@dXmEo`QI&#U#w2gso63BbNMGLn_f(DVbx+2(A>eYVOs^rWJ%Y=2A1>> zr{Qoi)n8>@Du~_Kw`?orV#MH~`8QST^H{6?WlqECkk#Thb}Fle6_1nvp7qk@5Mb&) zQV%ys93vNFdwK`B{C^CftWyFu%CfHH@SyDvs6${XD8$nvo*SkoR@nX>67yc>#y7Ib zqiFE8)93rpFgKC$*U%Qir637$lSjrGrS)x%#nT*<#hG-^=wSvq79y~9p~fiMF;<+9 z_|1dykNjD35uAF!krx{Lv^u^{Z{P6ENzkXT5RZCMarO@&YLj{n;iKC24kno)>{nKh zsNw-nr91%6qqXZZ+qm!>zHj0cHG9Q8>s3P2p9vk{ zAwC{fo$os+K`Oa(>_HSvpkCA=9hC}LG`hJi1Q~{{M28VhL9A%VtTDlH{DQtwppVg0 zuBnwKpMTT$O@c%S<`i0Ks_%=k^Cn}reS2lPAgoEVDAXPNwB_Izbzh^VefEscY!5fL z)BKZMuWnPE>!@u^LePy#RY>?4p-Xj(Y^oZ0?rk+H&&ab{x)$ROP>!?*7eI^!(Omdah|x!iBOJ5wyvt#*gC8EPp}{!>WS9*M zt*{QXfD{UZk05(rNPaA)rZHzKEmFkNKrbsLMw9PT4C8N#x#Sq?!6tqzI&af?jcFlW zp)YLopEfd|H|_Sfo^mAFPUqU#$}sZ9Af-U8yC_dq=Z3ww#I**!#WETssE+@Uj6uVcb{@6i&RdhvCiiIO@2>-6 zJWm%GF1Nr^Pm!Tu;nHrKje+0Ccbko;%^7UX8E*u7#BAoz7(h|uUFQyBGs2G2PT4%&b@3)GGV2Ql4O#OM$0!G+~ZmhPj=`vKZi5h$a+Cj&1yjn-(*CNveAe6r^-K(Rib) z{8hJAS^)i`?a=$xVH zmC9b*)&64QOThlXN!~7@`Za{*+8%LA2pI(Q(uI+;ANkjnY7szlU57w9O6}Qx1ngV~ z0v%VX7_j2VscL&*lEl;yv~Ub%*2pzX%Gih~gOw({ zPlY;ewtd0*r`(1TPsJBR9uemzIB=Ohwo{-Y?yQ-|sBW~MbsC-&@4T@C9;gztfOC{| z-P2u*az!Xfir4!gJ@*JQ)j26OV@{(V+JP{;Gl!lQKs!3DHN##px2_i~w7mN0@2^)K z#u5vxz?+eq+P{80VGmO2pn-Ol`xZ03+O>H1h*H#Wmwm+jQ%epkS}IfZb^q&xkP$!2 zsN$Z++6y)83%a)OddD}{b<@jbfKjkH;KRc?!J`kZN8MP0ig*g>Cd*}z^wy9nplEUx zqS=;{)Ffxon_wn865c`a{l?p=k#_I*V!$gxe58dDJzOptJ-sB}cuajiu6x%?;C&J5 zd^TR>vD3}u?Coy*^u{Hkbux|h32B7UJWbZ*^R;mHu%<(rraT=We$Dzua*uXOqiBny z4zXR=SOAHn324T&)Gff{!txmf+ri%$N4&G~FB+660{2B*pnCLbjhO=tz+Ro#b%p5P z+IAUnaf&|@Ytn;9C2G`_b{bci>8SG+clO2eH2@>loIPN}1s*$wOuP{k9(1H*$U-hv z11zCwd(aS>vUTXJ9plvGC2O>bFzL3wa=?kUN?q{8pEJJYsV*pM1rh zb1EqE`#ckEtuD~QdqSF<3v*Ny?3FN{ZfOj2H_UyNh54O!3mS@MgOXaGs|Qx#Dk~;H zrr>@^>s-_nnK3>smgnmIST7t8b0^Ei0zEOzEp}svi6HUUu>%4m-hw!eEC)O3Ez_q& zT2#N2LX?rl-_TpW zkX!`5hXE^$C5Y|o#9NFexZ09G9-TOe>Mpwk#aD#0W3i-!f*}e5L{Ka+onWD+A#;p8eo(}XNBkJEcLO7IUtn{{LrlQ_Gxry z)>*B*-=>+JFc1igpski}d=0z|jQu5=B5)hIWcaDDD_7-#MMw1?nW_#XJwq$z6SG`& z){Kvl;lP`C#uSppePH23QB@c?jJfm$%$Gja00)`E$S@RWpBfsuEQ><iwjvpQIHTRGW#0E2Uw|8cBhQrcdgwZl5=N_g*XCx3406 zcMWsq_IXuHmnTMf!)fX4k=^DacKZ`2-#N9q(4{re=c3k2=pFAq->;jt^hS^@_Cx$w(FjM{W5I2@H z%B4A{#q~sJE1E=)M!SYV~oY7IBV->(7QF zsR(v}%a=1`rWil|?7PHjYsv&?zQ-}|-rRgTd<2~ot!8-_cT0QUeS4e{S)H>njh1=h zvQ{M8wLOLXVZr-w>g_G}PKsx4ZLX7(^{_|(s|(vM{-CV)k&eSfD$ca|+K}yiH!;`v zgrr^fm-#|iN7J|-ovuHb77WCYilU>>((T9kRZ;2a%Jo$i_<0JAfYytM9c`-sC;@R{ zQk)7FO=r%RLV&tah`<7u2-wL1>IT7&4^TH~oPmJ;JSKev80Jf^)_W*e&tfOOOn=q% zKxP<-g)kRSjp0n$6UPU>r|BS?&{E7dD%U6A$K3UONn5V}h<^?D!Q+*Oj7cR140R+c zaG{FeflQMiNWM^$Aut1*XG5<0>ZAh964i=RL8+&X4?2@5!JeJmm|1iBXu{%aV?h3r z`Ue_vko=b{e0dT2%#bYL*RIBM*pT!>_E3ZePhLcs2OF~ocN9idA3n2e9=2IPvIR8QRRG z@W{v1?038X@c7>VYR~FHY6%UT9SYD>E0|cZGFS>Va4|XuEnt!8Vu2_C45^he6hPt& z1*X~6TO7zaf(Kaa$U3y(B~w5b6O-U#Q|34jN9KsJB|SH*tOofj=_r7eLX(OBF9fuT zXi1y=(TDEn1GqU2q#PMCY~yNHN_1dg>l^?D;ZW#Y+?EU71=OPTn1K4o$`oYOa)|#o zKv*w5UVsM7)5o+BU}FVP8cQ;7UpNp{avdO=p{5}(J`Z?$^oyUR%Q1WsUjCZ$CCc%k zN=fe#^06}j#)MlHt@uHz1bXIZ})AGGf zBYVxTbk;Qqucptra{ak=d+IsY3>1%3)-&o$F7x(m+5qj57wcFi+cSUs%RTnVVlncF z2R)*TeuZF`1PrmCZQP=7oh)S%b=zct2+1~SF@t=JqB#(9-H=_areTL4hFKc0dJ>aL z{>|oc91`AY!eMx}luEN;2-RdoPDo5-ae*WT#>jjzs{o1818(YaYb4DPjl5Jw6JUWS z$3br(iALAAO8$!(#yQYEFK!-5%4tT&{EADH+SGOLx74iN)|KO zp<+nmPoUnrGN1W&9F?Oe?s9ec^&%6n&VeT} zW-*FA!zK!trm=XD16f2!ubKFWdn%;_;vrPhI^M6buER!!s|F;P&vzq#MLr8ZPEvwl$*O;OUEzpj}#jys#`+ zjNtR8Z6mT$$)h0+tAhEWaaICd^ou%h|5*AF@B{+I>>phpOS>=}s#=}+bv&kB=dWn5 zwR-7uSIQW;dMzmC;`E^fh^@$Mw=B}UcOKv0yB%oXZ5Q%MykY8K>(19%iSUs=X?`?( zGrWM&cOkW}I^m(}T2(q<*5#qh?UfMV9x+sb~kyD}Af_U*M@{wPVsdLDJ*TyXHmrhHrh^mD7V4M%X*HY&TYH_rEd<6*O6cq2+=^6p^Nv2pZa=cOao6kBa-iT9Ii<%_JP&PTT?QiAS4%%b zuT{E(9~J5T;0btor+l8$$P`NPvOaMXIxX`QU)%VW{1>68`MF2Mj2rA~I>b;67qIzQ zA~Tx!u}^Mr=qxYi&eAY~yQlRV)71?yijQ=cD>uzB#0DCfdGy2$)-T)qGcUjRqLZ={ zZ4>Llqh}kHXHjm_@c{Oqr1GuIOH62eZ1m*t<4upWUim$?td|w@m+e?9=n$(A{fMrh z&g8FY{R5?uCsZV($+Cyf2%fBs!cM&zybs%N;;yf9a|l1S0vk&ZwO>8y3h@Xp8A&~id3hE)99A=q8X`BWs2V(9^Errzf+aAXKU0ZUNbR})O*u256spJBM@ z+d8|0+AkjA#D<@WXk!xap;Epk)Si0X6eN%vUo)jQZMSP_B@`l5Y6J?(6Vxs5vRiLv zO2k@)12&__eaH=wGOX=mnQ8fsYLS~@46W$T_Z2^Ixu2rLy}*E7QE~EO$U9~x)saqM zAg$E3TpHcP65AFZ{1g)+*HMV8s&-P9v$Uny^nmuZ1fsO(x590=-xO8tQxI=& zt-*yn3uTrWccxTCh+9?;mrzQuz(J~69ct^2aFncS9VQnHS={w3@<2O1RpHEAKtDc- zQ|er4nr88kju_Oq+X6mfImr{5R_b&7;tk}P81qqL!G#W%cZzJMtSYWp)Y676r)%#I zZjCZ?xJ~MD1$mn?LZQz{EPNy=FLJvQGe*{fzd91)=FxNVu=Il!bgSv;FvMCG=U+oR zcbGrz${2P)(J_lzKQ_661_z~|el9G5g$A)e!T^gvqTf#ya+3A8z=~5ne5obc@}1qE zfVQ(4afi+4AY_$`@=q5`D%z1RLE+~4ob+^&+DGT10wSxVbbuXzTh+xtA`C<=t}Yn{ z(0+3SqJ02cN5FI*5YYC?*P)<#P*#hVs>d?JyM3=mcaf%|ov8(EbnoPsw_nxWJ`puh zu5&yVax*GTp*GVrKz%V~jf~lYNc20Dk4d6weFF7u<19_S;sE{;(#$RcFxh2App6Dr zyjlfJO0~Hx+5Te)5uG7~01m@6E9YlhmoK^oc`i`w%U5Li$^$>(AIt&``J?YO{jz!j zRpjzm@`dyuLB~R+y4*Dz4N`&%dSI)C>6c-hE-GN)`+t*fp9?%#KtN8Xk`VPQ(w^8G zuhYlmc8Vx~A!iaq{5yDW;y^*+;eJypk4Z7aM1U~90g?g@!w#f)2IxB*Q^(U#-GrN7 zX$tpcn$;_3+aH(RZexctKIughjyfMc--KT^gIMkq`A!e97I+9YZ>G*IBJ(J6zUii{ zEXw*Ky1ylHhAV{%kX6HG>b5BGBTMimZR{|353$a;_{4}rx9C#gHZIr!YlmMz3=u`C z7mE^Lu~eC$#V}*KD+lar9g0vg@k4)N*1IiK}f2x7i=V!l+!;lXv_B)b!L3Cu5{^ z`ab?j?s%Lx=#Q5#$tMMH_Fbxb(*Y`@9KGcJWaGWp`QyC#PV~I{n{|v9yQ?X0BA?r$ z%_*PMh09I7?0rqf1-?Z!7+-AK?n#b&wD+g#dNaP+VTl6$Rue-q-lci&Nkqx}I?mI5 zT=V<+G;Mx_$!9W{X#O)SRspRfKVNSP;vvVmdGC4aVAg(#G5Xk^#rn<(lW}f!VdeZZ z?iI9^|KfP0mvKW}{2CgLs#!;9ldmOVmq`EVw%k;dCyWnun`5%Xo&WB)hi`xZbze8+ zSkvQ6^wVQ0cfFkFQgTm1ry}K8Crd2B@-A)!k69-3;?xO`w~o_BC4pYGKS^?<>+E6+ zB~z7EBoYAZG*_`Up%pmDbv`?!!;br?c1wpdrSVi)$i!4`5@IClQowG449jx*h;JHE zX6FeV|V;eO2@p^LN_VOUs^E)s% zabcDLVhCqK#$9A<`2ctvcoP`g0C&(Zcz|z0JP3W*+em_{Pu7@oSt%xbaX@s!67XP6 zf{YD_$r8FcIJa0ui02VnfIK+~o-lhD*?*N1^(>X30>eHqK_Y3eK4s-6*`RX3L@75z!Ezk4FZOQ zO-Fu{P9F?e=Qr+vN}$EFR9`2Du_(ZRCAI;ya{wEDHjJ|atkfG^4k4C}_XncPO$c#< zbOcZUn-r}gc2JpctpK=^btn@O4_^9$*;wT}t9~(e2AaQ0?QgUm{q(vp;WDJ!i%v#J zp6q@u2|a(JYomU~!}H=w?~;lGe$`MyLSWhmBcB?BEi|7xWV1d$=smun2+|#aF>O&k z)nnll8u@sZ8}B(m3PO2y5}r|Wo>A8Wk-S5Zl}_9U7;XfLJUfwm{HH!}xCFx&;HeTL zP*=|!S0GyA01oHGkTRM}AunNY@6;NVngCcrt|J@ESi`=Leb-=@G21;xIXKlt(@>7zG;inPu|3Kfj<%7Ehf9JBn$Ye7_*QEN^l?#J$^`a zP=~l_I(J$n{?)|?pCGQD00EEotLH(Ic`Kv30x_{3t7G+na3IKK!bct8KKub)Lt1Tp zul@xNr#X;2lAYUj>5k8-)7;FUgQs~(V>D{f7&wmFJLeIdiJFFO#1HKNC<4K2hVm@G zO%B|g5jt=e_XKSrb*bi4{WIv7x~o0GGYbZh)p8lyvvLdvL-rdZdccaw*^87%Mowcn ziE$|MWXL_!guyPNwkyOkYeDdlc)*85(4-stkU50rarfvAN@Z+Q?KZWahrILsRQuiS z6pfG>!i1plaH#JIeR&ofu>}LlSQ+5bI5T}Xi>XSDpilw}Rx!Kpqne#DKkW{78{*T1 zQ;tuX2}s`$+2+%)J<(lIjzPC6oLiJ++mwiyAQd~LPhEB*pS1K0 zIX%9ohm#QqoZ$r#pQ1#%FL}%|aex5dzA|x4joic<{WnEwp+E#qQPd$CZ+n!EH(!<9 z1yx(tYvM1bc&x`Nj4sQx`&|4f7;bF0t1yYB?T>E^ZhzIpH>mzB8}PQVeGS^i{U|j8 zdT=ad#x@sZzrdzb!hDT?!UilKx$2&wUja5>W5=eN>?}xjsm91KI9%i5I@zno-y7zO z4gv^f=gL24`;cWAfvIJLlZjauN5R@C_?Bq}#L~bvpwT6iE;~I54teCR7HXlRE{*G< z^rvOLgJGeO3=_RK)^BKR1qCw2z${}*GI836jvba=sji0_i8lZM z-I^W`B+-cTI#uCitR5!7>cgRxHR{_BbTzi*?F4&ZiGJYz7HqFqH4w{^7SvHUd5!1T z%BnO-GEIw)7AFl&34=6cCj&8^4$}}x!1Z!A3P@6_i#DiKNA8`L$6R-R8=cOpY+I=w z^`3q(>;O!|;r6{B`p}$qehRa8aQMhYbJ3w@|d_-n&y} z-t-f^aZ$gHf7pC{yqR}SdIGXgRk`jPP&`43$XLHZxhSPZ@PfXu^?CGlaehIO?gPPl z@-b$E=gy9>GI7FZ1l?zxvg_N%*bg=fqa~_e)k7&TssyLX<{9vFBI`_rN13KQ0Y&;m4$19rR1*wp}1~#k2oCEXg z6>fSAPCthHYB7$~caVp%|HsK6L+eSe2i7vjUUL!lz6~m*b(iFD50AXN%;%RHpbO{84phgR zEG}(#x4{w8I&?1CU_ag&T8wLU7=E+TAt;7~)ftx{5|Qx3Be zlK>g*&_o3GEi|=1j{FAL+UM|!M1T!JAKk(nVId0nKjrKX+149pM1SO;uA~(O!ltam*=o8@GL5+j{v8UkRB^_-cl;5e;o|)9CG=_cKzu8 zHk4i3_>6(=3I9E(R2?IBQeY3l-m92;#>mGLRr@kgiG-reSymK_-Si=vP{;Wx_NO^g?=mor9e=W~ z>~FD)I$hIIz%E?{uX&n*mVHoAiZ^G8VXD%;Ma44U_fu^|Kg*(>ZvbBZC(U@3t9Gu?Hs6*B`&Ssotn{CZ} z7=Zm%BSnD6rQk>q*fm?P1bA$G+f={~-(PUjtNrM1JbaT6IO43re*dQ=rvobQTLI(d zWe<1=-KE6pNc!YLD6FPOz;bm7p)l`O~v1Cc;J->rVYas{vWV zGZe_S8wEgr9k*!wu4)AgbJ`5~m0Cd0%@4LIDVqd*B_)OQG|GVgQE8(b0vOyxKM=N(pq%t#`NU=m5mtd>{rKCVuG*7~+q(fT|zex(mcRLdj4B{6UjVTL7#SlOZ z&^_n$0Q%gOjUO&xCi?{j;u|O-Kv=^qr1JEisv~kBB zS0XvIoB3VXYLYhA2P#YNCToxm>sh{xhK3>?k-`9YU|X;QA*FzO>TG3i z{g&6~1A(|iQL?<)c0i3y-oWgmUNN$A#R{uNJ074G|A(=!fQoBr{!B=MyJc_<8eo9n z3G8SQ}nvhd+Q2L20Gfwc)QPz`Fn(w z@w3XYYX>vRTSATF+@cmj4J5X6Z=tmZ;op8LV-S>Wioy2k!G*PrAEyHAMHK|E#Bw>4GgdX%&_8=y;rzN&&>YF*#mOz zutH9h`aMZa7sjU|L%+kmlz?ZQF7;qbb=L88c6xffDB|V|7NiJsoQ<)M>y!Q~1kbxD zs~7U%315FQAG+;*HpoQjsDxQ==c z2+HAmL%a?%oqAi5*-Bkh158AvBT6frq!muFi*B`x?orK}Rl`a?OLXEb`Pp48BMsg% z3AQJT)MLf8#{f{5_832V&?M%>Td3Y*Rv>S6eB>+)o@s|W_GUk(erkpN;VBSn>$n?^ zzhh>Y9i<6t@51iIBO&mSvAmZMLL^eGh*j)n-{WX1}`QoDgwN zC_)GlAq2&LufXV|*iC;j`JbEsGxNXsfwr=0|66lbX0J!ewGG#$t)I8`7k!mFaAfjB zPZoZpes?djIX{Q8(sV9nbuQ*HgOWXqatEb4wWKKoIb2t}M$ysaj^>%Y2 z46Q#WAB*}#(K20uyb3_L{G*6fZ&jcB{OG%PX_@K#9MjX}TEo{eL-qfe>Q7O*9tCaZ z#k7z0-T#%&Z<s2BECt zbmZ}MnZ-nVo2VL3{*iZyCfp z1{TD(h9gqMCsHJOnKFNwa=)nIy`pg)qOujMvh{ZbP^a^s)rZWC7qvr=sI`ny$Z_!A zEahG&2ic_`3Xn0hS@m2x%!0dTz)L1QPtAHlL$C;7SOnO-ieO$v{+a(;F(n67pp^b6 zC%~-wZ+@VyrxyRUIoxm9g5x;8wB|B~5@Tx9s+tVhZ-b2z8^(KN6Lrk>Fu%~EKZ$v& zBMFsUb5L4wAdR(ShIjG49@GNGopsA8q~ zl74n<%^`9J`KK{jNE1%I1_5%bKmYFaYaShH@|UO}Cp~Wj{w#Oylmfr*tHW~)0bQBL zi+Bo#M;o;Erws>@O6xK^t+X@?Uj4t`y0blVS-) zt?2qL?C3Ap$e2mVm?`ZFt?ddus}n!gBrbPLMYvS(?bOKrq50SoJ%2ecwO9TqN< zW-h%ULwK=6iP*d{T)Z-ZGvtFalimG?gv5twh)}s-+PPo)&Mei< zE^+T4mJKprn)fIutS;a+LYn9=c({;q$cE(rs~FD?Y;TOKkKs{}o>jCnF0#9vJ1=R1 z(we`B=Dw9ib1qKm&8+7BhQyjbZ|cpJYICmAnut>h04$yg2MkCRTxMNSp+>@H9CQ+* zsjR2xXiu7dHZ^e~;U_QdcES_3aiQ`o@D$BZ<`Ps5LCN%_C~%QPDD#K^>kSv)r$SoA zEr6K;E}3NxTSc1+FIyHYM$L@hdo7nls}u48XS#nOPGizr+o9 zb^|k;oGXNPydtJ0#i+AzyVv}-eW4iEX|!OYo2}HdZAYqYHC`=9^>?P>vpJuGU7WPD zN4lj4Xx&BChT^ggeZLHrAORA#dI-T(D*Tus3zE6Ld~O~$WJxA!J2BKaN&8~79z6{S zTlnE#jTp7rfLy>L{j2CKR!4oJybNbCtS+<=-lbPQ2=`W})h9IBwDDDVmnGoBU^lgN z81jw+>M$vA)@LzkaSBe%7=cSo&S?Nu%=A#4)RcEf`&z4%R3VQ0$j zCnEMXIEv|~tp#{Qyf?qM*tDS>)~1SnN2qixn?DCYu*kU&aKX-X-k@w|k`TNTJ}!kY z;Q^dqW(j_v#@_~WaMAlc2jiP~ZZVdj_7mZh(7j%wsrHnVQ!V898_r$%qnQ1kx_2k# zTWP5wRpFYPJMXuEdvW3ie%uH06q!I|a~8ts$pA+egErvIHcJ9b+E1_jVKh34(ZEuR zpqW(5a~hVFqCOx(E;)0S?Rqk)Snyn)1-DURKP(A7FfdJ*spvIGy?dKUQMy3-ao}RP zy#a;phr345h6!dfpj`z8V9?!nY+M@=cs(>Ue7Wsz(Q-CyLJsi;)N<1ILheaAx&;}0 zDR_U3E%UEofA*g1_Vv~I03s*HGYm@#03DM>!%cdTfRt1#!+^^B8rB`T=15*6E^l&q zpXw_I?<%NVO~XePQGY>|20lz=x%w8GucHL@{WF?3*%(F*n5-2+*YmhMkAN*7Q=}5e zG381bR4>6_q0HIOX)TEujfij*rC#n_xuFZbzoEHORXh&T{;!+8ADPOlN}pA{#t(>A zZzuh}Whx{7AruEe5(m=pi=K^8MkR+x>-95*!8ZdbUxP}EF`iLACEe#n?$CsX-vIt# z|4!<6S8@kM-+EMT3sY%$h#}X!FgMY+Mr7!@Dex{8`H7=U@L@98xCDHt4=yMIRGZ+y zG z^8SLQ{$abbNdKc6+gR~?YfS~=ztY0YH3TE;WG@|zmS^ygFI0i{x2U+T4#ZsqJtQw3 zCWZQRn)cZZ>YP}MY`)0rK={J#!3kx_nPkh8xa#3FCo4jicreLe09fT(|XqchyWFTC6Le`x8D1o?y{ zJcK$79vrGd`*b=UMzK7Ddarv~6ly!u4guqod<1&FD0O z@VZam=+PvwJZKI&0d(QcuGD&OA1tZs#3wCvi&PSKD%>zVYBMUVEY%D+kULzg>?2!$e+~5c_tkH z*g1Dc9D9r3SkehoD>yre5ZPnuTICeWV0*3IpZUr_TiVgL#~jS3i0sm1-jzaR#PD&gTN2x5JmhEAh)A)?+u>R(FV&4&uA5jKgOFFTv%d=L!@+MT zQl23kQ{UduVZK=ZX4Zpe;HJE`B~m23U^{U&eag1B1v_??_7W@*{w?+=rC=U`ZV0#~ z3P8~CRI_H<)S$=YZNCWd933cTCqjdywy=2{xOp3dW+sMbCiYDGHuG+INH z_FzhT|A$F44e+qmvY4wq2`;;l^SN%9{;+0{D0ML57dr7+1Dcoh=HAQXmR8HjPhP9d zgRk58q)D^S;vaGYEFEe@)7`@@(b(R`5qTFD!Sl}v?;at2^iey)g&MeWv}usw;r@4@?9qoJ`s6D0SBUggH%dZ=>7xUOndP^)TL$-rvJ;K~b zh}?tFcLKety-v0bh>&mX8p|Cz9YmtTv@64ii(|&LW0iRi0cNx-B>>TZ?S}>&or$}} zhpQ$E*)|Dj$Zud5xellNXqI5k<}PyGSC!vTWX={!`5~YoAMr*DSPNJS!RdDv935ek zMh_1kU--@< zff3^WdIMAOWX?VOn>jHPovt}4RSod(dB(v2|DFu;WDAQ6NHg~fOC6b}gAw5DIi?8t zy6`hkKN6(r_;&tP&gMt)uqZL9=Z8ZBJgP$`1P}#iE!uLOzmI|UN$HmxgQl^C58~>s zJ|G%eMVw$N48X`gFTg;BK})+hvf!K|2R*L2oI_S64$qws%Qwy&-PmxMAzvMCyt^Xj zC2fAN4^WR#+TqIi?l$u%(T1g|$C8Ea9go*cItS{pQkc)oD#y&~_?MeemaNmn zmofi?nb86ccE)Z~-c>~6z#bF*CK3L|xHP<|w=DZ7jL*?j(?WkZV3$4#oZrju#QOsz z=-ulT`V+%Rf2$+UH-|G%oSg{(y2P=RYv_3aV>;+v+l4-*bM-M`%qxEy3#u1hHCYpb z-gzQk%1SE(E;~Ngd*D=F8^`83;O5QIj^teI_3M6&f>3Qti00I#d5;EH?QlGY<*)uS zzW%1&cQ?xd-w0bqZEs-q6?FwYTrb?6^e5fqK5W_E>G)FceQ$2|us7eO)L_J$@)AtM zZ%j?vhLBH;dI;ua4ERnF$s9ry4H!g2F38>^Pa~_4NR-YHI3$M>VpKA>`I^;lFP>>b(>-isdik=@xq**7eM%yC za?v>c{@q&!%=P_#Jby|j6Ui68s-U>^f;20R#%$p9Q z>?=E6D{(<75|4Dv+YaQZy%yS$t$Q)}hT_w8f<+8Xkh<69I!W>vLd=WYo*KO4J~VJ| z3EJ6Nmyx-CbT9&(O;R3zgrqKBz=>=u{>686;ahJ)G=fZ@BfW}cbUW_g#lQvEnVu|| z)=c))DTpNc=vKIu==M3352yM@7zX z?u#xp#A{p01W1@07GYby>Fx{f=Q5fgYw4_Z_-o1p0>E0oSPIzfbZNqtb}!@)7>5qW z-^bNkaLxK7I-9hWnS1)(!o?TO{20V!7JOFXN%8df9s~%p~ z7q8{Msr;>O@6<2aWHK9Y?GbQoOq}ia8eu$qvej-h;x|@L|AuI*Dt9b^J9zZ_$yrrR;mW1%Mxm1GwrC?#{|MPIxV`~<*fen`szn3HAcevl*0pR(gC;%{mR;m!E; z+&~Yk`NaPbZa!W(3;5dCGOu1o+LiUH0dD!qIQY5s=_DOe9m8EWfX6?@1vX6MRI}@T zo-Uae_l%@|=Jq#31{w-|H6m~On*pHtb>j}*yJ6Vb!EFl`k(sIP;UDvTB1JBDwq~f? z9e3>t=QJ!#JvJnq7#d}lk6B;FdIGn|fAD?^j(hPF!Zz zrx`~V6(ewVN%?^stT*1RtcqX9G6-@sf1kijq?=qDZq%g8SqpK#eLh|CVN-ax&JNbq zaTVJYFQlF#D!_TE)~gMEVVz~DSdak6Ak3i|0j>p6uq@9zG=PDyzsa%y13|kpnB+= zHXcgZo&4eL$2~EV4PaALB$-*@*G-cK@vWFXxYT|eWY?nOM$({NPZE`JPUKcA#EC25 z*U2ITP7=-pmo||)nE(NUZ_p&z6DY*~wq!N9rQw`osaEjx;gLLP#V}}M1z@|zz&7ph z_BYSf+N9c}B+{_6c0Un{3N*kAnA_mVp=t0QTa#;9EF(E_y}mQpkBcxdkt%lq|COS- z-GpH52eTeYAjU{tlG=MTJoHt5T7G=l8sPNnAMa;V|2TlPVY~}UcGnVV&Rid93UTct zX?K_tLz>);Td%c8X$H?I)$J*ZX_{?(ZyXQ%*5Wi4l3q!I5i)D#6WD9v7r2V2<>G7$ z<^L%1c%6%n-FY^L-bL@PT;4^mDTJOSEa*k1y^t)(slw*|qmx>Dap97NkVo@=&MO~> zgZqUJ!XN&fWe4M}OGbu80vl{4dHoXytChO`+RiRZqE0GeuZ zc34}Dp@z;iH$?cf*)d_u5zgvz%G>>w&Ys-Lr$c4rUEgx1c@qG=v-xX~?~#|+Bgxn6 z&z{_t&k5VU(eBcO4O*ZQx~QeUDPJsY{|bdV%k_k$myr{PYo4=20RFbW(%n5RYzztI znKE+fuXO^}RnCt}+eyz^P!^}#tOGr(z|8}JK%g%LJnJyRMs}UNGa5q9Yt>;)5EU?Wkr6vws z9;U|jF%Hw4h1&;?3&r>-&r+3ujkw{jS*#pr92^;p-ATT6kr2pEN2p7^RU5k_l^Bp z&qq_exF#)G)=#S~M9Bi4OFVu~8-FM`^Z_`pvxc(q9W=aoQ6+||vlV<~w4@vL&?9>9 za&a;Ix%1(3EMqz1eH2q@fu~tWI2Ulc~!JX3TlYPJ^(=jB3h+42GnHsZ%DlY(Nwk z!O0ZOT_pe<3Y~t8003xOQ;|Qz^Je3dk7Rl9l9&-KleP4y^x>S<_Og~qQzEuThdhd` zG;$j;FQjODB3*rY_iNF8qADab*JGG{L=6h?e%vjeu|@9#P<+w|lssY$Gsy-vE=k9F z42TxO5pU`Ha75+Jh9Hw-h3aHK`qCOMS#k= zhTBRH^5d@clAZ(Tfvsl1GFN37{G}6e**avogFGe-DB3HJux%)TpeRdL3FTm9P5Nhm zN$!go5YHsu=RKSk#Ze3OvGVdZOLUplNo*=BZDMWy z_PzAe{$LcbI1z7rn)M7fm`&}>5?(#6oA#jw zp+s%wEb5mKVdUaLPxJ-M905;tcFj!P*z>8q{Tp5)gJ)G-fw|CXE7Tg8}b=2Pi4Pvo$>*o^YclwvsdgU{*noDRn)_8hVY=1Jtr5I*#O0Uw6+mXkBBY%aOH}4`JtRwihg{os;od(@ z^y2+W+MUU3av>h^cpTVo{gez;NI*C6$L!dmff7JFZ08j8`HUi<5dUXwg!mgx?&1#h zcj_~OL*Jhx>F9%Y)FCyRo84H57R^o?*3(5~GsDsYT2x37k8U&)UsO4{St((6W7-$@fU4a~^vzMF87w5+YYzKh&7x0s?gW3tF)?^fGd zSVz%%6hwJ4{xYJ>X{#B`F^e3P20)FG0{k%O0cUPRO*W1^o;VbuawZ#65lCpp zq(xEU$J=-cQ-__+Ut~!~?uRZOgt+CyRduSlG(mEEVjgZgL0^-&S~q78~XzcEqP@Ew}>IQT}M}Cc~@wE!Y8aEbQIC913G2(Z8o9w7fM*b z&OMnsfIPX`I8Iu?MmV7KI{?^;5P**6x&gY}TfE#expjBEbqD(k@%sybIw{CIDPS_# zaSQH+e@Y8L>5m{Fa{Mn5fS>7a=6_iJYFo|5ax)~y(o*!T26VBhi5E?;2}d?9)HQ0B zN_T-}*J)vb7K;h9=y3k2*$nOPE^51lN@^h;kj*0(CeY5$tb*4~GN?XXOeQffx01IM zGd_rOER3nAW=zjSq@gpkdYI4|A99*8UhfB+Hd{EzW^!JZrbK|$wJ8itZodmLvlhtp zq;lmVA-?9C+^rRm__6{_(7k)R|#b?B5izt zxLuCt7+zGlf6)!iY}5Nj9ZV;2n*b(XJH3(m;b4TfutK!;!UzwmDiXUYGF*o~LWjPG zH3^_4qCtQTqb1u+CA$e-348sS%j?1i?GJyxG#g-(jRvn069S;4cR^{kBob4Be^TbQ zH{U>wUpS^|gCYIj8esXv(LL3?H|ahC^nIY+14*Ck=LT5?q@AqNv{9al*W~eX2S*^U zsDAn$vm}6)I0pebOkX`rH}_O@_Eh8&W)Ki&AfZeqr%c9-m&1;i3(u#I=;v4eQ|bpw ze*~8}<&OR(0`L?5&HN9`-)+x6k#BkbBvvuD{kmTRLlB;S#*8`q`1SV1iitM|_E!Zb z@CW|%EdF#wazaMz=%|q8UNKH5)hvD{25j_-ml4y-t&1b#1GXc?J?Hg37oS=eacI&) zPy6K?dpZ1S5;)$ET$y@}JpY{LTLUtF8OK4q64Q6oXX;zS-=PcRAnt!2bJVwdY0BB5 zOK$uU@jM2o_SAFot)W}{kBdirAO9r)h%^0{#x}@_9Gr&ye+aNZ2^0i=f!4Nx61AwX7aOqOg+!}_WwMSSNea?zZTArrJ_ zIG|aTN|d#B{&eH$5Yy#6ekWB$^6$#z-x;ti8L=%1Q0f4R3i+_SsJmp&pN(Cr6Z#sH z@XZHK_W&8k*a*~J9gIq1l90(1ep`7?TY0Cc6w|4c<#Ogefb=w?0jm2%_%}6tBD7Ls zwp3ys&QLc|5@^ubMSCQF=G1kL+l_bc4*3w(vpV9GeoGk<#o?50$FJzlugFWzAVkhU zhMi1-os1PRh#fH)-eVroW8MR;1!xI83s80Pt|Lh1D^PMw-*x;W2uQL1TLfTU{)_n^ zmj7wnXLdI0)M|kE(dxY0kk&!Vda23}IfgAfy+lfVe9FW=kfS6aGVH00B$S>=g^k-* zsGNf!jTZCE=34KF~SHj!XE1A zx+Hcnt8OAjjLP(vU&I-IhKfnDdcwcYP>i8le0_|B$2J!nhatfX+k)ALOTGYYEL2xz zGI!u3cZz5Lr=&jmyMGjs9l{&H4hbZFKF+PUx_@QPF$;Zlxbu9ux&U+6+J6qJPm(^h zTh#N@M01M69b__(>G(E&h91f<*sFjxM_2yUTYV%=%Z}|fiB9dYBXjPG>;ciE2N%yM zI-;J$Xn>Yv`v7t*Ty`upSh=6_iJYCFtb-m>aDyLgS;Cp8-yWp_)?*$8!XANWfR@N70dkDnc8s&(mvQ5l;mIlx{5;dz*RT_Jrw($Cd(PFt z;OtQGQTA#SD(RaAkM?4Ji>;t`=z z7jZ)^_U8=%MDO*sfLV2bn7#=n?Tfc5oGI`zD5gPgq9vw}O4Cc!IsL%)w3~y7nynGZ z%ZqtO%)KI1W2Mk4<VTIII*??v$?L!dwx{7QMKoqqVK5Hc#Rb2OX;kw8i{Nfl;zi*4oF@= zNKtDKtkuw?If<>|=AK?U3oRYS*5DCRoCHdi5ha2^X&0uxMp#Gls=hjEBb<wR$_~%U#ugC55)h(rb|-aq#}~+aiXarR zR`v?D4903#>eKa@Edb%RIfbDnrTt8Dzax;)UnbXlm5gu_B;0r!&E4TI=(_c^kLq9%pu71a8bO0W57F2%1D z1y2qUmcRRC;>P`~EK{Sp{p5SV^=InGSW3tG6=7vZdz%o7aC1F;R#dNbankp zGeZ{an7-v&*zdJ`G9ou*bA_(PXEZbTsrd|;K1$Cj(0YvHpCOS*C`rpCt1>yq1@f^_ z^Xvtq2NED#z)LOwF@zcppe}M*(rs!$nVzkDto}_3H( zq)XqfA06o}U$~#u3@swl0AQjw4gm|n@Ob!n-5h-pxY#3tjm1v%nKD!!io;~8=mr3Z zn2P}*QGMi+BJ?KT;Un-=4B|NqMg_(S>XCTJ>Fv4#GKa`1W?%b8!LX+C8-T}(g-|4y zI2>u+_RRffM9z_ci=n%qZG(pBsp5-qqQ+dqPs=;#A*my8sl3Cv_W4YK?8ouN^q$0s zs?qI!iQguPeCk5yPoPniC%;JRaUdZR5Yl0_{t_y0hTp81aZ@TAkM1XMAmgaayYtSX zXA&b|L0c(L;G>|f?|K2T0`ATVpwYx;pUsp>0ieFnB`lv8XrG=(W{D05GLpLEBel9R zZRb>plH2Xvys9ne58Ua(MZ!@UrO5IlOTz%DatYc> zmC)}0Ij#F~_gSmUrDH}93Mmmn+g7+Ea+k8M2;3L|F`zKRF&j6o{KQ)EY3H-{_`!?S zTRbCuC7rsAN=qKYA)6M#vMn3!@l#<>V;6YZx=p0{`ia7%@PK9+oz__h(xq+#+=MMG zUW*m;=IjQ|$u|3R-pT9QGP_<`7OSAY0n5xUd&2lv;fZ`$w_u5Sp99<^J9HxzJwyv5 z$C??_+ZfuT0A-Tm8A9tJ&gT-Oo^dI!9tPRu&rB|h*ut`!0&)8CCf-b&#-N8Z7~CyW zW}3vEyRxlmRIasS?ujldR2G4z)nT^Ghz7#`2ErA6^!As0pLT~CDCd}j7)kEK_mad9 z;&G=8(4tTqn{ z&VUoeUu`JW0>f|M%YGtZL1bM98t5SoOLwec-TN*K+ESpysNL#PJ6$bzT`k^n7NK$$ zGVN3f?NqF?uh?Z@!?i4WN^4EEW}#ZM)ujL?kx+dz;}dSNhKDDX(6TvT#B&Tt0oR(x~`f_|$`&=Z2^&KjZlgo>_VVlk~x zQE94IyOYS#pRl1SLE&8#kt^7$HpG{XZ(_QVb?Xvhoz$E~qj-L0@K-8#O)B*|Wg@+E z@tTcJPuAh9{1hJe(4EZx9|&=R$0Ow7OpQ|1Gl3Tn-1Y(z%N@4b zw@P(`>gvVD2iS=s!i!-=I2j{`-s4pD|oNVBM zY77w?j6EgE04+@nE=|ZeZJYDEsb>k2C}(4SR>uCU9InCmzgY&^X_yh`#kd8?Ph~EW zeXrZaKb#e1OQBsu#PhSI;9UYm$9D)%dL2B`HI{jw%*FWQq_RkamgEY{M<=2&fWAa; z9+vB#ee!VSZ7-NTjY~Lvf|~xWaFquBZant#6Bn&XOJWu_FlGidLieT)E1;4lcx24k zG2q*?u?`yYg8819VM3G=9;CR|K;qAtQE@v#^BxgIu^2In@aqKxmb7EYNm-mw?4q(2 z4#jkv%-VfJT;{^TlV2GH31Xl)%*KHkN+`N%U|x~$vQo|(`a)UuLWkG6$Cr9kj5|HW zTo4G_RYCiPLIdPjCiy)Fob+=e6PV2jDqjGK2%~-)Zg`xq?tG3Si=ngnR+3zif-;LC zSBdK&P+~}B7XV6yKk~JKz56mMF#f5sBOD55r=off(R@G#v=!dx&&snnYpb#^D!|oQ zsK7xR31%xL&`<_Ag9oZ<3*Rh9E6^j5{`-=kE!=u4bPRZ3#{jcb0!?NBbNHW2#Y$m` zhI@%>pjo1Fa*~t1?X*x9+(M1WV+O_oHFo3^n>(^9NaWKDeteGg?d4B}FMek}TQ!m1WXm(Du8KAf3+c zSSe!X=@qJ&KXv!)Du$&QBY!u|?qanasxxHzNqW7k3Y>2A7mP|$7jD3P6We2lc51+eXLQ&hmw!)g>t zhY5LHT>zU3s}fPspX*xq2Ihcr{7MTj*rZL&?ikcif}SvYb9*w_g*!6FRS_z;=?bws zT6z}oe_vf7sAT4zszU3X3OKu3BMIfn7S(&=;&QQsXXxOjQSVR&myD{C3~{rMUQ zRr%{6M2gLdVHT^G=NmfXff<*K0)C%FiweVy1G%2?Ek0#Tp9TFzj=}uSSBYRb#c1^tO)MmC%f{Zp;&)SNOaLVHi$WuEAZv6pME0#VoZZ*D5EN42}RixZVT2ww^ z17DjFZyn3{X53ZMh3|*^msZw^oGC~Fjjl$^jVV!YAolV$CW~QTIiI`00xLI#0OQVEp7^FRz>Es+Ou}MpSR$z4{9Bj74hvT)iKp{z@GNX7RkjVbng7G--UEffd?q$3b+9Y!(lh z){O?&GutHsI_s{Gnc=oDj2LhblqvP3mvVQwjb!Y%Z}Ve33E;)MRjyBLCyiI_x1h}p z>IlA_4)DBx2)N~YUU8dya{X?%-!_2sMsoV$>fqt@`GXhQPmYv(4vTV_qYkq_ODoSh z&hxm8IWfE+>SgsC578-YUv<+2tFpGg7$;H}Ig2uJ#Mm|21?HzIFK89~Pt6S|fm0w&W zK1j|MH>;5>_%g3%PW)2NHJzF^F`Y622-H4LMC!I=8NsC>X?!We|ELIGaCa9wB|Ti117qd5#ms;KOBUAWWa3 z_&weJC?WkU%IdrONqXV+dxnPM>h>X$y5AGw^9v{a?-Dp*g^EWBz=~-a6CpX)C-HG+ znMTd^2sh<=Ei1pg>#_uR8EG#XKMWcBL2N%+R9)mxXXU?w09|jSR#iRpta;kvQg;VLKF|fx6a7l4spSB&tx%+(mlw8ADje;X-lBvA^{L0N7067x++iv+Q{BB&8 z-6N*39Yz2i4~%^~Zb`&X$Ke-WLWgE%Hpn3T3Nyfe74t&l2Q#e!5>Fgz<5TT&@Gg&T z4g7H0EF5H3Jh*B7Bkn6>!Q1Owq7$a>OFy4J;ph%i%H(#_4shhBLCdz}iO8%$%MlJt zQ-j(Mml(kMJF0WE%(xrLwHVXCZ-{)8cOm&nE1Dc0tC zzhr`_S!T9MMRMOeD}Us3lj+67QBmSKAD!vJJeq?W(};kv(Ie4M_rLuEf;&@t$S+me zG7bv_uFu3yyhfg#y?Hb%pIN7}FEnaD+vkUpkzj~rp>X>{c~kDU$}axUv7^I2LAx#` zw)SitA$i3NQRBCsF@0ZR`Vfi)y?R)uTZTg{lJ%I^()+@23QGe@Yy-QKiq(p-4~tKUxEaHqoWAHDCTUo@nr#+(9Y4W^ zd{0ni%!=8OYVX(ZrOLUfVf>MzEcAEPNH%h5m=wq}U}JV>U?l9ExsVd`nVr^9i+5?6 zkppH?@z3Xg&j5nwOb6)m5Cq8P@lHBtIB5|`78HkFUkoUi#wSH-1VdBrrwyF;4&Z#v zmUZ8d1Plne=NMrX!ezF`xCb@hsiN?oPj!TWULpr}?Ca4LJ=$w7q%W$@u9IT>W8<+v zkrqX?01@ts(SY6v`2nu^T{RKB?X%DLg{dxKTlVomdPX-22gpG0ZQ6TiSb6pDvOBJ; zEH0!mC)!>`ZQD#GOz!@)#z?RAUPhqHqRu3NXrtEz`@d=WTz zZG8JThHvAZ&Le5eyx^%-q-BWu{PyT*So965TwOdS+s z?a(qKuJ83m4wi{YcGT&ZLXmF(XGckX=BSB7WDPqH0>$I(QS*!Cpo^tPLGMkwK8A#f zRaN~y_Iro}kG_W8AQ-9hv3 z+6e%dkTzBIj16$Ke41BXf&FfeUh+?LT{ZvJS-~&JA}zyuoS->+m*XXtCnw(0n^A^K z0_YC~Be>6TgFF?92SKul7ToSl;oPCPn>@ojVck{zUS;4>`k%lYopNT<=h?&a9x!4X z+UT>aR?D*u+Id@Y&rcLXu z6;FWzoyaq!g7ZAk7zVN0O)5=h?P^m8$#>u31CuQO0uge%%tAhR^l5y4&fuh^h`5P) zF%QBdrDj8ED1!H^esVk0Zc;`Wdy?s{(`TG{7o7VJZV$h;TvYsI_1-E5|;+|D)*SQ&o;6|Le< z^z8a2vM~TG2OyYZmYGs^(%Vee9`p zI-8m7Tia&kh6w*L{7+SZ4i$J(%n0_9#H0Aeycz|YYr;Ptc9vOa%}KhW-oqE%XKi

=vb&({S2Hsp5EdVTjV|)N>;v50x8VlnyH4>h&+nUVy;>_@6vpTX z7f5e=I8+IDiKb4ozbX$9=n?yVVeCJ`z883Vlzki1al`eadDFY!=iy-KoA3^yxP85y z>=LROnHd#{NWhQ5SB`Y%#Gz*n4g1k*^3b#i7ftUNR!&$Vdm>8yoW;Pa^T35#g+*If z;r@zDEHvguRnu(dBzwM!a{|d)6I!g6!cx}<5iHp(@sHt}Uj$p*5K$b} zO+zbrLU*~;tC%ALU^4Twt;I~ph-7RqfZ0ND)PX-6 z{@!*KCbR&c-Kf*P}Qg;+|f2{HyV|LJ!{BPZiV;a(qqCKByb}g~wNlB%e-N>6VR5 zRB2aq-lPw{QTZi=%!eeT@HWRZoFX!u3#^Oh>}OT}>dCI94KNySvkHNk%4`VvMi=Nt zW_Kt)Iizzv*sN#(9;TcYHR!!br>xni?TpV4koBW;r&m)$_T{hSqJev(odL{P@sNy} z8(~_MIIsaCfom$sV*5E8v{G%+z*bT%8tA3vuLg;M`!RGzB=^Tjt&hbf4K)OU#*CU0 zBDXVULNSX*-+@AiGLic0tc$IYJ-r*n z8x8L>k-I2LKRep^PJfQD^_2VkCgS9WdxwsBRPyI-Iqe1!99DZTBA#3^bZ zOs>^JTS^hVQjKjc(duL7JVyj4ydOt*eZ4Fn%re<{eD0HS0DX5IljLrU(>8L{j^-){PGt2$4n&?Y^_i=tgt15GyQjO-W`0$EzL1ZE zX4Fy(87(L!7IJZf_11fOz`anYy8iJ(`iI*E2>d?wVCSjfc>TgF=3;Da8)a@Oabxpsk6!Hw7a#l z`s==#cX&l@4cxusHIHuZJTr30ms`G`7)jo#JRFPOUm4%`PcsrtOVK)8L*J0nUVN;s z`Ib!ssxsVHe0=?rV#8!mHcfUdBWW5>OqVPY7Bn&JtTXzXSn!#6-pD3CA#$gvU}r#U zXb~T<{3NxoyJVM20kdwWAOaW-3KUz{g4==A2{iUx$a`a7z{q}|@ho?tyH+_M%xv)( z0vh{C@=7^Wbpjd)pl6Y1YZr{K!&JCexY>a?z;Hx~?B)ZufjOo>9d61_J;O2wOv8X* zWV-TQE{}%~h8TnixV3maKzt_!rJ*hkP0(pfA)?P<_f8V&+~0KC4?clVtIQr7`@Xqz zUX{cwuTx}6yNw)re70_b$33ZhTn7%Txl%k6)W_7-4Kc5C1FZ6Prt%>V;P zmk2{Sq>6N>bPPj>NQzP_-5rwBDP2lQ4J9evf`GJi!?*B$_WkU8zt7&^_k8bhoXoY> zy3TW56W0tYe(S&Pt{}85jrwUrxKaJC=?BOH$*K8soC{C`iiErm7BgdjYb-sl@ z*&?J_LxCGGDD&(TTB|Mej#dLqSTIg-GZ!8TiJz|#j31xaAXqJQBgO9o?_4u}$r5th zuskcObOZcQJ(K&Qf7RSk_;m=!I6t}BB)3EO?zqS9%LmcKO$IG7t0>VBJrz{c;gp6k z@lY6vKny+EyU%h=ky7(KBd0tTdTv!Ee5GWQ!jFn^%CnUENHJ8-o2?D=0-glz%UsW$ zns5DZc#dtgG@}UF`{RcSN-x7b$`6dXNW$Hpd;wqk8cAZ;OE!Um-UbiP93DNaxlx53 zSJy1h%dpF%tTeQpD^(RmF_-J>T4m%kKJ~|9HN!Qo(9ammm3SJK8%~O5o$M*uZ3Wk# zssPx@(4nd*%l+^fxZy8We;@Nz^O(x|$cTJZ!Z|ZQKcC3w0C#YP`=yz~6 zXcZ14$8k7vofLvAd{_aqY=G$LAHlD`<1V!v2>a%8aw`ji$O+gA8`gR&L z-f7?S6bh%3MGs7Dubqe#Gx3H}U+AlRnf2L|uNo4X^>%D?y1;x@9{j|aJw+E>FvOin zNK$RAmoS}M+GpP_BSleE1$&ZAVJN-%Syi;aOLfqUTTcIg<@1&(YxO=9L>vmYHB$YM$ z2*^)^n6tn?tle94h5ATAj)2}((9mlye+@bj!R5^##4E^ro@@*eIbl-$FT{k6<%TsL%Dzu}^*m@F$M`!o-! z+^Hg>o2$aoNQkR;=`=f>itk0oqSj#%tH}Wua!~kuVkDuD)H?qtvsK7A9MUXyd${E2ZH?pO zl=ppkTa^2W9{Pwl#D*oQg?w^j7-O6Sd(yr5P8mB<)&84u8I)|Qd2O&`0RNV>Z;88L ziVpaKhkUy3pb385KN~?##8v1Xpc{BFj%b-B`_mhvk^NgONr z@KH?DK0EW)CI5o_Oe5O42P<~))n$Rb*xU&*>(*sJe4S^=2D1G#B~0+743Bu_Jy=vX?pf^dDx6$d3@>p43Ys-BhEt*^*=FUdumbZNnMe3yLn`X zmn4szZ?i!T^gzA zv}4~;_yuqHps-4$b2!5V3{T~e{qv==SQe1ip6e>2U_WhG4H>?LgPG(o6HAm{oLsm%UFCDuTciCxYNs=O5TYi1_QKCx23>{QMhO_8$?@-?HTA=ebhvLxK+XC zGd+6P!KN4=pc*73^=r&fE#REL9%Db;{W?8OwBANGoCw)YR! z(jOeEMY12N5yZK&O2@fQ5EN9XN>@#NYj!TBi#4()Rd`LCeU-z{W-{s$X1Bgu`{1ww zP`lm2>^HRouN*&&4#c`@L(T6^*efDfy^PC=P_I9;C5SVkp*CH1A2-00~dkT{6wUQg=r$l8jRKp_)qtW#gu+a5~FTmo9<7I zR5qV-Z1`U)X0RVtv~OPwgKIV8Cq&SKi_!BMJqErdZTw~1<)qI|Tt_Y*UGnhp=zBE1 z{V~met#5r!eL0$H@T#Kn=DGQr=t0KE#E8P%+Oj2KRk0taZN#4wE*;n^EDf_;j}XP}fXIE;;{$b{`BO)1Cf7E7Rto8hDa^}aF0_0HXG=JjihBxo^YTy(!CE(?5tjbY!@kDUv2 zkzI^t@mCfHm;VEJ{yr={2^swLy#Mf%i{e0)8aEj0Yr=$Y6s|tPz$&3@YC4>0b4fK$RLaYn%Yo z4~;o3xT*q>=)VuA)!-UU*=4AC$)XHL>vyO>w7yM`ejViQbS8H19%y&TC^6q_JN|ec>wJZyzYe}{(DA_G z0~iH+*=PTb>o)juyQkh`V*Xup2utb4cZo}cM_blcvCH0pXa^s~@}c@qYi0)}ZdTxJ zv)iB_XPDo`c3qD!WNAJ*ok6MPi38-~d&uk14mT#pA!t(k zpKvW~)UUNppqmLzyjTtS3A3|0Cu}V+CKFj=U)^6m*(V(MNHxu8>#L8BDTZw$jjtNj zNZAwvAICDS_ym9I~W;sbjE-hb9Ix6Dm^FS7RU72XG%Zyc@S#^$;zjzOs&#> zEy03*y>+@_`hQ^Q?Zto4=)Cd!NY@VJ1eD~^TL(D_az1KG9@XYyDr!CV$bri7e$xdKL8$XUVjL)3y&z!kD1o=G#$r_Vk zjmg2Y3Zb(KXga2LTS1kplr2bI1#VADAO9@`_(%Wg{12DkUd-wyD~3#E2d`-|<$d1H z&P>o}%KrHB89aWy_;T_Ld0yJ4&*97SfiwC#z|pHPor}QTQ0y56e${#3DBj~5spOZu zmIeJAn*Mnxq51)m;#Cw9lweWdrttMr0_sq3;9&?3Y zx4T0Gx(3U71Zf6s?z0c2mN^GkV+-dTCD+x*P~|LdD+`;Yx^_4}$#NAH=M*?9&XF*U zXesv@WkfuKR$!_${!kE|k``%C{vo^>Z7{E3R1<}ml7_q_@DmL9t}y?C2|gta+obmu z3}{JieZgc`69wI*$9+lA64?4(AvF4*3W3Y~-vYiXr2h|C49*c*4c{MS$2{02y5?<6=I~IilU^7x)auk z8`kODZtT}?+=40t_8mSB>{tByuXt-0IcFC+J_v)L5IO>I>wH3tg1-YnRN&u3e#IC5 z>HH6uKkM$LQfk=cvh_}%do_*iT9rcw(@t%kgZ+`|@d$V6aQ;Ib(G`C^4y?6lzO~6{ z-q3E|5N=n3uq%m2Xqubw*E#D~9`T9lyH-J~awr=f7R$H>>k?>)4`UNF?zQ>2*&Qcw zJlHy(-;3mUfF>nvCna470th-_(gCF2b~HbKG;ic)XXj=IZ>T{u)Ibe%VFtRvaqOWS zV1WooaXbk99SA~p{uToKng4YDhs&RJJA`V_!JH1FdX=$Ut+#Z|8Kj_vZvcdT-;`Z5 zsnQT`)dcADAT1mcGj`3d+9e39CIGAhRGkF4^lF#HR~iC_{noSBD8=`gP%nfO=+wT6 zIREjwq>l9VuI%rZorKVogB2>k3K?;qG2uQVyj=h+z$@m8Qdj#2rGCGL3$=cs&;%CB zml){10H|7FHlWe(o62h?@tVM`x&Wpgq@_w?Ca?81p$uWw1;}-Ptp{oUQ_*#+uDp69 z#Xpqt!){dhVTS&yUh9;BWqX9S3>_lm+yYwET9Em`zH<%(dlA3-BHj`q=Y){sg=GuC zvdM8(DREWr_~qgH<@qM7`L%!r3?S74QdfcBIo0j{76SZ<|8)L`%b#_RcNZ;XHi}mL zaqqCm>f`It%4hD83S81*9f5oOk-z-!quz_T|1O^)v!GnEod-)ck}RI9i#Ks?;Dq~xJu=c{7w z;R$p~lo;38A7q=wcTDeg>gTtZ&y8}lA|;8(2F|KlDp)x`j?4O0Hsux?taE<(Og1-2 zRSLu}9sT17swAPOp_tlTBh4KADs-VkBJB|qt}vFm)`*1fQa!+4WG=nPG)1U5AXK}lp(O&wa+QhzsjI*jnc0620seu1I{(Avx0i$< zL%D`2X_|QAODq)}yE5*?&R**mjY`Op+5zUvNY3@q!Jk_Wp$AmUxg2((rU*#~gd}&; zf+M2c0@1$mBJ>-u@30(T4uSPLy0x2Q96mJ5E;I{k^u_KTy;hBfxLc`Vadih4bq6ah z8{dF!Pvihws8j^jZk39*N(~(q2^kfkEOsX^b{Eh;<+(U=tgE71{AYI%vGeyJ5ZCp8 zhU%YYu75Ah@MzAjcr+j}p$0}Z=ss&?`G5JmpQ+A*EJ+ah&8rhCYXI!&ZP^HDWmIr0 zLJv}$m$06)&x!D(atD5z^Q`WMe&bIQ`Tv2br|8`Qn7U^Irl$b@#Snf2jITNFspOHF zpS-uhSm-asR_|6klHsU?gQ1r<>vFTJPPsvVM6Te>!;md{X&67DKc=_kDc zsDjFzXD@88hfl9Dy{m9>GYr@TfE zc4m}(Bk>+ShL5l#?i*_v>#uh9DR6K8QWV;eV?_9t@-5-!1(+{PqRdv^;7qpkTTv5~ z%PDVhOrXsEHjWhN!Tq+f&Y5iLx8mp=ukdI2m18gNHw))@si@!x;ih;_i39IbCGX>d zy#l8v{JAB+wo9F!yxQVjxZJutR=m8pJb!JW)FuWp| z)k13c3pF##-f06Wm@4r=1+xlM9H?LlR|omo|7?58pPq3`lSFP~VE%2%gBUN%yAksK zpOyh*m8D8H2>yd%^ITyzl76MryTa(J*wm_cq9$r?4hlQ8#%*cNE?&gye^E9g5p2*Lc%$@{hPXgh`!R5#CO}gcmbn9vF z^@wt5L&xtoR)H@57ego#A`?Z8C-KLV9TgpwB2T20?D~_o=EtlEBBnpuv=mh}KNG!q z(38UgTP5b(PiRCScpm)x$O>AiKTTfzWT+UEw&3I;el3z`^Wsl#-POOUL_UyLT^lpf z2u6kuCjxo|5F6|)=u;KBs>jfYm@J~PQp069Lkuc^aFOyR_(Fn#4X}Km>^x=s#|2W-60uNprvN= zRiZx!y!U8vWGye$K(u7koCU01u4<#%;jB7)pWe6y4=LuJ-6UwNE(qJDybdA5UR!*< zT%e$75mBn&`Mi#hC|8IRSvx}skQ=Qn1wTz6;Sc`s;WoP9%nz1ysD4^sZXYN29?rKh zKmd~@Y)dhA=o=5UOZ$gbo|Q=LlS>w3?S>agn)6HO(ii3})xiO%BI! zmqmTjX(kGMiMXq_|yh8hD#cJNIX3kPxSGRk9c)-*P2{vfAFP-140`5r>3%`HqgGb%9OIwZ8i)cvZ$AX7Uf$|qyiL&q8NezrzE-wl zK>V@-&zvxkv!8h_xkL}Qi8A>^G3rH7@ON^ms?%%ZYcJ2v{pU`msYE_7lD^Am9-Af) z;h^nDm4U4eMx%z|V0p{=V$fZt`lA;ft8IRV1oju0#f90sc^cnv#tSSE_Ni=UGX6?X zA)zCtYX0i&TMGAU`o{ES7YT7TuclVdy=y)xyxy%5axYiV zX3F#(SeWoFZBSU{SQvPf)qEfjkV%B$)U;ivf^;1gWzq)2sf|=^7HSl3sQ&?3j~}K@ z;+5#t`Qm4!WLapHC+@RRIk|bvp(jf>Iw-QJJ=5KPLD%p@JHd{6 z{Ee$0G|=l=s6pk#K{GttD2wGWRF0@_@M<2wP3?9Bdm8m~Z?>{S4U5Jk0Bi{}N<%I0 zX`87bncPaqKm-)GDwp#G@jl_LJFyflTLMNGOYdu5-8FPbD)r7fb;G{#@J)3#5youu zsRs6BZJ%SDEbgs;#>cFcd zpS2_(H2w~Dl`TVVma;a#$ZRxG_nCTi94+Ws+%Q1B6Di1nP6n`gklixi)!S-zMRBUW zdv|&CInf*-Hjh7UAbi=HWTdetZAa3Fwrb-JSF@tyG$rorHPaKrXp9>}h^Dc~L2JC`Yi>j*+!BF}s?13j zYIUG%a(@Hs+IG5n*EAmDx7(1sNZg$a#hGc-+SC`=bPM}>9+cc)5`>%~B1sbnV4eyd)v(Z()b+IHVcn2;PpOc6C#M%Tr`K22%um&$tQ=ySjA%HMq*X0y&$5_&z+O`+)79V3uW^OgU`HhZ=(SdiwkjShWv?AV)y$QM} z^6j%Xr{j;Nor6Nv6)73y7msrJEH4sLt6shs+}Djzm#RF+4*?<8A6X&Vt@r@m(NFTT zZL?m(gu69Up<|^AQrxexnFX&~ExWTp?n}-EgH7NT3$faMoA^hpHZ8(HVC9TNz|7>C zP34(;6O_LGwxI;2B;K@mUK&ePq_KvpF_DDxEjCHCTkQ!$nv7WPU=HT6>s^xzWdmBZ zPP}|$Om!}AR(@|*GSQeM2mhPPc;&(Z0rw#93{QSEjk+qfC3DnEq+;7jXQfwXM!r`G zV8j7jLIZyl6ArE6999t*3xrYDo=+)q{3S_y8V+KQ-#uxrWY)SiCydbi;MDdo+WuW^ zd`vLn8eUemgTuR(=iAOv3Wg+OKN4|Av39NlR=solhb&;#`I~4q{$ka={}ZeJS{dEQ zTw1rVda=1icA`s?>Zx2sNa5LzQE*M{bE}-BRS6KemF;n?z-A)?AkZr(g4rL>#uzFG z)c|VofLE2F6ijFj$*H!@a63yU*EbNay@iLTKlIWdpHbK_kj`)^jRiyoLx?CC?#eP9 zWZu&UFZn!Ww+0MK8}P?VS1}FcfgKH1-n^C$eiFnYy1@XdgN6`o6%6>lA(6@mb_&78 z0jo8rTvJ{tyW2gOAIml^*ufT2U4> z;K0PuFQQ8igVWlqw z{9)gy@ke+cMI=1;jhy5s$;p%C4^QYg8=*lh2+LEchH~j^kXLazc0pyNA2}wf*wjrs zGSq}A>voKhCX>Jc8oyEeb(Z`5{y91Txut`1mSz8wL*DpxrwUqDw0cN1a$~slmku-W z#6Vcg6&i2w$>aNuXYiBR?wW=^cHH31Dk>shc9MWo9q)az#CED(XwbMTn2d*qPNi5j z$O4T^NqBJeiz0lT;W_A zpkTq<-T(R3wgo{r$gt|tnECyTTvPb@ojp(WyGQ5#k3CtxKK4md^XBgxdv2o}**=1C zy}l^!?t}c%8*2+BlDsE38&gXksC&g;yIJV1je?kp3L6dXniw&QZ`>)?=qb$S`HX59 z5;n+wRR>C_?rJ0Yg--)Ot0|^UG3+MO=GA&-dM2B3pSu6Y_Lyz z0kM8J;}%+}%kmp%_BXOwhbugONu2SQ8DZ0w7{$2a0{)WE!+)aVb$KOzGS_r)7DKtu zhc73mhJ%}qZOgvedz>xTUh5khD)^(mn)=tM=dOl9w_K(xN=BJnGvr-<3?_alhe*;1wq(;?sbCQm$)xK9pA9>Kl^3S zzF+)pI!Y07+TVLv?Qv;ya>}ta>HX@|#pZ^OLvY^=EB2w@0k7%9>#-EQS~iA#QE8AQ zX>Z+Gi*AZpEEsuhW^d*Dn^%hf6nI{wm;+YiR9*3h!e0Lvm28!nIpa<3>tXru zpS)jbL*8N-hMEKJ(=_Ue9kTiOGziS5n;Qus#=3EDJWSm2tBPgfPdyQrjVC7i3jxDZ zt+)k9kzK<#SKtKq#2Yg}Cuk=o3i3)J`(4Z4tJ-GHVouxvoraYem?8|UIYovF;4Y8- z;B04~ZD!WqC@)_*#?yBwwa=>4I=*~&?3oZ0Es5{X&Yg=3CyVV5Rn z+l`P%7jf;1mx~T>55IYidz^8sf%4<+#;>6KQB~R*ilXbU1I=;ioz5^DDG%$XJ1B}s z>dEl4G2b8UeDsg1exFjb{>gImXv=d}+8V}!(_WCue2&w8aAfOjD0r57)^haC6Y6nt zH;nqC<@>tl&fQ7HOXT^=qx+A}{f=`kf91qRd0O%x|8<~yR0%uITkKF|m-MjY-%;(3 zNYxHK8?yhA6MJ>)Rf~Nr2lXjgnypUvR@^x5_PQ1JtMZ?hai>t0{jO7c(vUkWu+g-V z#{*;GDUJTdC$mb1aS;y&z8&4nKesBZ9wxkf3najL3Lgj0yuGMs50A$yym~ocdq{50 z_EGKB#_b1`#6@LMeZ*-=x%+f`+cPSJosyg?#}6*|E20LeE4|H1ct)~b8(I3^j^wF2 zjrmSi7;fxg73S=G664gU(mRSR&_bsKFhTZ^;@Qp$aQAd6OEzvAYlk@HIXd3itfeG> znwLD0Upk%sSc@xUW2RegDk$RPt+%vD@=0^-B_WEH&OfprAg-ZnFL}9Ll(u_?bAz>{A0P> z>7L*1KbL4d4#Pac1Z(f7nR2YbknWNA1{`CXEq%+#S~45P(uFUf;(RgX^kJ*`DW!Rc zlU|z#vA;wD+#DXgRc}I{7?xUoe3a6Y6K5?#o){L|BZ=DWEIl^17UAbh4enuTUy3R{ z7UO#)VP0J$;eXNw6|47;h=*6Wg7xnkR2xC?ic@zs6_okFDR~5#p@Whk$L5!K{SEs9 zBJh2+nS0m$n0e=KfaR}nFKuJUlF^xyba~}~g2R3H=b`m4GvFPv4)@>l$0qT|euWX5 zg$BGw&3}c%9psYu8BIg6dP^xLqI=p&Mau(s`Xqq9=Pg=X+0l6LB#?e_U26#RE?@xRaQ zO~iq=Hr>-1C?4#)t-D<|f5M}OlCuSuL|w8DJc;}X$@~dDF!o*;yJ;wiSttp&WDv0T z8DK(y;ir><-H3Zz;VJgUEj$c9)$l}a=SjJ=N}79y-0w|=z=F_IR&N{Xo37l4vtI+GgA(_pieTOoOozzL%)yU~1rse1F;P4>kCfeeR9B+}Q#>z273uhNX_ zl(@58Alrr#p>yBZ=K@*yb?z$V z=zGDLFNzaOu0%4Xe~V-|;$w_R3YbC83>*$VCycLI_UdbJyXy6TlxcARKS-@RMf_bN zBbSH+(-lf_GE&svO^D&_L@J5Ac|Uu?A=W1?y5)Yp5s5lHC_i4@DPElSYr4SKbaGQ= zN>k-K<+-@!xxQLzzg>orB*UCRmVFmWQPmq?t_B-#W^~8fDmLz2gw%PSCLMZi1;oB+ z_;H@NebEEVW@ERHC*VKOQrw9)1f;bOOaV3-ZO%^qdeBZ!ffV zEEe6r#Sf`@Pkgj;)KBOJrr1}G7936&EI#EdOe!KiQa2ts&bL}X`&L?EU~Jz`U>iq! z{bGg34)3*y`_xLSYJYQJN$+YQ%d?qaj^}ehIp=d7oW9DW=Ma>+DsvlDLhoutA6vWG zldZTr?pq<=3LFe>57JoNHf^>AwJ>o9nxz&$+~5b(-t|S1*p!>_k#7mTmf+{%=4}ys zdh3Ld7$JffmKz6SSlW&^JD}1m?N#6>A(vh1%V!-bEH<|>S!l&k7ydm4lf^y;lltSa z`Oz@U;yESfRVizCa#A@;WzD<7?&J%J!Q^Y&8_yeGtdbzvhS^^PVNa6iF>m{nChKZ2 z`}o2muXh9P-@o`V&``D#&?<>kRjA3yXxXWB1xmB9DadHSSxnkMiZQDY0aBUK zCQMoP6Qj%4K`KfmHaWV?TBWN@nx&gH>%Jh1$@o7UxNIG-NDsz{Z zDY-b_v0)yhqIxxw92<-Vj{`vpxY~gfa8>vnw0P@*0dsP(+k@ody0~7_Z?fEc@5vIA z$P&K>%l8J$o1z)b(2U%uC}8hPJAr*2`|)+m*wotI)S8Q%Pk@^*fs7)VjG`wvB-H)A zaqB!i9Z6KMW|DEMQ6zp4NXc;-*@2X_#C-)WBY3BO8%Qydl_EguPgk}7VD9)x?tJ~c|-5gg!PP@YG?OtGgU~KV#?yxx{Op zymZjIkVo)YLP(5hyG(iM;JT&--?IctHn9J5IXJCp;atc=!A9IJ)25k+c*csBVUaH> zoRzY8*GB)2BnneINvuDe;7O45O?F273Piw%t70bnig>a9`M(^DfCF%qqcykt%Qg3Z za8RQ)hySi2wwU0_-964_KiF3?j!S}BXHJ8qw<)8Wm&%5LDaq9I37h1uGJ38zR4lm6 z*I9-S?-9zffTN@y82Xl7Eu*Hnolz>gx^w9R3r0(=I&+eHks#;I*9S*U?uzJ(NMpmb1@Gem3<>TPn1%w?;E2wG;5sxbJYo=Ec3j$9f1&J3F+U#=CCSAf)sTc1 zT+{VofqWHl|8(j!w>f&8)>Ax*IXiV`$w7wXl8A@V$)Pc$P~M$Tq{t@j0o6L-HHl0jDK;DCWgqb>=SmJVG3-DWsGsq<8up%-(;0 zH2~~a-OqnLcf-aqc-?Y&g(Y|$d+Z*nLGPR^`WD3GyF=P~Pt@pcmJ*3PbC3da5M#7B zQ?xi?S2{2(lL27fWk0>k%tf^vMYVX+?hB;dPf#LBQX-LK#!+C#VT?{2N(j`kWETf3 zs0_jLB?BWfrq1iqzL;{se*_F*dr6ZdwW+;nixz&R4pk6m%3W8Ta-HD6W|@jPt2%(? zJn*9L=gnUBVOXLqddc>1_2!<<+v*JGj;5+FJ~z#psK3&3Af7O+e<)iw4+}>>CcGvZ z*4$s!pT&hiC&t$Vorqg-X>qROYy2LEHR#CqVA}y}aEtwJL!KkI>MPa$ygEmrZcRn^ z&@3j-1ygQR{5^RUZ{)j*p87bcPlv)}+S38IAgZZO7w4c|s&WTN)2#3fg&6j2AoIQ; zS5Dg6qzOm;aPPN4)fzK_#$M*e(MHwYTf566-6>CwZ=93{?dOYD?*f$OScsk5&dEY> zHLY17^CB|f;o|Lf4BWr@cE2rP%Dx7uMn8BR6);Q(Vt9$ zRj^&HQ+(N3AvGPF$4+5vDjv)52XB-c(woDDl^~3eg+I^^Xii+bhxZ`!U*6&Xsok!$ zGrzR6+w9bsUNh8R!vDC&4r{Hzyb(gxX6YC;@n-xD?a$3` zd|V9{M3>8U5U=roKx z90WNNqf4W;=)?V>#W&J2$VnDe@NZv%?12Sr&8Y7@tIBx2`EKTj%C8p+9Cyoqyj>k4 ze@gmJFgf`9&?VRK*_Ya(WxpboS=IIWf+3a$uC{}$F&j(gz_&vQ3O(~d{Co!YVJma!Ctl1cknDP zdG~3I^Yh!=`dP`*`u2D|2>&I7zbQ=22`0vin=XKxPJUaN^0sn_S8k|RF8YaD`xCW= zd-=fZ@P-lo`Ty2&J!Li<7R>Su$%jmI9K>XWz{Dz#@6lIU#>Gz&a8M}jAGCJy<@;b z*PEp3?cmkPVGez6p3_0R!}iMhL))wQ9@>Oy(2Q`U99Y2KI54r3b+Hp`T6bq!cfN=j zOOXPp)MTkt`OH3r%)ZwSQPxX5d=6nZC0?V!98<`ii~K#$&4e$B4AZKwI!jF!#>#-% zSLT-U!l7cXU7L~pz-Bg&^iQ0Blu zlgNfO{wXrNC&qhSSGr?KM${Rc2IYd_^q=L0|Jnblp3jlt$)FxkL2rz=^?&yNd;JDA zk>T4iq8C#!-VY7*r1u#{+0jlx3!mF+Hh)^dBPpm@cN#ae=pF9R4>ViPd$o;mLx!)DVL z&8D14ZUmA$zJx5PgiLM>qA&(ww8kLh^TPhOj*hJQe4_$o4j<_fttiJojYRZRhFTXn z89RNX69na;AQ_bFu66YOS-z|-`G5GYgVRgelFlFu)boEAX7Fj`$*mrG_f73~*R?ZS zDvjhgyhsl>sod<5x{_Q71?UK43JJoZF zC!V!uv+nVq+}7-sn9as-emV=c1YgcEGlD6$r zcAQGYzZB<>w*tvW-;a+d%$L7qx#W zQpnGXS5vhEE}02k)p^JU za+0GYd?nOqkWxHLu&a6{^xaD6&L>C7R~*yIJF;GpJs*tClmf}3tuYu1o^*bRN7r$) zyqwRR3XuLp$h4>+*OiQ5d1<7w*$VPe_Dl+0$??&Gb|4R2K%Q|?At_oA{#OVnV*Qt* zf3D@nWVB#YwBWIBS8`pPJ=0=VCxfYd0QaY|_qs-mak-rg=!N$c9Cm{Zt(ootQ3ni+ z8?s3i9B>PRd61VrC1Bc+m79n|SQr@n=_2zlMZg6_DNMx0|BgxrUjJP5|E=O5J{25I z6&%O96Qi}0P5VI2DEL$=^b~JFB+`yr`cs(kGd#w9siYw~c%I~r=xT)FYJ}T^{hvL9 zGK2amuX$v`LIZO*NZyHJj$TFFA_@nMdmxVprZ1LF`mGTQd#)qu$Xl-g+vB?VI~AMM*>uI16+h zxJWnQG5vNyut1GK6v%@p1#I8=|BH|gHWuifc3j7@3g?VY*|Mz)r^H7oHvy~X#fB#G z=zF)kQuK`=4U0lAZ><&VB{gcqNWUfsgy$-;gdp-vNQfg;J zIrBO3fvHBoA^)Epl(ro#isL6%?m30s%uWSciG5`A2$T*WU}BJwlZi&KC>yDyw}N~W z0fT}}bbK_k9mr>j1%NywgG^F1GyJ!USk~W*=7Gy!wSXvP7UjPc{o9H=M_H8d?;bH` zbf%(swvvCv#6`;Bs9o#3P5B8v$RN<O%7E>an-D;T@2k?m z4t%znxYad#?^1 zlcy^dhf04}?eKmQ)FV<*$NAGegDC;a3u)bSl1WmbXvETNXsQ|%{5I~x3^xXqA5RlN zb)Q|yN_$_)N}FHFN@w!;2nVxAJQF?@4SNb5ADuxcLp2p{b>(qJ+t2IqZWkrEXsFi} zDAW}&&ZslZs1t7G0}FUL46L=*a$Zk;yGY>5OLD>(3^Pdh!pqop&mM-BRTdb0{wA?m z;oh6v5U1+yv7r!Gz#>PeGr&_yrfQDp2zK((m+V%&i9v?v2rr5I$mvLhn`dEqy5a~M zzzQ{YJ9kW)z*4Y*oUR}`2z7Uk+Hw}G6$w2R+wHu7qSZI7>;3aGtu`kfH|*11R_<^&6@1{Zjy)gkGdbDMZG~0#zttSx?0upK%+l6_Igj zh_H(mqpg;3AZXL+aQrcIVtq8W%RYojmU#E04-k2=O8-i-W>*M*rfIufXPxn5`7lnM z1#+rBf1K!Ab!>r=cP=h4Tjm8sB2q8=JWs+dxBD)4JU#1Q>YQwf3yeyoE^nVvZ%d%5 zjDDUnD6XY^7D%Ppo{c#XtCHY#c3;a2RonD@cv#zfEY@Xbv2J%sc{0l!p`*zpwzwm? zHY-O4M(vPh_uGJ$m9?|GyA+T`_?#>pp}XZS07m^AoHvWQ)R)iK00g79TCV{J==hX; zlHvI;C5N1iM7{}+KXUtOXO+@ehv8Pmtz|I`t%$yWkXAWqzoSY{zn><;{(yxHkTz!w zh<9KjKK7KO3Ey}<($eY&@+R1j0`>%5B7XI7n5&FdB1G^7+k~V;Xc1gL!@@QT9<#!x zHCR}{+IP8y>c=<1>dyXeA}g<}56;;GY{u@(;8)QR%ECuby^GFjTV#_F0j_;enZ@W$ zO|VZ4CP^;my0B(>vl8JIHOu3FwaB#h+g=Jh`t{D52^igw2Lo?A`Zf2%+0AGW6VoeE zL!ysaXFTlp*@_JAI4C3aSlgcu^t%F3IgjFJJphWAD#7})_)+YZ882ei^+=hG{uC`B z2{b1P27hGKC0Cbts#AogZCO6OyYP|Hald`j(;%d59jE{m>p(@A)m=isrH;`i_!o$6BKlnTx@gA6eGda!H=4|Zaq=q7fS=3Qd} zcUWxJ6F|q>-gH&$xPHRAksdOY(tL8#eIQIm6$w*_g5*d)B%Khr8X_e72)OfSLRU}q zuCnH6D@-H$N>53>m5{~G_7wl1rV?@yh8I4@Cj*rBxFwNeR{jFmPw#w)Mha0jj9pe;ob;_NJks~kd;Q$K)L=%-=X`(m zY*?eacsU6R)xzxj@bv6XatW3kS%~lwhx!$t5?6kIxAy7v2w5ZV~^r@Tucrm9f?t69MP7l8np(5G_J_?1T&-urAJ2dW9&xaxS8r98_8$b z+C0x-F~seYJ8{H>_R>?kW;&%nqAa*a2S6%Lc@0MlVBxq_8dx&No=(gLc^w8;l=oks zh-rRqGx(E*?b*WKWT-&OzH@0`AGPl0THF<>vhXJI@uSnxm*I#($z*ryzF#9aqV?Zb zf5R$4uUdDphx?SkhOyV8u^)b&opLh667t%8T`Lpb z|D|-_wsg;9mYYCWY}i-A^9(AyOL;?Pwdn${_SWI8 zRHoRhx}sNXCYWTvd%07{T`gPyzHJnh(CRjG$ZJKu7N|_xG!QF`+$8gwX+c;*c%IM= zeZ>rsYPx!cR5xnG0WfErcG$Sww{WfPeAJ*N2mPdOtFgq}4$|uxM7`S<<LqxW;ZC5(L{Bmw1JisTh*j#<}Ni;u5|Gvm2?mH7FG?Mj_=JUV~}(I6i-{7U=lTOql$Fesatg5!%8yPp&pb~ zj&4;7uaIND(jfrq+tCvbkcU>E5EZ1ReL{)7RGt||_k8*SWw&T6H#%gV1fc^n%I0gX zln5dujryodjoLn|&0Yx+0GYxYKz;y{sk9vUB*?ri5Qv8a68|Qisw$;3atbv=0Jx)k zd%~stVRdf=-;C#MqdvvfQ5(h9FG`B74}3FC)^%RvF1O7VD8Hfw6GC39QEbiqa}k1K z%Mf@0m-6jU(*?@m|5q>EUbJ9*$jcXeGXvIj8GlA4hrHyW*!l_b<1UimT0Lk%0g5ei z-~#f%1%!ZWJN|D%4C=S3N>|H~t*822_vYDwqJ#!~{^Nzg>8k&sRs-F<`?FA&SJQg)fCj>FNgu-3GL6T3z7*k5Y#v>PVwB545hb;jDb~_0S4UYmolwUW&if z_86xPoS&SyFEC&4FBTgEfd{xaSbe3Bbw3;wt0R5NLa{BO_fcfb|KWjEq$S;qA>2V$ zzEK$-p3fH>qB0p;sJ7_;u$!4JbaF?%)m6uCYPVgit|@RiHm*<;9$*qh%!Z)?u7ETi z`4$x7;zeAXLPD{P!KUB%2~;_z{`;o`Y`m84fB2%5jptR>q0xw0&t;6~H0S)eQ?G|u zUEjeS{H=1kR@d_oa9r^}d^PT0txF!?RpZ#IL;uY2wC?J~1RRzTaR*SQ#)Y_~#({UF z6+HJSm3JrZ?xYD+OurgSs(yhX)46x(Q$Wpi*A zjc?lh#lO0uhIYmpOH1#CZiGRs5hK2B1sF5w?N<3pZwkaC-nXU>81Z<`JOuAgfmW+T zU7P*Ex5N;apvCnRP)DrYRO9Dy|A(Rdx-1DHE>T08H^2cE^W(e0lC$N1^IJs&8pN%si_hZMyxc# z$b`ba@F7!sNQBWyR?)KdNL0$)lyg&IU&hfoEJf}1sCFE&YiIGROCm(fik7WB&M(Od- zF*hDQYRbeg^il?DcVNuT@xQU9rqW|WYZ1hi^KI1blkq>}KoV5(+wHFT?n`Qk!3LY2 zZDzW0YAN`Q#P)4fpj2bvwU9GL=Oom)6wtr%O(^n#vV_q+C~+GQ*!#x8oY&-Rb*}A( z9${Rm$EBN|F2~6)7;SxgBU7H+Of)Iwc2<17F;T9#sUGWrB30hj*UxI7qjAIiTkFM& zUp>C5bN%!g#5E+N8N&N(-6Lx7i(#&Ow2?2J7duxJKDvSKi7Y;)TTFXH$ieGX#+ZZb zzKgX~&*PQRMmHBt!J^&q=;^Q&UkBIf6SuaLq?z47pQXnH=UcLj+)-4?^7SqBE!M4G zsUK(L54=YU+hbdf&+2moqvFndWs@pR=_`{fO=~L|(O+)9o^FNm+_>|GB*L1#>#|Wk zD&?24*eczP4R434@^+&OHoIGLRX0O#M#Krl7~8w6&`weQlj*e2A*(uqy^6H7<6N(obLdeb|cNN?4Ji*m|XP@qSjD}5B7FwBmLo@Q4HZhprs)2QDeX)^FFNykpSla0Qj z3Q4RHMuyxBeRWQ~s{{9`hfl_3!jpy(>FT|kQ?l+aQRkzNE|=Ry1X{Os*uJi$b+R5f z!9e56gwZ0G*YsRkV~bZB?wV=D>RvTx&b6lU4L!gWU;ks2r-I9ro?%8CZeBWn_Wuy} z7GP06UE{EXD6)bewMxe#B`iw|5)wh#*L(G)PK!2uezcEZw4XNC`;y zfAIG_Z+-83eb;raz3(~a%*@_3b8_YxK|`tVSb{H1G8ZYC#Srx^gI{1-iF-8u%Xm@Z zeJ4^vx5(8)lv}Uv3;W$ck%aQ&eOgVQ2Th+BkDp&(Y&zTN>+HN6Q~7zy<7 zm@|Qmi3c($@B+|B-}$O@YfZ`dg|)!UlAlieYD_u74{u&(_Hl1suw2xSSG>TrS9{f% zAW7Ji=rumS$1jx|Rt+M$MkrqQ4xSb|+Ydh}#W_=c+GY*2uxK2s`;$VdpF9I&gwnOJ zN_0iukT56gF8&?!c2npl0h|yxA~v&!0B*6JzHt-Gxl($p==31Gj|N!DLiuvtH>62f zQYh?bK-AI?%k??y?$lZzRW>kbB$V;s_sm9l4r-Q@S9%-sEKkO(zrAKT%Htg7 z5cK4Ahzo6)PW+vDTvEm!a4(?S4azdof)~o^Ia@3^b+tKN>0`6*L(Yz+Y%A@YcR zSeqZeXJUDh78zTNz`XGMxu;=xaziv*HA$Ljwnb=ih5y;^+rsYgqzv^N<(@WMLcuKs z$i4!&>qWg+Z+X4M!;py^cx902`vV`ng$0vb@%%S%gT{Z z1n&qXfg^pQWV3H4=KwS9Lzd7iI@e%j-VPJD;C3aehWe z3~vQCZqHN2(=g0<=HcC*vV&W+S`dM9G2)n# zfrl5rn?7z>1KscHwCM>=4_$uw=BKWGk)#Gw^|e1D%1AUordM-3lt=t>ryxm(T4WGZ zaiR^9e?BQAM^KyDlt08lQ_qWv5$j0Patdi%gze3Yz7-?0{C-v2gLWAjd*_6~o2*ja zs%YPoFu0|%pNxDBb$0NY_Le(KO_(nPP!7GGhfOGX!}n6a*bqm8kb}p*PAmeNoS_ta zUEGbj9q5MvSedMQe)#ePdL{u`5b=vuml+RF+PCj@uidXC*6$UyPjs1a9QExk!ixuG z3~gC~tGewwB<9bcr^ju%@y3?dAXOWzOZ5E;H`h72V^Mm2|(Ao3--TxZK&% z$hVznXjab3O0589PQh}Q{C25wTspM^cL)=%_etiSzxF|tI8%C+0z@;o@a3b+7IS?b zeB0fj_j&Mjm&WjYtFJ#LOk_p2S=ccZW+4fl=&UQ>=*daOuv>_&n-b~y} z?;ANDQUy%GyIm07>?mV`hFn$5KA&J)3*K$X;Kx_k3$CE}ak4FNkpqMbvj3oxuArPj z89y=;lwq&PQ~$F30_7VFvJ{fR9RC#sYO%c{SGlqRT2Z?i`IzmMbJCT;75vD@wl&+#I?k4b~4^WKk`n7!wb9y2_KrN0+ky2b~=@Y3i?oGh}Ha|5AmO!6}S zi_OA~Uu%QDbV-TGcSH^12+QquOVD;m624!Mc z5v~{BlLm8;CEEiV@W(K{(H&9NT_8on8kPsZO{?+eEI#e1Hq30~U$f2|Xux=xqdQa) z6N|A#V-GO~1*IxiiOZ8R$cwy!jYDep;jL5i-#Z}!jD_^kB?FeWwdZipjWOfJFMAP* z>we$ftkEOJ)o3fu85s$+FA`cx)|vrfm!|j$VgOgqNPWt7Q;)=4C<0~jUYfgv=xSM< zXkS4`^s=JYcsB<__3yU^_P6b(68w|hc3$KBY-1MOtYC+-I5H=Ar=kt43~#kq6Jlqg zZ-!T*S+0KEN>B(f%?NJsS_=7%xUHuvY5Q%Or=R;rp#j2JBBR)x?j=+%t>Ss+5UnBF z?LGM@cl*NuUNq!R zA(C238kyCv6vYY@w$@=>609`?oqBF?ImIMYDJ$Dtb0ZC*p5m69G~4IX-2cdBx1yc> zyJ1ei!_4(V=Zha5DU2I0B*>2#Pdm)8(81^SiZoJs^=cC0wjFi~pI`{DYhRRmqZ1qN zCS=H+h8yGGQLrk4-4h`ENnu`7zkmCcf1WRT4Fp7OdYQc?+$H=yT-scoCiNrB%A=|p zjd|L*3;7nGDs?o7xM$=_u&89Dj_om2$Ey7QA5E?EI_@l4@O!F8Wjj9IV2mpC{^5ji zw2iE8R<6glj)f{tgaiwVnoYc~%X`Z#?&$%F76NBNM#q^ zM7$1l9HHjR&c8{RyT|oDIQcN>Ngq7FhfsJ;qDkSI-SPNsPJ%efB;MopQIQ5v^7}}H zqWW|%w8vC0`9b}*(`Mb$?b<;>JWY$QCZwOSA#k~4c190rj=$>Ci4A^ zb1+S7AhxFIVs_}6zVg0vw7k#Xs0plG-|*6-F_q4%z@*9jz;Y8uV69JAd>UmW$m95A znSMHrVz%{lx_RT0)~a54U3lrigV}nz=20FBjON}( z#J-w6hJUOXEDE6tT~$vZy8iZ+n10Xe8CC>IS5ld9!sP7bcJy2msSyuk+JfMXm}|L$ zjoxypoTF?zr?k&@bHt}DTVwtlh9{b?o`SUmW*LU*Dz)CvwF;Uh=YXD!^xkh(H=_GX zX+JgP{yg;1_s}q}2}8zwl06g$JJr2t2l;*1)<2^g+BNHzWzwH~o;F&)Gu1awI+v^e zh_{51dhAxH_1kdkw;cj9odPoRcQOGu+#CQ<8}O?(z(W6#v;HIg5=P;_yfl~W*E1q6`96jIyq}HXH_-ydTubQd&8g#zD_0t+%V_oSl7PWp9ERbar#-w`dN^%vd!WF-uf+ZB~xTv zBK&fvEX=aZC~U2PRG2O_BlSdKjrZwm+fwQWI-N?<=uz5(Q?JNWdAQg!X;(UM$A!IF zzV>BNE6$=?AbPPg{+MykuLoJwR??KbECyNP3vyXS+VLL5!4~l{pJYfdKV8NKlP{)MH`IGrz@hc&z$ocNK2&hN#*9v;cM5n;nBNX z7<>J`+E<9Rz->|v$>}U=B&#q6d;u``t`g{O8b%X=C={)%O41P(K%%gQoRLx+jP455 zejdF=b|!Mqe5;xv*~lzxy6hcV%;#kr#5cK#fTaM7o+5!qYiVPA5o>?$*#AztC=u@C zLm7skvqp5xl^&{Hc&%x4>!?u|{t(i~{nY1v_U7VqM&kR6jVBj77e`yTPrVGa+LpW4 z$i6YTE#`l45FyBhZD;S-2@aoE+K=tkmZhUHPYRqoYSa=yP5;e4X&pS{F-Ju(S@ zj_*r$`_U@xoNUr;Wt))oJ~HeIOd@2Wdw14uzuVFQCi-19N9E~e@h7ImU? zkOOz1Gm!R@;-Sdk31$JK0F)<~-Q84fM&0jux_Xxw&+^B8iHq$C9Hh;n^0IeD?_+kQsfdT?EBQcs+}!2eR}JwL8A9ikHa$1 z1_egXK3893dx-HO883C8#((@0`}D?>m)J5{vF*#LHYg&|LaNvE&&L$gujcng_r!}K zeV4cD57K_lWIuYq#&PbEG#NN2D9$+G?l>ti%p74S(6!hXyXI}x_|}Xa!~10zJ!0sV z7Nxy8>G^fS{``R`DJdTNDph5?7;TQ#U|L>D^{ZaIHp$>qkJZ_h%9Ygfqnqr%d-)yj zr7oVGb+Sd@OI?|mOO+L*a{5Ct@ha5z{x@Zm1Kt-PtkdIEkb^-Kdy|AZ3S5hw<`bk* z`h@ivCDOkcpQWwqwr|`Fvj-6Awn!T=|Nd0@vo@A2K0|GdhAdZAjHUBma-Fpfwn2n4 zYO(M&l-k9Xs|=VYHi$ktA!Ts&ACOw)BT<~7jjJE=fLM6-BPCWcIZ|4(G9Jna5%Qy0 zSiY-N5nIodlh@pE!NROz>qg({)<+jv;N-w}cXSS@=Vf5mCdXO{vX6jrr9wXF3$VESXTv9|DJsG53jPaT0(4l8S~yt~aJCa< z-@7Zw?!GYV^|H@1Id)pH%~QhR@Cb74k9YjmzUH6c(moXiQm49~+3Il8M2iUK)8*q1b zCyX`~#Upm@9ST26#5y0j-<0`y`8|T9uVp8ykgBAR5vu?S<50PpgAj$)33D}13w#dpfzT=>a}W5MG+S+~eb-64y2tn98^3;jLGWbz%b(xz*Cfto z1E<}hK1OqGOs*w2pSkp;QQ7aTxy7P@c`M#}%fJ^CF{5=VOa|ze zxx_|97GSw?2A%Ss-w6q$gCt9z9Htop#{}W@5+}iTv5=7uw9shLy+dwkW~Zd>yYK*9 zBR-2!qsnltJTR0q$e!tznjBPqAB9(06qSnSu7Bg^2q<+8+4(+HU!~HkG-+!19k!ff z%g3V|vok1|7+=%nZn-Ajz5no^(`2mD=@-9VhE%aAye!E4x%*$B~jo`P%V{Cc~y5Gr)}(kN+8f zx%cK1I)M9InhauHyofV612$@ysxXE*h{0KY1HSXV?N`=7952{(#qE`82j(nG*%t|s zZBq)CU=->^K|I1AqTv0w6Ks`WUf;PKgTD38#j{!1+Ob)POT0@5>CMGG3jN=eUym-a zSlGg(tewcJ1Y^>xMF@410X_wg0sispQ4GMdNm(NR9*{4q0X%q3{}=w%M&-=kO027m zDKbCf6^6Y;f@7s#l zEUL4#dVY=A-W6E`;C<`}TIC5;B8-tq$)zfF=TTNN|YR5{-yWfd;tI*M!IP{KH&qm_PO zJtb;QZhZ|E+Y>dAdzZ5~kVv_Gs9XzX88T4wzTdsHm-o&HuUX{Iz?n|)RJ0k+?< zu~GfRaC3EX&ER<0L#xL_D|N3VX|F_d%|Kwyz-9Ea)#&Hdq8B3obT$hBR8DCCFmEWt zG!*v!tpMtb{BPATqn|&FeqJhi(E~tdGYLTDlmGzp25U@%b+5-5_7eWpXTe@c;$IM5 z``IYjNT^_|vQmMtH#4X_FB;k5={wnZSvV$P^YX#|c7y~C{e$SEc0PZmj_z(5XP?FObW4dj{p9BOIQ!B2#xgXaQRa!&f>T=n}&$-BeC{Pw6WIy zzejhN3NiDZg~*gj(vOGO#2-#q<4w$!)1YBgiAVnCB9+oQGfMqBGgK?p>Kz*qt(pq# zfu==i2jqGE;)pJKJ=~=i<^=A=co=Dn94ay-4qAh(vj~#f#52ocg}r;Gt%t=l?#gen zv_mT1sD)q|A|C9eK^OelRDg-Pp94HN`M1#Bb9=|sR*TZi7qM$8ZD;i_WFynX3EMWoSxi9s>%o@+W0-EUXnj(KZ3a1lOGt8G5@M_LnRMl-Wa?y*c+Ml*@T|4roll?m z>4DJ&{GwByZcdRIYWdUCh0)=&A+UA#vAm2Crx)rpp` z&_h#Ct@d9JVBz`OJ*o;eB>B)9&6k78V7

CmvQu(rJZV) zHDy;Vm>5SPUoPD?aU1J-Szsotv0O5AU2+iI5q|KH@$UOb+KcEgpRVrPndFFAj_}xD zUMtj>`h$R>uxQ(sP^K;Xkyl14A9KF#Ch{xgvnk%zw0RoSeZIK;k41KtB-!8o(CBHg zYBvBO$>X(yHzndF<*s45ywwT$fIqN;*Ljm5ffTF>jOjC4o=K5YY!5C4s01@$eB=AH-+K+R4H<$0VCH5|@n z36#>8++TncxmA4~(J#YSsx;)mo_qWC=9mScVPwzf%2g=bO)4&h%9QstVyh3;w%~p9 z<5%V9hR>{wdS*tRM$dl)_QTwp9a=F!l9$hU%CUYn3=vu<_cMIt@vKJ@&omW?@}?^) zta(#e%_z)6{qBMcS(g@8P)Tt)7DKsj|hEfCsgx8WKPQ+3p@hZm^?<(K{Xfr z_xuH(WmsVj;8g-P8F?$4IKV#u0*r#`p#|X<1ZTm2%(N1oM3-h|ZoC8L#+9p$-!I?U zjYyhpB3{f{;TOXmW_fUjzpQdxLX-Dz87Vh7=iX;yj!oE=Unum4)FJWbrH z^T1d2glfx56+FW`pa@k^V4`hLmvytvg6`58;#mGm5qK>F*X0Qx4H-DTC*Oer_ot=< zr>YmMdE@X(CL~xKwG&HHY9#=ihJ#PvgLy1^n4N%|F4A5VIM#3osVMMNn%CreBn!7V z)PM$7d7onc>wW9%J3oR2Z^!klo4?s=sSG6#cjZOE*N^Z~b)S`ElSo~yE^3%yJ4^EgX*IJnp0_}Mrppi*`}e-qyd%+1;Q>JUADQ>kF%&6XsJ zM=I-ePZpx6iHLPV(FV)RqP_@a5O>o-d;gIIu*2MZu)#zceaonjx+=h153F_N0pwjG zQ+MnqLHXS`*$FN8)I!{K%PpK66PX&u%)Cx?)}}L95&xkDKYqYjGN|r}tidnFsk>Rc z=^xT3xm(l7I6F69~jrFRLWGE2lb}0CW$LKgYvpg zCMW}zRBt{y4M1^6ga=Jo3iVcqyR7HPbzU{}QLk;-A!Qu85W~%oK@Lh3r2aPeRvz zbaIu)ag}$fzQ%oz00q9J^!8utFy?hwuEzWiH1LJUc;4%`;84^9H~NPay=tuSK9a)x zG#J`sc2-8DTBO9i7HRMn%-WxCwU^0`zquFC5D3b7LE_0l&bEfeSfBBam#*W%=4)X5 zjnj$-#4@{g(Zw=I>H1uB{~eFHXJXr{tVapi+;(T^3NehqvWb!OKeEaPaffNb)S`ph zhQRgi_`OFVz*TeHzRvn2qDsryho8N!Ll5q%4*)B@4fka4KvR4n=Zk4)8U{QI8K(w3V1$r&hTRz6qz-rYpkx#u#ZT<-jws}}ea4|T@!w25i z0oG~0(P1Wm_g^T!pP$^-9NvGCx^c1FE#duK)fV$FXh@OW;|p0`C40Y_&*;6(YpSiE;$<7d~A6VgYp1{g!%vc1wv*X2DJQj+S!x z_m6VeS#P*VP0W1?ynGDFLC#96m*u{F6ZLN61UP$H%cDa7TQynDsfX^jf>jTRQ*Ger zzGf@k?~LV6N0xni5?$?uia^h*-lJX6xUsY56CTgn&boF%8NJsXfu5uH$V3J!AP5n< zokI$QclYteY$Lx{Ee~i-X(;eyaQ%NP22Lo;gjX*Jk;gFe9Wk^o)EXp-|A_xUadBYd_&@mFbwR9ep80b%|%AF_vv`V_1j=5TS6+3Mya zg3IS{a_DFDDg(>1%Yune=PPE6(t_@GUpjpSNWwzUJV&g;9l=qthYVn3t$N%J76w}h zw&i{$xM}yqN$NPc;ENE8LqQnW718^sArECdd4-mf=kvHzq zF4HK$@j2`rmwn?ygr*K{VNnOi0f+Af=^Dy<@k+NTK@$nL8oZxE_XC=xx}KV}0&hDY zSgNnfHL)S|-Pz6VNzo4R88HU^KgXL>ubgRp&Pa`?s>P-ot)UVwV}JNxa6fVSdx&uw z<4gP;ms@A;o&*m64cS#^orpE)UieY1yi~$!I`IBrmf_&6{zR^BGqJ^1=$lnv(KPRR z>5xt^^oJ#I!nB+rzy0Wg{MJQLT*0tV#KZ+T-;J@N;$8c+S{c)!1Gm`FTlL#Lx;>pH zF{`%2mUMKx=QId&p3-|N^7ljr;gbmZ zKFfKW7sGc(y=zeJB}C!*82`BHgw zDmUrM6Bk^u5q!4-P=+udzcZSh1n_{|qZcy=sw@>N zI7}sx13YL$wn2b>Yt)p6Ojga9>*H0TO^$#~&6&41@rnC(X)vW;TCsAQQ{u!h(q(-) z9CS*O+^C&zPU@NX@U+KUgnQp4Lc9AgnP2oRK60sp zPRWQ9_JU18Em-P`@1_66OwE2R2Dp4osmBGGsqm-|lU zTlT*pbW6Q6vp=9>*wmKRI)q!o1HV8(;C(?rvfMjk4CuXp04h|j>SIRgQZ$zN3VY2o zQ;s`L#B>;XL*fyXwxIx=o>@$ys}t zswr?B^cc`3=@|7hS=0N5|BC0v?X|k<=PI?F#`;q{CWzVsOT zQo>Fh`1U*g-e6y<`mb4JkJwKizk<3g6E!J6gEjC_c`r6Y@V*_k<0A&F>VgM2LZ9kO zm80dZcRKjzZtoFB--mPK8>R7rnd*Fdkq}YWB6mjvl4JkI48}c(W%6prNpdN(|*aNS&x4AH^YylDurxR4g)*wk3~m zXX97Me066$#`x##_f~&vlG`QXp(i)PPj15WJtJF`sm!Fy18jrbdSMG1sfx$@zC^%&D89z3Ml^O>WL<7iR5PIX_JGw5NHf*t2`1 zcMgYppOq~^_PR$SnV)b7)WVqC?w4RUJYn;Lul@>c>6&AI=^Ed=R5pSjF)|pHaR85`YB03%N_%`5cI)ar zN(1wNQo-`J2Ot2<6wy{Dt33V%;`yWt^ELw(yu(3lnC2_{rLf4WKSjZgV~y%8luuM3{smmX83yj-xR)a72wTq9y^VmkQPE2`%moEyi?cns;a-OgQTu zX({h*6t5AEbGA1--sq*rY!$tBih}C<+~Yq9^6jBA-={*HgaAl9YX^WmpTnM`F+L6$ zA9!VxaAi}%gi*?b5q6!Ycbx|oM6LjIHzpDg{&g}b);ztPGvjl1U=gclk*Xf~iV8|I2U+KW77H5(Sy4pOvP z9t0gJ_IvYo=RE8AR7#Iv@G&7AJ@zIX-O48`8i{>Y{FrdmO0i5c7vEZdRl88_b#)TJ zv$yD~5RR?_@&|lt7eEGhQ9uUx)PJhMa_u$#4}Juzb}~oH_Y|_Cp4ex$pnZ&DnILHY z5)ax*1bFtA@5+RuOaHWg*)PX`H2mNGPwJ;di?w)!-F<{z65?8NqMuohy`KJvqQHMN zH!?i0ne_+~k_rA^GHCW+y@J23_J906(49)d_QLSpCk0b(e0v=+`9f*6Q?)hz?=};& zS9f`tG{&&isQ$T%n_s^dQ&U3TKxTrCqxwo4b@cu?^{jREp8c*kIss9zLUBFY%oWNV zo!k%eTO5+$Yrkz_%(-SU)Ufr=l|G2RnVi-a8!mKTQ>N_gx5)n2g!p=27Nep2cT(9A z!&kWCB}X0qK<;qIx0UVUJl4P%tR~tgQYta zGO|cy8LbxnaZ>?4$*i=Y5`S$U{`2m{9fWexW-R-a&$Jqo+QAcwhejG9SXXyn zu<7Ns{74+2i~V5NVFjO|BSBkwyY$tYZ#UAYILxo$^Gh&SrlU%E7{5|r|q?pMbeB60`lZax3c$Mo^jEnR!e@>H*zT*U|x45c>3p+$2Z{1 z9`?jM-TeG=Y$IbidR$LwkpBE|t*?oc;8*A9bsixqc32)ohHlGs-41){vR67J_`5_y zr(8fg4?K-(B*>Ao2zU?tW$(^yu4ghvQIW$<4=Vx_X{PL$THx(ZSNY{n+soQ(e@0^l zcdqdKib^MVo#iX(Te>AwMJQE8_hn{3K_M^9qa*>}5=j)CL2jWAw;`322l0L3h|2hK zMu=CdU5c;EU_3fPz2cKrk%I=eh;R8%`;cTb18uwPMFd;juwfxB(1lt;%A;;TcxdNJ^Zx~VWW0GCA|xzKPpd0;FDSP)y>zs#E#NfpM`ECyKF|*<2=6QS66uU z=K!A(>d0Uig?*miX#M0zCb+I?jUTi>?`vhqFHM=GosF%l6k$FNZ_`A+H)5{TMZ`uQ z>@~_|3TIX3?B_{`1UEEGw_U5M-z}40o{G8n z{Z?!+_$R8*`^17PXPkR){iBkJ=Ti_DFeC#$3%bNTYtx2)NY3TY_n1X##&r>3M9h0r zjplW)s_QWLP!H_z{EWO$b@@_ggvLBgM%QlC@%VmGF9c@Mh! zlv7w4**#aKz3a;jQ4iV|1UxCAGs{(4V@Wru#rTduItaN zaB(mQ^vvM1WscAPSXvNk3AqOXBHX=SQyJs)%{-zuww`%J-kRojTNP4WmU=x(K7Qyd zZAOON@9H`}Pv*EjWXGg=Mvfd@$a5iSf0nkedteo@yQ&b+a3UCD72RR{ zt~EYJV>>AwbQ^Uu23#tK%aLw#!1j8t-=BPD4TC0FhDSv_r~w$ z1+ivC{Qo5sHw#~f3|LMN-L%2C`cMXBA&|W1=L|?{hMU6f$U<3!`Nr=cXckMG4t3wZ z`q?13_(FpIeB%yu@6O3=y#!CuPD0$l@=F&w2PsT6E`5X5zAl`O488kRY-jJ~S67>) z;`GNCFDA<^_rEvgH0PElMGXU_LJ0Js+_198``K2xo*FvIjO&K!)2wCt&;Nur`jtfK zu1bZxGGV*u{f$>lP8^CUJ#&su3IyFDY}+jd#+4=oHI$L~*_d05t%1c!8@FTwHgzeY zTkQi#^aLK|W2BkfeNavGQ_e`1%_q-t;(PpMC?9d_bFfr!8PlFy#!^gXT;*4JV~U8I z9kT6VnQ@qGxDf=ACWEmsIa!HAmvb?nr-er-$ZkfCu*3A6qEX5n@h_F0Z@=hleM~{7 zv!KLtTfF?}WnadN+r{UHf%Ff12geRXI`_of8+;7$zl10KY+Mrlz9|i32ewBCV(!R0 z>Xmv{_}n*4ks80E61}gIWm|!_-HX=rO#Qm4X)rr}ajIj}BgD!9JC!Us?#-0B5Pm#c z^g6OU9$I+cWp#TJUw(;yK3<+CLsHtHCr#kA&NKc{C)k&K-@4fUM8}p>=MH~|(3iV5 znn~g~*C(GLg2!39aDRp}X+r2#)Pd<-7}owgpMMHE0P<#l-c$_qrZ@r4QC|<-;a2In zmiV8U*Ua~k;zET)oJw#Vccda*r#(-$ec!_kPbZVw7c0~l6S~QiM@##es!`z6fskjt%@r=`D>iLr zSwG>e)Fts|1HTibtQuTfDJf#&JxFnTLTC0={S&Hz+P@znlg`b1RgN6%^ya@e{6?q% zzDuw5d-5zGh+fmDr%YCa88}elX;UzHK`u!2pn#~vK`aFlaIx^TJ<$TD-?f;bh6PLD zm;7mTmzOa}+>76DbmoMjCw+N~I~0?C^>9hLvP5O)s2n)zNTlUDJ*e~glcdKt)n(+hq` zdOmp8H}G8Jr)S{{Ym(DbJD=l2q|UsXwT9_h#r4MB$J}SX#Z;|GpC}rJjcsR^&loDe zBfpq$Fb9dt&sdreu$nsPFF5b74WtM@UaoRT8tPa9XHL?>sY4x>kMHI>ecwu3OnHa3 zoUh6aQ+qF%DQ+v{^!;tGCG)>ozyFJMK*UzY>-*dND$+emX75f*lir#w*M+m`^R1oE zsDq>ET73Aq0M7Ps?>c;Kd2hY$;%EJ@N04j_qX%qS{X)3NB%(|X6IM-V)(T-#Vuy9+;#&UZkLiBMn%)T_zv%JufAcf5Z?ys60rEyJ za93Vw%AmH8c2>ma=OE+UclkPCNkT#MCBgXXr-VrsGd5!zPL>zVAw=z(k*7G0av1y; z*I1|)PxCX^(8dHJHn5;z?9-k%G3^T+3L;5$_7&8~Sq2c77|^OOtOM~<;9a)m9oQ@M zYw*BZ0>L1vJ=uA^*?Ab0jgM;rkxKuGJ9iL&2FOCzqOZA()O%6L!& zk-s0A2g4p^iv)ZUWrU(E(4{$S8x~wqDju7(`KJ5`-OQ zbbgsatkD(f-CD&VJmw!}L&Sb@Fs{+d+Lpy_fGHUh++ip*0iJ-fz4OD3%&ewUxf?$g z-)1W=Ierzf3Jm3oNf~8SV(nRU)(%drt$L{&nDS&Ja>tU^@nQ9&-dvZjAyKgoUyp`~ zMda5ao&MthbqU7jzf=7v&ZDfG(L{WFHqybgApcrnqOfUEyr@2~b|o+8ft&ZJv06W9R@aJO=j- z7m(A7q1UE%(2BDzj> z{~p9bc%}`)rfcavvIg%S)RF+$P9f-@(ul)5=1axP0D)$*y4S{xa@+xrv zSol;OHru$?uurX)lVGoljlJD4<4#zCKILfVnX?CAQk_NW0H=A9V9=#K$t$b*Z`uae zEp7%W!eJN?Y2^c;`!w=(E6^HmxDEuU9vSyF;`VF}xTR5ju9;E8EWhZ1t@@)E`R@kc zhtT1!K?0ZBikWt>&gR+iNIw(eG%Wpu&z$zOqZ7tFsR<=w!H&uzZ-dy`!U8R%hqV&V z)=7fY`^MR%U;5$GKDM4bUww{-9ce-#{v7KIZQg@wDzX)|U38(`K6&-yo~ytzpD|KR-F zG~5zR861J?XCOTa2s(Q4!|-_hp~!aw>XE60q3pcxcT0u|H3$MoB21-0*lOC|@WWSZ zi#nu-!yg<5VqhX*-^}1UAq9yVOeJ|(mM(*!noQ*&ebuD_NXahtsiG?cStjtq{n9ai zKLA8cZU;SJ!qghcvSXedgMclCPaT(cy%#PeLxg;a3#MMZ)+plu@lI6?f$m$ud>S3d zM1SP!NV=5TnO$_AlN}RZjEwzUFbIinHttK@qn)nM{;^H!mwHcWWg?|?F9f)>{}4HJ zR=GO5ZoYl+!9_Egc8DeK8W;t}i_xXvaVa5cIaz@7dAi2h<6>}#N8&u<$vbV1!29Lu z#0F?Wvr&%a^`h4kh^u<&pzI~$);Mhrsd#OUmj8bILPVD&6%xq(Po+%+@b?Nk2jw{0 z2eHQ9lUr*a@PO;SA4*UvAiH~2Y+3l3yXK|W74Nrsq5{E>Xj&$7G&~@Yc{A&+LQgPkGFt#AkOe*eCZlyy4B>@G85y z1w^+|!~27|Wr_3ST%+@CHMY8!P@hNDKbED5s(+jqAIwBQd&h#g7qr%W+plL%>P_KW zg{1}Qci$7G@{0-+xv(J$AxF|mX0V1n{ehJ6`y$HkzC|H1c5%i8Z+?Nq)~D#-73Om5 zM_gz*5FCmsQpNmoNJR*S_Uew=X9LH`&5Xe2X*Y^uZk9@AYkF0IT;DDnKmG!0^1=4w zE%1EIXv@NYyWLruHGo@s#iG6JKwB{eY9%d3MJ6I?Rv^-+Jc@(O$$*j*`J3KJniahw zd(AfzKTe!2ppf{tRKo0yfVdaX?&Qv|ts*vbQWRHM+1oF3>0C_l^5?`j*&jTX?Frx` z3NrNg9KkF$&F~tl3oYlycve2)L#A=>eeHbGbm_AWw-de}+_2A+0oM%8p0RIH)@4~! zSN3=kS28|`Yo&JX?fd-Tf~Wi1CR=QMTAf*>bI^oP!slRx$i(_8;I2)R2kK0OBerar zyaKk5WZm!yshD)9Hc8AYcBGy7+L%@ItwM{?CSqI(_sBF6yKqo$#&_EQ{4nP)w!e*} zeJcby>#gZtH!E7V)0rBCAOEQ%`Wf`{c`B{R!M<&L&pg%gJAEz5o}NO}=Z36KI~*cH zrO|cn?^2Srx_;Cv_WpxkUee$LctB1BctFPX6fOYr(vpVbKh*$U;pbn(CF6hNm`m20 zXS(-8c{QGVWuJ_!_8j@qCt+i`Uz~}>zC7wV3w-Tkea9wP#C84Q!Gn%*^w2d`4GK#;AG>6E&wBgtw-B&Q{{5^OD44&~of$ zLJG~&$yg7Crl@oHv#!UO28hwcb%|>Q=SoFmFE_e&5TiFx>k3*z6?&PsvJwY>D~Jbg zu)8W1`{#pHAiDg-*OYtdKonz}6|bg0|MDI2h<~*n^Q53*d6^{+WdmDKYf`ObJ9%>! zmQ;))f9DM%mPXWDZWxbewyE;BPCaUr0r{s7gt(&;@fMEQB4^_UVxBta+*z_StsxWM zXWOLp$M8UhYJ2IGkw93bl#2`!@DrTIN+0TY!RGTF6ogoVp8wm zj>p8fEa)<_gF6=`E_e+qhgDvMn7QE;PcXY!KM=bh)=vj1XNkQa9DVjpK1k~VB&Y?t z>8UC19r1fmQEQNdK8^DHY+un(p3m@H-?G*gO_G*|ZmU(V<0#fmfwB>A2xq{1- zO>noh^W>cr@`u(XoptBB<*x!z^IIduFY zTIb$uC1suSpTSU6NG&S1cHO~B#Y|{TnXUDU`H1Jm1m241&^M;(Tlc@&1%}M!evzH< z52+xeGP`}B<8f_Xg1xH47uk0IkYG@T>8q!J@SoYtIZy@>Ky3Qz%C+|%%x2nvT7Rp2 zgXza=)ZRBOXGhj0+B1XDp>RLPO4t34bvg6vr>Iy zld=iSCNhh;f=dS9zKDorWm3D6sy+6^hc&kfY4b6*TgZ$Fxf!qX;Q#AFdO*i`HzJZY zA~H<=Yq@^w-_A@YmzSN5{w>5g96v>|kb@zjQ=R0C4kPm~ebr zL*wiXi`1Sq^$3%Nh)Fvdny~KN;S?z?k9H4xmolcU(9of{B%q1<2Z6ONTB!ev_)kW% z`i?tCPmsY-{PlcU%uI1(2ET6FO61Px&oR>%+eR0M-5{!EC~)G(*sQ>2(TY3yi@M|( z$U3llK8Y>WCyC-30H>T4{;12~D~mVW9Ii?|*~KrO9GoG6L>SeGw$)3D(@gk9QCAYyt$?TG-N|#qXCbU*3*FK%77malDI6W1#Wf0 zxDx+c)W|RINwO*s8!_FTYL0Igu1W&zK&$F-OOn7q(p?&PUC;gM>i%kQ%ev?pJcv zO|hh*%a+Aa-yhkmj2<=9_IoJk2rK`s#fY~3XdpD8}I6uCfc&Y z!!LS}Cm9wZw>$ecwfYke<5u2eE@H`B8wiFJ;<}jOH}d8uI0L-duAp&~Bh^2CSk`e8 z3Cd`)aXYSxztMACsoB?bsyI?q{cgIndaoNKf|QJF3bxl&sM&BPqxB}(Yu>4p7q62~ ze)}-4=^AWn2JjC68Q@a^8Q>q67ux`Q?myK4UgLk~*HA7m&J4Dt0F3bVnqW1X!UVKl zJA2I~evW*S1GMh|$Z9r3dNzpsdo(_BG6ZrT!XQ`gfvkyKZJyeZovUT!mG=c~{5;wr z!7m0>|Gf$cTutTMskM`gLtM>~F!*mk1%f^ejW=*8w_^R%)D&%VNM3KXr;FdJ-hfoA&64@Pm;QcLujMMb{F>rng*0CEizlI4E>KAKJWFZ2hRZxPHRPF60(x z-W1qQKsB+wwJn4xW&48+6=&++4H15tvZGH`0-yW@KA?u`jEVr|Pi;<$V|;CN`F!42 ztKNWUP=ocKt+em@fueTuEqQ&b0<5HCu0f!VhI;h7CIzBD31#6gu@%d2} z{`@fWYu8(|L8V0%bN5d7*&mrny)j{dYY!XJJi>nbXRhtqifPvY>Zi}NC`XFG&ufzv zUGau0jdKkoo(Gl7J{Mbq-wS@E^cj#idnwyfmQdVOUQNItvx+AQo*t{W_!j;OmQtqw z#$W*wLtgl>2pI0A?l#CQ7{Zg@RKMSR$e>h{tGUXd#X*4D!l?!e*9^b!S3V{- z^5Lv1{I%q7Cvjat!;}mKA-I*S1_{OSETMks7MDWOCqopHE=Tcb$8SJ&eP>DN|55f9 zU{!V7!mvmvuxZc@0@4k#Dd`ZTL%O>|0qIWZ4(TpwkPr#!?hZ*oknR@vCcf{v-#OpC z=l=hBp1I~4W6Uw;+OYSUF=~3TyVsV^N0Z> zSMn*}V~>^7kyX^=&3G^8b%qlX4FcsZP9dyj7|rRV{(OP0<|a00FS;U46MMzT3utfA zS+@Oft>h{b!Zt5Yr!THjf=wNpHdD4izAc^H=I2-b6Ey3ZobLh+RnA~@U zMqdCX5fYlwIB*g}61&oy81UQ}#42aX(XTwG>?NO4L?6OcO}vc&zEOF-OybW9q4_WT zm1;vIG``I$pL)fwk~{jm8qdkdw5f=A%q zvor5(Zj3@%-k?9#8h%CMqI`ZM?xzGz&9bwsAA$i#`M8P|a`?D&^xkFt5>0%wXD7O-i39PH6{r}ryjorYOWBa$1`$?ti+`Ya zCgsU>h)}sLnmmNf+pz#@7HgGdF7;vvMQs43P83&DX@_zO&hEr=AG=9AV|n`d@bEl& z4BO835GIw}wDh}SkT&OQuwU>Jd#onk&x-S)zN~$$`1VC|y1;K@O6YEpW-zPKzcByc zBX(h#Uv47w(ZA~%{SYB+vEMlp8+BQ~&ME@NPlQ**^2k@jqa+midsq_qfj4V<`&beZ zK@0u&_bk7gP`@TI3iHe9G}4b2MVXz%@>aHsx^UZ?6f-=p4oY0UgUk}WH~8R7;MOM>rfGDhvFhqBe7RD5@^UB3qy5>LP56j#)b2D{g?>9{@QmcI&}{=(8Mn=A_Z)K>hll z)h7!MHd78Z)@O5{Reo`S5qW9)^OHm$T=O{mx#tn+RWEnSHN8eP1Vc%mB|kTUe8