From e4bfc93b1f083d43f669d6cec26c22f0d0c42efe Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Fri, 19 Mar 2021 14:23:33 -0400 Subject: [PATCH] before remove UFM --- cpld/GR8RAM.v | 145 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 27704 -> 26314 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4863 -> 4609 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1369 -> 1368 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 0 -> 3136 bytes cpld/db/GR8RAM.cmp.cdb | Bin 23149 -> 59502 bytes cpld/db/GR8RAM.cmp.hdb | Bin 17868 -> 18917 bytes cpld/db/GR8RAM.cmp.idb | Bin 1464 -> 17386 bytes cpld/db/GR8RAM.cmp.rdb | Bin 13010 -> 15817 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 0 -> 98408 bytes cpld/db/GR8RAM.fit.qmsg | 97 +- cpld/db/GR8RAM.hier_info | 16 +- cpld/db/GR8RAM.hif | Bin 552 -> 552 bytes cpld/db/GR8RAM.map.cdb | Bin 22369 -> 20656 bytes cpld/db/GR8RAM.map.hdb | Bin 17836 -> 17327 bytes cpld/db/GR8RAM.map.qmsg | 63 +- cpld/db/GR8RAM.map.rdb | Bin 1240 -> 1242 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 13376 -> 13196 bytes cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 480 -> 480 bytes cpld/db/GR8RAM.routing.rdb | Bin 1400 -> 1463 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 13291 -> 13046 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 25400 -> 24019 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 816 -> 825 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 22875 -> 21838 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 14302 -> 14146 bytes cpld/db/GR8RAM.smart_action.txt | 2 +- cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 12191 -> 11480 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 0 -> 54028 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 1018 -> 1038 bytes cpld/db/logic_util_heursitic.dat | Bin 0 -> 13376 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 209 +-- .../GR8RAM.root_partition.map.kpt | Bin 2885 -> 2609 bytes cpld/output_files/GR8RAM.asm.rpt | 12 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 1512 +++++++++-------- cpld/output_files/GR8RAM.fit.smsg | 2 - cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 52 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 95 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 6 +- cpld/output_files/GR8RAM.pin | 164 ++ cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1386 +++++++-------- cpld/output_files/GR8RAM.sta.summary | 32 +- 48 files changed, 1997 insertions(+), 1868 deletions(-) create mode 100755 cpld/db/GR8RAM.asm_labs.ddb create mode 100755 cpld/db/GR8RAM.cmp0.ddb create mode 100755 cpld/db/GR8RAM.sta_cmp.5_slow.tdb create mode 100755 cpld/output_files/GR8RAM.pin diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 622fb1f..e2451ef 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -1,4 +1,4 @@ -module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, +module GR8RAM(C25M, PHI0, nRES, nRESout, nIOSEL, nDEVSEL, nIOSTRB, RA, nWE, RAdir, RD, RDdir, SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, @@ -12,21 +12,11 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, always @(posedge C25M) begin PHI0r1 <= PHI0r0; PHI0r2 <= PHI0r1; end /* Reset/brown-out detect synchronized inputs */ - /* Outputs: nRESr, nBODf */ - input nRES, nBOD; + /* Outputs: nRESr */ + input nRES; reg nRESr0, nRESr; - reg nBODr0, nBODr, nBODf0, nBODf; - always @(negedge C25M) begin nBODr0 <= nBOD; nRESr0 <= nRES; end - always @(posedge C25M) begin nBODr <= nBODr0; nRESr <= nRESr0; end - always @(posedge C25M) begin - // Filter nBODr to get nBODf. Output hi when hi for $10000 cycles - if (LS[15:0]==16'hFF00) begin // When LS low-order is $FFF0 - nBODf0 <= nBODr; // "Precharge" nBODf0 - nBODf <= nBODf0; // Move computed nBODf0 into nBODf - end else if (nBODr) begin // Else AND nBODf0 with nBODr - nBODf0 <= nBODf0 && nBODr; // "Evaluate" by ANDing - end - end + always @(negedge C25M) begin nRESr0 <= nRES; end + always @(posedge C25M) begin nRESr <= nRESr0; end /* Long state counter: counts from 0 to $3FFFF */ /* Outputs: LS */ @@ -35,23 +25,9 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, /* Init state */ output reg nRESout = 0; - reg InitActv = 0; - reg InitIntr = 0; - reg SDRAMActv = 0; always @(posedge C25M) begin - if (~nBODf) begin - nRESout <= 0; - InitIntr <= 1; - end else if (~nRESr && LS[17:0]==18'h0FF00) begin - nRESout <= 0; - InitActv <= 1; - InitIntr <= 0; - end else if (LS[17:0]==18'h30002) begin - InitActv <= 0; - if (InitActv && ~InitIntr) begin - SDRAMActv <= 1; - nRESout <= 1; - end + if (LS[17:0]==18'h30002) begin + nRESout <= 1'b1; end end @@ -108,7 +84,7 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, /* Apple data bus */ inout [7:0] RD = RDdir ? 8'bZ : RDout[7:0]; reg [7:0] RDout; - output RDdir = ~(PHI0 && PHI0r2 && nWE && nRESr && + output RDdir = ~(PHI0 && PHI0r2 && nWE && ((~nDEVSEL && REGEN) || ~nIOSEL || (~nIOSTRB && IOROMEN))); /* Slinky address registers */ @@ -160,10 +136,10 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, /* SPI flash control */ always @(posedge C25M) begin - FCK <= (FCKEN && LS[0]) || (nRESr && FCKEN); + FCK <= ~nRESout && FCKEN && LS[0]; end always @(posedge C25M) begin - if (InitActv) begin + if (~nRESout) begin // Flash /CS enabled from init states $0FFB0 to $2FFFF if (LS[17:0]==18'h0FF90) FCS <= 1'b0; else if (LS[17:0]==18'h0FFA0) FCS <= 1'b1; @@ -212,7 +188,7 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, if (LS[17:0]==18'h0FF90) MOSIOE <= 1'b1; else if (LS[17:0]==18'h0FFF0) MOSIOE <= 1'b0; - end else if (nRESr) begin + end else begin //TODO: control these with Apple II FCS <= 0; FCKEN <= 0; @@ -337,34 +313,25 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, reg SDOE = 0; always @(posedge C25M) begin // Shift { MISO, MOSI } in when InitActv. When ready, synchronize RD - if (InitActv && LS[1]) WRD[7:0] <= { MISO, MOSI, WRD[5:0] }; + if (~nRESout && LS[1]) WRD[7:0] <= { MISO, MOSI, WRD[5:0] }; else if (PS==8) WRD[7:0] <= RD[7:0]; // Output data on SDRAM data bus only during init and when writing - SDOE <= InitActv || (RAMSpecWR && PS==8); + SDOE <= ~nRESout || (RAMSpecWR && PS==8); end reg [2:0] PS = 0; - wire PSStart = ~InitActv && nRESr && PS==0 && PHI0r1 && ~PHI0r2; + wire PSStart = nRESr && PS==0 && PHI0r1 && ~PHI0r2; always @(posedge C25M) begin if (PSStart) PS <= 1; else if (PS==0) PS <= 0; else PS <= PS+1; end - reg [1:0] IS = 0; - always @(posedge C25M) begin - if (InitActv) begin - if (LS[17:0]==18'h0FFAF) IS <= 1; - else if (LS[17:0]==18'h0FFBF) IS <= 2; - else if (LS[17:0]==18'h0FFFF) IS <= 3; - end else IS <= 0; - end - /* Refresh state */ reg RefReqd = 0; reg RefReady = 0; always @(posedge C25M) begin - if (LS[6:0]==7'h00) RefReqd <= SDRAMActv; // Reset RefDone every 128 C25M cycles (5.12 us) + if (LS[6:0]==7'h00) RefReqd <= 1; // Reset RefDone every 128 C25M cycles (5.12 us) else if (PS==0 && ~RefReqd) RefReqd <= 0; end @@ -440,8 +407,8 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, Amux <= 3'b001; end end else begin - // NOP CKD - RCKE <= 1'b0; + // NOP CKE + RCKE <= 1'b1; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; @@ -457,8 +424,8 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, nCAS <= 1'b1; nSWE <= 1'b1; end else begin - // NOP CKD - RCKE <= 1'b0; + // NOP CKE + RCKE <= 1'b1; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; @@ -475,8 +442,8 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, nCAS <= 1'b1; nSWE <= 1'b1; end else begin - // NOP CKD - RCKE <= 1'b0; + // NOP CKE + RCKE <= 1'b1; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; @@ -486,38 +453,20 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, if (ROMSpecRD) Amux <= 3'b101; else Amux <= 3'b111; end 3: begin - if (ROMSpecRD || RAMSpecRD) begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - end else begin - // NOP CKD - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - end + // NOP CKE + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; Amux <= 3'b001; end 4: begin - if (RAMSpecWR && DEVSELr) begin - // NOP CKE - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - end else begin - // NOP CKD - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - end + // NOP CKE + RCKE <= 1'b0; + nRCS <= 1'b1; + nRAS <= 1'b1; + nCAS <= 1'b1; + nSWE <= 1'b1; Amux <= 3'b001; end 5: begin if (RAMSpecWR && DEVSELr) begin @@ -528,8 +477,8 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, nCAS <= 1'b0; nSWE <= 1'b0; end else begin - // NOP CKD - RCKE <= 1'b0; + // NOP CKE + RCKE <= 1'b1; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; @@ -537,29 +486,19 @@ module GR8RAM(C25M, PHI0, nBOD, nRES, nRESout, end Amux <= 3'b111; end 6: begin - // NOP CKE if ACT'd, else CKD - RCKE <= ROMSpecRD || RAMSpecSEL; + RCKE <= 1'b1; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; nSWE <= 1'b1; Amux <= 3'b001; end 7: begin - if (ROMSpecRD || RAMSpecSEL) begin - // PC all CKD - RCKE <= 1'b0; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b0; - end else begin - // NOP CKD - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - end + // PC all + RCKE <= 1'b1; + nRCS <= 1'b0; + nRAS <= 1'b0; + nCAS <= 1'b1; + nSWE <= 1'b0; Amux <= 3'b001; end endcase diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index e3cb276edc6a069a9b62bf0bd9ef5ef2d2a3eaca..8dab69a44df267f9eb519be346e6394965b32133 100755 GIT binary patch literal 26314 zcmXVX1y~f{_qR$(E{K#MAV^Dht~8PY(y`Lr-O>%x4bmNgbS&MlNJ@8iFR=0Q{r%r( zo@YLDPu!Vv=iK|8d*%$mix)4dv0px)U7in#XVf%vcCm7>r{?5l<6z^YmTF zaBp-%3tcVVS87sp%^M_}is^}GMyd#%K_!{7K8BPlU8UaI>@mZ{`qR-b=TAulye*%m zkZ*5>YP0lQ3TTJu{DyO&b2hoAHynpb?3WC+z`>Dog#Z$QDv;OF2bG))euVOd>ex~odeE%8}K zT48+jHQH&qpSpCIj&6HH@`S;GE`GKL5ZRTccof?R4%X;EpVlavE6%>yd_?MPt(4u; zKRA0&{jN5M_sJcMLl|%1)~g*Dw$V5Qsdnl2LlfR|a~Tpgt|Wh9CoXP-d#yDNIsbkc zh|;elv`3|ApZ*JTfuO(gwUyz%RruT8Ug;L!?>xOs-Gq@zvSKOSfK4XfcPrmhK5Nkr zRQ;77lav31*KbP8^GcxpG4nGC7qh+{Q+EU^yX(jIn~`DguZpNf*&=`Ij1I(kYysZ* zxZ!-F5n;5Mlu~_xihzPmXfeiL$A8nomIAtm5>m7C3@3hNj{_kiMIvlOacq%Lei}*avenQ4KifPYY`@c5vxNp?TWXV8CW=%Wg+Cci;scn&9t$H$; zwq5r?S3HwhvU8w9N30~e0v;o#|3?9#{AFN-GR%O>cXdrwBFwQ5;|dN}KwRh?Jy?1h z>_CUv(j;1!s^4zW)^fDIzsNBNt0m$bqJm3`DwLz_=LvJIz#jTy0xtYUfqt7t4{Oba zU5!^!Vi(s^oY0N}3rx3nYKYHzD3RvuB%%ozfCtARWa^PX>-k?^JG(gW5%hJy z48s5wEFHYro~zI{EqkzI%7%)JmxiT5y$DY+5uAQ!{(grMQ^1MGw74dJ+`Pa5eyaU$ zNrZwQkc$aPhaqQD{57CP{Smvg2l+MSvLxvm2)%cl>UkmBIMKY3=sBKd@&*4-mZs;R)oy|;RcWn^lbh_10DTZ7jT!+o1AaMc~1|j1} z;UQ%0Hi<@CgNwvUxht38+Ya3ht-K@NNBBF?QBAdxTk9V!QUU*65&B zA{I}fyK#;j)`wW4>v%6Cgrtp0{fneXKha?=T}@=#b!dItj*jswx&pjnWbQrp9Km|X zNW|&mw-??26P?P$O$sagN?;V(@im&aFJU-B_B&NQUu}1$or1EeiFOX|*o#RHCY>0j z$O&buFS7Ddqjd519+>8Sva+LevN8FLfm?=^@;2W0ikt5jYsyIhJSpXLEKh}P2?1j2 zciW^9^@bt|Rhu%@ zNqvHJ#~%`JgCb3Fn*og@ODgrb!q1$NLOvZZwN3!f^R;F%pU!|(ny?TEO8l65ncf0z##42otMBbI01^VNr<$Vv^Jh^#Cbx>9nm0arDc71~B zKH{)+pv9KImxTD0Rrx6FJ?64dMxE=JQ!qMa)kvmqTa<%*`sX<#6cpj`&NK1cpN1Y2 zUd#O1oxYCj+r>^(8|}uX;q})57yj^xIyYia()iC>BPkR#LpGJ$C-%)kjnqat2+U~nrNo6t^W;w(L`Wt7^Rq(#uS6bNpAcXGUrm^&NPMT_~1w71`DO)%mv+sy9Tkne~q>L3U2{<8fSMu zGQ;BU0vnW|$!>>dk9tj<-b}97gV^XVDo~}fc z1J=ww#F(cxPkZjSa}MTg5I(EWXZxagx51sh(F-Ze{n0lDaInH9hRRo~nL=ZA(c}>S zZ`zd|JSDO6vyHzP zuU=S5Ve0gjr=$CgN(J*~X6BNgu{5=oTws%_lL2byo~$1&6@!0sx|voTTXS6Oa}P>5 zgcVPcK4Aij8l0sYSiOgC3+%EW0!5nTKZ|a<(OrNkoFwNaXKlQ=^Mm~fjWy~rfq4_! z*nXq(!A7UD>hni#8`HWivWF_G-;7@OD^XnseYc#;6e+KoXBbwTW)d3zt*`esfaglx zw1T|-M*ujcd@4()oO{Wa(d_6EUEOS6M1oZ(rL`)U!zGwZVb0|^VXV8BlC5D z%=FX8-8GXxo+qKXdY#JAC51hY%UE+%Xwwt$b4zzHxqHEqAJ+W_U*DXmj;*4&se2>ig+W06&o)44v!QM`MHOy;%wkFv}Ww4?4gnHc9sJCq0{y}*6 zA1LMETZh5Q2@!v%#Bv{(VW8M9Zm^M4RT=q~t(YHt@0#!MEJ6jrrM?If2xG*$>Tgv~ zE49EnpIhb7(MSFzO}s#Sami@hZ;TpA{MCMkpsAzBbcWQPeR2buy8oq1LPg`N2{nO511m!cAjpDI|ZQNnHfCeBKG8R+(3P z+~xfE_i)^jVbUHXkg8Nsi({*1>w7IUJFl$nslEYRTI*(#Ankq!1k;+W(HcG`Lf zqBX!gy&%}lERF=msQfH)>g zvzlb>228VzYx{TVKabSfz;lzKx?JK5aUUYs3iUI~e&3Mnn<+kSktX_nC>Fjeq&YO7 zwiv{h+ISeC+qISsN>;J3ef&pS(4ln5``OOCoUY;UiW9B1vFDv^z~$a}`jwvvmfA+K zEWS!qrdz|o`}e4qYFLj;iix~bPm`(5eaa3K|E`YR{?9 z^K~1<9k{X6%6`??JHJ0;5gwMGaDtdUEK zYWVCzx<$_0nOw9oi^2lt>14OECUOP&jxxo^=i%H&P#=@1tE^cy&CAamisp0NgKrz1 zXXwGwc12}z*t?7l1x;}uq6UU;S+stq85jMsO^S%*7JPQ6AzV5RCWEh7U74PQZc85v zrs3a|XKPhxm{-U4r9`dXs2+Y$Q=a{j6d@na;Zi`!A6a&DA;MsN`z#slE2s(DY|P0v0OZPPg3(PDrRpCWNs$iERQl~K z=K|i-?COcmbJBXtwRljo|LPKNJU~a+Fn+*#L~7LzN41YbkzWOwb9j9&684E6<9I=Y z&+e^?Q=h5KDk2G?{+O?N820mCN4?&kBeIIDzylszdc%LXlOwhxCS!MavRd&He5MqR({rV-iG(#zxw^BFccABg(re zWLj8J%~kbZk5Zj-Lrh;AGV#iXo;m0}g}V!j@4tULZ%AtA418$)DzBtyMTuXpzfT4A zG#2Omj>+R)+U38meT@|?z5hB6os9@VktfvS0IvDi$M7L|prmwyed<~b#0-7BJC+B2 za)*1!0ztcQMg0>tf4uD-t0*Gl{-clX_zXvztM);wBQ;;P!rc#tte@)m<)nXVHC4%u zN?l-868gRoO{i|9^204GvH9rXbl?Tf$Zd4a__Jbxs9I>x0M5N@;i)`t$=~*@N}nq{ zoG)DFA%|LtPOzK&g|h~A)Xzjh99Pu#K?Xz`lV*>jtotMd%(>(@wMj9@xpamx)szGm zN!El%Iyz*RWJdwg2D!A|Y=dq@jgS`$wrwOLLkt*@%LT2^iO$;^*x#ff12}mfm2diI z9yZf+zQ&*JfFq)Sey>|*5;w2QGxN^3j%zjJtf9J`*RF0*$#BK!`w_M*kiIZ`r2Ov{ z6hi0x2V-=wf^e;prLe#HSc0U6eoYxD?eR5n4@;Hug&!>Ksg5NEa_$W`K^WZB@|0Q7 z_>~kQTQ2d_O#X>MU>r+^6I=N>d#eKgBavfa#TwRpgQfk)`n6N5OR6Rl$i{PaGba&DV>74d1WCG+rH_lK@9{vS*N5rZx-*pYIqigv99!b7a$q4a8L zxWi#Dz?VBZk4wUR&ObN>CH-YPbmp7C1lHi z7Yv=SwrkhtpI^;fu@Ys-%tZ)_hP!JBrs>#jhs(Eb#rlgOJhjjT+ljOOkJBoBh|ECT zUPT`JxHXqKkB%QX9LDM(iDW{@NN9h)`5Fw_Ds>(G*90F5GpWh<eA+mlKmRorXC!AiEQUaZWm<+ynuewE)h{# zNA92OApmgh5tnYq_re=Gs9t8J=g6;TA0HQPt7@W{_qk>k0^s(P!1i|J!z~xf_9~~p zy9W~JwHMG^TSpo*N#Of<3MpZF2xzc{cFot^RCa6lC9-1IAkQd~+;D?_S*NY zcw`1LrSuYc2==G=^ko@n7!lymu|^3Uxh36oXST8)P!_*`3Z78Mcx$&p|G*28x$AGJzN`Gr+)jh} zoOM&3Js?`Uya<*m^SqrzplmZ5hE!0{`NKh4f2Es3QZ&TbSphcfG~7tFPh^(}bX?q2 zBSFyl=K%W;lBYqOQ_(=E*2DR2J^;Lgfhx%*R)So~X ztdmL*r^`NXqf3;4Xh?cMOuPzISq3lf>Em_QimqRw9r}HWE5XD5q__`FBNj`oUa&Vo zFO%f-$6aiA57x#-Dft66!)@rcWE9ydrS|fd-2R176+xSsJ-6l5_R<4}*9)r`0pIrZ zmtO!ZyI90lxV-)2zi-dGD7RaZP4##kk>J~meEZz-yRLZRBF%3#?Z?1&7flE2*B6&l zfBmSZEtOzmK@Xrjk^agH-uxlv*Q<>bf<(|BHe{@slOR^PI2Y}&E)likSY;a)wuE#FfK4*kDumNwsTF~JstN}o_f-QgjWTXB>kU_M5- zg?aAaxn`8#mbcJuxwz3g3>?N+GMW2TRON0M^n*II_z{a8c~1KP!y5eJ@}}n1*RKF< zOW-QUuE)TG1XGm0ZM|jFt9(%br|XCPJm0-ARu+A7rta_E;fZ}*zn#6jzY}{Jt;E_a zWf*ok9h$TyXCzlgx9_qB-jjXUdv$IKx}b`}Lf99S`=hGvf~1=^ia0#?L;&QhdKa2K z*WzBDoM@2EE>|@}++YhYp9@=^9~)jjwb=23oNCq0@q#TL>TK1k=NSx)`U(_{de)ao zU_-8ZHAEs}H$daEsaBOQ+HhRKl`)sE231blfAX)vnL28COnG7XE^zO@J@lN|I=1n_Sk3-JO$aaq7!LFB9KTH0`cACHJ zWjd=4-*mNf5%7~6-0eN|63Z4NXr%o%lCleMWBxTS1)t*4mwI7s%Gda}Jm|aWXKvTO zMiXppe|n^|I-_sYa~n&D_s%?kyT7*SUB51$JE#;k@d+PXm}7LZZ2!{eLY3T`&l-@- zPoj67R#lHl&6boDmRUZf&CI#ki%Ckob(~hGcU2p$Yg$)V{>#&ond55OkYt$QIDOi~ z&J;TCl2D{0Q5~G6>2q`YIi4QFwUuOv^W7I&Ug5(BOa=oIMiMTF;GvGX| z^ro1dQq`a#<-h644Vk#MWO~YlsDOXP&vcw;`tOBhe+c`aL%Tg&Pin#{k32{5_hrCL zv88jJIf|Z)*$i_Ji>`kHTd6OJXG0(>ZG~0JMx{qaAZua1RmykmH<0xD-xG8#gfd+D zPN{iW1qrd}lx;s~GYU&ALj>vF?D-sD%5vR<-lCs{Bq*NTI;;tG?oxU3r)?;cj`X8D z!xx#}E!u9piZ@*ol$KPBvu5u>qa5k*Oe6otmFQ({$0v}3QB$h2c(8|WM>ayih@#Fi z=VCbWvz65+WULNJ#Omb;u^hr~O-;az-{}qcOz0{x*pN>^YeN~{6!Uf~|0kvhA8l2m z+WYxwms*?&bp&N#s5#R<$m(nN#XDADl_Z8M@o2YMq)UfX&EG<*FCN&}(2~b5=5;HD z{grSOdQtpkCkCTq&QUx#wO3E7QceESi~8*`TBi2{dT#foIh&$qFV$P}%rod512#hR zmB>wWb#S`LoRq8Z-6k)WIC-paQ{ae$f`w6!?P{8&zpZWGrbS$747X32pe8 zdsM7mGw6khh<5Sc(M|~(5Nn#<6<#x@&x%<^z3Onh&6$VMLcI@~k`eu6~Tz^8h1)o8`S!N=APV2dsL1LCVSLRk|N4@3QM3>2z*2b=r;?3q9#+Z*Q zBN8~?Wf_}0HJv2Sk<}kH){j0iSN$_M(&(!4+`bzXt*N?8(?GTjrOerRPjRwW_C7ZF z$WohNuf1P)sBP2mZY-bji4~SmQ1{9b(u;S_G+R+i==x@Otq~Mm|3a)Azt00lm{JpYB$4A^(kS3$l6ZDbr6oc_q9!=#Mr4G^Eu+seL zk&a0hSeLC*jOu^NaML*tno4(M{bLv<#|m6|SFyjq?!M`WM57k*MN?Ew^KWLB#%B7| z_wws4iq&aQr;etmQ9Mf}$w>Cd)Hdt#3v=+%VUYg{9P#KQ0H~vRUkVpRvfkd((2k%bg=~% zv->I{uO@aTnmX-iN%D5SG(0_(UnRzS8iqP3yX(^Edc9NFU*(C4ce?K<80Y}1dl`oq z+|ExWz!5v5rC@)m$A_Zt=lW$Z%;FO3<~DyjbV>C!1qHoAz6=y=Yx*xLP4kd>(4r@H z0Nkh?Vp2U7D2xwv9lR3RZ{9B6zb|2)3yGBebo1-|@(*%P$Nsr6 zr()ebVG5WD;(Ep*jYIR&E+7iK(WJ^xm?Ad}VuheWFqCk&GhUGBpO615ya}ESLBvcD zcj_t|{I}qrW8xmZBTo%fS+=YOV*z-q*un2@GfdwN_P7nGARP%J`AZc5D(SiI%~Qo5 z7;D7$$B#cqWeni=@Qv+gr@0PP$(T}JRACD$>N{I}ZFq09J*^KUjWdarb*=G)Y~*89 zywRpc;vBC!&sjCG+RX?~{rc4f{`j)=r1T}^a(#94Px$YE3o`iI{T6utn$eR^%IBA7 z|M!G?0R;E7NLrsm5JJxVn_Bi>EFKmofJ#Zg-e&)C&Igba%uL5m01f&FbndfA&7j0xoHI&}kB%htW5ED}Ij=@eH=PzN4At&48y?Wo{E|%*}yeIt(O*qTw=-b1^g*6H)lXyr{IiYW& z|EUr4b-?sxi&S<8@MY%2*Kx-3}TbFiu*Z$@rJ9l;{S^vlc%W!{& zU-@y+h{z;otD-mc?0I9whA*-o-gUPJ{YLLP3uNVA0T9+Wd{f(P#;1&9APYa(S3A47 zjYFKFh{8B@;O|m}Py6Z&wdnX%MZT?gc>9%q^q2*P=Uqz*Az0XG|Lf*$LardfO3WyL zL`)0sKL3)q{si{-8s zE81T1UsTst_2X}bj?oHT+?h%^Yq zEO75xu0;6f)^nJk9_F``bM3RRXr~RcD^(H7?`!vGsL#)~HDjm0RJ-!jj68&v|B5aV z+k^eAWKThB^ycjJPD@?h7e(X28Oi`?o^XJLYtF8NpnoAtvEAj%Ge7pBD%i??Uk)?2 z^ZG10wZOQ7Tj3N`M_Tf1a9upaT2uhrnzK_%TsW2ObNx&Mj^naG|basb8>whvVYtNFJ_hf@{0balQ@ zRY-Bk=yK9fCDEwK)%kdlLx|+^W4=>kAf-aM#C!fzKPI?D8a)`9tu}{><#YslEf(E| z?!PW~0~{UJiS2EbV%?RkSlpIfDP}~%)K_CS{NUN!>W_IsoX7Fm@mNQyHDKu10!pPy zV1YmG?j7s8j%Wo<$N1a7jRrCohmRTF{Vr5%tmjK>65O9W)Ei)UpQ$|A>`T!gu|tnn zdFBDhSCA^8Gv_SlWa*s!&XHJ}VBh>k z=4iX@`(R}|Ni6Hk<^=*Hjd_BwRkYmeK8fY5q^xVba=>i_A_5-L;AG(4A>S!C$3AGH zMGqV7h}>5)fa`#U$ZIJyMx`~TZ^!MiAP+`bbA{%uvxVnn;}+M2dk992c*}s2-*K`5 zJXId8&~j$kZ|s?4THgr#;~>Vt`>?vo6TA6v??0vYvO^0d<-Y=qfTu+lD?(wnvb(+( zq0kX$2^wW4|&fim3HPDX4e0FRq^%n{hXE*L<@jzy0QySuX)PvTG_K( z;u|U`%6=gb+njvnLJq>YA$@p-91Scq zEbTV)Un#InekfNvUhOv7X;${l-E@2%u(Xn@48(*;YDDqdHg#%(M6IDk?ROzEG)1lN zxNj|0{QQ%nEglQSt}{c++54`Ff$!$myPT%=yRbQT18&%1X>lKlqH6{bZhC zE1lS_k20iRoge3o zM#v$v*B`HH?`3_q#55j5XJN%%d3FaDgIXu*vHP;)S!-$kO*=k3idqUY>9-7$?G^f> z4Ov_Fw<>0?rVi`g$$jh(3M|d*J}SP?MqcZS9zBUz)<1H60zDQQiJp=(Ozg5Sslsq} zHCw&S6Sn`;(>K)ufp>ROeamF~A@6?!qt^KyyeXx!@86%h8EBPuUBk+JL1J2lGg09b zd8=q^3qZm4$N55vyf@0CTkt~mgEEdWMezq`U>Hgky2wz#(nZoS-`k6Tv=h;C+U$qz zWgqCi#efIA4Dy1y5HrNN7BEqg|<(k%3_zypo}oM06AZDx$ka$94hT!Fz9mY z3I zpPvU3Vm`-@eF_RWH3RSHpfh3@!ioCJ=P&aiKA`~HyomVEZ2mtd{NDJE8ya_pq+Zy# z;j+2$hGZc)^##y6ev)rdmDwzXXX`hRzq{dV<)mo6Ady$1f@b07>wnir3iR?LIAJ|x zVxY@51!SiF#r9(aVuLo#$3pI~bNHbMO16O!7eGkqQ3aZ6=`PNjU*BG+1~t1+Y_sd~ z{gQIXx^H$()QFQ*wB!1<=6J`=FLDeklveTvKMBK7FkB_Wzjir&eel8?OY(+`n}!fosP> z{Bww{E@-NEcq6%i&(5&<6rV)G+Os}&C0#YxQM=XuY`j4k{5j0GiYtFSo%rjJZaTZK zI0eHMWL1+th~xDauWN!q^KQ#ehDCa>8%?pOVcrfwv9yXWSL&SM6@*MvdHfOqc!#yu zH}m$So&Fl$j?>u@MBLXO{NxmirR~HR@!|+*^%ERVmN&FUc7_m zQ^gHKdtm7PH53yBh5ltctiPJyZt00Q*Y>6cK=JQe{3jpsD-px2x8*#{ElqEaK!2!TgENQVmlDrBQW#`IIU)+fz=;Qt!_2& zBCKGJy>1ml773HC7Y6USva^G0*&n8YX4pQI&Xy-*XWyaz8**J=`mzC-<-JgGTm$cL zj|L2fQ;pUeb|0}r|Bw%-D5Ka6Z2!eB6*8xu$h zApYt6817sy`!6R+q1~ri#100S;`Q3m1`<7n`*0%j*X3o5yi#bDj2K^no1uDDMWZBn zdVudxC71>D!5uwMo#YCETKI`12a6~^o)E)*mp9ns8bJA^poHjs03S{L@b)N%$>Y{> zO6ZWUY~`@_QaJzR`hbHD2&9)=)v4kjE|tfgt7A@(#g1d?pl5ed<)rB3MuJ+cX85c) zEtb5{KRoOveBgsxobfcP^$UOOn`tHN*YrsMoO|BAV=aJ1eKlsFSr|59sK@8=!0G+M z<=78Z@i`jfTH<#5eF&}?lOxD{$w;M83(@cSoc9l20$y}X;vvv=z6xRQLuKa)pFdbc zLy3C;{@JkXF+qyo_Y8Zx(#^q3jYcAnbPNnoHm(j45$dW!i`4%rHpC=DP z1A$45y`n|4GmhzSze5a_G1Pd#rdw8h0OAi35E9C27@EwO4rd<8*jsDX6FgAiPOPqb z?Xr(9cTJ}EDlq+l=h!vn@wc8%`}g||^CAm#7ah6)l}`=_{uOOQyXNNZcdN@Cm*A}X zRb7*)?PQ7d&c+Fk<2PX@JR#ZYVKdWJ2K*kd`O{CX$gUc-5-{BxJ6(4^_(X1?gs_&G zGDpdD;M+_@iCh+II4`E(TT4#m$-SM(1Xp#DfUF1^7Ymw!dQ=@bj{tWYk zc?oIobo9kqt0ef}XSnOnx~`{MrGC<|s|T)8Kc(b)ni$>{zB2_)(?2EQ);|~CISh}* zNi8g;YI!>I{%kENkA&?eB_J0~cLIdS9tM&Uz_wh@l!_ZmoTA9Ykzu4M*A+=~Qr@RN z=bY(ik=E0zddgUYXG?TG(}m%IAjg_al+$;{2LB0@RkjEZ7g{-^X6P#wNd0?883^ND zI<8)>|6eZjoW{3_!1bh3EJzYJV5!b-dr>8Pge0^U7kA)Ida?&!PGhL>P%2^Qdj$vTnGVc8L39x>Iv#cEF9IxO;n2(J%Db4aCa1kqwlk!@wnCGldfaHrQzaL?9d3@I zC2SI{0&jhTR_0ANua7AwJMr^grp?Q(IxgnE{Gr0ogZ~aD{ABT_yS2%6qkDC)-ZK*~ z(|u=okNYC8f;JSQjVm-?B`F$TzDaKO5cDH6%D6nP64nr_O~pb2)l9xs{QbcXGT`=p z&Y*STdH-2<@@9QwPgq578_c~uL##VR`{{mv^xQS9yU*Ez!lvz9QM7Sm%RfYT{+g?n z_JTv%5;ii_| z4-V`bnh|d3;udFDNGm>YM}pfK5E6gF`6y>R?Ga4VLyz~4wZXB+EhJ)3U|qXrN}jih zkgD}?2yORFhP3!KhU1`7_j*Dgm_LY{7(Gw$OB4ja5JHap@{n$D!HHx9=54VHN8zuZ z9AN?A*;`bPUKH)bx&aLfu)aoXc_YW1oPZWe3`Ext0v-D4etZm{z_OIu>nmHX+IWI1 z>bw7yFs-@%tq&Yjzka2GNqJ!GyV27@jJn%=}m&kDWt-`m` z_<1@^kC$We!^4Cj3N6Fy);tbsX@7N(t9!Z?4-+YSB^}vAenlC7 zxarP<8w1j?_pa8JLB$I(mXrVzCVNP0OCr?KMXjiaTeGXGpuBe;+W#mKM&jP@=|M!b zReVUD2-u2$qwu{VD!dK*9wTCnaoet8sYgl4T{hRKFu_VZ;U;E2AUh_TwSZ6#sp#Qc z6hhaOXUjX1lntaNs^Ss6-yIl}Qz~BF&DHKF`29*dBa?>a=JmfZx0}ZA6u!s^dOg74 zCfPJB`{ZD&^^4<$);EAto{Ey8g`KBK>-=e5xrcv`!>=yux|fXIbbm08xUtdB@r1kZ zcLD=(=B!ekz5z&5%50JcKNKxRQLG=QDNJd}!X%lmw3}3qckqqBq^Oz0W4^t}w1e82&M&CwNJL|VsobrZ_Td9IBN6V^z!pdxkoSFqqIa!S0^v9Zd6De~{-wjI z-SX%iI1~V$+^nEq{k0jcBI@irNz#>Rf6w?y|8`>2fside#N z5Dg4>&(P033W0)idyqDrdyu|0ZK~5sH~)wSuqsqUFYYpcH5kd?>%k3`L#jlEKL{2B zSJlb_@Q{oToVzMbJta`8j2;VEVlS|Fdpn#%8K+r9D?xj9b8e0%9FkQq(5?~pZ`9~> zPEPz#X!z~HhbWBgEM^a(^}3bX(d4n74CkUT#mj{YYBC07Vo#|PL(ScZGrtP%SW!@N z22ESjnDnb!i9eocTX*OwBqn6)Y8F~yzH0q#P63b}uSAjn=LjyO$NOD|aAsvZ zuiS&Fwf!AsGkFQ*S?O$({{|B{=Ndbj#>wb-oThVX0kd*Ekn2uy=NQC2ysA1Jd$$gYG^NczGjC3G~(NZ`s8Snvo$FYhwgC_B;RPACx_t>~Cg&dm*(OS)XPX zd_cBzYrc5v4M?+R%V&5j-T2p0xp~JUySybQEVg+I$fF6pn5r>A8_FvwO~Ll3N8nNp zfqRq!a(y!p=-Z0pui}?_2|Ou~8{tkq(fd96j4_0}9{9*{FA3j4iGOA`4JAnf@vIMn zj(T7CfE@lbVz~C#)T3!oo|cqzrC!;Zo>=fhJ`06tip+DO(|(uen41+bvqSheGm3`j zFFkZ<#|1Ow{S_l$5o3BC4^|Vbw8Xx;BRRT}Ta#^_Ag0CGUNKu+ z|1TcC7N12^%XHF7P)pP;k_xiCVf6GxpKQg~XjhnRWl3d8d<#qLz`+SEVC%@+A?>XF zV~b7gk1V$AdXZ4}(8uOSoTuHJ`3Yd5jYHU83B}-C&Sl-+_ft znw^a%P>?S$ATZ2xl>^H5Oi3|*Z?T}cr6hQ0voy!Nv^ta%7WX3_$ePjV)at8;coP>L zIpwf%YAw)q%_argx|Q`MJD1N}1 zD&u{Y$b-#Naf1d;Q8)}J@_?~CqCRc+0-o!Bm3#y7IYiDX=O!Z9RFt__wjPpi0G`e= zDy%yzc!V`RNep0r5odQTwX>?mxDW8y-0T_?9Ot!{5<;8Y=z;(eYOt4xb7dV;h*EG2 zR}N_{{Lmai?6B8oMs-^hQ^lywvf-C}pY?FkCoqI5<)uf%?s`v2t{b!21x%jp^lSKS zGpy>XxQqNes`43eGBO+h7H&1yYLx2fTuTBKapxjUa>V6blNLs#SL#s(^?5r!YfyRmNM0Sw@!Vh zm1EP6BCNp~&*aTsfRhAmL<0RQuBB@VFFIij?ONgw*cQ?0Q10gVC0n1rU#S|{X33iA z-_eT(RndvC#k*Y!09lPHt&yMJRH9!rXz)6Dv=cf}PZW*3s__kEv=M4qK=c1`pvVRV zOj}d_x!6*C<=>?#yDEKwA;8l5a@Z`;rD#LMO0LHX$c_fGgk|SOzqH@&`quS<^4r;2 zFzp^gt%*r13#!eo&yUfC(Q#-rC?E2@Wp33oZ4W^PBjx>MhW5T$!oSK!#OGZGoM7C*5*!63d|}zWLy!v@nP+OXucV&@IAuQdZgN9-9iVC0PmTbU zT;FTN8MQL5Yu9eKye9$LQz>FhmN0Y(&I+DwL{6rye6GI9+R&&km3#G;VpdkjSMp%m zaQCDaXt1?t>V$V&+O<5x)|X&^-K2SO_XFs7Wby~)kN1(a(7S*OtJ=DSrB9|W_LpV5 zPcbukl-S$^W-+J&RXXuD2N}Z1^GMhFF}k`wWk*Z_qJ}c{w+Z`-3vg``q4oLb>ts-- zcEx~PY9W(b3?DaVfBF&-y2TAc5nZ|tel`i|HiBHI7i3jE4aXX zQ!E85K>~Gv!lCO~o9Xn2&M%*S+UKasoh=9}@B06gxFYA?nX}!I#*I6^&<@hd=zTld zB}OaknK@VV=tg#>ToFJgNil4%ma{pIY6#|&T>IiSPoZndU+%9Y{1Cv~Pi})pCG<-fak`__#4T*A+V$m;WO*d3$ip*e@gp2N>C3*Lb@VB-`@4JQ(3F%(uUF1{?1Vp; zqueD~7K(hs!@){BwoaL!y(oV?Pjf(0LO^}aN|Ws+^JI$9rx#zB81ALU*gna-f<3#? zeYy{$Z56WERyQaF=qzA8pyyB_2t7~$D-$0{OXjD2jh^=H4tyI(c0tz@ew(Y>w{IVb zwCGyCUBIgWHUd1u?ka*v!rnfX){61z{?Hmm5|JSkla=fvYC$}#IAW1v`({v}a9>_M zPiwx|CX11mpS-+h2lsP|k$XhtGC=VK;IdD;?rR=dz`pc7L2WWHKyX`yI9LrThzwv1 z1`G8er*ROMWH2yfBQL!N%ovQ6C4;SxYykO#1B}p-Xk@U{mt7AjBeSKmltkC44707Fn5ZDy2ujUCC`sN*7s8pPzr*Nj=Ca8qoGp4hTAg1meS6O z@Vn;Sf`}KayshFcnoq$unxVuwv|gB?q3`9u5Y0S~g|G1)DX)B!OvFCOh<0|@C&$gV zV@vHauQ_E`5}GAjEX6yHX7dJtZ0d$7aF2qq^O3z5rRfIDu*ICJ7xec?SLm|CgSDtP zLMWxs4uR?F4xuHT4x3fLiqF*CHJ zZLqLp=!lzF$`N*MMx+GgzwZZ^qjViXI+pBq@JPRg`KLm%{cou9I}akdpgjFUY*3C= zeqVr1zs1&f=$|S(*j(B-sQy8U?lYRF+XAHdl|RuP<>`O##UI?`9$3OFNT0Eb+4ubdy$5ij7pad+74oXUa2hASG%i)NFsl5al z7~gAJ4u|3-GuoEPt2oQl(o|V*ygrFLoDDe9BJq+DY0L@V|NkA3b`F&x?30d&I3D?M zI+;sAe{&^a7hTjuZKt1)X+yP^fZ0UiCJrp~v(EgB#8JGFmK=H+qqA6T?^jdQ8Cen| zoK76~$b1?OJP9PuJg>)eH3*+_?xbu1wIY%3HAA9@Yl8?4>s(RjS$?@J$#t~{V2toe zpGRol>~$umFg5Bi&sfXf)>M3h$_jzi9wUC)A#lvLZ1I#$7V4x7D6N3_SK-?zr*Bx% zxTk8A^Ey!)90U`YuU*5JBV-W{LXR7&aswTwI<=wvXVdjuchPZE>PX`$ zP1G}>W95vXqx#Lf!LL{>cF}B@;&XTVVM7p@UFB1?cU7E;c+NvJR%DMA!3mH0{G|#p zw;h$er`rGKhB)2E;UK&>i?=v@i%75bP5y4IEA1aH+NAD`75A1|L_M0h&0$hLUU!lq zvl)eX#cF(GQ4Q2T*GQUH;&Z6I9+IW|^B#|q^G@EzQY8O&6UXIkn*E=CZ}#Tuq>8Y~ zUh#6~(0)|Z{CIqPZvyUP(yVkQW!m2ZW$0AGVr3||at8Am57x<&XA$gaitni&syOX+ zz~;*96JSfS7jN=97av!z8U*yYd#&VocKDB`lJNIYQ*^3DOms)DzP%5lT92=_fc};} zTEM#Yf-gB5}<(~?LrZSlCWe$*8 zd)}G;zaqXmDvIxoTSY{2Q94&dq(KD)WZ6|xN{|i#DG}+eWmmdGQbFl%r5gm7?(Sx3 zSaR82c3-}~_dVzRW6s>?+&MF6Zp@wge8NK6=y`g4XpExAjF)9-tm4h)-%{}=nz%V# zK5-qbIhoj0d(RXSx*1*W_><9i`E{0py+PX-2Yt^W9Tui3ws8SaiZ(wHpL^(Hp>D9Y z+p}MmgTMZKDm2sMBivu)XRjm8l1>JEN|7#_s(8gQ;*@r|%Djy4K_J|saZcW|2)akb zS6>dS=Wz67cRfRZKDjuqUlw{cpH1?+`{dh-*Db7`KNDb4@_eu{qr*+X>1?wxt=;fI z%XWH@4U#+N;nRfACpKGmYp#vpt{M3`hHD*rrawaQ8_@|HOsoaBQlFsuS z9PrDG4}ySoaa z7%0I5PGsq77nim?VP7lRpWFG2>{ov^;4@dfZ+(~TB+zVql(?--rs>mqqFC{rr=+|Z z23l`BS-A_}8MI+0%xr$M()R6+QKv6zO34;|KCf<~B1?w(i_AMJub6TzGs9?vE-5mu zq^6CbDuR#4+8tGn+tertk-jTcz65ojl?;Ad%31p|A5Ps1mnV2HlTbmtX*K$dlZddl z$$3Neq50bZSm$oG_Ks}ynIBWoIlnlMAo;=cjm(**k7+ZVm2sJ?o=};WQZZ3{dDn09 zRc(PGLtPU{p9OZ^-&J; zYgRCk{AyMuhxg9suhe+^EJtU!UzcN+G(@I!qf&nH59%`B9Td`J1AP`o25#t2I!WeC z?)+I}e-(Ojb7&hSVzppSRA!lyC7M+EJrB}{!w1>+oMR*KO+jwDQGf8z0E^*0UjoiTXdsvUOY?f^P^ZvT%(N#AYkgJ>psNz%P%oXn|(KI1OG z0tV%ZJb_9k+O1|b4|RYBe)8EbeM;7*)xPb?Z?cdds1F+I0C9c|@`+d3;0frWdI(vJ zgv_m+V%wRd;={i$i#5O;t0gwKaa;Ew6Ww;xBryGZHi?W?I&DIZm(-UNMo3Rq-CEZ` zZBi}Wh>ISx{V&j)?#j^N4F4xyGw1SZAv)rCT1hJd3 ziEfLISE~_wF+#j`jS@k7yqyp)OY~9nX_6C@QE*PO6?#h1$#{Re@m6wnanR(i`Zs@LPAttu z;vb#z^LhYm3f$rlp-PX((*+gNck+5=Im4Z&F-awVT-D1IV(W=0e-$>GUFro6uv1zJ zY^o>n{qea~CEeBYn9S4Q+hmEp^&g+}BUN3;)K>|UX@f(S=TF)G2reZwP&*TA9J!iI zC6z7-hgcTf$ut#+Y%)=78lPOxl`@vrXdIv9%)2RQ&u!ly_#c8^yR>xumhwb!_u4sc z(4@zRxv`4f{AeNq>4=pn&_#qJ+^23}JL~PAwJQlD9SH!Q5H)sash_MNR%>Vc71GL{h7Ywsf1t|l-O!0o}-XY7Z56KTQ zTF?D{uWB)1tMx!++kq9s@UyjwV1fzmygrWsT=DE|G$Tb3yRuxx4Nn%v93M%+w&zWo z!W#*tS>yf!d2$n_}D6V}ajL~x(U)B>B7CT0tdLA#|fb0%tM`wU5 z&kneXI=*1pTLUfm@MKQY*&pX!x&K-A?2_EXyV+Edf0l zp&F*$iF9LZC-Px%L;nUlLo0;cSo&nbzYZVmcYwgy9D*yoKA{q-HoV}?dTot!T@t{K|A1fE z5peFaFB!b~Lp=*k#S`t#tZ|S7Np=e=&hTGXm*K;HXI*$=X@ttQ|Df@?neZBn~?>rnh2_I)L!q@wdT!NjYLDe#Q#Ej77Sej;m+)omAlIA5E>SQOAxm zz-`p=T}Tn%TI8^hy`W(<-5m^}2AXSUjRWJeS_#hT*H}@Puo1&7!2}|k#*4Z0Okxb1lIB z0)ghJ&}R2*U8<7KqI@VEGbQI+B7Hm2el$YhE)YxiC5;fQuif(_>WmG9nyKl&TW335 zxDoj6?jR;Xy?Atm-(RvZ*Ra9;(agbd4SkI1wA~Hfxz*mf<|~NG75|kbWivk|Qfoib z+RRrI6UBI4VbbQa=p^27D`xkw^#iRVCn;aAktZIplI*KzG)-JNu_eEfoJ=NM8hmf~ zS9oHYVKenuK%08(`xyxguD$@={6l~(Qe*f7`*n&?CEo8E{>yNy9CLY&q<}DxazQz8 zTCFl|9)}BqEb0c1Yd@CYt%@HjG&C-45b%_CA^taJFVcpF9}o!BM1;TTxC~*uU*qzD z^wrHQV3O%qh?#POspAtFWbZuR`mAP~J3PjY-VKcaqk;Rq8AV<|e8z5X2GC)zlK}KN zK~_olq2UeOgqx2L0hNveO0O^LThq@$%5(IX-jc>lRga*dS@r55;c9S`6ddNI9h*r` zC!lD%Yby-AhWZjVJBNrQ&qiN6zd>DV=X`dLq4o#?`yKch#QOhM<-tr;{PHI(TiMaa zPjb=uAy~}oGcO(jQqD$z(B$TklE~juLKuEn2{PWq}^*tGK6 zPs~^+#qdl2_oFux;~WvA?hCa?epYRMhnjm>ck6wH;-L5g^hMjWSLqSDSr}~eA=h;@ zw{B6E0KS&0_nQ*wIFd3sZ`+?SfcuS*EexT>FV2Slq~j`fdI|06I4q*myz_`9gFL)V zyEO$~xc+P1Iz-sfYD@x;`xtx0d7!lHrI9hwAT37#XUH-tX0&21JFIP|S^D*bUdU?` zbE+hf3i-T9Q1NaQd zRqjVEzoR*{R!Jk78=CvxZcV<21;OUMDEyAUeRrX77!^Z)xYIW5V>bZlo?a1wp2}fB zr|NUU_l)iEW>UrA)O}dT1Gf3v?$=3WFj;xY&KQf(Ri~j zd|%3J+-oEL@RjETfdU*zuIqqL@4}3Hhe~+o)~FnA-xR4#3A?}kbG-WPIWTW1x;iVp zx}k0bXCR8UvxwS(3IRJUzH&I+P$YtcW=wq~esY>+_jYn1`1P z_UFN9JKJT^3@1}oa72NdG)XKlwDzBiiruB{qac_zb~aId{*a+CjMVazWRNVHu41+&##@>RZ||rtFFBMW}q7je4Ag9 z>jT`@r3@O*Pe_`YV|l0Bis-J#RiPc|gv&cm$nwn((|44T>d^iO%|b|JD24?`UGUGk zXBk^h&8(Q@wLXf|xSd4We+}q50yE;tVPs&co3Zc-ZRMMG zB3lmiHmW7ZceJ*clZpfrh*6~Tw`=`W63iPsTi0=K!DFr1$H2p>xsH>==$S>t4SVjPP!) z@x0`P`u!W(j+0xBt(52auz6=nw9o@UtolqaKoho2KAa?M6~CswpSvR2a3;?S%@5)A z`j6W!Vr)2onYT=b5g2stfqxc{o1a<>z1yA!C~pHmlMYct>NPCKJ4AqFaz0?fdu&1! z4Z2`0)GC7ZC(4P8y{_&lsJo4S0Tb!B=}XXw?SLzjU}NH?m4i)rU6+1si);BHu5)&- zRw-cLY{a+QG;#iUD}dqlAL=GW-ayj{3hb=#o?&BycV9w(vliX;&Sj{UiISEK{1+>} zNXQhk`aIzI5zCs?*_$4M_#HgpL3vGsq+ffTH~p!UZKN!eMURMRdCOodLo)dEeb`Yq z^E-kDu(rftxsnQ6KJ70G7U0QK@36E2Mv)(cN6=j~>MY`|T4RdNG6I)iK*LvgZDw@MdW z?n#kLQ0R;#TSuKsmuZnpE>sq}X>1No(ho=Q@d- zyZs~P&RxIPLtHw+9ShI-|Gf$G-;PgspL)l8R|Eu+a{pGgW&2FLe4FWagCX)qT|e2w zZsgs#sIALhnCbZgCPO4lbD2V^Jb~<@~r!M^IV6%;x=t9{=M zGmN;GPxW2)QDJeP@M3{`!`sW_s%?L_&(DOE;8r5nSqg+C)HXl_yQLn!}r70S}m z*!kd^iM{Outdqb*U^6>Qq=Quj;i}}0nl4zczbUjCob~*sMc{}yS^mQIXLMW{1X)0e zxmmH!#U`lQ6KRD@NER~ux%26=;?a(W`YXd zpIIsaLmuzrP`CCfH_{TS`?R=FiB#H#@r-=$oO6bc=e2rLf7m;fjVntIfzl*;laA|W znm2ih|G7DZ_dE9ZqBIyHXxtyIGNq@2!X=jfKX;q&h$6C_I!ax@@b`B~&s-7ks6T%( zMrHK>*#H1Pr|UlL<9BE=`BHu%5j@oN*Hc)RRWSKQ7gsTJef9~rVC^Z=tUrwn^SUsg zOM4I8x~OrRHp}&aC4b%2F%>b}sK7Qox1~OG%&Stz1SBW(^@TBsys4QpDT05x;uY%d zWG=9Oa-Zb1ZXxl7b`)+aQ!b2kl;KNp(ScN^NbnBVdD>j)ZGM`#XF~$Riy1Ct(>1!W z8l}gE>yM9BZ4JLB{a+_0@?3GBAkwtim(G?%=erSx3VQUN#Jj^`hQ(5`JB_y-{-9>Q z9R1Ehd`zqkpv$0_zU(2Y7%vCIBCBD=3kYeIo`0Qu#EQO|1!7DSiys3BY&oaaJ%m!) zy$OWh!V4FlKYvC)zzTDTmN;y(j(WzoPHIV0zn<=;N7pQT?r_ZWrEe^LxM646!uNW=dAcnS}C(xIZA@(=ZluBG-l6 zS>h?g)Eju3MMNfQ@)E@R(Go7x%D8?ghZtjQkF8tRobAeCH5{q{CbsC;9YL(MEGM)A z_+p}!Wl?KeIEaNm_or5#77cu!$sw-(;}W&4a|B%eWyL9p>I=WaS7ejwl}0c zW+q#X&nhhGccj&Hs)!`j{i}~MKiwM3SdtlKS!@%G>EUXMpr${Mp&vTinUB zvda5Xa?#up?P?LyI&Xbq^k}Xhcc3LhKiTG~YVNbh4@$?B>g!T(C>5{_iA73U0D{cp zDqrf=3hz9=u#v&o03SW|Fzs+iek-rX5l(6TzUN4_hK4h382w%1>E8?MG!YARU zUNsPJ)py+|H1ec+Dv_y-V>td(jtNdqV|DmaM{=WX#CzP+6O|2O^!}EN`Ua*iYg|WW z$$x|zxoxRbc~?hy<;%PNL6r(VYLIIWopjMBUs37abLV>vOoE0hCt-r!It!n4F4P=+ z$&A~7W>fmoVG-t^LZSTQqX`3q8^zp1S9oiv5X~Qu`65agbZa`sMDHZ5o?ts$DDGrB z6^?CHI?Qm`gvoIqmeNQ2Y{lsgLmO#4qs<;JK<0|Eh<6LX#0`9{?jg75n#23mh*^Re z(^=*V+DPi9xH^++5m=9k83bs;ePVpYY$FWiU}#3$uitN(Sp2TN6ERGTAHBEt;QGg_ zGE-!$ZY8Y7IWX?qs(Mmax$b<+1QRs<-_FhY;WYYVPr`pV;73~)k3La!bgV`*Vd?H;qV`X)7I4?^J9QY z)t(+Ay?FK#RwOihsViu_{`is`LOKpib3)o!KBygLzS_ zoE!%pIx#m`;EOCcV`uHDO`VE*LjK=SM=o_);Dxlgh}JGtx~y4KThdB~P)YcP87d!F zLLblP3lwl573%Dacj^0TKnL-gou@J#HU9>~JM>teicFW!g?1~PD|LsX#v9hy+I!wn zmfxh+ya;8r;JDj_FE;_hCQg1ZRN7Eoy_*D9ULIQad za`pL7$w`@Q8<#Y6M)Jt%!<~dNAh-w++nX*EiHqzj{|Q0C3FirJ3+($4kR7bG1os-e zK^SZoe%~;kH)MmqE%RU_NpO9+pVDCyorsnZxMOLhf*a90$3BPs=O-qlbrK42?3-&@ zXkOZg*@bLafd0BN`nGqTPm(CV1pE|A!r8VoJSR|_ZX6mh9yd%?2cVVU*G$eAf#ILe5{Lgq8C1Y492@?OeWZ0`XpDvZGcvlS3k-u7 z5qO#tOKQ5VSlE_3KBj#x10p+~gQ<-LZz~osYcNEzISl)ah(N*S9s)0~4b~Ugt{0{= zF8r;RJi3?ku=>>!6LrcT+NezDCtl;>Dxm2jC;MwK>gP$CM#P@HZ0WLm=z(nJ!t4+4 zGOD@Z(7&qTudMyvzH_LjI>IVm3h;F?z$a(_z-fOrtH7d=cH}4~J@SrOy0sh@r<=gu zh@+A^<3c*v5o!*-VUJ#d6wiL6JfdQU9;?z{M} zDNgnB>7r0qXJS*(?PgDdq(yuD+dO}9+jPb2mHYo17M4C(9+3k*#*=NGM;E+PJ^y%NXI;4i2^h>WN}zxG17fyQ81xC1M`BqHdAEa(G8nC-uCuX?OYI9v|C7Skqs7$QO@?@A-6e>*}aNV(eSPc}is!h;jl=G)t< z?j%2AaH1V%CS%r}H(B^{ckNkZqDj}5J*HqO{>n*SD^9Gq>=!KN`=Wabm&m5v3!@ny znsIR%)u!dN??VjcwyRy4Z}0UgzgdrcP2HdEuDQvo7KiNaazTRwB2VO+9y47&xwT}!u@JqVYTbx9mtA4O zY7p{0Zfxnjmr~8?s^oP+o^=d-XbH8UnIO~F?Tu+}{$?CC-Q)JRC;!9MnadA3MGEx8uFx=u;QAIhe@LamF$g15!bllrN2|aqpL4@rA0kG9{qgP3olH+^ zGLs}x+!M`PI7d`lsEIjkn1$sOv4HA~3L8ySj@aPwo>2Tzv78YvL4V9GAU}cI(}8%o zye812y+f?KwGT3mW^7pz+A;aD;!+N4j<{1PQ;8ED(riZ!qR8Mg+`;QC#svaXeqDM| zw1?(_W=&cj;4xzy*O6kcgiPShpCd$rOqu z6r)Y=xEt~N-3f72fJ0O=nqC}qq&ah0McLyUu76%miN?S zBc_4}F)%$71<>nZkc6&~S=H6{i5DKQyo~+wjmTIZyipbCi_;!jl4TF0DeuZmI zz4fOFEX@g#a8CD%HS=i6I1F~~zx0GDk^H_lG`#&W!U0|6N&|;2`JY?u(pBjUZC&QO zD!(JUFhn)gS?a55DNx*J2SU9c>E$N|FLG7@B*YL=t8+}LNMaDhk_TSU6v-n6rOeoK z`|h`wi+vtYh4+YyDcCk_F+|C1X6)NA^^CAP2chI(WyioAY_&n?_6k3R0=#%ze0OR7 zZeVx6n;&rXL%|+)`_%+n%ThMhwXxfCtaf|OZQr%lBjL|%?nUx>c3C=uy;L)Mg-B$X zp^>mYJ;ytV$}9Tb>Fx`UV=gLlR6`>^sBOzaRQSP9{a1Qdk$xr__XJGJLCqQEpk=~i zg6Jk;0XeL>1h*}b(7Mv|K{NHW^&!>u@RQ&+gR5yY?&;|d!BdSBGuO; z=r|wOZ^dvfXZp{j`MATDn7ltvkl>r0rM}L@V;{`6rBx2sA@R|0)D|GNx)DExIP&_M z_nPnPZW_F6Gw4pU6b7zblWf{Fz1+q$HQr+Y^^I;X72?SMrO4Zz!Q!2+;^wX0@%826 zUO%81#<}kMu*#2T-ECz=%osZ<8Yw7+ z1abG~x84^atmT0hw{Utp(BK$`FeD)yXp!Z(>-F{H^7I?L>D__@*^sM9MlLX#Euu}E zUHbc7&Gfq6RP6NLh<**TdRFXW(>w3|HnnEKA)XWh;MOey!Rxl0rnD;U8(LT(Pgpw} zNeZLv8eNbKZ;YHd?eqQNg@dP_QhWOkW4bJ#aQyzNOm0LjMXn|)^SCT2iIQV0;JE@h zOXWzwJwEwY`%1W_FAlR!mAz?>TP;TiSi$&AgU5z&!kW-mvbaL#@2?SJkcems^Op8| zy8#rVjgJiZB_+ZU9G+{bzma#k6Us>w`5h(8?X!#N`Zv6-%>R679klFwL#F-L``R#z zWMp;tw)&-YtWawE6)If3lQin}m{YoNO&*)@;7fJvM*#;h_?`GZ%?ko%CQkdMs`tXn ze1){q1`4HynBq{~{dqB)>e9zbMVz0wmc5H_A3=;w`0q6M6{z`^4 z(^kXwRSLb}w-8-J3Js=v*y;D;UxnP`R142~8|(r{rXV zh^Z|1TOVH2%D9WbK_Yi8m28jclncXLNv*CLyesdzz6>xb3VY*2>Su9|MGo~k5wyRm zJ(O&`Kef8F%JSOCe&9<*v}ZndIOqbsvMXv*s+15ID=0+mc$l-iyl?XHrEjjuH^ZnW z*WQ<%M#MP>z~-7Y0q5_dlM55D^iH5_Odzh%UO_h$x95B8X_wyVbizBt%^`qOMNV=tNn)vx(lV z-q}^x+Vb^!fByOX`+Lk|=ALsOXU;uy=9V+}d4}f3jT_~ZH?Obm*T>82QqRiG-45)` zE-Ef0A|%TG($mh-l3h$(j9o-hSX4|{Ohib zOFNJ2J3mR1T&uZpn#huONN<%WyOzYL8dKS{lM@SyDK&{Uho z075+48dW$MUGTP9O22@Bj@5mnQkNHG+|S()#k_w=$;rw2x7H`OnjFa<6d5j(yQ%9g z{VtxKe@%3z=a91tDi7!-Fdf`H8U`dA*9n^XA$MKgCG^XX=@p7rgK7%T`Oy-GWEjMlW7O@z7Lf6`*w+gbDih>eGH%Jollo4Svxn=F$Z6W$TdE~rh7Ap5Cwd7`%8K!A-V{8zmo)t4 zw4X56DF#)^p$-ayD zBKzQs7sUD<>z;N075 z?*vGP=tI4K=Ms5kGduq2_w-r==iWLkd{N1cFsvNJRuQ*<%>O7<+kC%H)AP^l;$Y3z z4(HICfkNFc0o-Ov^7vhh%e>5{;gPrhdFO)VdxG&<>S{XqAU@!7xvy2IN_cuJbS2-n z8igEtXY~%@R^sF`K7X#`vlGD_3MhkitsYF9*d3l8%_kvF3JD7rB9GokT-iH)OR>{M1*al3E2uZ2OldD2_65^0 zLrd>kfPNVzoeV4rcgJlW6S4^ft?jD+mK~d`km(@eUnC#^c4DaC2t9H#3K;iE1?o59 zs($_^&l!hiabPe{wC(C?@(ldu z)z{tTALEzo-UTi+Uf=_60oqfErsI`iT_K@n)qr)=M=RSWZYwuW+~E7`B?pKF{=siV zB`%@BBQOi>!U`kerB>B$SX~_uTomvg6yOz$39vT@7QoPkixm$K@#D_ZwgK&(3?l@_ z^*l5H7H;l$zGfKy$lZwq6G#Lb;*R=!VoDHFa;xb>?%B)i*O>h3aaEHfIPZ`yi{>EUfrM?F2cCnDr}Nw`PgM5Ks?|~ z=$pyK;3)ZHF2uip5F3L=wtQamrHJU~xe?W!bMBuQoX%vEHaTpzuCI+6 zmA$FFP|V@%yz7}mAMS8cQs9Z0Yq{mXR&_U$smmS?vwOgyHn!R~TrJ9t{;X#*HZ6V# zhdmJl=qIpk4XUrE)@Pht01g9MT$8UUY9=M^_hK!MKc-I$sqCtcTr_$b``mfkKK@wr zmvA#qw5r)hir(un+gP*pRi_?Yp9Q`@sk0dTRO5)>G2DMncSJI2rB|+)JD-(sRuW-( z2Jk#yb*nz)G^qTkrboQ_%dFa2*g!b*nyxA_t0ov#o5;tP5xPEhSuS4F($_SXQJ3Wb zzX1YvEfdjhR(;BLI_%DyFc}o*^0TazdvEs9z%D3A`t4M5!gKL!d5fqnOZ>~~EMQm} zh-R&N8CB^jZP(O`8*Hc*zlyhpd3A`((k?i2vme_|P6>btwMr#T0| zu<2DV4QUnV>6g^CxuD>^TKr8#za0NI+bg9{B!Q3OXn#wk$CZh%?94CE50$NRycIL{ zjI~WTIYF9agP?x@%epWZYph|Hz#|(Wwr#BF*mz`H@Ut9av6}~D6t)+z;PYS#Tk4G9 z^X%&)Os|2@o;xOfu@@ye7R7DRC)M&m+}ioO*FGjJ#jH0)&MSc1dmj^d%|}Xh>yLj8 z$@(JMXc=vil(qW!`5`Xb+rcv@DC2laV_E^cW zDc~op=1=w)-zQhY{_RyWPl2g!$PH{5l)JnIcP)8{eC>bTsu`;zTM7tCbd%_#cw?%9 z%xANUmF5fD`kq)V(-#3*PN6B&ao&<{H4HUW)f_x!DGDw4dLbLgoT^43X?XklabJ}{ zEweUEkZ3ggw|^To!L|^ua;uc<7pf8Wd->Y6X~8bU!W> zC>m^QR4uSsfiiXUz%nU=D!1{PC)hF@gpp3WE^&(lWoII5HQlNO`1KJsg4?rTJ=L<0zwg7?wQ7{poMH ztMb#mmZmB6lvl%7b;@nOEIjU|IDywQW>Y>Et_@OMa#+|X{*YVCo_%_7Tj8c zP5<0&ym(D$H2*Tnr1STm1GBT;LR&|!4V3SDgy{4-2|d2GF5WiT;Ivls_CPMq1^$E>Dy=z(CXLg6@w%ZCz7biru1-@J+bq5+V6w2rG>xrT90)e*m=JcQe)uF9m(yB z;rM=`KUE?92Ktq%J}=zvEkg@G&lb2ZPANL}gV?$->R~9>o18Z;2^KH;TGs8>>r1-r z3q6Q{z-DW*g&OCPUYS9ay-ue%3)|v@Lh=}n{>~)R_8=|JrMI#^_g=rnO*zl_t#5kv zfwLI^PydK#jI`l{$)} zGHWY|YsJrexfqTJT%v9`YhIv6AO=+3kv|{H(f@Xkn#qP^lumr-hlQH?{VMa3xkpl2 z?;xfVRRw-3q1sZ6N=b|xZI#>kP9B~m)=6zy^S6{&c{S+`7aqm%+0LegJ#B?|{~KRr3@6eW#cDLu=~7J;f^>$5Q&@XhV|#3xJI8_3VL=dx}rkc#@X^ zY}=W#agi({ByL0G&{Kbi*~Z~QC6L}Hm*7`jZOBK0^$ZyOHzgG+$IouS0Y)| zlIWN02JStwvdTIAx`*|Cq3jL_LzM@KCN8~qHj1dBYNUqzEoP*OzZCxRzl<4TKXMd# zQwp=7qQCnd5`#jL<(Y_uv8RpY>`5wAt%zm`OU#_&L|^s1*f{D2%zPfN>3#5FHi_Y^ zK5S^d=s&BHU-dh>??&!4`bk8i= z_%a0D8Ro(&Qdo>ZR^QT5cfRF`2(vwqD=fD1V7{anR;JQhw791|EI!odsLLo~e?*q& z1yFiVS0Xb0*6oD=oQlsUTvWM7)!toCL7K*y0<&cic{k^73MqxfBB}G8Nmw*TD=ZwYM}c0B+A~`e5v_x?(k9Jn-7HQhSw9i7T;fvJSe`eCE|9m zKK*E5kUCbw3-8Eja7k18dWxDSAsL{OW@#)MUluUE^kmQQT0&S}YT(c3YYBlv9s{CW zd>QVGa$*@m>xlq-Vf@LS&h>&g1rBh0XrO+QLaE^u=yELz3DpwC#4i0=@`iFmRd`>2 zAjN*lG;RJ&zZDhXH~%=dq{7>-Eeh+}^_SQyYUyg%V7;Mqtg;AX93C#7j^-!^e`ph4 z|KrHY_G(kJti;G$!zZEq0lQ-c>7H~cX<3h4GVHH*vCYi>x-|xMy z_S2pOZG%#+QmI$liUBDH#=l8T%wkAkue2=ps*M4;zjo64jUW3FdOjdN8S%tiO~B5) zq|S=`Lf;i0uu4TsgP#>1*gDVubB*%rF|3>VxM_|tZ2VK=o(O|1z27orrPCGs@Mkdd zdC97bb3mr0$;G{x5F>#MB<8gm4XJL>pIrkQo&YR%-t*13Uy=D=eKW(g!J+D&faw=^ zA^MGMn79%7-t*v=Vr&ryIb*UbTBxczNH%6XH^!4LNAuuXAIiycngX z^G|gJ{|yMBUM>oHw@neX`#Lg;3$Qw)x^s4Zxa@xyu>MMDewqoTy0ZoS5slw%du6F{ zXmqA7mC{Qmi zZLP>95Wrb^t|5^23Z>HG_(UfJJ*ox`MURChr| zmw+*afs-3+TDMGXFPEniUE@;zsjJO`gah#Dr~C7|lP|4Su&^_yUKEI0)VA6oXJWbynHOdr(9DJ{QiwniifU`ps*_YT|)D9B+)L$3L$HfGCFH!6;d z$bk)R%g#3{v=YcL3b*0KA8N=mj?~*#0e#PAbb=l9f9H(XX#!BeXsh$UOdy--**j#7 zhR_pr}MYz7gZ&4`9CR8ak=EfC8DIy!hxC;*Y2 z39q<3JHTh2vXgc99IrEcVFa{|*HDjfwOuX;!O8I}7Uw1p-~s{$9k9Q1c3;i$gi0=7{d)BR>gU*IHl;I7{mHA=nsl$FL z0K@X3e1OpEZD?o`N?%tm1z^tum{}^4&z+R#tTl9KYGpG;0LvRRXT%F&QaoILzm*^dGcS|M7|r!g>1Pc1}23!Ry;V2{yQrkWe_sB%S!J-HRuY zKWQ*#<4pLm%T*KX+F}-Nk!ro+!R4o`Rwi^~_q}NQb_-grsU#3g@P1`PQhg}NnCNOA z)u+#|FviC{t>U!(5)Yz>evxZv0ewe>GX$sFru`!%Nk5^5KIexGzO-X|Le*J06Vd1X zWYKokAL}jgw@9dEP+ZLir%FOy*`@y~(Xag_@=S{QiJ;l?TlE*7EI(!c8U0Rw6$T4m z(gKRKS%+P8%;ynm?MH1G1GHJ*lf*f8+v#{Y?K~JLLiPBV+CFa3HpE)3g2tbtL&!El z3T@BR=>eK=*-2NV;tM)=e@GxZZ&Z25u%o|7Vb0yLvFCwLe*dM`T7N09K`4WnmH21@miAn6Jk zG>aG7mlr(>@0{nfy`}^6NZ1b!|{PdBLs*_dH&fq0wps`pm*#{k&DyZKlBh534T* zuQTxMu3=G8{SSk#=+Tvts~2|k@DJ-vniFcEhsuUmPwa*wRumsTIxLuaLE=J zs%xtynQiU)uCKxcdGNgI@gLg8Zp*&bUpID&`S&MtJBRY_E<5P0L^0A?`tJGs^jpcM zr!!&F#lWrUfIxEo{1m>;*DaWY;!e5|{*OO?@?_z9;*Bz_rC|{k%$Fby6+-Snzo|6i zB_$6R$U*FQOG||SO^gSDuSrc60`)a%BX(?aU0bGBanmh>=VW-G-{xA@UHX!Bk|947 z>X~+WThguuV&;M}JAcD#(c>*G|LMNfoI_Uu{Ha-sJ4+Uu%yxA)vc=PY@NyHc^7z6e zv`E>HUQt0oi2;r(r>WJz>2Uc9;o=v5dm6v<3s*GM58KGXK^R1RF?9<)P=sI5vNLRYOz(p~!A6V4QbX2c84pA}7Kl<%83W+m8TN zDZU@=KKb||ma%yfLBMs#;>J6h_|Km>rcW*~UUj3s(4))YWTFBwdRiJT&af=@EUSzw?S%)TxM&bSbN0$DhMnG0C@ z?EDdD8W#firZ4JE=BbVv_igM9ZV;L4BKcysxa182$yc>!Q>|0janV-pXkdFL8WH}vGd zz-xc;S&0=wSaCG*iD;B_xzgG_N(tJ&L4gb|lZ=|F_Io9Mk2hcmF6~HNicn&#>|+vt ze%r~ya{2ox$;#mVK(_dfj7PEb>y-MdxYPZQx zbydL`K0aDMJ1u=K`?nz-QI+Z$iX!s(zC1b==v{QG#vWrv+6$>ycRM`qE~B5R)+QJeaP4lb_N9%0oW%E!&C$y+Mq zgF!O!TDU93nb8wUkG<^b)e2cTeKW>zMB5m>tb~4%fvr9jHPAif9>OR~_Ul3h*my3h$&?;F>ip!mV_8F<lGDZ>5*|>w>r?jZ=^jc&u?w4 z$m2=Gx0&jcL&4#dANO9Hve`JiSw^U7|AfI_1?8XOkJK^>h11GE>Hr64f3(12Ppwmr zd}7+tvLbnViVll7@mmi!T5%h@=>ZM?-e|SfW3gmEL-Mj`FK`Q8yBT)XR(k2j zU#;s$`dZ=6KGB9rg1lLGan4mr9TU)V8Z+7qd2K4spy<~rPdXrk5~RCgow|9Ti2dC% zPMfc)Lav~5K*Ea!b_t26z07AkAEq9X)H^Gb!Grqtf{gHPnZowHV+HbnjBU&r znNKs!h>nnv{Il48RnIJKN9s;?%O8KO#U44v;Slal(~&A8!L#+-izNJ+?XWT_phkm= z#cf4|f#z*11jWLN_2>+NkIf-U)?(Lc zC>wr-oX95*DDNn^JG%IjZu#;gia(f%+Wgz^MFP%F9WIoScCyx(Sh^=e$!g)R|w0ISh&iynNaamn2|Vq4JK2u*zTJ#5CBnp>_akaIXqqu zvWcYvLy=S>iK1ON!j8MGW%GaSUS7#abj$Tm&r@Y=r4EPIH~nW87GqiNVeE07RgXQ` zn%O?Tb3b)pJN1UsV$Y_yZ$5)Jv`(FV|&*DoxnH71$nvaa0fj6J=&W8Kd7d=B6ji5DekXU^SH}Rd`vg!vf z-eF018l!giq@3@HgbM$7wHr!*SRq~%x!Ys0YiYDcziKedY-0*tAI%(iN5t92i;{m_1J1 zkGaa$l@FUxa0ZPD{*v2Hq3ZiL;IU))X5qc&scriyyJwDJan7Nu2SYQ@;(x{&nceSo z0xJq9(D|zh^fO;_pjZkH_Ch9t9o(*_9yG{x*O;ui?)C=$E%8@>Ddc?4U8@*%@t|k6 z+Gp{nt2kbx=uc0}Q|=)LQPD^t|1}eXVYR8QB19ai0!aMa`V*>u06S6y{wB&+Hkdv1 zV=$Xx$f`&-C7$J8-Mmsli>}}OPs+RCBwgZanb?-c?3#4r^dmEB*Q3q?is?rEh#Ty0 zX?%d*e@mLx56!A~7i^Pz!c{cZoD(cihOb&RoEP~OQbiGZJ32u<*}4G+ZUBP<{opSF zHLqS9ePpnsUq5f4Wka>-HbmLDCmDb44HVI{x4f40zmcpv?Nn4XXsBEU$xe5QVmPp^ zS`7!VJuM9|oNE)0qx>l~SkgDZ4}LxF!R18t$Ia1Bzr`!zeYCs0X0u;OncviXhEmm` z5~(AXDp@tXOyN?;GEUV1$@-1q&iz?CeeXD3P>Qako>|ZNas?!BUbSJtS2b!<%5oA? zZQmC;*=#kbWR(mC&3ltoe>{F@;Q7WpdC6PglyDK;1`Q$mE)W5$3%&kXd__LN}Zi+S{UQWE!a75P4Xw-f9dcR$(*B#;nxJ8~)Q&U*=a^W`1a6P#@ z&e#o@uiV|+nA zt||YcqZ7!MfmX7R`NuM|@>1gYHzkvw>t45Kk_v_C=NK{`_n5At)JuNPu}+am&<|HL z2BBbOBdGJL$j@a8i=P;8^|z^!5gzpr5w%NL6JBy@h3X|)YOTqO*(6su91|ecL9B|s zWP-JBv)M%|e?d=n9^58ujZM!-5dxk=-b(}Vai6wmM9DS*NFqN0s=i)bn3lNbaWdHn zXvE$M85*p9_8{niZBR*`drD;otFGH#rDZ!e4fO&+Lvq4~$q`gMVsz zkxq!f@(`6*yI5d@>0cK4SCuSGr4_a6mfzd)t)N@8&bi;B;6lKRP-qvQbV_FkD=k`P z>k6>W1T7?tylUTi+t+uYkBD|#Il2;v6))&-zoD^GVDS*te`3%WqIzbS%-?h2v3w|T z=2X<|6KPnbHXl{HIJ2@Y3-Jk91t2R&+INT{{_v0?hBu5_rj>qlf|F=*Q>gK_OK+{s zn`vH$qqDwx21{ggGcO{~xPRoboI=wGi|OQ7H%qpJwO<`@chq!|F1Ocx)PJGt{25nq zu2-_u?zg#tHGNwQh59z*q&*{srtcaO;04HN@3m;Sfa^?4ETS=nw<4DJ-Z?@^bpk z&r0WsCKjCQ7oz$XEu%)VB{pPqXl4I4H47m1QLXUrC?kh2@Mj%;Md{*@8(AmRt^*yJ z_uD>UNW7I3+6Bt^T0DBbL$)tK$>d7P{{+;{=*|h(NMBFyl2T==8N;jq-W*@J{PA5WkJm;MEU9giG zfHdUUUhG&N(oLb?eQU=j9Ok};JioPNa(j((x*Ztw(FT%K-|)mG0R8ixQKfpAQP*@9 zHH4jf98F`ox!wtLxcC5A9Sf%{efCW^A@J5wLkcP4{-XerX~$o|CC?tvVqCQXAUQz`YD}ZE$E0t2&ya2l`i{%Ij2Ex=F?iY z{j(%nomp`qS|47vwaSy&WZcd=4gm#ZWv#gXK-xs#3D*LcYF|6?|N4Z$|MiLI^VdUw zk`z8bt#K~7qF*=?WemyK_-31^XSen_Lw#DgdDYuM6(5G3@A;!C^QS_o-#sg$zvI%S zQ=5Xo$qEO{g$IdP2z#}bb_k)MZ*kc_>DzUPHxQTMUYVhW!-;{~pbD|4A^IP$lYt_A z(V^h!WpCfVp1^>Etb&B~{%`hB)9dZ!ZuI!<7kS*a*F9bYhzE~%XR+45Kk6xTa7dFY z(E5lF2HDAElwJc@C>%>Hg?}STF#=_z{cf4kpqlF|3tfw<+vnfk)HOh&ct9R?4G~%! zkreoMyGnBA#@l;yDkD;J`a;wUOf3#_=ByDmbUGjx;`j4}PPFkTb!{a}#(8q+^rc6P z|8z@QDJ~TZ)PQW;gCD^vunj}pVW(X<+fQk{l;y@AlSK}4ozz=axL~N;CCI>kr;kSA z>Y&zPVSY`3Pnr9d$^XZI=x%BaTskHRBP+)WeUlQ_kTutv=dl!ZE{T6st=N}Jd?K1K47`4`x=f)ZPfvtgpN)PpI3;DE4 zuUA**^8A;}BCh)M+?V4?`tx^}*261&my)#}K@tJGYyYNc`G`vS(fc=Ui**9Vy%hj^xD51j;-|7rKBz6 zladI;%TTGyoYGeJo}xuiEmv>e&YAXQhRPv!Th(2HZShr`^@0p%Z3@K5wrCvvlvQd= zj+lzSnn|R&qMxh7R803`-$wP@riHXtE=6i1E%E)ynFKP*T82IK8b+M z!6hDo2E;Z+T`p}2bhpjHQPo}M+9Gw3$vC?BG-xCKo!#Kcc>ukg_LdGSH>v_RkG502 zZVvOOij|5P%41AzBfr`uu)r?zBCpCQTvM{~x?$71Rc(y*wX zYn(JKhYtt^t?A#jk-uePyDSgeIr(LuQ(b;sGL~Cxe>V6Pb>5O(OmV3{I7$I9AM)u& z@D-grI2N3y25X_ThAPOX15I#7A{E|a0nUT&ZCo17YDb9Ga26JzF|xMr+MGdHVdGKp zLGi#+1;K7rTpu+??9M=M-*S>Omm54I8O{SHSEcNwQClK0Py52Jhm_QrxJJOP3v2Q< zK2)uRypEa=VY`m24HYOa(4#KaGlI4bP@K)v*Tfkzrw)q!ugA$bsV3VDUeDu)yRb;v zm2pzAy|9K`(VDg_Va`Wl7tcPYe;CL)2fnWfnkdS7 zjRO{&|JYD+WQ01uJ^7Q~Z@ua1_Wk1Z)5%@!uJQ z1KAF~BYsJa?AfX|?J;fYI~{cut}z6@i$z$Tw4+yxN!5=v;;L!&UZ2nNwUh?&mp<}u zuFvDaC4icK=d}e*)UkTD0wn&M5U$l@1H-hhLN1+E@r@tq-q|HwkAJr#;q_jIigfHz zp#BmSVr`?s+p#UBqIA5%{Q|l@6kIvccGXhQ3QMYAO%=Ruf)6IZtXk{fj90nG2yM$P zAImSJ?an-zmkZEX4EsENWp$*a`qdqe$%+m_X^eur9|YJqk#plZ@X;7~kq~j%e%CkM zAD#RIzz~EJP))BBp3s)r*^I3zZ7m>196XN{bc!&&SJ_xRDsw2f$QSa+d-H|&eN=^? zWKU~^mZ?^tgV!eY9LCtTa;xk!{NE44q2Nh@z-_p0X_oQXuP6Sjq-A@)$?Hq9d0UmF z#2V7rEI54&ZgJiVr1p+e|363ZT^s~>)MHvLkMRgu<4Y5fE!A(K%_)*SMQ(+*N`wHp zE*Q=rzkK}~@Z?rl)$P-33Rx+z(;rfSP*QSNOdj=C7u}ey_-+WE9{QjY549Q4aO9!B z5bC%&dSr+chiEK7g6MJKlmLv-7t>~&9as{vEhQNnOcY1wEQi(MAp)50Z&ZzV=VzD; z`g?@trlTbiVpSVCWq?K;rS4)yc4Ez*CRWhlv%@3c_AMM06$&6jI3C&0Enc%fIPix2 z+hQ2oVwFq5&L5rMYFNIV1L(hux%xDHXs{cUx$B*j#X>`JLW2K3J9K2p)ON`TH&Ytm z#_1j1J9m3)_`LBv`DkPsZA9hl_K!GUP04Z^(Z~UyS5DoY%7-EZ{*n1P)-Kx}W4ruM z&Suz0%;TfkyaKf(UQAw)GgGZ?ekqsR8Q9Bmn@ixf5t>r0Y@lV*SFx$`u(RZ_s~L+cfHqNL|E*XTKmE!TP&HT^RL zS=|0I`+>zcGGki{VSW%+uIl{1jkFvqj{XrSB<)S@uTT!E*t_w)*`8kL2 zvBK3*H#OT>eLI_ScJ)70HkbY%=k2p;oOVbb*L}l4kP~#iaYq90C40O0Ps415A$x34 zeG%~B3Q>2k{|6u+Mz{Rkglo!fsxK@ElN#k;X={fm+g2iY9MqMvX#SNdH)!%Y z*?B@35=?)gtr#NV^#1m?tWk4N?R|IOp>ml@`0GwW-PArJzN)|WWhP|#Z{5!9{ZZ?i<`A2%S_S_n* z3v}*FXHomE&Ao*Y)V@#ko^w#V{~x0PgfL2}U5&9Y!97hbe#N6mSh0V) zmLatf8NH(-$xn(lvvFC?e@evr&$mbKobVY+f*?bG5@o^zc#1-z-3{xr%s1_EKmV;~ zOE6g8)eqdOOIF3hw=K(W6_1#_#{dHpg0-wg=Iaa?F;G{Wr(?nI$mLLQjU3YdLMx1S zBuKzy%%Ru13AwDFo-@0DrxA{=o~1!~%LU2B@hcl8dzQ(NeuqFbo4aHl^`Jss zOn@s0w{!V8=wB?UR1!?Vq_>dB?9UlU=yEU%FV-Uazv3 zNwsyzEg=`2BSr=^U%(`Nd|Bp|qwrAcb5c`S*Q4h9e@#vjOWqY}R2PiDNuLzExI1oM zm_%2=pEbkt4H2R87%j*?Re<>~FHxE>+|z1kc{~ z^!Q(#lD)vd1mAADqwf0Wc%Acy_Pe(%%pA)c25diPkO<>LCa3erRD?cuT+$4{f5Zvf z^MC|tj+9VVRV%e4#J7HQaHV9kVyoNcG!p@^hjPojxuF~Vr#X9S1bAY64H+=^6v{K_ z-zSQ8@La%eF1hxzXrH}0L3(dSKZ*;2cpPi-uC}fM&|8&Qga_Gx8oW*>H*ND1^dO}yA&}b>ur_+Uj{yK zPc`!W_JVIML@0(j==%Ef);;yg14UPkeibLG z?$te@xcTD)w+%h?GWeZT6d{6K;r*0TA6R`ttRk>x#qVq5alaCCQN4R_-66g7N&6e- zjo3+MU|txL-CzSMZ(J9#ykhR8ZMZw10YQU`@k zo}+!gZ9gv#^M3?Mt)w7sbWTdMIKPelLahFdV7G;+AJ`wKn;y!`3qd>kt~yQw!q$BP z?>n?lC+namq6q@bC=d^>$O@$|frRnmiYSBL`y(DvQ+kb>@Nh3J@!;qNBaB)Ir6I&) zvVgE38NhHAoa=t8HKKYaj=Hybf%5%-(GVo0OT9VY9c{mHJ^i^$evNf7Y82Fhj>k6Y zNh-McDqo%ZPJTrkR2Z4`%!3raZUw2400qcp0`JFS4t%YW&T#04S^nsEvr8u>)rJ4X z)VIR*HL`sQ=57mo^XD5K{rXo(h{mW%{08v{@=u-U4fsctzcrG9BFn*>LFk>&v{&oe zIZRgt^WQE^A!>~IWIY@r7pR=Eg)5zV%O{4TI!O8?=C!+b@fyvgYsj{F&Hn&vQ#n;PXz+AOSZX(77qH^97)Q_ULv~!6%qU#KYcW3}kx{6)K#d}}^(r8X2DzP{oME=m1mr^ugTv+XY_zauxVv;e` zA!rf?#=2)JUDvK3`w075xg@3^8}z#bWR5Q*3+4RxZ)KfROOa;y7=SEX{~3~tpZa@c z+WIPkUYOie1rG@*(0#}dzyl{M%us&%e zOKr5JL=&JAev?hnj9dZr0rq-Da(TS9U=+9K`~Fj~vHlw^1(|o*jS8BPhut)Rf&Mn~ z(#F4+ZK)SlJE>@KBrL#9E$l$4XKY`R0&Iv2&|!}s$m;aZ`CL}Ih0?*q+yn%D67?T% zM7{s!@>0_W9@0?0vRxr5H?$Oa+|U=k`qxv1IM5p;;MA}1tY|BWtY3ey_JotNzcNDl zymme=+^q4+qIKd6kA8~mXF2t|OP+%D4zF0)Q!bPoGHB8{>WT77LJ2Pj(5``%LrT}J zwA&k^=HE}}{XR`{z&R7(5a~-{u|Xnxg17}h>5oSCGAKiycabd-x9f78ac~qfI8H^a z4dlgh#b?ZpKXB8$`m(jmHXzIl%{bRsfGe?Uv}>SzzY6Or2Z11WmH#4~zKzGV10Nh%05_ zpGYRX3MbV(@C(K@#nS@ractEJt4()lndSSA_^dOvd|CBKSIXa8freOjDMzL0u-8#C zjEjvsdZlzeb?RXjvEIz2qk5c(yG)E1Z-f542v7Ux3O!I6{Y2y3Tz;N0YMJGmJWkt> zh#HGAlD@rFxV}L-hF1PbVch2uqF;{m4ZFKaXn8C>cVkQSRDL=k4#qlQc&nkmyH{b% z;AQZF^~X*=X@%U=A}4H*GZqASG@f4Zu13fy>}Oi+y2!Q`uB3LN&JA8N%SNSq|H=CSjZgZR_5K&j zdBcY583TAtBx7=2q#|pr!GDzIlXB_Z^|)4v>h1lb>4>;*H9WJ)t4(UlBHaKu#uMLy-s{s+NOof@2LLSkg2ec8U zi|&ogKaY=|BQNOBB8f3F+h=z64C?}7zTE%T@<8=iXe3e!6|!b{p2Q<9jfpo)qvQfl z*H!P?d|5Vj5oQ3@toFQV?CV2dKxZU=CW1ziP$Z>-kl&2YmczWqrJ>!qJJMwB6a><`BE2nE2LX&IyTYj={+z%=JU{Qu9U(yNs z);eXw2n6|3E<~; zP>XnpzYGdMJ!7rMs^)#~;?9%Ak< zrHr(xmv;U-|FA`ND=H<>Ak@*Dxmx2)ow;B2{dHokId8LuXQB8bAN(rWar_6H5wq|6 zl9OT#HNpCY4k zX$B!8t@VOXFwpO$++2|2pac1u4tH9YUzG?sShxWT#+@X_2RTbODO8^Y=0J?nJ!hV> zsyF6Yk^kV9HFf-+$7T_)Fvim&B0~=AzlN5;v%x_HLTxUz5^O{rD(vq~Dxm_FpuI=p zNVz6qysPP*$31(*GU@$W7w2L!q$+5PJyQ9`F0}8cgjy;s8oEW?CnFd=-9izoZ^BD~ z3r+oK(610s)$q#I@`&xZ)@ur=zDyIFsgl_bA{Xnz+R5{t44@97Ltw=rQHHpHxE#4k z?8#;!gkDE6|AOJUH;H*vm{KMbXd*bsl7!GphMT?)YyJikv1GV2D$JGylGxfM1$Ptm ztiUQA@SD2dZ!BD#>^Uwq`Ya7jv~}4(Uh&-p$=I9_?fFyx>UA4UGKxl5cTb7uydTADJrg|hMdU^FR~~b=U*BfvqsYF$eq-8V>71%G zd_{}A_rM{wP+JVZ88V|1a&xuo^G;+6E=mmtjmvTFFPmFC{6{>Pr8t<)fHzHJ>gs$KCm z!b#WlTbd_ZZi~)7+n$B;$4XEgQBP84-n}3Xyr5{yBq2)fPCobfaByb*MNu^)d&udd zql~}s&*Hz}a{04UPhQr)YoTU2f47YI*y?Xij2G0o$+1?^XC;dBmFXfv=guaOM?mGG z<{$+uwS1Sv7X|BJ&kS9!Tj~T#2zi%xur_5Uv=E85g;&wWQY z)ir>Fy%X9-ZRd=`pmxNcvlOsDq#Pq2{*q9qg06iy9uK96`*b%Uyi8McV>2H(AweIn zdpuX^>2RseIUHX&85RFY;f|-T7XHB1cPO}h<&6oi`j226tJ!8RaFuZEV{dSLe?j`&xMfUov5Ytv>Xl-|$s zSl0SW@A~i=K)<@+tUVV^5*@huDX zK`D&eCV{=t*QKZr7*Ps=9F)Rx!*D5l5zy>tw?z^%Qh3KOM_;#P-;t%{ZTa>*NRie! zzQ^L<`?Q4v{mCs6U##=CI)jEy_z%@Nd`^Gs@!#LTe{}=?zc=6?(}1rBZL<1v(*cq9*l4FCqA#<-GIMFc)7U0rvd+A5&st2 z&z-$yNpoB(jC!T0A67>r!M#6uGt8*HKQ*$3nZ2E3Z!=~lAUrH&=R6#rjtU2l9DB8O zG?CCoapWj3!+fdn-hpstO(1(uOhSr2JoZ};3Pd&SzCI+JNLf!S;^UB$oXUQ;*iAP)EO9rP(LH6LKAxUY8 z<)a;bdOkg#;-?;|AG191B>D4&(f`c;(z2TX`sw#$_j}Bli|rhZ9^dMiEi4DH_r>Uz zw9t8ct1~TL+b+&f{XUY7DQ)R^O71@%*#YB`9XKA@LF17fJRaF036NMJFY0dJr{kHQr>LY#IG0ptGq*~^v7+Oc7T0R!l+GAGsH5^q| zyonwaPx}OKkJa7PWk;$t%a3px9ua-0+y4cO>QT?#xNAxpMNHxDIGiM!ssFG8wk6OE`PxVw$ zLHPh}pyaZH;bPgzr=Ou=4HU{9q#3hLHjdM$T7c0FtXTQTwJVR@vLDA30)L<1hY3u< zu_m4aN&>e6{*TM&0t$nrdyG2z@KyWOrJPV2)JnDK(4$t=srDF!YR$^Ebt=9gASD`Q z>0DK?D0;1^fJp_1uR3bLaSw8iODF~o5wm%S<2=zQY7tPW_3$-^*3Dl%L@(&pEL(s2 z7MDQC+q7HxiAVGxh-vB5EvXU@IxcZ|kq~_4&p-n8>6Q-A>6Ub$gElRFy8UZ(tov~} zo8sGXpYDJf9Zx3N4Qjt^Fi3Y`jgFUujm~iWzAx{fG3gGj(Jhv|E2Jwj;<;S78Z=rX4o|;R$L(8 z8a^~_#qhc62X7SL(u&BmHokTa{y)-u=gl4Pj}`y7bin^R;lH&5{-cC{X~bV-iZ<-g z|FysC505syHlT-Gy~obMH$@YUhr|DnQkO=2%es2s;u)6h3C^$hyTcC)JKl4X8dIbGn5mB+5zohBs1m%pO}X!)Dm+ZQog5~_%A4X zkLF;IM=c(Db#)j?bhgk!+bDML?Vqoh28bb$%5$UwaK)`CkrO0B}ifpX(v!`(N(iE2WSuMj&{QB zqon56rF(P(6CtEy(+RctH=;fs!^)zTlM<>?RC5bxGzwA14Wo9Jr0dlk1IxAMu)MtD zC|&B51sd-?-TN9S2tRV|u}k`cB2@ccJv;M(m_)XC?MaQQ-l?s=wom1;t!`BH=^0f2 z7G>o$G-~!{k*Wp9muLKKQtFe(`^X}bvInF|VXm`w!`34=uRDH2Ezy_%adzgHF}!-b zeIUFhu0F@T=Ptd%#N`~w91w9EPd-F<8q0!lJn_%V13>i9S);UiHr>X#Fn!l{K?PNy1ja+w4-;cS51ve{QqE(-nE*%mrlfZryDL6p3)aT9fB&0s6 zpC=*p<~mFWIm$Xky=(ZMGTRd1JDFUIPOG=^Cu=%;VQj5;#r;WPxImPCMcd9GW0hoW zjLDX3v{6>QXLzcUm20yQY0YM(?(LTaN$--R=fxJ(VpY9&xY|itY~0*Fs@HGhizMk@ zYIVPit^gq|k*MEPA)=|8|8h%8_>WI~B!l428g37c{=1|(R`s^}&wnVtgoR>zr`qYb zl2s?W^-Zf;ZSUe{S@jlsrCO}nb&m#Fd^{wvYZp0QiBdqJM@a_yJ5&s0eHHN{H;vXs zGEohX(Y>zPv~laIOF>%Y*Z`CcWvOxC+ z1;9S_om3Ndv0`#>EZX4yn6QkjWf@qTOG2v z@@OE`d|Ur+LUw*yNvpT&-;30&6PtlTXH~A&*(rp5T9MOn0n9%-$1$Z6R`0GZ+qEw& zcl8ZfT!Ra2^ap7#8&z7pAHIbg$qF#6a#I;{V9m1SwUqP|v{u9F5NWSZvvy!)sq>z=f#NUlDY5CdR{(7 zbWa(HuAX6xY(|&Hj^n<(db*5GSI?J`>6X^>)e%5<(8%OH2bcW%pNarD}?Ti0!=rdqF6ukZ>@N!}94w7}U~wDdoFLYamI zh0XYS806df`3^@{h7XZ;m;*P7yP?-zy6-;jx`A`g60d3%=61(|l$j;&-)oir+k~B);?MA6*Z1;y>T`yp_|%-aB_} zk9^0X3;*3a^1r+ze=YgXTqqgLyPLD1e}=V(=V?h-5VoNO{4eN+kPwTZ$U*?$FUT$$ zLKN_IS6*lZsKc(W!CzY927GARis>Vqq7Bc^jf4MKr@!%O+QXj*)O5aR!twBN^x3PU zKDxb*E^I>!YZX^?#J_n*dvB^`J}JUBwBVne$H-4HE`~A}0{kD@(Vsu)V6Sdy6kXOU z_| zLT|-iEWTQI2RGnr-&(ktx~Ky__C8Ny z&_y3!i|T^^5$SWHXkM>9$*;EAT2>|ebN2#013g{QsXzIU@Q;&>b4JwXi5>NMj`)&u zpN#zFtJd)MJx|V&>a&F)hx#QzC%%6-c zchN(LVlpAG7IsMEYk^ivy0Qi5Yc$q%K<^#7 z>GOsW^&z%h^jSQHK6jEnKN?Y=JBh!apA7R4{{O6=2Yz6D9$5L>T5d1?JkuZ~w(M&i zz^#y*H}eTh^WIDTjHOyEQA;tT?LwYNY^S zeNMU*a8kYv6sV-~}a#Xsote?#;yzrC}1?I|19AET#w%fjuq-G>kwE_)uIM3|~< zLkrUZJ~aJ5rL%gvUE5lC{8l8DV}GNAHUjkbk8ii(a_(;8-Nms=^-hV3Z?5g&&w%gj z9j5;cgpbdQVY+bs#%S+J9XcYps903`vcO*>lzGR$wxd2wIixq+C}m#tkNMvzKY4Rd ziWj3O5C5*0U-OOMrN2V|=WOTs`Ta6hBgKojVl#Vfqm*LN0>kgB{}jJD%=o5jd+CBN z$({I5@tb26&rJQ*ICccbPwQ z#ecizs$KYV{mcB^zF*4HOk3gg;(NLIF!XKspAatmzaITRjDI1#13U1?Rvtl=4s1iq zd!s(ziuj^!Ee{jky`4UKeYn+L^x3`SU9>WeC&A~HIvuhNE#R{Wk!@(9{cg|r|196% zzWc)0$n8D$Z}W<;M?5<5M<)fAZD;{EJ_=t9MHT}1U9UHJMN6b3^XC?`)TRyD{+}$De$1r;9(k=7Z)mBlAc9 z_~>LIorV_jp{qXgiGOS9^HyzAoNZ`9e{2>a+t4x&zHavwV$3$Qz~8@qG>l*zeHL}p zr_1Ms(C2F%@@>BL$JV=du$TG&=Q^}U=HthS{})S}d&B0p;6F(Ce0GG`>Q(I4f7iz+P&+1>6xYO#Zcx#pf7o3NBpk(#NS_CF#0^ZqrLO(pKo;-Ux-&%|6elC z{4bqn{+G=&|E~U=;y3p!UGtOq&)JIaqSzmbzP0?T+HU;neF37#x%O-<^ykc1d94?u zVf{Jlt9nGXx;`4#pToDy`X|pLMO%wTrQHc0r|p8zV}D)nHGXyC&$UYz{``_)7kqr# z1^@qbQlSNF1^}|McWTGecmxj^mvv%P}HxklORQBf5{cI>hHd6OPcSwlEqkvD^ z{amx>Ea31H=btZctMx1ufZI}vhXIFgTt?u~7yJ5-hXwq2nIHMfa+Q?-%`YqU`I2+L64&i}y75B$)=1$&kx z=n0S1&%nH%CZNf#DSqjp?cG1LuUy=l;!8hczw2A(r>Ip_5BX_1yG!iP>2GuVQ@HiR zpAjG5E&_A?A)j-6n&9B(@aZ=^+}b1h=*^_Udvg4VZ4Ta~kKR?u;&W-$g-;XzZ3X9YV0f*}{zSK$1 z`Efk{h*W?b8}Lg%aBGnzl|Fu8Q{(>_$NwI}WsXbEHRp`Iu-*)^D)iLo+@jd^`OId;c zKEfrwZTMF>{HFNI_9{O;zU5BJe^PvlFY5!j`1*W9pDXv21}gY%KRv$4d33V4Fy|sJ zz)EEV2pE!Qqs4uyu?`B_;HjQACWoL!URo8Np~v;`_z%8*cJ{hilM=2cdVepu_)ju> zZ;m=przqaN^_x#V?##s;_5NLOg}y4(A+-%MZ3PJSp$eJYTYKm-aS);tU2-x?Q=pmp z1em%qifna9y|v?to)S;JJv6%)K$2)3u~u>ogK-%oYm~I>6%Si|q*|puxLzwc^0ZY( z^20^0RqfiZ1w+o&wmO=yX(qtf9Y%#03Z@m#*>pqxz4)V|-mbS|!}`s~Z`iV=r!K!N z>I-}NrJGqcAWzVK5nW9zoTm%<3rPU_7v(MPp8Dxf&|>Wh{W7*RrU*6g2?zRP^xO`G z-V9FYml(YsXUWp@*jWqxQlr=Sm!*&M<|g_38-0`hL+B3}M$hLaTJ%4#js9VxjbBS{ z(*K|~`r}2*bRB{nxmUOJiNKdPN0@fA+`i${~ZWIV}#h!T-{M@d>QkDAS=k0yD)cFCtGV4(*h zMN}4PJ4>9oH$>hNQ|)MDp)kQZZ21vqE)I|fORsn$?4TyopP&tjBB3UW)}8d^bx%2M z$$nx%DH-?K6oPT1%o54Wy)o_ai8fqOHCsl(m8YJ*?xcgmD9EX7m+{Kdq2WA#3T@lM zcQ01zE|eJJ5}TA*H^?9z{CU9s?G9Ccs=L>HuQKO&R&1Bg)}Axk3hk;H5A%xEN3C6T z)JkPJ-shwh82E_5fJVc4n=)xBGceeE`c`q!GmniN=#=1K-Mn$YJ~h;p4;`YxQzO0k z$LPz3zE;%V6Z`ZsP6O1;zS0@Ve;akzrFSSuUbbfWk#rKj4&6&%d$QQuPJNv;uuQ=> z!YNpiiOVuo3t-?{cKq=NXcr3*KV({+(|?9)E^Lc*bU$(CeFjeHxX`X=TI2C$Yu28) z@dP}M9=<@mR1|S(vWl0dUQtoYn%NxnSE6i-wo&7;713isZAY9+PW3KnSFGA3t&f(= z94R&iZ!?U*_phSOCWC0W3+~SZc%KC+z*-BzL1iB}P)(J=CUr=AliD}<$FwL1ofnll zhKw@ChT(>d17s%P8L{v|qJFq^*nzMrxm!1#t+;lMgFbP$VpURkp5pHXJvoPwBeo6E5;{3 zSLFXUKKX}5{=MWyjp`$f*jJjWz|ugHHgKKXk@&Qkse(hpaI*Z5jx9;4$j zkuy!u|qi?XDXJB+Za7p{<_@5eY(+MYDx^y%>mrHg!T{}qRW}-a_X#JP#o0rDX z;ZMT3x~Z3o1rq_W88FoboB>l0xNp0urh`-t1)qLif*41b(3(F@clF{0hy#KS+^=1b zJ};}l^~KSMKOjLbl^~$n3hgS4WM=fSKv{PPPm?soo;63<4d{8mf$e%8m(g<{>4|>m zV2p!4I(B*jQZG>Drw)6ViSO=NvS=d*{cGCj&a6;ZNJ1D^CoF70C1i&!=Q`ou5|k$1 zdQrb5`nW}R1b$OnSK&;4?IMQ>MJj%y#9N3{@tM589eTKe`{ZFfpY^_DVe?XN0i0kF z$)_x)z91z+**1A`m{QSaD0MFUt)Hb2v36n&oGvEbz0ty8t$Ts|@#+`a$mt)-JF+iO z%@?SjID|S1=ibjXp=<0jfcyzV$m`1}U+5Eu8XPtb;lL6@<4xTYCm|l@xK_z0Od@&1 zB*e#0LcD$wVpgi!GOg8NPnm@Hj7f-}JPGlWCLun3RO0J2k4cBlmx`GAp6?QPYwBn`r`1s%;ZPy3m3N+=IO#ZT@OC#L~)jm&xmzy5TCZ; zB>fFEfwL}#Yn*8X$FJME4kV=P1Y@m~6o{6uLQvT=cQu$HS1JJrGIp2voY+r}B9;X? zMEUI?9iJ~a$$=1b92CpsKPUEmqlkTkkggE}FP2&0rU+{mi^Fqbxtoix*r3ijnQQ2$ z3xSmPqN$4@5Q;&@+9t6mjYu{T=EZIl(r!u+FZN#qWA2L^ArylSVxK&USeZC;^C%Ym zpP}P7X#271YDhEfVo@lhs7QM|E!H0%q7}4Ydz-e@gY$hX6?$lOoK<#Otl9&%fhOKk zQ)aM*te0l!TlXSgJHmr(TNEA7>xQVCzT1lzX_qwk^&8bW#6n8$BRGfhqw_GG_2Zm} z4Wn-@7_XP#wGX~Gj4FOG51sxA!T^hn?qt1g^>Gqysxl~kx7bXCT7U*dUHsKLW1%*T zN<4tjuis5rgWQjjaT+gzQbt?n{%My~hB3(fty%7T3WOd>atouabHDpY+;`7%|L>vP zA21Sk)|jMW683uy^~{$?;;yMvhWmL#bw6<=?)j*`eJJ<89f`Zejo4ZFeeCM(SeaM5 zL^QbD7p^`^bwS}vnc}zdr%hjUf3&sGPPwTlqyj{(8V>k}tJF0|g^whxSpgCSLMEg< zT*WaxOIrc|jirzRJWcNg1Oc}K{(T(2!JGErb9+-c}6`G@P9X}tO|^0kE+uZ5OBQ+<5Kl#f-cS(biXhfMmeT3Ym0PhU81EtYwPuOXdY zwN}CqRZ1EhqJ&w1og3SLTS3qzQjF(Rfblect~_7oFO4{yx9!V5cM3CEYV{#$ z@A&>6aBk}VQsebKRlg{jH4Yz!CU|jYauPl~qp+KFnUv2r=ZFto@?7ZijXC1O14zU5 z2{F&ay$}ETNPLtDWhtz}-sjKP=74)mOodEb(3oG_MaFc())s`zo z4k*}!@V?&|r~abiR}MT2N6*sw`O~NF2iAN_iPhf_Lt@N0SV2p?aISoJdanG|*9V)q z=kvQnuEG_*b*H#x_3?TR`mNMcb`*9_6Q&|(mvXwsAGzSME|kMB^(plqY^N24r>%gh zOyQ+Brhb_Eq4dDi4^uyQ4`lP9-=7bn21qd)yzIAaOvuoT$fu&5;+L+N`eEva(gRaJ zO#R?JFbDatYy6IC+Hc#kDDbZ9kntX1(9W+X@YIf}-?W~oYlMJ;+)?oDJL6nm8Sip0 z4rM8m-_JV#A0oiYkPmNqvVgCb-vxkQ~Qov)IU5D3`B&GF>2KG%sa-lQ{Qdk%^zr_j4?g(czUvqH zn>l`8_=Bc(t$pk5z51S@=g-4^bzbEy`Z`RSl%=prvQOasc3sD7;?vZQN__A0I=_An zx5G>R@bUf3u9uZmO@3~Y>wh}{4t{-g56NJC?>zbS;p*5@w@6X8a`Ukb}rbn#BXXxLMy=Smzp2?;8??NcF*C1A+PiL*!sE1 ziaL`Le-K{Ey;|+|ir8MZiq^(!ZXDZlQJx=gbn-Cj3mtCrH1y2HrmOzMWP9|3#jUHo z^M!BUl_n4I=j&rGS{@2q`C0u#)mZqjgKP=o4mR2N&b@|QT=;4DZ|mIU+IC@!;~;kN zq@~_i4ddiK(LuzQaZ}ImRWdlG-vO%RN@wb!#PKnVYhKUt-bcxU{>||9x@n<$xX0k} zsN^!V|f#Q;8I7JT60@#8&+0es_=;+tH2$2rq8@*LoA^Xe2o&NYH<)^EWp#w6%N z{gpw0YO$zI_PEc_XKjGm{Winszn;64H`@VUFIiHfD%7J{v)rNwM_U1cy#T3U+kJ~k zc2>EepTft5E$#nR_#2mQsKAsMwH90XFhu@F{ zu8auG<&7?1(|gEiO5iZhqxfOYfVchjj{2S+Ot&i1>rxA)R#nR zJ!MsZW7JVNuhi<{mVsPG7{cviLb3Cz$mN~p7h>&09()f3&2fZwqY?iwN@m}g*uFr5 z2Azqq6`Lm_Hivbx*&Jq>(utVgnnP{Ir_MJ2A`)LZ4zZr}3zB&%uCrwOz7mg~btcx> z8zj^3cP3`=#bMUNi9tR+4^z&jFT|>C(Wrw|>Ou)a3j54R^F+y^Ia;A^J&Re68#!-H zv){07>IsIvnOuNH8D)vktSa#X8GnkZri!(DaBod{-6vO8Li%J}^OO3syPU z>{#g)E`B*?(@M$gYmAT43l@rS%L0do>Nm3pfNccM>T|Il#_tY80)?H{WBo3y9#-zDOFNA*{0+oEGS zpO@4`e2Mn^Ydc@t!*!mEEiG=;a`4tVhYa+xjpL^6ojP}%c(B}(@*=;`$N0QY^}V7z zS_<1+wS8IeTT4Gx8#iL9&UNNk?e~^H(dW+E?xf9hJ6Lsm;5TU4(CuT|443_4N6Yd3 zwYBNMInSHA@n`={ZLBGJ8NPmYA`iddA94mf?u(H}o+hm`p-W+Qd^??kryb)T)&%)> zH86fofXiJwpWC<*OZ~pj?@*Od;Jcw871+mcwRp-Q?eD3Bt@mi-n zF*_y3>43PWpCb=wZzl_fZ*prQ71sCn7i?GcPZwy<=h$DR{TqezRqgqN{~@ZMuRSq7 zSoJT&`a!CHG1d=M{Y$Zafa?Dn>-($z;I-e4U&l1(Y8teRy9$zR2ejnAp9_#m3 zoe!S_|D!fOHu?$a^bpnQKiN3PX8!HEhlkPdZl`ZI7fHVHXK%RF8Fze)si*xA$6h!5 zn$mc>Ya$Q!Y>Z>OONSgy8|t$NP+a<4~Pr z{C=!9zM?`uL|=2T=$WX~52e1Njr@KaSas)Mk36^rIXbZElZ!z|WA3e~5LCzp3Nf%4WvUVKSJDtffr|_j9W6 zCAxd)nE4GCP1wdbhAezcPJLY4{|I(3?O&%o_s`gvgAkYJs{R=5wd_~iP4&-dPmKOU zb$Ag=;>|pbIT*6cU+7D0@F8`^U6vJ@FEO`64|Fh@jH?%GV-A=*cahG$UfWA`UUvq9 zJ=g@#j|h&xylwEqzC!28LB30KvHWqKVBF?7Q^!BmvC03uVE6?d=V-$&c-rJZR*Pc9moG400960cmZ@$zfTlF6n+CJ2*Mo)ml#6A z6@~&Sjs)XR%-!zJ-EMNXbI$HXOh^W7EY3;`TMIi2O*BFxt(BFHG3275fPxANENrw= zr1K9j@q4SeVei5wZ@!uLzPImZo&=SMXmji33V?Eus2ZA3#rx}IaAYB`q4b8dd2SiHq#%0O~dzybgY)w@9EhfRNT#9m$+=ubD~3Bs+{0@uK8_e6-rUE(4xAxiI(wvEky=b9{dxbzUk9V> zAH22V4EZp8e6xQv(@)7N*dPk?uCpKU3|0xp zTt^We2LcHY!d2WPbZoE^qbQm=XLffs5^!esjN^^>mNOe~gjub|J7aqidp4PwbuL1A zgBK7FJR|r4@PLF6PvMpq6!C(@3-A^m5JHIi{bK5?>gui@dw=a+C$X()kF@T(b#--h zb#?VQIqx07azbogg*bmTVmnywyBCixd4Dfi;)!TB7^s{-=_eknjHk#3 z9iOlgGdw|M}gNm!>tQXD%Jb4Gwk*Uk%zJHo#E*GSsxEx zxO-I}ggM8Xacz}bq#)qPI`A>tVSs9$mO=^{2Nbl!D(4zNAT72}*Jd^pn)c9? zhw2{6Jd}E<=Ar5a6qCML1sV#>C@`(Slmc}HGJge91!@XZH|}!AQ^@f=I8!oFk|TqX z5AP;XAr7k`I~XAM5-$k|S?MLR4#p5=^K?yo07!fQNPGZDd;my%07!g5kobTA@c{wi z0|LYc1c(m^5FZdAJ|IARK!Eswfa(S(s`VB}L34iiHa2o=XNd6)Qr*C;$|g9o25uO5 z#(%)m2A(o--N2cFQv=rwT;)KZlZFOHAe2oyHrTF}rS?<3t+K$LESrqbc_t=1mO<7_ zS*mhnskO|CS`Ry*P$w4Z#6q1|s1plyVxdkf)QJT-5lg#X0%FxT+TgBcw>vzY$}|O* zX$maU6j~t8faPa-J22P?;7kCg z12`4HdH}NkrU9%4u)2XF2}7K~+R<_;uFI$RqT^%H<~oBX$=M#PZlK-+)d_JfqO%sE z?3#lis0LVuX> zA*Ih2jzWYW!K-r8VVt5YRwStqePy(TNNo4Ui`$)UuM2gA9AAeHQ2Q4fOH9;IY-=bI zAp4mB+0O*XekMTnGXb)n2?(Jm*%v`My@5%#iu0op{8LQG0whrpAc=|qNmK;5iD{xDikp}wDx$cF zAyHuxsL@<|f*0!I&a*Tf54u~?{GBM$>v<>(_{F{cT+CYb@ZO&p>rXmVt-RJR)+ovo*LI%gM{M2B8< zMj>4ET){@6wVl~`fpSf6i_b6aS%WjoiNi!5lc5ZwGgSA`6k8NhEHa~KPJ3v^Lk(wm z&9z(&kb8piSzZ#PKbe7;#wVwMY^H!IWChFuSP$S-0H*^u6Tn6Qd0ke0BL_Sp^<<3yhc0D^G=Z;LsU2*P#&-*o-ks(fEDd^PXH#s;r z7ki`8+WF3)YLS{n(&%^%8pBS_!hcS!S2=ilTie~9+*;_cmZN>W-|ekPB_9d}Hmu*(JJWU9PWcu6KA%aqT20 zYka^v*;Hj6o=xEO34Ce-pH`eV^BxXWxCaN|uBWqE1orLH&a+i0r&e)3R(}X*XUf_r zi=FC<6!fweSKv5bVFKDc-0t8(T#IhYs~N(JuRRQCiO7YWqqr-dmWaf1S3WHfiQ=w& z(H#ZTzMaauYgK7jRa^c6M|B4mP~q#!%NwtoIcmb~0VrO}aZ6VPt_QieE4l3Rm7Y|B z5X14IFO)t&xIWCIt)TdC-G8ed;NT(GDvwzF8VEQvFSeEQE(IKC)pPzh(Wh*xC^ox3 z5=C^2RsudME6lSi6tf(OFxo=Ov@OrwkA}JEgH%o~QuMDAW3~NQ(60|VQSwvNwqVgq z0O*m4P}S=ZT(^P10EAG0n*pYR-_xn+R~R8>;1dcXv=kmtSOA6*bbt0K8R6k!3K50r z?3z=!q!(*|vD4&EsF>Uffgw-1s~1pNc7@6&AisE?TzE3BCqOvNC3UdM;6yE zF1aggvHT2)$!bo_qJAJgzI1=ju)6aeo~OL^dXe6jqdr*Weac z%c>Ht^38hVk}9jp(Ev2_E62k17@dmI=@<>G&V3s(ch;ls-T|wyK)4_Q#)`Q{Z@)vp$dc8FP?_y(S)?aDZ3K+<3yZi7EQD#DB7^$j{K5z`Qs^*1SbxLaZlV z*;>4^HSb6H%n-#^E%tehM}_Z7yd{f8CuZgsL=MWKx|0oLwR{KS&+tPwAJ zA#MobWAxCEFc1j1Y|ntpjtRKzr+~|D3%KmXfXhw|xa{MAi-7?T_HjtiYZSFl@X%lI z&|mP0{(tlpB+D$Hgncf@VZQptUghZ+dSTc* z=U33buYiDkO~El~2^@zYKqY?~P@RQ)JptTZ+5Pq3(EKX^L-SXCL-W^tL-SXDL-W^u zL-SXE&^REeG>#^WntOuKoFGv39ZOIN?g?10)kdJ;P*S2h! z5Y|hz9xJV1nk-GfHd&f}aRP1P`w~d7k*Z+++ygxRXYAX#rWqZj+NuA z(0?b#FT_1^S|MsKeR~Xs%YBp zwqlXCk6O+JnvW{U=Lo?Af!s(Fq7Q^DJXs*BgV2)B5nbScC%Mld%|2%mopwH756>6^nLOq#z0;Rf{(NwN(&t zUPmMv^mo>RKU;+0-~$uZmHbbZ^V%93E#o&{zj5|xbu`njnohZaEBRNfp%)D+e}Bnx zK503`2<|nEO8x`O`Lto>2Cn2$h((;;Re#T_ZkkT9>fObjw+fKNe0oDXS-D>zY~ zNNj!AKJT@hmi1e1Io}};t4B3_ZrGq7D?fN?f#6fUVgJJRx#UE#m^{-N4uATCb4h2M zsL1_|>0=Ki+h?AjpWh@UUc)Clqh2>TL%^VSsW(hIqf!6dpw~rO*hSgV^zi7g*K)K* z*lt=d9T~=2kPx-<#3w2hl)LMv%Hxl3o@$i~n`x~&z4_Sk{K_(+Ypvq*UzmI3S?5JG zIGpdG_7JM5M|bFVUpu2@VSnKe!tiqsmks(!r7k5=K1+Xg2HV5r)NXD`(?;;i5qu39 z9`UY7I?1KZg`J-9(y-U*B8Oc^f9$Cv?5gYPeIMy%3&N_wyoAV=m#HvBQWU2xrkgaO z&6T-FTJvk0&31d?;pJAbxxBKrS+<%5I_R@U_o+Cw`*L4aHSWU)q<>rMKDNNPkIYMS zYB$M!n>5~aqQh^AEK9BX@H@s%_qpo^%Ig(?_z=vWvdDPIqo!Fmnq9mt_y}|gZ z$t|su8^^<)!B%HXNliBGGyU=TWYmAYmt<)&-cBxd##@fCeKw&VkDlv|llyCpW9RoY z*wKuW-|nN(Chs2JeSaWI3EjiTkQd3ES|j+EjA!@$h*+o~{1)fb*m``GzDLpzftNSe zN=lJUN{^dsE1Sm`Y$?S@;U2Oisn_QT0&JXK$HlcOcKYtKzm|__hBwp4$fIOVS`R*k z^@+~>c6Rcs2;}Ko;pE!1b+U_OIQk|!d7sImR-L`!NWLY>!hhrn*nTVGc%LrL(wQ4} zaduO~*yZCaL@{i?F&FE9)YJj+SPtJEn$zVI_4*Qp=TBn+} zKj@G9oeOf>JwSyxm;6b$ceb;0VH~rQ`>OYSMhDPpbe!;+_G&fL!M1hyzW0-MDfp|w zmvre!h+b(>b$|XrYF)`+%xxCs0v&IQbh2$Ox7O_M#rE&HGo+b4hhMo&J@{aCBlcca zqXOnOcOO0=c=HqELN=b5{9Mb2DuZ_aKD>*1X<4dojSs!?h&0RmA$Z6=`Ii-trLj;pV}TlA&D4bN z&GYXmM}MBdm`$azpk$Icn;DhF4og#Jgl+?(Mry-q>{ockk?IL0X|0H59s3q+6AgiR z_2KgavjZ?bAvSs`by+#B$9o`lc>Hzv>9iHQLD;OYa>WT_MA=0;!G(lMDjm!~Zl_Ls z*I!IbkN=mjsACn?B?R66(r?7(6*%gHRVvqN27hfdh47!kZ^g9=Ig)BqJFtgdLfBrN z{02u|IizP7WRwN1zJZP6^+%R_bD3+@X5Wl>i&r1}Z@w})l9NRxjWAKM?0k+&<~0NU ze>D(~{OtVxl5f`nE7{mB!xQoNdc7X+AAR9SJB;;I+brm#9c@AxnLFpRNGuAs5%>#|W%mINds{`;XH`t|hT7DqU} kzOt*(L8pI(PuA{6nc_Z}Qge89Y97&TbOk{`q@+Q*8(feM326}6B_FzkC8VT7L1O6+=@w~W7ne?H zX{pcmeZL>ye0R>AbMB8jb7$_I`{M?&+_J{&0uMFs{2Tn_|0x#dKfR^+PZ6LUBlQ?# zMRKMihJbEOrSpWb*#VaI{&a5_8+hhq2KK=m<^G=IykjeE3OhZ0 zQc_yFz+l$mwTsy%3F~U#3U~~(f0n$>mjnY~N#V$#TNEAQF$zm-x4X9y*Gv=O@kQ&L z?d0XT*g>Z>DPvE^|I!W0`DH=1=X@ov!alZ(1VHgqjyh+GUos zmc{^vQxSG}0KR9oddU&MT1u2C+1z0)j^%K&BD2NB?tW`UN2OI1?#Ws@JH{s8@_q5A zK1X>Ki4-|9#byYl+rn3Mk3Rc7vA<{Hef|8$Vyf(sJHP#@it`VKn51Dr*Nt#5g?1bv zM>WCFFZ?SJhgo90B<1w$HS?gK8fhnxv>HH+SFF>0q3!UX^(@gk8)*{~^c&3|u-rL> zh;|$sB;w@l{!n9E|1{IZ6Ek$Y#Ivwhn>1L^;eZ{-eC|))_D4fb2)YNl5eL}u^H>q3+UQ8p;FH>ZCx7bF5U=A{k4=^9? z;hBp8lsu=Xd=yvM>WddW<*K($;Vm>{LrgPF1CKjkfsAhu74Mv!DEl#M;dm}oI};^Z zQZjR@Vy`OUB(?pk5MKE$k8u6f3FtyXrqpBR&hf@L91dU8V3?AaUy&R>(WZ73<-Pkg zN~;D^Lx!oa9z(E0`MYxQwtTxGprAyNI!-5!B5sH&8O9^NC6zRWsa^HNAZN=@ z{WhX*4Cg!eiXsSuPnCJmQKVIw!v#ZgMW=yPlT+THqh=4$Zd} z0b5Sb@-3D7R0xTt4hY~6|BLs&4^n&Eg8v^z8mV5*Gx?N*hGmvc*$^WQeh8DiuU{F{ss=!ksCrb?XwZy(LV_96MLm*r3IK9m5{YQRE51OK$ z(L7Q@XnSeJOb#&YqImBg&Sf}6_Gkd&4dc5fzFLYsgVvjO;I2)AqiT11k>OC#>ruQn z5|r^LYEnP=|4C%nRiYKNG*IS1VwOTn6fccfIRj`*L8{BVYVrYG(MpYPzhdK>%{T2% zvd@%O0_oVJ6c&z$Y^*GYn&QfOcr4oF@pAG~6x1LgUUj`5H_Aylc}5{#77jI>x}27r zb+D)RHivq@EUm+$3OFfnGf+lv&$CIlX;9!*8oTkj=($iuw`}HWnj;T@cC`p@lxLP> z>d7L&L5h=FCQ#Q36AW5$RTOw;#RMHa@!G%>M~4KZYdU*A55uO3o%$U14Lc$9ZIe2L zG2*J3h1UwXfM5R$U=00l=RFtk?AQksAx~y!BENyxjbWZGs3f?~a5Ro9+R=GY- zWJjZ_>P%w|-{1?)90(Co{lNE9Inl*18;`#&v?E11e}tm1vUVxi}uZ z)|0U>37yhglZC3_7VjD8*X?+{Pu!zHa&yKOD@!bKCHxNACY%u*uSaUIZ4Tj?WaSnm zt@pM@v}G`#oJ+`>D(?N^gOI z>%6+K?0uA7f)bT3_!3I;W!~%6?#-|#GTqe<6x9&pS42sinrE!O4j4QAnb0BD66p5I zujt!*Z1_CIWWKrNxB{!nITruutRzP6N`^#0ph1+Q3a+%?x~i^#H<+J#Wg%l^I#Z}b z;-l<0US;~=T(5$T_$XWl2CY(LZ6e-vX~&{mzGhIHq`|O#T5I^g|jKFH!#hImAhNkk`bw8Ti1XMC2py4H<|iw>|oB zkVhnF9QL`GZdg47-q`e>+nT!?%ws%!84QK>LKMo9ZHTyOC_nDLtO){BB4h+?t zq#iwp3VfFzkvWu+~AVhpyFp4FtT@RP?{GKbY>E4o1P0}ajZsvk+clk~6m=?uaYgS%;XuDBI>>SiG5B#$D&_yZ8x=dww1fR9o!atV3A2J#3dDr2)q$U94J z$?e!BY|#`F9Ud!hk&v5*&`pk1SBcVg_I`K#MJ@)XH;;NS_+(&i`yfoWQyYKf!s$Mq zDeilz!S~SQ!=(j>R9e;e3nley%nF!(IeJ&c8!HMQRLgqGxG&Dst~Ykrn3_6R3LcoX ztBoN5o?oU^cR($Mlw~3e&Nx-V7p-?}wb$kMvX;&i-)<|TyJiW5Vu@btkXH1yC`|Pz zmogUBR>S#(vh5gbyIHbTm)johe}g_}DWlyRKBRw^())wWtx)x<7M;(MtxjQ-)>ETA zYrY?e$P^HKd9r>aT$P_RayI(ZTHVxTCq^UQ@9Rjd(U?E9jR_*T z-t=ZC2SbGZ4`(X;RJKbWkJ0r2vpNK(UynGwck^6U>Vj_n;D?J%{lTqXx{$5rNJJ)n zG=2#P3tnG=&<9tKM1HV7=-K)Www)Yh&hA{<(g|C;1{0_VjRto2s09_%^Y#{>evnu&at_Ry+`Cc1ib5_k;i zNIq=e%OQQMRiOsjL(h>WqeFab@F+0{oL+5wjCYTR<_jYC(7fsOrXM@QXdeXtZ#QsV zwv(5}epNPQe1=~Caub&*} zQ77NQD7hDIIgw*I3QnnVBLRTLtM=)V`RYVFNvAn3VuMS}lZ+~2jXBBj)p-Y2vwHvU z21GQi6KFVBkia7A0KJd(LUkg$`C(3%AODrXFeS0;D0E*gF+)i%UZ)?9GX4Bp7&{rX zf4>O55rani3n1;k1px9C`QB@`X9grm@5|ll4sA-G5wF=v+LqN**2i^*?SOq9>T@K| zCIqh49^;QfEn0$VlzuO;Vpv7iZ=j zd4RsLbA7uabLe4Jey#@>U?hD`)v}T==$&iK4e_mPbi3jG)1=4Suh|}LlyPl(C>~^S zs{-ilI6ISZlU}xc#MVL7$y}ID4Us8P81-R^Px9eWYU~s(2eX&N_&a37e@}c|dA8F! zYWkCLx}pCY3!uJDYE`G^u!}8D++%u#QD_R!pf5tJP_k9NeSHqYRc{#K%5`yG+^V1` z=>MkYg7Ervgkwy!E&QFDj(V^aa*^cQX5^WCl~Life+xDzT$}TyUCDrz6n)YP{rc4W-qYTgLL}%_MWKjFeN*HA=3e&+@ zn9Ldm+)4#zvmrvS>f9o7PP}|I7X;6i+Q7iVlgBZXg9A|C_?sqq4Y_A;v)JEWy92`W zZe~j40I)Lt!xbe~xGPnS)eSl9U0z?by0%QG>SyR3#vZ0yIhN6GHB8a|Sy{$i!&JTZ zrQfj|n(Nyu(DK@W%=+0@3B--RGj)5ndXUp$LV!%mH(jo%HT4ANhWOg(#y?9wR?7L zML>?%u^qzQ=TM7}NkAS1h1ds1z0*9jgx3iFWIt61;q(~Go9qabtR!nrebaJzC(Giz z@$c@O%(NJ#_Ej|^fUSVmwPo^JBr~nIu5WSrTU#SBzuUG?Cf`*)FRC%l&VGC$I@_9M z*f^A~6(B|ZscR_JheI&|%6<*?Vg?P()YL>16@hz@tMc??cwHBvISQIW4tF@?-w(--pKSEdr#M*sjVbP1V0Ze* zxgxr!e&Chzky?U_poelt=Sdcg3_Zy%)dW#76&{K7dpw+{wPY-SbctRu1$VX<-CRx=o!U$|239_F^q?S&H0rgRF|H?nxEzi{tpF|!M@Z*M-Y(KPpybv!ow zRjq=FIg|0*IYb{ErgVE)Cy>-zdiT0C?m8k}P4I=(Tidd0Psiwp1B*b5X zuoGCaVgIIYFX(GrWLvSNw*-5mAN^83u(HPTXcsU0Ayr@kxHmxE{kSXO&-xkdUNZz% zUu6`*wtRTvEfE0x33{Bx^F!CECO7BQ)tD~ehKM^AK_D&k?6Jq;#gzV9ig4+TCEZ!? zdx1dg;ch3I`MijykFP1H?^ngJ0_$s<_{j79h=5HvKbwn4*Vag~Ni13O6({4*n-_gl XXPrrgeWkjbX#|S?m$x9if9Cl=n4e9- diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index aba5320..e41c033 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616146132498 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616146132498 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 05:28:52 2021 " "Processing started: Fri Mar 19 05:28:52 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616146132498 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1616146132498 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1616146132498 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1616146133795 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1616146133889 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616146134545 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 05:28:54 2021 " "Processing ended: Fri Mar 19 05:28:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616146134545 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616146134545 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616146134545 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1616146134545 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616153593678 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616153593694 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 07:33:13 2021 " "Processing started: Fri Mar 19 07:33:13 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616153593694 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1616153593694 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1616153593694 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1616153594788 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1616153594819 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616153595398 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 07:33:15 2021 " "Processing ended: Fri Mar 19 07:33:15 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616153595398 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616153595398 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616153595398 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1616153595398 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index dc1f18f94571274a023b26a33bbbb656fceb22a2..cb1698317af4dbded5f1bfd035142d3b0566f604 100755 GIT binary patch delta 1077 zcmV-51j_r_3fKyeTYrHB000000027y00000003A800000004La>{i`x8$}Sefr@WX zkpO{sm%?h7O;X#fP+!pcZqK>)zPh^?;=b^I110?C_H5s|c2M#H z53IDeGrK##ncex=yS%)-{0qHStKCJf)$6slF?6(+(KwWf=YN)4{T60kLg>#@{{uAo zZveQBVp{51w}gxxH5 zXC_u7w=cC86S+2WKZKaIwkdSfd>-iu=aOwp>1Tw=v|afM!!UDQp!-=!4eF_Y`a)6b z4mscLZEx?jcB$>OTXy>g7zVg3jeDY>L?rF>n;5Ke%YT1@VU(neP@c<>4q_9S=@r{q zyeFa{EJ_0N{m##HbY$U}w=S~$`xp*R`|TZP)N-8e?qZkqpyl7fFtqt@C)oIX>5D8h z^jL&hVLTiANK4YFNrv1cN`p{vAXq7O6U8Xj@yS5m(BGRoW zR^`6L%qmF|D-K$*w!-WHW>#ovB*s~Yk}#4NCNH|Q(KN>2q#godB-BJ2DyL@!slv3& zuzv&bE8PoX{0PiI)%Yf$56YnT<%RI18$=?c4Gzb!0V^Y6Ht8g<-!olj@&W~YGY{%( zoq^M$tfdUA4VMt%d7Nk1hsN>d+UQoHHQPV;o^{#VqM^R`TX4bL3Kjp0-q8PTaqCQ3y4BQSe|x}k_t8sarB zYVkV|8L6OwFuG!j>mC34HLRgE(693klMCgA^~wj7U)17P$x5t#h`FvFk19Hc vd0pkQN_O|V7@P~oX??rXYZc{~kK8?z?lYZ5#kTk6+jh5|JM=dIlYRu-t8)<{ delta 1078 zcmV-61j+l@3fT&fTYrKC000000027y00000003D900000004La>{i`x8$}R50~O_4 zAQc4SVIB}l5O&U1f=a|gUB^xnYLeP+h5CZlcYDsY_u=mDg}5*L-#`h!xjox=t{s%T zzymAo?au7ZZ)SHs_AW0kFaJV!9OoXoQ>!`eU}$SC<8dSv^M6)b?G`w%AoORc|A9IB zZvglh%L@P+W^pXkY!!rQ#;Lu5&+icCeiH%^jOE;e=1(w|G)rT`WTM{!_fdP;=UwIY z8Hn1O_{`PkCj8I%7w*Hlg@6*%$oq?RDdgpL(chK(dc+<$=Ni#Fe%r60JEfyNnG}vGON7&6` zcWz=ea{E$iF_9Z1_alf|Ya2qxjTf<=a4y-ll72>*Oxu;OF$^=$1A34}RHvQ_sV@{Y zzbAKZzjb$iZMo`#X&K(JEm$de#gL;MI{Hxa0bG!;rj5ze}dDUtXz3S;>w zliKhMb$^H2iHK$|r6NC5Ouwd$qv@p3T%Lcq)WtHwGo%oqFxapGYpko-%>mGXoPwX( zb#dzdqYQ^eCrZ8Nq|;0xJ%Uuh;3Nnq84{3T{5)^0a(*L@5+fCk_&SHr;yB-;TgX7k z7nw}F8KnW4GkFCtd%x*Y|G`s+aGVAG@>NcMfq(Bocpmxy$<1A2kBflfYEVRQY04?n z&P+;~hDW@`m6Y$jJm;_*N)MhxG>=0A60LI26@u#)2iE30E2Ed^cOco>c+e#q`K&zM0%sG5mu86}KUC6NRw0g#$5V?_p8o*W!cjx1 zLY(^v1mPKb?)iE3IqsG-_*#dpzzaI=9)EN@G#s^0AFjYZ6Y&k;E3Okr6kDP2wjYbQ z&~txgBz2IS)M~i%(>xn{{}pxRye-eafoG1A`f#R=jOkRR6D8vO5tzS0ok+w}8sarB zYVo@e8L6<2FuH=p^^Sji@&}bx8rIP2=-2s&$%XR5dgTMkFKY3tWF^)=#9UX8M=R~a wysmOtCA<4Q4DN;NHoxn-dt3_8_{g0z={?t3Ow4ec_tA@OyPY=u4U>HY+vmC$HUIzs diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb new file mode 100755 index 0000000000000000000000000000000000000000..3c1e72519a98c9263856a3c7366184e444c57a69 GIT binary patch literal 3136 zcmV-G48QXc000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FK300000008|900000 z002|~00000002M?00000004La)K^hxTuF94RjPhn-L|FbwxpJgSCm>Ub$iGz#^hrX z2B*}P9od9Yk8rY+g>{}ATVn@Dge7Zwh1^@}XoNJIo#`=&?HTstN!VU_KEi%3VF^7m zBslXCL~z0kWJ5F9!n15b*pFb$j-2zlW#bRclHU|GRj=#Sy{Ar{dvCp`PoF*=Lbq*m zEI9oC*h}J$u^-~^8$085taIe~_YiD5Q_{m6@T~=jc2bmo4Ua(LG3Ta3SD&!TSc7+U zI{|kk0pv9P9Qe~5@LeA`T8e#Gb`Ehn4ovoH1Q|F>4~8~1o*?u|hwnj#eC2%$|1Nu^ z{(T%FBOMEtJu~1c8#eQ8+4Q*c2@h&$~+SIepv6q2H*9Wm9fS8?dQ4+ES zw2Jn%-evFq$lpa)wr`;MD~DF@B(6&FNs`zR-eMQOId~J>3Q^wc(rej{ITHN5b;mJw ze|qmgww=3|g9&YFve)D6%uH*KgjeY)tL?H%+%v+| z669U#`K(a^bch2TYrA7N@u_5N)Ti`pf}1paR3Y$z;-TjGFz|;F4{wh0(GWjvx~&W6 z^#y5QRrKE$?Nyxmvqo?vqrtbJ)m%_EsA8 z6LcqV4yj9n1EMNdmij7MXj>kHKYKce_TPlJU5$Lnc%}JHdx7_)5N-H&5x9C3zI$qx zq%CXfe|RQ(0Vum-aoA5wU6bY)MS*WO;>~tI?{uV}yxSz!zmtdh zv6dBq5Bt2^o)nE~xQl752-sQRlfDV6L^eraeIo610ctX6O*>p!j2+?e6fLst&O5U1 zgfEEpsKS@3!+JP^D3e&POK+CBOybTBjaiY3_vJM%(a+Z~7SUWaWy1hcVUkhX;l0fF z349XxnA;D~tfTTzDS;|g%;m$V6viv!p!F@$jA$szI9WBamGqnwmO?tHWlL1RxmL=h zs+QK+cE`TCAE#e&G8&arzX$W#o(K!)?A_c{`uhoi8)oWCx&LD3)=&uZZ*(5!QR-dE zd><1P1Fd?E9YH!6SB~~$FP5EW-{GC6aqJqrRH`J*=GaZSO+}jiwOVHCQO;+-Gb7jf z#>!VE9`qSGKcF12K-$HQ20e{K0q$%El(XnPK+{i4S1|<#x z_k^_pDz`H6DI`8k+Uzj1mYdmr5QJba&a^FVvxlKVNgOWoEuNA-WXqC(p5o^Ge( zkl3wM8&zqRzRAp&#wJtwEZ$q{6I6IKIa9*l0=F2)8!C1G9gS^-Dktz*;lUeVbU)i9%oshqC=4^9;+F9jzpedTjLouYjzDIFteB z+#yu%(!}RBR)0c4y`c974J#4ry_{B!xfEJ`x`GirAT8^K2)$aYY3KM>9cX26zG}^f zJy<-3Q&T<899@)W1mqxNi&66lUyEB8?~CJgJ{;U@Q%>piUhn7L5~^R@e>67iM|5U6 zF9W% ztP*+t{}wiLNNulRl2I5UwRz5nJ9aA6T9!r-_BE?lmbqIWu3;vehB%88{R-}Y$t#7vJ_CiO$2atW4~2^9${RoXV~EC8iIm>-e)B>Z_) zLVA!LFE40jf+sYKrX56d(7*{K$Y#V;`-$JlI&gy`Vl12z(1Wlo7Sq#-N?c_b3zOqy z)^<7HUGK3&s!@atKIU&8pt#)L>@e%#RnWapIc2o)8 z%r36^qQ>ETck%An6C`GVy#IcaS1TI~Y87SJ6#0>9|2A>wS z84jArzYDvF>MY7nd_qnMe^|un2E(f2f=Wn{?daXcdN;pG?*-=3p%G{4krn%{K+x78 zOlGjSmSBi_@65q=^&a)GT6Xk)l6v3Z@;NMpd?g0G({Xs53GkbWSsNeqDSwfbfOjrR z8>~-NYPzd;jLY)}nJMUxv9P36oE87idiO%Ad12Zq(_HWh`)*xlwG0!$8FbJ-&pJ%b z#{YrRp$O5>RJ{mamGONB>MQb0iy6JHu_oa*RMg6g@L2hMn>IH~`wA`Po3BE&SQ}0^ zOe=|S7zx`u!K@=%!b+NLr6fbWTb#JJ=dC+l=d)sDu?xZ64c*AUzH%{R9>!j|hmn#i zW!0rhm>wweB68D}^7i%xhb=EKrdd~;TLILu?BH|Fkbd8(O~)k_&QnBliM5kK{NP zoBffJ1*8XXUg$60aabse&C$x=EL5>xyz6=P{vD{xivwFXWP%?|n=8C8EaLVBskhPZ z=z>CtFEVk*7Hg)63ae2otMA$@?&Vg@mX;euWNSLn&S^QHO0AET{0|L2BUjsu41OfG zOxSugR%ObK#UD7oN$X%xOT(*__yr}mQhuJ({-J^&RJaU08dv?7JLTaS;W6+0k@t;+I`D+&#S7O65TRG^3lf1tZyQth^;+gkyGsz4#VxuD5)0J`81-#SkU=PWwd=qvr zD*q57tS(75ejDkUu&usCG%UkMIb>?a@itnm$6*(@Fx)Aq$02?K-}&z=u%j8z-XWBF zx}pMMd1?=ftGFt7$zZA;M7USG`${JEdGrs8Fs0p+vQ3_S?HnSewmU1&^1Gv@n0M0q zF;&7sHOzEG$3uu%nEZc{+i`(#0Czzqk=~_ukq%M<(jif4QX{<+q)P9-M5Kg{^bSJk zErd=&lFxhJzv5f#uCvzJ^TRo3W}frxS+k$L=gEy5H_Gqd{CD^KcfI*%4QxF;9o<}6 z1cmto_yk$rcsYKwVG$A*Vi6GK7Zl{lKDN z{n|jK3{(a`g4c3kSnj8A0|7Tsd|Ihl~+;1mebkV&cgP5IU{?NWFM#pM%H3vb_>^p2TAZ@ltylBlvdyaTc&*3sJEG{|_ zL?Ur+Z~0=s9e!4356P}ScRx=Pu=*WRY*IeGFyGO`d(-VhkqD{Oa__ApQi26?#re|o z2~(vL?p{Nzm_9RbYN(t-bH=GXB$l?zQu-X{S0l>{@@h68>CE#URq`~Uc z*a=nco zYPgzIb=*{^vk$|?8vY)zUeoUk3|vh1mG(|`V}mU6;|8tQC=Gs-F)1;F5sX`>Y$M&j zSvCF*qsWxZ$HL2c&3EO(MWRqT)^`NqwCB61NFU39W7C`iASErx8E#jis8`uFK<6&q zG1nznrrvV2VGOM5+ZGPri>%hiX$A*@S73V%DNXuyxK7rkCIIrS?;=c`ZEnkG?MgJ( zkMmQhgQUzBHEb{lU>UxL@8Ct^1aYN=OxOL=uRV}MDf5+Ne1gB(I77rMyziCo%6S)) zqa~NML zTYEf8#P$nQ`h(jhq8DmF%t(A6&!JML9l{^T6k*QMTSyfwMY;T>2yg%iitANAR~aO- zc64!Y@{jwp#2MCFB0BKUJQofhb#)b{Qk(Lx%@4eSyxaj|4tuB|1`LydYpMHP2Ci(F z&vl9QImWF-*xlLMaj7Pi+LYjz@ldMm&YFw&{*f>J&2Lgg=gr_ifbjkI{GTK89u#mPJ-pj!tfV@8iLf#*JC#D#KRP-O!Adx{ZbYmvsznc^T&cJ55ufBn*1~1Zl zhcFlFB+y2(E8aJ6oV?CI1s z*hR27VOgLfu~Cyb1%CWzb6FXUk%ghMXu&1xX%y~7NK6|_$*dtFDg1J5g&Cj;Kju^X z?bHp!7#CHdCcHiWj-oP7SzJvm2A~1rd>cM~pOa1LD)TSo&cIz5elmZyIR4{&=~r*^ zduVXJW=f+6J&@g9V1A%QMpeen65aO97#knMLE~*gCd3gmaAB0Qb?yPaN7c9>j7rb z{^Zh|aU6B>sF@0oPm2opPOuGPf#|n;;J&Y{U7jdHF31SMmpX({%+{$mA*)+#gKJMg z!cu}i$6!7|=&aTrai&G5RUpF!@H*|qt6&=UeqkEVs(s9ZFqlNnY3Mi){-8nDu%P`z zhv)S~0t@Wq?pkJB7z(6BB6JC8n|4{e z1kty2V#W3aq|7b&XbuFULQbPZ#sl0b_qR}VTKCDYiTof}P9rY($;I<%t!!wIuWv3) z8n}Fw0KfxaD;wR_yjKCzir0{n0+f`>@z$*P@@Z}5FZhn+-_NaOpFdD90S;BmJVrhQeOytt4^C_k{P@!K|y;6DuC%EIjTa;;e zoif7l&wv6THb`Q`^>Y8UGNCSM3j~uOzQrY-=nNqw@v^Lq+|U|e3owxgl_N$JC_ zgs4;ImzQx37quNpGtPwjfooMs*Glg|be5WMY~r3Znhl?NUz!gUbL|J*wSI|Sd#lHW zkLU+vQ+NcS|Kl%^OLV&m|{z#~jPwO4+iG|>l=59_KxH3733u}4?8+}6do_Ba7r zK?D9u#1Mws*c?a@vv+lf z@>7Rm1viS+mpQ_(G5+y`=uB=TDTm?HwKEgr5Z;RjE^GfBr+ zzTt7iTb+Ocf^;@5G*%0XffOzP{w|iB!e(l-prF7tUxpAZzvT$%zkewW@5BfqcCUA^ zdUN(q(B0nOJBDO{fK37mjW08~y_Gpcl}(LuXD4&rJ^z!6Vuf~5M<)eco^%sItl!vV z?pULr?q0gd%w)@Q+yA=#AISfs)c*$#WTi8sx~Lh0*y2=A{RLu%b*>PrMiGMB=AVB} z>#0r^R4X90N!L)>Gn@~G|2P#;49(BOs;vI_>f?n;2$JV=f-aFv=UOmC<0Qq1irK`I zr?*_ZubW?taH^)nk;DRU-X;gy{^4LLFX!ax8ae@(zr`D3`FG}f>|GA*s{Zo~q8>Ci zN?*u0x2SWd4alU#nj*!b3Gl?a#Bn9Y1RrmV{6{zP_yM3JspFfBY7KIgzovB{QfCX( z=lwf!l&W7uUvMqFi_;9jG=211W?Qb5t()?ffS$wV8#&ruulmrTH3d^COmBpJnr&g0 zd=Jtn|H(i$Pz?v)5G%U#WB?czH-vY*0bMws2phQ9tIEhPGjUPmUM5hYfgG&q;Mkiw zek_vn;yQzxwN`AC7FHaAI-1RRebjZ{01p=(6$+Gr(sMpyW6#%PPZ6Dq%z|8jReQrz z<_{>h)khz*%uP7&dS#gxy|WL;8FbBOKqMX&4j@J?KL$Asvh+^WH!`H!|$@2d$; zzkAT9gM1#XIz6eRuZGMcQlE|9zI1MY7s}C5MrC92F#%rF`c1k@&z%5$Li-5^V@~al z{P?veYi@a~&*oQl7*~?xBDxjT5|c^9nF=vpSAI=q3_LG)1SofNp9{YNVtYz#H^JkH zw?-eNN2SMc)3o-BAmH6|;B0bdR zPp_Q+vw&~7>NgO5>bj9z_L^1{Rc{D!Z|Z5{LnY8eF5l1NLOdgM0%#(S@@}p-3mEY>jsEw8jW6Cm3T5N~7zob8fYaM<07lG2Sc95AR|em?G~7w|6&L zwaI+RRWn**yc&*_@bH)qtv-Hw#{n_b7F6){f&+wduZQOLqaY{MV*Q28QIfq@ee`}p^xN(#IPQ@hs$<)|^@*K)BC=#!|1XM7;V$Ll(9AH1Xv(3j+Im�nA-UzxBcse26ZOakeh(A>p;C{9VS^G{S z+V&`cfxUe9V)tQs65j2dKwb4^6w0=~?)#;4!neUfD~UtjLx^)j*l>UObkLtGDe5Oj zLaKsF;dlR9t%c(vaKR?#uY^FLR9ti%iT=jNn0!uilya@QUsxS^wNT_OmB@tb|Rsp@-=b@qmFFY|d;tmBt&`tcn%v<{!VV7I8N-x6O9PFFJt zZ45{Ti+X9Opx=COaE6x~nAOKg9Ks*Ujhs?Hi$OjY^qqav`)SIb=_a{mos~uim zp9EbPt8>sdG`*pT%d4tJnB`@~sJ?Z!WD{KE>$df@z^`=)9Mtmd8mrh1m0XLM+E*=C zZrsDMKjG)u=cKk3D?yZ16_x(xd*#}Oz=S?dvq*_m{1Dge8`x4IeNIT4w4`p9RpSZi z<8^uK&mLV@Y65B<+bT~s_o|)RoOn*sk$cxjxAsiHz4SHaWLk&>!lf3Z@kHPyvr5~P zWEAULAjbi zJE6O!uTN;KJ#ZcSggNbk()|vuq1E#Jq6ZABK*eWo=~yc)abHmr{`X?4KO;r{32y1v zXwsTS-sNp+jp~qfva65Zq>lUo{=lUlw>fG}+1cIj7KYB|26;E}E-GPdNoC6elWX69 zAKkED0mi${v#b!}Vfb2Q;C|!6NhI?*C_qJb=j@9?`T^y^-;(M{UZf=1Kw z40#%Fj=YRRBP`6k7p=$a!NKFK$HbPH*r@lkv0G_dKecS-wH0wi#+Rol2FBy0|?cC^Q zuL78%KhvY-rV;(rRCLY(X7>X-y+m^#z|{F?J`l}Cp~ zSn0239mMpK&Im}=+ry|1mSMSaI6KW?Fo;gMOz$#e z@%+))>d%k>cJOSD3rK~pU;2<+JWlPJp}P}@1DnXOU8Oaf&NNpg;4~foGypjL8+4oH zUA=amHY;$cj*Jv@N1^*EQEY=U>=JV%Q}Qe2V$rGIb9ummM;q09dcXaKI@->MBr#_0 z=Z`anUf7N4t(sMFM_Ekyce2O4lZ4p4{+kES*RpAaT1;;>ceV!S4>>;8f;nvb`gvpb zyKrLrcpg8Nk+H?@p{S20D5+3?c_t9>auR)> zu--d_Y5->1r1&5IC;}Au*h>oxESHWudVuLOBy?yRxcd920r*c?w?68GB0??vjCsgA zDs$0c$3y!4Fqp=J^&RLJZ``O{MU+CJKiJCM~m}eAU zn*m2O!r$>omNVZWgDC_gIk$t@I<0@Te}l%VAO7%t`nwCT7K!w`O>b>_;K z`#c0!vbE-(yta@FXMCWOe$LuXiMsoT>;9W~apS|e=w&CcsQ+_4P3*%N5~`7x3&5Kf zAB8PXzQ|$0$)#AohuOi54{p_tZRy*O24};A8b4ZOo42wb8mc#IZIhu<(@hkFef#2z z%~gG8MQ`!OPU#1E08Ue0?46r45#FAB32L0B;gICSv%AZ*DW^8*W;G8P&XgD8x?fTV ze{HIROyUIyX6UI&v?y4-F~NB`L*%3iSEuV8Tb0w}ryD!Uo#l=Rc$u1yc13&>()6${ z^Z=9%KG^Kk`59RE_psT1VE3b;_kLz`234hT6NtU(Gd_XK-Ob*(h|==c z{5tVXl6O4ERW6EqllP9`k;DP|QLk%eD~ns=I$^=D(KU*OV^Gu5tr}7Q3AU*ti*IcA zSxsHX(`};qwU2dpIw_2Thy&}WV|)*ARLjr5I^qVPPZg?CO;x`&8R^XqykUywn*XL(prepobr z_Eade3f`cw!};+UG?I+CpyTV_phE-z_MGzRg=1y~KgBKPGmmx( zs;F_kiVMbfvoh*f2QKJzV3R?0YqV{}K#*;Rq2jeqgW1+jNw}WAfRN67&opq_TmM_&5eoug~ccKR#Q zwQ44A_6Do!6H{JOB?;~%r^j-!pX&`P4W)rrp>8aI+3Rbuj)VUj3fdh#6bgv*%YvVf)kB(XxO+?L zjQR@Hl6WY1Qkcn>e)c16B9wjzF?c?i&ky`eF=Jz;Pwn*P88MJ)6$y^+mH652r7I(auJo0di=UI3okXxfDVf1b(_K?uCFPmWm zPcm@Vh%xc`bRrRBFc{=5T9++y`4L(RHBl)oJotl-8wJDTv<&sKDQ?k-D7<~~dHj(` z@)2RjHln)6_ll3VQtj3ow&6tqpjf3_sSONotPOk2+@cY{0WK6bne8vsZkk`v6VZ0EGPlr=O)$U2*07ZVEuSO{91owK-@S|Tpw@psk*fW z>WC>S)JFkmh#nj*I@!k;?b<}QHw1>dl9N<3naPz`Awl_7E45ON*+=?xc#k(fRoiMG zYWo`nhE`1GI0OSQAm%vXMqACe`g+3q-pz9K%V zu`z$~u}Jx)C&{_;W1eAk+T55P%XM`2@s)}VC3wz3OSCeb=e@hyievp;uP_Ie_qX^w ztQn9Iy_{sbzg2QHL5J~7v-Fu_q%GO})Z(gVp8{3>GS2spe=4l%{UujjW&O?L-KCpZ zKb%OO<4ZHNXZbBqkWXyo-deg9`WiF$3Qa24V<;UZV^2~C6jay?K-J2_Rr(~``V?uT5Fajy8$(m5}ogg!scf2{+d-! ztsD+o8Kh|}l5?cJo11JGC*F*yEn3msN&pN zOp15B|0FuE6zKC|fCYuBC4d!wCq=W&8pO3z?}9D@FK@7A)? zc&il%btMnpf1nrz1(r*A%jyH$wT9eDXH#yEIdIvUMbVt=;}s&w*BEJDu@Cz%2b0yk zU4#ttu1`O&K!(7gFx8f%4G0Pn_*i(OBS#pF^6_}!>a_y+J5q9*fi69B=kDXA$kU-t5==4N|a%h-&+sEH0wB7s9n1! zdzx6)0ujZv>?8dHt*>iKehc&}y7CR5#upB;H{wh`NL8QB*c=2-D?BzVXtaMlf%MqX zckoEDBZ*d*Rd##$jpaYfZCAK{I^+q*^Ebv`h3|sQjZw|Nf4dHJA7Ki2i4AK+@%M2A zJ9=o1=7ayJINc95Wt4fc3?}VI`Cai8zUZIab5ieF9H#Xu*e+;hE{4>8jYr+i(Md3Z zFKt05B9d^6>;=;uaPkM2FN2uJpy?F*DnbU)hxN}sfON*$*M+gKRk?9R_niV;;sQN> z1ghHE>rEneY`JFiC^=ks#12axB7Qm=>klyip71KtvdA}<06jY17)lzCiA3LW3~vvt zNUc(_&Q~$d<}34f@_MzYgV*WrHyx_q9|{_=4x{VF*?iB|uBA8np9hUJ%L+U+L3Eg= zN8jf$5bSQ)ImjWN<)qL~tSKgZuD&3lDjIJ=?`{QQTxl&oO{-kC8 zb8D6o5BXrpiQE_{m{iG{bn)SQ=9%CAsr{ERKeLpZWHUCugmck89m>`tvMc2hE)DNy zL=OK^A;ua%irHNYJ7c=g{R8CGEQ1+_>a)Ss<$NSXGHIKs#SicGOzouaxc)L&wr-pm ztdr4*E<94Xx&iQz#kJXCULh?fhXdnykEhQf1#+>~VfA7jmZS7>{!kg~;TP>GO1E>_ zAp$rB!60g>kkFwGt2JbIog~HgUb(dIre967^Hy@{yNytDXfm5st5fGYgGrX}HZ&?# z=UV8_S(ef`O->(Q{&O@*4-Mn4Y`rpUnuw>>;p5W8FR0OXWhtnocw7{r+bG@|Pa*A* zcU>Kcq*q?>I-IHE?k;_PV&tV<`7(L1q^4@K09?X76uKw>u;4{s!Vd~3(WC8r5p&fQ zJGrAy>lOaS3YE!TFyA05cEVvdv@zjv?m!v|;2 zSpBVjI51ynF9CnO&gH)qI5=eOHOIbe-16v(l_7s+YKVbwuwqF{0SKo43ldD0;BaFn zdLMUpbKl`E@5?%0}IcTzQj2g?d+ZVT*HJAzsT{!5pUfiCoQBD1#!O69T2a(PiJZt>PwO$|VeU3tehha=gA z2QApi@(pvV!S4La>;-%%c(OTe_AL5B;hp|##Y^;8k(6YS(LYzJOMZv&7aESd4scmf zyc*B6q$AR);R#)Af6TP?iGyg}*@M&q88PKSx9mKgVF}rJ7gA-MN|=~QR$Zw^VqH_n zgZmjSS`_1a9F8kuf6Eptds8H~8qAgFCslda?(zPMXq*;+)cH$%$~YGl+~CayeDLg~ z!taN-SglqgY7STnRRbO!MLuhMv(u_mQ;!;oYxYS{$18cW!RpuNf$M>XV+mCWe3cTkXAmOL z$NUqYFbVYJUdr4*te4NlC>$wPupNJ##DWiMEMpLEiRKM}?_>x==I^>mOKp%D+j`4~ z6}1ZkRJLLP{PtUr8l?8(G(FF!2Mr6$BHpbQ-V1@BmqwViK@|+zpybS#t&A_0#L?|X zcAPE9RZVU--{Gp7i8L(>91Fl{E9H$-w+Bt@P{%1)*<2)3(`4 zsi@w&k?A$iy|O$@Ce*+HyVW#rDQ6ZSNh?PoDt_sGTv9#B*{O@d4UsQ8VajxJQx+~O zl~YZ)A@(gAS|cGK@`2NsJv(2=NsPDsG_qr+PXrXCNZ!Maw*a!S&m^MO;dQkhKgyoK z`Z%{r;ewr>HctJRx_hFI<%Z=#$)43X8qFa8DhvBS6d64_ac94_MA zpKF3NoBrOA`WyBDC}pVK7dq3zS)_S>Eof_%ks9ru<&qyH$uvsp8U#g^4PS%+t20%z zaNwGJo!vSwR@6(+#T4y>+CQ^mn9Q~9_`Q6O56kOC?Z?yQ$lyNSL;JU9RLrA}xL7V4b^Vzi#$=T9d9;LSP@{W%{4R+v=(ZtzPw%9kdm)KzY}>jW2|Xubu?^`bbQ3(R}{9@IPX39aSBQ37i1W7 zJueL@>T}H|J4|Zw`p42e>25(OTjthCsu}u!G1KJIR=a|iOCjHJavi^%=d_*L6%}BJ zLDUW~NkG_1B-rO#KV^C3Cw4rIsX_ECCO84b8qnqE~ zB&&Rb!ZV4Mk6s3c>zxnjjW;{v3ZX4x>o>J)HTv#&E6?U9EMF?q)hrJ8vg>{Pb0Xk&`N&ne|~n#&tR~i$)Q1&W`b|*D|?^6P0QcC-*ee z4t8IA4hNZHCr?80n-iX2wVqEt4uFvGitH5};H0x|vnQ-rTZbWQ7XTX8_G+BBHIz+x z!BX#dzo`jX(E2eh2wwgD`n}V4!v?pXZ04?DwXL*!vE6A^A;PGh8KPOR7+4#hCAj}<09bJ+QtK83{Q5P_#FWm9C19vs0% zR2KzS*y3DR@_WzWJcY6%qCE&c`x{-$`uCr-quD4Odo*h}FWrk7nVVOm$@G23)s2r= z+uuWyOWcI<6|A0C*bg069d{mAKmnB5Hj{-*Uwv+>DjBcokC2@bdKkYM*d^wxU#NC( z%UqaEcK@7&#;cnv;yEay5DIO&3XDC`9>B!UP*=L}e{w)Vw?VLv?Vyj{iSn?7;7BQ zt6$5U`SXD~A;d9xt%Y;dp_#+bQEpzp-13w$ootc3W^q-df;V_rN0I86qu9T`#Z^CR zxRUj4Dh=4Xp1f;0P7NkM0p(4%{*DwSLXyWypS2UUzrST1$4l;|#W%arIzl-znnx<+ zCwYJGp35wjau0i^h3ik9Uv{@w{#N50#NNxJK}Cbls87c{pQ&rHLp##I9M8^8%G;|+ zIyvxfXPnZ;)HGu(B@Hs;NrlgG0}inl;+%k?T)*qiNL|HIp{A1&L0Ok4WEpj#6~B_l zYJaT5m1+fpA}==G)%T40szR}bNm_FJmR>T7$8kWv1D0Ef$E$oV9Sg|v0UM?rZ#pEL zb8(u{$g`2>(0ftGH@)t@HsuK(Nnrt?4u5HbXSZ~Q%eO>UgG~_9cys1!tGFEYqq>dn z9kl6{ZMPDq??|V+1#0n zP8S$Sehc``vXGI!Zh74XcTZu~ zC&{zJeD=9JWORna4E_kOt5dvB*aQfKTXO28ja9QA$yhQX5@|&k1$0vjW{1I*Z zQv5N~?MPUxU!V3TgPxycw}&1=bgT~{%HXEgFMihwk)KZFK7S;~%ge4N+i7O%eGmLh zM5cGmeLGIu)LX&aLqj6_)V`va7lHI`!+Cy_8&$lTP6 zPJDB|8XzkA8^c_(6i^C%G8c}X`}JBbyqxSOYFvoeezkUL zOZ|OjnU>}VyU%uTmG4jxGAd}jn`Xw7)Up1f`Ia+d_oslE8m?1PY2^BoNR&scJ)^a< zq(GTmM=Y2bnUjJ8>D7`-7=Y`lk8=hJb*PSZ7qD}ujehH7ua_ls8&4P zxBo&Ug}US3zje$a$#6f<&^QYbMPb5f=w_JEofx|sI%G~EhwBX4$dm1@q=G(xw+ejR zw@-f2gb@A>vOX%NlR)6h!93mMX+uijm9L9eD&4QMz7jSlb9VPLt{YLZbsoT@`UcRG zL4V>y%ocyCpA4{Vbl`@~0^-ZNal^%YwB9-$UYiZB)0)s* z-PO6Nf;RCqF1vmsW=UJ)#&2HEJoMcaw^B~mIJtugv}bhtTs>rX(H~V~Y|d=1((6#5 zrAdV!wta=YT{iEvu6_p9JXK%=;~e|k8?bqh#3+$4@%I@s1>vOlwU$r%F>sNga6{`? ze-dEGWJL7Ce*cY&t5Y92$nguljOF0(hZ7PKR%UJ<0pyqJMb7u0B`|EYiGVd$KlHD} z2a4V6oRNIv_GEu~bIE>hnf=`tG+aUe1_Uu<^XTpUC*YN-N1L0 zu$V`a=ldmH_ehj9HOCskHXzOJ(($H#BmGto#zy?7bRb)cmpLa1o5G<~ z&t0EXw}1PwS0|+;BmKoa<+`4!0Hg~@v+yCefgz1}eUn$@qZ3rq0kVHH_gTOU+ewzm zVmvDhCF-#X0cm>ck2v40UV%*6QFR2t6X89&0y^;$UT85@9o4_cd|FeGia@dK{XwE; z3|I4{POMpzmgYx&<0HaiaL@Y&dW!5XBfj4_J0BU_dqha0+#`*MC9k?XjI%o>TMz%E zoEE~pstHP6s^WXnFV!-G-4IV_r#RbV zYh&*VfD}RR;3c3~FY_QZqmTGckmn0ikS{&GX7^NlN4oM35(NuhggL_b^h2f&E}{;S zj&l@VPvRN5H25@sQaG5j>5Z`OSJraiKI|1G$lUtt^TM2ShRv0&rv(qmEc+cG5}m0$ z?1gb*A`7S3)Z5JSEFLcg7qo}z`b$>)ZHmDXOv1R{&6`x58M6)uD3p>)71)2nkf&v|>X1rHW__M2Ucqt>6P z{uL?m4mOiS;C-CD5S7@mC6fn2U(DAC=|5@fZqMv|v}@<_)uvVz^n8C3R= z{l7_=4{Dh2DW3~S#DoN+9&D}`e4+CsYq~b2J2AezdNNrO)J3iSm*brstjn1 z@Q@`K$sbM;qzWEty%G+aN72Qg3HC7q;$~#m$Y9ViBAub~TKZnL&lfR1EJsh^{72ay zaZ6O`@n@m7J8x`c)pkl8JKp5_YB&$d+V&U+khXC?I5t}&?Y@MDN#je8ZM^)V&+#0m zKMwlb*rVYzD-~wChIYOi8@$60PdkU`p-)0=P)v;qH(QNSTvB>!O14hHp5roMtv*mbk7@p#KBp>PW>YJXkiC_d6jbI&MOBVx_2Q#+xtde! zCnwPq)l6ia8Edm0vbY^Ek$pQSWg)~z%q}BEkSA!O)_|{YJJ9K3bvphcjfrOv7pQ3| ztUB-g>x@YD)VzShnN_lQXT$D$pgu(Z&&X`rdN+>*yAK>t*3+4_JO2bjs^yZ2kLo zeH){qpG?kla?BH;3HoXF=Zgi8V_%-GoF>@BNq?f7%M?7TJzC0#?J#_)jFih7CURy_ zmUG)3CH-974Lq)=hX=luz*wCLZvpAorA&@x@*+o)%-0doz5F==$&3iqXVBB zd!X3$QvrK}^YaAU=3fau^E=JyjiJJUW+8h>PNsypM3=IJk}yq=1npJV3DKM#)N@^d z)p{#)M2Aaxt5`aLW}a)*BoHSrk!(W6D{M(^4xH#MjIs$rS)n}m-0)kpCo9?J$ohJn z?WUY*&Ddri>^Zd1Lu+c4tcz}wUtV3~4*ckPmxdwRB{p89TfQP!APx-bvBdr;4_PL$ zOc&02b;Bihe#Wao=Rz%|?)DB&YGvu2CLHhJ0(n%qaDLJckbQ~piDW#f9Wzq`#|&8O zNw`i__}7F~^`)g#&K%xc$PyjS^FKt37vOXhNT^Y@}Y?Plh0k}e%=vv3}F7L ze}=>94@k1|QbI-r8h;i$?<9k<_y+vzB>{>>wJu|Dm{(G2;K(VpiZOUflnqIWF5W7`3!Q^&_x!!w2eT z$!3C*L!}=!be3EF?S*KoZL2bbJcz&#s-t*v#^iz8vv|?OzzyFvBo~NDr07);WiRyUvQEs1R>kp7IifG&X&3y~Up)7&78Z_9 z@Yz;fxr;R%HVOEA*L25mhKP~G|GO2K64)KgGa_L(@!cqtumm5i3T4Xq)`P>)!#L zUV5x_>#fyK6p!bW;VZXMo(HLk^GP=lpy+I@Pb0PxkaX;JZ{gKl$(bk+KG;jCgI3nR z?5XuHG{+T^hkVr`!3*yzb$4Ehv~NzL`DsF_T^utj#Y3-`3(=x})FRH3rnL3n@5^uf z_5ZtNli=)5-@tP{Bxw1S_cDYqy$!l2Mnj(GtceVe$8#AmZY6CaL<}G1A-IcX#!7Pe zHm?tM3Pyd)!H
4rk7Z|iuJpXFj{&$oIbBVt zIh*!;3y5SiC&%(hSz(D7i{G%5b;@Z7ucA8d?ucI-(H*v*F&%Gv!}ksP@yieseWE=* zTZK|O`NNFd3SV6$LPs3lt>7G*02Dtin8!fEsdU}{)HWEjp8sOrZgUnm;IcSb#Kzy% zoxkNr*1e+N*O=DfWSrF^*NL06R z+!H%H-P9hlktj3ovAXqIoK|Kit-RG(y5J81JlB$8^C^0=lH6$r74lsoTsq0nZUnDh z0VvllXJky%D3T2E-iLz6XxMXq94wF-LKU0^uy=0e2|z2jRaeZtlOoYyC2;%6}gVjT3vL@H}TgtqqUZ(GGMzm1Gky~9{<-w}7 z3BES)Bk@kjH3Xc)5HL_Kp8ib$O3pas-KW-=)+Tr+G?ss0WFw6w<&cJi%NH={pp(by z7XEatf{(4r&0Q6qdSU?|tLbd!md;uvJfM>Nu0YUa(>g#fkldkkLt%7FZ~LHV2`QP+ zdhdn#4WJ&&AD4SwK)`Dkl`%&{zwzjI;$Xfft0d3StrdSSzS$w>gXYm_QOW1DI>I;L zP6HCN3nTKhuqnu$BdRJfi_huYiZ4m~ll7_;i z^{Sg`%}6(mHXxG-%ihN7K#!%@|sbse{?z;m2F z=*&xXbyagI{U&FSu85KZT_!c0%$54v6PsEg1>*e?Ev;);<%BKeyD76dX()Jg z6NIYyYFz=S^t`#vRAJwIX~CY75B86>nv-XO=3F9Q23Dfp-H#`5fSW=%IRqX>_mNRN zl~NMogO{CmBg>D!0JwCdi+IO#=XG))?jxa$u{RQ}{b0}x7jR!L* z05zs=Wl@Z~k7t0ouPmMI1=Mu8a@V9`Kd^t2$wr_3!LHwS-CjGfMn%gZNv~Su%GXX) zDReHC)jrlw>b~qda?OwXH`32h3D1(U_(PQnJ+Z(2zy*}rWY>)HXS8da+5swF&pExF z0$(>&)DuCKyn6#X6yHepZLdM1&^3if3})V?@$IYHtiGueO$CrsAg;hcgc6b{f${jO(R!ccL|_h6sH2@ zX0lT=jh%(eu$E=)UZNpG*59MqQ8rjBw72*gmgB9pX{2(?XkIcZ^r{Infj~u!!Xg>| zV;Gj02Lpp5tu(y`Wa-tr_d!4v6=b?*!LDzuBa6-cGJ5$X-Vo~ckUFU@Bq2iaviN{s zUCr$`^F3vePn0s3yEEOiBb$9FKu5jDm3|>VF;E}^3^#lNoABMx*fro-JWy_XmG{?Q zo7{1=np&`LdL=do{F%t#S8(3>n&uC=Iyy&IpR!2q@KgUnWmj(P0Q)gXGa3X4ENv$jM#7t>@2D4Z|{ON`a*S4XF#6$CXN9bCpyauh!I~M5XFOuv{3(0 zaCys25aEJ+NgERriKhQiCTR;ff6zYnggq!sybCx^8#WQ#!kkmrF})(qctpi~3{S6H zK;M)#;SNE#=Yt!m6(nDs1xQN3Hq*?WAK!f0H9*Tsgv4$U8As_N4{5MiIiLgAU5#1} zMy@@F4HeFt=J_!zt&OGoUaBEW>nPReDxL|KcN8=+f+Y{TQ>a)Ez5iH$Pg{fiXPo(y zOgqy@=Jsv7@e`DV1*BbEV#tvJI1}S{skwJFD0tG74ekb<2*^8JLrdd~>INr)In!@C zfmAhG>>=W@Y7UckNiW~XN+bN^eHeEh@D%?@xBZ>c>wFLW)HBL01Lstron0#vUE&wm zXRB69Jp^!GL|*`!%kjyz$q<-tKpeX>S4 z4%S=x73o~Jp~c*m1X~WmV=yZ`8BHaj$jqGN_1zzN#;uzaWit7-#FZmOc}PGRHDEP$Lua8=1uff1CM(slVkuqtb)Xl~pptr^l1{di5miHqFiR2{4b01^l7u0FF z)7wh}(eg_w@2Jectzy~Tkuy0(YKl~=i(755Q*86QR#-Q*u%rFM#Ih4VM&PafhRWuS z)fW=~^9Us&DiLmivLI&2ren5V7N)UwKRsBs$Th6&NHNe79&>V+FZ(NDM_8^m3*5h1 z^o>d!Px(Y9U9(U#vKaY{Hm#=!vaEn#K+-*p^yyD445l4tB|3uqz0UfL5IkV`j&J-g zSM4knR!CmUyQlJ{!vb$-9~ZfWdA%)LZy!OpJ?NtNMNB6$qh>)9ICn*hOJ zoY4?>-vut!MmZ){@DgBe)lSkR+#2wGToX)dmFEn(S3BVOX|KXvE3H`%Vi%HO!#IOg|o&b|i9O(^4acLFfwcQEcz3IfG^UZNOf)XRfvG zS34yqj98NE1piyJGBqm32ZNtcrCKb87nxa4Cu}%Ho|02ElE*~GvlhGLL4NvjpgoR# zU0b#g5;~_?!Ds%lz=YdDqBNoc6=Vc;Cy2Z)DJ4q6!5KZ#plHNDP*c@eZ1Oq3HG2Hk z0xN&8K-kb+y(>*dphJ3Mcm)~NaT|2?fm8{_{?CcW-_irVuls&U&rpF|JYF#`>TgM* z)-yv2J{(2MKy-5Kt@=l5))3E~`jqa(GA42%gBL8-@8hGhO{u6w zl6aaZPuN3-O#{i{>#37{qX_i)YnQGh>#~c8A3{ z1t8;(HpLX5bf7d6xFODcn0|;~ zo-fu~YY}8y=)$J{gl}RIiN&IELd~u@XTdh}gVnpvj)=1$#=iw@KN;i|I%)bD=2)V) zcFYQtLi-?2?yomAmRreT;JO8CtYszQ>JpD%tJbq+FI!Si8ag*I1qye)O@PsRp9J3P zC;3#>G0oB~(HPUn^tTgu7e6F%MUL)$X$mU`=Z+da34%qo=!-B^WA{{H+6_?AtFNoj zoEBdx8?o0k^$Uv`O9HtOdJWs{v>CJzPmTc-0p3?_%^LJ<6~DN>cU6u?wt3cPSdQHM zt@xo20fqfk)kwhFKaD})ktau>jqh5d-(o6Fj2p_u#*o}&lnq0qs0ckVUWt>?2%pm$ zAJ7ncj9`|RkG%)_>iBx)?$5tvReVJvf7S2`Jf!(PD+LjA{wXp!zt8)_UHk*PV)7r1 zTi&<;VQ{>qqU-q5(04O?m36x^nU2r=&Pl+FT1hHdowD{W!z%S=)57?n{S##7q;k7@ z_m?6(liK?YX{6ozTNryM%t`lt9Ni{hzb`4HmY_H#@Jm{Z-^3$Dj?-4*px?a_X(ulk zQ)&Vuu;Hg8Y%Pu1yK@>xZsf;0z6nUq!?r2yx1^k^B2xdAGY8+O@o#31S*!DJ$e<$m zx?RQjX(O&57Q}GW63yl9-26iM4I3YQ@J`dlo6!{iX$A^(6v0jK&Ju`=P{ z%g}5KT-~iGB&vG-KSO?Gq)Mfsl2Y;qW%KJ2UmX>`fFbShMCR|j`cRdK$6(v-hTIn) zFB=XEtJrRPKcBi#+pj-bt^;>CX3{W-Q>B<+>G8?@a>ys(&%mAC7)_I6r&vrp#+MM+ z9yIhmBOff%Qf&pL)a3W(d0!nkrP3-#oCAH{)xBDU#gEOt zN}kW0R^sW(VV55q<)F~-l*Bd8`xs@o-u_ka8K9ZJ~$M5PwO?E-FhZytB=Ia``iqSX`%L7lG_1*Y* zFL229T;(bg3MI)=K#6!u+?E)Magnma&wjEtnYIxG0?LK?JLwK`7dbp{SKa>3UF}a4aU&!cWVJclQ0T22yy}zoD57j~ z;}t*|Px^zc{j24Y44s2l=U4t+kmu!EmQ(7sE~!z&lG{uT>JHi^mYI;kIJpB5hDXi zmTtbo%3bLYIWk#d;t)?~@EQ72=XMl)7b^ofoU$|q4q27Mc+oJZeXZ87q+Ig9DchsM z&ao=@2PAgpGju*LRTCd7r7V#2@Kr*Eo(lnz-=UZHfvF=23@ms?o}?MwXVB$ktD0Wu z5Zl$}?{xjWMI=|E6loPearMUTzY(_*TK6@6hA@_Qd6sqO(m@rCefQr|={IGV4H%CB zc3*;OvlRYl!_NA@ycxcRc6^AF8#_KTrpB?ufW4JmSVvymZ zW0NE{aov+)g@@1sEAF6ISt#>VB&#^v;nhcLm3sJ=mZLy|WozH$wc&$8^ES?>M(5YU`pwa*VxAmmwv~>V>h`SBQF=-? zGb~FnDfQp`y@jXoww9h-@Ut^oAILBKxX6%iR-{N2TVX?L^l#;R#%l;KvSJmh5??D* z$F#Jz2mVyybuFt!Ods-+@<~IOr~oFExG5s_?v>*5m-BeC**af$a5r2O!`M*oPym*5 zfA#m!)H$Mt2CAsTt)!DS;x}v+8Sik&O$Cm+0OUic>}r$YxU47j-9r{1R2lJx^xB9n zPMv-!og7e6uE#gR5ZU#eKkiAS)jZRU9Ft3D0?nM|v4bUdQpa|-SeD_$eyd}|kMUG6 z{`zzr!f+}9n!6s%tnv)(@DQYC^#F68gn(W2nYrpsXuVl<99coY-3j~&QGmWmj-xW(?|L#_@izCT7jd#+TI5cSU8P@y`Du?;z=$7j_J!Ne6$V-(P~^m7;coDhh}=t^{$ovE$lcU~3cBY&Ff&W5C{ zD-gwPZvG}v*5;`hC-(F(XX}pDpUzKV9QecYO{%MCb!L6pH00`HzCKxN*pCqhxc`w> z|6Hu+$kB+MJ#l3V3Pv7%T|_I|^uOtr746Y2qj0-FzErbDnybUqCC*}egt>5x5jPMsiW;XH1$1Ng)w+{-4(}Tmp4ReD(mxAXAV)aB8Mi4`>n)9{4Qk2&GjR* zD_>K;mvcHz6Lsq+h^dL9lK-2*&2MgY=d@WmtZ;NA*@W}!RrGhh--NFcsmhY8(Vocb z@lUvpf029CJl0IdZ)M|#e;JuYgrudn_BE+P8-u56H|0(ZO=`aBHBj>}JZr;ewQ|%g z{zBsD@-s{C**deb$I4_ZcNAiFV!%kJ`M=^@re4p*xCsiw)#=S!{?K&Yych|xWyy+~ zTa|74FxLf8DzL7?t^Fmb{`@!{x~s^Da0ms6rgZcN(pk1fUuAtb+@eqQ5JTnH@Qmu( zY&kUMIjrU9@~kJ15d%3N0msPCnG;(>&EovWqPMN`4Cj$U0?p^4cn(uNOyVA(8dPKINr!`mOf#)Yvm#lVhvnuKlk5S z4cck{_`2;alg=HMrKyQl0Z|zY9C{0KUX4`6RB2Lxshu_wt%Z~3MJ3#^8~iIja*MiJ zk~9{*#^@C)OrcG|XiRu(=FDSd;!FsFEz|7dGH!(U8xC&NlMV6+mP5XiOif8Dil+Xw zy}!ag6_`_ zBrV!8SW2L97HcdUdDCmqjzW1;2Aux7?3Kj{CQZ!zsxvbhV&aMfIvvp^;%1sh$-uo^e7L`;a1bAy_P z#0$%6xUrlSE!>ZSlwMv`ACIAnyc|}P%WSX6oEKUKRH&DZ=I^)NEHrD}Y6#%wJIWL}_hUs-><2n@Z)b4=*<0Y+*IhZ}gMD{P zp0@P>j6^3!&hS@S7&z%P6N=m@mU_AQgWs7)n%#a?DE71(F0@daJR28kTPjXT7V(XOUL&&|Juz0%STzd%=3pscd0^HFf@)J)#>1Y!!U zlP%zO9I7G({%egR=I21IKDH?pBX*zS{He2`CdgHl+rV5h7W&rgP!mAVB86UJ3D5@L zKE*mVH>#0e8EXS~Y#z_-Dfa!B|6a8?dZc&{KQsT^hsnk|%HYTE+j-On__~AAn!vNkvoBIgX3g%?|FDFQ^WYL5hfwcuO78vFXtUm;nb~2+o z=bfI?Qk=h^9V>Cht9h~@ctecv_YQX^I-}qd8O(rMCr|@(UPRtS0z+8_juf1@ro#Ra zrbtih!?LR*Xtas@79w5CnPhf7hvHyc=E;qfPb!Hvr5(6Ro8bGO#Eh?^rZ+*0Qljw5 z*HIMX-p>`AcrFY&yUr@W`^+nwWEJ4(=VDI1(Z%GtwDO%IDQ0iX(4E~)MdrrVgF~Ja zu2K?{djY0Gsl#1t=akAK5({nBIUW5uZT9gV+;ARyQ}iik=e05+A(;AdQ7%=z^5#be zuMS!;`9k75qm7mJSoe2(oaE++gwg&wBFH>J8k_O@+5BlJ@pLMqqGXflgj`88ND$of1 za7Q1+LJfU{n9fn;}cwhIK% z9J9a1e9}=1hh`VSq)Z~z-19QK$^kgGIsXWY6`YmjLf^j6v=RAT)2tS_6pQ!qZ6}!= z(-7h~lfc*z9gl1ehE*>lz;C7{A^z?bD5ZvI6|%4Zco?J``Bpo*!^!7~5Je(CcCDI8 zJdt$%PRkygj90ZA^FhY@K+U|OkmPnVnG7Ya~2YG$p1_FcP9aLM{|xw%n@JM^@StG_>nI96bj7KZZc zYq!ZPR5I`@UW?2mt^5Wp&CvSjE%`1yP-s2Bu5+OT+n*5V-4%G3-V9rfrKoJeNiiIm zk`c_xp)Gg?%ysNlO|Wht2Ni$$!KE_gi7ns`XdUP61)mn%WE@wGh?l97)&PDrt(_b} zHR?vbaY{=ysYEzVx63#8JQdR~xrK)*%OY;Zu`6uySy=on->SlL4z#QFdyzuSwV&i| zU^zg98&Q_3R<5oD>xpOM;t(l`iOFYBaQdX2BXOvdYlN^KpF2~I^s#4YQ)spsDwdX7 z>UWb&zU)b;n}_nax6(3g`Nb?tDfQcBVqROD9PfN=(%Tt-`tF4&i0@pJx^6jZE`zwx z=^M%fyu-+>PR5>pkj#Rshd|1Dif+T3Y@fW9DM~C*i$+5rQRpYtPw%=a+Exp3(w%)k zZC1lds{PL@%D9GY>EiB_S$8NGQ=VH#X`E7)^&9%t8RNr(I^QnT-W_0%!Z)B;DFJ_2 zC$!80)Xhr&_-9MqzJd6wa&N2A$`>82iq{%yw0f>y7NTzc*)RSs>%1ci1%*6tMyYrm zr8k%_t^VD&PA?-Wh-^Wr?Rg!A$XpL2(>WK*!d%w0;$~&$YA49yW?z`<7;l74=2h|) zq$ty#9ux(fF|*H z1B9pLw1o0->IUUdAT+7)K9;G{ZhwYnp~Nf_)H>|zt9N-q;3FoO0pn8Drdg5$k4D(D zzS1uNs(P^4Z8wV^pyrG+DubCj6Z8vQZ9Qg2Fg9iU`S@X2GlylmP))JIT*5=XHlHOU z|FjRHl&ruX3G+4tg#&YZa!_|!0%I*H^Bx#ZQe{;UHNm3w5wV=wVC4;4sZ|IZ!bloJ zh%Y#o$_8R|QlXNLp{tR$ms|m%FY*2=;mCHf42!+}j<~Zja7g}S5e6yy2SSlxXVSYv z#CsBQgy6k=2eF7FlwZeAl3{rAqWbBdU;I|&4^K0+LKjW$f2id0j%ZR7Q^b~eXbom; z2CmWO*5ONomKVXv)CsK!jvKUBU^8)H8~6#`FPM3{d3W4xUg*+c7Qq@z6~79QsE~MEpeO z1X1)hNsO*ufp0Fm@+=c})qdT^uFbFs-l=#OPz@{0l+gX$N1<|2N)LsKWm zqiPk1dVl$3i4mUG?7SpeMw;S56Ob%y_MH!b;MN&tJkA=>p8m!fFVL8Qhk`79m@R68 z0-Ut~!uBGKxt?pr5SwVMomR;>IqLr(d*|3(*%yWR*tTukc5>6PZQj_njT?0AbZqAz z8y$3vj%_C$Oa@_i8 zt(!XW^e(Z@f4??(!~`E5SQ*?7h3-iYhZ*DolD@% zyUM+XuL#r>nZn6J$#0B5iSME|D!#map%EO?X3G~gxEhJ<34#Nwgc zvE1}<`WzZ9x|?_xTy3#!Q~i~%cNjz01$uJg%r;A#2tQV<{lUleLN1CesYiK?dLW3o z=dJNj6En9hb4V4|Yf_9*V^>Z%@W>e?TD$!g?&JM0>8!d4Q3gmT;~a>P6< zSJj%@U`4gHb#J56N1$;3t?O)kiYp4C=ziPBZ%N*Kj}r*!OLs?>8)Lyu6)&^ zJ$8(ONZ_@SVCM6FBi*j*!$N~QcUWV*e9$F9xEYOp`Eqw$M!Hqn6A8Ty-2k zB>ye41md5@c|=#RYW*S|znu!aFiCD-0j(M_i|@5liLfl4KTF$kUe6#Wz&4l?UCih{ zw`E6+Rm8Y%NR>D>5}EgTrNLiR!EIQ8fd3x(TAxa&yV{iK z@S79S{vfyOMy}6_7)PhM!!~O9`p#uI@ z3g&%SUo~OrI?txF$@Cw7^3gGkd0;+DaXY*-CeI_jK)U#P1~Ft)4rH08`k5(oy7am( z>fDND33{iJ)KQF|sak{TQ7ltvXJ2QdQw%gYTwAL)WOjTd3GeU6EZ1*|kg~2`Y_b4= z2%?|FXTL!NVlG6B=6f)DtbN%^E`#P;WMBM!uotrRzQibGcPKpPKPJvS-aqjP@(Qs@ zVPp~sRRt$q)Bh$kR1^5t@|gQG6_Te(?3CrOJO+?DEcI)s&WT%S0ry7-j~xj)K7qdg zh!b)Y>qGrIooZ9=B_yM@@?&PrIB~9*>q$>d@I+l;-#E|pCInLWnIuoLPwxzC7hk7DbrL1kG*o`3Jj#BEho`=<$`e(eu2kFlP+Hu=jYhOc@ zT1K`qqOw7F8(F?P+5XYpELM$>@(UV4r*Zx5>U3`XJ{Ku1_Jub_`$1*f=8P9VR(o6y zqFAY-nin8sPfZjPB*s$nt9Kqc*K+QLK%8>c-4GtTPWzsfIH0y>yiVv))De%+moJLA zsI1aF@L+ri;B3R)|D#N~lVxay#7(k6m;APdis9LWIXf`bINfw0n}4BsM5c!nNYqi; zO3d+5@lr3LItOR%UR-VNOZqN6>alyep?}Q%4)G}{e+%(- zTSaW9B=nvx(gYniTb|WA;8K6&7v$AgTI^8t3Qe?Wv@A6HSx~2@nQV_(@ynVk@8t9+ z)J1+6Yj;ZFH!?Q#zAIA9rg~Cq7)0$ebAn>p(EhaYiScse0(6l!w4HoCV&-k22$Rxw zEhb%^_%}|!pzz;98v)l0v!f^$9lDxIczhhLe#2?5R?(ywHip}49VF($FjXYj6E|z} zCc_nyOKD1jmbTP(#L;CBbrxZAmDu-`5stKAsqkJwPSrbbQR~v>C*EtItTa2gL3<|) z-wz4(5e7zaAW*v6jjurBl!RGJo{u=Y9oRr1>nw+tR*K}En!3g4Mtpcv7XjUo3DFBO z_ClHZ#@;6EYpAaKp++rEhCs)0jscKXBclc7rx#yBOwX=J!L43HfmWEBj140C@H4ml1En`MVo=W6%A2z@95JkDjaX($w7c&|xIk%LbST2~KatDX z)M5$&^2C*wMiH5ZDWBshcjpHX!w8G$KhQ#Jo=^2D-PW4EX*1wLwe`O{#^~PiI|>>E ze0>=bojF|+d3RVM-#VO0%g7TS-*Zm7xYpsc^7yG0%|ZPZ(VxS>k@oAPDOwJ`O6H&D zq4mN$%0g_jNHt5N6tsjxFtA5xUL8Lwv-7@SP$DUM6W`~JSbr$U+;(ClhwpbXu`r;_ zxS7zqx-wvleAdHzp`vsn`cU$b#t@9nxLw*PoH4#UVPzWNYF4^C9y{Ia> z?vAjWGC25+??zAGF~>d7N$_=bZyT5 zb`uOjsNF>6w$8v5{JW4KN%4#G*W`u&+mDte)Gx2p3vEt4`b^uckN)dN--YwC7zyaz zkiX}T*%R@uYpt|+m~7-?n7KnREqf4-P`iIS&g=w%i!~<{dM+N0j>mm6UKu_9OCL!_ z%R=0m?@S4i8_~a}17S@eU9x~bO4vz9w%auG5{x+uv!qD6#7DSz#i&V#ifFmZv-M7I zFYyRww5ZnhzLfJ4AZ?RE#0EK1`9(kLA3A9dvHNoyR45-0AN)PmKTXGn$g8Ue_@nAe zeyls#{bO{X5Gk=H+CCxTkgYGnqK_gTG4RJD5{T55JI0(>c=$Jc2X5!ZYUMJYD>(|f zTnTJ;Z#r+Hu0by=!Iit63eP>pgvujGc zbhWc-9`kycKAQY_-d&o?`-xPI$sulySDK(1#|x=m8L;%Y6Gho7TDu-_XxDL^I78ZQ zDSXy@`eb+liL<`$tq#=q2S`DIQth@(=eK;{OR?>b<0+ewbjxO$Pc?NHn~zM-Zzqx! zdXll-yJ1O6?J-)T!xe}UIPTNR%fx=H?tsGEs3W#`{#Wgh#{9=Wzy<~V8! z$@kuj@w${dB<-vcVd#Ql?9Ah;_wDFc&9U}=7UqxTgsTg~q6R$M;sU5E_VCpsaOlof zxf3$>T<>BCH9he|*t-ossH2R#fJ{_F`pzd!MRl~T#XolYZCn<(X_bYmeAj{#DL2!8 z6rMHR7IXF?Vce5wq#)xI-cfdXu=rBocn6)2AD@ybYp-i30o9H2HC`w1^pR1XBv3vU zJb!8&^@W59?u?vc+lMLSO5QV@Znuf&L}heK#8tWNR*CZ{$KhYa!DKZnawtrTNJhlfQ?UhbZB`x0i^YnN7v7u_Ehm(t{z32viE+HtP)S$0s>b`9DUJGWCl@r<5Joq)QO$HO4e#7oG5WK~&}xn0G^fD$@+n+?kiV?@p>%|;LmoI;hh@rnnYDg zDCgy7&1%haMlAd3P_-#`2l_~L)mC1QXRvo=ja~aA*Dn=SP%&K)#`(Z~_vk)#85)Ww z&LhyPG=$#;W@vSeupiaet6Eo96EO}WN;}RYy_SGQ7fFX6C2FayRZoKCf70GeyB2ki z=Q}gqr175{nC0V28qy`xii1U#>Ke-0<_tyrkosm1h~3yD9`Yz|X(_2wi>Ii@vJs4B zHL3GqwiNI3_hMkNUXo2LB)7i7a8M|_sAo9rzqsHg@#O*EpLa8+kW$2_5I}Rb9@YTe zt*2n*TF2^%g=Y_SA2c9Wx#^ap|2eQmqpX6KRZF01jB8<^1x#>0VbaXrFIgi=x~S%+ zJ`CpHFol{WAVuS+WQ;`8 zU!3E$wxfe3n(T$Y<4~9*{G*n>8S(-cJO$tezg>KpT{HAw(#<~QCaZ+n7mYXuQ$V|- zI+n>h+k`@SEgV4DnkRq_U=+#~b?DEVF=M^bt8A9dsgyz~H|!CVLN$Fo&t9~1cDv0U z9v$V{`#k$3UcB_&uXS`-|ChwjD9jMS_#ts11EaCLvH@1hWR;XFOQo$>827I$b%vI1 zRN-Zi29gMhOKrl6{A8=U8(^f9=DIhJm{~tJXg=27=rz7Cr?(m1R?o7KoMy=WLZPN% zZphGB<71-!NjyaDyCPXWzfrF~)iiutYIU{T?DXdB|7~V>bB!ZLeC%g;yhbjY} z6f{W@xV6@R zj<9fgV)z#iu=ydwc~O*`WDz=+o5@8x31vLuUTlgK{VF7fm`sM|5O+hl%XA=$50w89rZ^!w#3;1S0Xc0DO zyZU!d)COi+e)4E*-iJJ$3?v*BB_R5-NUfe|n{M%03{i%w{JH?`to7nX+j)@9zlb+> zpQA40rw$UTSSW|Z*%7hIAp6e%<&YHp6>p{!8cqcFHt}*S6huF zZV9pAw-%+FEz4tg0Yxzf=M6=NIk9LO_&G%zy%ex2Lk+-;D@k0x06)j23@eeELatlW$-oreKp21ZF@>ML#B925-f< zC?ciDqYm^Lo905Y6_jkJlNuem`PxVr1F?tDia18j%B;eDCdG^V$cQM|!+*+W8gL9# zrdQ5>PCE4RXbZrUYET6gv)NnezuL!GCW@)=U2I?1|1I-x>RHvgg2xbsiO^p1lpRII z*K5KXHu`Leoh!oj%}zSqu*cn4@zX+Z!X7(P0bdD285#?9rqywheVz0dSz@V(*P8+g zDpaB>xiq<&W2zkErK_y;=hE*oIc&zkX_Hmq1O}G9`jrWlrQBIwOAL}lUc7t!*1t=; zK(7A=+_vnm+A{<($FT*VBaJ1Pj6z6Gd7|z6f0HE0nS?^ud>trlspE?9-b@m*TmQ50 zR8vBb))vcLlK1O8MtMzPR#RhjCu*F%AX%bEPEMVo6yG!mb1StpEybj#MBCLAE2cQy z>Rf{W|K*<&p0NQptkJX|N`-V8i z6v;fv1M0qU6+1T$bM?b=WQkKJ^hjp(3<*r41m{K`sgO-HKfglp8Z~xyXtkL|D=$6p zlRx02Z_m^-==RU@MCl0#HnOg)-y3{p z08`FfODX?zPR8iMksFva;y3aSU4u2|BgP#QVWk~uz9Zi3c3*kw#;$xL9)e(|D8{K!q2zK{%neHtNk zzIvy?3mpLOm#XFCGxvZIUFPMMq!IZT0sCl1y^F2@+(y)IYpnfMfHUMLE^nG@@5DwJ zyGuI580Li`mf7u*>kxLB_qE)!4A!K*kEmbi4A&3ybLE3=T{P-N0;FvCaW{I%Rd3$~ zaP*AIIBJd8dNt7Kg#E9f89{wZVsZpFkDrL@Co?5KX@nrUm>E1&n~JH{`tms#)>R?f z0Bh^b-%tT3usgn3m}Yl-+udU*4-}|7Ip?pu&x4gRcUbW8eE3Y<9yUU|xadUXCe%;6 z8bayyRWqU(45H|!%DJ=#rJOHt*MZTM%h9dF8N2%e3CxL@Pt4l(z_orqN$O{*syDGG zz1nuujKU*o_RR^}r09T?Nd)k?TC^aBGK?KDi)NU!GWV>6vfL;jP0>xq6%=P4qy`#u z92_oOGIcIfboQw*NUDNj@DrkRD-C+$sU!MuP;71m6}eq|=}XP-RuWPiUZEo}dem3V zTmR5-9%>2<@9>Zl1gw+Xt(Vkw*Z7i?p8hIUhL;BE(r+WiMYufJw3W>HeU$vJYU6#& zk|WfwhYlI7CS!DOV*By{VNEYrqZZdzl~&k(Pfdc4_y=SB=3n`!1}h3hD=h!4C~mZ$ zl3C|Isqn&CI8SOTX;xzNrQyRzyat3C9Ob{%5soX-u8nyVBb(`C<~e^PH=}QacOQX;MKO^(W_M5^ z-C2iiV=<@R4bWq~j=&sj(8R<=nIa?^O`3)2T-+Gn)8EewQ>nUR`WV&r-y4HJRK# zvWlu1$v1FIq*J;(-+`qm;{{KNeT-P3cj$+yuu2#zhbi>q)m||D2EPTDg=J_bo=6#8 z=(hwx$Yq1+y~)Is7n)Yoe8>)VTI^DT2#fn6VxL}#5Ou|4ji^?fWEN}52P-Ke z6xjym;RI5a_3)#i4POw-j1b9q!M}s(*WXkr}G|>^!Q_g zfeMPR^drljSz&dRj)Vvzpcg!CpJBfko4WtlWIRd&{-(DjVu@atz6nF?B*$C~w_36B&rH)R)vsF0 z^h>Sv-gu)i3;L!!uwH}Bv_R}Q7X}4qCmp1<11+XV9J=!yreM z{A9(M$50y@6I?AMQE?vG9YE{rqyiW(?V3m&|BEYSDj!*t`X)a;znYN&& z?w-<`ae_amdWyWGO%bNXL{i!tV|QW8co#d@;fftSW2wDZIw75>TlMpMVEMZ?8w~=w zLX`o(WNI4do}}w&b4^fWm>vhk_q3X}GNtEC*&7!&7kT3fZ$vPL;xM(?l-#S)JjGuj zj0^&W+QH7wJ4fNcP#zt}6| zO==pM%iRPmgcEs2;?u#51|hckK!UcC{d+ft~n#1IP%_N{HLtb*dya(?GKb<}P?OV>DDW1b7HUcBuhUP#^FLFZ0 z#tJi*LR={nMSh}z@T*^-)dkrc8xfQdj;3ax4}2eHq|XIwZEC`Eu-81plb$-10o{5; zX>Te4C|)J(s7C>kM?K$G$jRTo+x1_?4;Ndpq}1Lho3h(b@< zT~KioNiU5#QY4$WsvrPVyTusAGASQ%mtoths-Q|6XCOBl47RLE%K8@mKnjxwlmBJ4 z@9zR)e~-*#mK`?IIel2hNI2|tOE6m;e(gO$U?JML=X;i8YPefr589Oq5&jI6qW*x! z!Cf=u<$g&_nz279h|)8M5#;J^T^CfAm+&QmDI z|84hQFC?C-r!y^JsDp<=z-IktPk4>cvJ!Z=^54J*CVix3aoF6`SFr7`GZ{w?x8E_* zOQgo@bZe>^ws5Zl*?4FtaYxa$uy5?axM{W305(AsHYlGWQ#a`r%PzPzCRj{Bft#z$ z4h@(>F9+qEz$T??vpph9ny>C!H~!rl^M5TynN{&!h(37fKUKeF0j7&Og6X(_?MzU4+h_z zsK%BEC1iu3^cEtr)yI~7l%e9CMBxO}xi(*&T`NR@NWq32jVEz+fNa#@?65jM&x?-` zafD6|cp{IqCCYMP0{_o}JZ=F=C0C?lb7fRdZJgp124enol3vZ*DRvc3&zm2k=S3{1 z1cIYh)1S>a=3ckq=LiA?|GacY>K-EE1UYKs-+`*OT9weimxb*aeix9(+S#y~(x^!X zR+ty;SZzEb4Vj4(j%UNjO(T0|Xaqr8gl}(T>u1B77#MbWa(<_?7(T5^-!DxO6iq$7 z{}lLc9{Xq=RYcTm_f<4bf4nx8=m5b;yd7yzZj6fY# z-)MB7Ry8W;TScUr1!0d@C&I?OS~S2&(-zCw{eWn;QOgFVh-#r$2vq-E>p&pvgXXMy zz3G-T6f;60jA3U{-pW*>tO#N2Wn?AWbidSZd5mSD4nl@C7DJ6l&}W5TAOx2Y1c9%g zgy;+-GP$UUR_?P)rR$`YO}eHS7@s;RXJ|b=_-0~@5gfb;U`a|udQ6!DPpFV!8+<4UjX%B zo3TmL`+z;t z1=fFcaBeZ-ieq?+KcY%TAz-vkF1#u7tqvakXLt3O!Zn#oH)Up% zJ~b$_rbFG26)b|K%g8GEx-OF~JD63k!tam{v^s#0Z)jmqi%<&rTvw=eC8ay_fvb|Q z7FvZ1{HE}oRK)|?DuZ)WW|PYwFg9pHB(a<=miN?<7#p7(KV%Ndie0OCCJ)>G!a>Z9 z)X`S66~Lkg(t_dT5>YPxetM(TuSaIi3qff$lIXqa#MBH#bA@DdXQR4pW1z1sXtajj z|5@svx%jqkWLv{^SpgJ@!uqd;Z?f3Oq0%RSH9~nCQf0m5x=8G`r=bJv_^j^Nu&2<1 zFR}3|SG>oiC=R*#IX8*z<6x!;p$%hon3JW8OJfF9&jS5J)epN1zC*7pnEnY#ncrPe z!7a84&??>Xa(<++n@-)Oufh(N{GOi0uNe4d*@Q@^iXB*xgjllS{0wBMn9hz^{rC z9f-B)*Hb4V8TK0F1|c&dYJ?&#haD5YowjLczPJ;^fg&!{pL%g2j@=-_`eKDlg;46O z$~n`H%s*x}fQEuUWTQ7WCKeyql2kV4#4%8{nMc&w-57Q8gS=!60!cRYPOagxN|_mN z%vPTuDP@hdxT!h?%zkiR0nAa}nhQ|bBTb|1;(kjPIO(;`iop7GH{`?0O+-#YV~$^N zzdqWOUAYzHI8W8b;Ea7%%q;@l{ZRT}HhyG|G@dOLYW_}zE{IIpgw>k`?MdL!Z!iKc z@fjBX?XiUF+SkB+%S!prfg%na;eUR=w8ha(QxUDtNm+m4D#|jOwr82Tv|uGh>l)!N zA4l=8V!m;KL{*oYkF5-1GE})P$iJ%{vi!t+=|)amoz9F|NBOdXw~jZP-yD8ZS|T^3?jYIa~X*he#tA!rMjc)A7^y z!Ww-xnG?=ynIpT&YA5Ju-h4YAoV(JOAt<6=a}I#E_ZT$!4aWu?o9-l7F;Ba{imNolJFIvFW|F|0MZMvhba!0S2Sp9;N~CdiD`K@ZON?jD73Ni{<*j)K@gIkkfRG8|GJ@6SrNyYD=*;=A`Hcc zctl75F9y9z=PcwGM9~U76JseSBXk4Cw-Fn4Y)p|25wL(c7h5b}2Wat9`0c7Og=zYL zumw?7NL_IgRKGQ?OxsDY(ATo|XI<9-L>@V3>r=kOfX2d4n9GCLO+I1^U0yh2+t%eM7X3V{w5M2Jz`OgOV9w01f8H&NEY)m=$9t z(5Xljf=afjz&q?UgGq~45;OozFQ9GzB>VK~sSKa)qn%hpn#wE!2TIfCD{`{=Ou z!8jvRz`OaF=P*6wZtMYqDt2^tozAs9KHAU(jz0f%H$4e)}36j1uaR|4WnJg zN&+JRpv%VJUXan-YKq+rIA=eeHoDCVcwwZOX{09{52v2UpFrsVF972da`5ciBno-} z)Q4$K5sp!!I-`gH8w=rT94bxzww`ZdljVHRalP@SdA*Rn!9fpm&to9Cd+f-dn_*CK zul>cxA@@21T~QSeICr#Vn7@z}zh5N>44FUEd0CT};K+@H#XS=Nw6#<4(j|r&l%oVs zb%|==A2-78-9xYlFRy+^uZqb`CYFA1H&?cOsGOChZzkwGw|Z>oB#SdbBxOVJG2|^n z{4D)ULE&gEbNh!Hp`Ri6q+Ky<>1G*pe?!Ls;yHL1AXF=MmiACd1Q8#n$AAt-=E1Z% zKtIp4SuiDlU4t*009n!OyuKv`A0U!ZcfF-;yX`0JGM#iGUem-eBaB+4&|awOJPM&y zJ;4+;6yZD*BQc0yl90#SN^~XZK$@<%o`&z*SSQW+59#o4*+S^@_9}KQu(u@~TMlca zpWzC@&4KR^X7#_i1Y42}lIi^E1+@62I+zVC)a&QHE;V<`PNPIVx5Y(epX>b zfp|0GWNeYxL;LDRCG<<%`=7xWK$Q4aqqWGBlH`QV1S!xq_2Q;5a}JX9?OtwUpqfe#f$=20np>b8*^>fv7!Sv`xQ|tT#KMdNXOfb7)?yEjUp*;xRTc*ZgCUQg&d3mG66lwM|G#qAD5G zj{ZREXaxs&(-rS&QNl%Ei>BeOt~$vt`c6^0vXM=r*C+3GuKRWGF*sB@M zH#ED6avE(*Tw`Y_g&4#$JS(jRU<#eY{j^JWMyjewA`;gO$K3-6jPxFQH|>Q<(htZ9 z#w_3Gjmd&zDg7H#vXBdlubPGtgS6(~x%lsvVol}H(Yn}3In=iV14R~o1qHB*$UTuC zME)INdh4>V`c?C$*RL46D(;6LL@+2V*N|?HO-6i7 z#|waGCiBoL71jjM*75;j61)Y|E@6Q^>vVT9C_r_6+gyN8+ zdC`)H!L)WVqVJlXn>?NsU>8te6&unl0&Lhu^Yz;Y6fk8F<%kRzgs=usrN)(^eDcN} z<~N4Yo>lqFDw=sFq<< zr5vktd=+IW5ZW_1)I<(`z>WN&t&R|Q`5V95llB?+su`e|v6E7m5VYU{P4y*gXwG@qLI!?qkGTAAq2>BFslxCu}Hn#!dfubA-{dCJr-dem^;C}+@B2gk<7 z@3Qt1^c&X6SfgGW=1;24KNsU+x&ciX#qbJ<8dN#V;;IA#pH}0?2A2R%;5O2^X)1-%R2P?| zDn5a|m@9Y09^?L@e6j&<~R7(0$j4 zC4W6bI4E3~eKna?hJDRQ9gfjGw_zjpu}ucJ>AYBB0Q0o9kc>G#Run_C5#|~`+wpgk ziOcpR&-<(@c>y=V(O9}WLaLM#pX(30L=@6IRZ1$bya(~^Zu1UVh@-V4i=c+6;*A^R z<%rYfu(msjoh9Q4B)`74J6Sejr;$t%0nYO40Vht)S)FUkKj*wfFOwKTNIVC2rjiz7 zhOmATj&FA($s~#x7Q~+pGPBkTG)UK<)^GOKS=<~eTqpd{_X|38geE;rs zA2E0^tFtk9_FIdOe9_@d)Zmu}wjog-!iy z1rF-5u7gg+DjJ(Bgs=jVce+-t6}A7q=!uS{?eN}`Zx6TEq`Q!v1CT#;tP{^Qon?|Q zTR-INY!ahZ98gIp+=%*E`^ZNc3}+inQlX2`N<>t7vG(=+PwxfBQGX-Nt<}Ap-9AVr zd^mk*UrW15mh+%Ft_T>_QRr*=Mdwh~lE1{>bz#~XVyRvCxvbhe<+3&^=vk)h&Yq(s z?@;~HUieyexa@7;2gUi07cBV5p;^mKSVkfkVgYBo- zYYb+lEU%fuTL$Gaj*_bI>Hh(syd)8AKIl1RFT4I8z!-pjbDwlTR z4lqRlazmeaNM)kr#n|qXr<-8fvSwC=r==Nhe)Xng0B3S-S{RuH8@Cqekc`(csbUvf zES!7%a~4KdYr;87)kh6Q-0azbn|{!h9eDo=v43ZP>9Qa2X*_>bkfn}&4`qI88snyD z#3a-In`*Y|#?a6P%S-o~;+eCnP(kXj@|<6pz(L}38DC$ing~k}G>|F6YtQ~?z)e95 z={jOcjs4cR2(JSRj4}VKaAcL&{;(1+(-O~aA{+yS@;)yVOurgzqy|e%+S~R<$+U8r?m|fcGWgz+%8J&+s zZoiS4_WLtE_E9+L&W<^kS^u(9w}jc!mQ0S3i`TS|l%X5T!C&@oA*-32d!fow`{Pmf zurry`psG?n+rT#`{+pn zmZ)b*MSKxY1{mmguMw-)-mInjY9WNBaXF7+M&^BZ*FTQoNas@hB~uK)9dfiT!b1&f zC^18lgy#uW`D1N_ruK>dHqPCYeDURLe(6=z>(1cj=fJ9alEd&uR}V>D@4vu0tf}=) z>7xu9P6(LFVrCh0g@D2CGN*4QSuYNS% zhvuv<3LYn$VI_}&6Y4m*m9Yhy9^m-(lm6}bwvbb|YzAa3Jw#*ASb!)auTeKhxZ%&B zAC^=P*5oY9nz`q8=03sz$`R7AFM$@4qxXi#IrG#r1E%i%S;daA4yb}9$mUftftv_k zgi(aLR$H0Ar(*lZJco`+^!;(4=9$Oguvhr59GRaWokD@7JI9GTSvNM_S-rjJN>-A;sZUy;m93=u7IEpG)BxJN<3WJp+wP>IGD+by@$;)6H&1PeJ&ayoLn=d5(UcYv3 zu299Fso#RDOfIFv*4N4{$646l?a^1$%|M23J%q~$!TbhU52uj!WU_Mi2HqQT@X1M| zoEZyxe<0L$5`(UX1?0rah62!Yp7z1Ay!67<5w0DhiVNJBEv6Cr1R>!n$R!e=r{1s( z@4t8qogkftsUL>rJxvRvzp0qVd{=QI?ds_htm!9d;V==qI_td_g0ddQbOF{?s<%oR zxGh6JoNBd20Eh3&%{^qFnQvBkuFqNO&WH$ChA#>~7bt>Yqfgc86dNE;%#C6!*G$14 zX4{khMzepdjDZpwI+su~g#VtfgcGNnp!K(VYT^8vAWOOD`qAwb1iW4z;J<|C8Qb>U{Lfc=`sn9QAJ+iyKy|%Df+L3oieKGl#5x_o zoXOIsQZ-BHNlPR2FcZm!>zB$VzIi!8y^54u&?T;jGhT=cq-LWw-*lerXjZL=@jD>YGr zxtqPIa%4mt>&;D8EXz#%px|{9@WK|Ozl@Q6KUqAC@q0q83|{{Wn7Xg3ONT4BV9k$Z zBLXW#0atk&kL>D^xDSZ(TGCl)7**^9`fc<&s6{>+nP?H^wx?#y`Rz)8!95td{`>9> z3;bHmKLuyolB3xyOi<@_N{ZSOigZoJ;}Md)cz*(kP)0({<386w#*@_p^wrAC(~2av__1F4y)a-4cbD6Th%o z0MbTaX9m78hibek(sIuph`O^=Ky?SP73l=tCXqD*`DEjp3sq=#GL2|t zl|oNjLXErcmVIZ7Q()BwZ$g-Ihit`!Gxd-&U98W;HS&#Ct^1KB(ZCF%#ee<>b@2_PUj zk>TF#Tnnh0P?#ZR1FgYDD255w67im4a(2OGwHYlw6vYGNF^P)|`ze!z&+s&j0GWeA z5F13u!_+4;gn+EiGttLA)jQR@a$=al*J&;3!&u8;3>le-zsUt$Ekyr8IhHWb5G&4Q z5SR zY)jg~K^KuZa|Vb}6`sN@24_f;Ng5`Ng%NJ2m=3x%d5oS_{F~oOrSr>g6pV053q)3Y z<#HgoWquiSMsh}C8NIXeByCd-@QS=sn+R_WM@SR4;02Pnc%%JLgu0>`M{3 zK`J`~!=9+iq1gT>{oKTUhsh$=$#nQXf*Eh{<~17Tl%&uV(=OJ^SpuB@<(B z(vc5$Bu;JG|LQWE)c!2co;pVZBjTB2{Qg^bw|ItpZxIN_1~3jy8YsKG`JR!VpJ-&U3-7VW<)l z{04^EmQp;xgF*GvGA(gbwtJe2)E1DY%E0>95yWOrNv9j3mq1YFqbgDR7Yo=14jm!! zt4^;k!568)!{U6nGNsAK=CN@>cZjr4-~1wESw?&NG}W!FGM9TeBSX~e8N0!`TcP2V z3N}Il<5MqGXQ51O1fqpdhYRHopO%@%1^g^)J{!=#a7;XGKiH{bur6|3D^n<>^WYr@u?#VvMw^cTOc%do9KZT{*h3T}(lS_gr z#xhn+bE8Purd0T@^y(KeD;mIzK0r2DWyz5yX(dr6nz~UDy^sW#jKTBKo-coagC)4#pG~_+8%uZCcam6Z0o6!io0^M3w&eosiJqne_ znl68=iDf>kj{RbBh5T%|yT2l)!A5%+&^7_TQUc==-m5P48_~~l-8IWJ8`)}QD}~J> zk+;eCWROWI2^r2WO?Pqf6rEqHDX^D3~1SujY zE?zZ3=$e;*15**0SpJ2}x2~@LvT32<$D;8YENvuV@RLA&*l41C=zp<)3MaW#t*`RY z8_!5{J=>nnxa1vy8Lx4@tMEn;8$lta&^cJ7{Gs=~8Rr)D22RmUP?jKDMAItnlKD9C zfH`sldHPRC5rXqNXUgZg5-z0U8K2^)&(8$8tMfNPb;zk#ky{+(;F*OsX@Ih7p?wlP zJ_=bAX~ANhGZ+e*x(hgi%_9{56=+bJ58}l9tx_lwzNMgxXhOlCGK7W;e6J>||EpD6J)T;%$a{HI z#A%C7JG9iix0&ZV>#xXlJ+0kg#AOo0{9zX-WcACSS+X~}#;bLjSRMl!Mr;%ZtWzZUwx3LwZ2ZsPr?Xk9 z&03`YwMdhcg16A*XUi5duUBv$qyNNttdeC%UCFp3_HFU@(OW7r$Brp^Mpyn+DZSE$ln27kP}<0bcohggeNN!QZ-sl zv`}Er`Xbb_2ArEiv2p4Si-gst7m@@VZeO}pzuTrb!!Uc0ey zrrHkjnZherRbLx+a%)WpQJqGb;>FqUG0-@Kr;Bk#N8Uk~RjvdoRfzG2h!id_#(qhj-tW>zd)^vlsj7W_rMuEG#m`(=CuQbhvj*pY5bYcW8#+ ze8(`7&X~zx(9O?nY&G%A?8lG}XX+!*)YzHTHtQco`8t*kyyh~b*vM0=iT_d9dq6ey zbbG@HA_`JOiquFG5b3=I>AkD81O%k_-a(}IDn)t+sY(qcNDVb~0uiJJgdj*K)Hna< zx%YneS>N}rZ~fNE%IXr8+CwlKljx?9dv z^+<(DBenBQLye*J`d%r(4iBWtNI}LSst4H-qD3{feo>*DUDh(2{PmDC)EgZAz>Y;B ztm#RyEb`&hbEk0IWDz+b6*?x`(gZT%X{G;(o+3wbWIIs}Cyl^WM3SFY@zaJk@sUin zr`+|!pNFG3vz4jyz(*3Neaw;Q3Kp)|-T2KY8==(A1L>pa&tv?@+hA)}FjGCNQE3Cb zXd`KYE=)WkSzpb%OOD$Zn3S=d4kl`3Wb^og$}`U&S}PK?AU(YTiso2qm_16a-{CTt zFE{mI5H{nnTI+r{R>C&yUq3!HKFKz0HTCMPk*|1--7tksRlMEHzX7SQQs8<_)!T8)9kKikJ;Cy!6+Y$9d>elG9AY^Vx$UX{8{wps&>y zw)Os;vH`#e>b(P<0tvdDo0&=YhdZv#H~`}cM|KCHgO3%Phk0HD|0rR<9~XXB6jrF% zN(@~nl(gb8S9iGz${NaD1DtQDx=8bVIO&Su)9Mc*aU)~xQ4*Pd z7>kQe%7orYepykrrV;Ye@g07Q-Q!IhR6TWV?SWLeH@?DljavFTuIs(fH9Zo1D^Z}VoT@b z!QmNuZGN{S9v3ypF^`!t#he62Kj$cYEFb@d?UbDH@kEnKDwnd8)H#ts7PQDgbOYqF z_jKMxNuXg;)IAUo$zLwTlLQ(LlvqRy*swceH$Q;P?k8FBf!U1xn;7w-kT+#D)nk8(mXz!T~QrvrJ*51s#+suK}2Q2 zHq0K8{VR1*c*NmGE{oNON0X)s&(AVn+r(&8U%+sbCPQ46gu89XSG`E;vwi5(#^fYz zh?qNhiEMv#R$b}y1aY8eY6fU$s*s)tCCCnn!kF9;$1dlz;fO>6&0i?qPzROxQFI`TDj=5m+Y2wv&vbqi>OxOirG_fLE#{ z$yLn_nm4$DZS8Z)19OqVf0!;YJQ|(14cE=2QGB=KFeEP+{Ts}4GZ^K;S-@Hi=p(0X ztgZ2S43;p>j#9K@gbNTjj2SD_iC#r&RXLL`R?z8v+T+-JFXodVki@$R5-6ZPD{>!u zDxhIi{xgJ-T1xA(i$V7w>BHL!q9Q#{&!*T8w%lon#kj`7Q@2#_xk@SJTfZ|?@myGi z`5L4)%mp=HUjna?a=Qo=Ru|_bod{wMAyFQFUJ;mu-+=fv*X&Ourbr|nfdis z0IPf}d0*D$T+7yqt>CuVRtN8!a!6j@>-=g9dqWq1V2@N4QD(qZ?d&L~y z+GsOIgZO-ElF&rxswP)2p4|iROBi8_=vJ@X@*-7iiM+6L+&V;PaEWppdk_Ycn=h>{ zNgY$u3u67rtewrhM${6K+2zDllCN&#D;-g9)Fxd*Zl)1u!N3f94V^S9n%^O6Q5?em zQ{A!A^5aXIR0OPDax2b*Yj8w;Xn=*TiUl6CjShBs3yx`*6?`zN&iWE06|6F9H!)vJ z*(1><6}iE3r94{g$ENDGTu3?J8LO zCU;s1n}4T}^J2JR$XzGoS_H9ATLsO&Gy8m0EDa8e)7(gXn7IeA_!HYvd^b@m3 zGyOo_7Fopm+>v%_;lZ~qYGy+c3xFig#ItZmA~lH z@zNi|kQz>>C%>*gj2Unpc1WA;akk=ZFy6uQTA5qxZoo$*B*hdbBplW}Ps?Rt-& zz&{)D@QgBUyDOj7ndc{1e50zPWUz1~QWhxXIKs!^f?lgutMw1x$NE17%1VxS+;c+e z$myAd+dh*IS`#05cE-EVUC1!NPd0%+OFc{NOp)&WM&;3F92qfEca6jUCsNm8gS_a( zt=(khuZc;fdbtvH~V-S*_nN9sl`lGS-YJ3*lVflMi?LQg0Bn zopa<@B;}WBY>}mnu@UV65`sdthxc?0Yd^D|Q-jp3?XrjUX34lzKe3&2nl&|@XKHW9 z%Z&_P8{!SsJM@%onn9_wDc{-9HX43OtVeW4EQ(gS2xSIBXITr5#&<47D0=5SAKs3X zaQX;_@NDL@R*%Gln@g}Y>fY;P^=nww6F65C3`*ePy85#l?EI$Jck9soQOOfnN(!x+@?bXbAwcV^-^0~qKwCOeN%BI{L_)!&eKX9s@Cif**tRhdtPc{ z8R~g*NY{3O7OWO2O|Wm0#Re;t=+gfkfXM!5;JK6Qm=ygoDp2iTMjKXP$OeQ-L`X!q zTUw)()E%c92`h$KhoK%4&NC2WOEF~tTwx68aZ;L)ykT7+?mt3|q-(Tr(QzKjcQ0YP zq4-B%4QKdjVow4&E5*h&iGE$Ao8>Geo_+v>%8f6i@_X!3j3n5*hc(F}vnY|PzshuI zJwQU6FQy0gROz^PDw0L4wUM?lUD#-8yD)c_+5BeY@x_)L&)e=u^QhlvBi)qq;zOoa zy$mjM`${7Ri!$ax9fHDTxzT*nHi~&duh!{WtXy_|^9^&3<~VOp1dh35+U zSnel{%w$}w2G0ljxlYi$EM{W`GCV5SX7+y(;^(YJew4Yr3y#u6}*6@DTFk$-FOP!G6QGL8mI4= zZ-lgE`YZebD_3GBYK96jCbdoAq%Hlxc!PbnYnaEqZSCWmrL#OUY*9xxub*=~bzpe@ zt$Lia7m!3Gs5P(N<^yhQdXf=Ynv{0EU0ThNB7uEKBq5|KfXu{KmmH;yi8Yi{uriO& zW2b!s6^*{>@RvKgPr^OE&Bu*+jJFPW0#gGDPux&O9dkS3OL?pXs^5#^_<5C4e#H$V zvsrQY34t|-DWkO!?R3Y5@eUEqeAfFM=cCwJ*pZ+16d12)%^2kR8i$XOmPsXIfg?|{ z#FH@wG#_uAeX^l!pdzF8CQ(3DhJ-Xq$x58cU34evq(rZ)%s=_0Bw9BlVmk6<V z&_m=y?HOc`ruMflJ2FO4+&*qixBIA7uQL!W_4Jg?MFnd z%I~2NbRAH<VuYmFtUU23mwN}>O4d+3M{OsOn2px1!-8`a<-JusQ&VrY~sAnMO z;}#MfEmv)BrbGbN&qEzjJJp}nrtVGI9zROSbM;Jzuczewb^5>#dWJoRO^9~F&2gfF z!G%8c8nrN>`Y6778xnJk+9dkRnVl#z!GYT``DxG07h^dF=At?sc#;B?2KBeUd;+6B zfWA}k(o2U+rvR5PHZ3IRpvD^8Rh1!^yyM2f;C&B8iL#K{k+?YL5s&>7l@Q`MJyQv_ z3WBQ&Dz>P=C9Lp?F}q)U3IqQFWP*Rz;aEvU_T#R^;~!)}}h#nVk~%(KgP_ z%CjYm6V;j3b|R`s_d3CFVYa_?q@$3LN34`1tKc5CO1zOAR>UxEaMRt$4I74&`9}xOa2(dS|m(2zixJ9QUy1 z)28HG(9zEQUXIV&VWnaizNf{W8IM1D34@tbh9DsN2#6@{n&fk}Y%A~CRX$eu|ErVx zf9Wm!|8$X+U=;~dhEfGU=acW;%H5>tg@K+WRc=|0{S@en5lwh25X4Fa`rCHo8K-n>BG<(`OoD6(AAChO zUQY5VeKMC-HdU4-LnhI_E zJ#Lz(8`{f-6CgOU*Vk7q=TPdd)WE3k*nT))Hw~H=*SMRlJyJXB(Jk zMmpoB&n%VEn&qJl`$kBfdokf0~? zbz|sJRUL|jy*bsZR6-B@Q=dWj6}HYW-StE%wK1S0M~RpkeQ{9`Gs8;qPe%JD<(hZi z48s4a%!yX2E4N!TX%&w`*moXLm`em??~gABGRMe9LadUBcFK5#&@=st={Jgy5FN)Mt!8H|3n?Ed^cD1i2k|ps9TEvEWL) zy@Ya+lzg=M&ak<;6ku3Uid7z3lsUZ~xaBO3&Sc#y@JI5M3yzrX&Lrn| zL@tkt{1I~+;g53ljIbmrg05!C!`AeXUEm~bgzFep{HOIZ2{C`7vvaUTozrM#xw4Y5 zbc^~}^D^z+N%vHZg*vinWAxX8`-Q|;yMO#i;rfwdYALEdmx=KrfK6Zr`5sO5$BZv(`n0rvCf&5+^*Ab7T#3_ z)2VyiII)#i#%UKrcgP6;wGOje9rtE^qRaWbeYXazHN|4oe9^Y$6Z)_GZeGv_UQCm) zh@J#z#=gk`%^&ZA!h4&DMY@ng5N?#vm>DiRwS#>VAcP@1W)c=*WK4n{>$qk?Ik&a* z9V_iPK84VWcV)rZbw_T5N?xHtQ%0S2_lb40!k9BtQsQE!#k=@Q>>s;%H$dJwNB^TL zfu-AI#3R@-!I6Kv31^vf7%&bXhH zU)5xDWrZZpP2W^3c_pcxDHx>5`r$?PWZ2ptS6oZ2j&2>&bwpcS!T^X{e!Gl=i&D{2p**eV}F?GIU1aO9b$e4Z8_gsMc<9WpfcTjqm5lWfP-fRNQp zY)h@>)P#v5!QK8-Ry_%^Jk<BVT6jmqv^5cy)6lvSLC#PT5341_av;_f(Q+S5~s zl930csvE*SBt_h4KHCA|aEEAXY0mC}MwW~DN&!*HeNiWA^76m8OFV&(E?9?&4C0Z# z`_%b=@C*W1k>m6&zllw>q)$e2tEZP;t|HBCSRX=ux8$He>ed4c0U)=*$fWZr!#d#% z>9a5fXBqeRJT?5IL3eX)oYXfRWM{JkP>^3eIET<&Tg*Gj=D`1WSZ<;D@6vYzv(55m zj_jVNrc1up&Pgcy6jqL2f!2K;6`$34YHiSAsIt4crXqc6ser^T?R?t@#)K`BIY2kb z=fth)hFabfuC-5Glwe>UC|yC{`DOcsnDNXXT=vW(WXkOo-*=1_^_SUb9;z8PUooL* z>`nW(WmGH+!45b7uWL#I;x>bm`2cD&kofhp^t)=$z}hBLX=bkd{^!ZnSJf%tR$U4Q z?w#>1o0FvI-Yky1=ha`SPT6%yuLn3#)Wv)U3MF%9ijXAI?>@p^LWGUBA9^0h6We94 zB#d}~W?Ms!JBrzs1Y^A%)33m~+_c4}s^=VeX~q6Q)MZOtv8Pd$_?d~lC(+Z7zJEo2 zI#>GkD+w9r`8%1FA(cd4EgBPd#Md8n#D`VqXcRC-sY7hK;XsMUL4oI+DHKTP@uoDQ zDpTIR$dqKNFz8va>=mCv-;K+!GB$rqW(u1WQ)(1L0SQbwC>vJX%rHC3)a)79HFoR1 zV7kW}WjpJ#RPHb&pZGI;w)e1)ETOI79|v&W?MHp4FBd2Q4N~!Y)fS7x9xLbTcZBTB1GJgx9*B?s&b{B7a7F_U#h3h4_eB& zQu^tB!*s>TG~RJH-qBD%zTOv%?UG^%|6;IL5q^Os7Ec{sae}tVTB!et0GAZ#bqC$U zhV|4Dh9Un{ne*RO?z>C?97#oia@wh{#=S_K#Di73q|lxhnfOwecO|9(xruUzJavG0 zq?ToSr*BIZ_Ca>D^L&7R-Wz@~yBpsDx#FUHDc!pNYTr2Trp>X*`1Jd43TQ>N5)| zDMV|=*-xc@?&DS30a2q)ZQq;&b(a_SNmSTL7x$THH_youGIGg&(qL{XQqmV*r7rZ$ zNmb>pND@9B#W)o6Nh<4g1)&0K{cGGhv=erJwLg8xxh>CF8caH68xwqI_TguI+(p;M zC`OpMqfDqm9(P5{2j;z;k)kNX^fdr&J#86WUjX)TdUJjeE{*hJG8==R1tM0@=Z7(M zJqLTRh|aOwfanLP!05rfSKA$fZJOx4_PVKktN~_Pp8F4z+=KWHk>>SttiT9L9tYGS zy95&_8kgmV=TqJ2cF)PaT@GU|C`#&Wat<-X#fO-;#ov1BBuMEFfONllkX^y9^9F8vVSsWlsV-Z0lx^~gywEybHo9WPZX#;PjDFFQ z8*(U^A5tL=C{_yqDwJ?vxjBj7{hB%nFoAbB0;u)GFw$5mri**qT#~lC6(#m(Z|vao zCvTr$Hg-?~h<>@a@95KN|K-??iOqA)i@MfCn+|;rZ`-%`n9GvE4 zR;>hQXZ*dIg)o3uf9}ZM)$#nh_Yo)#NxE!v#cPH7uE&x}O>eW%wD!XwjQ_1*g&l_yt?8Qo+wXMe!19(dVdN4;)P!A?B+CNy^ z#Ip3kSgHa)9?7n~23w$dxt?JGt_2r#`Fn%wz=nHudR^7C`OKaH1OKeM<8>ou6XgK3 zR?NKa5>*5ZLxi~mi5*P6AKTSDd0H%cOE^`y^zc6}+q@+mqLH%Fce+*xOPPhWGb^oI z@QR$FA@uW~Q78+MdFIt^wVOWArB4s^jbuZzvwd1?Emxvb@3zxb+(4i7=5dB$qpS2> zJFQ1cr%&VB>Qzzac(M=mG5@3J25D68r_@j`VA>1?)pu{^>%$!$KUo zISppldS$i!>!#j`SKz0=q|v;NS)`7!m82l*RMJ~s&bocTFS7gQ1!+k>U`@~(n(=x@ zG`$SvHIuci;x9=3wCK=C4+=6$o=?*EH1`q@xM#lgl$ZEGE%uT`L$~csFLvGc2NhK* zJc|Av;~@8Nx7Bu6S}G_o>fEMX!MH`6)oVWDj~uQ3Frikwc-A$pAD$xzEWSwNfs9ba z;Sld8_4C(sS;|ak!PB4pE zTek7~Yx-~ofvb-vul=7(|L}Jw{ll@oTFT<~oFw@HhO#A{bdv}>>f1YogQbj5i0PZn znwh;-lR>pr2@9Fsp0qHJE_K3s3!%m7pOr@g+so2hom zS6AhnZgO2RlkcdrfnYoadsXlMLO*#L#TRU`ayYNPWCbF1l5#0p$a z_n`E9NkRHzN$|ld2<|Yc`?Ru^-p@0N1uEwZ*Lh^Ipq`gaO7{<{f(L7&6@7;-#0zYa zDIYRD$I(XX56pb1=zJes}SYF%`7y!Qy5@RT|s* zH}3M%LzR^)hkg1)csHMUK#IZoN5VpCZ3|mt*3}<^e*WwefMy9m`>M17V z1c<-yiv+Nj$x1eRv%Xg5;Ct{Szw**Wb$0G?-BUJ~>sw7ZjG*zJfI4@az(VG&PaQQKD6lrj1rTRUW)Q{Yfa^eOT+G#Ho(I=luYFR*{ z8KfZI6tQ2FwMh%Mk_YWhmjL>85SWAqKR0_-ElePg$;|1k{ALvCzJ_hJl&(jyn(@^mAL+k(BmavN( zq??$5QJWu6GiEjs`l4sjKj!i%imhPwhUp1bqUFu#$rwqF=o6q|2ZME_^&9Udl)nwP z83GZr^7{GD=Di;@r)L74>6cCK1Z=TWwll@S25U*5dob5Ul0IqIOpV`u_SDWqarsE% zaE6fM^NH&LW783Sr>_YiT|dhqd0Be0yu)*d+Ih>{k;6(r@(1VIB!4G zphBvOgoM4b%(JTSH*zmSf0gfhKhxO|3noi_I6tDJrS~sL(BhD!r=_P&KKV)`Rz7*O zs5=lZLhZ!E@CQe8wdW$+q(I|k(YRtxoJc0(TNA|ALsq%c`uDX6we?2%5J5M36gEff zlEmh0?KP8&UaoQoh`bTt?)!i_MS=B4V%%l&Jiz>3PW27R`RXb$@84ceeqjusyAq8Y z3emP{dsKMJiSt?a$efN&pVa?7T>wum4dyk_yQ|*U%gjpkHSo++3Fv(kXnj#@YCa6x z+?XVh`qy|*5}E1!9EITcH4(1%Iz~6Xr?wJC4D+T z3 z9E{N3lWBRP^CRj_g;co>o7m#Ecx2#xiK3dc9GUwBy!D)2H%{UtM7u1{UlXen(~Uf` zH?%j5!ePVv2UiWNxMw3lq;V|l^hCJYIs!TZuDPKbk5_R;GYVM<(;tK71q!Jy0+Tq2 zByB=P&ns8PprJ~Cdw$bT|CSIGBPbUX%OW_Q*Sp#3;j?Lt+>9}s2=T?ctExWk13lfM z=RZ1keg|xWvjO@|CAKfUciTU}DbXBH&e*fLer*m+E1AsE`9X8xcg+L2C3=^XsTBZi z==k?fm#66helns*@$Tz_W@^;U#h#@xfdNB+>-FWJ4PvLH6#(sq;?Hh&VYxQIFsuy6 zplx;LIpE-u2t$e>Xlzkl=+i@(ZG*Z~MAPk6v8MZbt{-y~j4ToN?4nKFIdjw76C3L) zNrBa)u+bv;60UKHz!$ExnmmY-tdB%A$EMBGGcHSmH7Z$L)W&ZxZ-~S zwf(@vor-gd^0jd7%AddnqUU+{@ER>wl6Ccs&}Q_~05CyP@^ixPqmf9dp4>gU*G~yPw(y;qFy%UO5|EY{?-h2;U>LEZB zeXP;`w-jQ3{{CK7h^qW7txV|^Bv1&9*lqm9qhem%Bsl%&H#?j&2-V z;#2(pg$PMih_s7wF|hnUkP#EqAxBA`g0<>wiKX9n9qAwS9Qdm||0#dy+fHp6mWwW_ zLcb741n42kbI}o1=)L@XK~55V6k_A2dIRq6#5M?_1uhta)TOmUvpfbgXH?9+wa>X_ zBTl${mJyE#rt3Ev{SWDO5Nqm}wY+&L!Dw}xQnTs$EX!%aL(HiVdccLB`<04k9iM3FZ8K2XLYj22!ijfigl}BOJ6HUZ+D-phoFz(7E z$wuHmP4|23Wb~E{#k-SvQ&fBtC!WN3WW>hs`3~~>emea7!ET&asH?Ox@Iu<;*D|d^ ze-P)XwD764==PP`Zh*Vu3POM7Dc2=5<&rV;GN1hbxYDY>vY~I5zIe6!2*TnH<&Ylf zj0w*(M~Ao0pf>CyTiM)Qe*Cyv`vAJzvW^iN2hNiU;#}?jbdtPVq=rJ;WzM(w>Ow6`sez~~#~%IxkY zAT6va3kD!GS{XclVH=d)i?JV=j$KH++Khbcfc?re%aDFoD;fs4-{z4^f69qUe$n0` zZI}S)jdHmc2Y~axAd7b~8T=t+a2n?d#77~Z&a{#??xA{{AcF5ih@@!ZwAF9wFSk`Y z)qv5_+^{mxC=Lgjc!%@OklyWZl`a%|bMcRFcC>ntdC);pAygF1o0y zx?D2`gR;GQnhBrE zSMwM*Ic;n8_a3<{qgIfn#ginZ49})nI42LSJ+`?jn>I%7*J*sbb_~d8!r$V4 zT$k|3%J)}!<;2AkEc0j6^j6Q?5`)aoBHjwDQwybJKE>wuPf(WWqAA}deg2=4hD$%G zBhB@S={!tD{4K09xSlVTfr;yT}&kF0Z{V z#Un4h-8su6h}COZa@*f;cjTy@iWy2mFfH%2BU^jof@)IC6ae|Qvs}d#;+|s;BbzvTso>*IB_5Zn2zNiDbG6OMVde1o zZ%9l-xz()x2Pn8ZJA)V1|3XHN9Ube2Z=O##p|He%!a3g{=RJJ(JLcWIjlTg>GEpr$ zvF~0BFA#i}D~KYhGw|k~poEYR7$y}GpRq2az1QwFAp|XNZuWUt$jAb*qFme;Om$_H z$h(l{D%QZh=88?Rh6BF$>gbGhib-Nk>~TO*BI5#)mskV!uInmXISS9GUY!2F3BP@9 zU>+cGmz_ac2Ad@*Ho)~hFF-W*Q!CN*OtSI8SDV7F^l^8l<3B-}f3QklpzDO5{K#ZobQJhWHPuE5;;KypsqhJP7e(fEVfQM82)mi6Ni zy@N;YYx-!si%`qSM3VLgB=q`)`4#y|r&9kc3WG*tKHbP2DcWojVNU=}o{-z|UBO{; z(mBsQ0|$v}1{tUZO{Ds?W)Qf2lj|Eyxx0|&-M*6B4N%$*a8_LL&@ks~B(Uamn z^%5m_sG2<=i*++o-N@JDue;1Q<=;o!Ev3C2e7lmSzamBJQtGB%`Rsgr_Pjq96P$LL zSeqp=I+t>~|}-~JzEh-m4qyhY1kH&b26 z=+)a;0?|MnfpoMOR#6*LK0wICRud5b6fN#`E-YLeXTJ_@ATlwy?%Sro`LKK#c`6TQ zaA{o~jqC-`aR->lI(r@m#}kDAW#WmwzoVG)9KcDQ{xtSJDx7%==#C3nKMt6(m@v5zbmDAlAXpCOJA1ZH4m)eZ`g7DhWa&tml6y@)v980p z0X{3zAoJ56O6t+}*;R@9z*ULbVu!Gciw6A}iiYFCj&)O!(uP-`kb2DEg$mcae4>tm zwjXmw+s41D^%2V+=5up1c+(NWiN0~euHX93U^2SpKNzW(CgP&uKJH)b_`vvx$~;pi8J%clHUM7f?o@dd@b{4 z&P#!-*z6Jb5+&V?+h6|({#ZeNhDZOo0h{9EM(m{uG18`K;;Syz)x9ME4(&%Uu6XF( z5}=Sg4c!uob6ymFWz|L+ag`T4kE}V}eS`exf3H)%+ZId#OM98rUteCfsd_%Dvp|0!0(b@n^JeZmzUZAxs9UX_uY0LqFup41DU<_ey#V($26Kk?tzCYQmqXK{ZM@sQu+$7lgWJc z#;Ni$P4%1%ky^xblbzknba{m7=Ax)7E)oi#PAm@K-TzVI)c7$xW) z&y$O$JxQKe-dS|MVZ&X|WqV`#y+drZBPK}DdT#by<7fR`TTU62i@+_9M0UwWe}!mM zMh^}P0-j29VGX*>@0a-o{QE?9OM?0<9`0^)=X`EDw1}5ad)PjUjjciTz2RRXe$Ji}Aacymv0-*<` zP}tj=sgv1rAIhL^^&sW9C!g^y9ih8969!VX2Y+MTn8I|oR?541ctC%RKv3(21z&l? z?L<-f%$=3r1RBvqcD=gyFrnA4X%>x6!;l|jwEsW~i1@?uix@hr&T@?%tM5a9z0;{y z=dS1kmqEA7jagh&E9boYcXL{+XO+Y`Psh;#&2n0mSw}ysgbSgVHv5uFr}XoIP70l8 z2K;8ty0f2#VG+$A+gP{;DYem(%-dIYI5;?WcfR)m(>t^&WhtU2o^#ZXs+%6QOU1ts z0m>0Xj4orpGB{81qGqMCRJ1Uke88gnZE`_1Dk9;K^soIZjT+lGgbtRaOfT#;-m6guDL zN!5dF317W-q8!rujX#-flf3H})O|WO==~9YAr~}TR1xHI&wTkiOgs>0i$(y*Th|f@ zYl&jpupEtT&g!GHjZT4~&>I}IcY?mai2M&+x_F~ciZx~P!O(}}su_2_c8JC@9&q%M z_~aJOuI5+m8=K%Yu}edNd($sZOT{!i&2(m3&T;BC?(RFJ6^@vveYny@XkH|uf*e-H z@8j6yKiS=GZnwD`Y}qmtIHlp$EqzxGUB3KySs8)v@>C_a=~)@cyNjNm?44P61cM7* zDdmgqem5Qj-L=_|$=1q-n(50vSCr@sGzt!!z0ikubXc@rP`5J^bAQpyo#UdjnL?Om zIF1eF`B?0eIel~5{+#sy0iEE6KR{+2e`Pg#;^R1WpB|#S^f8iP`M!eHI!D6M*-j<^ ze>KYydpbQHrx#@1sHmlO(t>+x+OC+#qv>ly~5cicFop zL}{M88>EzZ#Qz?~jvF?N(7y1GG^nlT>LmGIC~=otZ&UnQRnmyB%#roWI?o9zH@R$Z zIIg$NuS=XfEjM{&Jd#S9(>JMTGhK%u%&yIwaFKSoZol?0Hizj^)TM$t?Iu&sBu^H@ zTf)w^+ RACr.CLK C25M => IOSTRBr.CLK C25M => IOSELr.CLK C25M => DEVSELr.CLK -C25M => SDRAMActv.CLK -C25M => InitActv.CLK -C25M => InitIntr.CLK C25M => nRESout~reg0.CLK C25M => LS[0].CLK C25M => LS[1].CLK @@ -94,21 +91,16 @@ C25M => LS[14].CLK C25M => LS[15].CLK C25M => LS[16].CLK C25M => LS[17].CLK -C25M => nBODf.CLK -C25M => nBODf0.CLK C25M => nRESr.CLK -C25M => nBODr.CLK C25M => PHI0r2.CLK C25M => PHI0r1.CLK C25M => PHI0r0.CLK C25M => nRESr0.CLK -C25M => nBODr0.CLK C25M => IOSTRBr0.CLK C25M => IOSELr0.CLK C25M => DEVSELr0.CLK PHI0 => comb.IN1 PHI0 => PHI0r0.DATAIN -nBOD => nBODr0.DATAIN nRES => nRESr0.DATAIN nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE nIOSEL => comb.IN1 @@ -129,10 +121,10 @@ RA[8] => RAcur[8].DATAIN RA[9] => RAcur[9].DATAIN RA[10] => RAcur[10].DATAIN RA[11] => RAcur[11].DATAIN -RA[12] => Equal3.IN3 -RA[13] => Equal3.IN2 -RA[14] => Equal3.IN1 -RA[15] => Equal3.IN0 +RA[12] => Equal1.IN3 +RA[13] => Equal1.IN2 +RA[14] => Equal1.IN1 +RA[15] => Equal1.IN0 nWE => comb.IN1 nWE => nWEcur.DATAIN RAdir <= diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index d5775cc173e59e9df6d70d67d1aa9f1d75fb8d55..64cfa8d2d2f26c5c5e69145cd782832ab0b2c8c9 100755 GIT binary patch literal 552 zcmV+@0@wYl4*>uG0001Zob8fJkDD+MfbW+2A1v*qJtS)!LSb(-B?(mmTOjmkfte&$ ziAjM(iZ=V-Yarc+g4!P1Q!B7M%!7G+^ZAgJ^`XM9i$`>jiW#0WUi&I(8nfnF zz!7qa$mNNHaLJTMJnwFT1_K<{syMt5X_=HKm8{-Ycra)zj?8-XT|_+pxVV@FybQTE z++dKks847$U8;|&F3MyBC`-??zzpo=@U8Z4$mP06bA$6@BRDJeP1pDV*{jHB%7X>Y z#GiR?twLA1=LuOaH_D z>u`6lE6P(l9@S$*2>pusxd-W8kB;$Tw^y?Ktv%_W_pb)IS`G52S7TF}WzuYik#dt$ qO*i$ml@da^u34s~Yt+hgjZpGWZO7{+IRBJmLpz5W(3|g6JqGW)ixaZ| literal 552 zcmV+@0@wYl4*>uG0001Zob8fHkDD+QfbW+2A1uwKJtSk+hPly{Bvb^ZK3Y6JBg?lPZ~2sQ?zuteZ{}gp|MiEtSDUUV#q;hj^=O2UCkNMaN1bGi^z+(r z5Rlle!}QR>xf6%-qHcQgK4w)Z=J~CKf&uC=rmtYqIB&#UX;BtH2^_}Z%2#mZ|8W00 z+&%2e>eP=%^w<$XzoLE~L3%f$qpaK?gk1dAo(#~(SA$%w2Km#gv8`rR+-(PFiK<9h qs%g4GNSvgKq$NZ(Bu&wooUngtJ6YX$*90R}!HUVZ^y0X}{~1|6GkUiMZt3?D7592xi-bW|DiZ7dlStnI&J zf9dXyi=74s2Ve7l4G!Vs|I^ld{6DSFgOgmm9Bzfw`+W)dmqekj$VPBKeR_@?X=u#% zD}%M-gO#G;t9r!jXBj;Oe#YN_YB)|fqAbbf6?Dgbms8S3wZXkfaaDqm_g!nAd!QB5 zIa9>mMDF0n_jz4wrkG=$ee_X|&fL~|W_EVA@q0l*4+R7BiL9v62L5^@YQ4MCsKBqS zx}&T{XMb=hA}$q-sH-IXY;6Ts@-nBpk(P~!SIYDXyWf~aD)_(!{z4QCf!|a>suqW$+C#35Cjr~8n z{6C-g|9d>Z+A~d!S95H%U5+Pp>Uks8+{lmz3wG(6v<2ar;=_1 zucAjekF(>`jyn4HhWUu?S)cV!)4ZY{uK-nVC>vA!0fni?p$%8KPIUJ6Tz*du&Kql- zB1OvtMyFK+OD1v!;)^w7=SJrif2QQwPo2T>fS1=kF}A zI83|;xxB5LQM3y&C~w*@vnuC>=_S_Hh9LDy&9d~UYEk|^_D0TvLbS$P0jMTP{Yta5 z-&UecE~R>T0+)K#1$xz`{UhI<*5t=c1w4&mTZb!ABw8DKCw*p|Gl%-{y$fSjJoC>+ ztY#&y$8et+;=0T2QoEnjrJoI&1agP+wMsUs8$>XPE&!+gi1-@KIbTtX>J@k()c8_R zd`Ab3DbCECwEuT8BERFr(*XXx=X6X84W2cwZ3UkW8tT(Fz}tT*T)UKwh26ER zgj2bUXpFwXkBm+-rTrwLNSm6xJJ9C!rlr14O%tBmSvuCcGhH6ZnRaW^Vg+pXU)0!% zUOuTZB03*C{kuMojT^Jafm>5&E>(EE$1t8=JKVsHb+2E=***g!;FS6H62##Ypi9eiJQH6 zCLezd8s8@-s_K4?2Ar~ zy*)!;A=c=laP@1YIHlz8moFx%I3HT)jv8F_RbMf5Kjq zCP-JZS%s>)?gHe8?iw>5F!{eUG;jkESv~sm%&{Do_=WfcnY#raHL*~+pjXb*30E>1 zQ%x1t;px_|4UQk9mme^hy|r=OO0r)0o1)a<{_e5R&d@S-%PYC93|?o~8Y@Lrhm9jd z#}=*j^aLe?1^w29mj|BUgr-*FFG#9|fuHM8u1b?!_f?`@=wgvTV7@58au8$ zPGd4ZD$nIjDQdlDQ-r&gSik`dv(sMLiNJW}Rw<2%NjuMdn4rLm#CaX_XU#W@?t$Un zg!Az1d_`%jMReq2BKiQqniH>;6QnzZ)jbLg2$*923=CqDdmk_b@lcSyb+%sg zwN}2@GXwgRX1=k&mCxZ~a%p0U7>nQEj|e2w0vi>hr314m7PH!NlHlPm^m(Mes}CZy zGoL8)XdsL@vuhs~*%cs`_L?25DZ@qz{fqdiOdxqH4RaV3w6|v=Hip`{D3Y=t$5_9v zTwUv3PhSm=PhB!qRMnba#Q245-jHSl^KCkb1pDfuu(H=IYISKYQlJ$m`iF8{J!briXpT7n`WbN{`CCZ?L=zn{Ib&H5%d{j1ogg9+h~DhrOF!aUe0hFA(-rhX2CM=j zWU$hVaLOgXuP>-Q7{X2&#KNoZ^7pOa`n>JIl<4j==7IbjDrU3rjxC9!FqL&zksan( zeDOj5;X5q+P`{^j6?wBkO`H-wy8DcuMGQn*5lTOb?jm_age)cAAnq ziic>~$EYg?o%86fJx`j|MyM6_>MtkIH9lFJoYz^~OIR66JD;!yZ$)HvF{@Oyfh2X8 zJ3r*I0KRt#pEJ8-1xOObyd{j7?V2m;vFsCx`2e$2UF@n|zgjz8Q@G zIlohWr;-i}>-WY=I}!alkEI6Je71&PJu|e3TII>Ff?vu6`=WAh2e|f)zb-D1o~(pN zE_zoF^ltwp<&{%`cVe}8X7>gO!g^$!!R0#N_%*W?-IuK|psDp{l&(RHpPWk4c66jq z0MH<(ux8Oq;mvR_qIa8p`$U*iNQEv1`bud%ZbmM{LzFs*O!Yegd)E0VfVoXw$ewZ($Hgz+yS?aYSHIv>KcW@Dy4%+- zVewD0`c=XmEF08upIkM*72ZT3!pnKfg*zBGs6jQqJGX3*>*vAve!WJdYK+1abF%-X zlbPXX)uY$pgKEvG+0^9cKKCItSZ2l2f-< zW2tms9#Taowb=Fokb3^~mR2Y-!%zpor~K%pVdP?ydHt!{2=iDwwG_DP_($**jsx4w zE$8tQqI_kD_iWUR7rU$*q|Zp z@eY#-5C0_h^`dHdKv6Y15+zmWW?hBkpO{n`rdH~ZdBO38a-L^4Q8s|A@{Z-bbak_ieDp57o02(OpJ>{DHUj{{NCYTaRs0 z!jw`dscj2G!5bc@1?=m4bM{{kUdRNDF_SBW+W)w~=9AYs>&;V}x^va(N;%LspNwtQ ze~&E=ljWafL4RO}HM1ha!k-lCywM6Z4FBKztMRXM%20($kzhlP84wi)&1 z?}n!B#FQ3E5ph@$xur=2=|LezE~NZYmBd%L{ZGl6ANE$r96c&kO6n4wz{9)4>H14L za<#HDf3r-s72g;6bs<=bls_p^{!!OLFEPxW|40-K|pS;Lx&~&RYAi zIL>62o}ZrC`Hp)5wY#JYj!Zq5j|oUfw+a`s`Fj%bwPEhyTiB9%H9g|1(E&;9lQsV) zzdVc=tuiFN<~;vD321L}PIjiAS8P*^Oq3j?3;V1?37W?N{Xfwe-Lr!P@_kP;yn~j@ zcth`GWTPX;KR3pqHc8DBuf#^R%MK2&wrf2m@|~rv`U$&r!n5Qsr~g_l(o{{&uQ}A>3Ege@`2}o> zw@$XzX*r8=a^971j?AFvmo@lrf+7`!f34m zy|>&<%NE^_7o1HD+|t6r3uh&qemlk1zKnNtu(geoP<;6LDdEq`XxjQq7NzJ0VQ~gp za%WeD+Is_wTAKAQW?yz{T>RvTaCu&l(>SW0Pj;bksPqnaG&5h44mDaNpLX3Q^E2ze zBqi~qeEH7itrR6yQxhV59)R4^MG2<_qt6upeW#{ChEGQ)Bjlrx-^4Yts`l12LFm6B zWgZw&h}j+=?nJcj?ML{j)igCcrJB;p{DjuR`hPSf z{cWH!eS|>5TQE=jV!)4|@NL55RRgWUtjcMw4c#ow->VW*pU&9FyCk;c0jut|E}2qe zg44o*kzqZb1*9r`n7%0ytqu&;Ty}6ibJ}4Y$=hKY(McUY6Y7>n$_N*nsHLdM^hAnf z6B%eYHvICz6)SdmMO<_E{JeMGZ*>saND zpat!UlnB2Z-#p#bG78M~(B+eL;6=IUp0$6f<;$QU{ay*}dEkn3)M>FS{|9+FLefhY z{8?!;IUkF|vt-?dcTqDQ4osDr!2N3V4<;L(qKLC+NmGlb<*1Lf9?qw1+Iv(@Lh2i$ z@sZJ{qgZ--DX$E0HE}jDW{fU>SED?5iCiL4=Dwy4XmE&aLR z8}#%S=&9I@?~3onk>RcA($_xsKX0}lR_Jy!3k?eVhvrlaRu=rCq8+KPg_P_p=fuX| zIg>nx5wHU&gq>bLNczY)OFb?b)+RJ@v`}SJ&S-JU^^z!gDkt$iQ|SlfOXQ<0A&~)< z{WfonqL=v2G(I)0U{Qkg2w4{qxAmmtsW{|+-;T^JHafir)%bhhwOzjb;8+9P{AMWl-a+W4ydLJ;PqqDk$<;byy|t<-EYmS59ZSWfnJoI1AUNUFN61 zo8;sIg}*_b99&!~ujAe?u2Lv<8&m%0zXB)tEFF*0%Wq>}(=d~ZnS}crM}$O{5jx)p z&}#AJ748PR;aHyUot21~Za<A{!cU&60uslvba`9??6tTx{`1EkM{%ntS#}@!MI< z^pBi+a^)Z2d7D?r#SF7nDh_$YE#@{Z^DQO5I`%N`J)Wg1c6Bbu)QW=mbZinL)8_)o z(PS1`N)>AHhP+dQ;JJvlkt;*I{c;cGvpJT1Dyr5;Zp8iZ&owk|$zsp;EiD;Xni6(N zk_j1};GVWgzu>?g38)bby8q{$jyG=zDYdXEdWgB(y=JPTW-(#$+H%ZMS!CA5u$Q#!7o64O?T><1ku*YrGQ@stN8UqIzO#BdC*$vW^h!BNNoN+JwR49 zjWBiQn;%ttf;G3FEDZ_11n95I@r_&mCiyXqwrRhtX?vgBmp>cVJx|7;y7v@&zKaq4 z^FbFp)?J+VysV&{av=FR2_Ba~`STTc=nLX)>(bt>CBI&OGGhIu=s#g^2Eywu_GNo4 zSQO0TPSj#>@bS$f`I#a!^j=PWi}C*}+Dy!4lMj^_wkwiah<_A51ey-sOG^qZhVpGh-$p-xAG_w*_2G1#@MX5(m>EJsDeo+$}j~@5ISd=Y5B7eVqkzb2X z1P0Eq7u9P}Y#--{@iyf@o%Ov9m*cVN&EVqp z&@?zmmwSEmFR#{Q%i{+)ibln7j|w6yVWIG5K)@xtA-0`lgEwJK~T|I&y3bKg5xuW zO48EP3;p}t+3)HUuks|L5>s>m-+Q!fLh$&-Tgv9eTYO`EWrs5%{e^PgxBDyhaqpy} zN4&TY)C2SU>LX+aL&Y`kmyb=v+}1vti-nrQw^_tky)+VxBWP*}bJ@76*|?^uouocc zi@w$}@F>{E@02om{Ar!g(uL%Wp1;Tqq@bm@nR`g4fu~4Ve@^r$eTdBK1N~MkTTbn8 z4Vw>BmSBXG4ol2L15R@mIlgXny_JPCyLIi)M1#Wvi@(KPyHJHqXb02D|+#MJ;zX;@9UWs*gt7 zeJI34dAiUVjL7m9antw3IJ0<3b+X+Q5KiJ_XY{{3rfwX<*I#ms2wOKaN(7zPd&i42 z?k{(@dpL=azmcTzw`3{I?wS8u?eXBfl$OEoTl{{qzQEQMt{3D-__4ReQWP(Unb}9h zwG0k$mlC&Om6y!H{?dCjFIw|zQV^kGlHtaQ1#+!}Z=syt*$O?fP=2G?;(5Yh{DREx zR3}h^!1@t|?2p_T^^`Pz{xrh3o(io&FYpO-xhjVk>rAAF$;d_Jbcgjl?-Rm-P8&{6`u8egsds86<+-_SIgG zoQLMvB_^}v+h=MW>y_IB9?l(~7#MgEgn>+)L@E>#2w47a)p#}W;ypDB{##q<+o&9l zSH#XVx1Jg2YIGb4HBlu0<#&4)pNETdjHqU~BHpo)wZ;iH|v%r6@5 zhf%yIb)RG2iale6h6tL&i92kn;XU07a+=@X`Q&cBA`T6UPJOU>IhL`{6-k zH@{`AN7yJdxX(6Y^`PP6=>uCvIc6Wubu#o@(iaV1oWfvjlBEhivPCEJaC35}jz0&O z-3(RY63>GdPn$zpeCIS{?hGcH*t#J~!x1j0$oH}1o<61?d*}~O2A^K8`9|Pc240R9 zUsKVVC1sMO*+%9-t?cLEk6xM%MsPlf2<;EY<~c<^mYqAG2cwL2rO1o<{Xp=h7@z5(Xt5NtSjaHm31Numk=9Q9~nbjU3ZvV!Ti@0Wq>U8 zpriryopOx+lKHiHB-@SnW^?T3tnaYL4^g-I*ZS6<*|okxMDUzilB#%rU6Dmv!kyN- zO1^9*s6Ar&h<2(it=0P0WBh8VNh*OdkY&}KG0`$~wFSf_BTbKgx=JcPTNuD%tt7i` z?)OH0-G}+_d@;}=SE=u{Hws&Zhmd-@`r;-g!JkkwG7#plS)Lj>^k4=I(H673vb4bXdZ)!R{-PeUkQM;hX*rEfg zxlZMh>&Eu=1=5^6KSNsPAkhm-4#4_(7#bbKd4tBmH~Xn;@KgtoLqgb|)JLr;Vn)wF zH`?^9+=-g8mBmdHB)lrML49yH_`&rTDgCX4e9V>zNrma0+>f>b9vXy=D2qYO3|v|n zhrflYgYt!oH`R;mM@UR;)o&IPuWC|!eX+*8NAv{ABzP3hK7hWsiFcEv!xKh23ivCL z*r{YOfU^&M^Hh_J;-s+`Taz6*U+!W%9*6f@s))=bel-28!gr?Q6WN*&Wb>LXDMzq_7}YQ#A+E#D4l zktQqkb;r#IC*Uj-;|qSgY_w={Jr=Uq6esm?QSEd{)H&)WWsJzUuErh&_%gMa@r4*x z$1CkjP$xj2UH*3*+S|)&e?OUa+bAL3SFX^GNl+!=e4yd7&U%HXEu_XnH@yfYDEg@`gX6G`FQOa?MqU4zaC zor9{o*EwV9-)$sy1maIe#NQe3b(>~Zr;Igw+0dnNBf?BGBh>cF+XOaJxDgxJhAFe& zG}CoB4T-KAD_}+Zsv2vy9Tl(PL=+?;OFqYzv;d`;jQ2v?1v(={GX0P!-*))>iFE(Q zrlL{Gf5SA_-V@YvRbMli)|FtNN3IkuZ+YNIEtgrJC%*UOPM` zXvP6v;YGCnL)=t>qK~dIMf(wL0%BWT2_n8p)?Lk<9-n+A%>}fMMyzixAMw0hzfM-q zHMtHt_AY*S%&lYf4!$hVTXWJk|8xZk^^U#%bu6eSTvB6M_U~lL&W5_;*2W?3ahA=% zut^GNA$k=16dSPN8Ifu>ewg`F(kKNaZ1hmVlR8S$F4G;;^;RNXc8_`6SKyiA<)>1` zY&ps5`<#bulD$h4y1))yV6#-5Jk0@$h|S}Z{~K!_js;e09@RLB`eH-7xl|Ak8(jEaQf*vPB7{MYJ2UB%DQdEHX}oHFNHQNeDZzH|a7%eZ z&!Z@iV*KCrQ+@5TKY=}!4%VRG$IQ-pzkWCz40+F(K0vaqy%!T1B2qFm4OnGLa7|W! zCYeh1_suucSIUX{rBVIOK&snNWc=t$27LVGK^n2U{u;?4Pm z+s&ohj%@`QAsh$Rs?#jo?8WE& z16`V6{5^61dnWkZI+XY5=;!KMyDw;V4l&j;_Pn^58&2%#TUXasL+9Gjbl{fnebRKd z<#KFtXx{F43ou>beF?8#TaVe9r0(-W6hWdaNk_TceMkD2I{{uftgRKiLw=e%qado0?oF2x9$U3g*b(-db#Cu9p+v( zT_v0zc!#a}X$mC++`a+Lo?Iw}=F8sp$~@>?pf)uogj!Fzj-h2>d8hw@6;n5~Qa%}Y7*5p5EiBIj=@V22_P6eo%?5VX0 z{MIozWDIEHt*9K;C-JR9*h)!%b>&-_{O$BSc`fV-}(Id5h5{=8Hcm(~KN zkb6S-r%3vU^xI|gmv%=e%53rj*Pklpd-1~Pj-O&`*$n2)g~0oQ?a1f?Wv4q0<{rtl zrGAfr%=C<-EFMuFhhjRD!!DiER@viw?#!(&aG=%~8iQk`E}Mr*}Q{0KK@G9gFQbx-&KR4T8)JqhP0iV(_rzF0-OK-zg}vYbl8VYa6Up zmhVf2natS$%TYAYf?sQBg^4`#bNu9i1_hN95MV3{X%*hipwLBxiSqZP_8n?Lu zTulC4ai9*87-lYSF>M0E@uO%QUdV2Sj;y}p zLExEYwsm9%*nI8Wkh*6mEZ*@vmLV=*Yp-+^rK>*}b2;X_zo=4Z_p$*p@F4u$Gqp}f zQUseIL*}C*dx4bc$iAwvmG3rZ6bp!os<8m-c}L0H%=^x|@#EeW_JPWn=n9V^&i5{o zRbqA`jwV{U2Cy{!ovPwMnD%MV?08DqQ4_H5#^+H|<`JE#^^WH3q2-}wu$G|G@#d^v zh`Mbm{WF0z|3g~QJpyG76+38IW4Wydje+rn9AFJnpAbWv^y!1lkXYHMUB=9ua>@P! z$an!;wDqiVWn~PmqXd`^TJTgmIb*554O3-(7ywE$=h~5Kt85++NyvT*aHnXEW?!w4 zapBkrdvNHqVPo{#fbOAvtd&k6(NF9{|e@uJ-YrVsh9I;}{AFmELIcb(V&R5YhKk%*Np|;I?nJ{sD zMlnG!;!wS8K9)zFFcI$l<&?2`?4OQ6S;YHkJ;v%hfN9h?kfULJ5?%Zck+xPrIqk9X zJHZkO2I{x{3EDax#6P#opEp1Yc zT?{a-E3b%+Xt)0Rpi}ga=ZYtHRLnBiyU8l>ZK5Q>B!J^Nrb%$*sV?&^a#otSOz~<| z+z$U{l`(sgaJ#bkrZ36Sdh|kzA7!{KVr(mkK*G+TuZu2CGT0)H7h-_mD(a1y%3l8# z+;m34JXVP-IkWJwz~O%$7F?Kq8|d9Z%O}0#M%JaSKF^$`^~nww^PcCU(zZ86)?M!y zW&|t_pD&1hll!?-^nR&w->{)Za>NzmU>G2O4EDgdggdU)NtkOTWPR03>fTn9f78{V zMGiML^et;WGB-KKfI3u!)C|I$xVsv-{xSNYOdlM(Vr2I{?eFD_yZqwB(;j4QVRBzD z@p_CpWdeMAK1!+~E6pX^<9n`q9abzjQk3awH#4Bnf=TOUkgK1MN0}`6Zhixb`U4gg zb{_&}26{JLkB;T>x(})k%#s(`@Qlg9jjz3b-Wp$9(VRrQfF+a*DBPO38R~W%G&9>RI<8*1f!i3{Z9~??7(MB=I5MozU=m}*c zew{e%U9Ity#>M#)DpL8*6xo`&tf$ z`?7LVDkJ-a^hgkDJWPG(NYQNfv4ink*B^W!d6D*i@L5P7Pt)M3^;xNmy5;TJ^$x=1 z*k#AT^ss=9XsuMHeV~%8f?%h48$`23w$kg(tbud<6z)H~Dpl^ezB}c7J3<&U+M$jC z+V`g5DH(yoqrLHB8RncngVfiA^PXu{jDOid<^{XXR?!vA-%oYsbqv_QND%&)yZ=<% zVlz6cw2NbYc1T|oH-XU1{ufXeu~ex4>@YgkiO1J*6TXcq*Y|%h`Z*l?@R)o0&oNW4=tnG z4@+&A{at=TFBXS(4d7BGg-u@rs1HlWM}#Y#Z%Ok%VhjPR!i{e6_POL`sn>dqbcWid zu&w9@kOeF(t{=@M84+(aYW;45H0ytNE9P!V;dJpV68i`|1 zdDtd=sC51`h5!?(J`&FD4Da?dVyX*rIBKj~-5MmSk{yg5t5YmIwD)FrGnImc4(#r8<3{$(ZjgP|*B5PurzX1!>w`tkglREtB2GT7sC%OExID6| z<@kTs5My3iOWVeD@-9DkYLHG>riFd#QEiX=Y2P)DNZK}Xug492{}{kdLut;Fc-t7b zgD-Ygcy(cUb0QHURk9Pn*>L-3vxHsDKilbE?zD;Lu%?n=gCuuJYB9e<@=D7$Bu5o! zR*Si!!z2u18Yd4NL_36^JL_APb%uzy)2G*2IsXk4CQrgxKsZE|fiLVJ^UsN?y4)r! zE`50-IFqf=Cg$Ac8+nUP`ln_0CHOC*tCi*}cku$}zO8(TcwYX9y7w^WNM`HC^+#Uu zP4=s}4T(mtS;g_#(+E!q-h9kT%dO}a<023V%q4ZMWM|3U_!@@i?Q7Wdu-)L!jKvsS z&=0JY1=gynwa;P(pr03mQ)uZ4SC^oNMQ-!=0l+ekay&e-czpNoNE1YRORT`A^O`^F(wuigQ2NH_hE%vz>sbX@2~@|t z8kV&ru{x)|<)iK2c2V!8Bk~>2x)*pbd85{`29BT@E`@wCEZ4z}F+`Zlfgh+(6Kgzkt#qQQJ@!5xADvmhr`8a(%%)+yC zC-kyN^1n&9G+ZlGFtTO2GsNj8;H&WNwL?i0nK3*2T~$GPpi`WW%W ziVP}1YZUZgGV(b!#e5iK{+p^4q~DF-Y-(~~?mYZlU0urU&ER~k53Z6_Lf-Y${X%%B zL^Z#}d%cOifze~Nd12P$Gou-=D2n>t3pJSKpmXj~^Zlpn`vM_Xs*_0AkFyvhJNu#12SFQFocqhy;o4|tDtJ(1 z@;?%>^@4t%pD;G6)ZtpsJadfB4fSIQ9bi$bAvf7dVkW%&TxdR~>yaTu8#5rmUd7o& zKODQ~2m3slub~=6G2?hkCxz3i10~zR`<#%SrL>4{b9pz-1D9k1_=>#a<=wreXgENQ|> z-*_g7p!d)O0ie&9V__wG9LpC)y!RMsf$_MMjpY0DSG`5(yVmaYIYyEqRP41|Ad8p_ z`S({P)4+OU=TcHnY1hO0UFo|JsjdhcuR^%FkJ?gJ?4Ic|I%p?1zkjD_TcE>T5NirN zL{Lp)(zRTp#(Jkxjo2REfl^N3@?m-uB07Y|QL2bu;90NH?KBYbjChZC_Q9Fe) zC^hldr%}0t-Z{j>zLxwl=U8ugI@sIJjlmS(EJ|$Zc!fe)$2e(wORGeU+H#9+;u&~T z*#a4fdQK>owZ$W#HdjsMA*n!L*Q@wCX8&H>Hv{x702zyd$$Q`lb~ot(@Rt#4yTcSu z{4Q~g8;MJSGl6Sa0SN<@`Qr8q61G|uQr|0nYyCZd`F6%_{Z)pdd@bUGw02d1?Kl8E zZFoj~pvI^iu4L-M%crTsmbq};`revaFV6~;=~wS|2Jc1=L!%;x)9VQ`Dqid!&`;6l zeQ&{fNQ7j??gJUHE?pfU?l5z^KW;^|Ul8n!1T473V4VZ~H;?!ARQz!V{jio4`M^dB z(05Fmwzvgt6MwIAJ#x|PX%6aWU4Cye5*JWJzc3;PNb*ifyqtf4@tHt8Z}htj(n98q zduV_ui9s9efQ>23>v|mkT)&6m_*w@1a?-cIyiUk)aQU<6rSUN2^m9A!a|lUJTJ67d zHt^Ajaz7p*>6p7-_!T`b$yGR1ZthxoD78}Co&Mz8QmymUdzO&!3$f&4D7MDNg@+{#@&88Z&x0)9xveP#lE4l}@UXL9d8`5bqBT}TXt z4qCOq8p4T{2of>wtplhF=uC(rImR zHC6q443fUZ{P-sLNH{yb-|gm=frj8dG`k3`i!s|GBxf7R6y)k+h`(LWo3orG(?K4+ zt`tu>ivI=i7vvZFUUT=J(ub}sP>T1h)5R|5$$K$v;E2zJXUdi~5cS=nMJs@9%U*OB zZzT*O(`kMGns=4=QTBGf8!EqnBMcYuX4sHBuof9mwsC?yZ+-$Ftu(@9|BJt6X#Zo{ z4rY?d#$s(*m3vfOFUZH_?X_kT4)K z*xx*QTv!{}C^rQ?mH;xW?IA`PlYUl}0&g?pz($yP?+qf1BqNPis5d5gGVn5fMl&cYG!<|-bi9Wm_pz6BV4T)x*+>>JmGtr-$j1Lr~n0FddZn%_f_*8X^ zSe+K1G~V{3mWBvg*=ejeHdqdm@dnul1_LgxpTub+g8;;*&g9D)m)XFiiidWrMf4t0 zch_Nu!asq`l4ID_B$9yk+)9DW^g)6cZRD5Hzu8Mz+hAQcmBdFY`dH0Tcz_V2DEarE zC>_q3t$%2@#<8q*KoD<>>G~^02nb|gOCcG*{ zZw7C*Eak#OR#eD^Hr{ONh(l@OdTCh)qRTiza#P^6K^+llHmUg;*MmEw;bmhC>7CRU z0q8ncvK^#A==We;^&itc$biR~R_(&hqVHR17A;m)C|tAC)D??$w;bnAsG{wKz477= zOAM6ga38}vKuALdHUp=aFJ0Dl24=x2j)l+gtkejU)PXX0mK}X=LrlPFXx6eK){kA2 z5A}!6SyS&N%Y^Rp`_WRnczwLJ)?S6^pqufnrS0kOF|glOmws4k|$Pbh~7d;3gyQ=zlxb4^3y8JW;-+hN-o`5u9`F<83-2 zuPMD*Yb%0SLax6LLkzX(c@GrOU24(07+v()>x+7G@PAg_vY!0nN-!vqNSeUlg3AaA7qFSos|2g-uGs?dgY@mCsyuZtC9kO7 zy}oFB1c6Xk{l<#}KP`HYImcR6^&Ov>wm6jE0<=-(m6_~H?Q;l0PWCK`zwbvlhan5d z5$P`{ujtZ&2OUR{c)M+MUEbQYI&4gW9k@Sw6SuXAl(*=hWe1&NbiZToRnem(mNC)f z5Z4#tR(OEt7;W(l37Un;tc4b=0-asL!_bM$j+exs$%Sug48q}pAb?WOi3W@Ycuh!l zRA&IXH+9xbvV%YI%IIVV#ildfol*JRBBcZQ*t*uG1OFlh!+J@GiN5KGuUtF6=|@y7 z`$we3HZasr<^lBVPK)7B#H}W$Fq%HLCvDCNR0yfY&!ydMT`=2v@3fUE0@U zmhy2gJwbP*izi!2gnX%Nt$98PXzBdm~rsmkJ`W~8rp#3J&1X- zOCT0A?JYYtaaVO@mNgz?!S@AMi|bG7`O-V-^(G@OXz}u{sEzAz!*z@f61kRdI-s#^ z%Edc$u7GO1M?Q);z8~Lq-v*?fX^kO8MUV*>+g(9(u4T`#94W6msru~si3)7c2aumk z(K~%+CKI>2wg}Zzju34|Yrw1CPw`BC=f!G3WqHa34VEFcdL}gBcHmuS4RgXJV!d3Y z601QnO2EJS#&+8|SfC;s35h$^g=_d}P_zt&Qd2)jeyAZgGDRoekMBR^*$o_89o@17 zR@)xkwZyxb6TmG|!7~DSmdGd}D1+fDp>5nGK09EN2w*L^wK!QnM-o0m73izGUSwdiE#W7xTauc@f7km<+ zQNuh3o(T07w84Wl@7DfmYqWD~0<3fLya%_HR7% zVwx2?aFIQ=F*DlgKK;iNd(-@Pvx=9tOTJZ3HMf6CVQ}O&t|9faF=l_BUzikH676hs z*#>6_h#&LaH;l+!`wGNzLM>|^6hAEJ2Po-ZZ&zNr{XKO*?=?b_fN!f|P;#=S(B^*M z4`LgV?UN zqz=|_i-{s=oYs_t#6LN%%iyqy>Dh~etX5Kwq(aokojHzlyjnbt#%IwDvC)TY>T@89 zl06GQ<-jxMM5zR9PGgq2Um)6RB@vz2m~NJ^y!$-C|AwS16$+ zvkKZPx`JViC79!(XKfkTQR!cI6_89n|ElZgex-6E+XD8OXi|p6 z8+@IRwZJds)Be5wwvrvx>EC39Hl(T4Ss8oPU37Be+BgbR3cIviPR%ePhgU=~(tX|Pi6^_tnFX7*^ zG<8z5A^gFTfn+PBBmHWNPAV0Ux?S$u;WlWy31V60mk?RDULU^KSyx#(Plx;M$4hjDd8K3K>?(QwW!g1lbxPQ%_dOSMFN|D9G%+*iF^YL+kJYpT_ul1s2^v}KkX zeCxeswiLn)1h_&BdkjJ9{m{~$G7MF_xiB{f(<#5K)el{-3pwu2zmecx{7+=3wVWmz z_<#BmSn;C)OepSM-V!{qt7peAu?4)kAP}k9)ETkrO?yt)pNPS-QoRe&pqqd^x2wQ| zTQ_lL|7~eBT*6{f?MSi_**@-}eF~>rm7A>^KIx?j_arK5JDT_x??{l%TMQpn`;q&` zgC8i}9x?O;q;F!n!j923@BH3#+Qr!5cr9nyF~xJei@8Pr6GVv>EluNBJd@TKOzSW{u@cN-h0}pz#Bn<_QKS8HjAExiWJb zA-0B_MR(r6=nV$s^4*slFH?u#&X(?f_akUetqELs-L3=g;u%v&@@{0{_1s8o=`2Ed zA9NPshC#f$LD@+0zg2kJs5MPgfLXLeyz*{&x`v2rj>dWdt??rL}o_kYhiMOWxNhs z5(Kvrzh3h4z8V;yv_7Kx(pht=in-_W`VLDw+Mu01o6K=7a_b|-SUUH)(FDr>T@|g+ zaks7u-@^;92dcn+@IqPqCh?mJmji;`pze1x^>RXb_f=sV)A#H43VjqN&=6xydC?#!XuZ_}$|G22>s1wUx} zSg&;@Y0gWJsrIVlNhj8`wP1rHm{_9KZY+QGt)6mGr$afjj4jN82Z(GM0PA&fb;KN7d zRxj^gyuZVTr%tL;Rn3^r)C}=lGw?=J=RAd@j2VF)VDtR12G++>?XJ9Jw)+gcMR~)J z9NqRy{^9L_G5W`W%CUmb6q-Bl5pTb3lT+O>6-lPds~kRCycWE#TT3?d#F!?s@DI>A zx%N`z4yVyw&TG7_p7cc#jrNV?N^?&n$NM}Mt*TEIOZQ|dOJesl#!XW|BBgeJ;Y|;t zlK|xG<&RE!tERr4Lj%nEYHMBpI=#vkSNK7@BnDIhLGNoh3Lgem-YNG(qgkQk+t9>+ zuQE&hqofd^h%Id|H}DOaQ4I8DoPU2OO}13OTUmLbZhyPy$`g$Cl}jn4qxqsR$e7#M zz^Djl_fvEMmTrsH0XOU$RA3lRU@QEt;%-rQwEW4ADfpEV zI|x>?|8*GVw?`Tvf`X`9+(_FC4s z9%t?k9T373^HEx!81N~3bLBBNxRp4x-;s;S!;Twj;Xap*N41jO zkBa-_%>Pac`o(zBX%+KCo&gWxm?s{rZ1;QPo$trX9>dCi_{*?c?33wF>p z?O93LdzN(Ldf}-7pTaSI@P5S5ePHfuFjiZ;DSLDCq}gfmQueM^yDYcR0ybqwTV4vM z`dSXMC@~zEZ;2D0_cW+<|8o7yy&A*C`9>`-4l-l%&~HyI+}pGJ zVyXT>-UV8Q^t-v_{jbA`^BERjX!i+P$X6$5&9PLw_Y24E{Fog&mhI16>+k6Fi^)Sj z=8|>~q)$KYGnj6yWAap8zyJPQ$y^ukDI9&vJtt&QVmS1BmoTr9Z~ZdN4`Td*+h4ff zIeCrL&S$lE=5+w~*O(jMalj778SZo8544uDgKLG~YcY*tcIa4@n-92uz;_{jGB9r< z-mFd5sd4LBl6Qp`%d4sUazv)QpGwxxwb;0jlE*cL*DuDOj@S1N;Ca`8PvK4zC-2tv z$K%8NFNRZHb-b3pN}jcy>W?EsdxlH#XXBdTQudy%Hdjlgjngsxu=k#=c2hWPu{K%9 za9|##c5fnX?EjLb?0sKLUdrB+C5zl)ZKv$rFEidgAz3;1fr&S7bIM-sl~}Gv$CSMv z$h7y#lDE5Tw*PCCviF0T@*XdFIq`N(raZ108ISCJfs}sRw&cb56J7Q7D)&O{8F~uG z_eb{;4vr}?oa(Co*79E0cB(&)a~R~M{Lh{@gQ2ACWh^4z`mO_XdbM4v-IyKVutn?n z62pOcxZ34=sYCGwFZ05*k+S!Zl9%HzHqS7bDS5mP$vnsIC#Lv&cuT*Sy=treo)!7D z?{}!coi0wEE1c;UlLvqB@>(s1OZm%*4g)r2FFs(hSf}hI4}l%>mq)hb#q3Zs+5W-cWn5G z;lOY&XDe}I_gPc%aY{>G%HDfRUXH)m_hL-Pl)P_AUXH)y&WqnId47H$<3&1E7l{*| zahZNG9Q-jJ9qPDA@pqcTATwoeuD^U$x_N9&KkT?ytKAe1ThPl&3J;xEhwcJ>{YNk3!^8TpBu32L^^aG1; znY@&KU@sNU?&rkhAq)TelH)IhBhF9D;E!w2R|sqE4(TTy>Jn=g8{m)owuVc+SM_{h zuI6wtd6H4juT}@&M`j9ln6N(1V>tA?huY-%jOiD%7u-%-{PnNlTkA^xJ2nSQwac^Q zPdR&2yl_9@!CI`Hm^>Y;a@qxVw3CI*m^|#|o&fh_PYPVec(Hc*p4SHhE+)@#PnZx6 z{p`I{{9p2uaSoQ_R#N&gAG(qAgA^`@XWHcc#x`2MF~FbA$L-#Cs@;1^zcaMlF4!YI zW>|coUHEvM>^LT~U2+jJQtfhG`((*GI-`G|D%|^faOn4T$zvYNcaUf|<@amm^-t@E zya!4iaZY?9FXgA7*6|+;_rQQl+4}%tKCNZv0o>JeoO|Ru_TbQu`zeod`8lQE*^>2g z{ifQjgFHQ7>HK~Z@*XSP$FMl$9&HW&C#K&zNE~X#+asQO}L2efGi@mQbb*h8K-=*&TwG@ACJ7V%^cTcs+Z!X*;v@8FQ zeou3?j`QxO;bL~6Uk;9Txli~P*|B+;v!$+&z+ww>S*O~4ymb4vc-~F6q;Sakrf~S* z?m=FvUB(&iLECfhRJ+#{?mNQm7Uacnv`b#$+0dQ=m&%jmmM?0#caJ>TSHTDG0^DZ+ z$K3QJEpS3f>36E+>>&PXkUmoS@eIuVCoR~RJXO!%p9Vuo;qbqqe5!LR?7fYaT9`gk z`hf#)xKz8jIQ$fOHjc#Par_CggKJD{H>KYPg|#^e*qA(3*BHCU4^H~l3)@y`_i*(Y z*JU@=HuaZi;-T*{&KV}GE8e|&kQ=8ro|&u1%r>sIpZpy(J)fvPq1;TK=A2daU;FIl z``AyOV7ua>Ix3@GeCjb1Y?t}a2Q%8;KJZ~oESBeA$qr(8>j9p57vw$@w3p`)Z;`#* zg?3Zp8~w3PZ5uA-&&*GGf6boPrEokK;+e$`q1_lxb@lfQvDxNLsdz&_e4l(ypTy*; zx-y)}OW~N`GY;OXM;`h;R?GKmD7OKW@0#yc3-9v3EE}yw9-sLO<{hsK$Z$ zeoI}4TAbPWi{Vc)swavUa;3>j>9G@5Y)ZD z{R91As^L&5ds<0bXkREL9ZO3m>!R&o9pO~BYPy%s)Uv}tIu*>`RBI0txNlGWB2{OC zPPK*v2H#g#tiDL97z$<3=(ZGo2zY;xKu)iF6hU-|9<&A zsi=#GY9j+**)f>F-QSIm>(sj-3r}cAC|ml9aJ)u1i#~t1n8EWT3Xz)1&2wY5@=FfR zg_Z|B{)BXcu#T`Fhqctt`UQ;X!&+$ z_g(~jMq5!8%hPsR*tT(;vt3=kxsP_Z&;VJ&(J5)+JeTr1YnxUw43Lt_XMJLBuK6tb z;1;^p2N&y9!El3yi*D^t6b++14=*>0$tYq6TcI>~Mg*o&x4HK@O;l}ZhgWHgbG!#L zwJKuTNgOvLjA4FM<@+s)7U$#Qvud_-2+kqF)3xgB1U~FGpbUZ6dT5-e*8}hB z&naR6%w!81e;XycdH)kIi+~s2?Z*ThK%w4Y7c^%!$#b+dzRA}gluu?(%$R~Wy13EA zHo3RjIUnOB455DKcl8h1X?}^`E~&ifUndo>II~>*@`|n{Y+~3yoicr4`~v_0|NnUn Bv4H>p literal 22369 zcmeGD^;=ud^FI!k;>C&-DemqR4Nh?i#ogV46u06Or%hcRQdn6*n&j7Y8?$ zl!u+8B^3`Z4;2?bCpQl#4;MEtm4=m@r=5irm71A_0~I%wh9Z@Yl{wXCOS^Bczl=^t zd}Z_IO{?brnl~88|JR!7{9o&haFUJQ!T#Cfbh7iia>(~+4NZh51zAqEY+^@bv;&e}aH z%g?z`Stq}et%yXE>Q$GkXjqpA+^u=I(r`|m@+-|SjOhc#JDh%; zA^~hR!VeS0gFZi8=?8wD&yxe`2|a|EYyb2`+(CEi!qc8ta zUfCWd#NfpgP5S&t`K7x+?)Co${C_I_f1vsQ$FdkWwk|VjfIloPEnNlpozWcJ9WE{X zidLOlcAi`a?#6HKW;fW(y_Gl*_BcR%fi;Eqmo>(@9$&PC4?wsEnUhnu0#ieN4L_F^gNP=Kxgv{{*&V9-?iF_9ZENJY;*d0uN9XXRYI~aHHg^h z@HB!+sFWOyM|*8YU#wM)wcNPBzz}V+V;a~IsN%VN;`rWSuB+MI@rrq!Mg4Tgv`Z^` zL8W|5H-Oe=tzp^PQMjr1;nFFfQNOc4F8*+_ElxjRe%4@3z0$dCeCC_un(p|TpohWv z=HW^-jw(d^q|aFC-=WUd-lf6X0ro7*w#PjtXS@KE&H$M1o6^#(FRGJSco>&O^kq#O zV0XVR6Vtpd>1fpvIAy4C_pRfHkj)J3U^Q!!>V;0Lwe@;+;c}Tww=;c%c*4FdTnrYR zVo=A6{XhZym7l;Hb-@oluF|T$xzrCZ4l!fWwQu6U1{cm*3w{88%poV${yq7)sM%5K zjW1@Jc|)_pxjt*G^ml$*HsRuMyt-*5_k8B41Y;~{*n$}n`Ji2N>^!lw$}HL$*ctd$ z+u_E5z(Ca)wP{%|GH&FY7u(-;A9=a0wjH%2!*Y6SN;cW>u2}>Z>dz;;*dDpPt1}*0 zxK%qRtfSl1E}`9ZTlX+Q=BXM$A_{!dRM0D7wh`a|#_K-bdkL3w-PZcs1$2s-~rl9dzi1EHDN;74%AK#QY@&883Vt9A)--z=Qr;!T;#sKj10*PjSCz#mbzfdsQ3 zew@524~Q~=ubqu?k7U$|GoC(^%*5)LHoLs#ix@XWI&%|K$5`Anj^4WK@?-%;5&c8< z*VZ0|3-KgWn|Pan{L?=znUIx9gDxr?(N3s5WjT*J6r06W#V|M;Q8>*OCv*Mw~rTq4G z_I++uwmMy`OH0ePX%rGRpWu2ff|hDzUWcdqbwKoHN%7;~{Eu{WS@msurn8bNbFn$h z^8=*nwbW5M5ub^61|#s1Z)zn+5-QQTDzcdzP-i48RhH2=F=WV?wd9>hWRZ4{{H2CJjfc>Oe4ECx!#1LBesDh0X2>7@?p1$;ilnmTDH*zY@0PoE05F4V&zT$ znjk`rdUNz6y+}?X%_n#lD;%8TbH1s|tMV2#>NY)Uai$+t+(2HDN3F@VBwnuaj>K== zv39L9va<&Vp*sQdVWLab2fQ`*czbs9^VyGxvPg)HYpmn18_*FFI6^uQ*>AGz2mXP7 z9nlGNoMq%U>~LKsl^9>}re*jXGADpL>B_}NCpfjy(l84$P}fNN&Au!Mt}-4s=A|RTY<8jIIiszB$dHmcqzd;iA>f12+cgt$yjmbzj*1wovgVr0M~g~ji3S&TGIx<57cNbb%ze{CHu ze~!kvY<``4%5Fi5K-=tbuyCF2Q})rh9RgxAQWXoB2jKy%xyve}i&cf$;EI#3bq z6N?+S^*i2m91IhF9_NNM8go9B#rEuMtKZYuoI#I>P zsV6BuE?oj0R=w+LMan+f&W1I*rjpZLN_~d84Z7wT`C9;sbRHewp1FC#LO zoWLs(tXGNri&|a<^0jyplWp$C!8N-Ye{2RH98W1D%&yuUs$_8T{IlHsn{sE3JUpJZ zlOmK*uEt5Ey1==_44?7`dLM^%<|$`fR9xAN0O*589z~eDbj#(fgRhmjE{%@nnSmp0 z&1W)%W<3<*!R^5rTVVWnESUQZEA~;VNw9s_&6Ai%05c5pU;iLAp6%F11!>=~qK3ro z@I$&2*`SNRH&0FA#3sqxBxTUYIk&)BcKqdL4lPxkN)xuK=b-KX+lti^{uomp&TbP)Zcp6s*Yq0EiWX zyDcb%{*wlQW<6D$O^l{_%`t??c#o8>GIDsFbh&k~Cg&m|Y3`(xIY_rd_l$HkoaoeW zI?mxN(OPICBH|T;bWu@H*Y^Ip9iDxoYKZ`+-u0U23Od!Xn+R}! z*-eC<7$ph(4Jq~>F7(wEd?egnR|_e)HJ8cC5@&nPiRdp<;*sy-4$>uKn{YO)ll*C8 z%#LLkd@ugG0-4A@ipz<CC|_P6b7lmqOW#E}C5e0FyTMwMDLkl?R)WW(E>c?eH&?S18uV z-Esa5ooTdi{Wj7g;&lO@&o*_E(}2`Fe%-zB}_Kz%GftYRW%2 zg|BQ6Bf}YcibimGy3*uibSV~SP@>*^j4e^EVvy`Zd35`XPU9@vyl0jewHGz5IMY>p z;qfJmMrrL|k;DkCXtGC(vpKnsR{#KOLBCb}{1O;`_ebL)_WG@%OCSgdOWW!YsZd=Stp= zD8*MF!^E$CeaovIlG@I>NHKDK&g*ImbbP-DwT|j{aY=32T)1R2+u=rkKI-hpC~=d` zrI>sKy`vR70iAaVGB!zvQL<3%zs?UV+;F(OKTIG}wu{yMRVb6KB4Np-z1ePg14H&b zY05c7pY>vuCq8YBwI|1iL5}~hAGKU_xXa*6E?q_*{2VD>$p>6Q%_m&ngzLXAG<5Y-|b<)hi94 zB-$cw^T3e{V&!m|`Y0x~{Pi}IfJpwbAcfL^W#9MhIE&UsH<@uf4z8bJd%Dco&j@|a z0L=FYe8k+`G<$xo^L|pNQ$z45qu6jWn(w|ekLhxXBecs1Gk$$~r^8EKRCY)W?#qoS zGzPiHeawf!5cb}pJ&j)MQ} zL@;O@gG&}2%uF*zi%DcTd+V@DW1kuOIfX>Thei-AEj{xFSAtQc@2F_^-Vr|6LoYRL zxF_2Cj!`uXHh}P-JT5*O6_d1<5aJ2HK1`U6rn&7{)<3T4lvptf88wG>v!QD*R)OLh zCHry*H@>YUaaMAwwzvJmZ&`R$UR2Q2I4TI@Ig30?^psx#0{yn{`~ftw5sc=B zbpg_}L6&{ANBHa$TyImP7h};zo_-KQUZW*JumJWl35a3EO=O)v=tqpqK zmu#u)(g2bzh;YjFcQudxCF%B-UZ$8zqfzaBNR0%e@B(P| z(A}}saBi!&PpBIKe*(Do;BFv4+rObrR3-VWD@+c>#1mWshLIu@6MG!hP{E7q_wq@} z9|>v8msXTm5t7$w%$$!fgD+frjVd)HMI1Rr5_b< zK;gJe?l?rv`XLn+@70VHw$%zEQ`wH$U@ScP1S|10GBoIPL7KAvSH8!CqMssN^=DAr@&<-;bF7j$JHg8`4wm$E_h0Igto*%ow&q3$?iLv zq4$hT$`x3@g0fALc3T_S#tek20cCO0X zzn}bDEL~P1hDKH&67#6dUPDU#eq(oho4JwSp-kwYH{B4jwU@(48L}UrVe+W**!o~O z`5g6UL@abX6bC@{92;&_#r6>A8a}tzu@B8aY_Zb{u;P~ zlt{D)q)#m6#baW?vlgm+26O&ZS4MdbjDL)}Im_UXHbcC>dss2xaXxZxiM~Mf`9Ty? zLOv9d02wu)pj%C=fKQJfXF32qK9m|yZD3;$i`d2>I?R*m^OrcIe&d!NQW{GQp8Hsz z-V#r5&Pn_+mK`kbx9>wO!pJO~$0dxS!}up^T7jgt&=(&m z(m}79y(6Rnh&?UIyc;3)t)E|#WH)b=9ggc%D&N&uE&qN}n z+LU!FXwvhRs7sO|7p$yC=G>HyWyUubz=88I7-z+FZy=+Roe7 z^utTX0Nwv~zaBHOH`m^Mi|FY$Q328`eQ2k@la(V&W!_xL z$lv*%7u5NFcy-pvA8HzO2cuS`R^Hbdk`B+-u10ON!E9>&ff8(! z#?3iIPUz!mMp3Q#7)#LQpG5dI(aKWyi{6(JQoL#&#Klg?dW2w7x3IU znnu5rV<;K&Nfva0q@^`$@NQibC+7}iNJ5>OseBQRTU(C*={?c+dur|<5Ywf$xNr@~ zVH1fxQbDZe{225Vk^y6U;7o(nL?EZL*XEXAt%!Z-@SaAr(B+->e(Q!ozkOW6Sv2c(afgv zWNQ@d86rl!@*gI}jt?cn!xTfu+atyIb*wBmr`S?BW7M*i!#|XT2!p1&eyJ+`U80gS z(wW4&H$HUm%rKh-BzH2fpQ@tej;K2bHUPH~d2gje5%gNcsoyw&|GJI1{k#biTyLAR zH=tAN7&wxepe_ji`S(1n#bp1~XTwFgg!J@I_X6DGn3KXdHXe1g!T(b9E0qxw3soa_ zQNl3SYh^f%WP*#-2y!W=u|s~%F*jBgfi0ho-dq|>HM*iv@N0kX7{JirH-FQ{te{6K zN4~qug1^(ElgT#f-H5)U7mX}g4sVU~?3O*d8V`Hfe6)hA2;bM6W!Q+GAQu57(?_;@ zF*ODgSL+qxn{(5ZzBgtF(1GmnbFdwd<&Y{jX$wS#n<;m{{5k3P{uhnzvka-tqE`80 zCS=ZrHebl?mNx-uu;)EGpPdV)@xdK9Sb$IVJ=x@v45gyV@WDUS!LN4yoO|>jByqN} z_zaR&zst&P^kd({tOqczGCxiY;EQzgZ1RSKoTKy`CZ)!$fthtBM3e#1QsKRa?LynQ;Lw{**%TeO@wI^;;_+Ra2y)#4~h3 z+9>YIuK*(azdNbH^t;c$u^q=GMgpSE9#1xkho)VXlVaq1?TX_xTfxRgZD#>k=*mf6 zi|H&!8qv)oTNjKrI|J;7#47QOzex#kfMb&a(D`+&IpGZx*d zmQLJQoKPu-41dq>bYn2+v`gG8DqEUQz~sd8O=;9;pu+hy8KrZCSR8BsRp&Q^)8l+Hsom=yPjwHYxLjt&BZsgV+1K zB2!5!=D`23z?N}rmIVov-903sESQ*;g6n!pkv{mPbrZv@_ki3jGO3? zI|RJU2ke!#c5f*dMjiFYU}*YE=nr~fcEb1j176UT`9}HlI!3_GZ&@ev-hNUXzYxYh z{~`2q?}0q&hV~3i@YW}7A~y_b403F;pf8E&=$l^$zM_T;QxcCOvf(7IJ7y=Fs^;c~ z50(C}sJ8uAEzr|@mK+b1Cl-lGA*wD=G-q)$m-<4Y zJL9if$WGB0>qO@%E{fje`OIxbo-NX`*&@qs-b;SHYSyKbnp(i<4>O`w9TM_7J0)95 zz`}o(RGA8o??>l!^91_rs<0Wkkt!32>Ung|I*H3f)pk|jNhoKN>u252aoF8@A~`b! z#6Scu3RQH}`nP`Ce|-@KKkKDi2befT;L84$3PStXN;9u8iJL}K+J*l>&;Qi}b<9rW zSs&S#4k7=?mO{wQm5R^g@mVMs4;^mA#yM@z^qHd5OdCIL&ml`-@ z>%#_IG}WA0WltqUZ-nmE%5dB$pXWPR<4>`X2M#2qahzSJ{HwW}NPp9z$B2++S$WCt-Y-_T@}oPv_?n&z4)X42act*%C(iMlu%^Iy2hh)4EC$X<1L5?~=s z0!nn}bo)ui#}2n!v!`cQ&Gn9(3(u04zub|E1Y?md{5iU5aQ?V%u=<)Ap~tR!cZ*9E z-Zq!Ys`Y6R7N5*nF2e(!M(x-C6A2uoRnbY^@yE4FRXIL~VcdHZ>tEV_ z(tF=#LOzMN#G#q4#FQg`3TJ|6WINtS+yq~xnFnoI5BmJ;SndO@?)Hgg;}F?EsGJ#e{winMDu4`T-z zibz#6@*Ug-_^O^ABWLdZzT;@IWN-T%A`+A?f+A=VlBsj$x=GuNDX9DMtsR21byOir zwkN^{KsP>(a%%gKQb>qyQ0lLuA>b9;Lv(~56>#690>j5njcnEx7>^TM_<){Icreo{ zHXj&+^xfgsWq1RFK6rcIUD%05c?4hCSo*u(=R<%3mn0z-cSW{;#%mDd+!@Qb(>0N0 zK^+2MEQ|mj=%Urg%h{ozzXnU0*MgdVSb$ts1;i60;E-Anjr%hceX!CCR5OKNq9-82 z=2zDyFazFWU%wsp>wOymmJ-d&k{E8(*@P(eg=sZXejD^%!S9gcle-Dp>kmWr?v)}^ zYTd`S_7;H&beA?VuW_afB!qq!*fTNOiae#nDZo9O4aMkcYq+nFrSI6Bn{-^wdo9&$ zVL81$c|iLG`g9Ss*z@D#Yoz)cF40H#tg@_pyD<5l8(!N__s8ZA^RvS(+HmTGe>MTG z4R8i6GRkH(k>Rc87{>4X2XXio*xl&^l^G%hcX{b1`m!w{O~JM11d|1lS_FkOGf>x` zNnFgajC*q%k;AuQ@--!LqlnUPqk6_S!V}M?3=$VBNV}SP z$Wy`$C_d4cfiWHwYVxMYl3p?d$X0EK&XGd5(bfG}dT^8DkVf6@hG=^pNlW2m*ce8V zpxskxz;V1U<(AY<0=z6XD9grJqRSG{la;vCqrM`TNgn&=h*32J%dNl$6Ezec-_d$Q zjYMEwX`{8ja?a01^Gc8;X_eb^a)`q{aq1Nq-JoJg56X~$jqCc|}}SjY-WFv4O& zAv0T02@QIuL;_u@ed^fouDzLzSFGa(|nURp;ND%jwMh&KN zf1meXQD+*B6elCo0W`)(k1kY#Z?R-&aX~{slrn&j^g#`G7NhyR5m2|OWP#LjeDyoo zf3>BM#t>XdF_nbe&SC60T3nLIFHsp0ugaB>jmt}9eb}%@mm6`V2>$Bfg`HgUG;9Fv~3p(&0|&m4;LAVCN@*z zmQ(bztD5^E1c@uZ-^qKIiZQ)Y7}WAx6>h&6q2k3vtqYRcuhqN*e%UiK%1HP*R{hPY zi`QT;&nQDRc?{mpqdJ~yM>HKuvKSa;37Y%mqu(?Z+V0z>%kxsm3Kw1CF@kp%h6FQ zl}TI9<)f>PwN1;U4H=Xv19CiKmg^Q%J4VB)txS1rekTyY*wBSL%Q5R<5jPpI5o)I2IH5k z`3$A}`(BlxTSZP^hkU0l#Zb)Yf#^;-w+iySw~&|G3%PvUs4IbH8OIfm1VI-xdWgOS zAjz;Ku@9x$Gq2%%c`>vz9r`r}jd-yzhxjG3i}@u^jyj8)SWD^?c}$4xu?Sn#7bm1r z@iwqQ@6f4?za z&kFW+-OJiDVFu+V$5WCN4NE!~LE|=0#^wUeJ3JB%ah7GHD`HSFJKSsG~dHX*rq z6%%HyXkqz2iIJ!{UYd`Z&K|_F{u@Xi@@M6K#QC^e?fAjNDdBb|NKmvgGzGYM-59gh zM-Q#@G4TytpqWZIIl2Q?fpWYmMP2|ctZ>dcz56D8NbAb|N?T>n=Sq`Rau}}3@?f0T z3634=iroc8s_|?i+9hJUudKguHD+wwmUKH0W%~Gxmi^QE+Pvyv-6h1{NZg@hz$yEO z45?9F!l93pj;do&UQ_Crt0~l@c=S)Z=as*^&ZKw?tMx=$JQ>0H4R40FiP1eZ^M4NXq3;Tzt zvb8Y}VK!OjX>BY-wm_+rc0oKZ$~;+WrS@9S4A4XtTd z>{m5otEXs|Xdjo0o=viUMN8J+(KTP8&$dZ)X*B^U9lfAVn^#$t`8Nr>!;~ME^AVo*3n1!Kt@{nQKo&iVJ1jrqdrhZa&wYV|E2pU$%Oi& z#=Gsm%QWJHLd>{yZsDeI=3s!(YVx}|OFwV1%s_F&E`lm4-;8}9OYoz+p{2`|(Q23H z^mI;kt3LaU|J&gr9{!x(;f4>v`V?};+gFbIszb3^;Ia(+f2T{Qa&0`57P1HT`^?+& zhXw)6r_?zq+8lY?X}i=5$g0@0iBQo~52|K7_|0+Cy1Bxjw$7lzi+QsL#cLfow!)8{ryR^TFu0)Kz7hGEdHIW62y$z4HF1Wef?fdN2~p^&;+?fxAcI z3VHXuG>IqHEtggZ9n|Z+wmQoHfPnr$y*B5$cX1TuC@S>tMLiXC^Yu6Z|F^bOcOq1< zs#vj1pfq8q@lG)4vtYD2J=;veX_@HTnY(=sqYOyw=%cX?g9Y!ZT|H3@?LES4SKR2M znc-f}x2x4WRyfo(L;MvJ>a^7%F@ZRn$hxdj$CB831y|M9%~eWc4P*RMZGkxrZv5U+ z4xPI>L-*#Z$Ma$Nwu%Z6md69Ap7D*M&)R_GT3C1j7q@st?9(Jm6TjZs1YNWN0SLH_h_atw##4afJqRycxZKQ|ne)abiwF@Ce6 zsGeKqHnQA#N5_hgDUhwqyn|m!Nu6>1_!Iu#4<_?H)R2DfM*EcB)00K!0YS`4nC<{`AecjMpC29Z6 zMO6?>?n6N+>)KnrRs{*+m}?Rs#SZgn?4*KF-f>POzp)n~Y<$fbo|we;E>lvXSMzD9l2;UdH( ze|u^zEpnLd(E@yM27X3WZ!#H#ccBwnnZMn7G!Bfk-6n84M8D{C{&q>bi_RMKQ6DE{ zNNn{g5gO(%DIr|#XD`UYmk*rFiSkKag7~g$nGcs3Cy#eH^H)FWPSxk!ecFN}tlsQE z*x$PDqiE4SSY7aO%Gn+#p-bPfiHc_y*3aEXJ0I(VZe>PwgjS5Q%k+m&m$g!ERwnK> zaz8Fj7N_3j+FN#ysa7DqR^i6?iMA@6Z~K0()mh#9sXwtj*)@&%?}1sULVcO9&+z7 zaXeE=t5JO`L9z0E?2J!4?j)^MrD+TxYQ~gP_&6Vwz9zSM z$O{%6uKK6(wGTYq!vx5P45H*ACv0tB3hisIzCP z;?lwQjyT*}#VgJW^ZRXXpUSFZhjx5m?_ns3Kc;b$n8VA+>j7cMm@BB3?`8I0D3iO& zN|vP;#3`2p;^{Bg&KEr7*g_nyM0oD#hw`P4o%=++veXiDKDfP9fu3J_kwjnQ##W-- zd9Lu0a}s^JEcezRm&d}4pQZez7$$GU)mu3ip1rp}<(Nbzv_y?56%<=GSji^Z)&!Ui z+r5{pYx=6|G#YzQN~89P2aU$BL-4EhkJPI-YESLBBV=%*1PtW69Q!rUE*JVps5dLZ zVGb(BoaM98`griKZg(5J6uHx|d&n=5f*{gwiF%(rSxJ?A$-s=4j}cAsmMt}YURT5m zuz32HUL$n5z5MM;xq)fkE%|ge^o;$s`N8tl<~EOeQ69=TFYCB5O7cwB2&4uNe&Mdd zW2J_wkNi2_KmI(#e?~8mX-c|r{G+prRO7dE>t*ToA~fc{p8UiL{ifFLp==jDxc)Ft zpv5S(XVm>bl#jfV-~ZE-)kzFWwi8(wG*5Epvm8j*>hN)iSHF|(SZr@LiH~;TXgp@{ zV?cGwpiL8;)Ft3X#tp5dR&(dmI+eOJ(WBlzdqWUqanxkAP(|b}>nP8Yl_yHhIrC}I zXOLhSK=p((=Wu`W3H$66a7YtVEjFIw&b4SIlfNgT}k8iB_95durBkxFl&^ zl=RfdwI_Yp^$mA;Fp(4bU^-~NY3pam_^SagoudkQX2B!)_}*?cXTKa$=&l-dIHY~y zxyl}ULs;;9n7e)JYYuFn1>V8p%R$;_$P?gp4a%s~QJ&9))e#?W^-tF0f^Trh8Z9p`? ziD$WQlLvK#y&s1)_V1&FAgp`6_PU^mamM9vqIK(!r^fVyyEx&9oIz!YNf)eKzF0@A zbDJrFo8txUM+GifgSnZcgC7;LH5--81H+v~6bN}<{9yFk7MSTMmT+F9_m=bM8;xpf2n%`^*D_2v1b5GNpz`lq{t`xXj=it&~c=OrkX} zya|FLYIt_A9K48=0BCsuo4Ut@|5O2*8*EMe-U#41!*#)PNZ#74e^}>GeahD45qm-< zj;78NUTt?sZF>6LJ7}G1M9D1f9Yu2oasEC^Lc1iP?+mv!ju8z6Xp;#X zh=&Q*rLz2&)iSrl<#+dJ^-@R~@l{$X{I{G-P#`#nPd$?5Z;mR2%?eR3RYUh6#t|%U8EXt4tqDu_o@cQ=h}EK zKaId@ov?*#G4F4I1li5rKQrL~(GK-In^>Ca5}+%m(d7|mj*A!^NCSYRw1o)uW?+Vyqx zMg5+-eol6cu}k^kYQasO-Q)F zdm7C8!PTui*CCqdDXKfw-Rkd;VkS-}zZWhM-h3gw9E;Lr_RB$#q;srz<5%+B`m%d@3u%E{B%}-O9&wqe93__?{ z{Q}=r%W5dL9#1FWyhKko@_l?0ds#I}Q~^_(Zq=C&r;Z_Z6fhi#A1qgy_!YTT z5C?lf3uMCn+LON24r+07hY?@@I~1nz;0(7|GsPul!hzSL<;r*Sej3}`u#nE{uGUw*2@?aII)bJ?4e)v{`gAQN z^-eRIR;&?YkEzaabd%N`la>nF!PQLKheQ-E0&|_xrULCBm*EkkU_t#7%J=`O(#Yq5 z$!DQTbnw8Qcro$6sN?G?l#X54`Qj~RlZ`ehy#VX%I=Sg*b>x4={fH0pv(0tU<7IW&=6Hf1vaxz#4b5yO zs5VezEsN5n^Zm*DiFLk@;L-|VNjGm$&-_hk;I=+7bjjn_tYF=PfT=>QrmHPgj;-dsC6eTe2s<8sX1!rH_T=Q2o3bq~PexJEN*@oK9a|sSbK$Jcpxps8Mf9x&Rw0Rs{3MuAW4Qc?ucQHrvXw* zlf8TP2x-FezsG6Os6ne2Y2g>moy)sqc0)LUcqffl<|8b3 zA9NO!UMoGWfbe>siwjU-=)Ts^MyV&W>mu(x66DLelr55s2x`?sucT!w(aWjtCLC-A ztXh?@&f}V_bEVaAaS@2(73qT3-;BW0bBAN=f4u!Ma|WP~-H@cfK7I#*0Sq$U*FP;W zcmEa7dB@0I(_hdfc+=41)T%w)!_Tdgs~lx z3~NLmMR5>fzp4q|8MpY{<_8}CBLjf|iHnH)@p%;n-q)c`9G8rQ7H4nQnhLk224T%~ zu=3@5Z%NJpSbKxss%ji068R}`X&aRX==^v}^tzL;(3ib@f9wZQMsH3foIhAO%~O`1 zkG>Fwm?&ZF0;L;=ZyN&-NxKcf`nb0WuZPh@tMLeFTT2r;WAVhCW#xg)dVO)!t83DJ zUa;0LSd(&!^VJO#{35S*@aY9WXee(hmz%C^C?~r;TL>ma-oY`P_BfeAH|QO!fQ`vj z{Qb2=&o$mTO2gzos<%Zxo3fQ;Cz@*mnZpIEV*YlM?btP*yiO0bED|jkwbDv z>(oyF?LY1h0F!(uhom#64FDb+cBd7+AIdNW=VTn?bwv~zTPK(t7=0gx?8 z@rnDzuTYf&;QmA)?)^D2bL*}je=lP{1ybbcg$}*$$MW;U&r#~f@*bdw=?(z!QZD)O z?**OdAa@3SdT-)g^!yN3KS64zhN2Ni%dC97^9>mv2IZ+imB$E!Ig5e?tXT+Z<8G^=h}>{Cu?@QZXi9W3m*9^p2wQF0)M`& zqKVNbI6xoX`Hj7qgkif?KvMu!IY$uGN&xf5r!Urv`la>(u+E9IAbJ?*+o00h!2m>& zG+9rUXD7NRU5A_sEW;x}|Gbu|eCh4XY`mDp{xBplu=`(8(7sx5{5j2+WB8k+W}FsH ze-w;Ub6085Yj~QL-Ay#GWoObJsk8@t%URmuuwXWc*%E#caw?|Kecer;*S0LS=%9Pv zal*ikav~y>b+(GPKdfD~F9;3t(8Kj1hXFrKRM8gPT6DT*Cli6EPoE{l4Z8c*%O%@Q zgE)P>uy4}=F018M#x)P9%&Xg>WT0Quu3yD-#}kwYZ`l4Os^28nB4)l+$$_w|xq%)R z>i2nRhqCS5WcQu&bzON-^t`o{mrlM|jCPaMi|#bkwe_VA5|(8S&n&!UX#sU*@eeu& z@|}hMGfcnD6K&zIa!U*c|5wY%A^SCxMoqTfL;qY~V`g18`uWr>tn((#OrA6VtYGJL zX%CAFn0>5I(gpAIaB#Y-RjyzHbo=4@DT zkovG)61M?i9u3dM0L1<}WAPTcgKn?0(3H*tdB4Z`U_f~OM6qsnX_r35jvYnW-($bd zTHp_UADlOn$WG)gr9M|zM<$lxFXBIU`Uf$W6_#oy$hOBVxF#CBWIvWNF@o$*lD<~H_u@#ni7~ z1O1oy)~CMBn;ORo?BBGXl}T?@dx|c>uu_}Y#A27(y9PCpQ~c#m8O$QrNyaN^qghD& zg^)<8&T%E?tVWwVDX8hVUEPp|C%{N>;%mgohW~q^Yl_^f@%Z8ql&U*`ZLYW&-jNeX z4|1}R6|z4FA-L4+mYHnk!#=jzOF(PUBzrq3v}#7u@-IxJ09B66_a~S5yk|cDBXv`i zhU--=RisVaUzM2sYmbN&2#lk1y=wf_z3B46y9PW#sv+ojX_vQsQ}#D=Sb52{#wAM? zMt-S$cr&c_Qb{EcUVqJIQbOM98g-Wohz-=E9-YXt`37xM6l6(${-al>^a&1D{|Myra|PV`+3~O`}xn1k=YYLd3ln?Gwf=KN3F zJLj&_CLN^KE%(=~n9C0X{?*A7!r2c=3(b0x5@UZp5w1AQ-by(BHt4`>7%d({RE#X5 z{ycklbbsZ_j`?$MZ)g$QTLi773PKX(-wW1 zJf1e%f6A>`1IvP(I-M8DEv^-1pPV_WUZBEUs+rveE6hGcX}h(@DrX&;CsyPRH_I9q zU4)Knya#D@L_v4aCulFO-m<>)nTNO+!bN7_528=hj&s5szCj;EHo`sPHy=(dAPjyu zSallLG;q=0jE;12(*qL&j9`^J=9|B4*58#HF&KxCrS;LUg(|Xr7@Xl#K;|dgG|1-q z;y=nE#O6|Oe#4_SW77Yxgli9Hy8qwxC@aL%ksik$ryQ~*r`jQ@gfbz=GEr14$2P~U zof!_Pd1HNKou@xfY8QETM1TsOD1)f7k6St zY*khmBCRiuy*T|{*0uHy6kIWK?WoW2r|yiIr;$D+^vhW2$MVoCGnZn4XAuo9gF=lK zh!g2W0|%)}^r3o$;wxJ!e|D4dG+Kw^OvwcK%BFnyf=RphQcNCtT378B)-Ye>v10JZ`Fpw!W^qwCleT-cf%Ow!Xs5k<;5!n7)|a zKXW^?Ep_?rE#6-7Gr{NZR6q%5ZtIVu37po!OTGEP0krN-L->)|P>2;URqUB_VUjZ1 zY7$2#?7NYI({>9Dz7Tc>^P-i00Mkn0`*fwNCypI|ag$jTwqYjzBS{)%qJzU1-)zxb zB_Y)zlPRy79BUZeQ_94>uSQNGcQ)MH2QoBpXoV_B1QdAQ+l#WHwJ zI-tYFY-hm@ZwF{x>zCzJn(NIL{B4uio3QwuRN>EpZ=qBTHKDq;*yI&jNN7BaAgqxb zJQq*ggi81L@KXA<^OG$)SYEf84CJBu^M{>q`5-~J%lfGbw1JF3mVq`IhbJKexc%%i z;Sp~|(?&Au57eX0mi)PH9t?n=#0il}2%9gJ42*iB3d0rzM{hOyDkNnsV&S{2_ocKx z6HvuE!7|B%XC5Y%|GT)Ewq6bj1n_$h9=8_+e=r);zw5&u^0!AwWbV{`8P#Cr97-xm z_KozbOi`7xwM&x*k}De2!qK7?)QfOLgDmE9uN^^-R1}6(*D2OSo(#>^6|WFLgC>oH zU{b`6WHjn<(p2p8MsMHyVgdF9#;F&#z;s=V7ydmGo0J+{!q?=i?foklD9<89f89P% zdRGug)5S6>1#hbPnWM`ft-4mI(HGkz26`|`tbzl(*YzD+wV&SkX9Dh3G8zO3D}5K_ zB0*u@F@>G%j8}r&96_xg%n(0A-!?2`NXw>~aN!*|FQa{bMKmt|rqXli(FN^c8eJ+$ zxU80-4-!Iqi`MjO`R*YHzFBRSm%^30?nr*cAv#R`*=*=Ome*MWJVsk{;}tVklUWo! zNgNC{6@PaZqh;}f4fBUwt)i32keu{#41Lpw(UX3N&i+!XJON>dPTygZPW^0g<2D9X zP3^!mafxo2vJ7<4zAs}2HPBW4s2)xn{^4@RS52JUEcjiGM3`%1G zzHII#6+59>WRa&(-2JE-a7QRl*VQO32TJU7g@OU=aIWer3cF0o*fHDs1HMr_dLluj zJG}i8r96yunEa(UFszw_J4T-@z`sH{6gRWqV=(0sFycQ9OVHmL*~PY=>YU5pGMkqx zn7Xk(qoN5tpr;R+7n;r5ZXb%1zLKbyfN8pf7}j^?Mo@vn%}uB%=LK?B^SB`56a-y{ zSomluwi%x=tW{lt3!iYhH>oL)9Mc*EPh)vFxA;$auz}yHtY%xCV0TFf+dPevtS|na+`%u zC^h<%;;3wplsGmNjgf=TT1~r3A1B;BYu2M=s)oRqr#&pIdsl5~>GU>N4|u0ysO#{U zOzq>eUxb;esls(eWn!$OX^bD_gi|y;Ns6Td!;S6%joF~V!Floz(CNNyTLk_;)_W~8 zJteWm2bMcyS?J{eWpZvXU!u?2Ptw($a_E(t7f8wXw0%cn0!t^L%EX5u6DX0U7A52*#O6tfAhszX!lUzXJ-DCvQFscInwlk4<5UaNw6qAsGB-}VtIRuvL+*l zVxZ?n&6~=bs|7ZmF z9QB;f*}qnebma~4blDb&BOb1tpDk~=twvs=*PrJSvzilpxc;I~^7E~$qRK}w21L)v zw|JAg(dH<%Miy;H8}&n*3x%`dd~k=9H^uaZ8N@Adj=iyfQP(dmvy`@-dd@y-gR9gCq{$6pVrl+*b~jOpho9wV5&T^=>~E1-dfz! zbJXkJhTU;4>oXpmdt*|_1bg4U=(GB+8uKrD>;!u^ve1H1DM!+;`vALqWcd6t9wpas zDd*3)-Z_xbNcMsa75!MA)R8~|l zNO}SlP5;o6ztIKnL#c!rNLF_)o+w(@ReY?@#S!Y5lx1h15lK6{45N=3G4Am(h=UH? zx4gp+&;e56kX!?76Nn0z)an8$-GC~Ov6p0#o5Te^yGLC)Ge{EA9DJ5Cby4xjmqVB) z3HR#A%UK|)el%^wR1-5|$MV(2j6}4-mqE~eTrCPt>*nC-^~;wzsMpM&8{P8KA~(m| zb0b8FyncIOx3Z70Xt|e%rm0%?#NY~$2}I_an?p?Q(Huue zgx?2H-f&Gaq=lf}R3OyHAE55w4^Ogbt(_^_@N>`SrFix*Zh-Ngb(r)|Dj(YAJdh7*rv}YZmnZ-U3gj-Ymtxw-cD}8IaXl$ z16c%o!G_r_{4&1bCA+7x&V?UM16_%vq95mFqieUk5g>iUcCu7724ULFj#|pYd`x2u ziB1Z9(6*9Eu_o)AlE>W|9@CBFv!^>jInG39aHXz2Y&*@u-arje!>1MCBd=S;L8KcM zRL;jz1>(YLJzpJ-Y5)t~wvR;0A(c#$Y{>ySbhwh^FMSD>xSPtf=L^w0IFnLiq>(7V z$ylvPRnTA1jB%~};h6wk^PM7KKQ>A?v z-iw!8y4?$@Cj+lPl|d*QegnhZ7aM5pN~*G80_ zV@r{WqF6B##Jf{z0B!SKwdFSY3U=|?{Cte-`U9XB@W2WQjQ+2FF`BQ%NZ;EiwQG2L zTN;CeevDY4F2tkJE<0o?Cbz?iVB zakCoYyS$>&$z$V08@d~5fzpKMhnG$$?McofDso*K?c|@p1QS!|q5hp!)q*TPI|nHL z4&R?Kot;I-X6vbh5n&rLvZ~T=9m{iw#mR3QYifJV2DRjUFU@? z*%BNl^w+^mexydIqJ?w4vu~rxgDSZg17C}4??}(z8Fg$-ID6K+msUV4!Wy&Dt0F5} zKrU|wOIv$=ye{Q~Wl2k_S*Pl$_!L^fMDF0+yR1Cb<^Iim>?0ur2aua+`+5gux!=ai zYP99bSYq|;*IS5J-unMsJUXfmtLx5LjrkR{4Ui)62z}?ONS1RTrUdz1W;}Qb_211I z5%%d=3BZn2rVAYC+&n5(0^^AJkf3uKblnxhxQvSqY2DDvL*a{K;8^Cb)y0GP610pJ zQNMcfz3QM{%DlYJd}8}wCU@Ar?c?^4u+?*<&&rt>HG(}Tbv&VDLAVm^bB%zKnKvEfqp`iEOK8U zt+C|oU1R^k-92vCb~lIR?X8z5L$8r+1%f7YSI)f_saB3XJm*P(cWt+7kLM*dHUFB5 zR;!lchoap1HGS0Nb-Li1qD7qv<1YrT7Hl@{B4hx9! zTZdl_=OaWhUJhj79;zr%kRa`-R}y+Ncn?U$neE4vT8t@Sh9CNrsRXODE<$yqWq5Fn zwVAFG=GeM7spO%`{H4!s9Ta8>anyG#?o=3P>a%$|B<9Cf>`1rSg(FJ-vuQ{#_&tqm zQfOX^G{X3^YBLAfgl^-L^U<>(2aC>Vo|YmlV^f`C-a(`Ozq|@-MSa?ce$0&M`TgfS zx7fZU`jmQ8(}543*>mcBv!rI5X&2ULf}OXfn4@V72~>KG==OH>&Zlm@!3VGXna8p#tGfy}OLCIvOSy}oZ8CUU#I$6eY{SAFKM+DV-meM<9dr>}a-cWtcujEBCB{(bLl z&1C_`m{l3HqcE?Yf3VvitDx!hQ`SGhd+vjONS>oldOtkgx8-f`vvBUX?oCHWOLjgh zOnug^!95C)TXM(l$!VkPmXe`e2ctvjBE9R8{{+@`?vkDIaD?4??FK%cWm7livdgsc z@Nuf0JQ<&9xM*i1H?>xi7{PQ&SNHx;UhpY7F}3i2CK@Ga3h{UJ{Zvp}s(C{tsB1@B z`-#r=3Wpfc{KzA@pB~sx$LvbHeD$aGxM%r4{gkGDZY4D@!({K$o_7j?$Y*iyCw0#! zujD+5-O-Nf2pAV%egP-^`Q&)`vpqm?0iZSi=T^%EKzqT=8Efd%T3^#%pO%@!`X*$_ z)OHjZemOvtUWPMo9ThccQ68nHrG33k`2D*Z%PXo~J*r$M$jHqG{%=w$kqtZhmu$3# zFCyz(!LzGFw)a+FSg6=d%uV8qubCIB!;4Hmb2OaFjJ1n1KJz2m7iR6u$!Ds#8eab! zn~4X-yVtp|x9`@8uCEsb9@a$4ICr+G?){6P_6KR|y07!+J=xvL<`RqZB;)!7 zk_r7?%a`&eZz-P+vS^R2HLs-&YyJxhbm~z_G>zhnx{fh@L+9gP4D_c8bD`ZBniJ1) i4f$TptkK@kH=rkCb1C@a>&EBd+fkhgPdQ4zar%EUKZC0P diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index 7ef4c5cf48d5fb1cf23e76a0b6a855d363e3d634..2370599ed78fd5a2dc1aab2e61bac1105af26b4f 100755 GIT binary patch literal 17327 zcmZTvV{|4#vyN@sw(VqNY-}eRdtSBx0^swq_)3>}(_~T+FO&%xo;I z>?Eq@&Tdww<|IFjO>Ib6NmOM?G|f#&ewbPP{;rvF@SoR#fItfVF9CT${x7W&|1b3b zz3_$gr~2Xf)Z$MN0Y^qg#*!tLWPZFJMDuhpeQ`BPFfn;SMuy}KO7A^=HJ*2c)+wSa zI`{F1s`?c@v2@OI5aNe~#1Or86dnMrh9=Czkf8qxD4_o6rs=Nfst#Zuxa!wr|6F?P zbm)5Qc2L*Ud|uh9*6(n;9GnwbB_7F-ZzC5Bf+l~2kv%6kaHNRwbrL{Ww?P3ayC~|^ z%{$5=GXnle+q{Y@2z$VI5GncJ5lQF?{H#36wuSNrp_!JD!$xBBOjC?uHtaAz4>=!R zanS1V@>xEM4jeyW!M5lA&2B`4Ojvbh5XAbdPf0!3LsWI=Nh0<6w>p0%TI_>9tUPEZ;3@+J=Omqp0*bAYA z1D6n3upNGCO{dvodGjGU`UJDE`DMmq#RlKTh7zT&q3dzy_*pfR`X>VSJ(I#kS@uSi zrPbk|CG1ZuvB?)ip;B<79!|+m&T+pG@E>$6GvaryCV5T!hJo0zd_E91_fAEB=u?1T zcDF{^h0lc^N(qtmEURyGl&?x1e(uF~x~gLQO2nq!T1>WKAQ37{E2_;+D1pF<#fh< zS)Is&W-(05VxvR4SBv!xQd4J}_fnur1M-0wGlZ<3cj0}Qtj&fS&aDUa=OIjHqJ1(9 z9=ql()U-z- zuRP4kK^6NE`LGv^+kPdJj%}vOQ_6yR>yvGfek$@8cmFdu0hzD>*fK>!~~%NP85kjVixdsLi8_vN(o&Q*2hNDt$T9o>GA!zNFH-~H{P^#Js23VlJib#$$=EdpEqa3Fz1PxZ)R0$AZU^bj z_pXH~I{ek*%vzb-$yC$oOy<>ZCb;(qg>z*Lj6M`8UVU<&@fvsE@?R4u=F_*WvENP^ zp{_}KH__$h66V*ZO#K(}ad}7gW|BSI^eq6L|Bi|OpOFXW<}wKM1?k3zUSw>5icAhKye1m{GDb@;?@A^`Uk+YRm592wGpCm3g!> z70Ea77e}Bpvc35OmMWy>%{_|52LTJt7%&>C3kz$r{d7}(>C=U{fVO&$8{Oewul# zP5+DB7;7>iS7bOM6|_!JYpVALy+$T1DvaG3IUFcYZ|=CD26f~$J( z{HRxhwtmi|SS%wJ;&wWUTh65|c-m{jE?SclPd@ow3{JBHH?>|qv8?0Mug5P3@YgV< z#{0w)(7i1i>vuH&*S5&zN~rXRfREZsBZMJ3o1b;H5i2K?s|2llN?zmA6N@4*IHM&c zx#p$*{Jwqah%JcrVJv@fOvAT4K|awc9=C@NxLVp`;rmcw4qRMaurT!Y!PZ$je>8OW zlU=Gp_x1&{d;g-Xh^_i68eipqNYq7@n2vpV5u&-QkrkkOnpq}#}%O4WdUJ_$tyrubAk`Lp`7A@3w zN-D09W(<%wGSBMx+k^7E2D)sFaUsIf+@4 zpS`1oW+-i+(d{YF)Za>u1CII16(L^W(-Q%~!0dj+Y`Vu8+#6(eY>ZOL{; zvCh>3co+y4WlCdZQD^&vz@r>`nTj{q(iqC;)xx454_Ru>3d=6V^#p9Nf@e)8c_&*!#toJ|3N?0>vi|;KI|s z{%!|Nj=0ctev(%T9^jRCsrZGD9m#9{SJ$)(jiO^IUks3%)s!?|MvIIwM|n=DEq{H| zxKM?3x1_PBWChquF5Gk1`45E0R6~^TxF9(==rQC>cUTF5@T;3lWE|Wer0XY^s+ho2} zNr^{RsOb;(y*wPb$DhR;yT-_Kqlncn>zJQea?3%?-{W&cfsI)QYRdgev~xE0V~6Jr z^9+y@ViU(Voe--Me178+rkl+?1fINP$d#T8KH_?Vd z@f?y*3O5$9ui#dRr$Ay6;pzkWGo|~(*qY1UxhS6tz;Kh#S8SbwWNuL}S0Cyjv5)q; z*~37tZ^itKOq?lnh}FEGc1=A-8sz~_0kIGTPx{v_^;r2EH@fr1UzUzCK#Qo*S>F?d z8CuHVNmvX0!&_aY;aN1YmO)g3AMoL$?^eI4XYF5S(1p#p(mjyu#n8WC6JY25WSkQw zeBS7Q5?2%_Q%FHSrQ&+#X~%;5c{_Bj{&o%pB;?V%8(Ga=;cB-qe;=9MS>xzxCay6w zfFcrlpL64PT)fUb0(#z5-^E%4+T9{~<8CMiJOH(s z+;$)_@)P+K=MW5 zy}}iO$5ofx*oxyvOxK?&N~t%MKcZ^7%YE+-A1^yFy6i(3Nx!urAu>(Ig)Z?X(ay!; zyep#n>ot-7>s<6*V6gi8(0-g=Xq5TyCpp=7&$^pvbyFd@RKiUG3dm=?kg$RXd|{KE_cbN~%f&Tv^-GYnkr797*e zYgbLBV7f`i;)>!Y`{h1OqTh4QChrBXpGCazJihr@J zFa1iZu{E2u_T+xkeu8O}G_pB22)69J80oGP%(@M~eE#$Mp;@_Adzawm_bxLdCQ%-j z#$%T?gQ(a3%jD4`-os~UupCiWeM8K2PvUMK^h&bBvvRfl)p-Nom!Ngq)n&ET(;NZ( zY<9|M=m);I3QpPL^JIkeDi>dmyT_?kGJ=>N9UA4`?rVWB`GnUI^26i9Q_MqjOw0r0 z-Hi=Z^{ezTG_{a z_U=O*!sv%1zES;b$sliVJDyF2p<2SGhh`;HC6hQH~YoO ze~9IO|48ZMt(K3n*Nt+Z6HZal#KlRA$xGKw(^_goHX(A3Bdg+JlrEsR!3*je89j7r zA5WONj><`=%~Bia7k(5yck+THN-6}#SoJ{MS#ORzn=~%AM+Y#wf58vFM`5!NXj^NIRqgqIT$FLWGj%d&M z??+koI&pCr^}xQ$hqiJqSc?hOY$+M(&XI+)^Up}Nhww~TyzuOQO#DzhC){sBhSQL;f2K-$3}rMMnSUufq>gdl4RH zrJ4JIOXbY|PsN{lDzO@jWn-CpERN35t?LqS=>ZjpY9Q}Z1B6s_Fhg4THMpDS^1iPX zp7`k%jM^v#>oMPL*M|FNT_6o@MWUysu6MGEh0QT#@~p?1eY23)dBzk$BDwIzw4Ulb zUQ>tbLgbmqhK+V)Tc_HZ+kt+inYTr>L42yb;%84>Cx^Jo91eb=`4{}+SqOSn7>2+I zc;oE<3BCgQG_!$J3(Wcl@;<}Om1d6!uaPyWcfx}Ycg;f%$2T1j_${cvpKgmv!!|oq zc$p&c_KcQ2iafm>7VK>!^+(~jAx@px2hDZ}Jc?^j1SK}$F{(|V@0^!$yncfs__yRx z|6WkR9Y4bJlt0)Dx5N(#MMlBDZJMjFI=V>@+P z{SJB3SH^@q-Dq@uU8kw@1S3BmeOKAU;kvOyuV9X_4ovm<94Ig)zu)(gi$~}#?-42M z_2z4>X(5xmv@WsmaQwZ0mQ3Eo3gKgIS15%V^|Xr$_dG8FpD#@NdDoQ6)Z@dRV|hPd z*P^`KPt^Txoo)+RrOwVKu*!`=F8B$>J+jyP`}y(sVOLTT(?)hcq~OfHc>uOGAP4@> zfrPWmF(UWR%iW5fLbi({Y(D&^FDm09@Y4~j@R(JT{o5gw)BjNTY$X{-Ed{5Q^$UmQ zhCCuGV39O<(SqCJq!k&YBcGob2Utouqt1H~-*LKTby;Qny%91D*ybBZ@c4NI)`op9)>QB(V^BA@_aCEzBPFII$%KSw*(HTs@wb_XXR%Ci-1W4vKR@1Zsb6ZT8{# z@VW6h!3$Ml;pNZ~Uqy?fQZ0*b&o3sGo%ensQB32|WTHq& z^bA==mDgrHQag4qD!7z6I+wzdP-rq!v{g6~t(J-WxQ8>s zmw$m$O_7wzVEcoi--d-*j)B+$FV{~i!HD0%M=PKHSK%ciZHwS?Z5W$BO)$!4LIM)+ zv6VY~ojo5-f&3Q5l|y`S4MACxU1DnrWy(I(QjuN4C6hEmGcue!Vz^0VmxtAY>+9QEX>6vH3&m|Yiyz*l? zv85z-SB^t@LIqw`sU~(zJ#n9cfxBoA_iM{>ZoYEgf|Dx&a7V@o*shE7i`cHge^vxa z|6Fr=5XB1)4qWrd8UU&!TY9g`8Bv72tN&2oJk{FrFQU821%+8{be+{HSl-P3 z>UfUGn%Q5!!+G?Os`T^FQJwwEf&B>n8OBcn;rNMEBX&sy1FE)&T0}xWpVAM1gD{wRYCOK{f;wZ${?_LuUNi9PmCmc1#o@R#P%GX};BY_p@Od)gF}F zwdv<)x}Z|I^ui`56WqtR%+|eJuvjF{*@ncjwMs3$pdJ4I(HPU1zL zrwVNN(wl>{mT-4ibM7o^QQ&~aSfWQu(WYChHEDyl0-`Q8SI*jfpRnq50C#AUO`-J; z*T`w~>u_aT<#JgG`Q2h7k~+8{wkkGh#pYasy34Ag$QGWhYS`dHw07 zc0bwOTfc?5$`Cui{_c)Z^XD(R(*g^v;HKKECaq^RuHqzP=+ozGI$aZ@xBjX1l0jsW z-LP*rSEg|=R{-X1c@omKR#N$Mopid*}N|AI<6lPp`ZPc)um}cR%(ReCRumuN)MA`>$wY<$4f@9_{Qk(WhOA-tj5(>uL%pwa3}dVN-kEj~!iV^(WImE*ZYXd? ztItUjf#c>FQ&J=tbwZmdHXW>i57rf~p*NcLh*H&L*JveBwV~Rob1Z_X!QCO$=B9-D zDCk5<++lf-9#~hp+KZ|$!%_8w47^frk;}Kn2N{=?WDuO|wYZS4>hN$SKwpSv27PW; z_Yvvfp}iaYG}$?osqBiEG+_+I9}}YG#kiBpbd8}}Il;HZ0eDIV&WmP%(q&#a>yJJ@ z*piB5VOs>~Cz{4h9JD(&rXFQB^BC5$t0gwNFeupg*_HoXmUgbM zlMr*Z+w83+_LU1xa}r9tZ`qW&hKQu$9hxo#kJH@2R+!Az>b5_k_XvqHbj^5$8yth4 zE88J;LfOOqXGH8NBa5;iP@4QK8pQEQhryy08pSJyt4h+5HEnckg@|1(P3>t=j5cGi z!D`-cALuevFZk{@`lUk7{rqm{r4#Fk*T=+sRMaycGfH^}vpo%fx%3-q^v>U%IqkKd z8E(jW6&ICi`@#t0AvL}02Na0=EURrBub(g_Z8Q!&;95rAL%)tWk*_D;sAJq|fE@lM zdS#(qh&shjO7txDl+O6-?PT7DwyTurYHR@i(;)xF)cA_{u_NJhiOMYUVKvwpCc5E9_Jy~n9S#J)wF>VEc~L?EfH@JHta~BtF_T}3eO!lc zpaQ^e)l`s)*0p`RfA0jdQFQ6Bj`WHiHZ9`HCG?S z29L4Lj#qX5u*Ez(kol5ATtdIVVqJmFs@PAXKEV4S=YHME`?NGN^E)ZQ+(K-9{-uKG4E1e)8P zZ!6ww6a@q8J=RaJwGX+f7=sual%T9i(pOhfs&sXQpIq@*exli~T{JGTH@{n^ZdmCO z;X9PrMJd>rUNkbs#x5V3me>h36WIdm7Pv0$<*Ac4USeeLKmNoy5*^~0=d>IB^}`7V zAU5Ck#BwCS#^{kKRrAMa(i3~Z#6ZN?Gn85QJM$Y0!2FweD|;$(9Com)0X3lmOJCrz z)OQVpiZ{X+Zmz-vneG!+ZWBq54uab^$)=8W2%z;IvcZ2!pw3k07!?J2E>=l=KoQt_ zvq5i5=i8)>CJiT};>-tiBLWMLZSB0JiP>O9KNiCyv| zI%P-6{nNie@G0twCltAe$zu?;p8%2~k=tJf(wY9N6;moufLZ!T_i$9v^WWa*_1y4n zIB;Z8P$^u5CGi1@+A)ZihEOmoKx5#Dm6=7zrLh6C|$Sz?IE zd>QtDKYTd$a!G{XZnwhQU>h(-+~b5WS(%A)gI*K|sY$e0_+ZXHs+7U2A@1usIEc+rWDsF6T+R?B`(T^{}iSlPd^zg4|jt ztewaC!Ow4wAM2R`*4&}*bd{$g84P}ZVzA~9r}Nm0zlDSgn~odAK0HeALu=k3A) zM&n21zVP$#D1h-K{pbfnl22cP5%Nz#RX?zK0qpGXw6CDjI*bwMID^nMd=Un3${1!c zU-lTGLSd`%8qv2c>776OW`)39S^)WcW9DiK>*p>y4;HC!VqX`m)x3+m6Cn+@TV(tf zchV#ImuAr6(WEfhl*^}ZTD?vD7kyzH(JOjkkKOoA6vz9Q6M+S(ZvibJvTtD|H|znB z;hdBCMRb}<;+IQ{FY;z8jZF51t@@-2lZeV$Js_G5z<^$nCT2Z6CjE4m z?!ma-$*4b%r$TgB$GEhGE8Z?_ILTox)}j( zTHJdRuev^A&{tuyPf^to-dEd$XKv3uVv(Hl%6CM>1XVnHu$CYHK5YhVuW6+LV7Fom z+inyepvNt*|OeipLHBXei5jb(e&&&qgVN(=yb#lNY(HOBY>&3%>#|P(cHHXMNiF(}q)c#b^$t9avu9QMxlv3E zvxZyvr)hFfI#~j7fs@)8^2|X+t25zg-9#yQx!mW2yoP9`irtyTuLu=drml}95K0G4 z$FJEaL@7Q}?~ja1{V3L+?1zFjmB2EAuffD>{v_(R6(VF{JX`Sy3kVa@7waCsbr<=a48@H7Y`Bm zsX<%0?^EX!rv8?`OX^IAR5>r<#~69qn>I3TBoPOoP3ZX0U-qGBiu(^1`1xz}8q@k~bK9IP z=l!1azoyQ#)|P}Et~#rqVmvF_*DY@KllTaXVN0@*3yALsI#uliVaBmHbXkQE+Ejj- zLcyo}obz(uhq(H%b6=t5mVR31yXrS`_>+C}u)CW5)h<|Y?FBu?7^%lFQ%X z?8+Zb8o1x}mVT8AQ6$!Ejm^GZaO36(2~WI3=vq>Iu!u$jXI~!I%!gxi(UNotA%eYv(Yw-@R1a?ascG<|8gyz1N3y z#*Q>Y5puejOY0mg|0#lFW_;xyR$}_WSgw|-~(x{nVCVX$EdM?D( z_0_%A-zxL(m*%W1ZJLG5Ot+K_z*8R!Ha?PF6myW?HE$mtb3ga>?N{&w7N6;I)Lm8W z_9u7znqAPlhZIfI{!T})OFjg9xwa!eo;DJ0{#F;LI4ghq`D4X4yE(oCS>1K28N2v> z9^ncPuY*o^{wgD;OoK4l-{?}b=^^f z$Fq7}(7D~Ui+W6j^I2_7`v*6n>jJ49=8T5E?@l&ShZ3}sovtf6&8~z=pzHLmU2$2a za7h7j#<38lb9;?@Mskt0fSNwF`VuF-9On0jdaLNG6BifQE1kWYil&^_&i%froOfMH zt1iz(;-wx@6$FoSWaH?|nAke58*6HDzem`3>W1=43ui;Uc6wX%^To;CrWw;dykEZp z3Ko6BUnegpjEAFkau z>$mayBme1d@56VN2id7aWP{|x%KG>tZJ`lm=etE8L(MbtbD4_MiT!+aVb9N5`*k;< zc0K)L=2FMwOq<@!@eKVGdEv~N_`}uPNXI92MGuOvbkEw$hi(bL?D3eJ(~te_j@Ls8 zXNUANhFh6oj?ENMCgy6E}!q*DM@ra_xaI zrxxuSxeUN9MnLK3*s_v_FzK7T(B~u`fY$+-{l;ICdJ`4Wo2dkgZVgwhNwS0VbpP|c zj%z_BfUiM~Y|*bKnntD_wh5t(z~>rFT<0fQJho|JxQbzaU@{8xeAQWT)o*Pi4Jrk) z#b-!q=9GQ-J)C(k<$5|b^&|2h;X+hFaaT&ABdjUE|0GYp5l}qj zvvyO(l75#eZV`D)Xm(D)A{RrVtP-uDIV8=$rVURp+6^p25!{R*Ce6trd)e}(OyY^6 z;r)>W_maW~`@Q#^Dy?QrnGc)5vM@#>t6JMBRYYN>^LLuZlAV#pYEqX4SEft;(^)>` zG1JmbiTu{)(^7-cvl1w;u9yk43$6Q**Os!l@-CRgTLZ)PgflPLo zDV{)&V%M7$cU~i>{9ZfYf$Il>ro?DRriw(_8Wo%bF=er=4tZdNHA%n40u=>ge3FK) zk1?IN%zR_-#s-G6^&!txLtq)%u4aFnbhtr;`x}cQysW$&WLSDaQsDtHB{E;80!bl- z&kmiqj$`Mv1?1tcpzt0zGFka8NUfH_z%7l7s?5QwiG6s~9OKP55v%e8-`ig#c+8Ax z{OZMx>FtM>q$*Wmm})w6VKPq`5)FPjGs3bZ!&X*LIeRy0DYq(YZdPTzn!4 zf2E?*$WU?y{(`(S*~a+ZBtv#cEk^{=t!-H{q)z=ck#M5Ga66DnU&Q{?ioM|A{LGH9 zIYC&K%I*2ur_>1hON>Fh{88nYXb4PqJ~L8ojJ%W$|F)eh{{X3|4k)>#S=6 zQ{agx`cg0<^s@f>;joUvYJ$m{Px?4^B*9MCcWi^pr`s+%v~!rqkmvzp$@4Q_>(utM z?)k)2>Gq(kl@HcXTMK?<_^L7MLVwnC(^-BfSz{G`;0;C&&;D@kmUYeT_MC&k3I}yr zD^2P@=?eiS5u$N)NEZvksR`a-umoC^O=S^N?Fkyae%MHXX3 z+_RR7g>DKyS2lo|7Nk9aBfrx`d-R=IN%d^5rVg%OW|%jDsRgs12_|P}JHy?}H ziV*#$f))Pw!>+$$MN@|s>8t8bIidl0Y*}U29B`PHdwvVIoaRb9qqWsl5md5QPJidH z*G4y5+TmQ5@ZCI<=!39c5|TMdkVk#IP`^X-LC;T|$QbaVOVX7MSxuB6gZE8$=L0@|HrcLT8Jjk7 zjDXj*exyU2IJJ#~k9jS6AdR$R#OddoUk7{mRYW070;2V#<<$W$?Nr4`C7{PKzlH zB9OrR9R`3%pSpkhR z4>{7Y$l{715I{uc*hIwGhCd?Ta_E%Mrm!YSk0$)490y}cw$P}c9sK!Tc zrIHzF&>lPon3O04X1r5ef(v4u#pc2tZzcd}>C1BBTwPAyc22wjMfUEb^d@|OOIz+x zBQ(nPs`flP-+E7Fja{QdVGp%1yw1t1$bDT_4y38^O`uMt_j&DA#gLaV<3m1QAVc*~ zdk@yzkEU6RB{5_W!kZ{j5v0(n_prB-;rFoNP$_J!oH#k8kT%3HQgY)_OB^;Rn4XnD zW4_Mcwqx^k&D-%`<^&#*lA)wSc*|JC*}9 zk@78fJCr)6&B-^C#3^Gc-Tt_$J~snU2}|YQWqk}MxTh0SRvJfaMlY`N2ExcDyQkUE z%+PUecwjjA@dbB>|0pB+658X(Bf_~?#o#UxWV!@h)jZV z0zlvAaODW2hcnOoYanreAwN2YuI(40BzFXjN4tzil?LM8`{Qy$NQIHb{l3MPdj-c% z8s>kL0|7H~QrY~t`Oh&lC;*m!E)lV$T)(~ zR#=DiUVwk{{DkEojpbmKrH~PUmkEJa2!WRrftMA5mllDS7r|2z$5|G~nU3V8X4OO= z=T|)qf;QCXnB_>FnGJslz}9Jl*H_S@iLuXb)k@Cg{q*T&6NKue2E|PdrH(Va6)U{g z(MK+BOgAX}ynjlMIJA=y!BYz7ZpC=idm!#PgcN`bL%rPBz5P}6v|rtvj^BgK{s#Wd z%rWGBK%NLBxP!QL`2rvJ&OuB7hM>qkcZsW2Yg;5WF)cmJzaMuTpaUcad8vteHCBVx zyN)MG%oe$UXh8vuXTJao6{HUBgEb*7{L?oTK6nXEkYPW0+uZ6vQS#|O063L|4dL2_ zEw1Ztu2Li47M?r+VMbqL6haA~%?JL4nM5aNftE7LAqeo4g*YlhO%o?$2?Iml7gvfL zB*gmT?L~3r`0vK>P-aF%aBKNm#z$Aye~f(~j(i}_B7{^5wExd&$IPcK$SNT){Yi^N z5j4NEWQl#T`M2e7nVrC|kWb$(yMoV1I;!dB+S(CZ?T>O_#k8?9$Ejrm1QxZ$4Z=8Wf1lA_cf7Pckux`_;={HJ3F(s0??p;>h}*aea(tU z?BCG06DPgCKXqggoecnJE|tp^|<>D^gB)hsE7*ee`=XOml@* zklFtH8he^fh<$>R2Oiqqbvs3{aly`m>D!0)?Rv&8Okj8x7!3soT{JP!|2RvDTA*t5 zW{7wT+emqcVkEK~nA+mngu5cv<6GrwF4RmtDy^D?CBZ=P_#%VFd3e$6j zbVhQWnGvCn|IKN6>YWUoV5Y|;nV@=iHo;||w#wEWarvunuL-KC`iRZZRB-;l^>+Lg zQMbi*b9^K#%NSi2ywd;{#zEtD_#;1H_toRCS)+q3!ZWl~HiNPUBhtEu-;8B0^1Yv$ zXls6Y$}ADZwN78KCzExgc8qRzqL97ZNtcn_} ziWsbl8Pta$s9C5ZKfWFuil1BtDS-mL`Z{8;FgG9yXrllNy54JrQcd7&nv1Ue6{Y|+ zM=87?hnl$_p#YAGbo&~Poo)cFze%tX)MDt1mF1aP=%xwYz*GIu6453GB>;K z$N0BtU_6%$u9Z%Y5ZP~FlOSqvDrx3FT=Cr_QJNj78uS~RFcrx*LE+rcs1C4X+i((= z^F7mHbzVMQ9h4O#4$x$dvR$O32ZTgaDcjqp0b2dt!mHEJ{qjN=0b2TB7RZAMPo_lS zl$X&&;X{Y}#-lxW(nFZN7NBK9Z9BVGBWL%i0w@*YhEF1uNWNR(b$D-7Bzpgl9~jT^ zN0o4~3a&whgr)?x=q43#frR#F01zi6vKYnR;}9yez5J!v6{ zZ+~l_0?wV1zX#VmL&?WajI#mdQb3HDF9qD=9L-{nM4r%*6lFS{{X;?J*aOCkbgx2l z9#JPzA82ASv)1K^Qo--hZrit4tKV?xQkuMx)A_)2n(1Ag4++{A9}xE)H7B*=IEW$0Jq#^Q4rTLK zggp{#D=%Ng_>4Ht7~5+@v=U03TjI2_cYn@$TFcIEIQ~6jn;YG8HGRd~VBtD_K52JJ zX!^Kra-gss)S`I*M(4fB6wuP)J)H`qrM9U`Vn_IRrK!^og`v=5Kye^+xrWR?y@%Zy& z+vG#0g0XDikM0^)%|SXBe$Px}^F+5o;K2SOj+sMt$&3$}2Bwf2On1>D$jtVkRLi(o|3|iEmzbN7u zLlAkeLS;06(ByxTpn)3@aR}V}?W0FSCzcO50e-SuG;fIM_RCC9PV?@(P6LE31aC`E zsDoD@HkxZrDa*?&68?}>=?2&zPLd?6FFa2=Jj&t%HDt%dl_Be+^Ma~Y06=U ziBmgg?%+S6lvEzMqmHswQQa~#Do@E+)}Q<%v?vu09)ZH$lY7tJH7IfBU(u=k?T?2Y&O&kk1>cZO&xw!08|L6l=6;*|mUlB=_D2f!*1Ak^OV%8_o>AS{Nia z0jZXR_9EQ&Fd2K!N#AMP(OnlD*MEpg6DP$wy_-svg=^f^dc+8YNn(%l8hIGAF5NUG z$GPA&jv6wwcUm*<;KK1MwaC{#Ccg;xBoT^`XlN7Yvbw)N zr#^`@26G+tC!1S}?<@_Jo#dGl>)F{-bw!ONL0}%oiBTC2&3>A2$7WGSQwT8^D??x6 z@G_CCklC;6*XLF_TZ=CPI@f@~=o$w}e^tgis<+TOs*w}ZA)27%<>=LY3RdEvRn68n zH&e`Bbh;3syj$82Tt(+D&^OD6)dv}Clt~Nx=-C$FI$r61NXZChoApQeEE66?^?I-9 z^JXPu+>L|2Wuz-*#&9`Zt2G{EjFL8_a8-*TvB2$Cp(~!7EClQbxnys%^>$JXnk0!i z*0HI{7kPRssBXb|O?cGVu3SJ6Ob6Sa4WDX&#S|djr?;oiZYj98f&84~5RqH82y?aNE4 z8xatJ51DxR{jO@p-Ahpp`xXDb2ZkIA4a>hKac_)27E0Xm?|`>55lfj6i&vgY$=xZ1 zmB>msl>BVta`_j6Ogx+mqJbfUq!;&$MgjLXo+yhILIDC8}tC){Ez>w~=yEHy9)DkO-RK2on1? zu^f;aw6Po!s+grMtN7vBj>fu^k%=H|1H@bOqx4wm%eaBaqoeZ@Wu_>n>Eb=@IR4mf z*gt=Yk|>e0&you?QADV#Th5>l`F*JgDC<-N|Jb}Z51sv141}#!vmR#?|*R0z;k#Yj{QX<-C1M^1=V zrp2f>LP=(^8u8OClzen}ESXFrm9+ax^#V?rv0k=V7BfZy@we7-fMfy;6UA2iXi44LaIhYUM z`rUI^B4Z>lYo`s3fr`PVJJYh^HrB}F3i~OIy#0`pjhiqvkUFgDs#ZEIi5OkNm_3y> z^YN9WzSO5M(E=|^m9riT;`&(Suv60ERaU2KjOy$47!19Y4ic)TFD%dh=g4x+tK7MD z4cL*=%${hZ`)i=7bcws)9n1pxk@#KY@8EsZqI=iED$v4`dvw;~zV|5?<;1;U`o1EB zjy$+cI@0V^zM```G=mUf2v6iyd!KJ9MtSOfm8aNTfG_-ha23|eljTl(C=RFW*8SB} z04*q|nr->2VMV!)>7_mUq0^ak?|yK5=ZE{=XA&p*YoF~tdYCkWjZ7~c`1*1`pv$Mq wm;Ufvh34WCb&t(@arU9OMqo)NwDKTVlF`k7u|<*0KpBOV6GiTS00030|D*$7q5uE@ literal 17836 zcmZsCbx<5n&?vzP1Wj<45Fog_26uN2dbqpG;qG!c!3plJ!QK6EcRwD#@2gk!{&=-D z-Mw40+ub`eZM%a31qD@!{OJQaeH!^ zBQpyl3llRdsfwwitEGu4siKhyfRve3MVeH@)R^?wZ%gNoJFPGNdmI!Ltl7i(v$VU*1m^S{~Ni%5CR;vcD6# z&-)l;^V|uR$Y*QYuD3p{H`#4=`gl38p`-TwH8vjU?>@xHwMA%}5dMNT=)g(XBzzwN zd{3~F=lOeOKZKSI>5gAGLSTRCsqDUaq~p54?yp2xGTRt2uMvb9NVmAVR!Wd40k_`i zxSsR)owTOgu+E;e7B?Z&ruOMJ5B;MT67>M5xx^0g6NJ?o5-9%5X$z7!S7fnd#;6I9 zf{u|&taN44nJUC%dT2c4g)JI9q0qjavg4ox&-yMTt>6YNYR<}?XvAi-b9A~!ZF#Yu z_aSb7PusA46++}_s@`;;zAfH=CgNQ%@Lfq6XPq5CuPQ20sibaeYU*O~a!_!&H%@$m za$An{l4Hp97`lb?^Z$kn!69*mj@_+j@XgDm0W=j(?ht^Mys z-7#fy=qg=4+cFr_WHJwB$!kmpx-5%v|23EmocDyI{yT_F@5qBqWGwLmyZtb!UZw;b zVobl9$4hK+2lWCD;ImKwJTc@T&uXVGu$i(c%v3E6%(^yPY&Q&QYtW55&y%%!AM8U0(SpPuQq z{FJfGL11K?v=oxjL`N!YZYdeU9T@==`1nLk_kndaK`}yjmFxufvIUvbx6jM3SkTqHNA=TDK1yezG3I? zxw`=|2&jb!;MPl_l_{Fli+}=xu0h(}sE(I=^uBe;bLLH`jXSQi--a0y8D$SNwN=eI z#b4#xz@!ouL~W1C0yn`q3Rm8>k&R3i?}b@AB@>|7`Z-}^P;}*!vdoUokvdVrW?6w+ zBRjo2y&#Trd56m^LuMgEet82!?;=-^Mb12WZ4Qvr!aXjBgT?_no(1#%@{{vbvr*~5g(ve$u_GNc6e=+#wctuY@&TI zz1W+mX|Jt5n^1ix=?lGw$TX`$@(Pv&e9ckmN^O=LpWOD52-et*WTVV20NO( zz{FhBkdjd>Vv}u^K^Jt2XUeLbaMT`2ZR2Y^vD8aF%W;_^vj-Z}r+P_`F`SA^%1To` zzU<9BG!`_%=V-VP^Kn#`8{U0azO5VoF_X?$)UN+Vf`|CMUlO!#;*E+!S_K&sA|-W>@Vp$Im+udGs{?JE@=Q|4bACNB0+P zGh>ns=87hHwvva^;F8DSI=Eyu5NAVoK-qPD$rQ~6xSoMs!60gn322`pFEObsh_Icf z<0<>??Lod8&inrn=YIs`Ek~%b&5HGb>KumSg=IZyl4rE=U_{+~bUbP#!YO@NLoDC% z;l%GBgBa zd@fx0I@~d)DkGWZJGzcPl4xjf)ghXn3{)+zM8o?sNf#}ve??)0`7hx;Ao`mfgRzGN zJ2Fo79~LZf^o)Hh5}pfg2_i?z)z^b`PI?|V0=Ki4myONzx5^5QG}-A$SknkcZ;$CZ z{4Yy#()y;bm?@a)X{WV>+!{UDsT1w6O@v|?|2(`%R^2%^qY)YgXAHU(n^vJz}t>^#Am zJevc_D@v2tDp@7%RiAb6y&jBfrYFRAQEt+G(w+|*i}@KxL;Ng^%6J>t8~8G>Qg5kLDm(HAB>X3CEAKH!@1EXGx3xi?e)HL&^V8jOm99Vp)K-X| zCWcrJ$=%~Vy~?-!UvD=JQqS_U>M!+gx|`)S2B(XAO%r}kPd`e^{-IU+CH));*5I&| zr0HbT<^n#*yj`=4$d-qL~*Z9dNL)SCUEhqlP9u1?Q`>2}}XM~y$PO|?_2jXe>@pLp*`)p*A zCx7hK4*a%3(B$*yc-)!rHdJ#K2@B;>}?3yuA1&^|GN*q-Z%b9Wi!Tn zyV?;Ii60%7p{e{`>8NQ(n@&NPB^f{%^LL+^bt$>e)nVDrvddxgZ)%a_9wg;C)6p~= zO!;EFvHOp8kKTfB)Rg*Ws>`UP4K;jdQyq1;G>33;=ZK3^O*38NewvC7KN^dyhsjKU z$`li+vU$JYyi-AIpSAFBAl7i_>`f zN%}$j#c^GWY2o!(%w*U7VP1I#ey_95>lr|6?Lec|0!1~)iB)lJTpX=5b8<+q zs;s~TGye#%;UD9$_HID&>%uG7TZ^iEUUTrTghVH&&!?~w)SJ)#ol`j?iZqoYZ$P2XfD%3@t2Ux4O{u*CPsEqajm&ri`z zvEIqF>+oGd4F_kirZlCx1S_&UqYc6DyJWTY7JD8EO7Fw60i ze+8I7{_ ztoJ6Zyg?DydA;17CdWf3%QHj9jRo94M)Wj^x$koy(tyG^&;t`11{$`GKg4ANqq za(B=mq4w6&*@0;~cLB0|Ghc;#NPX7jopSfCnF~P+gTO39ZoSoB&?ajkB&c&BletAm zdn4Bu1XV+C){j;A7LxTYqQBx>`E=Bb`)QD0u;`lP->K86)BC+ACh{bU`s4;Y^$32~&)`^M{%!Q?SF{E!i&=s%leZ@o*DiLynCFB#MY z$PetRxanKThx0w$Expf_Sk34O)Zk+J)`&@WOqh^7gjl|W0ChmZq+yVf_eVXx)c1o{ z-?_=ZsSE9=g8Ix9s~dhl3R@E+lZ{YgeY~U~X5O!J zBSYQRk4&UhecL6|)q16IG>M*tL!OeJhZs6kXDC!JRkunaQUPZ1#P-W7!!mAxzkoB{ zl6}v8+_weVI=cDe(Dg)f)R^j=vRdJO1q3Bb57V=?IHK6$0dD)(|fpE-pV7z&r7h%LYslCMMZuahr7)Edi?<*HZd z$T-H*3_Qk+0$@`0Z`v00_QE-VI^KtL~TUqIVeSd2WT)R#)i8Lv!ye#Nn=A) z_|ZeVKt}FC39O)y&oivi@DHIGTx-7u{$#8AijI~bhj4nSHR^nQnFgnq{dA{2E3{)X z-KHe6-jPa0$k}H~j!=osU4lhI3P+y_W&_wnnyb`VMB_!Iqu}n}ij9*`GBB}t*e-fp zH~*Ny#Kb&`-b*rl9^Gn*{8StxBAK?6jW|7azZY!kT=E^mShP2-geIVl5O?NGV?wL? zTgNaU0(sp4d0hi}T?ctx1$kW$dA!k?Gxk2*=CR17Tjtn*7k?%LV=)Gsa53tmMSL{i zM+?P1wd?gN6Bb&L9X@bPzH(S68yaG_k9lZfY)gZk!3sK1=dFX&JsZKcZy?a=o)@tGq`_PBaiIgdX4Azx zJ0?rz(8{UzIFIU_HHFxVyK^P2*0+ma{%!qAbbe`*NKjS9`&CiyYQCdfb@TifReez7 z;V(;PYi9D*Jbz_W^>XLB!&xSM+)f5(&`^Udd@NuMg?O-;-$Tr|ot={zbIK0*;^;Ug47j&sr-fxplU%fJENzdAZ+l+|8{4+THWxv(WdP zsGPU`KJ^}Io&nqXNyp&}>NTxII%9uqQ?Tt_3V`F*q`InVx`&6@IBb~%y7p4(QCPnA zUh>X5eySRO;ZCdbJCj)7@H3eYlsO}5f`e&nT;U%8ZoO{|b9;YZ!#_El9oV{#HyC!2 zq1J2$pw@Ji^K?Umx1e6aQejR>no(=~HeCJR4qy?M{5q!%T>w-3oKNo>2YBuyz-U6w+xq6P5Z1mdaj8@Y{CO8 z<|NqkF#pi@#uM!u?JGG zpNlI*&U7ZEGV%k#anj7u-q_IDa97?Ma;$%$t^m-S944@DXCTU1Zf8~R*a|auJqI!m zZ4FVEe4mIqfoAjL8qnaLog*S?pAN~)CNP#|UNzV)NL(%9lt&pvyi^i%&w)-9b!Qmt z(8p52t!uQ@I213r-Ieh%a!@mc%YHU9_I5@zxJ7$_bv0gNiGp#$Ow-~GUgcFQ`@|wV zkJS|6p_7M>DHXnV?@L)@R7cNY<*aFK7p1S=;=QcJJT4-UNwz{{`m4-?MVxgNR=h@T z$LupJbD!N21<{U1fB4jn$!uU+-Ogb8n0@j%eVIq**aS_Ro2&sk`(|w<3TkCfcR1@X z>MM_Zxj^yN5uq&I6mgJQQwFXkb~F(Yh%jb)ln0Z5o3kz&{(DMv?qq0~+=98+Rj@Oz zeAradRUR%I0oFQ4>y_nLcRx5Jb28TRbAJ}N*e%9dr_0ha@xt*dZQUB)P zBe6@v3IZ(^3*zN=ml2C7#|39aEBu^G6&?7ntFO6T(YZ6QE5?)G-L3j!Q;no`)aB&Q zb-Q5n(G(a@_Y#9np^U5-+Mb_{>C@8${EVNC+02Q~+z}~s74QYz?|)1TpALk?NlJT* zoWg!z!|gB&fU%btujrzoJP@>GleFQC%=3A|zfnuOAk;l}qf zO`a^m0>k{FJ{Fs}C;TwWb5G;O1*vRPk*3n_KQ%j)`l6Oh`QOt1G{e#aiz$1O+A}Nd zaYpYYtFd7(5-@Ali7}UKflzL_l$8!W@Io z(jw*zNQ+_S!xT&?^%y z$~vcZ8}B5PuAh?#;$4C4z_2ce3*#1cz`bgH}c4RmW(}ktBRikxM z?5CbrM(A$mho1;;`{LH!Cdcmre^(r&3TMT-~Z;P8slu^Ela%Iag3~ukP62s zX1UNjbY2v!Fd6HC8oe69Zia{CEEk@OA;$c>C`3NWYA(YJE`dm8y$A+0$5q-FA}xQH(+hbX`n1~wujhEh-7lOnDA1V!4GdMt@DNzbo8Q* zZ%@!hj$`V$$NuHl=G~HC&CFjIZ2aqbs;+}7(P&6m_e(u2#}jprD8P}fj?bscJe76Q zsbJJ7xTVB;eb3P;UtAWodKubf1&4lXZsWI&+OW2e&E}fIUP)n>%)gzYFB0q6^O^Owm*b_4x=bI|{}`W*WAE1OE5De%Mkq5sW|mjyh`tc0evVr2&n9CJD~iP|K4 zts}QCw3=H~pTE#y=oh5lc%e}%RNr}%6-q8|FV$tLgy)2PA;n1R=U%f^RI%r1yd+v0 z8YwyDp)gbGfY%3G8+NH#fzmQp2Mh=QZgyx2g-{O}N4lpfwr=Gk9+TK6=L6lsrq<7u zsK96Xvwg??#i;|9A#bdE#-z5tuygCt8ku=XoF`^9nR@p zCaMnT*^Eo^A&#E&P9#)+uOmWE$oZNr8}nr@3l%vjS?b*w)n$HL)El@f$(0m7$az|w zo)fGVa8w{apDY9H53GGZRDc3&{es%X{Kle@aFZd#5w+Kz#`!Z=1+OmmLHDH~7SEp$PhJ6|~-Ku2L>HEmSyy@BV z!9qjc{BMc2t4#-$ZUD-E{NZ!392xegOjcwGaY)|1pa&)>Z~Fp(#k_@r!QxkSn^AkS z(V+R%I8i*lo#wDQO#t^nJ|Qa3)%=?D&VEZ`uJ@!QvUr#pM`+%GCH`{c40wVi!pC* z6He+SdT3RyJ|z2urxxE|OS^SULydMMxG1yRKOw=hBwT3qQU(JspIOpbwaTEba%R)) zL>+5aMC5D-tyA~frGIxzrC2Rpu*upnQ&9uiOk<{48W}TQ!7thkBJ2rvmh$UzWQu5_(5C8=yD$Qu@*DksqTy z&BIQI{Xd7yz-NhjH6%<^o%uFkNy%VCU0L0)ocPF}$<5zI!sxSH6_k$?dXM-sp$~77 zU4JhB^*!k=xxy#v@@=}b1ST@(pI4k4P@E44Bee!0WZ%PcJ)T?+QyDVJ{fcTC8BGhd z5b(;8cdAu@ zJ>%Fdm*?>x4=;^tiIu(+{H=V^)Sx4szpLYN?tY$-b*|LfIWNa@mvz?Fdb2eDl1EHKjxWdnjKQ0uP zeAy2t1BR?_gUm8wCG>*Qe1cqw!)~F`&37Mj8{Ed8t-j4n_9mQaCzYr zYg5#lc87#iK{{{qDi`rh8Uwso?}7i#r(x+%ybf9DPT z=%tKJa%0YN4GIDi-%NbcUo9S(_zb|X6MyCD$06NcO)kdh z`gA4UZzs}Dd?iRGkM4(kC4K0QftrI0LexVO;S0jmYKTcBRn!QzQPtSLs(B%&d%$0M zW}UJVZYPRZAXf+^4{5lV?{adyyv6^|z(e>Dr8idqC$xfzQbFELM%f;-mDR(x>L1`@MCwb(sqBk_|2wb%{m+dvA+;GDXT7HgFsTtL!7FX6 zhoJ`)&c282)Z^e;2>n+OI$4EYnlsrDA%03;lpn6OISy=Wg&)xwfP2xxEWZnfjI(GE zvNKd*sK5BPShqR$QybP5b$k=?)u=oTE20BWg>?CVqnOBb|gj_I8PCh*G&NFZHe z+0oDT4zM;Fw^a4`VKzSLptI+|kT^0A+9&SCGj89J&aC;o2|+@JYIMSL!a9*rIp46^y3`zM#6V^C0X@jf+DG( zW0BoMGll%Hu1HZkvJo4R{1CFetUES8Oz20@Q{x%GJebbo(S{@ z*A1O@Tjx<1QIBJJtGGWXu9Nr*2K^oXiW%q^nT8V#aCk+$4F`5bKC<<{M?VT;C~Q3{ zOm&Iz1@DSaNsvQmc6QNk+HV#6yM$iZ`}s5ske^q95)Q9WY&iE7y9f66s2-fg#qXeK zDFakU7=|FmkZHtZ`+=zbW=ID@8W{8mqG4|@cV*fS#Ag&AB*}}s`E;>uj}<|HIo1YB zE;!}hVi$geUE&OcP@qmwaB7{xPxZeE7FPH4xJ0ZuxpN$LAzYwwamBtd8jG3f@1*cd z8gOcF6bSiY+-{QZf5*!obh2;%dV67i3H{oXGTF|#%6k)E|j1wY-!3WI=;5-FTW z&+EA*=v|}NIj9)|?`$!qxq_xo?+CX9LhtB9Be{NtPmECGjfP$$!XQ7)A$0fCUEmn+ z1kJk-VF~%$W=a>~?U1k&#f3>q6aFo)@Qt@)(Ow54+snTP`z-j3H+-et3x}z}*d1K> zH;hp~W{aH`hG)Rk=HJ(b-1l#{Sm<4S*TiVgeYuk&uVkZMNQayOW+Z$tY(o_JgE9_N zoFx6spPx5>z7tN_A&fKMa*XbJr15YO;{-xd_HOu|)u!G9ccpSSt)5JB1)(wktq(dI zbV4daep`poV)|RikAY$vsn_T4Y$gXdn>!HQySy~{rhs{Z_HEXWZ3+=<(JS`*!@7WZvse` z6KcD}dLR)9OXdUhlyTqo)_Ne@(4!;Oi!#)6yl>Rbrv)S;G-tl4tM2y8?zWFGdYRix zA9s$q_vBNKUGu#&!v4k<|11+udX5vNQ>=42Tx!c9`M27paq@@i&wI7L<7X$F`fMGg zExtoBcd4L8)0;8px;6lfo2hIrw_iN;yHGF1-0G?UdhPX5-~wHJa|vlbTqs7xPs^*F zz^RVH9~Av32ol-Y57@t(cbI#InLnohQT>Xj%dixYbo;#E(YBBW#YWUp&S7bmx z;4P8MZ#6iwg=u8>PQ+}xSPnT+Dda4L6R1wxx0LL*iOp79v(>TN)~chkEo>`s45H?H zS-*a|gZ!W~GQqc=sw}jBeC#O@uGAnqUtsz0!Sfu%o%*HfGt#=CiC?VDl@bsmVROUr z_sE6yx4LH49Na0s`rN0L@~5IoKA2ESYs;H*?Mih#`k>b&AvatuKMk3_9@!=7$8?wR z!5JU4J!)iKZ0V9~jYl?o$x)IYm!IUG9?y8ACm$b|d2m2o5Rvh}Xjj6@W zrr&QfH#iE6D^q@una&)@(MAy|Cp~$J(cZTUZ&tCfUw9y%)%xxDqpVu{-Oy2N{YDq$ zh~|T{oSU%esi_nq)D%7=cgNk!Ol;g;W!>jxF}C%tyy~WxSGl*h$P`zX%FUY6rZzuO zjm?>J$i^=MFAnRk?Hs6b^IH{AUNK96dm7li$ZF9BZ;##*^%d((;Uypz56}8%?(o$( zuQiWi0!jn`ag%k8<$$#NvHh#Q-^S}7uhw;NBUR-l;}T!aGk>sNVN&Y9H%zU)_Id?E zodjN3!~LQsQ!lejg=m3wHIF)aPVpQa55AeB()eywB&5A%@lMx>X@kARvR2kfa}5Rw zbfh?Y@TuxX;+chfoLpssF7T_Got8U2_}?J2LZ^tzzBL+hZ7 zMf8a*(|d11d}7hJZu;oNd_bTF6CX*?nrT;%&DZCQMQ6P(+1#egd)ZchWxrim<@VdC zj9r+nKE95c&5-9rV{D^Ns_S0avriijujAg8#(bFQZhJ}`I8aAR;}L*i=Feth|@GgjmPKC{LNivtpOfwzC+qvZ3AMv+x}7eyXe~b zg=mdJFm~s@B7TZ=cRj;1mnyT`L$6U(M_XNns^)mMUt|NW5>?Z0+Y8z2tc9vmG+v#r zr&{GtrkEcoz(SuRRvlt2mC=54+}C&i?r?Cm{nbF<$4`CS1>ySz^2BpdBj1Wg6%UhZ zs}DcOx-`S9?6e{wGqSyB;#s1nQ#L3%@1p!6M5F46S~4f-y6l(<$K$5-+zno5KR^3+bMzT%m^<6d@;~ADY_gljA^R9eXq2qzZaLbE#^IIt0 zKf28@qiR`~>z;DYv($w=@0fzUx%6Wn{nD7R5^GumVw~*P<(DuMIwFI2Eh~*@&Plfy zkdp@w2&9xD@dI=a23~)1ySoQfzKdLwz3=bdR8BzR4?v3xkK=oz2U|}}ouUxry|7o_ z)z>w@?5iNujGDxixc*yETkE^X-355`xu3st=j{gGb+rFpPPEQ%|9W=f#ym%G^?EfC zboTl+gXH4)sqXHghe2=^;#0FIxZyj2z4t_+zrha?z0SMBOPIVd2fW7!y&3Fh3Eo_f zJ|srmRIdu=Jx_87HdXV#2M;P#ZR&Nkq<|_Rac>{moW%yO92ic5P9PZ9le(#bI&TOo z5XGmuaZsh7$aRa)5i8*JYM}4Fc$2#WX#Nv+!&_Q1ji#Acw3Fy`(dgc!VFt4=ON$o6 ztV@GJIz>N9H*^fO(;$G%BuNZhiHDc3np$H-)xSHdJe&VbuQ4cHDiiU>=hHu#(W^=) z6g<%^>$LBqzP}N#hOE(*-o4*Q*cshn|xjjTH%^3BM;N|yoEk@un z|4Loui29L?iJh(^X8Aegp*-p5IPQKFOgW4Ah>89n7Gj*}jzcS?B zjP#<#NsLp!hjG0rI7A2IR?MQXl!apA9(YWF%71N``bnsY2Dt!%;Z~%*=Cd3>>BE!M zb-W{KMMq|$wkvHyewx4|+x8nnxwfVD4Isj)+OW70d-aLexWL zgBi_ChjFQkkFt~)IDqaZ=t|1RW`^qtc&;u0n|1P51~+;!_fUryn68U?+fDsnJ+4piVU#O;A|X+zDgUkT%bqF%vJX&TMiy%z6Dp zJ3apnsiZ%d0$J-x3&b(Z0uLIRnS}~0L zBn?W+TwR8tGNNNde^`r>!|qlzZnp+w%p67SaL6o^r2KnwS}|1<2o1;07CV0P9jA`gk@Ycm^gZ!oiFpXyD)HW9t-O4I44)(G~dgvzv-Jf~T= zJ^J7`vPB_!{j8w)4|>OZjt#9D>g`~%K9c4De)%Y*0V;}e^1+zD7N;GC>enC^t2HsG zz0QI7{D%`@W{7o7uo&Xt%RI@euo8T&(}U@@?L$kN#$+5<{F|&jRh(^n~J{r zOB*kZ-va&hc}~vWQ%5k_0{<7>)S>;31fot~2@S#gZ;<&xAQU0UbAubDj_4xJKjo$Q1%Li9}a#Hv# zDvv5Ek0dG&SJ*0|@XO^BE&D@y%L&7^P?aY3 zuXGh6t%s}T2y&40Ba>C`pdXTGOs~Jo99KZ$mxsE7PUHR@RK%g7>v@WSyt0*=)q?Nw zj`-07#K4(rxBq}O8YN@N6`>Gevie@TU|fCHk>27G;aV~fkm#}Vu`sc(v7k|vk_y@di{;wA^}r~}x8tFQW!@O$ z`@shm`h$Yu{!zf7;n~_oM0=_i~5hF-iLcY2!Qn!8(5o;!56w1Sj* zpb+g&EAZ2@2=!U#vIsM9iGNH4e)jo3<^9v#a9I_%y&~nfho>yes#ISexg$S@j1Evr zTovN$O@FJ^FhwDR;5qn%R3f)BY$&v3;Y+Msv;u<)f1XfGNJDfO8J5xTZ(J5Q#ID6a zBc4uAtNG*7{jL5>eAM=DSi9vz?Iu<(ns@j@WhDs>LUS3^If@!vS*_3t6?FEtdI8kJ z{*%c)yL~3kk8}N(6igv4p0j?3r1GsZxWJPfQR(cad6dHh*76Tt%$h`{^TZ%E+at4K zVq(cB$yPeIV1sm`J`O;a-aVs!p(rfEr4PfN=6+_M*RUp$f(1}N`lEsLdnq3MCFl1ch{RVacy}=;yv$+D;b6V_irN$oBQEZROmp${Ru&HEH2G0lj$t{IuVZp&qMio;ZFZ zv~HXUQ+Wk!A=z?6lbbIgpaA4ig0RHCSA_S_1N(`f2_tNO-lw=XNy>#mhrQ24W8&NN z87%i|H`-7UTp1ZrxjoRvukZ8)AksfyU<9<7!|nqS$2usO9>TlVIH8?>!|wkuG=83X zBX^LH*og`6Unk*u)wnA}AO)>2Y$iT7Ciy2Igpi@3ipG2qC5A?%CchAP5dCE6@@l@a z8^HAic%8G*t?6{P1TM=n?HdgXgU?Ltqoe&kVYak{RYC8&D8N?%zGVVe_r;ays62PX zwDppEeuPx;7>pt#%LI)H;xfn+2$;@ zSGp4gkukA0T4HMAGP$P8E|_c+E|{^S_4jD83(on9;t!e;l}(r^*0X0l-lk;xW7Mta zZA_9V))gbg`SrS*_oVhR%XdstHIi*v#{O-MWL`J$|E4!-;h>Mg~gj6RTf;Mn1aU41Plp zdc?LDSoG)R8vPzB9yVAEFTU_=O~lV*}tOCSIz=@Rx@PmmYkL3!Sn zd6E`D%@!8+t1g@*vjj6M1g43ndV*x-sEJ2I_GeG_sxI;$N`>rCtCy2u9SdMxMbHGh zUX)`j0>Hh!#mdlX?>82wTW0e&KE77ZdhP}*rakOJHDiQAn75;x%gqil@}_8J+mfVE z=$213VQrIR!UV#tpH(3n-~h^&6l7sX>6}jMmqsGqMH}N555-oFpc7gK1Wq#{mBkQ( zMVr+Irxyl+eJ~165W&lyT!e5l}Mrs@S`3g=nnGeSN%0)2in8KRu{+T zQvUX+JvX62<*D0JS$W|~^IUM(?KQ0*mhGfB?!&m9eA+HzNil&j8GW7nVgu~AiR!nB z=$Dh&VStKRJX(ss(b(ygG|dcP4TAdc%Je0e3enxVUjusF9CU+dMj}_v#s4gC8%G`{ zf*giJ=o|XTJtHSQ>DH)(`zH)(+I|{4IJl&O2l&RL6DZ8) z6fs&E(FrX?m1C<`f7mZ zpeI2U6)-0IrU3Q-xm?+6!%B^ZOK@A^pKMAQJds@7@M#0c&SARNC&vfBlGnQGw!&! zeWE~2?MTC)tDL@w6cQ~Vmtf*ckhkyF&2_3a_)3gex31}HEt2e_BBs^UJo@j8?I{d7 zlNwPdR&t+V5!jwoSNiL3HC#tm+-nFE7={>ly%zS#K~=J$TY^toHADbE)cYsO6D(Fc=|B_AHC79;7Fmf zZz7F`Q+wk+JP%_SnE{e$=V!@=P2%8FtNx%Mph*x=#vjrBep#4m5{b@3827r22@L9s zbA#DgmQ=~U=2!ajAfC5@6XNOj^%zF!k6)yS&s40mqlWizta9R!<>ALylUjnODYdKq z@f^|}E#ZD-|E({r(?;G}37Z#soC5oP!|!|Qpm(#glOQUH3Hp_9O+iCqY1hK%fBvMi&yfFM-%A1F)GH_~?Uv)g|0d;*VH=E+MrjUaj`D$O$1E5Z+jCt10JhDRZ}zcmv{dT0g-poNq|nxd@h3 zmoF0%rD-$_;&gc=lFo)2knWGE z={}Y@4Kc=#STSA+F!wQ9UIF@HC{)T*W2*=VNC-q|(WDB<+`x}NIr(h(l3TF*0R5lsDMPz?1&diyIK@EC*j6Jci2f=FM1<6?KCK#wRZP?UhdaO zm@QF~!J5Br)cr48YA`bTV%cJXO@i3W{P|x43#RJT4=KKlm&gWBR%amlFitV{Guyv( z@^4YS+!%I%(K`}c2Lem6!fqvfB})d8=>IBkBwZxlT$L&ugv{U*h)ynSFy?~1^%_bK zGf!|2rYcCrU9@iG-tTZzjc1v|PW=RR3vL+$A=S&y2GO+wsOibwGC%Jd2SJLaQpECW z#Wq*cIoyEVre#M5ZTh4Dn&rZ)Za$4s$ z`d-S&$=1<`UE#H(q1Rn~9`nk_dtl`m$5QKK$kXJ1l(3Oz)p)d&V*At)$y@F3bVkHo zo?~7}U#GxFLbIjiq?1#Y@>!KL8)m56&h<9Onx_j5Wt&1_(YvqFbJ4SmOGLS{>-0P~ z6<0L)EEaFMu()-kTa})@vP~1~4JaNS6oJm!nfZX#(f^ygTTS4n{cCs4kBOhx#YQ|@ z+FynWjLF^*ITZFL<8S*JWZ03+i%z>`!>A2C0_+bxYL2>vy`!n1v3rCA4i43oo7hAj zYK99!JwU7kj*o=Gu=1%QSDOR)+9%x$O+|0nAN6#FBF6F~~wffIt|x`tUXo@g2~p1HdHEDSh~2tY4m zyMAa82;bRui`e58TgDX=Hs)-^#=|U+TIN6K@XdC7N<&ak8GbV>7{chOh@*7)`Sp`@ z$S{crGN4FwcO-ABpb|4dw9@Z)_EcTOCJ4iuGKQm+v0-QY{OtuSl|)NnnNaFPHO-!w z)n?LstZ?^(lzMh#>c8A80K!%{Ad)|8BwW>P4jk3Uhf0GOLZ~Mm-~KLk42yPXSzB8g zXm;K?_lbS9wqf_i&*&9frc>F$G^Fo(&sD-NcOaMN&uQ2y&L;E2UxvtxWm%tur_1Ed$JJMl41t1Q#pA z#dKP?*EH9yp|^||%XZB!z>&<*O18AB4?i^ z7igl0P*=CC9|W{CLKk$9aa2D80FQxu!rH4JeE`{I@y+jH5STy6_oJsu-fzOxD56jl zN=n&*!^Q$0Gr0yWR-T^=t}=&xgPK-Y*J)M=u&s)bs2bA32xN|&5UtFJQSF41{P>a< zAs&hm=pr(zsMQ!&IyzIC6=NWk#3b!pf*NGRr!vNXkFPE>e_)O&Js+ zl8pHMR8y%uA1i@Rk)Zonv7j7%khpOwU&3s8@5SrvrZoc)q=dVP@NMP1Z z8yW)@gH3m)b;B*}k?jiSDNTI*u#%0Nur!zmR&`Y?9hOAQE@jM~%9@4vN)j#eDNMA$ z%Tnd6$A-8*RypjHba<83=^CT@dOapXZ>58T>gkQ;`TrbQu6dO^x1zfVq%?C+G|~Mv z&{Vp_-RlWvf&57PZt{2XK5Eh3Yhe{=VaYSPYH`o!l#6oWy=dmXB7}}?+$Oa&JC(2K z><-N!L>R)8dDq_OT8mMhdfw$JHW%Ovza3hI{j#&%?hM7@l-;_&dK#bw!S5N;087QN$a-zun4*&rF{~^b(Y5)KL diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index b6baba4..20b9c46 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,32 +1,31 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616151477988 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 06:57:57 2021 " "Processing started: Fri Mar 19 06:57:57 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1616151478004 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1616151479754 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616151480004 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616151480004 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_0ep " "Found entity 1: UFM_altufm_none_0ep" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616151480020 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616151480020 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1616151480020 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(109) " "Verilog HDL warning at gr8ram.v(109): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616151480270 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(335) " "Verilog HDL warning at gr8ram.v(335): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 335 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616151480270 ""} -{ "Warning" "WSGN_SEARCH_FILE" "gr8ram.v 1 1 " "Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616151480285 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1616151480285 ""} -{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "UFMB gr8ram.v(247) " "Verilog HDL Implicit Net warning at gr8ram.v(247): created implicit net for \"UFMB\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 247 0 0 } } } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1616151480285 ""} -{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "RTPB gr8ram.v(250) " "Verilog HDL Implicit Net warning at gr8ram.v(250): created implicit net for \"RTPB\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 250 0 0 } } } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1616151480285 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1616151480316 ""} -{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "RDout gr8ram.v(110) " "Verilog HDL warning at gr8ram.v(110): object RDout used but never assigned" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 110 0 0 } } } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "UFMBr gr8ram.v(252) " "Verilog HDL or VHDL warning at gr8ram.v(252): object \"UFMBr\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 252 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RTPBr gr8ram.v(254) " "Verilog HDL or VHDL warning at gr8ram.v(254): object \"RTPBr\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 254 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "IS gr8ram.v(354) " "Verilog HDL or VHDL warning at gr8ram.v(354): object \"IS\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 354 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RefReady gr8ram.v(365) " "Verilog HDL or VHDL warning at gr8ram.v(365): object \"RefReady\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 365 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 gr8ram.v(34) " "Verilog HDL assignment warning at gr8ram.v(34): truncated value with size 32 to match size of target (18)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 24 gr8ram.v(124) " "Verilog HDL assignment warning at gr8ram.v(124): truncated value with size 32 to match size of target (24)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 124 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 gr8ram.v(128) " "Verilog HDL assignment warning at gr8ram.v(128): truncated value with size 32 to match size of target (16)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 128 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480316 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 gr8ram.v(133) " "Verilog HDL assignment warning at gr8ram.v(133): truncated value with size 32 to match size of target (8)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 133 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480332 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 gr8ram.v(351) " "Verilog HDL assignment warning at gr8ram.v(351): truncated value with size 32 to match size of target (3)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 351 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616151480348 "|GR8RAM"} -{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "RDout 0 gr8ram.v(110) " "Net \"RDout\" at gr8ram.v(110) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 110 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616151480348 "|GR8RAM"} -{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "WRD\[5..0\] 0 gr8ram.v(336) " "Net \"WRD\[5..0\]\" at gr8ram.v(336) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 336 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616151480348 "|GR8RAM"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "gr8ram.v" "UFM_inst" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 250 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1616151480457 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_0ep UFM:UFM_inst\|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component " "Elaborating entity \"UFM_altufm_none_0ep\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component\"" { } { { "UFM.v" "UFM_altufm_none_0ep_component" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1616151480488 ""} -{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR" "MOSI WRD\[6\] " "Converted the fan-out from the tri-state buffer \"MOSI\" to the node \"WRD\[6\]\" into an OR gate" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 156 -1 0 } } } 0 13047 "Converted the fan-out from the tri-state buffer \"%1!s!\" to the node \"%2!s!\" into an OR gate" 0 0 "Quartus II" 0 -1 1616151482051 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1616151482051 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 77 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1616151482410 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SBA\[0\] GND " "Pin \"SBA\[0\]\" is stuck at GND" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 372 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1616151482410 "|GR8RAM|SBA[0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1616151482410 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "351 " "Implemented 351 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_OPINS" "28 " "Implemented 28 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_LCELLS" "281 " "Implemented 281 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1616151482957 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1616151482957 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1616151482957 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1616151483223 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 20 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616151483426 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 06:58:03 2021 " "Processing ended: Fri Mar 19 06:58:03 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1616151483426 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1616153574982 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1616153574998 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Mar 19 07:32:54 2021 " "Processing started: Fri Mar 19 07:32:54 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1616153574998 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1616153574998 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1616153574998 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1616153576561 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(72) " "Verilog HDL Declaration warning at UFM.v(72): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 72 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616153576764 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(188) " "Verilog HDL Declaration warning at UFM.v(188): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 188 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Quartus II" 0 -1 1616153576764 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_0ep " "Found entity 1: UFM_altufm_none_0ep" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616153576780 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 165 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616153576780 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1616153576780 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(85) " "Verilog HDL warning at gr8ram.v(85): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 85 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616153577046 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "gr8ram.v(311) " "Verilog HDL warning at gr8ram.v(311): extended using \"x\" or \"z\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 311 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1616153577046 ""} +{ "Warning" "WSGN_SEARCH_FILE" "gr8ram.v 1 1 " "Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1616153577061 ""} } { } 0 12125 "Using design file %1!s!, which is not specified as a design file for the current project, but contains definitions for %2!llu! design units and %3!llu! entities in project" 0 0 "Quartus II" 0 -1 1616153577061 ""} +{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "UFMB gr8ram.v(223) " "Verilog HDL Implicit Net warning at gr8ram.v(223): created implicit net for \"UFMB\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 223 0 0 } } } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1616153577061 ""} +{ "Warning" "WVRFX_L2_VERI_CREATED_IMPLICIT_NET" "RTPB gr8ram.v(226) " "Verilog HDL Implicit Net warning at gr8ram.v(226): created implicit net for \"RTPB\"" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 226 0 0 } } } 0 10236 "Verilog HDL Implicit Net warning at %2!s!: created implicit net for \"%1!s!\"" 0 0 "Quartus II" 0 -1 1616153577061 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1616153577093 ""} +{ "Warning" "WVRFX_VERI_2106_UNCONVERTED" "RDout gr8ram.v(86) " "Verilog HDL warning at gr8ram.v(86): object RDout used but never assigned" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 86 0 0 } } } 0 10858 "Verilog HDL warning at %2!s!: object %1!s! used but never assigned" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "UFMBr gr8ram.v(228) " "Verilog HDL or VHDL warning at gr8ram.v(228): object \"UFMBr\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 228 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RTPBr gr8ram.v(230) " "Verilog HDL or VHDL warning at gr8ram.v(230): object \"RTPBr\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 230 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "RefReady gr8ram.v(332) " "Verilog HDL or VHDL warning at gr8ram.v(332): object \"RefReady\" assigned a value but never read" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 332 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 gr8ram.v(24) " "Verilog HDL assignment warning at gr8ram.v(24): truncated value with size 32 to match size of target (18)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 24 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 24 gr8ram.v(100) " "Verilog HDL assignment warning at gr8ram.v(100): truncated value with size 32 to match size of target (24)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 100 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 16 gr8ram.v(104) " "Verilog HDL assignment warning at gr8ram.v(104): truncated value with size 32 to match size of target (16)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 104 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 gr8ram.v(109) " "Verilog HDL assignment warning at gr8ram.v(109): truncated value with size 32 to match size of target (8)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 109 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616153577093 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 gr8ram.v(327) " "Verilog HDL assignment warning at gr8ram.v(327): truncated value with size 32 to match size of target (3)" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 327 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1616153577108 "|GR8RAM"} +{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "RDout 0 gr8ram.v(86) " "Net \"RDout\" at gr8ram.v(86) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 86 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616153577124 "|GR8RAM"} +{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "WRD\[5..0\] 0 gr8ram.v(312) " "Net \"WRD\[5..0\]\" at gr8ram.v(312) has no driver or initial value, using a default initial value '0'" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 312 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Quartus II" 0 -1 1616153577124 "|GR8RAM"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "gr8ram.v" "UFM_inst" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 226 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1616153577249 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_0ep UFM:UFM_inst\|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component " "Elaborating entity \"UFM_altufm_none_0ep\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component\"" { } { { "UFM.v" "UFM_altufm_none_0ep_component" { Text "Z:/Repos/GR8RAM/cpld/UFM.v" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1616153577280 ""} +{ "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR_HDR" "" "Tri-state node(s) do not directly drive top-level pin(s)" { { "Warning" "WMLS_MLS_CONVERT_TRI_TO_OR" "MOSI WRD\[6\] " "Converted the fan-out from the tri-state buffer \"MOSI\" to the node \"WRD\[6\]\" into an OR gate" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 132 -1 0 } } } 0 13047 "Converted the fan-out from the tri-state buffer \"%1!s!\" to the node \"%2!s!\" into an OR gate" 0 0 "Quartus II" 0 -1 1616153578484 ""} } { } 0 13046 "Tri-state node(s) do not directly drive top-level pin(s)" 0 0 "Quartus II" 0 -1 1616153578484 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 53 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1616153578734 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "SBA\[0\] GND " "Pin \"SBA\[0\]\" is stuck at GND" { } { { "gr8ram.v" "" { Text "Z:/Repos/GR8RAM/cpld/gr8ram.v" 339 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1616153578734 "|GR8RAM|SBA[0]"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1616153578734 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "329 " "Implemented 329 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "24 " "Implemented 24 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1616153579297 ""} { "Info" "ICUT_CUT_TM_OPINS" "28 " "Implemented 28 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1616153579297 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1616153579297 ""} { "Info" "ICUT_CUT_TM_LCELLS" "260 " "Implemented 260 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1616153579297 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Quartus II" 0 -1 1616153579297 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1616153579297 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1616153579562 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 19 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1616153579797 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Mar 19 07:32:59 2021 " "Processing ended: Fri Mar 19 07:32:59 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1616153579797 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1616153579797 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1616153579797 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1616153579797 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 699ff4091be455ca756228cf33adfc90e4c894da..b9c92fa86b99c3041312a509947be428d670771e 100755 GIT binary patch delta 907 zcmV;619be@3EByeTYt<000000006K700000007zq00000004La>{d&U95oQ0ArUA| zgb;)rq8#c%*p+5?r+dUEn^PvMY!sAQf@LC(UW`FeO;d@xUhSsa7{WG*~ zVr#0HY^<3k1w?D>CG3RpZ!K`_`>hjj{38%2+&ZC3ddPgq z?b^NmJp2W#-{E3^^acK>{}Tw@L&aQ0q>p*YBt6ki-opOE(hAK~Dsoy&J0ht|kyGJS9<(hb zOsz4d@SNse}P;GQ+SXG5B4PQj%Wq%uBG`77tBVpF+TrkI1w9>h+ zxN=bvdP3opDlS7P{6%fJwXz}Wmrr@_C~>;=20&J}bv)NI z3%|6v5p@uzX=ykQhmY2{&yZTAhSO08??$sH3@;^1&Vn@s=JvqDi*16pp^-#-fs<$QV;P*&Xkubl(erpG`6v@9(AAUfcSMIiH7bT@=on2DOwkiob+^g~*nW6?=Xg4cV%IU9=z2%;GcM_Ya>6zLARg3@SS4il z{)Y8z`aQgl=00o9y(?Rn!OiwVNccX)cr#^}u(y9Fa0i1=F(Uu(X$$`gJu`1)ZtyYK hDeVE7ElS5@`kc1^1ONd4|9Ao7W?*FCV6)N#1_65B!G!<- delta 907 zcmV;619be_3D^mcTYt&}00000006K700000007to00000004La>{eTk6E_r20JZ8A zp{leD=F+1{RzGx5y2c$CC@! zM_$TEj*sng{mwadPESuy-(YmwuiNb;AWK#~GQ85pEe_V_?tdAqUPJ0tl>P@&KVfU6 zn5?a^bd9F9a>qQ-Q(u{pEwaQp&@BW|5g1>I%7;CA8O z`rQ2&s~2!_eXKKlq5l^M+(yNmN2K?8!6ZG_~*8}gQu&OdN`-O?P*R48&YQpRNng+Hwfw^r6<{p>HEIZB*vyaAAuC$~B>F`6;h+M-$<)tm zW<(X3X;c`_gYn)1_c2n7)Nndz;ay3tF~iG)ZsGB%T(FgF(1O6L-x9wkqNI|j61 zD@Ba8hkqEDqk55iT$c#E3CwCJtUM|t7DciSZA@Ln+)~Mu&spZY0hY6R5l2Wmv@}~u zi$%Z|b-+A4G2-uly|B)RnRnXQZK8?f3BZ`Z-BPSg6+38ggsg?{HVx)bX) z3V()Y=nzd5RM7)gwztaH*uHzXdpH_Lwo90fbhRb<372$7IpOM0um|x2Rtnj^zhONd z{Q>Wzx*r>LAIsJ`oDO!|;J;n6uF`Q9dpGy+gYP0nOzHb+3;!1aGWdd;cQV)c4tpu> h0GSO+`+d4foBsg-0RR7Z0pez0WZ+<6V6)Z(1OX%_y1f7Z diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 75c2a80ad4a3a38971f31af6e0d43dba29dab5eb..a45efbb08c054fa7ba52b7bd827d2d0bec6c0971 100755 GIT binary patch delta 13085 zcmY*gWl)_ln=Mk@p}1Y#-QA%$6nA$oZf|jSFBEr*yW7Rxi@UoQ-+sG4b~lqVC&@%6 z^E{JDPLdw0Xv_F-0BjP(r~iZxhX1BdnW+E6(d_@jo)Bk#h*?CE8IIJsfpCH0H)uS; zVKp-8mc&(2er(?p3E7z^Grmg9JF~I(q?;wmvtfw9Mb#mWK8vhvI=WqMw7;zSTwaz` zR2gV4!Qy}UGSgnMO8W#XW(Sxc(HWZ;^-Yy(pHfTkc)?dd3B}&VxWm6-Tv!YUL zlY+xsX?pBn-+H72I^L*QSbjm!A*^)1$(Pd9^{IjeLeT{HhyYSNCxo7;ed_{3nnkNZ zbgMJ_t>$!_`$O1<1E|h2G%}K#f}*X^^qau@^LT$VqxGJxP>3IK@Uh|&+s+uB%YTa` zv{tydx~yIDIk?!_-6x(hONyxhxnJk!I6o>YTfP_7w^YbsbyH+|rBO5`R~=zD)ZC2k zrF4s~`YQ-eL&TaF5(XQpY?!)|X2_nLgd0Jk-QZ9r*xt+M8Tb_!o4$j9-vYXbw z8Wq={Ro;?TE8;jeF`N}#UA_(?T4 zO!FG^;w`tI)Qyw+SE+C}BbBXkr$zDt#Gpc85RkF(X*mA7KnH3)stxC}v``KL6_n;2&1Wq{ijnf1a>P)e*4u9jjL13Uy(LcEZ+au^8a@A3E4OC{j;H;@9XvR!ZZTLe*_WBQ7jLyc*<9WsjFalOtfG z^;bYj2v_*gBLWFVAVF~2ogzyX5;21;%8eoIm9zVh7$Nd`yd1<9#thM5M({6wDkYOC zZbJKMBpY@@*bX`ID*)}2eGdS`GosXt4_%w;yoe^2<)}j#+??b)avuGY9xajnSn3Q> zBG$ZwA`)LL{JRPEd-5<50htPkwnA^CMl_!|>9|p9=XvlzE{Z>fHG2Sxiu)V$W8$j} z<=DJ7&F!3dloI^IpV4r<&(np+4oHyCIr>u2SdnaHu-62G_;#|)+od@V+Ro{cIIMOi29l0lSZ3D3tglw${M+V zxD0x;xIoCXd3e9QZ4j{Kno=(TewNP)zxJEL$rFygylI3;h!+m*md`KtG$6e#WFBr{ z(0t;PojHa4g0r6>hJyN*!A@hNW4sAvWLW>f?Kxwd_u*-`H&i0C&gQJuV8~U}TPOLF z8_+-5bwkGxbGj;2|3N~O5DA8uL0uA7cyg+?3x;Ac6lX^0X93{KWpi&OeouknQ%08w zP1Z8Omc#I1zpyM}PQ51y=M~{=x*`UQZo3x{$s#|R+1s5Y(%PhA{y99; zu1&nocEE%y0gK_HQr;qbz`yyS-|n}6u=;c%>9FQdl}6t$Z+}HRKljcy?P9|EwOBih zO~;#S&doJwDT3 z<*`|uwFoBNYXGD*AH%W2xWlqb%!O^+CnIvkL||yP`cs?(L1sqQ#MTS|JDdc1K3pr1y12OhC)B4v7*l5-JBuN8O*1Y75oC_kI?x4GZLd;H71Oc}r(dPWcH)JL+O{EF>ST2mXXI-eI2|MDh z*&LhwEo+23nJ75TIz9>=^qPeV6=d#tP2e6S7O7pWtTYI*ZWvZ`fxhv6U)?Xo#nG`+ zwR(xp7T3RxfEor)KO$1xFB|&s$nG5q?CasZ89=AWoxRkRVL6;Eo^sifYI@h}xr0k8 zJ1X7~Byw;A1|z|Ed0F!@Yf*4>GnM@Qek?MxMshbYxenF}Q>MlUw9i-%`Dw0&1u!IP zZs{KDAxL!R(Me(zDNt1m7IRakGhk!!AEoi&OK2l08ygCWzLSY=NOspCME(@^EhmxH zU{)7N+y69&$tvk|g8o;BcP4O`mLATbpulS+!XA!^E|@QmGtNMpK5hzOC^4ka-ZxRN zO6u>@SwVr0V}8I+gu=9fddkso6%c7kk3TJkiJHXj{GFR%rHZ;SCc_f#^$XE*;GY{_ zh;6@n^^vwh+mE8sD50fEJ}E&t$9m-aKx+m*bpq8;lsrYNe(~SP$Pe)*>mrnWKIHhL zaDaRPN{g-cQD?Qk*D68a(bzyTxp+7nc?rs_Od2yaizkIx=@f@0ZvLX&7C=B{Wx?+7 zOJ%2h{wGX!@V3fulK$snEqs(^nZNGsBDkgmGh@GZEc4pG=e4`!@qf?bcgb7*o@e}F z=aM2QFlLJb@^>?Y+Bo-~I7R&$Y^PM(UGFQo zc7K|vB~9#J@3xJ4XnOImk9fRX!MJ=FRP$L~N68p$_hXBhvfg~idI0VT+f99*mbrf_ zKV@(SzG(jg-ajmJ1-@kbgZ4k*{lhY6;A%VLAi=rCkKMs17yh-gM)b7{=$N?&K8u|y zUShCl&%lo!2TXV~BzJ;R2s>`n#e+sE(!ux+=8^2QNL_*fosqL9vmjgtey$(u7S^BI zWAX{$pk{!W%znCqn1r?rn_Y+ETzdVWeCtE28mc1e1~vCvOWcu4*$Ex(`KnQ*WqzJz zb}PQj++&--;&%9-=7ce2`mCYTiEI1*&oNu>$lS3YbEoF^iU(V8jX~wvr_4^Zfb&4J zALlXSpH_XmVg3kh{mY1J!~EHhW9xa?=7zHCeg`amoG-a!Mpr3d+cmH9Bc5A|PpnRb zeJ`-CQ*h2F3X2(iEUlO9t4&|?tKOR6^TW3$$d_ZC zfH$?u9(M)H;j>NC6Z4@W)}VSI4m9~L7}~?@jWq4gh1Dft)sB8Gy?ED%d6d_<(3HWo ziUpt;q=|X_%`Z95C?#>c|1>6t!V|uAMlWUla`wl9d9meBK;xm}XZaAUSD5D)Hv{!8 zjS4<3lB&v^IV3v@{H=R139|B<{t74=PMQDQcKN8!<~HiSv-kbEY2;d`8da2{wYfXo z-TnDWC=|LxI2O_PBt?h>p;aJ5+&9Qbd;(ApQZq*rc{I(eCT_PFlEu+GWmiQN4Ca6( z?q!;n|7PV)JXlafFc0%We|Jdk#p8`-uYUz4esPTa#hd1+pU-B$PS48QW+IB{+F)DE zRv~})>urONn;IvDmm7b`y^HHV+?IwY#*JF#rOkT|H@Ahk58af9ijSxa%XzS_0dn!U z7e%799)dY*2G3H`q%nWpg0*ZcQ73C+(->HNE}57a_ZH?%E)4V;L-}8R5?7kE%sGF% ziuK)CNSJEp>*%0Q>?F_0;L9?Icd!wvaNn!9k^WygsJF5Fm;3+Zt^Gw4f>q&B6{+ew z(3x`2!FZEt)VFCpnhsm_=LM-JUf<Yq2O3CJ6rUY?H!yZSk6t=>U#id)ps7nv({`J1pLF;Tw^Oc9Y#Q&2U0)5USy zuDmxTja@dlTTO?q)J^9M3ZlMlEyTz}0@dg+(9?&DwGkumIb1^sZn0fp4d_yt3ByjQ zm~_9cnYJ;xocLl77vEEG;U*3FdROzweJqs3CJQGk@6iF{yqIvPMTZ{ytbAG;^(P^< z>Py1R`vRLzIqH>!M>=9ri}!S)j#fZ+M) z5__9VTU32cv4y9;fp-|Wi~7 zK5{~kaFEE0DcCT}YW~jW>l`y#^h0VA%`eS4Sj6s_4mf*TySd7Fss`~8Cw@wl)M&|0 zMAJRmvl^DOAMdiJrx$}lKot6i#JZ`qgq;OAr!g89knOl`YeE1Gwn+gsBljrzf(G9b z_0j>ZSuP*{M#+uLGQ|eNkgJentEO0uV>|EG5HqluVhEaMmBy(}VfSEuPP5ATbOb`{ z*%P&dPgXI7k4faRmgC0lDTB*9>q5u`7G1FCt}yeZI8k?|Yfv{Jw(w_jQ?V_vXW7Y#QFW z)-^0lep0<_-O+lg!#an=^s6msogW9U0;H$-4+*sX zF%v=MDL|8=m8HnG5ANo|qj=?Gm6LO|T$goHz^9)fIIofTnxBJTVHYr+85-(&5I{;d zu$xf|9Y_|A(IHQmVk9qtM#+ewi+oa}LR&wLC> zNyd6(6r?|ixp_8?kg}FaWy9?n@YV+k6}H|n){y!|x*hA%pcu;Ncx7QIPg;JZZjrDu zPoeeW+ZUAtWMEynNVavsu4`K#!biTp_&zuWJZ5;$))bC6RbR>ZNHNdgDzm;0<*48@ z6|4hN9_oIvTp%TW)X8n8=V|-wF@jA_vN4I*1HB^m2$2~x9<(r0eEyhiNnKbXXc`*0^G+s z9MxCm^0N;WBzl{d6k%z*}LvcGTx@lSYs4~Y;CCAhaU$XLvIo>vZ^A0s(?^(2H`MSORZ7L6Pm`?;&YDkyHKn&Ki*Z;~5Y{mWx4?FnIU-~K=31SAG z(VUc2i0$s+28LmU!JbS$^$D5aPqJTK4z`25LFZ z-ZJj(_GBU7G8C$WhWHl(td_l5(AH}N%5bdJu*6doRavKJdi&acqJ>upal! z?F9)&Xd;Lx;uHRwBL$SpL}Qifaety=ok&(dDgvXXYx2+L^{+5AA$ucwexa)0QA%#j z$J4}^okd<`R|f~V6%>;PEi4ABc!AsbJF~L-PQh7NEh#1Qs|-{iFPR~KG6kMYOLu;c z;^agYji|sJQ-XN}yP94by|{|3#Y)4K`XquF6CU3wm)V|%>juV+Y09l)>$gh*ORHJ< z+bRoe@!22Z`y5w)(%90BwZLR*%zqVY-nO0w9#($tNdGrjm z?&-ECFnhEQJtboRW1cRSK5QgGLC<0cYjbcQwcp$=1W#ajwBw00JW20e?>abB=}Nl; zQEBD0KW)S~J^3}oe~LF~QnqHJ42gyp+dmT$EGY044J6Hh4_75~N-72J4AQPuJXrbY zDDQCrc#(_fP}LIKeF-7+uL7MFCK~wrbGB64Po62miQQZPJxMhj=K@I6{ASOhn+7hQ5heBt2>>Y_pO*KQ&Ka zp-`r*vsno2ChsfNUV%aSx8L;H;%Rl{;1u|ca3^MUwR}(Ce81(i2d4*WKS;(IEMPLs3mfTy(2Qe+jqhJ&5$Dek3>VXKL`rq9r5 zMAZXV2Qfvz9P)N>(#&RcK9z92CIg*yGNXU|Pjh-;?r94lBL&ZuYXF4xMiLmp@-XT4`qxoV@%~chP zB>G|?2>NTrzf^Myn1Ax`bOn!0Vh*yCSwxUP*P!G zmGWkHg!A1`wN000lykcU=}ddMdRL}y$5g;lH0=UlA~|>ti%O+WdXPl^O7d7Xw@*;T zW(}+X?I-eM!A&iHM{6CeBbkrvcF8mse^y7n>42bBds_@eCq^E~r5D5QgIzQ1UqAn< z7+-)%6Vi8WV$(u4pGYlWWXdq(cSg-xjQf3CJI?AQbauI8Z7aMI=EtD@-KpxFDI2I_ z`%i;^qlHO7*cW;58zFs(eqr+z>tfS3^yjk3IVVtEv&I8%T+;ts$@9fLm6dB%2b zDNldlV_(A7JhwfAt<8yOrV}dym?apWGHibf@t7NavA40yN#0j4dx!5NB;>7sITuTm zjFo%Zcb%D#&XBX5SUlX(PG7{WgaOd~On#ronG##&E0vZu#`AZ!@QM z-Oz9dc+T8|_OKtKk!*gn(6jgW+w*mHhof!f} zlio!Bewjf`t5bUJJZhsr;oGA5iR8(1eTH{}t0vD;onLmd;ev|cGcN`MxtGbV)=HY5 zd%V4j;Vvn!r)(E@$x67(wCr<(Bi4T9DXe=^(@R>ie%@w_fbIwG*uLj`omciwFjrFDiCP(r-_wDnw?iPaOZ`3TRnp7$lswB1<^JaB0aa1>Rv)N%MQwW3`&YZUoFrB#Rp~;z zf3rVVN$zZLzy{NwW^4Ofcrb3@t@%-N=D7ga`}YV(3aE-fF<9%86P@;J^EX;C3fL}! z05tPLnY%DSGKq4=4kKEytyyKA4f(HBs1V~Mxa%&cBcO5rYUlthAF0wTfi`kpDR}zX z>T_P^CIadU_qf|Gcw8o`+5}zFex@>p&2={)sn#|Ms7b=*_iZXv zk8++Q*7bBE88?>X$ss97b>RjNjYmy2ibnFEppR9SgO#5WJrE;*eGU;!D9@aRl{hX_ z;+4zd3XuJ)N^u({gWUVf&qDjP0Lgg(RF;o)UgA5b*AP-oDK;K*|3-kL-aajJrn6*- z&>ZD26izDvcikaz@bv6`5*b}xO(r4lR*jXBFY_i5o~+ot8ZLv!FPZ3s!}Ci zxv>*We*O||O((>s(RbX)EQ z{AObQPIr0$TF zm=H0QV!x%Muxx~?dhd~_ZIT&ZS~db0jQf@xnvY~)nhjT-P(w9h9hKJ+$~*$S++fkhtAs;@! zE5-h~%TdF=Q({9+6fR2s^)BF4XFro#X#aF-KSPj+@8j+@?VNvoL(MUJ{kl6nGbDDs z*V@9reaR3VVd})fShB>lC9v!=KJW|sGNePu^XroiN_5<@IZnHx*H z!-BsXJ+{%0Vg|E~Ea3?Mjuw-wY2HbqIQYi`0w~e@2YpQfagd-a(l7v)ND=>(+gqf* zGhk`R+>X&Z3HiswXm6bAD;**8P>xxXs0K z^*VJdIS0q!1NUDii+QCW!xjw#e z0c0@HALC?Q;&6A=ZzrV`R12FGOHuGnWcw&ci_c?zMcKXYc{YY#EjA=!#N-Hr&Hnx3 z*`(pR6G|A6`MP0_$!viPJD?JJnawSjvaGvHJm~GvzwGcq71i^mKf}gpuWz!7NYCXe zab_t6_UrWKCdLRv_9`iA*>yZ0DjpTwhHah$9}k-V z2bQn#NJV($apj#h0!m#3sl(&? zI9b!xf_%Na`<1-=O}utfb~9RFCYF9Bi{QQvC2KJrbvs_F^7F8Y`EP(h%;15ILFpaB^e_47Me#Ov1+ zy_yu*T7{GUI8XWuTbg|Ahk6qq<~cm&HQX<-hfaKhNPNR1y5`@OV+n~8eGm(Rd$!WG z#z!Q~*!~>6{p(0;V5D)>EerM_B-l7uC_5~xM_h3aRDupou2iXdQL#uwJ~ifPP;wj3 z?m3(xPzV;E+yTjX4le@&dxXR;OsKqZVgDR8Yf7An6z<Kk|K46bB z*g!oJ~q+EKPUr>|{vt3-0;s!1+0n zt>3>dcfW_!EHM7-?B6YHuN`d6*m9IqU0Z>^q`8FPf{!v-N;I92!_v{Sv8Jj041uXp ziE3;TNjQgDpaFnAcp^^6@idCP?;$)4@XCWd@I)Pl55B(6!|~ctyaRXs!Suk`KI$Gz zG7#H$(ru^SwxvZ%O7a?}71&cFcEJ~ALDODpHI(|qG2~w)n1ptSN!D4cPD~SnG#^GM zxUjGw>sV%>zl+Nw*HL3ht9@4P$2+wLNqhqPd zv&n#VIU3R8n=np&IF}fUxAq{L7wXhrC&H`+0QZ6e-HS6(TOE+SbvIA-p3hIuJ0a(gg)3f`dUea%DNr6`*0MoM>bnKHmL3k716(EJHNRZ& z43^NLQ7f*D{1rC0=vI_ftC3LWZhO6{)9Ph3!2ph8;`O=fl7;!;g8QX%ZEt0pa_C^3cw ztC^3$xWN(`#IvBG%~WlSehSwMq?g2*&rY%9ZGnOW%)-O;M#XKQw_SCqqgQuBpCT7; z1lugV3avj&I@PA=j^^k~mgId}@dS0~tfVb5lCOAC zo|;^JS2-S-fdqG(y8&K+qq~As)TVU!Z@F^NIMK2^Qc=fdANa>BFX|JT?o^l;6-m8F zG}TAvXA_y1uZZC3QDH4on6!T$phO?Oi?T%z?QFPq*)(t3@I;IVwwmTmPkSyZHo`QnWB#S$r6VB^3NxY zdDNDwCn1#yr9~zeurf9&Jq86jO}u}eI!Gh7Bc_xO?FeP*CJWYbaCOM^Tq~&Btam%7 zmRM9a55LuGQ*Qk%6p4nE1R{2!MSbEyeS$`P!V+CWe&(3kBk>xx^c+5f3zSAADDUjI zm9E5$oVv}rpnwAodkwF8d}pBQ!*CAei0!)Mahr*{`p7O!&vf&$nX!DBkf0hKlbAS z5IWU#YP$>Eg}2u%{xV}3Mj1%}CpP0)oc(NXKerM1A!|Dray zfMJIfz`mx(zP81_CJ&^I4<|7sm1u$I7Xp2;etej6Z#DtW*erJk>Y7%TE#ZiIhp>w$@7cqwrmS%F;SBGr{_gp zTBCc-i2-D@mr`p^|rjJ+*%jWOk~fY*%x z?^}3L5H}YL5(={BOGPz#e(y@D7BLKwYvwGWOfIW8Wv%lL0d|r*8XxJga?7Y?;+2lpZXUZJ+E zUF#iJogprrsx>LgF<9aHXnyWmp<|8xH@YWydx$8z@}~(8d7N4(gG1luk+cugGD90X z>+7}C?OT`+bubXeM;+pG`dT0%Zs-Q@_IuwxTG(Q~Xv|87{3Nd$(QB#1+HV)N)Y}>( zsWb@2(^-+EGUDcvg2Ast=ExXGNvJ-3CQO-w4e#^8nxc#fMB8-}F{K><4Vn_ok<@Sa zL4FYwt5y1NHJ;~$a4S0LaSLXWji~J(7;CoUzrG*9ZfRZm&^uOpQN$L$IUOEs;hpH? zI4I-&I`HP2{P><1jYESzW{D>{}*G_yRK%vBtMY(6w5Ryg=a z90|Vvm3WY2M1-(>!qL;@_^>0#hNT<>+~a^4X(_!(wo zGN&53y1eW%<_oSt7JLDR75(=l-+Jg+mAUhozqEp`mcT= zdB<*UM(dc}UY}o29LJ{WzgHE*(vq*j7T+SKGo8njS!BlAAE$1%x1eG>Rkbr(F5E^p zm_I}u20~_YHt&_$l!>^_@j4;BT1a1x6r(orxYSYl5++lApSBJny2B{2 zHhSro;wme1U}I62Q#fU^$|s3Q*!e*Qj&VHvNZM!OQX7N4;tYkUfkQzMkZw+FE5d7o zB@=EOf5~d)37gvH_`xl}SdeK0aR_1ZMa8i7W=NT|2&+7;38Cmmc9g{DiEh-cK5fdP zVN=0PBI+3t;vZ*J(Bk=9qm z(c3hSb*k*Z^8us`B|5FVN|B=4+{yK_Jym5?Eqd*XsH`9X>%HNHWYRPx@v8h*&#&o}CrgDNV8quawRiJyfGNTNyl@Vb@9>oiC5oPbNC8Ab1tElZbj z^-=i#2JbCLuiIqn4iRe<>TvRW><$@Q0zseImNC7~G`)@V_A=Zf?qrMVHHg6xi6C|_ z+(}}bh)TCQtG6y4>xS)tn-)JCRVfXTYQ1GX9BM2}g-Pnvreu0{=qSGezbnW5osG;H zzzegk(UQH5uytd!lG^9U)!y6hIHqL@4E|+D<^4C>q(9Ek^@eah8C!)2n>TrIPQQU* zkeq$UWy`N~eI=NZR#i_9*CXP9(gbVblDK0rfU?XYk2bZ=dmx6H#pk#c5{X;cY0DDn za3m(q!#6aDdiqDU_0nVr+4tipNV|qofb4nAa!PZGbidGnMQQ_tuC@<{@xoO{7*Akl z%1frkDyJ*-)?UI#aH=6i1+&dA)1fFl8k;PL+C8jy)F$cmW4FXp36>9Q75VUxfG7fk zmtcVg6ba|%HufwkB1i5j3X3Ow&;IF(Jzh!6=e{46)Q2{v4-*&q;PLj$!FA#zkXggQ z2BWGvN`<%Rk5#6vSDs2UH4dez{o)t&EUV>hoe~LoEJQ7pPa}g!9?$C{*1*OtMMR#w zH}qHv$4V}hJ3UsK?_i{Ld?at~9vz^Dk<{=V{=^tPXA~DoXqFge{S#Tw9qtvjb3#6a z-DobH%0T$0qPkly#+ZbYTAEEFP~9FmXw&58`&oa-8{eLIsMd9S1qShOs^s8=fR^0m zFN-rEq|1B%CjCj4H~&;p?>MhVqN<&9C#*Fd7K$l0UN%v4^5PjWL?SoWJ>Q#^9F5v( z2aCw>g0IVL{T$0sNHXvuXqZUEa*_JcCasq2oQMuTSc`JtxhYw&_6zPY09+hqSGDO- zX%!!isltEf(9kppge{`;<&KIZZ$UyQurm1OZ->K#H5*obT0Y?$2Nmkak?iBzfAP5@ zo)sg#aV~UFgmR*oe{RJeQ`bzh-%B<$jzpu*m|P~vy2qi)rl>zLTs?@@htAgKI;F67 zt%PL;l36aL9)e)!S!=%r_%^$3|E)y#=gBFu7SdY+3PyPex5nNFHiz>>#agQyatnD; zhEIM(ReC`2tl+7Gl9mRkFh+Og>#vSD9oIu0GsK3PJv{x!mecRW;RrjOR*PL^!LfF0 zRE-?`Db+`3C=;X-o|PHk_6MPLo#>nSTP7ve)=!3i@3(Bu(tho0*jUnFmz*uWEqsGu zq$&An|5R=)*@{xP-cHhXSIGYuG{-HxcF((?wa4sN_u>R=4MUJ&z;|_@;xFQ%mU6jo wY#4HopW4xwHR0{NQQ;iZlgeaO6i7dko+`YYFJqA#-8ULR_9*h7ySux)bI{=K?r?eT{qodX^=|EN z|6Q{mcDj0|dZu?G%(~5ER6w!GkP!d5>KOl5AkxtOPlY)CPu(C-y%FPyCEaahf!%O* zcy-HoULA&l)%;~RB69i@I?}jP6dQb$zlrc9QfmaH2&5?y$B+~I{su3DA5eGq9R9{V z{Nq7iMDLASiX5T*76X%s_`9ix0S*O@dG@9A7*u(FysCSrzL63uam`p_r>gG#q5q+_ zu)Z)x1n_#iB)5i~?W?Y^E4Td>tSEdWJfFBcyya|7ZQuz}-$d3WxJ?9fG}($G=Dq5U zT?`HQ>tIiMx%Q4Q_yT>s_+`QJpR`%^2J}FRxz{>Nc#-%(=*XGpgKmS7A@JbzRt$X0 z1d5b7V!>zUE9-ToMm64HyG2Uc?jE)9s?-|rd)QtzW zH2v9dygi_+%z?=px)ZHs3X>x2-^Sm&&TYhl*ILhZsE0myHowHRISzB_W5{2 zsYQP$SYneC;)LZ5$;H*ks1t9MxhHAW@Urph74~^&(8r}E4tG`LWvD*7lHIB9%{!pj%T}K8o9R?N4i#p;6~NCunqG zvT^?QaJcT)uxuwTN^u--Gkr;#7}=R&x<+US>c0%43mlC$>$BR;eo3K;62_$Q(`Ao| z+bHP<&hCLdU-})v9#uE9lcmiZBIuzPhUu9qBfltEUYtXX?ElBeh6MzGdP2R5QRrbn zb#Z)!Ne%pYLq$`S>pV>pZu<)AzrXa{pJpI#QR*DkdA1gK`*^*EA1N^C#&A8fr1!AJ zX|05W9fSLQ#RPbyP`(?G?1;kJzG)6F@(C3xvDRbfyOo$pEIYmh1fyNH2w{m=B*6+U zdTmq5;0U^!`zxdFcmrh20SZ!(#n7an)bK}(3h5ZF6i-Il-FNyV_${B|ByYH%PTwiE zkyeX?5jBn6+yBacz62!y5rk^lp_RFZuNtto!OokCL10g@VHR}ZDG4B@S{%<7ZS1(k zMHBH!thKq)!&^95P0S)8s&DYa$F#V1=7NWO$8GY1D4D}q7JdsVfnBW~n?MD5wysRO zYrkR761Q?Rr(FCbLs6}U?}@8P%8x1dHMOQ!yk9V7pJ_lFkY43&=tG=Y&-=IbK=?-z zbNa^!t#ianz%iauXV=umSW8p#`7*U~#&%;B&ml0wI4eufh1sY&ncB0ifmWHpSr?Tj zKs4C!&3`jR8UC3o|7!`!G3p@ z#+Fxdzua{mi}FYrt6AP7qk3b-uTHQ@_a6`PkR`5jqc4#uyJon;OF>Sc*K1-)=)4vA zC-)4SeAw8H(=PEb7LV9!#z-KxbBsm=l010r(W$-CM2|jay5_sf&Paw}ZJfz_E%p z?MgVP{ET)UJ3%keDQ~l?*i{>99sF7Aa;SBoX{rwrW?8dtz$YNKIh$Q}Z*{4j%Nd|5 z8fyxZgOw0&=XMJ^TOFq}YpYqUL{;D&;`Ug$I6HB9AwKb%eE$V9daA+aeciln3%8Yi z>iLbkFfVZmJPg~hrd=MuZaW*s=ch&hiUs!IB%BB|V3nTiPF*gI{A_7qF>I^m)QvE* zT^iI8ZWCbp$-=F&=b?BO0(82=uhG?LYuT?1Kz-A7Y$Oc-Pyb<2iOGuI*3beQZvv{{ zoTAcf_-9fYCO{@r)55siw|s}$PS+^OINWf!er9|R2!r7gw38y78KY(^` zQdjAcT0f|v2=+WK9`j9LPC?BArg@^hv(Z?^4t1276*g7oHzXX-qFT>D9tVuw-3PvK zXES|s5pB*H840AKhz!zxw^?z@3fM2!k7qcAIusczO(3Ho7mo-66w$o$u;|_@D8ChK{G?p0>}`@;+Kc(3@)cKJIKGdGXb1|{>n%pO04h8e zq|QQ%-r)%4B4eovs)I(`4GF}^gUKbF>)=oUw&-pZ_B!!n^zQtgc6=6|ri0Wnqy%*( z=!t?JM$pTBcQcQAV1>pWB4eFxgcEXe;JAvD3g3hKh}@T=_8Zn|xFcQ{!@%af#ZE7i+B*t3_!= z{^u(xEk~hh+lU}L{(;dx^=I~SB7WT-49)VvL{OPHp}*R9fEw5`C@s|rUUFzir!b-< zAUteX63l58DZkJl+HkySkhf^fX?X(cP|q=aXZeS>NzSV;DS%Lj8TLoxim-bUjJ6v#xp@HxN!U28d>;a?2}v^a5VVRY$4mg@V|&oxH6M_5pwgao^T~%wyFNm`TNjPgm5*P{ z_M0L3z{?-eum>mmu%?$J@4@QHwqep7& z+s(X^1<0}?Mj**WB7d|ia@Nr59@l}t*t_jVPQ~rnJ?RR@Kae>{(#@4)|Mm;{fbbs(-ywSCg^Nz*3{pVWO)^GOxr8o-)oZ9cm3P~ay6q*Fr#onGKEV5mvw*| zR5OFE+|Q>reKv%{x@Kzu*_M%OQW#*rwSO7r{`;%GoQpKB$}jx~^f_l)4xPbS4lTO2 z7xyXgJfpTY`DLiav|6Ei66t%W)PJ1j&nwwSb^)dZVn$l(6XY5LZL?FIh-w!erCoHhpx+Q?uH+Wx{CfL`jAAQ~09VGUk>sX;VG|AngderAMNjqdtv zsac0{GVcpm2ZC^O-n@$4UwD-B-r9?2d~D~<+en`d-0)`P#Eg`u*vo&c*kYlw4B!bK zbMK7t!maY8b%yr%3`X_%G=5ST)dQ5p9X=H_OjRAqsqwA*2!S;Fz<{<7#Q=%cXQ8Pw zK-#!ceAs3JfS4T)q8(P1V?d;#;;|f0ubH~$$m6NDM$e61pq;cSI0{v7?~kbvt+ZEv zlwIc&dm$bm!osQ`=f+g|cEh2&l4P1Fpo{Owsd>B|^hq&#QhR-$7y zxa63@!BuOMW{izO<#Ls`vq5=A|0X=Ajug3?TC4K3#9`>$%V8x7_&S4Rhj{hHf8vWe zS}bjVZ)Rj~=c*M9)jchjj9QEF%w*VhHY=}AUtVb~56{?UD25=*9w>8Nr!P{KbTKrs z`HdO*Z#MWbyTpWpk>?9)yi8C)`b{#YA%8|)j@M z5oZHDckrvSGN9$**S8}JS>@5e^>PAFrOCKl){;h9~DEy`ud61D< z4iCaN8tcWVZp%SSTQeZj^o82!g=eh(#}$B6bI_TOa_t zf-@C7{@6j)U3Z>yB;Yw%nUa2o#1z+UWuFYQXIcO#5<=JczQZtQMU~AzanvEoM_p7{ zTBFhEj{K* z0f(f?u_kaQlj=rfH8K(?Zt@o;vK?q%glQ8UaZaAzDERtzZp7b0ZBU=(VN2!}7Hi}q zPK-WOdIxV+Baha<2aC7#dFp?X1HtO)5GUT1-^Dv_vT3hj?~MR zd%LbdGht-#HwF2IOjHSx$lQMj)T5B~?MiKk&W}zAPR@@Bx&vbimvYIi9;xLoSrVR4 zSSu1caT0xqSa`KEeH}GrNf}?uFvRC8REsjELp}Ow*CKmo{?^Q0%qF|@`e+dNY`P7s zd1SkcI}QrUM{A6SoQ*%)Rgc5-b*E)Pho}sLS}K2#SUa9Uof-Z3zPsI`PjA!qVplud z_Qm_>2lpQ@)|z#|zN}f@7=!Wdh)%i)Nz4AKI{lkA!+nbI#^6|L!D|a~ee~kbzAXL$ z>$9fQ_f-Z*y~xhyAd;dO{dk(O7mcAV;>Iogcq`%y<{+C~a9x5+uQrK)BhRAuYAnbC zM5My!GyJ^OWi+{^ioJ2y#hmE{eeMOH_7AP7rmr8VH?Dpjm>DYtC)|ZCJKm}kUIpBy z=Z4*nPqXCBJI>82DAX}@L-5+XkSm#zP0c>);Px?R?6o_@yuO?>9V3X_PcjXE#uSso z&9q@-k@CNc9n`~%riVqf<1%4a5`JU`nF_iMF-Ye;QA_l?rlDY>AZ}7@DQAb-QQv_M`2@mnZ%0R!dv;x zH|~(?hmXrPlTc(kp#T}fo)OqwUaI2OwA^b4K8n}eL%9Nz{e(-QU760_Gw3e>>151n z+1B33#%T{a;oA~S@sFPx8>A5H?HzwLMP*2#WfZ+}Qb|Yt@xyV5e5iSJf(ap5=VXzV zkZ-Wn9-Sb`vC@aFkS!4|fQ9ld&N+Ix?kC|F*|zUH<>K)k!?&Ewxh_a9e(qA?$25qf z(Zn$&Ad8;z%tn^i zxWs1i$fo>17eZ{bd#6EWb)RcR7CS4mw2dq3x#$CTRa1iT^y5scYGn30M@+Eu%Yswc z6~;0)bB^5={x&wVFi9q&=Zsjp%7c%_Ny)HQnCX6>qh8X zj@w7wuwbya1caTB!`jDJ5MhE);qjE@lSDkeFA8d-GU;))8FWY0iL;wS7Kg{qyNF%- z#v$VUNTkWA(dhKbL9iil7V278-gq4vKYC8*<11{uMRdl}KVRP2bBUCbI*<1O+ts7S zWa=jX;p$LN=(VF4kkCfU^jP@iO!UQLmsHdTVl{(CKQg^1`1G<3RPBwur`-}DmdZ>C z_F5U)K=D`!dG(qT=>9-AxOYWzR5&^Ky|cFgJM8{8f$TVWX}z=Ng?L>>Ui7Xn9tE%9 z|3F3^9d~&{&%Jv_b5sBHG@KRTh2yT7@lJo(IN)q2`UFq&f$d1L?VNj|J*VxLM|v*V z2Mw`_h<>O3<*<$mlsnFPZARlnkuS*2l&qqdY2z?{nSUhTtrPtUx0_#)6LD%!>UiAy zGMwLm=5k9qL~#;oGWp2odtERr7?pmVV#;bqHd+c~N z$&;#6@DZ`AvZDe{nL<=D8$?|-x6>{388Y%Ol>rwN6B=28Xh#=fOa7}sWHb*&K4s=s z8IX^&dHY0u(sD%*bl}TS7kQAuaN`wrAj@!*3MpNIh%vHsWI$*_!o`BJe(E0~1UMM|8zL z=&7JG+OZ`8D2;VjcAC1@YU5GL#>2(szW!&`%(RGpUDpcJGv<3nLCE79u}M5s?!SNU zD9W?paptOuK_TQ65A~L+K_OsD+8Hcm26Q`ByP%+hZ93Yc=HMpHozg=&Z}NwRz=*}e z66=2BVfd3HG(E*jxE_$fhm=idDUJCN$Q+j8mh2HVIX6Wq@khEW5Ehh))PO%BWk?lu zeRvmGj{^zxy_+dRun>?TKgk25A{{T#!!3JE5?v9FM(9%h;rOtAE%Z~4R`?q0Qdt$`i4*pbuAU2zp+o}6DDrNi#{+?h3YHg)zlDh*w z*c}~f&#iB?Ia*C6RuI@B6-h9?D-r+l*%WU0S*YCjs!(iVHFviwlVZemXt_)N5Y~|Cy z9=t0Z*UL@jrj%^rw+&yp0bP$b37qjwtuAnG_;??>$N6e=2*8p@Vi(2S-o{QS0$wdt z(sXrO>n5nwWh4=6**=X6uN56$F;>ZQcTF9eTAu-a%C}Cnr7Zc$@+!S%8Y7`FZ1uKX z!X#pw_rl3aKmkyiO1tYOr|!uPz;EM_7>Rg~9{3s>NAM9p^gRwV!F;nPpzmS1^S5oi zarmGrH&o=kUz*tSU49}Dyf>IQ<~r}x$+}X>bT-?v-Km>N-1_?HG*Ha}(5e5ay{ms8 z6X}oz(5>0B41b+Eu~W}-Pbm%$x8r!I7{2-yr_(E*6z1^>vOo*ueGeQiGjKdPMPlD z)Lcn*P#uag!ECGpQr+2;JKd^}oh^gu>cUA|j%if;pAV1#)Z56nFHcz1MgoUfEz=~& zaJv%PS4-ii3l=9!a+=*CNe#hTLy&pj!f@|u676%W_fyNF6;^SJwG_If63q?a6_=Y_VW;h-SJ}f(<{odQ6%^FRB|G2l{g0`u?&70y!Mu8H zHnF;yYQ>)OYF+@0h7w6bhDIiU{@=IDz+S5cAZTaSud$(wn;LZBkdX*pwLm z)20R>QO765d6;yEhR0pPOFv~U_AEd0@TO+QBCE^$uxZ$JWB4iIUVb(FY^sGnbt)?b zlqM;a)hK&AaE9jcF$MO)>@ePN_Ij89?UjGVY;N^hc>82@`4eyB?^`}_r1Qo`@eGVF zh%g-^Oi(mU?L%^WA9s6Ee{vJIeYIzo_0dy}va|HdWYA1TP}l8MZuMXl7n0=If!aZqPy1m(B9@((S+ISAx%`)onuGtZ-oicn7)PI-M_9^*z zYMcTCFum#YZ^sEfSP(n;9DL!+Y+i-8MeWFuEs>bib2e-nZg`_jp8u0Wx<>}>BMmp-Dat7H@%)g-KgEQx{!W8K0 zW0!@8Ni#458{r8>)=PmLD)>1I>c%$L!(Q=?F~Pj-VcNYSfs33)IzMwV3E*sgIux2N zmD>1EA2XZ(S^WOnBc+K5CWsZJWrB#tNNXj!@b!b}yI7@mi$7Fw%{<*$Y&GXE`71?K z)~v9-3c05<$U;oHst6hiw!HL%p26wRVHf&11||wF*prLC-EZ=A^BC|yzhm<%?1lZA z7C-+k%nwxg2g_2DWL_ZeKvXJ*v zwY2b&T6h=c#e<&W;FM^Dw&L4sKby*I_&cGq^q)n}5^la+Dd}6+5=^nmRZhBk0Y|xn z`Wgf}dcT*G^a?UVc*I-Y@`mxr;rm2}^{9l;SPkK; z3=iJ-6hVb(#`s^uI5%R+9hcD=)8@;1a{V8@bII;vQT^r9`brAPqh(0fvsGH-EU=zV zPfqV^)+u!wgh}+*1t9fvgm~@bk%87{$1saIw7;Px?`IDUk9!qYk5c8CD(47l$$x!v z?|xlNbEJg2nb1?93R65TH z#KJ)727yzAcSN$!f~Oxls2jnbcYju|H% zinHTuHTI?_wd2bpx2g$NgAvf8C4CE&;1T%X2AxdI=BmEDCO!L_MVAFxVQwS{>Cr@vr)p zG0%Iu60Qlf9P+E^H4MX_bT;S4s4u*%)1iI}D9(o2AS`HEcDP$a*ta@#lZm`I*Z=P3ndwX-QGomipQXCp3z>xVaK3ccQ9SrO#ue8* z$O?RFjiegZ;qvBZF$S+Mn23yDV?mHhcWGKAGKM^tK?sip0y`r7k!xr$$a2GZz6 z(*_5F#!c(^p=s2Una??`Ca#AJ`wv4jtr8`>g<{Nc$v6~TysYQH{TORA;jDsy`G9U? zsESWXht`C3m`Wf&7>T(t8HV+3#`a*Hhow2NbrUbLq4_H8%y)gpW*m&NOeuN~wXJ5} zHNGnmJ2GZW)f6K4$Wm(1^kOm$D#5F^jU>g5eqO{N^mk8Zf(qO*T7Ogm7L&*^^R_Zt zbD-^(84qWT#3X&*(A#Ry&}?zcV=*7vYl_LouM37^NC@xJVz2DzM2gP~Ga-0|UAk4c z(DnqDk`jb{3pu0RhnIuTN-pKLS;6OUf6%qNV#yz%wmEMu{IU-hkJ3OD{$yju!zxC@ z(}(-R+)o=tkQDM)W{`b(cE&^e(Zz)nV@^PnBfZ}OF`1O2ldzw@(?=s4l2lNFW4szr zG@(OQ!>9hHyt0ycNrT!o%YLOLNZ7nRDm5sVXrmQP!9nWZL?d!?N`Sda`}*!;?-C+i zPHJ8ig$9_LIfhCp13(Ld**O-4ZY9*`Jl%*}>ac-aKu)!^iRVJC0!^#=vSrQbLl4Gj zFNPvs7ODVvnHmy?zE-ucyVjqFcP22)N?|S?U!PkFrjrsx&>$Jb23g38y1{p`&r4$7 z#@6*b24W(G+!RiP3FKe!XXD9@d@nn2Q08W?Y9e=x5_%-Ou|V+uo(B&fLtNw{$I7`N zw=-opp_MH&-Sjm5=|?g7yBK;BOc39{!gy7p;8aCVZyH5D4vTB;d!Rr8OCY1Zid zN;If#SJEE6ufc}di$(_f%HUNnCz7v1I0Rn+F=|B5P1THRB~5G9gHEwt+!4`drDqyg zO|w^uP4+pA%s_%HUc_;hz>ws2yA1Fw|v!v)`BcC@pA zxO2F1P@kLVu``kAf`<7Z&atl=-+l14zk>#+HVlS%V{D^!D<7X%j$XpO{pg73Uu!|5 zA<8)ozI%b}1_h6!WosgIz>8A_`))$o5@XhW`j3ZaseqzF9+D6<2;Vf0)kMVYOk7@j z@8(Zkgyq-wu@;GmL|h~o##hI@3Xn6swx`GH(DK6k4CBWLNwTDyfx=Zc|0wj0Ioh}p zKTGsocREspB8tEymTM~Y`Bi2!HAL`7rIZK73V!3g)He7h43%OcdC^!5`{$&C*b)O7 z>mC5GAWDq{XER~@{|N1Z>q{vUu44BGSA@KEJ2*8g7QuW}lVJ>}%QXzZIe@OIV=T%O zGu!U7M!ZkimTd$^hg!d^ct2w;8EF!ERy=vuU-GO(a(I(rGYE(1sHsso0JSK9S+tW( zv=bpjdxd#Kt`h};IqvTt$#~>B8#YiC=r8#OOdx5;N}admcB;2Fo4}u=e@zfOa(pdz z7n4Mj%?(~nYdc5WxdJHVt)6PPSy}fnj2T_=64TSg=D?{aUY}VYN=hvz46uHOI%^1AZB>|PK0RV5Cw#y8 zT_tb9D3c|_b0*PcmQxDQS_WwluTGb5?%TpP`JeRd^d;0p1}NJEtt_QLH=rv<`@WID zVtTLQ?EuF&V`y!A^!!@Dh1nG}I4OkID=uPwK)YyHpfC_F~3k zCRq47nmF(eZEE28YMT`)sS}-Cn=<`nDQ<5V&3ohgX>d~A(g^qoxfJdPX< zYP%6Y+SUN$-`TiN58}o_(8>+8sB0#jFvdqW-gZNA~D^PnzJH7 zgAeRmap~GL=1Y^(mTSk6Du#3;ffLJyP-ev2(QgK=~zj zS2BnhrBA{qvTqlD2@u6vw_3bChD#un`+yOW9+CCKXn!UW0wJ{%6s}(rLc)f8MgO&D zy9gy>@zQo0U`b{=XVk?+^wp#Un-Zn3f?fjexg1`E%|>_kS_i`cAKl+GNQ|nFEe->p zjZTzvG-k|13L1T+QQlw;gNw?wUOLDc=fyoUq*+9aUms_86pxL=R-o-gMTk3i)4i|f z3X(rm#R`Rx9F#`eDP;N}g3m@Ss`pKl3UaG}P2n>!LEj$)HM(s#dTbZ+JIrM^m-+3u z@T%>e%INHjSi^DuK(K${+Tk%e7$8ZuA;D`8SK&zRtuNCZKrk9zBc^=5&2o?m-I>*i zIGg~S*lga1gmf4(zZmw@oh+}*iuE@LU83~U9lj@vgC1l=pXIJvlg0H57nfQ9roZ*A zI)ug6XejiwA`n!BZRsTPeK#8@wp}Q;?*)L=~&3}u5HPqO_;EM?mmEU zAYHR-0NolLdZob{(%fPr_bVM*vF)DtUc!Ki8%sFjGuTiZ-ic|B>$fojJohm-R~^+q zAVWB$-q{D674o`H{GRj}qWTmCBgiYjnn5eB5I;9%`Vd{UlJQKR5y}3p2qrDR_6AHa z`_aaL(f8GbiuWWAjIbWuZtvi0s``Y!%Bu!(gV6f?Mj0=qg%ITaH;BXVpJhUm;o#3P zeT&8x7d;#kJsJ}Y-K&k9b`oGjNL5b#H7kb48xx(@UvSUw=`&+xGfbNCFL~kMHIV|S zDFDnAoe+WO>H6{H`hUrrMq@tLN~^}h>JSdAXCvl7N(EdDvyc!0A%sNll;PbyHc*`U zNKDgkOjjcL1!RA1jOlpr#hpmU6!M)9^E`aJ66vkTTL$j2DDYQu>h{GM&CaDKxuFDi=9ktjUcJQKmkz8v%KPBg4ZNGWjdJhcr5*i{5VU@TmkNKh zL4+3-8d6v&75_KG>lmGm+g1LjR+MV4ip!JvX&U3Rn z7gf5xg~9G18P^YXdI4PlP2NrCZP`_|cY;7KQqD>Y$);KA%G6~*hW8EC=dAXLNM~2S z?+0@O*Zd?(J64|iQS@iGt@;;oYOY-Ruqg8=f1DQ3qCj~|Mol^P&5nxDlF?a=t3H>O zx+u@1ACvA`6{dA3Oc~#T?p!L)FZ35WYMWg5O5d^9>94A~+UyomE6*zv@GG)#Wc!n* z;jGe*p&LS77Pg+Jv2pXbTz({j9$}P5C*YwaxS|$SCp4qJ)#K?& zTkEj0R_ADuO26RYPYG^{L52NLvO)a?Z`JwDGRNaIEQg?F?);<_G_jTe%LuZ`Zv`Th z2Q#I0H*r}~Ld)2VWs_k%x)<>I?FHO-hPvr9I1CZ~lgz@}>H4x9_0GAP`UWt7#=oQb zSKNq`#0wzazM*wsgq+(9`z-NBP}uEV{Nv(ja^1*GNpZh0;DCQ10eEd94r>m6!^->} z&vWh~pxES+0uP%As`--+(in6&f+)h#Ej(|1)yNyCRRG^WBQR{Btw>UI!D@eep5x4B z(ix8QIFi3vcoA`bJ&nG=_9K!&%6gSP zF#@9kmM|`yYFw`OK8Pb^BP$s6-T1tEH@k3N(FR{wnwus-8^{D+3*YBk5}SU2vSyNO z`z#`l;q`H^&lTsM_b)R{#~zfr&rb!=%U;svtjSSB4jL5tR?kIG!jXBrO|O>CcRFZwjMCnsR{_%Nc`{Tn6GGE=w`m5^K&c3lksDk#a1~Q626no^1HniiDljjP{?kw!# z^XQTVB0c$kATTiF(fwhJC}{fe^pnYAHc3foLNPf&H0Ys_q=Fo;o564!m{_1>ikX{? z6uwoP9LC>&JFETy<9h^I|N}`~PSihRACf#B#aIlSt#Vl3`H94BbjFuk3O*lhM zslTNUg{x|>a8_uq-n+>Tv^GveE)6QWKoR}I(Ks1-Ae7_g#5U$@i)ut zKt~?U?x#c}n%n_4i|z`c$^<9z>MUcItHS}VeP!EsvxS?8dXxLm1AnN@A6$DSmL)@+VB@ zxulV?+rAY2cXkJFajZ<7_~G!EKZ4+@5m1r%C7b@X7T~wSQwTPUzm&)MGJOTLz78po z6?`pCYD6mV%?uZVo#;aA9M}9_Fl;2S@#R%aug}Np`fB+ugiu)q`sWgAI(qr^kMb}F zj;{{M46e3sV#ILiQqV%*%v~ull|$ISO}fp1(lBg&`eU$ZZYzxF8 zpto1}5eHRx0!NpVNn9{91$+Qa+=u&{b6hnuHmK+}QLWJ$dgR(x~pmGL7qzJ9X z>B64VrkmY||NIc^7rePhavkyA{3~|gG00M|_Z#W2#)6jCXrdE_a~3A@0$ifT7cZ10M1&kluUU*+f=@g# zttXC8Y|FGuxTD~Z;#DT9GfgAmJCyxCJyzDPwY)CeETCpp`^s8+>wkCg2n9+76ownqF;Ls3}eos5PlHeMa^bE!SZa7 z)rh@E#r`5v{@2i3dz54qDdJY}!AVhFml2C$?#84fkcXg*p1C*RCw6C=_y7n)Cn)3# zM-{DLBQh@1k?4ZUf@$XvWFLTFRG{_ugTLx99^&|lg{V>XU(>k^TbnLF#@ezi{_lN5 zX!Og~82LRM23+b5v%jVLOM8+95`Hv1%FJq3S&4p1t%OrIDUL zraLNJ;{yJ9yP@+yak6zdZ=TS<`JNZkZH)DGB29=h`X$zBGLL)nRAMa6!dCpU|BgR* WO|AWP1SMWsgGYJy9sWOA;eP-!KvhKm diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 5a2f1ac3d172e35b59558336ae46ac452deb7fed..e374c497b4ce79ebef14e5c80c1266a923c5dd22 100755 GIT binary patch delta 198 zcmV;%06G8Q1Kb>vF&}K ziK^%`^33F6oURvy7TDL#IEEE&V9IJ8@*VK|75ad;0Zp`eJv*d*!_*t{@AUa@%B%hQ zO3ziZ;Qf+%-D!_w)XFdD6D+O2DE7!=B5H$qu`XsyZT^WX<*pNUbyC891CuZTut-y6 AF8}}l delta 198 zcmV;%06G8Q1K z4;uAgVqEbKlCwl51|1g>E9(L30Ovi`gZ@bg+qzPx~ukZPb!F~Vhr=M}r=T6<|{q`=mA$sFc zd+xtG)HWxFCtsQbUX%?Ut+SsNyl4Kp18;kBnvh!pxfdR}oulEFF9>^Wil(exP=C&tKzVhPs_~7$2A$UauTMEA0|C9inT@k=1Ed|}MTR+}5)BWSU zNz?dpc+S-Gt95QydKB6f_YAZybrWb8HLg8m-D>gJi=JuoY)zP54zreMn<+al0;~VK z675k3ns4k9&3c6Rt%$X$>1j6aXi4 z3x6)m097y=Hv>_i8QP3FgH=PajAm#*88-us99+OCDnCSUGIz43#LYlOf+~zsl_m~y zpEqA)ty?!$BWbtTn_*|I%?XmuS?RuvHZ}~I_9|cRd=z>S&=JVWUkmaIVI5>)* z_TWqgukA1z&+hc*G0X)VSfw|QAvkd&-PkB%!~;n^fxJ$u^d$(h45R6N%A7iX{kN!i z1tzf3ZUi^uBp3vF&8Z2L6yH+!nKHU7z Kh<^Z+v;@Sy*Dikm delta 1132 zcmV-y1e5!>3-}6^uyQ`}2#%bapcQI6XfezCS$sT7UDK--8E%`V}U({rp%i zzXw52!}JgH#o=is=3p^Boqju9Oy{$vl=}Hzco^IdzkUXZn?Ai781@~uT$<=jK<#<> zu29>ZpI&^KCGeu&5YSrxl;AxJ&lPz4^UH)>3*=q|cM6h(hcgLR+fXiC~_@s2fY*^Kgx3k6Z`O$nf z3+eF6Q?H)>=XRw=p{%%T(5lc)pk3Fv){s@z;&C8@r>&wjVRkdjN=MsG(Rm$M_21Re z9#x=)#xA*8jS#;Tu`)D+o2`1egc-4_=_|~_Q&|elYky-*_1B7YxFBS3%MjGqK1XseB6}lUEU5ZytPZy0C>p92Jl5y3UvQnxnn;?Fjgcw{ zM&ZiQz<=3dq^Q>+=s;(FLC4wu`i5bbw{o82DHLbb|U$T zay4IBBaYM#4fPybh?`Naa$z#60gqKd*gi;j>|cu%sPV5zo5T82p1c+3cZCc_MuJ}% zFZPXZ&}K52T8)M4HPw43f#Y-8g0n)JXidQuFn^bVR4(c-z@gk|O|eFF#!^0$6yzsS zaDav)iIw9vO59jkL<$0ljHGp;6R;|XSrq9SYTO-({LEO;BEsUOTOhZMlHN%yWKQA; zsUBE$X?tKn2>NR^1#;u$_PQ@vzm*z=dMuV=-H-c*`W4KLmEkHNF_^9rR|8ztianv8 zM1SDV7~Dw>Xa!Oe6y$>3q8iYue>S8~g=;cTo%{e^`93(f8+V-^TD6mu)U{wuNjnsWL9T+u*^;df{AlXCr z?&5Vi7g(XcO5In}3DItWOx;%#t2+$3_$?E-+q?ThnZUg$NW5wU$!nJM=5ggZ>tX-U zXoZGmv-IY1OEK6fob_Immx|!3LA$&%pw21?m&WbxpP^(M5{Uwbba1(S-2%C7)WH2S yZ^iN79e4L{BrpoHcY2@Fj9-9*(w5$*G_is|v%(^9+C&OGmSiv9r~Cnv^900Odo2h6 diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index ddccba4949c6c79e462de0bbd5ba06c2931e2736..f2c61e93b07a5ad316b533d54bd069186650b8da 100755 GIT binary patch literal 13046 zcmZ9TWl-HfyMVDGg>pD}aVzd}u!FlpaWC#r++B;iySr;~cXxNU;trSZ-XGu0P4eVP zcCv3K@6KeB-2?~$0a1Yn`MI|LoCQCvVq|A;W@Amn#6r(V&qO5XXl7|h#LU7>#0X+w zVrF1wWMUywG_rFtGcY2O*E6snVj@zMBvLifClWC&4W!1&`JLrhe(4&i!zl4APp{rq_pevz&iEX>7 zIytF)FXozeHjb5;Nw}>zDgEGdJV{ra|D1T>knuRGvyh{D>WYvaEh@k@DDo zf>a(zTuY^qqb%3i>daRE?cEPwZ6{t)1%@7((ypOR(UAy!KazK7d(gU6Q^JEOHJY@i zq!W`j6x1gYhfRn2C+M0Q58eMYf)y&F9lIL3lujf;s>$DAgK0ZLQRc2&~C z*1eU|O96D_BwZre4uni$*z{>Bh+tS`8satGrp_rAQ2`Ud12dH@zzK z0l}unP;Iu6`$+k~zL_BDP+fc>GJP~6#%KszpNB6TPj&DG0Mr!zFH>aV|4>)?6zpC) zpxvwc<-~I4!`iZ_c?E!Eh>a*Gza(F}Ii0?oa6=PAtoVHU9h+s&22Vn_OAGW!w^2WP zYKrl=Iu8$}L5Za~7Cl20j?^n63q|c77Tinx7E>Hmj@gx5&JuL5aG$7qM*0*Jn)Jn_V@xiIj<}S+re%oX4ObyHQT-vS9FvbS-=$ET<;zo$Jd3-1$vgn9-LL_G#oRbioAT z`=SN6jb(ueRA3p4Ey=(`yRP3mW5?(stgzra{5vz%U6C#^6`ykDG>LkA@Yg?2StC3< zw~CL!%oQPkP|rMDTHAeY!Dn4o(DkmO^oyAIi&0u+vT!;=Sxm~mmy{guGLr}E*rMaLwEFz z9~Z*4ytisR?;uJy$QTz|O|v2-$C_cEeRpM%(U3N3J zN#KY-z~x}Z@HrcSHZ!80Q};Ohom<09HrMINF}5S|}-$SR*Z z_MaKVQ~y!KfulFDr0Z5~s|Q>2)F;|8xz(%;bI?R&9!EW8TD^4P(SdM0_M$E>e#?cEs9%c7R9{P@L#==3w-4~ zvaDSkUK**MRWi!aWzdzb2b0Xhle3jcXY(|h_yw&P(6@NKP?RE2!62rTc|3%OuA?H- zS#EZl5lau$h=TG67H)|aD#b^M^6G$Cbqv@V$aJBDn&X;t7Fp)JK!XXofVtgSQtmrI;;#I`uZ3mgX&zHCA|w{{PU=$NWYP2^`AtWigc+|<>OeJmY-Y@;?$Qg zXW!G0kX-7{7cVTTs@y5jtgqjh=P6WG>OJ#K0hf9M z)g6cF8cRO$uwfRmEW7xrx8T{=P%Sbl)@@F@ECFMVnXQdpCxsdCuS5us5 z?Cy0r=b~Dx$*yi2x>GT9AyiptC>x7v7*$e#@3X@G9@(Fl8?e^ig5ppxq)DesG_shL z{wWK7C(ySNUlIy+gie6J{ANbk+ZiQ_|6BET%3W@EByrJwJ1LAx43-cmDvOaHQ>i8J z>tDzh-3+P;M&b6fF81*0G2=Ooygug=jBj9s-n?jv*00hv=yGi zrtn*)&ReFeTBa&mruMZ=m-sX{|H?v{sHecKrNEsT5Ah?hbHn~(XZeKY6Vgv`VSm*j zT&;iKUl0$=`5389;cvL38vDm;n*IC0mvwTu#_32OQEI<88odrC*vt~H^$T-Ac#7%;6^M-{`^D;v0>^-dU zP9rBlpFmf?PnQ!Kf+0*JE+r%=4bI|5k`%>&e*sIV#@{FgqrWz%tt&sV>8n-g)cD-#;P{OD z8TyPzj6tNiyTLAjw?21-)M1y_q?o%7w%MUAJKl|b#uuIN!z*PlY17U#0-fq|cFv83 zKbAod$7Mc=pNZCnpe= z3l2eJ7d(XuHCi|<9IX%7J7*gP@acJj5a-51+fo4_T%fn1j5fC~ftsd8iZzqW_Ld`+ zLm7=*Z04W8SI7jvIENwOfa%)(#TUunmrwd|u(+$8)=GRz-7?z`k!^YZ4Ask>Nm~>Q6w+U7;-bL=-cFo zx{srF1(QV^1_K4@JIG6|+T# zar=_hXbc*#)QZ#*pUc9qS?z&a8TR^qzqXrz^yfakCgivUk_#Uv= z?9mrny8>T!mgE-`XH$y24Z%W~c6BnJOlV3SA`bLHetIHz?><)zA|4`r{oWx|zhj3^ zPQ~)XmbDloI#0`rl?PN~)54LeU@;k85gmWrJDG+Uz)2>NmRI zv*+Gc6ztRl)YZfHSiAP9JvT_bcx$;l2b~sV46jg!$i^S54m1r2=kR`={;0u{1GyW4 z({hO1v`E~=HI&OHOuU(;ZnP2ho z8<%giVO?zsxu)NV9w&>0>m0`tC-Re=W5bi5VY&yc#w<@IXLPNmCbQ(%rzBA9mTxd~ zc_b8Kd6n|G@y*0*dxKVDw4Vy*XHN(8yz>BMrZ zk)2mDuNlHE%=Lns@hkI~f*U0tA7}rtR!|7Rw zrM*S^_~eWf@fN%FGX$m*V3r0qyA=&>Q(tb~Oz%jLI^JcxKkB&rQG^ga;5oW2MZf6& zKwvYnWk*eQK()Ir7N;tuzEqsxGOfT4b*_Fd{+8X|&a$x1JF z)?hhXcjJrbu6M_pjLO(*r}=W%KvjBpYOlsbKuPRTeg;g*MjMSI_8)YxX40n7=l2Yh z%j)}8gSHl0y2YSE6}sy$AAWH7mjmmBl}YVPxD_L!%Jx~ULs?)AGKga3XVIa>gXW(a zb`?2k<(21*!@+#7n$m!s$KrAf+Oyc?ngfLsruCI;i@w^%<_&lZSC28WmG!bi-AW0Z zhbH=VvH>C|REzs%(bUW19St>39zxHEgiAMV#8nK~oKXY|+A8&I`&2SV>eS!h*IPm5Nl(=bN!l_sA09I!Xz@OFykcD4KLn}D1dr>e z0MySyT-kL`@SEg?c{m>*GKZ&rHK-3Y0 zJZ81U5udKy9Opv$-BdB$8P3+fZj0BCpg-8Ci&DEiVZ?*0XmW^fNoWv2#UsLGn0wR} zdTa{(Ry4p~q{ zwE%5d5sV8B_Bc^jf>3`s>N;{^>6bc!U|0flL}FV7@ojf4d2=V|iZJbo5JFv0jrd0p z6ktDX1!GSd+00xMO|tG&X)FcEdUBz7T+w(dY4i^%n_-w72xNZtJqWRptWlhzylL!j z(>W#tt)1|Gf0HaA-i^U7KGs{s(s4ztm zJ|t_iNeJBD$*J=3j2Ky`i!TQ>9R^P25#_v1#x9cl*Wulk6QqJ?JlXxxWQIVUT%Y@d zLQ&DC@TdgQ!XmNC_TQ&{doCpvTF7^hiQ91)9!r9hKf;QwjKbj;Fxk}qtgIRcQ&29$ zOo!F>TSTb_l$61PQYRwSc((Hh1fY>`t^W8#v<;_^+RsySl&#@N(H|QOGLQLEaW`_j zMNa?*fS@>3NoG@@1-7Bf`y>5h`Fsq#Q~B@Soq=`+6;OW&rn>htFDa8eECAR0?-!I= zKlQ0(FZLr34SvISAV59L`2jG>=+-rOnb3sIUz|i^R!Z?1dX^Nbj$EgJb3Z^9VqdB$ z%c(%d6a}bSf3M1*mffO!3@jRvi|PUQHGsr0-cGpNXNuYWBW%hNsh9iq-&;hTsG}6H z%vl=~Q#9Xhj{8H)J=(wqL%L}RQAzr-PlC_M$nnQDyINv#Isspgj6`@e5vbTRX4TP! z^Zt<=qROFsaIv8%hLfaEKE4Bz~8l^q2de@Ap^>8 z-o<=~knMy9;olhGG9>H{)J{jVCY^6II+iqjJbDI61sGmclP}xG7pO2MxefBvh{IFZ zUN;F(Uq|F+Zy@I#pUSG*#kRFf?riVt>~uM+na3mhi6mllnTw zTf>+yU-(FDo>(Hi?@wchJeFNcb)R$O4uhzN%GWC0+^wg1ubb-$$OZ<1(pxvR8W~sU zAO0GSlB_-`n){V_nskeJK==`z(H|2CClc<3v6{#5+8T#i+sc!V&R&l-f=ju_S5-i( zZrVqka$W&K$a0wgqc7cXJeG#FafseWbNQ;8s|v=kG`apTwke+*&LE>kka!mKLp^OH zbBE_L*yyDrPCZLvqPb#&k-^jF21Aq61UHR9=3X?-%F%8=UAoZCM98Fe)6ymNmG%Oy zxclY`4QBd-I7)^*PTP3Yz46vGLgvDFG=Kbxm9mm%?jcJbuN^esWZ5XhZE~77-dLc{ zmA1(~T9+;Lz?TNFf9n+;O^|rW4Qh^Roin@nrkPUDchPC(SZE}uY3Z@Q)I{5l>6~M z!-LB~GMMnb>5BCj?)(K{d(Kb24y(Cp1-3G}=~i6Xo_T{(#ZjZ{vm81F7ie<$nGp~B02I2G9|;6?fl!>5x;P_(lzSNN>o zhS&Pn@-^$%QIyqZN^xQ7$)agr z8aNSByk}#jo9Cq(rBQrCbK_*SGkzEkBnz`bsu?!RON**~itZn%qa3|#z0rGk+M=?e zAuuc$Kv5?oh$|ROdWtr30-J&K;#qhAp_PE;#)e`2Q$XVj_%j(D#-o;ZbosT$tBbVj zg61zVL{fE597_%$?bYY{inCu$zEyH(djFWPVYey&0+Ls2v`(IZQO(biDd-Fwo|2us z7_D+Y?N&T=8ZS3Etq408;xDd#iP4F!9<^1D& zW`$haVM0R`gek5ZZU(Kp4@dr+bYx7y80g>bAx{dpVB#;GmuFcZy(R;Q8;{;-#_N>% zj>Pe;MY>)5uMFm2%dEq5)w;PPuSl3t@ysQT#QPs<_=dPMiyY#}nX#8LC7@iq`dHp` zT#=`ih8VgXtpS;(M;vxLoZ^ zQmfay)}!GJAL;Ye*r|yU@9J|}-r+Mqbs{24%$>8SleFEV@!d23l){|QSm>$B2I%SZ zaX8ZQK0Gb9Uzb3r>r~V5!IQFUqU8PTib}Tg8fEceIZFDJIt!ri?&Dj1zmeh7d{2M< zyqpCwju*U({hM>bbgzTf_vLH8xoi8o$wrUH=j|iXr@c(JR~f|T`aS}!^2V)TC<5Lm z@epDT-5|Hti&uZDLBUVld!@Ge#`%2! zePE!KLd7>0rQIH+8jIwRp712fpwdM2;WoqK#sIr=`$TAdKK?Muy&N);N`_FsETI5# zhcFIEK+T3HXP)ZzUy&hwB|u;l6s(kSNp1F?WW{p_F$( z$HlUap{U};Qjf`djhKvyXg+EP_GzhYw%h78AV&0+JKiK3^}Wrs4CTQCC_;0YB9h%57+#+xn$Y zG^70FLT1@-c7g;{AL6c4`x4#Oa>le0#`$GNz>heQ{A6PjdF!R-Q`x6m?smT3h=2nbyVk7rE@bYTM;; zJ~!a2X-Wmtx?W^X6~;jP+8>s$feZW5aTPuORoQdv!-Bx@q&#)L@uIysBQKp&Pk2v% z!6lx9g3kQ#jMRH1NhT6b7Z&u8=Ka*E!M0{4G&@?g*!bP+*h2EOw%ASYN3Kp&JgOgf zd=v1j=*ItW?1hgSUSoN~z83UbOaniXoD2$qRH!^co@AVcBURM@q&oGPgs{#UU+v%L zOjBmm(3)soD^yRu#%m-?JpFyN3$2`$^ZT>$>YgdNw11!N9iSn{c0pu(6zfIInc%^s zR7h$qN%Hd|`sC%32oqkzKYHkHty$@e2W51ylMel@=cUjw8QzGCqTx<;%2 zEC8@T={l%(t0MGq-sX5{N3#tJIc6{alP6%sC1|@#m>n-nY>8IVwlN`v+g#~|t5LOg zB9sLB?g4mOXqwZryRZtnszjmD6f>0vS91?&#S7_R2h z*{)tMuI94$u{k-oPD$imT@NnKOuz2_n;sOr+G}a%-oB)*|C8rTNmsN$zXe{l8|%-& zybNsPb&+_|K#q(mF~X{ob(L_1sbb26i`rrv(9L_?=&}fZ5Y(AzU=&C3NZ?O5puZE1 zm{^=~b-}|M9S^i}CHNx9A%G0vie+6WyYn%2hpvjES<;vz$Aw5s@Fy&IROZl$TvYnK><}f-xBt1wF(cwM3=qI~8C<>LUE6 z-oL+Fbf@7dsC?h>)sQo)HYNxrp!hn_nYf`Rt{Gs% z9|C789$zN+00@3bx4}5`KMNE}RTq)&luim#uk4;BNxCfFA-nn8y=B^GRy9vG6>*Bc zSM7TXD?R#28C1_KYL_@$&_(=Uu4831sv}}* zIa=cI?Ru+HtGxB5y7EB3oeRrNhCpE9Kwo<_(94nj_OI3vfgnrfv{dwAOofGKL-)4E z<}dF&sha?`+Ni^YNud*Pezy=Tg5QHiaoRoL8#2j%C@lkj7WaNMlQ48!+@NZuByBkg`*<4VUuh7c^Qu*83kog$nlC8+ zgBrevWp1#+CHjZx!%>e#fvG)#SE^f}5vA{<}@bGF8)@G)IW z%0?H0&H{K;ftvNcA$u2~Hgek(0`KV& zT(b~d!vkF7gJ08w!2&3)Sp-`tKG1nBXz+f#D?d~Fpu~(_2=rVixR|yKHTSuOA#Xf- z7zMM&gk-mgi>{;V;QeBXbSiG=a1vtW%cmzvw%d7N3*h)o1%+!Gyq*0h9aIn@$#MbnC6y`U+ z8bl0o*l{KPzU8~ih7p9@Ru?cjFj_UakS_TuZ2E9?`eLVLd3Hz;@Z(U3gM3UeY<%e!H{d$T(KPYuK_)1_!zv0L|{h_;0z|FPh;xn&B$C9lSRRQ}Ym6^-sEEMCf9V zSa+9Lmm)-a#pbg>0%eUn5HTu#0?GNN?L9EqBa7(qXnvx?pf}9~G+=(6S4W6~M0!3n zR(Vd9eh+bL+cCg$6nbx|uWYToVC$tM1a>S$JfekKzI#Iz%y#sAE0}M{G;fPMIbrW|EA&=Boj5|2?e+9-v&d-%+I>`?gzP3W)8kY_FKZg z4qJw=NR>L~Y-^4xX)9DJ6KSJzRChR-RZe!DdrC0v6%*^SmuZj7Tocuz+!r%ppjbZQ z1G!g3*-_o~hs+|EK4^`U-X+0O`&;1taBJ4g73*%;$k~|L;%^#@P_Uqw6&`<_wU_Xw zK>g!pDgEXozl$PeonMFKSQW~j7e7`iKl_jjxg(|pQbZNHe!M6-pKT2ql(#`=)j^9- zQ`UyLC#U+RlO`72GZ|?c%p_pCB(=#*MKJi=$G~5bS*gke z987ey(0KS|Fl~8gVW!K%=kY85DiGdpbe86&Q7+N~i)>^Dwx=MVhq5EnY3ug3>O1*h z=q1ff2_yU=8Ybz^bHh4M?|uT!s*-OATcNiwe`QP(FsSCF3_ey*nXeRc6Ml$a7^132 zwJww>x-qYRt>iBhsN&$I$66)P93-f?c48T@kjWOXrKD-l(v~VxP6}g?NsuVPFqt0} ze0viONnpnbC_xLDwen|lio)Ha(U=qhRo}G*iD-3`=!_!T89^L>XP&sw5km+#_U#x)9@HI@fdIEWmQbB^GXYAfh*B1r2O?|e7h(>xRPUt z>BN=OifnH6KKjPQ6o{H0j@H&x5iKG3G5&DB3uLwUB%0~Cs|LuhdqZEYPWoSZIu@QR zVa=H$Z9j0gX{f-LL6*WW|E!IGm-@iV2~pGc8v?=K{_pPijF+R85*Al42r-Tl^8qb9 zX@3gi0oci=(R{nagvO^&@RNJHuptY3oh~x4I0myAG{?4l%;ioSr*SH2pDIUb29tYpbH8@PX$+jK4)OfFK{$P3UfGPc;}0O>yua$LQa8SvuC`fzCN1StnP-ABPv2jY zbw*G3yp_ctD^^G7L?m9H4?jKndF>Vu8yJXdF+t8|lQomVTz1{9M~a6x;n2R3&OQt- z+#=c@Agb~oQ;f})gMIOdeR;3=ETpro#!j-*W6uF@bq{;K(C)H%*k(9}uPhC$&+Q|F z4w?nF3jC?agu8$l2kjOA9Fc-)rvzSgDT5Hjr$-1s$t?b3&7-3w=U;&RCwtdy?Gt&A zc~_NkMpymFDC$F(6OIIxnm>s&?9(aN-Es`O6OQFlZjIMo5w8J_K7kaRmpLb$sJtcY=AhzDdcFSz9L5}Aee+Pu<3(i{mK8HjYk}}#fvdR zx6eUcSDJ0r!BVQ}wWD8KzOUsyFnw1LA@jVCNw!|0yE$LFCW6NvJ{?lq2j{1T@T?R%Np*CTZbRMrpPJ!X@H_*|bm5B7g?U%p-L#6^u;@vnv0;Y9a1Z3X-atkhEu zFUkoKGHkIwr8s8XBEx|o5pc(e2@y;uwj~b%J-eAW-+i&9F6d6H4a!rh{aNSrd;k_V z0#ZpU7}XT#%p2IR$Y@~glITzR$Gd^_pF3ZrAOvOc_#w?6=>#Uk|CX+za+E6kR`kb~ zEEXeNzNdFWigGgL)YK#}b?Rnx4r>=aU251?bnCx3j=fehPLS*~xV?Mc{=x^yZki*D z_r(mr7B;{U8;oEGI`0mx4=`xueeXxYiO?q=m*NtA3&Z%1LWQr6yGPfnO5I1BEB$m> zF^h4VIB}E?n4D^-%T%zk0>4X7U)0U42 zVRGsHyk@|8y%-@g@VW@09{8seTJfPqP$E~h=qJ|j9ethWQ{(P(%55(N=&C;Sl993bQ?q5osNVJFx%8V;I1GCFjC z#>DA8P>@7kzE!?G85n}bX)75C_WtHwd*SqlA&W%dL10fmOMijl-ZZJ2dr>u;=$Nwirhl5BzP%9|(8*5bw_%9m!mt&!M z$9c?-Y{*_-oPqHs+r=K`A#8-Po0cI z24+2pKJ!GT)-v7T7qeYmlpVzbH$Cb!*@phkUa2x J{)6)I@jo~aU`_x4 literal 13291 zcmZ{qWl$Z#wzdfn+}$;}JHcV&?h@RByL)h#5Zs;M?(VJ|ciF+++1SUq=g(Jl>(0zG zZ;kZo>D4{cHLDvP0s^86@yqAl<#QGLR84bd7b^#QGByroR%SLbF*hq)GctA#b~09O z7B+Skc2+hHGBtB&cPmqKGG${^8!|RBHCZw(a}zQNGb`86l)~eXdVV?cLrf!<$abKqMF<)PB=NvP!fC;|9Q+QSFv*_G`X+TS^qnJe^n^D(y*JG) zQJx)*1Y>!`z)|J8X}jfO^M3v9Ve7@OU1-@&UnLR&p>^49adQ!I8C$}O{x9t~W!s0L zhL*?uaWz;gH(iE0pW#?-QKJXE{GqYK+d#X6{5}-ge)xU%$ zX;RX%_pzZ3LMeXnOy{#ZfEpr*<%EpwQn1^Z0|p0{0wAFijUljcZ7fOKSE9CyTbwt4RL zYg0JX`H3sK#i`i($U^)yhiSvBNQoUc%grA@q~_a28InqtDNV-=RA&27Zmq+o9k%%u z+=q+xSQQnNIM+?jq#KfasB1C)UM+?Zu|I`77Q4@y#((;$OHhsE8O8Dg#h^lA5RkD5 zXgQTF^JYcz0|EL_6*yHtu!!C%FkE~GB>wOZS7)rS(=Wr+wh|eczZ$nAvc!qO&RCH0 zE=UE6b^k8||2-iBWtbn(x4WV)$pEcZs7sB*bv`$6(O}c8=)nH4am@GwP5QAH zsFW<^`Abyx+4_+n@vZwrdZz|$DD(NCWu+yc)6`{^agdJ`*W~4rCyS6^fFr4X;BjwJ zj@-2UljyCScdu(qB0p~lf9=<#uFyHzyiV%c8j@OBe`zk<;+E>lB)Zr0l}*IKUn4sI z>hl=p4IhN44h7aaVM+lA<-wJ(5)8-Y z&2*A=Mse|9XJ_Nv5v0VtR+rB{R@#lAYrqJ?0bq_7mMtgSgBcL%TlX57KjcmrU+=AZ{-Poi@xIZ; zYn{(5y_d&_EIr=!^uOQQd|+4m7?%022<-+aym9MO6W#yNx3EkusUX2AO}4edPa0jV z?=Wkfs1vG7aAo?Dq@STlPXn+(>6nr0@=EA4U)MB8yUkDaO6byf)_Xgm&Tw4?uT*>C z`RF~ktmZFzGq38KN>8-spc>L!Cc@zKdM*_Z>vc2891zt6FGKg?Xt1r2nfg&Hg>)*V z%mgLyU3q85+f*kM9CW+`9Hhp)MIFmy?$ZT~@2S5rb|~m10pse-899lU69iEVLJBK2 z3~fK3HOCBC(2(-%dL-}mHM*LZ&n8)^^bAGlk=N5{-gV)R1djgYWxZw&dbKttv<00c z)N@t&k^Il`ZlC2twp5vTufGf<;z8xwxx^W6ySp7All-CO-(4cUqCbq{yu8BqNgRaM z*0(wLxk#;~7ky_t4bp0mwl61d;2R|*)Kie)PZl0L>$P+8b55)jEa|ZyxzL9&j-Mt| zn3hk3tkJL}e^49B_h1Or+qrt1SX%%YVe#7LkRx+Lkcnli)<}8Szc&H)GM9?J*1{3Q zcR}>>_=z~0*`B7@-LZ)-(uyZFEyOEZ$3%*h&mGrIW%lORT_QOKl0t75K*lR6nnxDq zvEjY>xO$Ih5ORb%NSazX4osq`+K*!u;4?7gdo6_;+kQFR@$&WdJ&nPgNpRfI6>}71 z6Kpr_mlF59Um`XYQg#%bjVx0k<-AC1QE=#T_D(7Rb(Vk0-D;a!W*@=uoj9r<8$-VUVK;>lU=PPZ6U2P9wirkLIt!$hbak*|sNx|sX=5>Upsb7m7VsuId*DKC9@ z&9l5f1s9#zQ&a}eMnaqF{&XJ#>=hXOZinoNu8krG$I`3*OyZ8LB*=%%fsH=kyoLb?yrw=*MX|>PYa|LGn^{>CasvXU$!8!K?cy zy1N2XS_Zf+1*y|4Or0mFdCv`OXb1-Fw4ervWQntC+d%$q4`3Up1 z(v-9Q&fN{Bf~<0-^yk;?BQjSpLK535hx%|6#0}}o*c0WjCv;@>yvkF+Wmhc2+7=o# zOR*URT$XX|qA31x)IVsLSaCG4yjmFfi6C^hQx-MAbV-KxVfTpd>RZg;#N0D#RtBKs zmE>gVIg+uqy(CLReQxN*c<9As=*4KL{Y)tHq1eFtDwx|1gKuJ7UGYl+t$p}C-0wpHR;U7 z9rP5Iin#XgM%#beARm6g=N(=@N5lnwu_7qj9Jht+;97-tuc(7RTQbI6sp_S!)%8&@ z>NvTg!FD|iF8}wnVspx!;POBq)`KH=c>S4YBq-veK43ZJCgrW8x&Ot(F4V)vRCcR= zogC=dqEvGI{akn2%5bKRzd1G6uMj>t*Nd_>*XZ?zX9esWjHoX%_5+Eb^^oAsxPOZe8?^*x^xEHY2A&W1$YyW@_` z6Hkt<+|p6;U^B?-*0l$bNz4Mhjz0#m9y$rJ9v+HR>(~2v5MGZ|+m&ke>wLfgX2t() z3SzzHoElF*lTo{QogeYs0-&%y6G3opArbq)Q7E~79w9se6)y>qfpagg`J?chPZah~ z$gz}xg|AGw5x+T*n|wuS^^@gK7RgttkCAfTeB=xFS~-6zKU}hjul9_f@k+&iS(^!) zPldDNp?J5?>2`+{Y;9iR-u#)_-*>8dwy^1RAKAy3b%p~#7}}ngIogZP#)M9$OdOJKir)#U1;uc9vSu8&IYTC_MEXMh2o{#(nTdgVWGN&#06iNS%i10i@2-;Z$~E#Qme>}xt~D=eecq- z{n!XH+w7N9zhj8&GVhjUeUb>M%s-w~u-CGRdz;@QFu6$&WAC^4aw%+?_sm|Kn1d6` z%_F4R+-nda&Q^IECMGNZl`R7pn*su!$PMJE(|rn$Z2KnvhB(@5+qJ#=0GgeW+(sSi z9i7#6y?fpL=Jre!wVsZ1#!3@bzJK%`UofV) zRxvT_58Q8adbaxyGs~DNdBa6_UJ$*kNY9>xX*PE*Yq%aCnTiPS*&7z(TA#iCW(IFI zfBit*&-k%IZWWF?p9aWu8+>zhxN_xvg5iB8CkE@u&%#5pL&W!s@q1|u;MU!g6hzhOJ*MnCV0jzC8{PYwuxdo@;DPq1#rL;ILnN$o@eDRr7 zHy!ZCf=(qey?-$x3S&6X9~j_q9y2=e|BCpI*oJS7Mg_5ckcB^`$&mFcixEN6;Nr0} za(Yc0_IBb|GC>RTj_uG`4F|Bv`P;NRr+S&>L0&8D%Wsh#x#VL;3{_M@W3axAO~hW% zp4Bq_6jtbsA;fn(ER*{KfVjp-71It`5EU>^*^zVL`?duA)lZ9qIsvZ$89swqQu}E^ zwAxpaCO&fkBSlUb=s8H?l=zEE(J{3mSXxgT1Ms6@dPc#08rVeVuIC)nu>ra%>m9E} zk=bU`RDm+y7;jsX`8%#nfFvGts&#}zr|4{l#dww&Qg`tC)Bw2F8o4BT1a0yq)|v&K zr4X~6x@i%)B5&eO|eLl}0C-7J*+IAxPB)8v})Pm4nu%@w_Z0UX~Pa&*J7czDq* z6->Bz%}2frSWN%(7QqH+4kdlsrDH6k$26*8dNnoSw>bDhSNU^-KN-L6Pvm>pPtyVl zxxOpO-+5P2D=QE%%vqh+ipv!66Q248E;NOW&-7S-`8SK7Y}6Pd%bFf7YpEVDxAU$d zqmA~Ws~*GygI?t5K2)d;`{`C)zEzONn@r>W_ZnHG;K;HIjNmNknDO6+17})_HF-+a z%v}V{#qkf!T^S}$^v8(w{Vr8Xznc{c@#qKV03%LG;V9SPt|FPY=-o2nBgkOV{M)Uo z*9xqF*y-Ibo=>6vA8^EIK@{l$D8&wWoJ45Tf$XDD_;;3hGj2KVELnwdLXJYqu){ps zkal|_1g~iVoGs6*%EMY17s7P0tFU&Y3ENWLf97XLH2JpVy#97%&uix{?z3b!6J5Yt z1zileZb&BevVbjCX@LR&lp}|*@nz-LuTdVrYqa8;BD`s-x$gM+YbM$4P)vlsE<8gS ziVW8;WkRmd-rc)0{lX$fjUJpscuO-SP%Qz6sxdDP8wsW%QuxCM(X{W}LkS76jjDH8al*#U+08`0Sn z61IRG#2O3v2MmO*J45lD)O*N09-J}i9pYdDW&_h5zI?`(RN5(RcaF(Im1;(TUp5v1 zM?gw)vy=|?0bnRDR2#7y&_U-1Kh&ZU^GZltb=sXdeXKqkNCv6_&sh;uYL&WWrNjDH zuks-`ja^YLhMk%6q2^l7T3sQ(>=W?;t*$(2VKBH7{qo0PKeR0cuwVbb08g+s6yz=b zq#Nz11gGE#KnP_c+=wKcbDY&foZyyjGBshiGdDfcC-^qh{M z8ihvTogO%VjHnbv-qv#@Q5M;-+>=df(PfoH+61ka@z!Zp_v;Qp1A0AM*@X_?2c8 zYPzqg5ySVhz!!MNn~#L)$7S?LS@K9}n=+T+ck-POIEJFj zLP#ehu-Jg@b~MOYw{e4u6c>#WG9`r{l-ZW3?tN7OwLreRLg~;?r`9VQDa=9U5aChS z{Tz-0f+$InVu4H-hr>V6!gv-J`3F4Zp%xl1<*}&0xpq&Y3b4}@+VZxZPTin!6$#FA zjig%xI~0r&7a<%~>2m0Dl(~NZb%=_TJ&RlLmC))$QF+yA-lDU3>Wu$Jn{}sPp@$_09zX_YJp2jX@ z;9<_yZqB#aAD@1xj*LrAA}YnbRoC_z7!eZF0R2OmDBdSzRy7@;uS4!gxmc_BlBsvD zPgM6Ipx9S93=Ic=dc}rC7q7lTL29*=_Ss*OVgDgh~M zoUlwHz=<4WqvkhZ&OiEHm()%pMf0@nwOb=YqRaKe<(YL^SkJAE-Q2J-;m#?STfcjk zUhQGzt)*g$Qz>CcLMzr!PR&(ihMU>hyx~^Ec1n7DbQRF#q5V^E{RuInnmrYX*{}U% zb)2_ncbq&rnudzzBGAs;kHSSh2lb`Ha_tW4`5j=upCCK2lej4X)ZixNOeQ8-_u=TG zg%a&I+DPr2e;!XldOoG&H(Pd@b4{juK1eC45Hv45d(bmy&ZtTX3=W|A7(|EeF%UPP zHyUM)F!S=xl1&j#K8YT4enzOC9i+1_`1q#wA+3)6>5Mv(JBJmKZ?0d4Bz4@k)xh-Q z2-z~oc<=Sr4lcsKQfUMFnn&AiIhM8kjK(h}la#KVoyr5mSYX3u&ip$PJe^`$n1%H3 z4+?FCJe}qk$8TOPd{_L0Ea}(BVRay(Wbk6@u;a%L>|e7`@v#tth^XM*=m0nK*$ zzU|=PQ=u7+1M8Yab}b*ovPzBTA5|?0X2MvWR*NVv9XWE#)k5d=>)Y&C=VO9Mkio{E z)&xGZM_N1_fgrmVOA!o&D)}hfETLpW()djpIw5_b8e5<3tnrbWd(b29GM_<(xhzYm z#d!62{UK(#ynSTd5uZ=@;QDUJq)(IPORl%z_nvC~d-c`$Ic(MX3V-$Sq`*tl$Di}+ ze5X!N_e^o#pW(q0Sad0=1>;lfV^Q!DA_((vPzd@*RRSINB_4oM;e{|$te#RBNrv@IoHHdQDnL-I0rq5yf(d6RQ%9TAUx(IrNv^R0KK66tzjw^ek3xtq$>OpNJ>l* zvIL6|!kkQ#K^s52H(|{*FYT+%{U~y0BCT`TM^J=DTh>D|2Rf!1o1|~C0zoH0PdjO7E5>%g|g6*BUwL*UDHhUfLBwGQgpaxRd4$(T z+Yax#JbQwu>NOseajmby_8yc%-k16wrRV|Hj@~nC0UvZL@gWm9AJ5S{QWLhZ=_oFE ze*YNqLD;;7%9i@b-&g53J`iL#vl+35J%xVU1AS!`^$!kW!90LK=^xc!{U)R3ntDfqsuG>`a)1kFf3$93Q49`K`+utzT<;et15{vY*pxz6 z5#Ej9lTvJl#9x)xV$&sy%G2<{h~wxg{u+UX;N)n0YSYUZ;X|d^6uQ_Z$BzDO@8W8a zve5LTnhBQDG`=^ah_t=!7uP@fM-IE=XjXz$8~&55-``Ab)o-ws(<41CI4J(1ly!eF zQ`w%if@dfs_eya(RKpT;-`Jj?yjKWCbVHCwX(`GzBL1f_eGQ)_fqoYsEsCK~Y!XUf zFjINLB)_uobw%R~XZHZ5x?lTuF31t(t(umWucH?%cjt^CSWh zf?*9KOEmA4N*5?BH14t2Bny$_pON1{Uh985b1QuVbPlP(QYnB)U_Y}n94*+NtcapN z7obY|df$A6Jaf2pg;59#N9o5pjf4|LGc&XaA7EiDPlS5JTe8f&Bd|)siR^)+}I zc7|?y{Qa7(>&#@CZiX&?hie$PgWfs(WP`O$=ox!$FzV8d0|OsC3qSb%0L6j*1fDWG zeE7X?LvJOeRE4Yv6LggakTLa>M73<_Ua2VhPo<`iUsf8s)Z0+Ym_T{da8hzeAH}q{vxyp4WRj zj+Jin+fO8$PX87to;?N&heKtvqlKRsp>R-YvszsynqM^H?r|NcjblxZ>KB8lNA-C8 z@Qp>PHVYQC!UGr_?h*zPV{*=7i@4ck7a^P zLZAEV(``z6&?sQQQ+gbmO3-+5&FIkMWk{&VX&O^^?m3hEaAF@&{4AHC$SjFY_A};P za*Nvp7thf)XeY$GpBSkkbS{51Tvx;+86%iWJvmTU`vLA(3E7`l8>4BQq2xez0>3kL zC{|*|kIwN)oEhD;TLwo$ySm#(5GY8(ZsCL8>%3ceRy7_6d5Fys@fCvi{t1ATJV)0* zH~2jqFi_xkhu7%Gn7z~>djJC=I#f`=lq6op8;@9?@UfOu;rL_dqwh9(?{(6;u<~Wa zT}nGF7{;b6IX}aX$UDfIwfo+Ngn@ywF=G{2758RvKU0hSM)KkK#-C!{B5n;WH z$Q+w58=zFYWh$K^ZLk;VgS?CPSb+wO>JGyYD`}_SwD5PyCK*I)$=MY(yON@IOtROv z*(Uu6BG;di@OERq%MggEoRVlyL3~@&JCbwB_ko}Clq406qt;yp+W;t=-tz+`TXx|i z&4iWgeuXc1Ne71`p+jFbDf1BHkq{7((BCiEoX%b^Ex?AoRQXw_(R9U;UF4eyk~_y@ z)=cQ`-gIIcvCwtUmT$B_9TECeek?HQ)dvTJDo0N6eVX=k%WDq*@cU|Rc4Nhyre_5hI*KD z-wfCIijVpt_r-G0iWs(ritG%OhNmxu)`DJ5Bi?;{fo$9m7dfx%jM?>@rad#fGnwo) z>()*n-veEITA&e`zN_nC34cIO^vc4kw*@WjeVyhSRh16-Lb6AWdYd5SIa(+HVk5b6 zA-VY`y5Zj*PXmb%a}Y-X2e#C;LO>)+-?i+&QoP$sNVG{lr6Ng7#RxM7<9#+v)bBar zSz>500{7O%ElZ9u0;M;Niu>fHw+S4c(vjg=V}R*Bayie@RRItYi8C{*7ZvLF`0y+x zH1MUiiT)I(&H!Ku9i(SXV>+(+!;tWY?hnH%y&nWc_qB-On5V}-KQ?5=k9vYvvl&go zBsRq0O8C3OscsB#oEWwzUpz-&yhg)4M-6WidUnY_qQhSuf{cvLD;^fYrp~KN(u23J zLj2`EvvLeA^`z*bgwqs0GHCd5*}@|Bn&Y_BzM*-dThUXJx`Rifgc((>{4fFLfX@3s z$;mA?8NSakjXa}*W_GNgQ%=HvK!-_$3Qm9*qjuU4)&)iC%JW+DY1`Xfvnt7kW5&Ig zk|g~)W_=Z1N%LEM_$u%l_ebQ>;vOYjp8`1kuD5d(%B2zZVCd6vo<;^If!~LrTo{dg zeUEsRHm-A8Q@!>S$%x)Oyyhp$i0o}1zxgtSk~>qNe*bjfBtVfI4u;~C@39Q}7w-eZ zIerLlJlZi=m27}jYY&_Dd39B_hL3FQ8o@}f&R)782WY5zP3uN{`jGr1He3(4e%@ST z1DU*FAj%Ulx;5Pb#7%NTMRH?K;>?e_IsoVvL-V+NqE`ah2!K#XoM}*>`9wE1{DWzn zc|;vEv6uu@`8YNPfM6|>8^55PLM?vo_;@|82J*SYh#7wo)|kdZ4*r%B$6=^hnkO8k z0){oj2=z8UtL5)bh+_+5LV0R%pryyMkYBEEP{oT)Th{flPiLG#==;@9V4~(K7cYt$ z5P1y+94|?`Z|#y@E|0-zeFLX>qweE}-XO&qT;Gv7z8pw1XY@r(I`fVE%n%LQkaMIP z#ybe8U-O{x5>DSm*(`Mw)NB02I@4$PgDlJ@U=9+!J)P_cLv#b>`JZjb+@!=Ek-^r8 zx`9^tqRCoP`!^v>SL~l8ZvI?bS993&dTNHEO7^DoNf_6wUk3@_Mt;_~IWZS^6RE8! zp67__GzHEZnOoWwilchS-O;u#@oZ=j5S*#BMXn8xaW4LRJ(eiy!BxY4TS)_-Cy0{& z-Vm|CKQX`#9;QuS%*(%YLKGiVuP*o&28z^QZ&th{!k6lBVzpFIwbyiE<4r5zwAAO~ zu6O%+*o<%MGLE!PmzwV;D0)?kfO@cehf-O9Oci@FizY~FYnE6vseAM*R!f6GgYckG z{g=dxWU}Tb*etrL< z1d!U66%$UQtp; z4yxuW1?R73N;K%y(&(2KMFl+EZ{zGR{Zt|SwB2#;JD)|vCm)f$V8B$z3v+>sMt9m= z%h~#UGoDP`E;0-=Crm*`1ELBst^^YVnQ3bbPVEtX!H>X0Q-AuYi4MKt-n7cE;UQhJ z>2J6)aHIO!w?*k@$FhQS0C0*;Tq)x=I)lX_Mw7q^jN|>tyW4~>B;NLC6aXm*m?e?5YLpyn7x%1pJ6HzO*iT~eTtsGG4eHDAZj=I}vh*V=1R@nM6x|`c z!I`yLPB%YMC#g}9x}yIqri*VZG~0%-nc1VFXM<;W2a^XDTqBO8&+nIJi5X33*2m=| zwC%Gu^`|JR$)SIeX-ay8ejA_QJe|63B9ELtfb{d%m+tRg@)nG44V37lA|&U;6?HV_ zrhtKNsg3YxhK{`ooLi-PZLjePt@fwv{ zbr%}Od@lNG67fohIJooBfD@6Q9MC9&M4!7){(&V%Kc{vvLzclt=F7`9Q0nodAV*#Of%bZ{-lC&3q zJCVCLSqSI01-^-}bOMqZfu!fC*q}0S0D5=u{kzfuE{PcljXX>b3`ftm5IuWW`%KUI z!m8~CyK_qMC1vy4TgZ0hHhiHVG^F36B+mG#&zz{wNT|<5q8pHJm`b2q0Z^{js2y}) zVd#BRPJR>R68sRZm#iTL++m;LL+{2#;OaobEjB8`-Ovezh& z=cv8_$ezR*74?}~biJc{1+gK_y7hjh2j^Sn(k-ymH1#=5>|SRbJj4);tL`Kw=%@`5Qrd%sjC*W2 z*Dg5Mh&b20(VjsPuZa?`Q4+5iXfbZNW|6O960a!|0z(`({3)YA#yxzTYsP5LIJB5) z=6rKukLAv!b}T-xHd8CzGPX3|X46-6F2$G=jWtM0fW_VD>?B`Zma<88Ax1b+M8N-7)U`Af1%R)YqYTipeuL(zOf-A0fM z=KF01lehAB7yT&Tpx!k2qJ!Ze-^5XT+GK#L05*|g&Yy+d71jn=Txnq3_nc$Nf+#`! z>})%R_V$$2R5w>f-L0m^&X{+%Wd76|TZR@UIcY!dQFH^b)3WLcH!UemEwFW0s-bk% zSV!O80cKE;gDnys9#Q%IqJdH}%n7Bl7v6o|deVXNSAs${K|8X%{@?{>=zq@;3A8O%WM!$<5$M|vJ#$cHvPc|pUhjPKP+#gsyz!U^LuT} z1GvcGiir1|t1PUGs#_&xVsLr%Y~4Z2_xGZ?6y`#!SljS!X3%C%^(kQhuWc$dTO_yT zuhhf4xeVG5xsc*`Tp7Vi_8T|d7M1UQzoa)X=LKw3$XE?vIZ@OYSxHXQ-qY?cOv{eg zqWr+6h?oYLvzq0|dQwLPNqGEvjlL7jnEHmcftfyUGs%igmu7R6J#n@%nLtK)7Xw}z ztJQ7RKJK34afs;v*<&*{$}AD&-|G;M6L zG_blUQFRfLZv2;mBCw*8!W$clS^4=p_{|S@CmB@%M9BzW|KJ3PODl`PVZ=n{p_%#` z2Z;prs3(cT6JSLQ{)#6h@V^2CJ48kb%l|%lo}SD;x6fxXPV})j$hpk%**fIg6Jk?_ ztGiCEGMtxNm>>OyDf*)Ya&tUgl)j=QlM@IpV0iCB!m?z0vC?R7H?nW1amtrtJIw8W zfaCZ>+(z)bF;!U&D`!{!mr9>~E#2Ol>ylRi{zGf;1orwjBfgqn$MxN5*3HK&DfZ8P z(fmEXTm}@rSL9d}F*O(p;9^}>c91J+$bBu(oDMYIY2ovB;MQM34ZHeXYSV43>t`dV zLG-O`$N6-c#cZ2T2#_L)~n9MRn?If$!{5h@q$fW?Z^Ki_kiESO$B~3q6aD_5TmTZ3s%*ZuRfRIbkq%3_ z<-6Y~)igbw1j*^M{SM6y!B!s)X(lkD75yJ4S?GB$d>?}TKslZg06l!v*y2gQV8Q94Z`Rchxb;4@YBhtmwZ)ONf>5rJQPn#%E3a%t< z>HnGV&7E^q#pcfIX3W&U_?-9 zQvIuJZ+MR|& zd5!r8-TE;1Ff)D}K!JRe?tZRE%f8%LTS99pjy!iLCyW)X;9!;q06FD)UysB)Wz;^X6vg(t!KG z^D~NMf%vp!x{3sRKC^>?FrKAV2!(5cle#bzgmCavNK>k?Cu;qGXDAIT++^wPCTOnS zL${Wb9!6Dl`~nxpO+DATT2wB%^f0hu(s(;B41G!cN3kuuX#W#kFRtZ9GU_xzu zV^&QHQNaI9!m-za$)!(Q$Bs_4SeyYmtxh!J;&1N`Vs^@p;f_Dl=Cig74ZS^7TfU1; zLU(gc^MuH)%6fVSKok?rb&)ggDjOwg%eZ7e88d_WennFj6fHqm{G7pP#&Ajp`aU_n7Hqgyj`NK)V+rg4GpaZ2mJ|pJsomS*uciq%fZc+o=P zpPpBkhmW6!pO;U7Uf0Ic*TKq$Ui*`k6Fncjt}4Bu&1d@e)(+lJGgCRAKP`iXCU5#b zgw}xZe|XmX|L_;|BXi6yn)h?SSa0ppA0L^DRS4Bf;u=CRWMg7uzs8Zv6A3=UW>)ys z7atSX&G9ZQE`;>g*AQWCXSTaoJ@m47zJv}snKzFp0WV(&7`)QyrFL;*1$(?cK3Wt& ziNU6FIvN9&6crWcDH}FE4DGm=7N5sFka=4q51xyj<_fN|f+A4sx!%OzWaRc0!J(aH zRPyr43$Gh#+~cFobhT-_cI!ztSyjMcm>t+{qx23yw9VfsdbKa)Yek;(;1gr0)&4X7 za*9rh-+o;$j0V6bW;8Nrj1J|`#s3gyKqhudO+gW*V|+x=6O!+yF=lGLMw}Y`(N2uk z+d~ZJ5q&1o7qBV$g(mJ^aN}MCAs@ZXurFu#d5q!aMK&}c`j@UfWvjXPMa$OyWzXMl zz4XZ?%Ndmj3P-zn@QtwJMt0cj{_VW5r}XsBBkP$I&G~(iX&wH#LyN7?LH60~s&wy) zRh1vl$(FrwoxE>V321webT*s8o9m&*+V(K6%gY}c*&S+A3zemI&+->=#1FY2iBSoSwRb%fugNp=2ZmT`>VW496?%ZI1r?3eoDbT%; zY8QMaT{qr{`2Bs=Ia%DJq>8TiuEwE{+*ZnJQMrdPIzN`@#vADgvitMsl$v}qZ@t13 zE)*Ora`gLv;MT}Zo3q@x$wl6SovFT=yN&?hpw9F#z$7>^8t4i7GEf5fj(O`%*-t3; zd0%Lrewc5c_YwaP*XI~2o7Xa+*_`vSzU#BY_+Wi_1^`le&bh<3f0ZMdVK|ZRF)lwE zIbYS7Jbit9^-VTuaE-L;NROzgxRV|qf*Q!#88JQr(|?rYYeHrGvrUcav)&<4Qx_+O zh@BOw_{aSnQiFl>vsqgPcC!`JQWmB#rmtuIgxf;${FT9=w3`5RX{+5&=4DFPJUN|~ zo=Bzd7`)%9awQ&PRFqgM%Coqywrh2?_TOFoeL{!tu3XK_R=cbI26|@(Kx%bP=ZqZ< zNDxcgcm*11etRxyUGjeE+cbB1%%?LO%>J0PH-tt7PU>p-pjedfUzc^?EYi{!%L#yJC;NsvFQI3v3VDZn{9pR4U%%?@U zm49jU1fKMFEPqmBTF+5`T6r!MTKr#^?<}mNf)-(y16Z;LFgTP;-hJH5p$>@LTzGGqeR@= zxRTq}%f9{D;cBy}43rpdVEgu4kA3PpH=VPu-a`Nx{&?dvi}tikY>FuGysN?O-Xjmc+Mxe!yu$0r-g$y-?V zk$@%u$37#Nn-#C(^Xu*}8?lNMtAe5IeGvwI7L8Ia`j;WxoFOJ`Jjn5yc%ao;?&fGt z@vKhqO2(XVtaeCIg;Y_(kkbbZsyGi?y-ynrTS?+R<0;8BZ)bw}VQh{jRJ1M|mtn z{i0OlwN0S;XLZEOaUaVaH5^<_^FWUfNmG@nad)y;#M0loBwQ9hZWjtR`z`%pp%$7h zaGg+^-@wt`pq1kYPldjzk92=O^+ueTo?05pe1!3-zn+!r%VS)(YLW>#a=ecOaC((v zkn*zp&xO{Zd1$@L_hwV4+^Y|>7N)h9UqWJMKo{?tOixuKa24AWF2&z$ndox;({)e2 ziS?Xu7R(f|#K32X#ych$gG70sOp$qKSV%fmtMt{UcyIpm{&`6w`QE6l!Kj9*0Vl-4 zCzn_)lqgSUXmL~sW7fYj!BnU^sEy_*!OSGzR~MHyV9#f)RX(`JBKt?Z6A2J>liV~wPcKPKwI-%`KgTI`O-kL4`uxaG2_~#K0o3Tup^8TYF^Ck)n^UBGkS*0p1wEpDl7;c zYnkkg%Q?%(*&59XkEGnC=Il4IE^mXu&6&C?jm6n6I~;i}o>P~^=JOQdk~ErfoiE*F zx5TYRd`hSMT@6pR9mG2XzvS31^M!8s5@T6*$K(YxR91 z{WR@0e8A{h)kGZE)JaG24ZB%Y?Y=X}?~w7hiTjV{G2?N@6FOl$F8$kNCoZ7{>O?VM z`e`egZGxozR-Lk_gFjSxX zm7w%bqhCQA^`q+vtaqYgW%8Ut`@&b>->She*ee%%IgNTYg_M@3p+m|l;3=}5?|xYw zRKLl5SnoD>a11!h|FV>_X?Aj%*1MnodBN?G`)_Y`l*jV=U^z~MROniT+UQPM$_NG+t^XqcjB*ZKlsmZ^(^4G>Z}~N>QL8A25*r6 zNy3)FHe_c}9{-yV@X1hWCGXm9)v~$FC4ql7w{GxecCADp=WV@z=csK_S~8`y%2}AC zhbogU0Y|3QnRkUHJYBNpcB>TTIEq_sy)Q2|(DLa{_;1TKC&xUyCw|Eu1EdTyA zQogfC&sAfyoQOx{g|%UVo1N>EENuNz^6&5ip{CeG2l-tC9^dbA=pi@DTetbaLSMeh zoSU}zR2Bngx<-lHcjm97ImCyE(jk+l=(@IO(Wf0#bxGh!9holOVp! zC9WuYQePE$;*}N?fUMU)qoVpgtAS^3BZn&ZiIQoj5ub@G^8|%e{wR1vqnXmTOibg2 zPFPnI?0;+?@tz(bnrEY-Z1s1R?69BcKD!%%QGsrt-u(|ON(nL@*b4uyo)tc9x|RG{LUZs%hL;g5i0vl`FJkj9 z7JY33@dHMRi()MXt`H8yOr)6ujPTVO-Or}o?m>1aYVyMIOO*h{* zeZw&#JOXxDQne0M74wx^2nu-fyYlTC&K#2kv!{~<=~UpNb%S!ahA~e{K=||e5uVK~0hT!kP zr@5`xs&TsKy;b9wzR)P)@lUEz`n$lI_b+U2S7`oe*O>R8UtzL{XbeY85R{sGPVBv{ zyMH8U5gEpeEYDk386BlMDfO z`HE>-Scz#FKed{4uTNfUV$DJnFxhCSpz-g+jT^wO7NHKm9yxWx&$CNvYw@5EMEYSK zj9WV3WRsYb0i|jb2GNH;UZZZ%4FyfpcN{RU{uGBa>W9tLSXOOrPDBOWIQPC#OWyy! zOe-`l)_u}4o~1Q?=1}z@UB*p1fFRbIALMTARW3xj!ZVKJ825 z8pW`OoD9BA3~B?C!H6NydvZzEy^T}e2fHItbUWWj;=fB)ySeE3FTvR5e<@!>ov>+X zB{ciy%2%+<6RyrLGbpzlq+FcmXxydz61*|Z#yInfM*3RpF<;id-P`C(0klgh=6`j3 zqscpu8KoN_%DesZk=)~%G!0w+$7=l76_@TGzd#l|o*`4*HZZJ$KZ-U-KbPmmNlwlB zYWa7v;NyW29l7T5(?Srf(HLT91JAH}Y2N&$!O#sFt5NLj`;pz8KY#x}Yi&z&CZ3BY zsCMf1KL0s>ZUhb5_2HE$NwE?5SCgg)bSjK-YCpwaNqu!oSs`fsRq<>-7N=N_#-@S$ z)f%LOOzc>(;9W7Dn1-o~n1;EF*e84&`pdz94X%vGG4`Ht^{S=B({q(~2eTHzB88Lo zkh#oM2l}Q=^{(v5ZNUTJ{W|{v5dI%H{10sX2bQ0J+w7Sbf|uhyAt!n|&wuPfB_@fy z)c_T+zme}xBWT*7le1u7*XZe}e}P_{ZCr2FYH}sPD!rU55scb-oPybqzsVufDCaS2 ze~1}>^pPPApQuLtBkm5a{auZc(n~c&NmMe{A#ZfwTRfirjO)5vGbQoA>K%M{aR3DA zZ_lAztH!EOxJs#pz~w}%-?j^dPA5mk0+taRy*IoO(F;But+t=FjG)gZRRw=V4Big} zp4s711oav0=M0pI0F-ia3;o+KcUch~%5EW1b@7+G75^iX{v%m$|Knz9{qZ8_ZHhy6 z1U4qQx?Cq|8B1w|PjjpYcD-yU?HXYP3{u?lP5GV@H{Hul`R=zXYpf+bzP9hY&bO!O8(JCs3^S!8J zgB9E9Lcf`#923S^T~tS%;#qxd0CRn`lX^{m++!8Rg5qQzu9GTWuF#$0aFYq7AM2BR zwIAcCp*i+e_UmNTqZQ`o!lm!Tyk?8_PvCvZy#)eptFbs)%a$LSenmIB|my)JEp=*M$w8(sMPzfa}e}c;XeJ z$B+Z)=#xylc~AZbmCVSU-`%gNA=hy-=?zokp3^%i`&oWsxpc)9!3X!bXSBkU!a;k6 z)y>vwr5zX(4%r%lJ->VJz(4wGl;>M=SS#B%%H)L6D!$h9F3o}YwFH`9; z7H@9*8l~Q}#NgPUweRhV2N;_RLHx0}eDNt(VH~6W_vak>ujhYj2=?S#W;Tx?`z*SykS2Q8cr6GU!NzwvBKA)qu3p?F^>+B1T$#!8ZCuC7 z&qsgCA>qefLBM-{4xg%_?LC*xzvUc|e`KAShrgLy-a8{jUOGvyjZZ7T))@Zf%Ztj3 z`>mHy{@Gjf*2N^P{4G0|gnK@VlZ-}ne5&0X>LnB8C+hfpp*|`P$99+}fwrEqF-}C?Hm`_kZpa|*_T$+bYBMRA z0mThnfwQroFZs0ZN19{bILMsR=0~qR^ysY>XjB?5kzX;l zm@C9M#^Bj9$s@wg)U4G+-iY{yt)v=!9{uvmwD44jaM1|UeFpAVjEdh!*9&-5jkRdC zUTP}jl-?;)fkBiNvvpURGD{~*^t%>(GCc2+-r(w`gDw~<-zf7UkAAFxR$?LiG{M|g zA6q20jOZLVpetI*epY$#un&8&uzYE6?zXK}k1tz^^t5RNtzF?y#S4@rlk34NOT zni%DTo}m?Uhz^sVeVm*WTraGzeabnH#j$>U1NnSEIwJW85!c{P>dzYqFTL6l`d4Cj zH40`we?}Pk*q3n7!{^`irs8I#^tMI`^C&MGp#vdDq2c9DA%D#0s zf71{%Azv~?urS_tV_o65->vak*}m)r2p1H^w9cBA5A!8R`K}`pSF?LAJgi%Md0-#+ z%1&lPJ3Q_CbAZOg0JU1(tpn8`(&LHL+IdXFkMl%Jv3-p8acM6MT_}d4BwHJq2<_GW zne!Dyi0^lLzrfqg`%XRk-ncat*zHXqki^!#R!8;2m-be%Z8q1XXi+lD>1{Luf6sNlWYl*|i9+ud~ zIsSPZd@c_8HY$o1XdC%ffcB+k9*O+*Ct82$cH?5p5&3@(Zu2_d8;m)`e5?$nq@(;3 zO7H`0huI{zK4IKU-4XmbLhXv{lOOTovG-67|qP|C6;PJlyMo7V9Xna*WOv z9+IK(THGK$Dpd_ChPB5(feR8GgfUF?V(HhLF6I=jHWD<)P|Sq+|HwV^*WPnw?mz6L zVIPSb(2yvH)BDKt?!Rfm);wxT+``;TD+Bt|kyj15le?*x zk+^?6(f#Pj=809u=Q*4mcIB`S_vP{%xTyuD7S-zNAKDfwXVS3{Y3?e|0GU0c5#m{e zXtqI3(xa=Y!$P)zEk?IuzOp4dT;=c0Zz_KpDBRla@RujAs~C>36#B(7?c>ypMShZT zb(+?neqosk`Pn`bKWyl8r|Bijg}+Ty)wyH#*-YR38&30$1l7EB{p>Tvg}sF3XQ;w( zi4MU48avx2*J47iN1O>b=3~&HL*D zr~eVT7#k1nYiImB6_9yav1K<9{c{-k(!)I(WOasO0=(f6kAgfTtZ3{&My7@dPK1p>Cuxt zX*IMHX(Hcha{SON>^ScFe^L-fHQKyd`UlM_h@$89;Wgze;JtV~lHeshlEceoDkUCk ztLB1ThtJ0k*PNidbght`rOEnnj-~hc)455I(n%zk ze44^ySMIWe`E$9fX}}&sgt#DjjpOh`m5B@SSoYG)J*F&&K}>+jTzw&gX`VA%f6O*y zLL3@q!BzFk0CGK{IuUK5?B<-!I{(r1%g4GAfJY6RU2}B{X6E!)WuDxFuUPd})HPa*~W;53iP(G_Tp%#E=(Fk$2(=f&-bjmu^pKxy1 z*9^00wWzNyP4G6Se1o5mooP>R=O~7-A^E8m{$0PV`tnlMX<$PrM9{A-vlU+W+IJW} zd8L~CXNjS?gJ-YuD(>4KL%!PXdi@Zm4jcSzl4Ti3neky_3h2(fYSr)MmpWAckhp0E z8x3gzJAo?;KMXrG6B8RQx|2S~eoLa3gy1VEPEXx5FT{v`f zzd=l+W^TBZ} zIn1(uXEFjVahpn_{j&G@>WrDiAF%(Ym$X}?YzgX=de1J4Wm@|4`YuqOKY^D+s|56- zqtv7iE4QAZ@q_BF|CEyGE0=mj*Ft)a8Z)nLG2+pq_kWI`^By`gh2`P7tPNyDL^W28 zVyQFG$mD)%>rOGU*|{olSh@5pxnO{APYA@;Gq?ZTOETd%TWrdI9YZ_Bs7ONd7fYP( zt_Xu)Wf@z1R$#5crtsyvw^pm4ygg!zOnGDL)fY}WLSL0Y8zsuBCN!&bI7}+2E`QmS z7XJ;Pd%LJmrB|S{quCSP^|J1y&#X(?F&axxS@9AHcxQys8BIwqtj?&r;jl8wi|(gz zrwI--u~*fjQ|XYU03&YpD{@(W%z19wh2ts(x^1;U z!Twdafy`F`e=Rxobm@APafPa1rXQc>Ie`b**Z-q60+zh=N0f?Onx{?(aAWv&XmESH zkxmfBZJ!NnEZiXr;6TzaKVg5RA8|UynE?z;Whs1OHOiS zU9%)^Z%aaA^4t3^j4K=y8+|UExOuYL){$Glra}qIGG>dewkIY(a$C5i@h8vh2cYZ@ zVa@4Bk>i)=i@vV_vk?PUiKrHZd+NOe#lVw~E}+OGwx-{Mt4qbn9XIEX07MD~fSB^W7 zk|7!AR_fpM=x#m9Au8C7BAj#b1Cat zhJB-im()p67z25RgMtnQ%Qf*-I=8EMmF7lSrF8zT0Td5TT`B3{sj&!gG?hQx?aR0O zdSoS%6>B2=U8!3rc?`=|0O-RpwlEFGa(XM0I#QlKU(F7z3tu%3IJz%h;uz{pBF< zvwG$~y4Ck0xfq}{p#~SgHS$*o-|aQK?Ny_1gP(XIxWn8q6#U;e$hux5o;N3iBUaScfMw3 z^-y%amT3gc9zc?bp@x=t<%f|bg=*^nBg?U|7@wV2Jo^s`43NJ!il%-777`(Z*`+%4 zz=Aur*f9u9tqmM8f1n=HdSadja`34}4i*VyFmQz`G+tT4VmccA;%QxJ1K>Qraa;%3>`IAd;hzq%_NQ`WZt8dFFoj5Rs)Kk_OCqmr*bB!V6{?Mw_(3p0S5JT7>tvEZ6Bone z9y_*swVrw}E7Ug|&hC0Gx1(^oS1dDybp3D}$u$}lbZ9?s|A%puT6N8dEp#Qki}{r% z>jP>M#*U46+(lt*8|Tw&(I5pfr9eoGuKdF#yZSsgqvF}Z!{TaMj|XXxnG@2d4>$>Q zzMTk0P2EtF$MjBbMKvr_13Kc@7bd0;aZw6q@seqE?>Uh864aY(euniuG=a#sqT5P3 zceVya`c{v-bf*o}TofkBWNldETGX z{>0WUyPWzvR~Z*2M-RYf-X+Av1-czG1?JcXRs*bgCGoK{eYKbAY;s%0()s{S$aDQ5 zP%xGxi#BbKR!sbq&Hzd%gvMX+zlL0jz0k!}o@SyH3@Pw4vOWfXg0_Cb7#apnS z_DkGA9=Atx=Lwd3Z%4UA{m0X8Ig+Jaksn66O}|WS-(I(V^X0!Dl4qHcSNnYr-IiGMb7~2l^qiNSy)rE@aTMrMSoOsIulmqR4gSl7g;yJ%jYFL5hTO5uA z#E;;bo!Rf4coJ()?NyhhorpPG@Ns*MN&-Tv7wlSe3+}*uAr<2bC5mZ*QAYb~{dUi8 zfsx&A1E*t|>AP!&-4NP9pcx!Uia4R!-Mjyt3p5;Kejt&hmW2aetf6j+|D2JozOCC+ z?buy=cBO{!=Xs-ZyLKv*!X22M3v7Q3d1g2s_mJFt4w-anie~+BzTI+W$6j|nAC}2a z`h2#~XTmTW3S0*ze}-@rr@Fkz^UkiLNPZj*sbXR3#skgS7PP+E`lo(c7)FF^CDBPO6S^PDUPxK)vcl@|><2EC`3RDR(Xkh)F7qq^QvpXEU z!bd=fcWf6!BamFnNq}^WwBV(fQbi9y8`8NWr47g#!Jh&KU#9^8WQWVJj$1W#+Qi=a z;A|--dzxTKAHsOv3uBaQ&*o<^f^8n3tnGRTmhRNwFLuv~Skra|MsPhjN3yS|Kx_nN zC`tnR0Tt165g62tW}z@CfP+}`M)1m)9b4;61E?OzSjOO=&wx^)Kw1P42lTPW32sSm z@9#VCa2YU4_fd#>8s&V3VhN@5VUT!@8HzFb^(Sz&L-fQB5(SiAJ|8WFR_h_J^{ym` z7kksDF(Q-2(>BtS1hLR|91vBuf36o_U)kv1=lRQ@+^c1Z7u}*F-5BVO5LIJY-+M6| z#Li@=zrYwwPo62IVc!MP_$)yrGEtm0At>38@J8zxubQjw5cuKMtwfDWgV}Fpt`LQO0Cxi-$anXzW_|NZ%GD4YgH|4Mx^Y{5#*h98e$Q>t# z?xlYd5{cTGpgXAUovZAH=UZpk2~bCi2aD8S#`WBA+yY!|AY*ZE5_(@$3>o(QN&n1k zDg`<`+rh8y)jv92NPK+$;MwV!v~GxqkU)o#Y7*VTz9QSy8fq^DK06MISvF-Bh|Cc%Wo$krmu5A1kPPu8Jyp~j) zTkEVZq`!YV-zcURbm2Xp+&L@a zL0t{EQ~wUZZgOeeALMQz7jv&KKs65xEXk#;Pp%wpPn94U`|wz6iMd?=Y2M%quXf0r z$Wu^eDUbJ?3m|7bklJ!k`tHUxB<@iT){Y#I%C1C&BOeR!*@1(jAHtBnxfiWk&ror~ zh|g#To#^wer(8Fdo)UoBudlaJ4NIrn+w~%IC=m|->(B?SdY>=Kh4SnM#F>y$0#w}u z$m⁢NoU^jfEb6d$h#Gi00zbbnPX;VoKxo|3Vz)y*-W~vgj!=CBL{28mmpT(Ler~ zQD5XX3ow|}XM23NW^Vp+$TH@9n*saK4HbXYYV0z<_SNaxc`r6Ho8=6M{lKHOj(Uc$ zOzlJYpY`&GqRh^EC(f)<~ykY0VgY_f$~p{P9!q*fS82>XE!6XisV z0K7zsVLy<41-d>%LHkfdqn4vcOLWvH><3sVuo_WO<1>d^0%U(Y`O;WGJRcC!+PzuF zXga}u^78P$>?Y|oXuS|by6rh(YA(fy;;)9aNy!iBRazMl^M*cf{G#MI1F^CsJ;tR0t09&X&yJe3G3M?2zx z^xl1-mzN%+RlhXmQ;Pm>f;~(UR@MXRyIU>xg@kr#8CE85YHy?P=5K_XoE(3zC0iKI z-8{|(6d`Jeh85^MFgD?RZ}G9yy3JsA7n{a9FP|mb_Z3={5Z~h0)fbAbGNkr`;gQ&} z4-J}{4~=to*6QeIIWou!z2z!Z|C+MPxo`E?+UM5qXcPYU;Yod*4>_>C=IKPeW74qJ zznSg{Yv;+)Rh2jI8+?jgs~Nvsar>Nu7(?Cxe?A;9nM;>Mn%i+({`y?cuk35m9BkMc z9VOhfzsvc5TVHfr<%CrJ(ei6G+D;Yl1@6SgIqP&oGI6YHF{B%#^BWC0^;#Qtei{s~ zZjywUoUl;mb(n2CG(IJ4+oPI4K5g8b-b0&j`^RLEZfHQBDtT|R*Oqn!NRaWOfW_pj z+lUW&-P!Z9(-Ts5Yd?~mMU4$IY76!8k~I@|vrK~xS-)_^vi>>8#d@}mXE3UILL@5L z@)KVuVu3GrBP=@NRnN)W_2I=wFfys0u@`M4|D5Ab!=(Ces}JxjhC*6fzL&{`8)PO? zmC;Jc=2-_OjvRRtbi{JM1xS(=p&n7?g;1+E_=@S^RF3^5LnHxtnL%1`9|HmKH1Tyy zzu&g%ZAV32x%7M`PgRCwek7XVR;P2^tOK{If1zb&#Yb;GcCri1?aDJJ{}4U-LV*m) z`?(aDblK^bDklR%Il@wzgNZe_kTK_HJFw#0>k(3XtTViNoTAjizU0nh za_oBlbEi(X$LH`gVAEABwDSX=3 z)hMYAai=}pjQCFARB^t`huNr#AXrRD_DQ59&rC?29Lz*YcavbLp-6`)yH|>u6X;6VXD$M(jxi6w3ziecY*+|SkABAoNlCX~A|j8V z5_vqwEzb|)yKH&C(?B|^%y@`!|{68IAA*|RqM9D6R zlEShxewSxoFPnWP-cJOuO{ow2G!>gVc{@a}Y&6iA1FqsdC9+#V)p^2da6pCS_dBJP z@qIpIlJt1hk^(rHW_>Wbm~N%`;br+bWGxB(4vgMi8*agOca4s$7*qs? zKMvW8Qr%a_OJ9FNB$vBA2Xvt$B>Y9=l#~R58MdrvBm=;6Gd1jvOU3rBUO2f}CNHV@ zW6!!aG_pX)QKnjgtv;RS?-2vtKjcqoXU;R{4G&**+@@{ib1x5OM+b|{-d;~Yd?bLh z6Yp1_%?3z4yPNxwzE%I+S$iaL2_JQIN?sqie##cHmZP9XDs zOD_pefuPGuM_yHpu=)woZI~C$$#aC~Ee_es`&U78)~Y?%)`Eh^lD$Reb7-jIB9Pl& zw$UR6I{L82GEQF&y#=zY8r@5_xV zvSV)B_Du8rkwE6^viTe9pa-8p?t5gQ96bgJjC;O0a}SyfY6s{Z4tJ-vzSu67eu;tF zyuiZj!9!2r;1yn>+HvpB8 z?7t>A7V>5UyVHLw+Dtxmh^T>Is`ux6D11@luc*@DmFwOW_nt=JYj98tTU}1=O1+`( zGIJ;S-Hb`E9-JlX^l&1``qLQL<6*ffKpkngQ3TKu*_Wwj2&~%z&^c8G{0l7GAZ`gm z6(p^lG``ygq`>ooxLVdxg-&*7HcWdT&a%(n(n%zb)tO<~`#)Zt^dGBT^S6!z#V$di z@W9C%0qM0NrMh!vpAbI+RT=y-mZ#glU6E9cOlxLt$bGsBI4U; zcoub=k1k2Hkd-TD7n4+%*DiHmAM~O;B8Ql8{4z4bD$}){4d-}DcvsgJnO7m~$sMLu zI%D|)2_et77`GftA5|~?1-P%X{EWNReu7hz1nG+OE`mF#s0FvXS=7#nb&kyqp1zYv zu{@_=a_hcW=?bC>a7Cx0(7u0jobVv`S7buk@qkAEGKeRz8U7x`4fSlj1l_n!5oP8o`*a$ zXc)?<$q$77h*4H&$B|buzUYR}MTlyYG)NNkc1q?x*3KXs^%-Qlhh@6BKBV-1ZmCnU z>pZubsR;DWI>(n?1IVDz_xQm+!wzR+Bf+v?L4itmuV#9mcZAfw;0%Xz&Dgy_^kUx~ zp*_mYSW*`OX}p7s4VLHEfh3pm&oAM!07)4X{~iy{%&qf1*38wzoq5qC2Ifv{^P~}* zgz~iCrKC4T*LK@$J1Nj3_rWp^wkUN)eURANoG7t2P|Wahr``OD!-N(r3ncB!!J-EH z9B!^pUw%5+o@^9yN1RxhQzsPwDf$*)iUQU9`m?8^fh2v4q@qCOzKxK7mYD7>&+(wd z2M5DqE1Br|$DxBQi1tF%&_ukI*HV8gyz#3S@g7}W?BG?3z%x+^G?-rz{5I(t_D%AO zpGeH$qoK(9YK7zG*{zv!VZqlY?^B>l9DQFVFDmgIX0mdxu0bu0`FcZ=O4M*`mH30@ z;X?Fjzcg;Nr9~%NH1d~&Mt&zX-g1&tOTE}uuYX-2KlncHR`WNHGa9A83mZbL=T>ql zOhDsa?~Er*^0Gf&Pka+6Y(#dY1ZGx}Z?ShTyMW&7NPPsAd!)IaCMXa#G57v=8idv0 zrG=UwTg$3t4?p@Q{D=oKJVb6hPBN>*;cQ<}5ra1{rO-j~#_s4wUj^E02?lEC zame1`UWw|(ko&@EgnQIF#LR86k_GR+fB-DI{>yTg$J+jWX!}zy@c;!r_4Q=u{Lp{2 zKeanDE->A3^Vb>!UblQJ)!Iv3OBdg*@82yJu4K2=%3xT~Vza^sN!V8P@vl&hH3|3m ztvKR7wKpy8OKYo9mq)!p`RTGuy~zT!m)fX%2o{)_Ni;creTAaGzm+8H!kN7P))2$) z7z?aOnBU1LngOr|jVigHB&|yxOdQ%MS4=t)oALB*Ko0{MMNzTq&Ij&nRos3Ebw$eX zYq}&m&P+(~MGA0o0C-ZeMqC{}c#geHX~}cfux)@D?P86k)O&A)8NHQGkaWKqO<0MC zx4cA_3@Uko_Ke`d!0X@G{24|z1s^6F=%*zkMt{V%C zg^<6HeA90X2!pR-fd>imaT!IE72K68f(`msq0UxnZ+6n_xl%9mVa|r@RZhfjQTmP{ zKTqt^86ON45ETjtp5!yF_%oDc1n>q6#l!dzsE7d4ZmdZR039NLAS~4WugZoJg+{xV zdrg4Rw1HBv)RrCXE5q+)uw6Okx*O!Tm5G*D>nXtOnBbF`1-nKplsO?Xk?G;)Jz@t3 z$k--fN0kXuqu}4^ZS6WWNVD-`LRiL*d09uG8VQlNgh(wVMDja$vi6MfA|9uCZ!e0W z$&GqYH8iHjY&5-DvRz5SJ!DAUegG2qnf0+S>?U6(ZKq4l9Tr;b)uoWLb2Q1*a<*T1 z>>1o#lQTc)!#jL`A-A6M(15QxO9)x3gI-1fS`}NbDd5M0NoU0?CfstU-^h12Ds*%k z&`YxL)X;}~o`tH5FBV%8z73gYLIsD+-F+Kh#3M=$Yrxs!Sg3&Eml^pZfp*fW`ZYbU z&t@Uy)>or2#EZW5FWnLHs2V!e}Q$hI~%K=))+6{QX!_v*$P)N7*s(R;;9u5aWujwk*=L z=)f7Hq}M*~)_@AY+Fyvq;rf}ev2x*aqMeOgODrWoT@4dH^gvD&lVxJ?_B|G?NP$|q z6K7+c?2=#CNNDo#hA=-_(R~#=q#t_tI_M>N8q6wIv{li)Fx)o*;&^g1&mo;~emh*` zrJ*o67>t(p6?!8NE?IjKQcHK}3A=me@gr~KR>*!0Eh|}oVt*|(&@B14s53xb)KI}) zR0}9^;z9F%OA^fl3wDPE)++^aoOnFSZ#_tadC6l$AELSScjHRs2QrEFCaxbvUAm2Q zj|PhRg|#p_Uw)ctE^vGKD3?*@24ngVwF0fLkM?5cl@o0hrOqlj={=hSQ#ia{lJ@#) zRk`D77YvjB9yw;XWmXtNTy@&3ITSm0!$IWn`RZ{(A>Syt_Z=at!CIZ>Q2UD=5=Y>+ zIjUnP#0vwqq~PC%$-oS8Bl2)fSU1a#8SH+|;9sjXv~kbNkWWSA(Z02U6e>)c; zYyt~>O6*Y^v;M$97!9$N-|-22dc-z`qLvE1756mKu;5pBCD4t3tmYQftTC4RNN@a) zDIr{|4!=c%PLZ9;@XTD%&gU?zzO#FqS_m;L2ww?k_+he<${lh1i4N9|2kXieo&5?w zN5g}n*dZcLo9ZvX_s>NkYC}0h{r(}0;B72$PpoJ6PkK zbiY;`T6`PU2xJ5|GKmThdH5!+PpJ!N7+kT&Ve7NkjB~uh`WdkllbbDW~ z_$j*=()4oj1z7RP0C;dWB&7+X;d=yNVj_=|C;yxO6c@=E{M+h8hQTXzL<1X?>aW1P zjG`-a`C)@TQ7MvnZ#N$V!W#V<@owOe+x|L?U;v}&f7N9m28=yc6d1qmoEig%Vu7=s zILdD4 zJ1lf^e|+TE2Jp?zoosK33H~lt>heyfB?By_Zt7zR&O_#}E7m7P>ny(_19JE4TvT@_9~aq0gD~SC;yvcKFniKd!v?Q5 zzIQjXvqPlcQp6abxU-g-Ij3&(Ae@jh17^TiA>2z;K)W294F>6srr{F#Tkzd{l0)**?&@R!!HvhLu zV17KKsA(v-aE-1-Z?u##kEWdY+*SP6^_zTC^Y);bs?+@B(sg$lemyNQz&m$l0|X2E z60nV%a$qA!LkDKy+kj)fCd!NEL&~3KNIbE8Ug1IKo*1WOqD1k_ zIfoAn)U^QR1M%w(uV?~1sJxClf|@yE@G9pGwRAT2hI=>Li)cu!oTyo^YX628$L19L zfE_xkXVB3C_a#+e z6&Us2y)C3L3_gjKR1G7p(v-N4#{w5V87pc3A_pN1Mws7C>=8oR@9)Y8E+fnjCh`b5 za4#<^T;>0)M!A#TjlSh#al8?H<2 zS}5PIiqiRjV2l8l$OaR-UfBf=f9w`NFudtC zf73ll_TnxZY-z|Ibvy0vPW%vka&^aU?R=1$E}v%W=3|sCrzsV(jB{!8gU9fT=)ICV zMv7gc7oWSuD}OND-B!^(2@}P7UcLLXbMnI7a;@T1H0D#?h>SA?*H~d zb!$p(NQ_R@5)I5DnJ-W5(SwoC^BiW=_xpHN6?*coJOuFL05H#_45WUL_a5ndO*CEj zI{)xYW5%mbAm!>uY4(puztLhcP{B&96~R>p(|73ZOnl%FrasR}U5d`1<6GP3wPa8( z`2ZL3^?-AU=M3G#6z<)Kf8i+>S`ijzo!iJFSqG8JfQ#^%Lq_Omg6>)~sk#6BBhTpb zEsvDidx>sKL&&K?m|xEzbZvU~%eohOzUG|<1;ELF;?Y+6&$vaTBrpk?dg0_RO1pp= z%(71jE7SD-^Z$Cd@^C2Is9&j+WO-9cmQZP>m0^Y<`zuruB@`hMBm0=8$d+Z2G6`dA zp)ev@XNCw_W^5URv5mpdU}lWjzj?pwyS_i~>pai7p8Gz}xqs(AzjN+TB^`tRaKvxc z!v+(d4Dhpr9MGA8fnp^+lj87bwXFhleKvyazVjZ!L@%OYG zvNfk{=bZ4us(eVe2MUQHTp|z^99zaO>sGqoM)d3|1Q_3XR4C#kULw5 z?8G$D2F)-1BGdK`KD%drTroyHqdS#yd1eRa{O5P?(2Y9gZj!c7<;~iy`7xtxj@`?= z7OvY|ga(`ZL_*vyo$|d{+k<0Y;l+FO#4yPkC|aJ*hp|b$(Jxg_AQe1z|-6 z8+3rB>FLnBM*#*uh$@Nvwkr}mO^or&u5B-rdw+f*RF#}D&? zRUTKp3jj-RRqbPBr=IBckg?|fzOgDkNPiM|TDk*UCM+SJhO8cHU-MOc#Qr(4%&xeq zyFT1Ixf5SnARPWv8~VKpWm!A>`(*RG1R+Qo>;772Et^yO^kPXEF&r<&^^1m1>;Z3r z7WCK)Lma!UMg(d8-ab#IDIH@7HxthH{XrhC+<-%C9HljV*(VG-We{(0%*T&-2>| z(nXxoWc>Kx{NQbZ4JRbYQB?@6jBWk;1{_pq?6gqkxdZtb3>sc?xk|a&Sh)Rh=h2zG zfPoYqJ75S)Y1Wu8670_^_4G{XBkd6W+$zG#1B8ba;iQxWOOf)9Wm9PF#(km8sMDK_ z^rb2(xINcd_mvLGI|V;%Zz+5gGJoe_aa#w?l7EEH({B-InRxE{<3v(ckC&H0w`W`q zocy9KPpg}w@^U=LR1>D&^rW4X2;3RAk#kqu1XSRXL|j|F4M>+;4Lv*hshS{CU9g4< z&Y;Cxg}YEhgkd}}B2J)p9#FbsI-PiiY1+B%(km-NW8cJ^aBo1pb3;{Jkiq0r?xN~z z?F{;m)8Er3EpOr~7%<`$*@U}LOKtbs!&Ue;XnBaMUT60;YmBre$t7ewxkidGF(MlS zn?DIM^?i9(TU;>UpRdHNH8&RentG;p;I}lC6WYfm?PSb1Tk=+HlZYEfEk5PB^{+%7 z=e$0HE>K;Q6p)^fW~Wx+gygTtd5JnMjw6+27ZUeZWy>u@kP0D}b^{O{4AvRyN>EMuyAZ zQjx-}h7vRYnQ-8Cg{|RCzc(OS3kAMK@DgMZFU)b;o>XXgjnQVRrYP+nqRYq~YnA3D zgvP@g0tXGc8q)`diK{-9pSogto#KKLA3>gt`^WEFpLc{!xn3#YylC74Gx1JD40c?g z6(SO68&ag$c03>wl>BN}y8I|5zCG=0$Z@Kscq_m_n70|yLn7Z4KFZUBPoH{SXoDs8 zi-NWd2#ltJ+hn-3fEp=Lh+%7>T+i7tPBi6v1tDNQvc6Z*Z1F!2!MKt4tVRjG zNGIakxoRB+2)+ih)vU1gvtD6@`KC9?91i^wO^L7kL=fjk%+?Kks@9P0AC-SK*~194 zkKHf%>Agq+pApm>QR}Wo-2$W6_leFHMZ$@TFF%%|HlocQB06xBI4F9~GfSuQ z3<=)2J-vKa{a!(Z=WMep+_v1aoPN1`mDeUpCxlM)FlPAA40Ts%0^M60^~6?ok1qmE zRHok5!f!e-rXz*6yPCyWM&jfw=UVFXxd9&U}qlPbbz} zHC}n}8OmF=G7u~8BjKX{i;c){*Gs@NQ7A?zSE!Ot|B4Ue-$k+0nLs|q#gDqDw`yFf zD`V@2j^)aaOD=TSBG8~%w)>-jOFIQ-#5L#$iEhS5>CGj^T|tKwdwN1og6YL-8uM8z zqoFvz`v0BXp96}oF?+Kumg~cDTTmVOpZ>m@yra%j;WvH-VRD_nm;3u|PMQ%G%ID!Q zy#XxhOx!hNY7>ejOcQ*4lFI!hp_$);a77)<=Zx4sqoUnDZv#C4Gu&~TR%2b^vhwgv zLiBFd7-=&!C~28e5Q4u|>k*j5DxU;ylEc+dJP!2im^AWe&4Jl&K^F(?7*dE)}u zQ~=Z6lhkK}t%G@uznROF1V@YiIIx+K(WcN6b z@1wr?(InW4-^hjppTVO{-JZ8U`^vKs_3?K_7CI>Sg_EuS4*DYfnD*@2VZ;?=fz$RY z2MRJSbuE@|{Hl@E<=42K@k}w#_d-S<>smA3)P-VBFEmAJ;n60;62Kaw$8Ck9cPyxq zCi2Oe^wP!HLATuO-8uiB8!>eK0^eQ{^AhDp&X=UHwm)Zz#wT6A+r%B~W zuX#WrUy1abuscVjw$)_zT^wT${FGTBNPjAFcNfARmn%~~#AqWDSvLd;ZAX?q5Tq*D zGamhi`ckOiBC~tQ;*+u%U zo^aw(xiX;!)Jgq7FfV5tA)DDoN})M@pm+lNd?z*B)k04c(IU}{M49n5kpVMGJ-ErV z`mU)Sr!rayqL-a3)Tj*gEhi%j$b0FxHNwGz9TwU4{^HpQh20V^1|OO;6#jIw47?D& z1%dSc^wdKf?=cuMvflN9xPDNp*ikRQF^fF;H&W^(Ru`K;9VvC2FfY4c)Eue}bzfqs zl<}NjlVQ$&VbSoNCY|fh+h}TyLIiLhAg4%J=vepPW*p;8kip?!Jjnn_mL=AMZi)#a zKQ2+GuYLp^)yNH3MdQfy(~7vw+=nmOM&Kf4&NJC651J*b!LOOkdt!l&k-m%7vt-RM z9OSAr5a)}ODcC~~aCn7&x@aqzj?{v#ar=&-$uw=KF<=S`*DWHOOI48T6>;hb9)9-% zqXN;)e-Bxgo>wZq&28Y!mgrbvTJh3Q_%`U?;ZWCrvqZwTiS{q>ZJ(wlf!A&|ienyZ z_A3UEZV8%yA!=H#wMR#|Bfi_Ajv>aNpfJRc0}wDqQvYdY6o-jy+ArFO8h0C@!n(9v zM1DRm&U*ewggU2GhIyh($AZ#;efo#AB&uV@HS&equvLz%O!DXbrp4SJ%rzBCc<$ z(BADL-akc&s;AZaciLJ>g_I<(Yqkv`B}up zv1i89G%!Ag>TCB@@M;)n5Ek&VU9PxGE*?x!3umo3i+dLaw-SiDC;H39<~1K-*3R5J z_uoBPtW^s%_A=(Ch^n)P=VZaiI6u@Jy<2F1=az?B96m zxLNNkfS@J*7T!ew6B#?-Pl2}OdNuhu-B3>#-+Xw^5aB|?TmYAoHD@~j(>^uIO&W` zef65(q+_92RnXy$`7&@YmvelIiA!CJ#!o{F*PQQ4Xz;=rc#-nPT}e#zF^6IcOb}Gd zH#_RaGL}W*h&Z6t?0#POXAF9o}k7+@`?Q7 z8*}%;-J*BgN6|OuR{(v=Ki4gz? zPp?naUFk+%s;Cz~D6W!i&p%1uXKX|Vb8c*|BxG50oP3~&Hxg+7%DaJ>x6O}wh1O&8 z{hkux+mP~o-JAXq!z)Wou$9kEawPTu));U>a1-=r;iLE+V_pquK5^@U->LM6S4Fwq zv#9<(z-l4VL;$9JQ*`<}+x?xUHcJWw!Vru*x)+m2La!o@$*%*zeUk_U7VRE#-sIoo zykEgby0f<;hWm?KJcCHfjKc^}GhyGRa-%Rmz;dY{a5>n>dejt!Y|{^{t#FxzJ(f-@ zeq0tZLd=OMJ1$4Bm@5oPk|Y7S>-;?UB_iorsOqvenaLdJ*<79hb>yRU9|n5PxqVZi z`6=ceN(P{@| zB17}zl%RPUrt-K#J@_>}`fqY{UO~qaSB?)K$cmax8w%gKaotj=RiwtmO5=Ls>x1+h zO@hpf%E`Rs|4tLp`X{E79=vrj0_LWLUvzimNQq&o!9pJX{^8teQ10TCr$Tf8Z0fkt z)CbVr)>aJbYPXcPuk=pH0~55fF~1z zkm)m2d!QnP~_EDI?b*r*1_Ki(74YZER3us$tA3TinTz~j` zItE8P@tFGU1SHgKlsoAGT;$#~V!*V46Nj?nboDBB$3wom_%BUC($#-N-`cHX-BtE+ zgAmjKaFm@kod?;g@n3U(kHB8WeD~HOvK6BN@>L~N(Upgw03e$4TjKq#Tr^J0A%+i8 zSFR#eOV|eqz49u_X_Dr&P!pg<{Oy22d8NN6w~7znc8uDypyQ3XY{RFp@OUSt-)Cz0 ztHAwfu=EjWEq~U4LM4|m!lz^M}k2^iy^0xqkK&<&L{KP-L#=f(^Kj8OMI(t6J z!*xz=VcqC#5xG=?z;0U5ybDv%JoSFM{eMGkC@!R#4Ry1?8pzgp1fv$%JPCfMCVA+{ z*Lg6K7QzQ4N{C4w*uxOqq(moGGW;u%%1NZj3pHMncY`gkREa9SMDw{RC=OgiUXu)^ zwV-F?Jg{wdW}{tomVF5pCf<71>xK=-I0&^VQIf~uy7jTc4SS0`_RBst$UF12k%nOSPaMX);k{dH%Z~gJxoNN0zSm)$9ar5>X*=6 zBCS|sN>I33M>hni&`tj!iCQN1R~Xc^>*kVG=wGI=Y6(s1yuS{*9`h=tfTK^wQNYKA+*(DGbvv);% z_E*3fzKv)zc)KwpATzBMctEFkFE67MtNlbfGs!q{r639<5;!DR@A^V!hr2}taV%}=!YL+7J0*c5v0Ny1!D{K5a-&>Sv_ui*FISFJXDV^%E zzu9fVgZFe8f*ZF{Z+{X)(Wj&)kY7z+DAluGw8u1nC$=ME_6#4j!7@!^5y5sy&iwwD z{C}5IVy4!p!e4D~3OcTP-drKHN1udT;lsAaNjMS*XYger{hG29WKy}suw@$7yiEGa zj@LJF`-T0(4L>5*tBhbX(#N<%ytin`$Cct4+prbjfnpqpbE_QiERXrnSfn^Lfx z2#nUa!FrtK3GrgB^zh$A6Ag)HxxlOgemD!mW0aKZ>4pujocgCwmU)9=Z5JiTV7*)I z)t__!&MAg8)VNL8HWmpGFM_R&QzK4VM^fu_5#ox%*_@Ncl~Ns=F@k#w3c$^ zBC|p}hp!nujal8gi;`oaCGI7~e*!yhmA%3IXDB>>PNH z%~zs+>ALw}EHfFnx?t#H-_VO@!}S(wjO`un8&6~=GJwe@P$6u4(|aa7L)Cq49fL_sci~iwCPdnw ze9I86=J^TL)r0QW!ymk5bS`p6Y;@!(=b4Kv1ALAjCBOSv*GsGToBTQ3YEVKFhD2~CF$Tu}sOxu`TW^>HN^u=ck zxKM$@S5bago7fkWyBoL=VMU1i_~#G;DZ0=ZraPzhI>HJI&i}i7HKs_{rqk7BBi`fa z0;2)e)gNZOGsPd-`Gp*FDUaeg4d40NFCnuuv+0&*ho=1>@s-WORewW*Ol}%ve6K*- z>WuUnC23Z-Pm(>`$lqOeKy?Ltwe|AB$w9g|tL6)2756Bu+IhR**}mX#Ksa9cn?h4) zMgpTWfD4vYp&W91T1|Ap20Y|)^R^b%-RZ~;J(WZTe_tE}8vqpS z0bGPI6yq}OUT3((BGkX_UPW#}h=s$APpdT+Y6}q56V2mR+`_Cx%6$Ey$4^nA@{rB4 zezYI`A7WBc*4MKFML7+LIhpQ02%33!F{OKOz0$D&ya{NV`ie~~CWKamxDqyr?@`*@ zm}S&{VP^Y%%-!0f1YPV%%&^#*uCkYz%a{Des>g$|!#Bqv4 zdqKHHO+k@R?uJA%p-z)m%-!wadFo~H36;e=SP9GOR(dHMg3xHmKG>oOrkM3YJiA*( z?@TNV!=oR&|M+$6%DUFV6F*8PP}TaO>I8*)LMF%m8;CtwP0YCP5nVv7S6uJQ7e1kQ zP~B3u;?d@jozdW_&&qSXo0yaQQ!_(fY3Crm({5h!(~S?t z937R4HQ>AOBTP-Ub&QoP>{^dI6m5s-pEyxG z_cl8FdU{Sqrf>T-gsz&6Fy=(I$|1v_4_-(aUrJ?eKJ?qyrZ0}AN{cyu@@D6AtqmMJ zrwN)zuF`A=!v)Q!v!A_knCd+jAMvo#rMpY8XIOTdc%v$}tXuY*?U1Q$E;g9%dY+PoEg{L{Zg zLpN5x{Xv&3o&6T>eFTgCt1kEHrA=^j!t%Bs_kt8773(ky?-dWGY7k!LJ-m0=cE5Wt zy&Ja0JGi`9t1B>NbL4~n*A(3=kpvigX4`{+wxIf=ycgHOoB#y*<|d;ZvmW5AT9aIPUxxyuoCuu@SSy zEg(^6l`QxCXG@1d<09y_0RnO1oo4axVpbW;;x2_WsK>QDx8$|@Jz1`+byd*PQR98k zNu7BvIc56mC@SiR7nJ=s*KqBTY>h?st+dfe^C2v@aIJqic*B0H>O~zjA6LV&Tfc6N zUNQP)5FYwk_VjAa$!rxh>|Y>IenHJ14)0+)9nKx&ozPyf>n~@jC2QEMmTYXx4Zc{F jrvJuAIhyr_a^(BooKZqE=T2j7x!{yMO+#|Qx>)=_ZrRN$ literal 25400 zcmX_`1yCGMu<#SyB?Na5?r=B>L4pVO3m)9vLU4!R4k18rcR3t_ySuv`c5vK1{_lPD z?N`mWGE$LxD!e!jwkF+}iDRq@*U|YnV50O27TD zdQ*q=e;Q!+f7we=UhD=EIWepv6)7H4Tn*D%A@Brzww(`j^F zE+=|@so!nUKF}`sY#o|>LKwBV|DY!OOdBjlU8U#gv%D{h+jCJ$5DHx4~-vMQ*2PqCZjE3n}ZN!=YF; z$BL|(P|`b8PGLMv%0O>7p<}N#4u~!}iw@Z*RfN8H#TAxH4nf7_EEk9huxfo1%QMC* z^b(rV9!fuick@1|_6Y1`p1M25M$H=*Msa;WyiP>z3*Tjja0AjLT1D(>_k+CWlyF!a z#T1uuCU0Wy`aN^m{X+vf#N!OIf&I`nO0to!(`Cv_Z^X{OEk=UFiJt7XXJBlPx#6cG z7CK-W$uPYM{e9(nKg_&ipL7VJgw;mTjXpTcy=5y(HG-=Gr>#MwQ*4n#XvNa@MBtf0 z%q;*-d1Bm-U~nGbQn{=6+~-0+DJr~)xtv9r^KCJ9^j4sHp?N}JH_W0*Pwb{Xp6>dO zp`y2?VGjXS!Iu~OKDodyskv>31#6{n-u@ZI>0vIHD3zx!J+Z-gxiKLm4X0sMGTfU{ z*J2j2C-(Gr;Z{9(!Wzy3DiZ>~NMP);O}kgk%Pyk*GNOQ6N3ksk5Wu$yU|PjSy1ff4 zR#~wSu||v0#x5QH!*@gbOkI-{pGfXKEx}jZNbP;lRqtm`I)+)0vGhGYoQRU!Y@rJk z-7|IJl=rE@ANTTu#IL~fG5@+*$@k0QRZml6EOM8(VP{MHQPJYHg-b~yQR1zIOQN3d zebeGhFIT>vt&2o;`pVS;r;Y812p?Da1#^^tHy_)->s0nkIeHK6LXtAA-7 z8JB_U$l75Iq`9ZjZPmtgB*G!#ow3byS?_LcShABB zY&ED=G6rnvAM(xw)e)C2{mELIb+c1S~)ScZ6MW=2og9vny9QS=H^&zWn}Tfxrp z_wPSD_N}V~f7FxY$P{j*$eC{xX=fF@Nyed5J!iOKpFb>P6GXj!@4Hek3l2{{70toV zuy4h7*EpDaqme6o*X_@Ep|<|?2#GMM=me7M-SPYxlQ;>`z`aFZJTL5;VifZqtU&bJ ztGtfOv7g<1t3=oM?Vp|dlqPTa$G2UffLox75<5!?lT;!eS@U{w#I8mr!_m{)c`{MK zy2|NiAqstmN};!=4Rwaqd8gUlEPMFA=g+B0huJl=Q?mo=XHQ#{QxOy`{H|JUX(%Dr zZX`JzT-`6<|6wEs4)|N>g#XZP&8p)nby(k+wno<*X zI~K)MwsD}<|5FvJdpy9AtP#yKfbBGvDd;+K%K}dABuurWI-M$`qnBhf@elrJnuT_@ zKvDC3yG}54Yp?r6C&bd=y!cx{gaEE?gWVT$(%#V2^36=Q2`T(1Q=ygN*#a78oJ4mHuGTW5JXI2dFZvv~4F>dkxPbKgbFUMd+ZU~MFM9OpJQptI37#E(&XM;c^e zOyXsd#&j@6bA*hV4o=Y`ZbxJ=nO0$G_IdneI{6xZ@zoxK$obYGkELpkoBg@tLAc5G z!6n9B9a{^NVbh-Q8JlbD-BbSLul2Sx#tPnddcS537`ZTK-tws#T=;U^tk$30DU!Z5#|iP#y(8M~)p zlXKtFolS>K{Y-Gxu{a^>qD^;PJ^nLuJNoE(>H3kN$82gOyMousbV)9C4v(Mq-s!|& z3%4vkgSL7_8&QIDx2&b1B4wp!>jx3{e8Lp?>X&%d=Ud}io0-CFkCEbk4XlK9kZsqZ zQock*ts8>XBP_mu44;=Jv(W^NMjuWE7VX^3TPxG{k4TB!6Mp$}OgJW3v9KY+O37s^mm3QcsS8$Bq7}^u2$|(Gp z#d{W)Ymvp7-8dgZ#Se3zqiL%Xjx{Bg6{;8WMkyxuqI{7G)kY+&Lva9yu#6KG>KQ=^ zYd*88%T5=NB0=cznyA$l1}5KR-M@HLFH>4;0o556QuwpW*_e|orG-vM`cU2X(@T#; z+4FZiMvxlR#(+20cxY0YOv*?gtj6=Ie+RiJlfMk|C_ zpl2G@(v+W8n>D~#9o>FPz7pTAi0bTlL36RU*3u9<>#6QwXi*+X*}op?%o4uEQ{pv_ zk#2STG!2wQBx6=QV9)UEy#|=I5u>)c$qz8C59WfL65|sHvMQId85QG27dWYzWL4al zBsQZrjL%d)=WS*jVWy7hwf#`fN+<-JH+da*AV(jx410SNM&~w|UuUI`3D;IWR!AJ= zb|jDT+4r{AO#*p=sWF%#JuYxGVpp9R2o*t@=!9Qq8oTJfXcauz-;^N9zkA{(UMt7t z+{Wbr3TMKze&}(MH2yeOIIE6NXTr?vqL3v5D=z(x?>%QZMA;2;A%f%@znHfnbUH5S z(Dfg~;&|hzmZvPyX%woLE5mA|e)igO>)AnI!A;Oj4}8kcw?qWhIr{n#g~VqdRzlJn!$Uk6fc+ z?DFneBu~JKZ+|sB?WsodFeF7DQ;O&I~6uRUztuRVP!^s-)TXC1L;$ywzGC_1rhDkgJ)j;a#pF&-Qx!n!d~-o3$)3gz|o6$|&Gs zl4ExzV&V?%{EyD~A6JLz>9ld=Sg9FN?S$JLfwoZkuqt&rL`B`d-C!>T|-j zU+ymJi}UVN34-z?d2_~+5(M~ymxFAy=PwK=2Z?mlKWgHEIyQ4(0?k4j_|An zC>wA)UPF-;nKE4l!YxT_y+|%AfvfNiT#fmFQCq6yy@*gS@z@*g%X6|nxyi9c`l}V> zS&hyz8&)-yy`r~0rD2?jYo7I+{>c&J-nS5`syj%E2!bdWQ zmEBZ8sDCFD_KfxW9dD4p*YY?%%vN?`v*v?x0LkQq#q|D*+^BQkXB=^oUInIkCN$tB zjgq*%xy^=*nHIC;_jRj@-@Ej&8tZn{0@KS5*c^wTd!e^`mOpPPv=MK%$#+V-$12;= zx2(DqYiruQv#Mq)m)yip11zR}-hQu)S||%pA$9+H;IEf#V3%x5_!*1%NwtT_*XSPf zQ$R3#Y`HrGrB>!ojxc-M7a_A#k8-$Q_25N%t6tx)=(g%g@w;^4^|;MV(z$_gZaoK0 zE_16z*y>YJoHu#$jp_^+j@}njV`3yO^5Q~Oo!zk&;-!^}_7U5d}c500rbKD z=LDw^RiVjRSU&hx;fclUS!?E{vw{xTBh#MtLDSRm5W6vmE z@Rs@Kb)%nX_H83;f9UbV=1Fn*+f6}I@?%^iknrMo(UDVTBSK-QEPlxcn=F;3p?t>I zP~lNwr+4-mm`p_c}u2~<#?$X8A@?;2AbC;S4hMg-p67X$&{?Jz{ zpbITqV4%{vyAjuYfggv?*R|1DT45om2L+`Zt5=us-#5ho4IZaoK}lHI=4#wZEF_vJ z!6V<)NU>OhKU(0$t)L(#pQMeeLoiW>lnyM9cl56 z`wmwWjvHEhkFHX#$4_@nk^dmB196IlYPSCH=TUF{k?i*^Cf(`-!`Z|%Bf}Z~%quj1 zecB;6La!tXQ4LP)3K4I|v2hJ^!t1nY+h4e^WLK@~c5`Q~*j0;cwLu|Y|6`a>K0g-t zNy?$sw27b3^@;u6N3<{JT~5W5ygcXx&cE4|EtyAF~{6Qo!#H46oXMcRf95 zLD2&ahl`tLjfc4mFQ~ccA$4U2aZGwU#xY+Xx!w3!EK}xLl|moZl_L29`AU?|kIBbK zhnY2ERWm$qeibs`cU}GM-x(;$A~I*mv*tg2(NE;9+~6W+nLT-l`p=AK8fowWIs8#7 ze^8YB&-D4v#FT$EfzHX+cTF3*Q6j-Pk%*T6Wwo2Z&V&o{VOJ4k4s`?Z<6afmPjriT zru<#x&AH}iZ?guhu%gUl!S?-D`kY{h{F-LKBvh3MKBOIIR&S<)pC+dP9 zEfp0bCmp0pau`-p9qa|CG8=5lP_h=vO3Cc>%ZqJlUhi!4cW<#@Yqp5nN7+!o2;;T$ zSbeEMTRE+_xY4HVyLki7J4)5kpjtp6Vr z{)5PWVEqqd{{iDaJoUc90VQb%rApcOQ&1o30w*u)cMr?zPuf3R7-+;Dk~G^)c@go_ zbnD;tw|DnVoZOPuK3o(Z|9N|$B-jlel)l0FA8TMNp~{cu^Y z3~559;mau2_q*XWbWYGgS7NntNlO&o-=|4@TTtV^frO3seJF_0V*KCHZMZ{+(6?in zI0y#Pgo!mzNVwYNu&SZ)n_|nx(-SY*f+E>8uAv&^T2MiCZMDk9LT!bfUfKO3KYpuxq1@|MIR$2Vwz1 zYF(Gfm2+b8$VYbJi*g~HN*B}Zna2Hv7RRBM^*DlZTD=Hd{TjDp+xV>d@63hiUqdOC zAT6ockRNy=x6w8P2IYf>vjsrStU3Kg?!_ZeWp=dlukqsvcTZ;n2M&c*g`Z^Ui(+#d z%I&4w?^e-{T^dem2`mvQ>WSDzzh7rVFb~>|K^tnFuAR1LW0r0BqqOLsC<|ELhiqJ; zG1pilDA}z_MXhJ%G%8!$ZV(Ll8IGE0shCe`X&$DQ))pC5SsW3LO@uOENEjVj?szWD z6=;cmrk6iV;P~-ev8B@a^a>`n-m)Ss=Wj(~l{Z=f2Wc$W0KNtnb|3$KKf$^eAS&JM zc=qF3ySO%uD|gExn}xi8ZdD47-Qf4JTjmpe+Nm!eKA!NoSMJnf&ZOtiJWXMkB%~ai zuA9-awMKd~%S2Vpn3M+Csj-^$Pbltxm>6-0p*=2YTFG)>>or?X7}L=^_^GTu@rOMe zKg7`A9U?2=S>KjkG?d8*Yn{_}7p@;1Y^LTc!gl{om>0Y~Z`*g#96qNPt}VDF_eSJg zCs{ukG8JpucTv)DpHW-ApipH$MDAHduv)?Ps8my$IDO$@-k+)#ZixqUMHn~m+c6|w ze=>w17a{154-(n-@~wQv^}y{DAI-=R-Dq7<8MFB6kV*|y-ba1sTA=4vHwDu4_+=Ds zIgN`-KQCgwP+{Skx}-1ehT1DM>O!O{KGIuB{k2wa;3hriOMTG$49OWQ35yY7*Ne%Z z^9WCQQGyL6r`Y(lSk?ScqIQ{+T}9Rr=eAyN)K;kG%>J z%f{BmRWnGS(`3$!yWj zjckW#J`OTd`dRZDLgeZ{f0=2d#n<0&t7Kp;Oph0V(qd*w86PzZ$wY^SGCoJrq%)a7 z%Xc@8;yc%hyUJz--3_DhF^x{8D5_E~k36aWTCjnhzb^`uhc+%)p;QDxBulWSghzVy z&1%17D50XCtM3YAdmnbLF)cc%?vT9y9EaJ`9;xRl_Iuz^;LfpGRvg#4^W3BKI!yV2 zB6FU4^2gE{I4jn}S^JLuGBeONVX~cExcTI|v{?g;MaPF|^jE_O2a9Q;*ZW(|Nt0$B zQp09Wi1JD{BUlBH>-}=%Pv1u@#=|QX*J__P2K*rgAnyEGD+%_XYL5mmBwvA!P!}2tI%3RIGo+o%o^Gj|;)L6AOIh^?#)(uV#o@ zp!xNT_aEulpW{yo2i{X%jFxN*S|l~Z37i9pu>vI!s+2t!tisW}WMzt>s3yl6Z0 zB)c}$obOsj2ue65%*DsdD1IQ;_x?i0MJySQY6kU*`H5^)OjU0c25m>@jF#;@N5qrXOBO{q@HJVc582sK_T2BF6coSqFUKc_2)7VDAtwWM*u$A6E<7d8a zZ0Q-E#!>_3ky4PwH>wi^gxQ}G{F!#uL|)KnLpqOV`>(5_dgJ@sKKYWZvoqJx_Z_<>b#Vn-E`*Ag}R9hd6*ImR`0%NJ)u0a``?)ijO#&zU;}c<3$Wsfy(_B#Z zQ_y1wC?#y2k^wg@7{->?M4_hI=#x-~A0tOLOsKWWvu;m+ ztgwB8T6q+4*HC8GnIKo8H(s7T-ka`zf!xVcy`$gBKg{-zy42C2=nF1Q{2zn)GJ|!_ zkB)OuE`kU1_xUFnk^52V3doBJY|#G3NbPjjsyXb+DfLO$#uc37I<0)7{xhM<1XUR` zWAROEdI;k=)#dU(c|Fx5UTpe>3ZHKiG)8!4Rv#U2yS-XXjq$_1|BR}GyuOK4e?}D6 z7==xi>*}2lSMGQh$+_zjcwE$|2LcZEGnxD?uc0=rGyY1HY!CWVuA_vriA_<9E96=K zYT9h?y^L#d{-7iqiX|?JY-U;u_?&jvz)qQ8!!Yi%-nP_!t#ZpCBlj*c0J2EGOv9nj*ogrYD^k;+M()hHS1=nY2Hv{18n4qs zy^O_iy+1h;udA z&G>NdO}ICszr=ay>y3Rhxu_OiT}M;K4AjVtnm%hx^SV>z_}_~!R4w?sud+>?6jo~n+EfV#cK+eo$`WyR# z)ukC{J-i$c*~rRYVc;XfmZ6&9o7nhOdf|}=dpSHwxBOFIn|{`v)V^H^K?JeJ1% zuI%!d&iYI?se_y(2jcYKVij3Yx1EovF{!DK=f%B?Ua@UX$Jrg>eY2lgc@Jrc-s_RM zCu){)QRboSnHzqv0*dewj!3QcPQXIxpM5&26(rx*c43umRmJf!mT0=}o30@lo{KB| zu^G!r`&g$Nx&GD-&B2YupVIqZTFXXn?^hc|oK%Sek#jBz35%rWy_ur7>F(OaziS)TsCdAqKy;KEB zRg_AJBZnv(s)F`7z{7n;3w5?STm_wc+CrHt55Xpa!garwUA)Aj(!$Dhq(y7lOuO>; z5P?l5JL}J*$d!-bo3<%0f$blU;Va>HcPt|knVbPt)*TW<`01dF zy5lb+)4XY6;X}OF!1PEsM`+*zy+t7UjZE+q3w$3p9!t_p+8`c7JchyTh6~i( zFIP9{KntSc`eET!S6+h5ya$@Xj|hxCxfF(K@+SeW<`Jg78?gG}MP$`Qbn-v<;EqdH z&5i7^Cc#g^6R4u13Ge~0={JH@(#=d~eO8?5n?<{Ac%P!dKR~TPDEG&F&)=}exIc4d zM!pz5BP z1X+F9o&>HBub@`bl8`~q*%gIgP_2eVFa;bVe3$B(0O-uEmMd9$Z*=x8FBQI7yyuO3 zz`W_OYB!M}*EjhdUVdh1`B64`A7%Lq93M{m>T5MY{4y}2PV(j%mwTQDX~!|H=|upL z)R*#rl7Ybp*P)^&wIpQ%C1V<&I<>abN*p#jk4leJGpMRFCgkx!X>R1hSQMxR-_qlv zW8VPxa}&~rGztRC!N0=x_Rmq>xA0&wbxZASqZkZ<&oZV66ynD^b5gzT*Pt`l$n>xg4n zS8tcvh6G3lcJ?S^n3eeiT+DqJ3Uxnm9iK$zZ{Z4AI8*ZLibceYPYr^Kbq^O>&|E$$ z!8o>XPb(YlXafzXG26PHGBa@x!v(rG6F@&ddOM6Y;C6XV&E$ey(DC5Ju~3>JM98I- zZ*z5HB1Azm#`X72Gb09kg6IjMT-#g68s{;Ehb|5A`E$%~dBo=rW}i8qXC&GJ48oq6 z|5j%%IHv9TlctGJ6IBH`a7R~dI;h>Pb_PFjG-v^z;?+%QK)hjwClK%CMg%vicbTcx zA}`*^$rge4swj`9orB zSIf1#OUIjGb~yDFA2C^Q)n@8a2kU#{Iv%Q%r}%!Vt7yhDE2FNkZMdVyKC*3c;kLZM z#vkRL{@~{|0X8;Ei82*mXXYNfh!>HV2Wrp9U5?gmc&$S2Ln&l$&X2`OnMUGw69p(< zFrc>Ydm@}~1O`_Fb4-k3OB9@=8(~~s%ZI%UygVz6jkB{GW|LUFUxb#P8iUPL=7%HQ zFdX7BgfT|wj&DTpqpnk%<;8ISA;PBU=ATpVd!CC5ikK&J{6~5jF+J3sqXX%7AKxO+ zn39&ztIXj;2DRx}+dy;p%-*bYJUjlJGBOWoB6O*E5Via~y)Ak>Sxr@J&Z}s0f}XQi4%OpbBA#IOX5_xXp3w}zMT0$;HW}qK^fHePA zx)gBgQi_~JQDPD(M-}@j9Dz9kWD2zcHzQ_U8C2pIs!TQ0ASV%ZLx9NSs!&%wiA92ViMd zRW^5ef(d0q^n=iDGIW9;T6VU`obojHt*bIgTV@i&WS8;C0kB{1%fR1B2Y+_C50;ol z1a+B4K6T_t!t4d52K}k8>+mUT}JF$Z-zdvhY;wRql)7(X*3cw zcM!Ds8>jxG^Co`+we49rFpiJ(^lB3+Ko7*=5*E4kCX~|H`AGxIqsJHO5$pzzc`(Tr zdpVl?jk+(Xa?VV1%XIkD`6^p3=i4J!gP?r|@C`fv-^YStcfqZ+Lw9+sU=pmbLZfvIrmHjsEji})Yxb%uz zuR4YIZIh|OXQKi=c+QEm=XTcM;tDK1-h;;Wnc z(8AdujbiR6;cM4(@xI&viSC!lFYj(`>w()=Z+9Q_WcR(1u0CIb* zZNg+fEazgpfw(@bZW2EGn{?RhkzUSVtEQFRN9Vzpi)``|{Ex(=^1yt=tuH^XAl=`m zZm2_EeuhYnV3`iX3B$Q|pSW`mBrzU)sc-(h`~dC1O^E_8<|OJQv$njxdD6ONNB3<% z|JUhX0^R(3QNmCItGKo*2*C4JfAJo#-d9bju5M5Mdnr_KNz|k&#sDI}`PmX(t_ATy za|V=5%F)Q3vKA2J4KX@MuFhRD0{3TVFZatNk{@U;Y9)_fh63hV^2EOF1UcPv9bA-r^Uz1EwVP^jP%Z+lu0^#DMuFqM{RjWz z=eWb&9zK__SGYBz2d1uE@SM+(^b5O|_SicVfnI7ROJ#do8&7(6GfQg7A z<=e^VWdMxVTlk&yvG}t$`#4+We{x!ItI1HpKgwLbG$brd|QtENllZ6bIjq| zhhXxN9|!P-*FCjM4`}Rg>TifDBHWkvQ56~P%lD}I7C!XKH*jXY$4xAls?`-WDhxid zB}oL$bAL^e@*rDqKNPs(RbCqHB+nN76AGNX2VR5)TMUM?kwWEy;E1Hq(QbGT7L0KV zUb98z$Kd64@%T*!_5esTvKCOKD?$#8rc-DR*`dpcaUQuXLGzm53di z`~Zh1-&u@T|6WmqQVk&OfV;7A(JxBZQmt;_rgrlRoCJiTDvvF-7^t z_#2ADPeyWF!o|XNpyTJi;REdh@P)IGK1gu}64)coI$5J!gV zZ)SQ+HcZ#M$%c$s%j^#Jm^&8RXxeLyyEQ*B?(w*;i0aqq&@#=UF5YlpPli<1Hc>uOeAK31 z9I%R=R7(R|5}e-HKIc!cC%k{Hs-P{F%dEe~yzSA=={}OS(5wPU33z{3X{Oqdas3qV*Ez*gOK;8E|8Q;? zXhfZB6bxJp2ism1g0}WSH7KCek~se>3*30P>C$20!eQ8;!DzbGiu_StrqpvFuH)DH zI>%2*^$I|ZNAfbDK+xI`)qCIR?6+~xIqiqhGmm4IULrw&#w_W*ZnO3crPiCE$7 z%ySQV0GLCd9{1ka6wRchW}u_=T{#Pj=f992MkO%KYujrxVg`Z_fk@PQUh-E%86WM# z14DhHbCEo7BY;DB$l=f-wmZQel5`(ag;+$TvFf_V>p{ zICFs?<$<=aFx)Pp@U-tC7!HY(yelU*+Tc93d(V^l`6FCSz#z|M<#Cw=_apoMdM8Elb%#nnCAy?i6|{r zPXM&Upa})CpCr{ESbCE8=ZaC_2HY+FTGe&9KQSYGhRMIMynfrxuL6%YD~Gs#;a!`o zn5+?hiq64x`+Nh}t@Mew{)HkzFf6%YN*woIJg4;L0kC)Jb5WUgiYmBQ{R0oK<7L(i zD&Gvr6-k2I|DBw4dx?F&>GJRc+WhyPIPXu?NS)!oNfuss({T}{%4g6?dk`pL;$EU5X=>dt)03y5WA_$yN6&X(8WyFKHAcw zTLpM_sP&02;OR>!sgNxlTsvrThN%G&*Z6b_htl=*tEgjA{^rGu_)NuxTojrTv8c}b z)An`b{czPk?bW8F5)e6&t}^Qx|129@c}awa(-y5wHaV%3FY2(FpMnDJ0Gn53v%5=)rl12>;hBDqKIV%UQoG+UbI@}=I&5WRZ^a= z1fApCx067AIwzsWJb&C<3~(^kT4dhs2~;FLNqR{f+$-baKJP`_f`5ERj?s!XA#l8a zTe`?N6>TfHX{0>*IB)GQWhEYfAIE|Zsg9U}!0sx({#~>Vg5FBQ5J*8=A9D{5eDx-g zV6@EwFQ1pBCC>!JbvBJhXQ?Dc7b}PR?78Dw1}j=WfRWAGdJEeyZvv_IuW|M|SI2d9 zmAw7PPx2Bb?ij6tU^vfIL*QMbiK|F_ky${Y>d`3eVMdT~a^7t{{cW>Q0j}JmnTO)C z70!)0$zwszz*bM|-wnk1uuq&n!9N_Z)n{=mgv(ZHTXQm+4-5T_!u=Yq6(}U=&yADG z#jCvOZ*trwT)o_e6h(rm;@9FI|Crd1)le<^Q6B$_6X0%ivZOV7h_0rM1uJhkIqbXL zA`W4DM`8(HlN#&7YAON!|KKKPT@}t(4oej|ht*SJ7*(}`!^_2G#6!lqR*T%m&nSC6 zB9!&6RfED~MYj7qrq_9iFAmw>h5OE@B=TX}RHfWV52~jFn}i8t_lCRQ>`k|q6yMA2 z^5p<^%lVaj!*-_}TcJClPYdn(%E+GY zTZLhC$|yRNpmDf}nh12V4m^{X_cttYxOjvpf4F_r2z;P!KL;qqs<=gL|avk zp6MMW%lC-A52RrvFC^y>uQY!CYi9C z-@M@d)scSUN|61+_2R8u$LB_w1ml|;^}VJEtYks)Akp&pUY&?fux zcO5~F`qf`Nknv^rfu^eZ1<_9&PV;iUSuh?qF6k6dgoE=Ck>F3qkK>*ngLUj3Gvja; zohX!j*yCu3IrCaPpKbE4Q#vj9I7@tfn$yoc@k^9r#j1HOaSp)9c6Yu~+^b34&R6l( z+_R1a6MR!^4!(ZI5wCXP_`cY-X?5aE4dTCZYk=g$Jqv#HQLOQB6pewT#66MiwLq-{ z;D3G=4%LrpO3IzOv)=kp+YNPj!DFmO{Wd4}pOYLq_SsE591re{ZBUJ${`scl0gQ8_ z9;5?}v&%<#Ap6mQax0r}6GIC=&;j-W-vRQ%;YtFNqkdxR+wj#rUlz$zWP}y98_@hU z7ynH%)Jl4?5K2*wKHsYL7vi0*u(RncvX6V6AzeXn9oJ?M>fywe;aQdNt%7;^JM z<;CzmInQj4BnSMDV%4)8T6|Zxqeirn;XX5q0N<+*-wqePP1$M&38^kCkEzv0GVlDJ z5;_sdPrJABeen6?`;kU+HH$Ex4Qn^g2WBYF20I%$_rM4jR1<4CYc!T=X$3sRAwMxnww3T!k-*^ZqTF1YIv& zGM}2X04{VS7hE;8>jw%X#2L9%M6PPqzGS=eaz*M$-nIgqI-gR1-GF5T^#zcD({{~b z!OUB0DM5WO;h`#8oM*|v$?okZl9Ts4SLLUk=36zF6wj1Bz@Wa(^!Y}<&0si!+=ofZ zZiog7&SDXi_a|6rYb`gZPZ$fP!j~Kl#A?UB6}NrDeLiTAj&Nmm(*6;ks!TDFrxdrf zhMWyYeAyImZqfb_2FBf5i?Mo`@85pzAeoR10vm`K=n;gUHktxF5f7Qfd~29+hRk`g zeSf$j=878-OzA-5to7oj|JF0!;HV+jGw@S2oQEOST2X4_~4rQBhA|MUCWN6A#miL zt=}X|8iseM`3Sc58Vp#BUH#QJ!B*$bBQzg~{4iSniyiJU@7!4PK0eDw!{MCtiO`nu zG1%LLAPBnq4yyazP;bc2 zC5-AkCKp+yh+?-YiB8MAcjC_#6R*iBu{Gdx_`#+&@Dg+m`2oE#)*@N=?%p(bj)6RF z%V-dh!dNBbo*t1v#b%r{yWayn%q7aVY}*E>-R=w7%UEz-GGhAz3O+A9wyoKS zREfje_Ayyi=@&|J&G8%eZ}}UZ{Yt3rsRPdUaG!BUwcsBgd?CJgRh>A_0V&ahhC0pv7HHB#FyatqAUOA?p(s z)1Zp0H3j%k)yIitr$uacaBAcRGCSQuttq`>DcukjsFO%;C$d#6*!Q-bGkDSn)w>Z+ z5$qdh-|Gh_-BCq&d3lR-=wb>OLJ$peRYo`@+Y&<@Dh(2geg}|L-EtCF$Fg!pI9x%R zfHOI@YS)23UvLN)!`~{Z3~R@3nu6z{Xk! zNhaF)_P5*9NG5!Fonh(S)2G)bNAA7|;kG2MH>bGh*}h-novU61vm3=gvd?#P{U)o$ zr_>kik7#its)k_2xD!Y< z`A8+bYna=;E%A-VyJh3D-b+^0I-8ow2}fm1EaDL!YBi_2Yna)ck=X5xb}boem8`g1 zGyW%s3&m(P19S=x1oT3EI3FL4bG^t8>4%d@CTuBjz+anz9xrsI^B#wH2?OfokO`L& zcbZwA>Qd*b&uzWc`(L*Ij2vra07_ka+?iC$vSE(@O9p~N!6jks_!oYH!v&;?NnU4d z2B)fj{jH2aVDRf3IvhH4wP%{RBmngIDmb6h?N9mIH;B&1m8BB!BJ!T zUQz>N0iN^y%cy|oH}rOC5a09j>oYR}=i(opHb{r);o#{^K)O6-E>X|6uhs}SBNN~o z>^X^s^N=^zxaeP$t`6+9>VktRIaI!)rVdpQ6IBYojV@<6C<`x0mLjh`wTP zO8%#$YY$}ld;jH-9Y6{eFV6!#+J5;Ac1WK-MGOtX>-i zPKm7%zqh+KNnbu@-F%cJCVpSWyLV%oGplWIR?5(Gv%Q50{(pJ(6*-W zF_Fi7&z~dx$N)mFP4y67+EXGlgJ^gueR8<0+VtH0v6!t?{c#J2QHxR<_yun z*;KHW<2cr_Dj242rSUA%rYg!+>gdm@k<7Dy5npqxb`(Y2eL-3ayQZS*?uN|6R^9A) zowI*E3pX(NN$Ozu$_s}~)u!a93kc_`r!PpxIX59im(g{sv&4@o)Haymwd5q!BFULDoRdPD0){q{#$~NW8s{8%} z-R8wLxOF*}9p`gzSI`Zl_uR?#mqf{#5AVi4)58-9u3k_5t`(cVEGH(nHVt?>b3P09 zz(A2mX->CrY^#CTefN%OTwG`7=dB%9#UR8uTkpk>taxQ50pP}}q3tRf*oM9pC5o06 zYRL)}j&EYLHmR5?v~QHiTvq6$EHHHV4LU)a6efBPn(6*ZoJ!#+&eiLZ;~s$IlhOWB zkP77?V)VzP-81ayl^7AAmof34y0iPF=|@z~N{n8H-fTQoQs;uk9}YmvK^>c^3^eTR z;JQoDIx~R88&fS}Lv&FVi+MORp4K`IJkw3OC|2m~*gz`@Xt&s8WOWOm#r9abGEmv* ze+y(rTI_nClspanj7e{jB9X+6T$}p)Ha7671e9Wr#p%F8`Z^lBrUgoYS{Iub{?&vz zi`mhur1>JEVo9^>U^0`ccK(`$f|j8)NX*gv4=$!Ta2p^4&Q+pepZ9>f0dX-NIL^%B z-7zI02?Ckpqw$~08?25j>r{>%S3A)Bw|KeaZ^amfctk#&yn9~X(6T9hTu|T#NeX11 zlFZHyRl=50&LDj<%_@nht@p1rrCy(XgkSn%NV`O_`(g9PA`A{*2`cngS6k^L^Wvhs z%3i*~RbxwGEJ9#Jv`F`N!usK@--hmT6R7RzF*WMXbG@VJPB2L{nYTeNQYXEnf_IqW zBJs25MQ5gnhg#s&hfM#uCnaIwKD}O-j8M(Hv!A}MM(yBnml~(hHh>{x+L}WT(QF{z zYe*}HXqYn;;-5G`k;IR15+*gK5*!Qc1V+c0DGuQl2dC5|L54%pm_0Auq-f8y-1-NY9McrsAdTixHAW*x5c-+Di(74L$KqYE> z8@p`saYGSq{o)R>#>cbv#%y*N@1*UGVW?~{Qb^1@GkfheLkMB5m$}C53=ouK3yl*5I-1i>Z2J0F5R9LORUYKevamo;e*pDPP0AD zp@T!S@zn(Q`1I;lB`Udp%gUg&hGH!s;ufD=6v@CBsj**g7g;3y7y$_7lDv+EY*y^d zT4t+UMq9O#5!|y2bp)gZwJ-}ASR#FlL7@ZUM`Z&I6>WGmw>zZbZzs*303)X%LeMH-v%7bM>C zt5Bp;e!I+v!Kdi1h&XcKw}?>rg~qv_d}&~QVs^DvIpHMM4VhWo*D}W#F$Qn+Y#imP zN2+L&MuA;3nGTJ%Mt8e>)kYE>(a`PW&i|8cTGaOpxs#-e-t|k;I~;Oe7|p7c zv&H_fbR5yFZ}~G*u!)bAXx=m0T-h=}_F9(b2V<;zF<(MG&`QRN%NMK1J9()kDD62- zqB~#=EIi7IMmTb<#Br*Sd+u|e!<$$yAx9PP!F}=nM%0(ZEAw=!JcT2MtlM1MZ`1_b z+>Z@O{N)IXl}!3*bK53qOiiW7Tx_Q+Y4dXuX4^%d+Hxxb5EmC5N4LW6;|eBYUa=m| z68t4~1Y1X*enlpr!@HKoU&=0ix2ENRXmN55AY01=Ty5D3kIOD>56`9zb%HB%>RqJo^@lI zHrm$P-lE;AD<=|{c@-a zJq4)>fP7wapWwyKHs5P}*mw+i8dqZ5{!tGCuFJu?nDkM;XDm+yDnbV)N7md+-3E%P zHp*QY?1t>hkxf!M{WkssGsbUNbW$*E?KqN4;S~+u7-{RZqN;ug?YjDHBk4liJJSu$ zW<+_V`)q`HI>v!YuNn_PK+&{eu+XyRYs4jXp5x+4)6#P0u$enA2|=uVjB>@voU5Cc z=BP9q%s3+stc$^z9r4U5!-=&2$xZ?9-Mj(zkIW>iB`{i^21Jh6M3-Ji9&BRz$AQM0l-*>O5ep_>ycvfXG zQ=|5hG33(>9=u=P$IN85-!epd8(b|$TYkyYEhf@avVC!Wg_&QgHj0xg@y*+XMhE(w zOWkU48~LvZYM20YbkpqF^yY_*iKUs-3LmEJjVv*Mz?rio!h+O3*tPoARND z5zwLU?D~0>gukp{fafS03|vkfyh!mY3DTEG#w|~UL@fLX{;V5PO37*KzZh6~{;T zO=QaK1Al@f-3IiNe&GukaWO(~W0(0p>X!u@Jd6LNOoieAmcy?s4haT{$-{8>oyfZ9 zfQ`>!IO;yV(;^r|FQj#ftEv1X!xxduwP5N(AfAP4b}?K*j*+>A9MLcwSw?1?*t3Jb zfCXKaAR1*_7T*9xh--4%DBMnSA^*PN)`UbE1tDHKQ{dJc};fEuhfk%o_+Gn9F8bs5=|jF0d6Bg6#mw zdQ|KHU3p+PfTKnzvI1fE2+W@!3$$AKON;x9te?*}`@r2n5}8Z{ap7`s{CDJf9b|rl z#c|u{4h5H`FFD^D2n<~aCQBY`7feJ8<@>xF(3GoIod=Td5+!SMYxY#Nu+`;#YTiH( z(QcXX)ezGsfpkVAOkJL<>IwAU2}5lY1|9<*u5UFqX>F7e&}?9=P?{IhemBfu2T4m& zt-ScWtrlxkr3F3H0T{z%R`M!p9KbbOIdhnbRG5! zNEVY3EnCXKuL!Cbcb7}aqcOI|s!Ism0!fPWFawBooO+TSyIwCvVhr=+P2kJVx$Ez< zM25;xz7$D6130DyyL%h^H9^f*`1O{PfU&?`Fq9)1AVngHcG&HE!cb{uKLN| zw2HpV#EZ8aL<15~{5G0RYa;+inJVq0EbqtunhVn7OiE?pZH>2_v`OpATsCc&yuPR9 zG-#j|WzlmKRmm*Qm23&BQ65yj|%QC;LKa|Cq>H*7^ z*RfJ0_Y7dBHcTik_E(AoG77kGeQG=_cKuY$dSMQBGBviw0QUa#dh|2iHEoiUGThvy z&BIoE-^vq*Cai^+ak!^VGLgl0i(A`*ElZJN`NOFK*e6WQgdvFkfw?+wXa zE=Ln<{Ip5!viR(~ZHfvf_>~0<&v;@|25*m*Q3leTVJ?^<86}_?y_f!n-UHEM^uEi% z*OZEB9chRf8nxhP!?MM4VMvjT#1zrH*6@egBy(9T)LTq+^zvxD9IW5lSTQ?%+8Lsl zHgv-%U`4FQSbMCgGBEQF%!NNq6(5M7!`Gxlb}n(n+j_^}w2mBArd5cESi{$MTJBEm zKSXY_5ZFmT`*tPS?1ByOMs5m>Bi9A?SdbR1P7Im6B%qesq-9xbnNfgn3Py;12G2A) zTG;5JmBo!Sj@zJ{=)XLb3#^Pf#ZDreteFN;9^cSUw% zeGeF#vHv;wEj(&^NxLTYuR?s&??(MnUTPE`^VxdE6BV~j)|2O8bxpT z#@HHrpHhx{s`jfr1v2X<#k=#~W)u+CMC8p#C2eDt2B0L>NFMkjt>HcrF^p!B3iTCL z(YNG0sc$cOmc7a^u=%t_!|1?nF$Ho=doX}la_@d;xV5%Cb^5Oa7P13a(cdBFubU-l zE>jD<)!WVhlnVDm(?Er=a5dQ2WV;}98?C+45tN>vd<<>vSVmbJp#LmpF-!&>kF(%L ztR-5o1kQe;wvx=|-L|Zl<+)X?E5X071ma1K3+yh!&%R&JOKGCraP{aWY}&iIsOM39 z=g&uK>rlTP5Ia zL!`iw#qEMoso4g{?KIE&yWa}uhQ4s>+@?%&#)^X%O^Df7xNm=tDscHn3GKATR6!p2 z6b0^XpO2lO&|_bK|I#=$;A9;ZFBC^--?KDa3H?dtvL9Ji@WrEJqXeH2r#G5o2X-Sn z2^;M(O7H-{BFX@wpv{7dM-hG`SA}zVfhKUt7@$v!4ZrXOIQdcqDA#|pU!IR2OnyuF zV5&0AU*Y7Z=TQxO7KGD21HM|Ur3$2RskWMPoP$|1K)1WbEwt$v^RZL^VNgj|?n%@@ zJlYfWe)dO`;1tnUiCDXKbFIM0Xqn7D?CG@Z?B8xChyDz^6El0VO`c?N!;XOp57+5h zc`H`zpoLn1;M34r+5;IVuBb2+QW2;G-?D*_WL#_t00g~UiVw1!(yR<$+j0gG0pX68 z6;9%Q`fIUF3droH)47xcaWBSX@$zD&AfyiX!o{O9@d7*^T8^W)$1B0rf79Sd!(585 zxE4c)Ws)PV1YALqX%1C8rr+;Ax=-fnXd52g6S~YqPd3}m$@z9$>M@5O#1$TG61_tJD1`& zAQq4EIhFM)@x-K5q2`f(enBl^vMaQy6!t%Gjd#?44_q*(ZtC`rq4$zWS6WbC+V8{$ zUx~O}5o%R|%CfbxCnP{_QCx%nqGos@(K^l(7P2#Rp92S(X zBjd&C!N2&EYB>VPEDQ(mJiQQ{knSn+#Uhn~t9PwNpRzJ9L8u zHlh_rk)L<>m56S(Zr_^?qqP>uQlh3asP$7P@MnxoV{oUZ4wjpFD)7W1Lw*wB;;&Ra z^#lQ^lecdEc(5^hNw*$s-jSQQFA*b6&8o(&8Vc^-FgIE(kD;2Gr{xew%cesDcA-Rb zGCdfXJ?L@Kc|uc{H`f9$PMvv4`~)u5WVuDaj}2ERPl8t0%ZULJf{zYc?OSB6^Aq4l zko7?sVey#Zit}j&uic(LSXV`wiy8kQ;&YN2Ef>N9B3AD#4EbWcawtz?ATl(!DTKTi zupB=C48$TyV!D|uNjvx81<+X>U5E#Xqk>!OLy<=N*>&mA*wmPip%Bys*mbXgkjcXb zjaD;BP8UATAk>4}6VQij82&i{8&c>eqDcSau!i#MtLI5?a=KxJjMizB_XkNH@=)jB zHucdMU6lD`MglJ`5aCAt4O-3_cEjk~sVb)K6X!+6`=;SOLW{DUInVC*2%ptFaMYan z%k_S;m|a@{C}pMs$CJ|n3~zC*cv-`&p087D%Vi?QqVo>m=v7|xKh3(vUqCRt9)~ra zS0QMdZ~isx+VvmWNK$I5@VOdm=9wKwjyP)Yxc7c~cfg6zz!e+O({@|8*?N$*xmk|F z{)tLSK2F95n=UEmc zlC4GS+kbP@jtt1;0n1v)1lL6+Abj8<h<6z8<*i$82qUq(1PXpKrzc6@wg+T=(7KhSS?OwzpT^ zxCBV%yB-Ug?*m+>j;=<;?z%pd9k@K}E{5{r`+DQ-d90j73Ai) z#!U930l*$uNQf|0GusEhX?o7{G_Dp*lqUJE{tbwp)t5TcmqV2#h;z+l&_E)`bn35y z2`6UbMC-WKI~jcingrL+q9fb{2HpcdK@Ovl(`@_NIpd($Qr~iV!z*Ra?yD65~@$8MvAUnsqjMr{xt3nZ@FT9CtI;m|DI0gpK!3W&2PKI zsd;Rkop_2)YSm$`{;)iAht-LrYxeG+vZZfa|zc~DBOx~o#IIG z3ekmp9Z0l#=da*N$E_Xk$=Aqop(J1Q2#q=kdynZChkh?^rwv!SzGrktX+Nzbg|10w z2cx!h2C?Gs7%KO#RVp#b?4o5hvNG-tKR9+Wjf6=5})l+Y|S?Mb7Y) zYk_A(kELjQ7c}kgy4o57^6G^NkR_^~JP~lu@zB6Fnx-{{Ibh=nEUotf4s-m#gHht7 z2bncpb4ol$C%_l3#$bAYaeiuEgh?`FE=Ze+a*1ivDMMVeM!30veR*y^YK- zZ0T!w1%E0B`g1RpPlxM0C!s`A2`Vg$(mzzmrf11L^!~{Z3uqi}+pM<0!Oi0|RhP3g z^4u@+OlAAW>S%q&-jXSeKk=Wuquw51Exv}RxH;Y%4DhznRA~#pRKG*@w}<^`?vsnb z=+;kgbqvxjIj3K@srHx0fnVDyq%*DCdhvf zn2zflv@I79E$~M7cNIMOi=j=KEx~}ef;n+mF=?R_mC?1B2+s2;(K`u8Xu{RwkSJDI zN}yTH*Ns`t;uXN8q-b2y%W@;1Ux@z5xv%~Ys+4_52EWn9>!Q>aI{VPd)=YQ*t`C!u zcSo7WG`{8&XD*LF`Z!Pv#&S{)x>RT`SVR07p(atU{l8fnZsh70o`Phzy9GfENshLM z#26pa-PpOovi)XwGB*1e6^XwPMC|t1^}idT+N>G>&%7$cav{rdLNj;DOmkzq;kB^A z48UFe)wi9rig}_083y{a0iAU_M4MmfK7xy6u20PG?0sLjDJnw#33RMdxv{9%D!NtQ z-4qYq^#8bG{oUre8i7_g@dR=0!GD5D8z{3H0MqhO2I%P9y&}bh+PzQr%~94P8qS*} z9DyvcWLQFYA-~O!ogdezcRB^(u*VLRzf3^jEfY9*vdJI5PE{z(F zUj5ZBviTFL_w&w}LVXwLI*tE$k^0ub<@i3uL)W+CS;`h(kjMGDj%}<48BW*sEM`Cj zRA`_B(a7{WLFVa|7E3iLBsw!jh;gjnl;(pzbolJ)@fzIoPgm%VCXFjvjY!Ey&1E~o z#zm7uPn*K-?Tj|>p0lUBj;1n_liI+UcUp31M3Drwt=5wdTW&4cWi?u8+H+KGwI@WB z3!j`EGLp&G8I20UqP~-ZMi+S7P*!(TXc7-zQolc`Cw>pAHEW#RNjeOPiKfc@1k!bz zhkwMcFR4*lRG15lpasDYD$Fp9nsl!5ZqABO{Jk{(w*h}+8GXiWH+WHt7b+acg3ce? z3O}3=DW}4p?m`zDU>!5y56rzml?TJ`9-XK}J;3rWQ|LE)Djo!6yd4UZ7I+)y5&FHh z2WS}@fAY2yvG6P66!7fJ&t!l9mj;9Lx*Gg&D#t@rPocPj;+3~TD)d~ie}uhp?QE89 zmd9DG=cq%`X2y#CFGm$2Uj*W9ylR>s4>LFCNAy|_OMeZ@KIh)8&{C~>Vo?hG^5hr0 z==@R20Z65{)MjYf+#k1xIre!6Y%K`{_xC=LE0SMxqO|Y{&;v^+->c{Qr|dQqf7|Gg^UPE=R`aUt<`ND0e)-I#GKH-A3ae#Vo3Xutc{aw8=#46Re6Y3JBh zBi#wnob;Od%v$B$1Ct57@sQ;Aoeb~Buw?9`;j|_9;Idtz=d3PZl+}Y2Hn?++{tZrf z)PCHw$%598&&{E;^e)|NH)_v(ZoH74fHzs6cBR`utLPG6`!u`u@)so%E}~H9MsxD( zyN3e@m1Vaq96>7SZI4W%i*K-QZcm~qSd?OZ6phb&D5-q+3RDZQ3ZnmLHi}rfBlqRR z(Bsq1yx3PPqYe#y!Tj%Yt~PwYhk5>@ zVR9q-P~y-*fcL+Mle{2;SFF|S_$SG2v@w&Bs~Nr3cH=zu`6!?Ef93UWtfA-=b?bDq M9+3Di79!FA0jphZGynhq diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index 4f228c05ba48227d6799864699c42be7ab34da0a..2ddae338c16ad1adf18913547dddc8927eb32ae1 100755 GIT binary patch delta 522 zcmV+l0`>i{2Dt{1TYp*t00000003zL000000021y00000004La)RRkZ(?Ar3ClqMP zW5Itw-LR=xvdW4bOLj<+8@n_ju_N1AR6^n>w^GZiw7BQD|<|1RvO91e~LwXQFE zoF>1{#g$qby>VCT`Y{)4=cCEjg{C20Xu_W<4_#=&(aYR+e>CCGl>-;<%{TVov<;oq zI+i+|pa??1egPv>!#n-NSvx#@p(l{Jjl!E5uuO)!V!TRE(QMZP{+PU9qw zrbp4LRMNtxvt+qaJkBkVP0HiagLJm|LhJLQ_-04w(?-I13?jx8&eB**kY=YRC7(u! zJ2_rwXExCoy&2n)ZlRmgD6x^gIbj+m>q@sr0}5d`1%LWQX^=&5XiVZUCD{xdt79}1 z9>qZe<_B+ll_sClVA&Z<^OY~RZfTcSt+hsJVIzHVAGp@Kqg`I#94)Ws`u@|kvOs70 zUt;$Uwh9!7E~E3DbfW#>R`ct7?4RF`Y?Y6O4fY?uwsgAoZX><*eSLQdAGnX5;;CIf Mh|e7W0FzDv;?fEI?f?J) delta 522 zcmV+l0`>j52CxQ@TYpgk00000003zL00000001xp00000004La)RVbR!$1^8hkgGG zL_>opD5$9DD5)b8n?(>ivXg>}@8ByzVqeWYo{Sk=b_5bg@T8YX?%VEtGs*KjpESl? zP8jp9@8Rg27Upbg%9v}#-sCF+T}(dTG*7NU$J;~w53~J`(H^P`fz2E;%*n=Dnjt8}_FM6CNzs|*#S{S`? zSL^yQ7pv!^$=8LZak|iiFDegRXu{FU+b#OKq9`-tM5=Whls9z|lVV!Q$ z>nk1)ERmm-$E62p_w1C`S9yM6N9fZ=!jl+8jCVK-V=Y0N9Um5aDk1LVV3?iTL}T=3 zY)86;I%pRSb!I@A9W`+TsYK)&WO z8qb|Zv>p7_{PG^#=hq{@%16V-_Mcz1bei^VBdzsqeg70b=05%uPwo0kd_DjG0RR7Z M0peg_WRqY5*~4J})Bpeg diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index f6a9266faf984faee0c78b1a8c1367378daa2546..809d13fa8a1ea3f0603261550f865134dc308d59 100755 GIT binary patch literal 21838 zcmXVW1z3~c`?rdMihzm;QbQ0>QIPIUL_|PBQBjGhlt_0iRHUTKfy5*v1w=Y0(m9YA zJ$lp_+lXx}UcSHo`#jfm&h*U80BOhHjW?2d|@yn>v<9eG7Db9*m8CtG_lvp2TRV)A0Z^iWN zoP3U2Dmb$pb#v_4$tVBIj=g37zue^6|K$P4x1gL&ejU3ka+d6q@_Sc(0yps{l3yYO zB~y$NPXCy56aTkj%1_elslK*Ck|;iSN;g|hG`gU7{Rj9yYMcKI-xr?|JROBid#UK` zKwxMc1`c{>kwphG(7@pRDd+K@dzs44=q&AEP}0%kt&usH3uB$$&s5LTik=bJPZT8y zGbuU>ei{xU^d+gTC<$~-*GyIz-Qv33HQ^6#=HDOxMd`dsR(iNp;d%z3bH7BD6 zcVB+aC64`)X6E%}1%4ek?1c=c=9Z`x)eg5ubua;8Cl(EEwM6EQ2@ z61X422dq=-4NV!mf}6Qbx_|U%(?CyC5{~HgCdna)+~taByoE%_ZtbVR=5BkKoaxB# zYSh_G`??co46-v^hM3fT&Mec zeCk{4*ym>-xIESM;lQm5kMX6PcStvzRatVjUb^*Oe-3!fVNe`#XrCW!u9;QB1sPSX z%U9;>ulS{E>3a6LS+&1ov7LEL$|uujp{cGn>+W}A>YF9iV0X_)$?;XHV4g17ygZfJ zReLLy>>Gg0?o$~{B@f(Hg)h^ia>`gfw9%6It_<$oLlb51I4cwx+04La}9BB<(~}GVizdGo~emXIwc~AwRzB z{^R!HRGUlM*ghf3IWHXV(+O-y$wJmBcvS^hg>S5JK9|*SeP-=o<`IBROCHdFWIX>f zIr*=Sx!@$99DZ!h3)fji|N<6!sc^zy)c?e#gR7K^%YWFQ<69Rn+?~T zaeG)vW{xj&1M8W0Mo!2R5m5BI(}BZz2*5KWIf(JIXlQrz@cS-XL5nZDShCVxxYXge zar3IixpBji)`Kw5lz>l#j}@Q1bhcqxpD@~7O#S{(vPRGoai>)SdF9*|^4yT5?9kn( z4ebRS_=~wMhFWm7(?GS|lKZ|*wGR0k-z_4kIwrn5E!$1Z#`d^s>7$xoCAKeGp4@~u z{F3!#9yzFWX?${X`H}x%P zh-f5pH&nde`X4X}|~ zNHzUusOtrv8C1=O;`VUN+IP0+F6AjFe!I2QjFAbA43@PV?V3INNtt9*!XuV#*=pzc zq@|_MvNf->Y2A{4Irui)WJGmQ(%Sc{TtU?(R`Vh4CXUVhlkBCoMv{tX*lrp`Q~F7x zuV71aW&N>zpBJo%n-eG1T_;{HCpkrJhASRaC7dpu0C0 z=obsdGCS}`vyhli_YAr3;-BBSp=73G7_bf24}YAKC#26Vkzeh3+V)}Y&w$QbvV7tD z4h|Z@u#XLQwOtV@i&lzDRsfUY%(-KU=YBhEZM4_^ts0&U6J1!~oFzxNyrKGZzLLeB zcIy0olh4#qNKWu70o=z9s!W5q-(UPIa0&b;_;w2AMcwvlNd9JHn%QURi&h>p_(4@% z>7{Kn87$og}GWNXRIzq6mLKiPX7i)rLL_qAf^?x`DZ zK#+!O5AWq$#_X2FuK_fw>#;oar~dUIE*uPG11RQs7<*c|q5r%0+3?TPgbh3TjfI)f zjc#dt)rLhjx3>FmX-e$)DT^1@0AKex#g2HG$jzqcS$7>+N9#t{Cyuu(v)6HJ2MN8W zu40@Htu5<>f?y!;UDWs(P>4(2FdZyj(+j(kUjDg!-%0(I)6pyW@rYX{{`J@*%YB1+|;1JtuNFH*!Tt3Joq z(Qq5(kKAUV6rIQpt*bZc;V2d9xU2cg%y8%3*N>bJ;2ZHX03Mp)$W=1U8y;{&C$T(D&E@147+ z0UB>Vt3Djo4YMy&3*^?pG;DI2be>-b{3rAJvZKS>v*O+$r+5 zD`Vpt*Q{lMjKS!~7WGfM4eKHCh@FSd0Ak8<8hq&NFF zsdpsE(rp>jjnQH;&OP(<36qkSgJi#I@kqFZ`d7VQ9Ji_}8|`6ymtPT1NP6g)h;I4@E;*wVUwZAntO8p!!^jB3!Ge9!6JT9~MmtZ)#u>v1FBS-?XE z&nIDv?w1P>1^}-{XBvNPKKH#V3kzlY_jozkqa8$&yRcfrK(s<&pP?4+t5ck{gJl7BO54i)!Ui{BVh zbx-RaM6W(`Z|Y8p(WwO$7%85G2OT?nn8cv_?2l4oX=Z43JI$rEEJg#1UCroB&gcMGD>eLYQr`=;q+eTIVzKMTV z>7z?LtL4;_^jnXGP(|bZs?)}`W|DI*>Y7UfRJLQo+H=C%Z^cZ6OjzkZ_y^nOlNmO0 z_X@9h@#)X`wc}OgGxjz8*J9}g*Ht*>GAfnC0a|wtedD^P(I)!9;A3J9`pf88fr#@7 zBbG#1_}`-nDDSlkfdv<8vGL+0)v)dE+Bq%tp2K$rA%nN+dM8J>uwh7-ca;xMxPB@L zG1PRZ6gM`-zr1rzv`JOC{!wk{tCUx~PS;H(mreBdmx-C^WqKz0Ls1EaLC9AT93?*K zRX+lZvuCA#BD-5=F?}{?xo==lzNOTHP1=bs)l4O}V#L&$7p5@H(^gEmDg)-LawQ*v@SZ^)+y-JUS^}EL; z-Sq<_jiE{(+WLj*eHJMp!WtT6<4C?`T+o1?$-^{7>XORCkO3~2&xx)U!>9*7dCglT z45gT4ywzvZwzd4U!O25{ZjTv}=XZ+4Y}1_=56u1b4o}|bepJwDVBu*jSdumP#p&Hc z_!*;U;Ub}@2Cd7-?2p_<_5Znx+n*tf=+{HU2d71JN>iz7GY{ib8$z!NUWB`yDoG&;|epHSCFtbyE?lFb`ZW3`1UU8n5`~2%<=(*9WYR5*F zQdL~to+ZN@xn7auXlq}dq*W^(2#39O0yn$6IA_&S@^?cE^W6-z=JLz0bW3OES+;&w zRsS&h#O(MakD9>Ej>~FX4>v}+#$1(c74COLx}D<1&vCE5X>!i9f7g-9IuizDM2jt| zzB5bZ90`|y%Gs7^;#=6+m;F4RXmrqALv4!d%oaLn!!Z;7<}{FUMTFhUcgneXG+s*e zdrr8Kj)ElW!IvesQ$I3hM~Lia$54$)?RGjr-ZkI0-_lz>hy+N5ASEpD zK3@k~l6BmOKC9^$14-V^4&QC0PHpFAADQG`kw9AwwlP1m#NCgX#6(xn-0$#-V9nz6 zP?-di&OS=eR4n4Y6Rx3oUu<=usG=Fb(|hMccc;&%uqyGJqYD)lT@9~fQp+P(1~KP z$3ttsJW4*y?eM5u{5so^kqTo;Sp4_-J$9Q|I ze%1JxmRIqZ{MK(y=Tv|Am$(-wb;OeOI@(p^geu(KZgd_&o?N(g;Spfcgp&KyrR z7V;8U(H*C!K#h7+itWY|cR}~7|C!AkXc}r5pB=eTn%a{XgO6r_U}h$j7WR*a*dOCH1yz+Z^YzFg${tLI!Sxw9h1t8QQAap3!r!f4SpXwRDZUE5^B z_@mS3e*^k$VVrSC{^8V=_f2=)T)+!igm>ML6k@6%Jqm>y*ZR*P_KNYWBz>i5-1CK&PAgjt@AZW<+Hh>7{c)~O=TXuZiU!KBqL zrHcA&4iJGat&pddA6OO)zn{v9_nl=+S|YrdA$qSnSx*@eO|>p9wH0M$Arf$RM^8yy z4I$46j<6Xrr*2cKAT7`J|tM$ewtHx45y1Gv>vbR%%fhA#DuNXE+A6=6?O?@?!jN)d%%<#+xyXTtQ6GuAw*f)XSu1-5&8gz{ zA~Wr#$!bqDGkF@UJCIky@UpIidX3DuAgY*v9UIVAY;++PsQdQQdAkVKqj|DTIf&Rg z5vSql+#Zfg?dAd8>Gs-8>t-P&HCM$6NQLcQ&R)V?0*kE$@4@(uzBXINg%T?VLu@(4}S<~57%8#H6*ub>Eydg#**z9WBlEDr0 zAF-Okvl~m5e&GOt(j8KJrNr#(GZYF0&YBnnlti z9dRkbRUgx53M_Wu;RU~%yQR;(jc`0QQZhu;ihGkbpp|7SHok}5dmGboAnsCM-RI4ckzrYJkjHAp9V)CwC=27)M@c;kk2-K2 zDJc9o$RLsUl~^h}aBzQ-O*BN;ZU2hkK_IOnv3#i#S5k$^hAzTASJCi&iaD!+*vV-d z^I%iMoJqg1f|gO=Dz&-5UTWXJ)I@C#R(VTZN>i#W23=!`u5DV-_V-9K#N!0LQ05OL z|A$8na+fz>OqyQ@JqZClT`pf+3Bl|H4y9<*`tXn6iNo`0eFMeKHlsPsa~NqL|4sM< zKl(pWW>cU`!bw1KX2Kyh_YyF@=8yh21TzF^By>@88PX!nx^kNikn5ee&zxOgdGACg zo#e6X0J#&H226=jGH1LqgOy^^)GUf$%?cGXEuIZj|B8xfcF{kZ19YVvrhsPY=!5Go zwBE3B*Bcbiqke#NnJQ>iRSU}Kj_#vx%Z(ncEADx_>UmQwd<^@ncv2uLWN}6?X@(;K z0Jc?ny)0Ff!^&r#qt+IZEOyfhD2QSp{AV|!9QuSQK*Pjk4EzJ%FaO1Vd>LN95w~dZ zQNHg5^%+C4EZ`wi-RS8~lfJoc-%_ zwONsL#vrRr_1sDC&9v(P?^X8bnV_S|Ij$|EIGb7xx0w*1yH{qM3|jS(&l>erJC}*jkrD;vafwbLHHutU;gBC@l+e`AP@^c)I3u-89xRmMF zkSOZ&@v9h-2!oL{LuTt)K)g&tzp=`(9et0NO0PS3q-EM#($P`sCvhmORH>UMec`u*=vw zjhm=bOqm9JWHXHiqmkzU`m2+vCa;kJ(_V z-<9+1NAkeU`qEuw?>A|8E=?%``mkKQupSSPIoXKti~^}W1khB6g1$?h5zwNWMPV-JmzG*BT|yE^VhTP z&>khRR94AI>*0Hq zz{!uLXILm1Ixz~oO4i;1xAuj<=KU<8Xih;E?4YVU$aSTM?KqWCH1Q9PQ+p?2k1y&h z5O*C?_Wom>4R{0ku-5wrIxbLJ%$*Ab67nAzKz)rfvO$>1GvMpa-dO?C)@fqT!?56ukb`m=l0@(n%I9S!>UlMxCza4J9*4D? zdqP%-I{>@>9jwbZ#454;@<{Nn)GuA(_!p|E-H-3?iUZy{*fH%aMvXX&4$F$0o4!4^ zR4BR+8dpR2oBU{3GoM;xvn<(1T0% z1g<}=SP%}y^+ZTJ1~R3fJA*~W$qWrX_&3Vlq2Vp^OVzI1l#pbpIsQ8d{&3u3SeFe< z4}Re;%>juax5eNB;)dN>22?^0OM?E?b^tkf7P#pm64ei8uOKM>A?Pb zu+1jT3Cm_V(KxF#eb{`XiXa7@I?TGf-}3$&o-uf73(CF4sE)I6rIp8`jT!#oPBjPV z=D+w4g4of)=Q82GE@su60lMYxsG_Sjc(s9j81(GE7c_Zd+5vLPrR#W9>v(-d>m0_k zvYC>f=F0ojcJI4vVo*oPm{})^ZOF-%pl>;G*m=||JpZ-aID2YsFx*WMKsj#jJ_4DS z9dRM7oBu*!8~>24Y45@bDR;-p7#3YJWt_!A?tj2X(A0xxKYK>*(WBNm={B36JZ`S# zcG?FX46wH$)WH2NxfJ|b%kH~mIKU`$?#wW3#{IMNQzF`A0s;ffwz{7l`eR@zrMtzb5WO-Tu@>g5`Q~`o5=X9@7t(sGQvOlX1=mUo4iB zP7;$2i1{e>D>OC}?@CI45!HqZO|`q$roDoJnm!{6q`3D#IcIti08z9Pez_DEh{E1} z34HTC!)AZ6i~6GTYc8n&b919iFco^Sl!ug}v~Z(6{K!5hm0TdZhsKJb=o6vn{4u6% zemJ8DsP6)sFSCAN!YzbUOs1#()jvdI#^|8EA|u|chmg93_;lm8PDfQ$%)9H5{GAKJ z?=~$JSXXMABk)xz)8cRB#^d@LbnD_%J5wAGp18$>so2 zTDhsW{H5L;`jzROCCC#`M^1oW7Z}H-FrSpkwX_QPG4}bP(6nu4V#y484`Ck;1(je; z9YKPAkQbM>#xVSl%F(;hZhl(*&@3sfkHpXaXY8w+f(cFlqGPlgdIqoceWZo^+nPcLivb|s^^aCOR3j~v5@ z0^@eo&5-)97P_wOc)mHm_~Ku%9+Q$;0a`XrfPuoC6G1z&d=@7O?8*= z>CYX7a0Nxp0kM&^cr2P&{SPj=f^=O5pJMHzBL7_n1RWX2bJwfj4M|qYB&9d1cs2nk zWO5YP{b`pX)er#}?lW=M8KGH(R!3gy9>Zi%x{J4$gUjadKBrshOH{ksV#bc z{cG;QJ5AQ6@?U4tn}p%u1*$d$t?L&1a~QP1rt+A{-rjZKpMW6vvY<}~&{5b``dj{$ z5J#(J&SoK2Dh>D~339`fy5kyR>!!_1#W;VIwwt=owETdx)^4fIM%lWrmz_phOy3RLBzN4W9^^Lf2xBO=+eh%-{WEhjnEBuV{4dGYWpVmv zf(S$9tkbh~*x~Q{^A-5UhOR%URLR>^fzV^z}%hJ}s|HLCa-3$)qJ5OCit8IVlW}O^s zG2Z`jo`fB{hpg2(-xm)qS3R#zwK*CU0hJ8gc&ex~T~$VFdOjB$_pS{qmqp4FoOafu z@U#$KmxGy&Pf|8#qo78K@T-fsN-g-v-b3(_AE&pHEtWSo*OlKh*fwlQn*M)Sluq{@ z^z1L@0+q=eg(IrPSsvhI8uDy#tK-8X>P6iLTjQ)&#g<|mf5r-=rGO4-@CTnmD-*## zcj3TYoFI@Ctap><%w&ZCt(G3bkT+?Y#D(hlE*jJGP1*FJU+w-|d^3ctVX5mjA9QEH zz$mww@-?EB<|Tt#Ke)sl`$e!x#?_^$(2lwN z0R<0#xl_Iq^=TC6830~AeqdtF;eFu|A7rjz=cN!`CII%cx^U;opFRptB#E^>hgZ~c z&|G916-(bReZc!?&YN+jC9_YVM)=f$BFKQ+mWmu@Qcc!A`C5;|+j+8|C+^>^zIGnz zngWUdNcF3w?wllfw_R0D4}*e&kI^|k)lY_fJEPT6{%%ueEh_ww8Brbjh!5Gu_-wq4 z;w0{b+Q8|9PeKvV7BckmdzK>W)Y9{gHRycJUVW@^7lCbZ-x%GQ%a#HuV-c~17rV;W ze46A8sTT9h(|@@P=F0Dyj383zJnGix)bEyck4xTw_xIG$X;#*-^UU%#WVz=-A?ffX z-|!1X2i_Ir7YcRKhhfvf-VBR7vcYr)bK=)hk8IQj7W`SY9I}A0%>b{)I0ajas}z)M zCPZ6q1Qfg!DoD>l4Q~MH_Ip3y|0GFNeD~n?>X-8KVN-wdRM}%1)BXxXd9B$d* z%ceFhZ)UNTPbn=F{SR17Ds2TYX?lN<9V$~nPGRU@c<7|~YXY?w;K3z`9Xj9#$-P>a zvkdwWf#w^T0oMTmW1g1RXnpPq@b5N(-*!Gf-bEN+KF%7(c1>`(RwIz$z0D07rgs>r zR=Q-bgxSdZ;kI$X4K3V_VDqwoymEcvLsn-T{N^WULS`~V1eq<>#hOhVmhQniGwNBl z4J~77{p|sJC)Ny_*O7IrvF^jUC{%L)!)4Z_(!qh=8^-eXS>*KP3TDf15-W{_)aC;o zRQ~DLD#|nI84W;E;FlVNpQ_|y8xCc3NR_nedp|O* zl|r{-eb99yF>h5G_pI@nRpJ6*zS7fQb)kw1Sr}qFdXF7irJab}xw6>C^?!nYD_=%m z0&*s!M3OpqD=Z28G`6>uQD1nz7080a9YwJG$v^>K!5xpI_!I?ZRsdHH`rv{)S_7MM zn>Jp$`+FxhP_-Kbh?|-VJUH&X+^DJqBVkWrcrG}(Ige+0F0OFzTGXdz!t>2H`E7H# z{Ktd+%^Ch$l^7MszWZOm2;l!~Fx>X?afnZo^|W;fSIiI4=nr%4go&*@np{s?CvHOT z7;-j+^%Hvnc_tL?bBG>$-_*V@xI#HkxbUlYkp0i{%L)3#fF)t^1|HSZF?yEqo1JF# z6mEO9cYYNoDC3ni_lJNhyGh477pmT&MUOTX{v%`(#&4RTt@K6t8+3ono5i&$U>cc5 z5H}CcQtuQY_vsVQX71{UXF@lXP~2@7wXI2RASeIjgUt^~BjVCm7hk9DO6P2AP|M$c zS0x@8b-fCo3aap{{JM<^lrFv)E~SB%>{kPbeVfH(BwQch1#P~#5whiej7wh0-4laf9iNyD2fs^oCR$PD|kI6N$0sN82R!n3Ys zb1wpf_@e?N1l3F^2U=Hgeof!JplSM%Nn&1fN`HG85!|^Vh|#f|!+WZoKrfx>o!91^ zZkR`Dp*%$e^XoC?4A^?}BSZg$|vgcu5N=JK>$9Xq`*o+m9a+O7_zElW09%T%^% z9$mPkDodzyPYeGN6Fp05Y)itZ7cF;v+amgB40b+%U*_q?mRQ(;f3ZWHbw%^s*9ktx4tWk#&xF@Zto-z1*gLN^t3dE+ ze%eo}qJRy2Yh9DfONX56^sm=2n(Kb7><#}Zq_hrhh7K6sH*a+W&7%W;EBIVwRA2&y zA87N%4LZ;lp5DV?a#;@!TZ9@29~*p{v|mtl3;DF#_4CB#KCla-Kg#M|AF=xEp9%g{ zJ!T$ROaDr6n%Mu)B>(vC`n`Yn9IiEIiub&7Ux0FUGWElOBWcsm&T2)ooe+vVmn#BF zoFfa2^C$h`t%%0C4BB;hr4DDdR&BCR?AdRj^(@aS?k~XJJArC;aQ(W!4lYj6-cQTj zJ9C(|zs_v;H6TQO-fJE;IAZNK?>jAyhD-7RI6J!N#4px) z`tr#&Zx4)T)Vr{QpG{f2NrQqH(B$mCzuz_`7nWu2IC z?jR6kkFq(I=?l^>&PI}&7fpkhZ+1t{bU$ghlA`~7M)7S%mb*R%lOU%w(Lp#M+R%9x4P|#XufQqL@R19_*Wt-bG)010zJy+xGj%hqP=tqPVZ_~* ziPFgF{ZzqSoi@6JA@}s=^KNw^ugetjvW^0Iv_cfU3Ck%@Z;FMmO`k{>3d3#$74oR0 ze6KfppfK6Orww4uH{-s5Ggb2Hf+X$a3_X2CLW|tGG8f$aZTm^PA3H(WeEn&E2FmS^ zJ#LuYt;+2#03lB@P$zzpDU}Vi2cj!1vwj!=A4GwR!pEOe);0+R&onnEWY3913y=fP z+?gvSH`Kp#o{xlolGWRC-^^${-2sS|1}DDle4wJr79KYm4Oeor+wF-C6lVUkYkk)S z^E5D~PY#hQn>tx9cD(78{DaPP_?4fZ2Kz|6KR}PUkiRf@B zT^hAr03je=-1Zuvo&pg30u2)br)a*Bd(uMam}D}sLl;g|KhlMvlPIk4p;d?|Ln;Hq zgkPky{FRB0zLFfYGv{?wHji0QnTm zHyXFl}|zPF7>tuw(_uB zrE$FyG6U&rKVL=z&8X2pC({cYWqnh3UJI{y&k+EBaHpf$J?hl+y&qBS>slt6*? z#F<=CmEO7T5jXa^gF;+oGFi0W*2)bj5z&4RBMt zp(4?fnB$6gXWH0ud=?%;9J{!G<^3)Tur#Cm>sbIpRK+BgU>CS_rFT+r7lheCiQwFJ zfZ2z`$e~(h1Wf;i#^5hd(?t?-O9Xx6o`}?P{L5W>`wc_kU3$$vtMN5Y3qXC4`gigz z;RX+T}Zgktlcop(gt zPNrXWKo7qoWToZ?Sv0fms#Q-7hf`a8MW=ud!_U<~wft!D`)?>CDy{nBO#J}$ifEy7n2`PU zp9x288M!Gv)n%2lD8|RUKu)P=kCv#Y;k0B zv_Z^je2U#*q_}##d@h~sc`Vnu_0ySaMfFHk!Z+_NV2X`=2_sg#rMDyIfJX!YxyT5Tj z<0G0fva2w!G1ai?z6CHIe1TO3SMG_U|A3F}RMHfTz)tDQ`1& z-^;6*A=7I*Ewi611vO;-p0LLhML2%3MB&FqQ8^j^)y0m=8t+DUPsJM3V{P!@3cwHi zm^Js{l)ug9s%tN0=q+Ne5jI^ig|a!`uUjI9-lrX3_3+kUWXBE$ywGq%Wb!=30Vs3TbGQtB+3Hb*PKPp5-w2$Szh)XE~fCTtS2 zHq7;rQxuSExdwH2nk5|6UJqzAyP57DU3Vn1CCo`~b7Zovq6ZzklvZPF&iXEW zx8LrlkDPN4R#zWm@~sr$`3|j%O@G$z%0zFDCzQ(TVhSb zUO+|&F+l$$+-_)hwiR4k>bcIL&TH89NM-Pxbbh4h-Y#~Jn~&B%v|+7LfmyhFj+r*k zTa4a_VK!NbcM*?(UQyUT`J|pR()pRp<@@M&1f3zWONOPQdK`ALgLrXFIe9@-MaLWA zR0MAyXhujr$pIpDnkg>UlmS=t8v#=@gHRJtd9GsCe_AJA`}YH;Itcg-8-4N5-K9yS zOOp*ynvLS$wRK)29Jhvp*k8R==lIm}{Dr2oZ}slxul+Razq3=pOlKW%P8idJpQiB@ zyCAn`!^U(UU+Xz2ZgF1cHl9$j2?fd&utJA{qch$q2Xczjs=HMqNQZPUiD2TP#uvr4RLwU$dQHj>kPg+n zBZhFJ_9#*2RV6vkP;AJOLk1|MapUVKsx6mwnM8WYrdZ$s8-XTTy9Nx#8E3X>_gNYm9Bs2Uj7zm^8gmOyFhXix7R zoY|?k6Tpj_9XxcMCJ1C~t+<>w+!^JERfk3_sem40rrv`aay-}Ovn&bFO zrR~j4&w0L~1Z;bDmMcB*1f(hoU&5%zs_k%n)n9~Wbh^sgfscV8mj|6^va%LCa~@rW zBk*4e?mGH~Kwwcg-s_rUYJb#BwWsGh_saFDLCS8za>T51SK;{#j-z9v;oa#sP_&)U zn%B*pTD;7kdrTf^^R;!gKl>L|L^!rjWqQtQuPGkE8Gr>o?kLD#-~ZR7uI*t@H{KQC z0EVmmf)*|^YI**cD2uz-$x(q*Ew>d|OYL)^nmAf5=u@MDX`X*9m*5<+ zaGonA3rCpWy;y4_-IJ~kLc52WqiZPS>E30+ck-Q9Ze4ns|3UTJ=UwzH$V0h@c$H1v zPPva4epTRlLhQ;6<8CLA>L=N<>)0w)mWAW+Z>%IFGR80Wfx^5Rtkt-eXH*EhzKvRm z8`A7Au9V$e(TFLi4bM4C)DsT^0nu6vOfq6(AHwjw;PN2vVK5 zII>h?xxk{24}YD=S7^zRRqn}C+GR&X+x>>hG-Q?A=v9~3Y+jz|*d%SyM1(QWD~iwE ze{DXP=x~2C_`Gpd^(dUwBKt=^n1@7M#xF{Y;jRTEx>Y2_J*Bx7!m#}#r9`; zcDcz9aKx4iV8dtkokgc~_!U%q?sne0MwRywC3Hq??rXC5f_~+28BTe^_sZ5{WlrOQ z`_X4iiuKMjgH}f>)Rxiwyj?@$(Ud#Q+xrp(DYvw|hz7OcwT z9`A&&>*UZ=;1ho!;cs^@){#ZZ#ogX zJFGlxQJ?h~E4OvRk~%#H;TFm{LlQQHo!j4zJQSQkL>ifyJha~KyB44JC;Hq*^`}A} z;tc!r@k0I0%3}>Kp)Z#9ic%C)4)4RpT^vPx=d{JHcXNtB8~|&TBCDDEC%ET@ z8h{ApH8N_*G1$dh5xO4&1h+mA%GX6oL0XPk+gRkHj}NWvUKzqw4%y=bp_Icd)8h<* z8JXCN$fd_PS!J5XBU<}W@lv6;P7IKB3i>teI28Cv-$;|$}U{2><>Ls5y(W_ILk2k#U_|)_7trB;PZ4Kvh&<2l?PRhbfa(eCr{Op?J#;aL&E(F06H$VM+oMs*T zx6JZR=L`qVG1rcjP`FDtKX zEGwEa=FaUkHGM>C7`!RPIe*8RTpziNmeR(aQBOuS{bmby@HRy>Fy=C-6Xjikg5#pOlD223hmZYuPT&h=0yx3G+@tnwR@NLKv9cP1AMw7@-lbf4v>eEVucHK#} zrGG%H_Ep1Vt7k|zgsYgN=>){EZ=X??n2Wc*vclqiX%)p5eC>+1=y~z|Rhfypaom+F ztg~t@0>4%Ir&bt4L>n!0S0Ro;3$N;|-)?5>e}BHb?5n`NjQ_h=d!>BE{XKtK%%VWh z8zU`v{_ZG#@v!lYz3UVLre`DND#xfSv)&KMiq)jLiynhpH+tN@2)A^J`f1JmxfGLQ zJ++UB654fnkC6L>DnofN<%efPexU`kHv{UfQo88%DgnI>=Dq*$B(3gAJ{51{M?&hx z`@oad8&i^&JXiBmc|wqQ@slXk^p7whA9a3oLUnVnZWr|Y$n-t}8|ZS~;?UH*(L>}D zO!dj`%OAxOrjqy^0zd4OHgs|s_J*xnofEfxe{xd6gjaJd#0u=gv&*{BndX@qM&*w~ zJM0H>np`7+_;*EG8~p~_3wk7Ap&;DeC=*Up*0L&3d&+)_zl zq9WOnNA|7FZpd1SkV;}6Tak6_%#0x-k0tvk!z8lr`>qEW3X?1|Oc@LYV{C&ln3<2h z|HSu~=jYcC=eo{y&UK&n{eGWYjI_@)M*miLL5=hmwAQgoC|vEx^pY_jarTba*_x4i zvxzJ*T9#m*xG`F)5g&2qrj}Q+W$)`>=RUtzC9jZRr9kouHj6wCb0)l4x zRf80#zI5j~gj~S80mdOG=jGv~CqzrA4+qmizab%X{p>Htk{z^Nz>WQP99d8y6r{v<*m*hXPwKypoylxoOJlR7>_mdhIaYpN`o|lS zL$>7V0m~y)tR6VG61`%`q=KK{XgW;=u6K%<`3)l3BASTjtscL%R>4kaUzSxCp4aad zc>QBa!p>qWY>3&SwALoyOUccn8AvA_-KtH$s!#{hUDC)Pux+!P7PaLUk?|B?+`4Vx zx7Mc<#bD-%<+TEH{j5E%rs{zo*woA~82a7Gw+GKTBN6B{zizodNu}qe5BunYlCo6e zKAkP0pQ&Dl+Xq!E4P;VD$o0m-ZOm8A7j>?BJP~`lZgmlX*Otlo68uuO{fTqggrDD5 zkl3;UD#R#NoTrHzm+CX@c54zKr8|y1?%NcFzm$QgisIH$MmZR?9;@pcY!XBlnf3TJ zt1;)ZZ=2{Q=-IpHHZ-u(SD!qxS6SeT+&os(bQ_3NXFPB>ZUAV%{9rE_i=seo`*pG7 z)9W=bdu?YKH;d~#SC_r2wY2c%$uobEY7HzIHA;G3)#;Hpuz;4VB#JVanzO2PC&CdO zE!3Cjbl7hw6L-`Bl+49OhK9u-x6P4VpxNgaJnkRRIlA#RqW#85>IYrg+4M=i`7eZx zrGG~Rx#9qP!@D)4-#DK-+Sz1OV3@Cu(vDOT=H=zhxsJYjUr5zBj39J}iZEAHHj?$G zk$T0uEY&A=ODy~syYI@?I#`EmeA_3lOe@0(m5eYLF6hQO7lEfcTZtmgKpYnofN9xn zsu^wzKmY>2@@7M=uF3|LB(n815;{5)F$-ECw?-HyqOo<+NQk*z0*Jr9|$|0 z)5ZF`)AMydjo+tdiM%kf*|p{41qB%_FMt4e!5R zU2OX;bS^WKPe*TX!KJA{fPYA=9_blFMhVEY)2u#~>-jLoObd;I3iXVBg6<{YA8w4? zW*TWC(T^6TJqgi_mo;+V@>S9YS0onwd@RqDcM6*?TkwtKh)Kq|IDhp7XR{>{l)yW( zC~&lfN5ld}-O3Ee-Eg|NjO8|*7Y{Kw%uh3Vbd$AsVLPAQT}F6^`@!StUaXZs zjjkYfJS+d*i>_BB&Ow8=E*0G5p(e%y8f;3KXJ{Pf>G@F(KfxD=U9QtU{)V#+W%XYg zNEKyhYlHxg7IZ}5J;J@MGnM=<$<_HNo`WJ`M*Hl`mEN9PUj`AHL*H92VZkWi1Y>ke#+u%wHUIm`lrR!>b zAG`YvHf;Z~d>z{y+DYfbb$5nN;-ioOHK=!&l3Yr0vhN4mh7Bd3jI8W#78{(ZmLz-{ z${unq*|_qf{*V(QHuo$NX`$`8k|MHV@nDq&t;S53IXr}ImY4i9Jat&~J8C7h#1v@R zA-Mm&v6h!_!_v>M@geGbEqK`7aU<2S+moW{*XFAC+NDbRApBYXe6KH1yX|H|yRvE9 zTQG*7yi7Kh&JC1YZdGRlh)W9lDyv>0^70S)f32gfq%)$$I>>*+fnlH`K(#5E(~|~EbywLSSbS}j%IrGl@$1N}I6!11 zUaJA2wsaOZIk1naOm6HHI@s%!tfz6OfC1kseqQ$hex)V+a->K6fPw%RsayRRVEzn< zO?$PPC_~_GKTmqv!pl^UgVhLrzcx=*AE1{@2sNhG$EFo@j|J5>#}Vv*M$`!;qs}l8 zui|s^5ZH*T6#QNr6*3NyTXj(ArLg0^Z$QpP-rmzI|95614B|UH-+!hgKzTlP-Lm9c zhX7u?mQh`e$NFOP#W+74!Y;Zmq|Iq5>&nRQXy*f|)pvCB`W;%TU2|S(5hdR#1Y!_l znu1cS=a7grJNsZYQQz0z#-NcredfgK(?gkV617?H=+$p=jR0sl%58Vq%x$YN|k$07Wy4qQPV5ISE&Pg}8`$j#*dzJ-7!9NF4_ZKDl# zGJ8G2zs*rkyR8s_RDZO)%7m0Pv&h0DDly7OCSNj@z)L~D4ow6|2!+T+%O>we(Q4yfoZfNk5UpJBNgj|Div(Mi@%A=Pg9z>Oo}zd$eC z@O5t5Z6slfv}75dypplG0QOQY$U&@LmQ9nGWh~S5Gig{yG~k#2#UleU-5FO8=)Wf_ zVX#yvlrb;~-e8~TdWPBQavTSftwtkW{JxJ0JQAjp*RE1n20*>TIUVS%NggpQ@13^? zW}1x6i{j%$taZ2-CbX_I6@H4{So9%MexD5^YdVhPbVw*V9U{^VnG?29zluG$3j}uV zb}Etomg8rnn%6J<&n=YZ)%>Vl>40oRKs_OSXj_z3ojklELD4NU;iHFv7>!q?w&7Ri zLNe1(8*;vh4AY}qy}4kFA*`!_kwi?2?x>Vixp--A(ecpUM#o9?qsk_&b$Wo_ylpRL zxnFU~_VOg&#KH!s%d6pcY^XR2E=sl_^5D>+v4fw%WeSulrwxMv$Xo&mXO;?j zJNjA#i1*;-d(8p~W!V`|t(=YHFBWVFNed>4Qw7NPDims~rZ?^>mN{GhA<~Z%yFeLF zZ-x(<{~>*Cueud#Oa8h0hh#ieJBYAy9$i*b`9lQAWsIu6Px9CP5G&`e%ZJAC#s%n( zKjdCT`Cj{f3;wsI{~enDhimbM+LnC$eVUDp#R_GQv9&b2V0Ao?$o>@_Lm=rmZx4Ks$r@PS@7uUpFV{e%&BWi-v z?dT0LfTVRr_<^=BKIOJvk3t?j&ZYwu8W)O<%x24~FISFpF7~G_HpTO>m26^jsy~{3_<3fu<*Qhc zjgJl5si^;bsfm8pv!JWvSW=kUOs#9H#kRC!gBsSmM}NWNdx-0zdWs4*k^SyY`A6s7 z({=KZs;>hZg&S{tx$|1hQJfXbY3HNcllZLeB9DwirmIPQWJb@Fqv(c#;A1rz^$Tya zZrvF>Z3k}&6!IjQI9d5vo4#y{)~LOmj*ratK6^DekUdF5>xuIV)%%9O5@X!iI&mM? zBCkIWp&y1rY|cOCSbmYZ9>rY!1U*<@B^;E@ou@YV$>}7rk8^~rUvJd^Xy$pIexkS* zK}Dq!v#yB!iXEy+*EW+r(!*nQ-r*i!!T75>8@a{FshS8*Trqp~`EvA+Gp`Ha{xAFm zd0n-^il@HbbwWtaJ8xf7Wqyr1d#MZG_eg)MaCrW15_-tWaQaRWdrhFq7ow)gn0~1a zv4vlCQQxu8L{Ty`&E|`FMNoIi_f)c)sr*%$NcfEiAK4QS;gn*`RcqmR4$m5YSj(B< z!X#%i^UQlET(`A=?Gk-c{4tMgr{x!Ng`R|qNB$Bkf7JHS#<=V+w1MjGV(07LTneXX z$#~i`qb$p;-e$Hf1h1%S>4zADP3ZdXdvHnq2RrkL`o%osQVyGSw()cA!TYo2+OvG^ zi;{My!g!V%Y!kCKm^j~Yin-LSSN?B2HqZ6*RIS!sdoe-D=@GSmdjw-}&g4v+7zL4@ zkbY#?N2gqVV^!zUM)ROt;DVfXGr2rQ>7nkL+_j$jWaFYdd3-3GPE3E z+*JBVs)z9V$4n+0Td;(I&&N}bh9R{Er{U-K*Hvzg@XQT+_nPQze?2&!dCFq_4hsarR!BxxM(Clul(dYL%tL8eA!9pF-;XwSLNDLjI0;D$89x^?v$Gb` zvi<0N+A}(a@zl+kGaRP>GiR(X{J%AS`~TLTVLOEB(|s*Gt(CD)R9*N|i_W#{n%9%^ z|AlK_)x4|s>WTCfO|5V~-PrK&G|qE(?KO?A+|_#>{zd5aM#1N^m%prd({Wq7o0S{6 z)4B7r+07@T)P=c&@z-W%A(ob7V`H+9WloRc2}k(aQI$3N+tYrDUe@lg07w_jD$J=K^LtRxBQkQ_cKlUVcM6Z!XJ$)7-im&uh>ezbEl(i_@FsUG%ZS=l$Cmf1c-g5^F~4Lk3eN zg9sV*36dIuV?Bd(zqIV$LdnAEb9((Yz)BhCLJ^>s^e2K3c%^3X;2EpHs8qVqJs6w5 zcX6wa{70xa^7m648g}7pT4g|ynm$KJk^agXeL`f-Zc6sS5TDiXkO)~-Eg#w^H~ZHpJ<{tjKglUrSqRt4ypH-T zDgtX2|L$Q^nfd(B8RoCW! zZQOdJL(&yD$1lp!cMs5bJn|}OU zI$!ZkYU+l-SoEq#7UST8lwym~gEW06H4{0>SE5l7O=Y1{71B|SW!=SNJggUM8y2qg z*51-_-*o1q5H7ShcZlt?QL>~ayn$DIl&>%`-4K{6T2IcdD@C>S=emLZ5F5$nIhZ+@ zqj_IfeIIr^_e^7Lp*wrZnpV0ChmaP&rw?tka`(Ep#r9+3q2Rcw_f4ZBT&X1%QOe67 zxgf`-n2cL|24cO1+gU#6dykx$Y-~&d0}4!??(wSf_heU>+b{+6+Y;K1IBBKe%>5vW zidiu5Bq>yL(U$i~U28D@a%9mrtLIJ~IwS{ddj46mmdkwnpx0*RS?8v6hpnaRL2$y) zAg`ZcE0TV4+r-Pw^WCab=G-Ay&g3deNj~v_hI9G{+QllH-v6ICv!_X76?WH&b(^kyNs z8%D`GYa3ull#8p&AL2G^cbQ!3u{kv?MED*OYGQ?ASaxIx7k5@N{N8(2k(U>OB;2m! zw?WEfmbuEzcxk=5MT}Y0X7oh$$d#RkbAPy-)5JmbjeVV1xi%2u9Se4V50e!VA1!sT z`o*$;^vc9lsaI_l>RA--xNcpG!8n5~tq&P2g?|+H{=|UdQ6^8l*Q?SG`Ch`ZjmI78 zA+INKr;STh3o7(}O}J+~{HqMzVBao3S-*yI6q;%3e1972N7Xe6_6vVmFRWDJ#Yfc^ z%w>$~(dC`X>IOj>Q`HbjkMzUH2FaQa9hTM!R=LOnlyK$7sne1$hplVqZJ6GmN`86g zcZMuq^znrmct&<^`{D_l6|!x5IXb>97T1=Omcuy0E%5sh{`(pvaw#i!?v7 z-mj`35-r~?o#VZETv_HxLUMrgFEb+C^-SL;X(Qf0*+n0!#I%RZ_`Gj5zpaz|0JB*b zcUEGT4`g!Q>8+Mm<3{J>mkGry5(P`KvVx++!zL5M&8<6+XuT4}=+Va{u_vw8zvq6Jb%_=2rRAN=Rpw*~zCW(_ zH@pmf{rrQc=v7I<6NbbBM11_Z-4AMmVg^cYbt4qs`73m5>LKT^M8G`eyt~$@ z9}_8Po_X=ct6Qo|!7MzhnI{Gd9+l(T-AJ2l-HUgL%sn-?^KB7*d|d{UE;DsQPPp!% z>k%(KiPu&my``4RR~m6#pZ!J9p#V}lZ`_lIO+H^_&OH^Fl|e8zB++6;F2WCFnj-aU zt#7}2d=p#r_~Yn6<7>?43*zMG{%cFuH&%+SUw^f9X58RBbJSrwVIKJKaug&C;u!BZ z(fdzC&D6WF<{a!yT(dO$g}UzF%Ztx{RrY=98CtgRIJm#k{jX7G{N|=~vw$6zM-Y3g zT`*I_Ds-IZL8&wdTFeb`@h-Wl>2IKZl%uLs@r?Xb?dwa#@Ev4R&Re5W zlsWWiiDH6Zk~mRr-rV8J#jQtr$%F>|vI9qhmw72iZB5-zoipU`}sy`i}F3ub}{yQ(N<}a^qeN|(PVJme$;e> z_vOE>7vM-j-M%H5ckqDegP{0WL0?vA383}Ly^I;bxIDh$#{T1qJ0YGwOhB$re1c7w z^$Gh08^j_HSg(=)wx6a9a=b60VU*L2ec*!hr9;%YTb4bHdeQ^9aotm*;7>-9C?^9rkfS=iZrfHBh= zcFMjr*7V)AzCU}N9)CSqg9t$pH=jh8B23}sI)<(Ma#7zhK2D{g1s%2Zq>1+X(4d1A z-nyZU)%xsIMJ;>=Fvpj1k*oW+3P{N}S4QXv%qw2{9KAJ4#XG+8j`Dl7O~YL>jqj)8 zHb$v9Zo_O0W0O%MxJn-V(?uZt1Z<@DlyTCRp3HQCgNnaqWvAS#bJQa~w@%Yj*rrLG zlht0O9UZRI5WJ^7pQrz?Cs(Vdy!a74N1L7X%`CObX{vcal^Z9c8i>NlsYx83xF4H4 z6e{rq2f32Zd_^88h&End$d5tg=3v;*UhiR<^WJ>@a(_CU5B_ZPQHt1o&3B0Rk!WF_ z{#HOFATG+#=W46*l@IAXHcjum0D6Kb*Kw( zKu=2s2AMqn4-53CqTuhzLPV6)r~U8Fibv(Ik~V;@X2)N`3QYPC11-exO%bw@O#OEU&OsR0`gGU%o*7H z0ggf{2lI0s*pL5u3mZAfT>q16OKCO#+cs>Ur7AUTUGCOX%9B2|8Ga9GhW=glbZ}Dq zS?qtQKD>YSL%~OO)_bl|K3HK7r+eN^6&48T)oaPdNo#jY{KTk}HSwm8`u5kTS|)y+ zz0TBjGc~d6n5Lv-lgd4J)n{H=TB(@OEi1Rm=OhL;yHL8sJ2*YVl;d^=V77{1m+Ss` z{UsF;z_rw|_xwvor<$85;rQIk=NFRjMC?V%UWyM6WM2XJsZ~W^gU`)@59E zm$P`1m=?(HULB$0rD!!?Xj2{J-9O~cp?S~Wk(rHYwYCN8zTrD}Y9J`jJ})Vhuf9#| zY_F)wUhtm3^)xQOrds~Y1VQGO_(v0;yvb+R-6kxtrF(6+eWrmf(+a@8XKta2HzvK9R5yyy^>2D;`zo1g$FJR z6_E>HJX~`7ST7d%{x zg1-cJ>T^dlMs2yCEqn1LA$>;I=l7?w=$Z55iIE@Sp&MRa@9uA$rKyzM`^7T!&oD0~ z;MXTbokz*=tpoU3b?^@7=LhxkE}-4zTEx9DMYA)R3AHWHs0_X< zd>dnddEEQa;9`^Sn)qmv-|q=yl&*5CQa;4h zlp9^wl`SV?-_rPlT}TBO=-{qxqa{`a8PZ5r3UW_MQMA54%g{*cU?r zm!m32(mEm6Q7cFh_?*1*$nw_-7I1l=L*&SOi?i$b)`OdNSzbSG==n01=1cBOlyW@# zR;9?8AnRL2DH9zyJ>6r^t@)_dsSJ_!{>0N{{hF{2B`^FhR*#1 z<|pe`!U$IRpQ~*fj>A?rWX4j%oM6maBN@P#>r#rl*F7|f?|U=EXo^orKGV(>6=7BQ z^(2O42t9K#~>1rY3|zUd(xynItX# z`o~z-6h3K3Rc_y)i#OQt>s$o5KS>``;CL*@OlB^1%TIB7P8WQzZ65K^AAezjL2}AK z$k%WISa#>k?$sdvsDp`Hq<0Yl5#yywKZgei7n#33{Av?BP}c`CY_cs$G;x?lj%lZ# zax3R^iayhMb0Kf%D4gCC87PDEVnv@;4O83~D)zj0t{&Y3g<#vv%kKwNoJ!@V z%MoV!R%$ypZ5NCkC4>1+iau6M@}^cXCYzUiXcbQuQAN2F?CBJ>txxj0dVoEK%OyRd z8qCiF^rqu_oP`f7A1ZO_hmc!uJ5Zd%>b$1&@`?%r{y?mFTQAu&UDaIBdC&P#;7p~4 zEo|5a_UOuLaqUGP%cA^&uB2z&ccl$~K6<1AD<8L5x4`w>oYzv;S{HoD!l8Avnf3l& z0PFS1nqS5VzwX~PDBgHoE@ZO$!?+^+{zI$=F`pHdc;12Q&9CV{^~nv%WZK%MLQkaS zeB<$=aU>}_0fx+WY%qNCV?@pVg;PU_Jok$ezGFloeH-ty+>TfoIkRx?|Z7bRuL0-cea3%FMFPk+_UBTy)pjr z&kfR>^{uIIEx&he)k9o)(noEo%W+Dsxsf;xDuc#1VA#~FAcNT$X34)S^|YJSO=FOy zM)7%ZwOX$o%UQt^qGEkW&Q!|bCj;Zks1i}9ryZ>y1Rx)SKRAVOPutqFvdb2a9s0Qm z7sIqJ49UqHIo;{#fy>CmYjk)yHzgNmO57u)gzsNqvrSqx%>uen2+t}d)jUyeGQhv^ zY4-0pw4gN&N7}f?x}(et?P$ZCYgEKw>0f&e^3fBhQs;gKKII3Vg6!?x^LzQ@OU8kj z34P}LZ^PYo$kku^<1w?0vVOY|DRD9`=)Emz_$EJn7--Oxx^>O2>ew@$UBq8W4589> z2XH&}Z-8t1#`$98tka$oxtI6inrT*DFh!++;SQ|-9NgtQjii4!g3&cycmIwB&qL4h z7vJc)ssw=CqXxp(`W+}vM6G9hgnkxsj*R?SKxgY0j2;m*ntL4{%fVwf$#4zU|7en8 zfBda<;Fa9=gunGg+m|`!cFHf83bURxpzQQ*XxVv-HM)i{ey89WgRfT_%125fCsG2_ zq2%%;?}K=x6@I!Wj_`3myZ)K{Z2BkRjn7$AG;x>*>6~5UBD4{f?M`YYOGBcgyGWJpq_zgu6$Qgc9 z=q0vqbISMs4PESJ-!qed8nf4;&8_O0PP9{E-zuCm9e@+sty_0t65a~+r2XfT0q4!sewFvr^!tBZ~ict zv*nwLW=Be26(r@Ue>F#G(n|l(mB-QGp;t!B^2NxpKN-ua0&mIrf~Blrqa=b~M>IZX z)ev3e*%e`F3hq>nSnc{MIMLAe;bwfF%Xf;_ICTcVk9du1d{;4%M&la#CxcYQt(=_n zHz?;B6C$qIuC%@y%Y^a}AH5+c1;;@|OsM!J(YDTQ5>ba-acwz(>j2%=qp%73Dq!Nj z+*iTCu8_s#&;fQcEC?p(hI3n^qXB>~TLCNsFU;_bzvu+9#y!306Z|FueQ<1i)W!ET z`q*Etu;Ff*Chb8Ej#tZdK$7n~C#h`Dq8G+>E~~lP65Rz5Opv>=;&XJwOQ{ zzA22YPlN*YH-v~nYEB31NIldZ_T$2{et;l8Ko1Qx!M}YMNooB#Z_hZpt6KVgufabCiV0r&vYltd~i@(~h89e}G zkEC?p zKjbumx^wbbHPOeCcyjq=C~mS%XC{p%yAu4%U7(L9pdj zDbO}9Bb71cq24i(?4phLWQ>kg??l8AY@OVXEyx1(CQZ6 z@H)mTR0M>$h47fN;(C=$apF`%cfD~|I${A*WUr?UvZ-m1{osy0YteUcX5TBZUqDB< z>HKmO^{rY-%Dy9(BmMYUR2t%I6YUF>Nh^hg98(=OSmAUVu=bFZyU|B~MZq7)sO_45 zDOf%kELfjcUDlSgY=4vQwA8)}t)h?~^*%h8{d`GLnuiSElpQ72m^!|||8?TA5eMJj zOAC12uNKU50Ji8VmzvxI2c&*Jj*o&TeH^n*hbSfG1M;A}eC}J{9Nen#S6bf-WuDd$ za%QcZ)ykw?GJWc1AO`FIVz=aF>m$|x@l{;;veyJrYb8n0j`fSz*0LUyVbwL|Ji)VYVF7dLWArCY3oL}&!-oB50@I6?oveW*9lwivmU%ZGQ zf#j9@VtT(SvRe9RdAirzRi5OckQ=2OS( z1&e+n+F@@a1*J?qT@Io8FLt0!zh66ushVihxqgq{^#!!PA#n8abW$D9xm^6Jp3wa3 zP%dR~gI6RS?z*oPlQnovb-H*kX+Q-So^{qa@!*fAs%{owpXqZXNM>$dSw?`g{bP^p z$QrC^f6N~IZ}GnwqjjWH%FdDqP5LV!0UDwd3f8uK5nlhe9j%jto;;+dtI*N}BZZ77 zTC2(qSNAiVDAdq&I&ytg!&aTjFW2Pc3GI9tZ1k(V@j>p?CuLE&G}JL2bFc zWO-q56%Ap{O3xr(NR#sM0C9rzUXsu;TexXU%6%PBJwbl1P#qTDREJOEpP`!sb8_MPE8 z`4no7vdtyHZ_Mk>BGn78?03fO?^KV?TpjwNqO21-EEV>FY~*4-`-74;A4eIOAi|!v z0;SY*H3cnU!&^kk3b_3QaA56NyL2rIYkt+xl(>cF3pxSCOF4hvHXjd^J=S>aS1ydZ zngpE%%;!{j-%t9Y3qZbmIfM-gp4m+DyRD^Gl=Z!HIhnqqvZc^kp-k9U%Pp-8-ht{Y z(YA7FR57=oXr(NQ#Et8xNoC&mMjsA%#uAwp< zkNxP%nn1a(ZBAF^zFc#WY}o1V`~VF!hUa*fKm|9vjx-El__kZ0MW9D`CY-_VVfm1> z7qvA_t#iy|jI_X?Zcr5*CraFN3bO15z?NvsS}^UBF0=aP>61J43$gxRra4rr6no?i1F zAUv>=RtMiI|PAftNtq7mQEWVS`)V zeUFBt&2~-+grDKGuvx88P(l{Zm`oWRMV+}-w}TRfp<2G@4vQ5j077H zX>W-whDZqiMRPyRo8@t-W0S2yOyrWq8RAVO#L4($pcWqYnYr8EyJgsC^yOTLAruA)>Lc?k39u-A)1w)E4dfp!}f zhIi$U?f{pPvh^dnbr2BUknTdnVz$9w@Qt5ghN-lDsVKVtlI+TC9&K-syN82H+wh-e z_Pa>i3;Yb7p_4;Y9>LzHa?pQ|7tsytNR65aq31=(a- zU2-qdyuJsb?OXP)@>MI~^eOfuw1?##YKs5?l(7pAoa!&*5JWtoVJ2DwDX~GRmsaV= zK^B-Ohyb`%1P|_xeUyv6v@L}&#wV<(pCC2Yr2<>K`w--=3YG9+#0yw=dU;c z>Cs<6{vbkP0P9-=Wpb5LhC#(j0?iz#jA9C<1DqeEw3QchZ3m8mvOHGDYtoY&4l#%N5A`BXm-6{oI! z-VQGlLOtAnO{PVg{TO|bN|lXxPX5kCB*WTkp9>BF5Cr=Ivz-cxZOiL!X0_~IdIJwZ z%a8yt(r6vm4RuvKmBB^)eR}j$8C)4lVV)cjJf&wKw4jNJ`!$v|B?-W&{Rk?<=U!Xz z@DG8DGjZsYi&RT@J&$(2s8f)BUE!xs{%1qDqkVIv(d0)hCk^=>JioZMI3-Zr2Y}V@ z)JyEOEcC>@`f$Yu4C<=rdbq*~um_5iW*kS@zgc0n+?SE^CRCjcCQXEXMV{}Ji}j+N zz*)xiZYJkFE%PbKJXC(%xoOQ%SAbZz{cMJ}C3kHUtv-POMoV5XdNDxK=$G6;xDe_A z)hr9B?}jnHV$a>`-C65nTVNVcRX8HnQVj7GAxARPR3i8{&m3eB(vUdyKHSYqa7V+_ z{E-#^00D`yErt{8kU-TA^ASY#@F0mWXL7F}eB~Ihy^!^5C6!|Z%7_t0e|TCsmkQoh z>t?*-ARbl5kp@`t?}?|6@{mq(U9xQ3r0na5Qg9U&ZWcri6%@x#${9h;7n#e+L|Mh% z%-$+2tbDm56s?Z{Oc^N}yb8_TitD{a$2rxZ?8Jc~Ts55t%;?#MV%_yL#HWt#B%{j5 z#Zgc0f~$6#YXZU5&nsyQQYXu={F&lgenLtggaQk;so6nhgKxAA?SUn%R0blJ8s%n_ zT=mw4CL~PfX^|kupYH$Xw$*(~M3Nd}-}d*|HyRXE79``aA)k?P0kky3L=@rXCivp= zgdCf30Pa=irJ#YTf!_q=tDP+jm^beo-S4SyxM4PBK3xsWphi+Xqw$Kj5Sz+R?1A-0 za}g<@8uhuBR`V!sCN$$NaIK@%X05Xkv_aYRHN{T@%Bg~=Y93SC3X%kd&_*H`V(1#rk@!pEYJ+=oQi=1GzORGwNax@u_Re_3_j zDM+tdRxHS==^y>-BKQ-6W-4~udvpIhNdz&iU*M(G=R(>pT>&#wnuF*)wb}B(FQm_@ zHdL(Q`l$etZ(X82D-#jZ*XHx{dD;&#y=LG~F=7Yq)Ui zn-{#>jiqmhhkg+x^|jOu2yyr-hDys%D+1p5bd**czvC(rn6kiyt7r8xykWPV}XHe0mFgK;@y2gkI;G zuiuW0iWDYE2KcX+{-F*vZ8@WdK`nKrX$WRZ9e3Tl7ir<=txB9tc6 z+|hmI?56S8Z}C_uF-i}!;NMTQ>eu1O{3;Mc|4a~(Dg=If{0t4Ew7fJ2zeO`@1|OOp zpUMN})+HXtm;5k~IJYLmUZ~EN2K?W+EqIB_yor@}B~e}^2oC?HbcCqUPOyG1v_ddo zJ^1L?zfDNvRYF75Ex5p)anm=m+_WlS{C~x96JfZ7xXA4L&1F0>~y?aR>Y8+}FXF z{Z;j&9#w@sJQbYYpg9$D1CK>O1C z6JqdrlTv%mT0#eFcql%Cu|AG?N=GQC3U*` zZZ!%s)RvhW@assHQ~7$ZvaFtT_1$m7^;LpNRwN)tY{VV`XgHA$-EKR%*WX;_ zj}$4Fwo1c~J`Iz=>}x9vVF3Vr!LzyN)n$=pr2T=vE^)N`2ykB@d53yu@iE2HtvBtI zj#F(s-Wr50ylelc$zOSdLf~d-T;lRh{;H6Ursm|6oYw^OIhwhZ#99-X_U*2Xy9FV5 zIGBZ`vb5!1KXdzrVE-&P_eW)CX|CqRf0GXQCDj{5K2|ja|9L>l;{N;IVqpRs(|#S3 z7qw$hM69v$d>m`u5-U#78rlK%TzAv?BiHiodd=@yh56ubIkFq7k%0HBbjH@(@lQ+m z){b=exw)n_XD)G4k7bkal63>De;|4HsqcE0jK#NS7{H?9=1_!iK{z=J8SYiiO0(`MP zJSN0k^Nv*FH3t=@rt3AhdsR_W?);Ujg|Qtm>PX`-IU?V+^J$WIRqxGw3av&7w!GU>vYr$oKOe0U2 zGz40iI#oHSNoW@eyZ4-QG6t&iPA!41v_s-4TvCuEXx090dhN!Cbl3)-UGVVTI=y*dcsn}aq9I|b7T-utr{pLN_WFouQ z?xH5CPiT9l4-x`bwXx2K1Rw8bRmXWL^v%^R1r8C@adB#pj)jhV%soZi$GNw(qoB|o zx^oFK9L%)mKnF0=5MW(Ovfm%k>&K0WmcQb>KU$qE5dM93NkbRWWoe)3TcmvroJi00 znp&J+#p`R}e@dY<3AQ6ltg*DT-MlUQ7X>V}KX zSB~_kXSinkE6hof`0xTh(pLh&u~6}r2}_S?_t$n*fn0E%JD>^-TFj6vQPF?mN{I|UQ6VX=>8S3DG- zFR>T0o^+)M&PjMxiq4jcvpF;w2D>sboYv`wx4pIjh>my{JZLPGg?(?e$&c`|pLGTy)R7WyP@yVp6@)nKSg%H0GyB&57*r zg9!K`5)|I8v~DMjE1-Hk8;Ur$A*!cj%|&>no{#5Sf7MAj_nRJ1zT7WZ0banYwkh1b z+2>wG-M6Y6fT|!4kv5-Zd8->eU_Upum)%|}Hf2u82oerMzvA^(naJY)0aXo8!e=o* z{rsHAMV`g5>>TCmo&O4Hd6BPobryE0gZM!iT|QKF&(Bf*Qv(95k>y;`XFkYUV-!cl zw`km=&Nn0rs&=Wd*p3hyzHDLIVC4`a)=mGz) zJOe?20m?-Br93w#`pf~-?5=?t+3m0I{XBF2@|Aqa+PiB!Hn~Pn^4}l{TdH_6B-3Ux zDqiN;HOWV&e<)E<$J!b$kD;?fxsJ=|kORR9Q%B#xl{2w|x3_bIuy17%jIS|bq+r%R zlYg<-(egd{@XftA3Z0kqn6mCRgN^3P0$(-%e8>7H5!TBtfJuD!V8{^Ys&e!Vr=2!b z6TP>kC@<)r@$}a`6DoeRvxZrS{tO_Q9$3xpqs;Tjqwm?h=_Ab&OW1px`~Cv7Lfe~G z)@lhPcTe3pA!s*mOdxCcQUJ7_q_S$CyRrchz|5|%_&+GntM#<1hw-BoWd{MVq?<7! z!%&Qz3c+i4?}o(@_~Weba`o?{xBV%a&Rpuvm5k^W*$W~3Ef=s0ITnas#08Q-n51!s zlEjcoS*4Wh0?tv0J}U&J6e&L7r=vLmYa@5FvW-66k~&eZQd{L)Ba?R`?Z=P#j+a`w z8Jn;hx4Ylp)8TOA|27uyizhQf4r_d4yCw7ZE^hw`y(`J}ZOS+Hux{%ycgFpA|0rR7 z?UM&!^se;8VQYLshm$!ldHGlk5fUgy;)*fsk$oe=a?JmJa7!hQNN7z)e?ETyN(u~P zN9eiroCMCb2N(>`8aE`)wg~LaR@!4Iww<8m==S)x8u7P>{Ho;x7e)7+=146YPtb`x z3f;QLJ7ylPzZZXC$MRm29Gcg{;J9|Ly_jDBMevR%IQ*zC5$$vN}8h48;J7jiEBiI|&CB9kh&N#2a;Qq@xY8o?LdvweqJE6h@-0!@-de?4{ zZxO^y41G>!U(Jc!=~0Ki?00^9JBE_+{xQ4E*A8GnU4h`j`B^^I{`bupqZ={F0@RpL z?hO;q{8+t7_B-G-V-ws;k=eAw!$0`o&cCD6z#PPK?VxZH3Ui~utcYt>Com0p_~qcU z?5xDUI{=Tw197sEK{Dd`ux)|aw0SY2qW})S4R)1^i`v1BR^3)B+=l{Z2PVuB@G^?Z zWcG=f)DEvlIcnF0D$y@?STzUS4U`@|HgnFf4l>!eIje9~guz~H!0 zAOzi~Q)(N`y;Xq%)&Optn5Cum#N3Fpo%`n$L=2>UA#qV}!OXy@`qMy5=mo@WS{Kbk z7(l?%OeP^^l$Og#aatLTlkD~XKDohV>+pt*)UgG`cZzjTSLo|v!(Gsg<`rd5{Qc!g zryvtzm8b~JOp0Vyug9snY~kD^9;)Mp1Jwv}&X(8m<IDvI7rDTCEyCAK=75kv{(O7U1*R1d z+ej1Kr~#f%1(U8X_03JVXvq|Co?J-zp40af@@HZU(17A=0G)prUtj<)T(U&g=61wFxo`b*%#KLH=-hRDM620U8(t z(Z3Mlb~sNgji7Jb{`;og#~HkI9m+J&gAeFj^$bG!@3NEEL$Be#qjpu{7+j!tFC6ps&z(%J_*g=&L3Zy z$?!Sur5l?+(~v&2umSs@SmPfX8om=Ui4qm+CtKH0e|ZxGqvcoL zz1Mq*tJyXGOIPe~VWcqKMN_k-#y9TbrB(%*7L3E_<|#)drVR8HMQ|M0>^Vsna_#Bx z9NR+b9&kxg7k&UUeuA!vY`wf0e1&juf}&{m!j_N{1@WQiFog6Fjy@RgRT$tV?O-vO zx%}Qae%#hv9Kv^BgT z4&ApZ=j=8m|E^gSivFDzSgml%PZxKr(Mig6mTuAdxG+hi4S=Uv)A#o&;v8FTrv!YQ zse?g;V@}{zXy>&ZOQBdsv!JH#2r~O$np>Nnq0aV8`AA&0#l@ywR8NE%>EI-V9-T8` zgpKqY&gpgHs&0x#BXGtb`M7s7SA^aMUr;*^|(!C77L|8~YBn%RXrn z-j^M3zA-~_n~Y9=BBD((<=;4dES_V$a`c8oWwkKAJMCAtB6Lbd`i;Cxg+~0@R94hAKxCw);Mad^c&eoI;6&C+e8fj(94U7|j6!k#eg6oR zF&4(&!#EUd-|Zu{e5iYH1dVojws*f)ZTsETo%-r7!=^$fuF&!{?;Y4Sj>5)LrPqXz zLLMGo`lt0WAOHI2#N=w$2+QqLVdmIgb#mh5!1X%} zwg}tzM^v1^O9w*uL6K<3IUpl#_Wds^&#=>+q&ghEKNVZ^vV(7X^<@d0|MRQ0QqI(r z48RPHcA@usUfa|EC^nD6;n7W1@C>>ny!GdAMlBaG-RTmQCntzUk*}2Wey*)mpNDmwgZ9X#@POniKc~pOp&eyvhtz@Fm9V8JGHbRgDT!C(ixT z0$&)*INJscZB7cD#M^f>%yb=2(B_=@NC~i=k~R&@;oXC`=Vu?EiiShjZP&ALT_85) zMX{0T6RJi59VP^>^Z4YE7dZKM$7-?LP3|`^fwxBw!4P8f&!#M%5wRc4#fj&B!{;yJ z{q_uL_R!>*qPtOoIWwy_<;~}R!&9;6Nc$j5y_2C^t&}M!x2NEd+jDl|Yc%nut;D1c zhcT9(^P_}}Fnt{&H`A_q);JV&zXAQHCrr)?M+G7a&KO=b46#gAtY(;fN>w|LX@d%t z?D{VP(O*l1Jr>p1JIJ<&%=}DyecwmE7EJu@kmsmRu4*^_k_io?e^@8qr8w4kEW-Dg zHkIyP{!eNAk!G9w9QdHTJ;CFX%zaf_jqhkfX_wd9EpYIwtB|m+u&8{@<4<4$_1*r@ ze;PeIfba~=QkOdrhBCLR${Z`Oj1=BW5lV=14paNKHNQNvj{_oanJ)h~?@jP^EchIq zFs@#YnsboqA6-p??fBg5HZral{(DvrG` xkqO?NTC(U^x85b?S4@BgizN;_J!0 z@~s}U<*G_kH(j1@|1rzhO9KpI{9FfEV7(9RU6AL42T$$8_O5Yqc-)~4?msrEX@(7g zw>`>NLy?Jmd4e*M+VgGQZ@!<{(9BdCUiV{fklj~OviLn)6LwAmKh&_m{91YF9LYHiwkOA6uC4>yd)giUz3W}Oo} zegJ*%8C-yW>lVJWFG!lbJmPh8-GmDo1cz+?!QX=*CoZYwASu;=k{&bC_0+3Zh@?%6 z-Zi(WU%Dz2ew4_Jlh{N42ULrX5)-X6lA$8Qz>KgS+d8M&%gv;gF!X)wesP}z%9qm@ z@Ar;;l9IaNC#$pTg?cN9*?E(M7^uJ?yVw0PY_cyXlPnlkeCUBS)-)Hv>4xq4cM_^HQW2goimG4zK1yJalX{F$XmNqKosj-5b!0kxt<|S+=0KN{=<1 zQomc3V~$|78WYLG=qKkf-YOf$0reo1(1j%*NSqJbM%q*}mgmu%nwlfELh8 zN>eseTKwx{Z97$Q#4Nn67~@pHR*Si*BZ6=~JQkS3w;)H`q(-Xt(rwE}|;@tdL2T;(R$bmPD7NN}QT~ zHD)hoG;Ar>-NJrZ(+V=sZa(}~z4c~_s4}x9N}9E;+tTZoB$X8{)=FVoXn9K3xmWMa zw^I0#f^GIE=72lb%s&9CAm(&#$%h37JxZq)@b}h}DI#F1>m+tz7Uq!Ax#Ukyc$1iJ zc^rgjf*(d(?iW75@!I8(3yxxnLt2h*WLq^3v6R7cUUwUl9Dg#}Tq+&j4sY$n>Ql+@ z(=?i`gJMZ<>_E=-rtYc34VDe(}3X3+0PHlBsMv3R7s~;peYn)0tDb zIEz zb`|u9d}XcZ>k-Vp1we_5U>1BZyF8GoPOamon@;?L7PVqeZ7=!&I{k)C5pc7kK8tDT zogRWiS^Dwy<2?l)zYc+5MpO(U=*_W!w5;i`=c=k zJ@0A7^$xVin_5jlH5lpP!&%0Yd-$be6E%ewvvCNUn(our#JhrGqcO-GQ(mSJHAYJ{ z)9>t11R*!;$LyBzh+XZqkl|>x5-X=But)CRr0}uD8O#4`=RBX9;DR+SFDeL15dmINC`y*DFFmRC-Ty3=pa>UXi4bl$>rX^;(mBP zo|%1ScFydXo%4Hk7W8j@AX^2e*Eo!*OSx%$OyoNzvLNga_L?3+2w(=^&LB~YW9HpV z`)bTowVr?h?Dd(9!JdE}sI@6yyrs8$4>qC1l(7nw3tTz+_Jf**N^8{fcKlp)d~*C? z3m8MEM%VOsh*Lt68|L?}K)%9+Pk^{ogA!$ld%Z|*^pjx@MX+}G&d#c@p`Vx2^gr~5 zt6IxU6y+@svA`aL_Z_H4vZ%p7Hq8cL2Ky}glim!#k-h=TZlwVKHmI>nCnR`Z({wHSM3bYjFm5b&>s;5dNi zg?o#kL#m_x1g|Rh44P(L6|GHksc0`YK)pb4Ain6O!+>)b=)2ezucZpgMU=RgOB?+? z?(gp;GoyrE>vf;{SI>5JO``Oc?*ejSfgTt~74@whn_sWEwjiGbV*xN?|%14m5*(vc{?r*JOs1#B^&bq1Z(z~(dY95QDr z6txiAHXO8WSFt}9K%lYq&Sy>@&$FfrE+)Y4gu*?t%SO0jU&_qk6E%8+o(_srT2E`*zvLI1nxBTEW@uv)pixE((RSlwozK;NluLo z?78>m{oK!tuUnpX6U#uh=he>Ah;ZOSF{SG@+w(*TN_vz!<${t=R@P@u^H}-ZF^8cN zusy9Tbcf@#ym}N}Y&P)jxO`6PY(*^XFz%Q2uI-e(#5-gKa?iW~YS}WxN^>B^SICHK zG}~`R<(xRFpgDHyjMLi`Eb9i}UjqSl<_*<(4qpX%wPwz-uURfShCticw}Ps&O$`$I z;1lu`p$p{rv;%OhXEd$Z{`e>!l3&s{isuxL$>H+DlB=DSmyB}Q+KAed*oIq1UH5?* zy}c`u$cIC~aPq}{ea@xI`jX53JVM4D!iQDyUut%yZ!E>=B`nL-<39Gza}I^_5-TJJ z{%{MhgJSf7IE%O_iSkoXdSKs5Gxx~6%~Lyu&afuXpE1KHgA|tA39*3gl{=aOu3+mL zlV4vOoXDIzT`%+Q~JkS3!WX4l4#_tIguy{}u z*EBFltOlqU>gs<0W){dz958O^#3}C*l`sPd=q0^{EPay83qBdSJckh@v5t_!Cf|pTj9FYw&>CMrA>}@d+{oK)u?}BcXE-W8E^nTJDEUmOM5|7TVz6 zzOi&tBzcBq$eodxdS0>uX(0mCu0CM9)U#~0t1?jaF6PfA%;9-NRXNdlV5OyX#u==A z$e_9&TTLzgU_u$(^1>@?kzKzV>Ba|q!p!IDd%jt7UWdt^8L8U4s8f=rU&Oij#YZ~M zV7d5^l<|*1zFdsuga73`MqF7ty=?uJ4E835S)BW(d1P@kdzUl5kou4Pb@cxHOMa%2a@N$I z$RmlD9i|%cLRZ+>iKoWs`tK$Uv77RI{kO<30;vmTs94Vyo)}#Uw##$IdP07rJa8Jg z53;NjKvRZ(dd^pk1se_Q=6d2@4=83t3iD<@kvb+^w3ZaLj9Xbv)^$cnh z+w@d0E`h57Z;1fCbu@$r{UrD?Ayl{dA0;QbDOex!76HLHf)-!P5zPU*Iuwg}%5q7; z9R#!aL|}*};7yQ`!%{Hiy4KQ{BWw=!EoMUnR-c}?juaBm%)o;OzfitOBGry{dUm!; z*LlNf?l@?XUXD@3xf7R5(a9hKDQ(9Zd2Bq?omiBUB?6Tj6@GmDeaY0dg?U!fCwRFF zm$IKb#a?<^81C|0Ro71D2hs6@eZrJp$3$*{eZcZ?sOzx4@khbKBeMC;*}UhVf}E_j z_jJRphAVJ|$7;2&nCBhM(J)ct+***_g!~}QWI@qQu8{B(1^yHkFS#pWl-?)m^U`@O z#P+Kk8>Usqd-L3~MD@&(?m86l9xA_|xuEj0<)R^ap*-&_arWn1wYQIL`OpzrgllL_ zQ-ZbPKDbtv5PIdS+|c%{iWMCl?>)km5y3TwSC(P@CSoqEH$#rxxp#Wr(?SHcYmTeN zsIB&!j7aAX0)1CJbchC{Dgz$K5I%vKr0s}awA78~7z}vPA1g6Xppc5P7cSI0x`U8b zu)T*&XKXUJzqYWPLq0JAvv-URbAvL98GU8D_>jHU5y7l`lD-2)Sj2DdB& z9E8u9Fh=Z?7b_O>OGBkLYcu6p0}O87PR5X6Vs6z3i9`$ zhlYIn`_&YPz7||6ku(_e$t#S~lvZYuIMLX?I;asWxbszZjZc@B!*yNrA))3Y{uaZv8|JJZhTQhTlflLTtXZbgcA#!JP$W*3IQ#HAQWhRnw zf4J%l@R>YPvvk9PEfM`}#zJt8%sMXoh@c4z&_2$R8B4|Aq+g(#RPefM!uBYGhqZr$ z&99PV~s(vq!eyK1M!0nDB6jrf4him{2{K(VK=@+XIe$o!~#qPIe6$09@p1 ziYUEP1D&_~#YURw-oD|{UE^AcGw#=*i1q!g6^YP)O7@-#anjw#T^Ull_@L-uequ$x z)tdI;J@We2>Dba4GR!(NG#_twp`~%c{Zvna?$5-3<;4a_a*4K96Wz;#x~oubzgx=} zGxT>9HbPN9s@Aj(VDwPou{HTaUV2xA+GLgVP3LTU)I0oIuExvV-?x+ zDSX}Ug$Hn8Gj8tErTCA1?iJ^*__qFy=KqD9m;cs$s1Jl?A~;uM@<_ccKNu3=Vw z#)&7joulTuP=!W+CUw-0ksz$xstpE-x(24$MDK;o=FGH_M*9aIf(d~o;wNY@!{mWw zqRi1lguNYNe`cu{!0?3qzAmXrABh$RMh5x)4GT=Jm?@xg1ktbk+Q?ZJfIoeecik2h zC^3Zgqu8f4<=gbE*4pN4gU!1fN^UG(63r!~jmYPD+P5UrcaUkLryZumBBY2hg$p zxD@K|b2aDTdZy;bqSP>Vhi+w({yG{T-57CLJ8GS)!bAk!db$QoZV2|avj`Gg)9JUj zGAfUiEOX~swV65_laBiQ^EW1-%W4{v+J@Z^Ehqc9)MeuJOa7f^ge?B6fJ$tpydsF+Xg(b88nS(dZRlV_}#-F>}l_O|?X8mYH zAx$fSc6oy+_hjH*uGz5o8XiQA6~fvTpr(^LYl5^) z1DFZO1R#DT2aXpXr-TS$ChM2%pSSU&eZ!!e{vex%$PAGtvLv_UW&_;(H zvY~UDu5m;ZhOR}g_-;y2TpHYHe}vm(wyglYelC(j&LYs)H3WpztjKa!fwu7jBQI2UQsZG=VZL zbve8uu}F5~MeRP$30aun-v}l{yFD$Y_I=l7?AY*PupQCPVSrg59nHo7k{~yogD&m; z+@EY5tHS=izW)0g#zr--Lxrug*=_0JPPAwSHqqT zf8?>&`U9wg49bruVQDhsM&IKAD5K_^7I5&=!W-|X))T?tf$-7ZhU`jHZOwJlrIIU$ zp(bpTOM4vXa5sN`Vgmyh^rp$2RkcFK-N0Y78}ZSvOW-PMq}jQCR_uf*cU)O!(2 z)-VCL`?M$)3S5xrah@jQLiZb2aIlOhq+$~P(p4y5u9`VWSNDEb+V=mEAkiq4;3Rk4 zw>Dc1k2t>;%hQ7f`LgoRWjWY8xKqp+8L~`n=XI9B)X4rNQ_Wz0)3jR)^7W)eNw#5M zE|HyYo(}~kxU-)r+wwiNw&9~&y>RZfRMf?clV%}I0*U=%$F0ExH$i2#`yylml?ag< zN!L7xJih4_$*!kK5G@!VaCrby)avVI_pMv3xA5!?dy7E1w+FfT6cLE<{5zn19#ih*TBAl67RF~xo3a8*!tmVp8bL4{Z%hpxi=Paw;r~7kHaLIL=SDdYJCu!Hzt20e07el<=%K+coYikL{!Tmk!Km(O$Ul8di%@-| zG|!iY)?gRb+QKIoU6QhvBlrow&Q{#sF=C01y1~7o6sfHOkdc}#?UYr?XH|9b)0KLl zVa)8)75FSt=eyQxLv1MJy+%`|-x1WRY^CHM#gINJKd{1)$Wq9_drnQZ4L+muU!)$(3k$U z=iLo*x-|lnW8?mi+n{+a=MSHgp4sP_9^|5U#>+%z53AoShAHsJ%0)>`-64p~UhR*D zmJ2BYm)r(-YF?icNVLLQU=}20#h+|$Er6IMWBR`LO_XU7Z`ePV9N$_{yc zy^_NFl2xPh^9+@Sg~KO5ToY|J|1m#rVVh(Ov!lL*-3|}P=IJF$7jxXR zf)aboE@1CxcYn<&<9^h)F6Zye-2F%B-?Fm3v_phUdG3*9aIbW_{PgY8v`#7RCy-Fy z?<*sxk!;UjNrBxnOqY$YH&zt{ZaX~|yKlB1j>YWw>Gg?+`9G+!xVUYg-R^ZQfZ!vf zeOSipk=(o?8hSDGs{7M`x^VX1h*Y0o{+~(~=bK{KofkODghtmZnjx$Ky%{&4!KN_$ z54C⩔K@~H~Z3FMpg57n*UmB#=pj-azgB2G=n=Nkd&5J8fUdBhcTRo@1+Wq Go&G<03R@5W diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index b1a9d14fb8e7d9fa977dbee51cd8191b4c5b872b..32512518798c2cc5e5b5e0ddb162023befbfafe5 100755 GIT binary patch delta 13749 zcmY*<1yJ3wmvu|gLgC@=?(R^E+r!Egk3RQycUHjDq)jhf7APMRAe%_mJA?=N$$xD^!d`1jRskB2?xPnTzp zqo@ORe_m;;fMlqa%YIvde_mc5iO%NV8WmT0AiLT5oEaI`7uziNXGsqx@5-(xoNc-J}0+$Stq`R|V9II~O0eU4j%zqn%k~Gl9I4 zWXEk>I0X<k@a>by7IO2>z{1{cNN}JHz!XRuUAsNX|F<68#z4uNBu;J3GPr>x}{5|4t+g8qO{rMl7X8yt_3cZW{HXfM{#IO41uTs^~1b` z;>K^50kcp=FfxcqSTu~aHCo##FvR*G0uT5y&bp`drpzVXuWf$1=U=wpg#9y#|IVp- z+|NZ|)(bd%)$wuJ+%C&aee8S(?#(kB(VQve{%d{hw8z474DaFP@^ad zXSbh|@F6Q$z|iC1#|eGo5S<$81!)>l%{-|GnAuZ6Wub8rCH8@ILsAE6JKEXCoFtZ_ znX91kVUbmgj0hP97HyjblK;WH2P^MhpA4M_0hkDcX0~w;E^WIg(_4caQ(w~wpTYFj zU=nK~jqDc|eWr=c=R?bX>!*SCNA_T0+bwB?6*e}@GE)w_IsGW?K|hk}H?HOgL$)i^ z9NuD&q%aMYB~3aRuJu4q)*?U?c|UB8R$W=pgbO8nf(DT@@Y>Lc)ow-q+C$x3EGD@P z0$lAMH|8jWoKD*Jk=pj7y z$n9;~G4>jGw{I|P(GK501lV=q-J%2uZqDXA@v65AH6r@^pI!5>R}#77i_(q=V@Z)C z&-C3u;l`!U-2up`0g{7-%fGa;MC7q%fUNv3u1JPn_9-dCz->xLXEO3tuqh^gJs;V6 zD;d0e0%b{fAz$Qie(z)rjc7(|Eo+-sbaPyHrGl1WZbRtEZ@E#zBD21IZzIdYu?jacLh)eSDY1~}U_j>8Fkl5@ZzaCN(|!ETsX49XhezzXYqb}8m5XLl573OBE#lAkQ_8h4+0qGi7cKYe+@t|Bay zdHQ}A>f?eytvuh&hb~Uqoh&bYJ>e=?jYIw#AL+-RLnk((emBT|@hFTHpb&PZXU z{vg?jCe48$^H#@tpXFN+7Q4Cx_ziv@>3l`Mi`S&Je@bH;O}ecU){c!OT5GD=M%ko& z!|gaa6B)}Hk*{k}AD@$O-!NHD9GkmiKnd&tIl=Z?4=81aCn}Dgv{YE1Yb7ldz=gLJ zN~y23SH%QRp7DtCu`6^Sf9ES_9kINrAz?o=-^bP7EmO-`Hf28{5wj5nCfni;+J_?d zh*;|`NUXC^`*36j`7+-{B8jz&GBx~2DjJR1g%<;>Wcl77vJf*@jNO@5t&@+kfA~6T zIx-zX)DMuL80cPn@nK`JCn4LW*GgBT`*_!4qz+GbXg7cd|DfXjFIsscr$gonX(ETu zjC=c+M1R~ay(WuDmhj3vb-x{~2(U9#F>yQmc)_5k*icz?h$;KMel3FuP zhP^9X#8sxA&^Bg-_j5H8c`I|v-p%O@R^G;UYZ`?Zrk`H!2tWTypKdXJZ$VYU_>0=~ByTCK zvy5IY!XH>|I6(s1*$_#Gj+RWIYOXc_l2_@$<$;Q0k>@+NjK56qhf1hRnoTRAz&IDz z(7nuNqME*Qf9wYrQ$xM!b_3nlUk@*=wXEbwWJ zoG_AWh_{;EE^szYdb~D%aB4K}m0+zZ=zhc9MU>`@kz1r+l2?c^3m>8?rslF?RMlje z_Sn9cV2xeS;Z96vXJUxkg(eUElDX8%;{W9fnQn7@VxWb1U#xch_txUJMtcjFeyX6K z$dgQD#M;1WC8xT2>itr=uWz(=!%8V5_f>_I#3-jnc^Mxo>z5nxOCfem(CgKq03Bb@ z*V2qvl&&W~epYo_)$}C8vlctqmv$0OkoeM+o1reG3%|%I{)jZ+-(Ohvevrzu<77u)=bolqHH3&0N0V zEhND{_^N`|zvV7cQnQLU#M7$cE-=9Ul5m|+N7YoK)WQc=soPCXHtQp!@qB4v22}Kf zxjEJH6&zKVY%()8uwW5cJBwffU*zpHB-UkDA;f7JXy_bNV`2q_NI?i#hz<`{gmx{x%L|;oL zOrJ%%I5Ss-LGb0hh`VP#f7djj{;?Y~#|vO!W4}SgrkV2K@9p!;E+)yi^-(EsW1Xhg zPCvV!)<8$du9hbrj6|$Dt zcLk}RS(Dn~4|IqXg4gXO04>hdo>$a?$ zr7WKaQh#A*?s(Mz(L0AE!%SsDH8PB4Po5c6~W~ZWvl?4-!rb# zkamvt{umG0^oyMFFr&XFur}_p{}7=JLM}k$9vevVGooNFUTuw}U6yGgv0UZFJ7=QB zqfB0Tg^{n%RIW=Q;>@i7c8@H750x>AWznvkcVZXtofVKQ)X|6{ZESO^%k(vAUFln4 z{rUOw&_T^Hn!IzVRQs0~bFyIr35;Pw0*s-v0`rGVxGbnzPa66UncmVLmjxuT{3mYg z|5aMf3|(xm4Ler0#4Wgq={D`;RV}!g=^8WfF;~weUz)_kIMAjW%Z_jsKif7V@TD1o z4UCnY%mBvT@00EJ6w4FKXy@Op5!~3DpfefuNE*CjE!7!SOg@_bB@)fr@J0#{^g^1p z`-)K~X3>BSF%Ml>N4UL;@LF@pw9G_SN)>f)EhstmOTxGJq#u<=h7Q|4r<1UqTZFjE zSF)}IH0}9HGlWpQ;5|i7%FAslSA3l(uw-y;KmvRyF!DO8ynK}FnnP=RpkXN7@pVCS z*yHl<0UB$y5lzcm_;?m}S-Nw_&ZJ?K?lIW;<|T-KM5V7J)ZphT?>ZF4))I+!t)VOM znz52tjh-Q{8ZibK5Q!9k^)us96lwVZ=nL+Lk;u@g_fQu`SxCVoFXl^E7rBa;6!c+yJpZ>+wja6u_ z2LDUv>dDc9yikqXlV{W1R7Li`rrR5x`eECEaE}toLKNM4 zcU*r`@BR&#=(akgi)^<6WF*crCe35`%Dr}?xo=G~Ykved)$u3Bmh{^P#4)ab%bT5z zqoEGoHnSBvY+(>J^rb;ZxA`v^;@HS+c@snelth#T-850043F%Zf?A$Gx$B*t`_~ZK zk{NMohf@i@fI0Y2P|ME0ANu!$BPYX!s|uOxFcGK{&NNDt$c_GRYrFHVpwHgQRj;tH zo^Xwd8Et9gIyH@#H=!qrx^2b-dIh1RMP^wd?9Jd*6^ajE*KO=@bKOq2oqYdr*ne0% zcRnKvpI>xfV2NmW$4^YY#ggGck%oY*_3;z?x82|CJBG5 z$FtoS`q3tcNkR7s^n=_mJ5-e=*Xou_Fa6WmNaty9=jll2QV_4vD}{YE;MDwxVbqnD zc%!jwBX5UFi~GJ&ozRH7YMB=F4%ckwjQ2{p7+T{xt?Vm#?MkFvAl)a4RI&qSeCy`I z&lu@Hu)BUJq9=Hc-C_7tkxVq<2K$#c z(CE0#%x(|8_S4;AoE&^05Ek5nMb0Hub3%xxY4vat)jtSV_Z~&4Lgw@gLH2vg%fKb9 z`w?kHDQ6t*B<@6Eb}DMTw8Qd5FcVdCB4!fR7-^}FeJ~Tnaw4SZ6b6nV zH7OYAF5MB*WC{Zm$tA|OZMUYa+=2fX#hqV+&SXGIN8DC<|ScS^3 ztxGQ9(oJM-8C{ye=5^k($`U)q1w1|0PGvC48QX{hOFt;$vD~j!GWwSzh}=O_l%Kf6G*(nr*NQp^(i&C`>pATtBz6J$C&SPU>&H|f@9^a=fv z*txtS&AaTvhL|D5cabN(j-RMR_kKnfKu*$d@oL?(FArz4l7F8!4ZD!$F zQP}j9Ucon)%;(hAo~Dvc8{Zc#Uy`m*>gtpWo*(Hcy{l4{zZQy~)8_7ws>?*7yvd9h z4am~w=F_Olpgo4<;%L4k0||Ta|Gf6}E0d;vVSCF&`TXnCm}Yo-#=3|Csp2 ze+;UidIbI~s#P{>)pRc?lZEK{t^>>VtmCFIH^ncF*iX?!sd>uSM?m{d6YoeHmskU5FlY*7-$|`r3^LK< zA_6=4<^UF~*`pY{I~5GJ6-PB}!`|#o2(Mw4C$@Y##lR}LB|$a72+X#2au(wmcu z{%|iJsmycPkR_%H3CT5T`q5|$7$n;+{q>n9IifCOtSmGA3@JA<*!1S_7H;;;SR`6` z^Fv>OJ;P4#JELuAIny2$5;{zqMR{%^{$V+u-vJQrOr5N5V_OCHO5ZLu6(n4Vs`>}d zT}iT$%d3|0#A8bgN5(U>4^xG8Gr;$uH?7f=>bEn&f4f0>8j*Eb7UiHBWO;ox-6<=_ zK|-FkG_{F*x+2PM`cbgNbygYbVi$YHVKA@2p$fYEM4e`*_E`;f$kV~8nQBS^B$@dA zt0q8AISEdZf-^H zn-A}v!?v<6C7H+8!uh!OS15Sdi!8aI7x~$oE(GNyqu8d((r}IgMmBp}Te`?0e{_Zw z{6&No@6|K%iXofoA8)ZFb0XIY62exL26PMhsaN%NK~~t%#)pcfVyJ8P%v_`8O5&Tezo@Hoi|!k6KxMJd)`T05eKH z%S+HC3FeZ^K}w~f@`jcrEzVDQAXZfA`Cq+`a;i+$ig-)g$$(U##M&!@NKz?AIZ_N`_WCy06LBG@sU4r;N0FBb{8rU@KcDs={VX2X-h%fGl`95GIf|LjV!6IJV_{g)Ube1 zwRF_+1?t4jt@xaYPA7@>nJC%y`)IegnP7T7f9d%_dVP)J$}D&#wbaJ5_`E^&9!}1# z92uFkEq6SLF|Qt&NXiyB6B8;!}Y-}*iZesk!YX-u_I-=EJ}xNFEYDZUR%zH}bcI*lhY0^wC066gl(fMk z+ns@Z5TP0_9E-F4bMq4p;Dc5_f0ytS;B&@2a9uAcL%MHOA*%UFzL;{{O4r;Y>OUX` z-Of*2lbXvsc8AlG&63a16Mfyq1|S}!jtD$y>K&r)y|Mx92cf2K5~JLvZ+l6^$&Wz6 zONH4RF)dIx{;n|Eqkkv_esoMXkmFNQA65_=MCk?};c(O@oy7qM<@%2fa0?--?)-!; zb8x=j$q9|{`Ocqcd@u6^-mFN_j=L2k2TGFnyu;rH5`;Y3DQ;&B&_Nf1xiLr65b@hb z)`O{^$`{~xG}CNJ7%kp{I!wy0h+LPkM^lE@>=J(^sF{}R9$Js(j{wj z{)9*6tp|MrGXc2#lr+@JAtxyqKc;-59WJ?U^5VZ9blV%{Nl2(rh~m+kdW^y&0`v=f z)kNvcJ!LK=-xm>ryF{Q1>LL0`KH7^SxeoU0P>1y_E(6c>3Ay0S!qH-E&7_W2kjtp) z6C#e0OeNzN8{x(KVKtFHHPijhtXCs)s^~($^-T_qssgC8feHbc_W$#fiq8Nt?*6SS zCB4tOMIPG(wOGwlVyQyWRQ=&iqTTaZg|5g(DpwUsp)z?6q3Eewv->_E%%EK)D*%fO zLsbdY>Q45S<8xD-fL{5eqIFKoqjn{K0`w~#{?L*xE3Xo6ZVpk;X{D=m2^D?z#RX6& z_=~MOCX=|6YOSviZ#TL~$RJ z;8_m_7|4`S(GCz(XmyC*G)qcSwgGGje(MC zOlp_eSja6cKF!jeoD5&aIT`pH^Ui!^a@@>V(lLXy2yN#MdnC1#M-yIHDcg$yf)Yr- z#!8jc7@F9I(oVYr;sW^_t55>v6EW-i2d54CzykXc!#fW8>y`h56~=g*jYlMygdc{=qV6?ck zy1h=L?JJ+vZd}P16|w$ZKe_s#QmKoi93q0-V<=MH;K*)z7tKyDxv7kp`|6x(+0;rq z>od){h3=o`(i+}F3E|cET%E^^GR)J#=z=c4=P5>5O0`@u^;5FC$chbiXxnx5AL|@q+ zM?!>btm-S|+~?ExWq@N6VbHED73wD{PvIr2Y`IXH!(W2TkySBEHEHHQfB!{XV;@Ps zUpPDZiSmsp(RZf1D(5D8BBrXDS0x>M;nco-41UW*P*BM#G9e?j))k}y`|Vg9wG-8^ z*sNIT?eni2!Hf8ePz+|jgZ7Tq+Pckd2+u#{6sK*U9?2+V`U2qkO9U+&r^_){-S_lx zX0rE!5R(2>aK3D2^K$Kicz2&`a@9m9xVbx|-Qrv)X)kl0G}SJ#B2!B@+`L1CgD%mn zOPN7-e)FS$XoAFk09SO6ZnhlUrUDo5jq4TODtpt&LQtVx@i6QzWGXI?&eF}d)d9>k z5os}{Za!;51-QI39maHC@NC%DFQ3}nH_oh_k_ibsfTR6N^Rm84?u3>i=iFCBuHKYH zzG#5W_be+KP7DjJo0zVZ>X4h;)z{c(grNk;gB3sm_5Q|e!Q^J2=k6XI>Ga+;tC@?> zARLL&?}bROy}Vwx`BE*!+bc1k59y7p1+9O?UCgrC031Tu%y7Tj+jJWtsa^036nPe7 z=E~rypvqs@(v&&ogafm-H-wIJT;9m%3!j7muI}ai#+eE64c%emJ*~yZp-bHdUnUQU zZcOfaCljf8BD=(cq13iGv+n|yoJL9PJ;*YLp~hRQrge9r7JAy_bL!^SH4A(?-Zy2{ zYOmTwK&C?bAH@2`)6fk$^Mg|$<+7GxjqU4#x$P(Jv8voGr2nF!v310|XDgy+vW z?!euQ#}fs03FBd*X1llg-QHiXh$9Id-L?C7?W)LgC#U>NB|V52S^4kH$dSc?Gs;br zA*}1hl>zn8EmTZF$q#2OGT4w87{}o=qUiW!K!orCax)YnK%RN0wfh8%sOOlq@>1I} zFaFk%H_a4Z)uU>W8g{Atj~~BztI$~;+mZ}4C(H40U?7&|5)$LvKjM)>&OzO3IdTyb zv><=-u}QjPZ$Wv%dPMGVkblO!mphHTh^-UAn=;72m;9DH?|eIO_uTPrZY30x(_jzG z3Js`qk+!K8f!^d!4VIMO<&JNhSstAKBW9QjRr^&R$ewH56Ms&P`$`x7uz%juvlcto zQ>k&5xchcsmy1HmB#N0eTrxB@()T!*{SH2$FFtxg(U^*kefAbK@A2*OD~5E{tWnRP z6pH)3NS*!#zq{H4#n*ebq+G9CY5_w?i)GdGjP_p`Fem4IxL@2l|#q<;M(KnSadB|dgm2Bp%U zoCwsm?>aP!_rt8>XZaYy1OlKJo7KRdyb0@8XPnCGSw9^El-6+Ktq)XF%1C+@R%y_o z1fPC-U{KQzvrb?VFv=PewLJ`rPlssrZss!bFzUqFr-;8WMh>$wGUd%`wpGMFt@8(F zx5iDIMCmVKkY@-90T=rGy8*E^&tZg+NpCCrdUE9U z`ehodF3s0h?B3Xv0j#-ChabFjj7EnAc?wmv1@W9B&+?9$m4_DMZ=}Mt6{vyrKOrkfT2#x5f~k z%?v%6?hjOR0IK~InbS_(*)t%`5NB))St^Lz4P5`OF{!lMB-Bo@71t$vg}T14F|L~K z@J6l*oldEC&q99n-7Q%pxp(F_5#1t;R-}L`Tm}LPrZD?XueSlr23R}&i}ZpIKRU06 z?O&pPdB$Z?9C3;Sp_qMr-t0UwjHYXPi7UU8+2aUL;9L-E&70!jwf^sMJ+rj-lQ!N6bGO=BO7>x625QPY1< zQOVdQV4f21-)8dlI47E#_+jr!L@5FtAPIdTi-kY!^{t{Spk+whhW?Zk|AS6)5OL9i z9*=&^yLxG4?Z+>O?js#!H#_jSqY9QmY_R`H4#`Ij5; zzjA)Z5L5|+ZYv89$UFO%yL*V+fD35)_Cg40g|LifhYLM0pm8DBX1AKXO5B;6tD^e; znU=pAqd0er(rvZTq(`n^So|^sf<0ed+wJ*NB^oc3Lb7aiF{xg9(@=^f@FRs$MSNw? z6S~*U@2FtXSzY}}BPQzBob=R(QMm56k9-H+2vH9Os%X#(p6gdBB%E+AG-zP3EG7nt zw*K4^ed^E_I{!UDE2ZTIEKjb5>!@%<%DhWzeig@#V61WcL z%$diq7g2qBs10eDUcRkBB6kD0`XF6*immr|Y1Br}KB=TqXp{Aez{**JAQ#0UTeckN zHrA~0^`yF;z3tfIXeGcMaVTX~yr{WF{(`jhTtR*}&VwNl{NR^H6JacS z(@zd7)konGMdHRTBo7~EN6@XLLlL$(3Pt3U5PXYLH4Cq@l8Dgv1p<_SU>odBhcMdQ zly?qz)kIdYaj9qLJcdvHvlj6~=?Rj}M^=6JS80x4oDqN&-tVW-YNcBFgiK+x zPh-z&>3sCu$ynG2p_^3b-_iL8(Wk$@`8s%-wc2Hk8OW=81j#!8Z_utZKU z!sk03G2)d+nd!#qHizjpJES@*Zktl@c1kWq{kQ7)P4j8R**4@zelg)^M<2C4@P>LT z;NgX|up~6lf&R;2Zqz=bwu+Iz-&ZQl@^7*1iDstKp+7HwxDS*fc1BEvuG)oE;B@nIM)U(+b zCBVGzncXice@I$#755p1H#szGP*nbZH-qPJr01}fz`jl3G%$vB#+EbUL{y(ZVy?^& zBilS${xlphTCRN;ILdMnn$4cEs<8BY1Zynv&e&&XgsP*}|0US4eUD#@>W?w%pTkVR z69vVS8RhW<$|FC~HHyGKvFGqRDLm|AfVP3JDzL8R?tCSgGm{JdFF^hk&eXnRpyA)3 zYEJ8D;Tx*{Tm-nXwKcj=^z&=C%4mE02qH5`W;u(w6dzt&hF>t$*z^B#jP2u!7zXM{ zgd>MoVjJc0vPgxHU?gxbwZg=-h6DqJ#X&bwj6~bRC*S&J-q)ki;2Gx{Oij{lb=2gB z%x}OsCZ+{$ktk%)EyqI3ADzQK9kRfU?;xj<6R=FV{vtT>=Z#=tV!aiRT%U<)H6d^u zLW_A@BRhFijjiRBMB7a1W8sYnC72SG-zKF-gZ>onnEM<8?az0ZevcuNB_0O6Lq}l^|*;cgRNk1``sKz~=7MtOnMxA(+8%81p_SJ@PHSeK< zOZEh;**0|DZ`5eRc7L$ZpjN*itEDVFTc2U|CvE#eoQ$0Al@p@h_Ka$hrD+fBWO-~0+!XQ)o)pbm`RWU_ z@-sUy+c9;CaR^JJkbuA9MYL8IrWvK_9(=*)?mY3OYlHLlk{rlo=T?05EPrRA8-LV` zf3dpHVfoelI|yssZ@k=aWQNYq?d497YWd zEAedEa#^5%^YF+jg3Tjhy}iecw?hm8`wfcvO^RMp z!;4vRGZ6s4$%x`6T+(u>UK4{gFUJH1Mc>9nbGAtp(EIIt1>CIRpUAmw~89R9M!-qH@N zRH?`G9z1mK?QMPU7nQ`-U@>vL!a0NxB_B$`fH|Q?pC~B$S6wZsWVUQ!&8RSXjoZ7} zCT6YKGP-^xlP4!AEV?q(&OnwZ4Fl;osG1)L*q!8gAAo-s9NpHqa^SbsOD^Y<(_|aI z@ud*oN`@xm;P0(w1MqUW2f^E59p*zcDjR*ZD5(#$4}^0*}|X1 ztj&i%%(Noi#36c01(9UgW(LQ&Pywu6Qgj1Jy0;W-+x_zR92!XO@+A(Ty-V;+_s@(dk9Q);XcBu#* zQ&EwZP8!M0)pwmF|EcxVd>=Pn)Xk=(vBNkXiw zci$F&NhBV)Bij&>fde`-SbC^~m+i)dxPbhoyHZgdfXPl)#R{7=E&p_dLkSbUl9e|M zBo5{nWd9R%^qT@-m1d00#iHp68QvmhX#uvjJP-iQ0^W}d% z2yP!iaBV<8os%z}N>eg2j+n|&S~c{-8h}TqT2d5*)C)K^WQ(60qAsCAaUa8;-kM0i zbi3PFOuxjvcTfu5Ost~RGroO^1X`uZ##=tyrNG~)&=^oae?d5m9O1Vx{&hcO811L3 z&HgTNfgRk?v6ITMON0fX7m4d{=6~)vB>h`|u|7n0X^z@sgo;ud2B+0;uhsuYvtN{9 z*9Z&ZjRk?jg20pF{P{Y{_DCN2fF9`)g}v{XGV<>G7mG& z@jXy8j`Y5@k^~zv#2qdd?IX9$_9Ep;Crd84ABr=^V*-_70TNy_Z9s;KE*#h#{^b#x?c{7 zzmn4I4+eb{{Y`!s@MIlkQOZiy94ZXAcE|vSrWxgzu-s}<=`P;%6-aAj<;ehkAwYvF zT2{}i?iX~W`z7v%#a}rcLN`*?GTZnOW!_c|T`h&`E_)24HoKJY&oRC5`C!7ra#h-6 zYdxknIY0i1fUz_9-Er)^tr8xsd&P7=|0rP1dxsHDYaqtopo3Q#EJhKs#eZF<$@BU1e)|4Rm<8$txBzA26xDCAz2xZ9T0J8%h2%lcv%xazy8;^dMKNiH}GxMq!3 z6o#i%aL=TV_A1ouO}bXf`W0XMW$y+PeT?)if0($7r>fidm;b4Iwmsy z;ZQLM&_cFor$)9Xx!x&ergij0Tve~X$8uZQmhovXhPfSIh)iD4YA@}f|X&UF<(FpknCilv*|_Q?Fia4>>)75!-2@oSMUeCLKKUA2j0offKtB|CV-lUs!r7 z%-4RafG;tLpkhJ41bc{@k)&)A8l7srLA84p!RXjv|GrXR7LiTnhp|)_>*%N*Jd!fP zDLn@H0i&8ya-y4xg2;w0pPb9UTHbRxNxrDm(a=?2WxBqZX#;uxm}tz(17ku49}G&& zWyJ(~Tl)Kni=}RT%nv8sbSz}k_Se(fjyjHV{&vN9C?cVW*gTkxrQV`(ilv*9Nu8F}~knJu^!e&-7~s_>iK8#$G`z+bAi1lpU7ZJ!(DGzX{Fp`;Nlt4k=xDeew_6fZ4_ zy4YV^ectC>SI;p&(v?F|mS`pxIl_e3TTKNNC%6dLW*K|zU5~J(s(Y$T7jB{&&F*Cn zMPb;i?P*mOlmkwSo#SvG7-Kdw6|iqbNsRYTGVGVtx6~ETL!~QRQ#WjH(M-Z?UzEr* z=T*6J)5?pAU9kmN{Vf`oYv3LC)*gjsWx=FSx(5?bLnug**W(2ic*Tj7b`Js!r^f*K zGEX!hITNOqVudy-vl5Vw?Eb+!-@#&itJ7ZSZ4mWif+TqDW#m8*!xHCs1Ogxy!6TBx-(y#g3@1+o1%g?f3-8A$NEr1luqdo) zaaS|wFEA$4Phuq~%8Jf-oa4s+MHjAV6iyc@_GKa`ZNqN16Ni}9+wSLl1G>ulf&NF3 zm+>bVso(Yp^%8w*8!5k(qJ7fv`dLH--%TSJ#I#AClj;LQlXtnZ z^vDXS2}2y2suVeSHA^v*@17)GMU?r-9Hjk*1t(dA+&wtm`0TUjF&9q{*vVqi#vh|2 z`6b>XannK4glhQv48Ju-`@y^5*P{t`xD&>pvnCRhf?IJrx*}s($i)KVm(XLz4fB=i zl`lLIFzsb$CH(L_@$i@IuIxa5$3`AG?$h6y-cZyU{m)^XnhbRCoL9H{{X)5n%|&hP zvAZYbm++Tgim=yWJ~G^_jm0_lY0Fam+UDzyt;_9UHRJE3`#q%gBf^y6N4_y_G_h`l zeMtL(SS`b6gLPe02#FE3P8ji5lVu1xcD6vM>nwTsZ@SP`kM>CCUJMi|s9sFRM$hirUW;$x+pU~m~gzhR``HAbLlzsjH#`mxxE!_wkOiTs-8&aq#U)>{90|zr4QLheh9oDGpTHdLFn> zxwtM?Wr3mk%)>mgzm7u1q$DzP5;CWVNtEO!SI71f zto?j;Fyd4ph>*} pe1$2lkEz$muO*F#k9uF#x*bA~=oqkFF&INPyc{?46Mu0N{TKNJ;>!R4 delta 13986 zcmZ8|1yEi;@L+LiDXt&z;ZR(PySuwn+}-UJcXy{y+}+*Xid%7q;tt2}{&zDs_a>Xo z%O*RM%_jSHlhKY_@0<(!an#d!q5%N7ZDU!>uepbfg6>f-Gzz- z^{l!duj9_*>Id;oBMS;^b8}{NdVg#H20gOy90lF`JVHe&cDt<3(&S($F?r7tf z3zbAa3FD4)gZn!8Bq(D(eH3w|px3WV?}9O9^ElADdf>6ycsA20-iB1aD$s`1yedF* zt(H+}Z-Yyfv3#$DHX@exiT57|#6>RKi1pu=H3pJG z18J-iY&UTT+sKiV*2g`(Ea!beujswjEvP{00^h z525kvPRYAG;jdVd7{(@3MgKT)@q z2U}`FhX`oi>7eM!V;bOX{iO0#>ZN#$!Zo=~p4{Gvb(xb*OevAljRjLMbi_gz*0N+$ zJrik8Tua~A5~!u{o|rpU&_4(JMFS1joYx}JjG7`Y!B1RtwIW`35(IgNxEP$DnF^+i zF}Z6szn#{3h+J56HLaG_H&u`a!O6vWKRq9vYc?@v7?#1^Q0H6+$=%fxbbUlPxe zU3ueYJk!5;4^ng0xaqRCc8-xB(MWhB#CoD!!Qja-ZoHM&^>JUKY|8tW$q(GVO=&l1 zrJ|n+UvzmdR#D~9^H8KA_eJ{A9)VIwsf!C;lL<(YQ@uL7aMWX>cw$Lvr=dq1k(UH2A|KS-{0&31y)Nyh; zry9D1y692{l6e!>4eidlFY8Boqmo6MYQKh!&O5F+H!oMa3Cew}>Y54U>*f%Z3hqDE zNx$54=F&V?To~tm^^+A%H2II0=fs(t#An1T!dXew%(ls} z7Dx0Io)^*z6g(A98~OLwnuBWmUA^iV6*00%3|%PXGF|KD)2flKjrMV&vP{-CY-Tno z4$eEob?xvjS^~_e0jnf4{B^TTeUgZ;hb&^6d7%;8mKg(H7p#kvU-V?n5(d;vPgZM0 z`B&TPSB1>>5CmmiQAJ@h2o7u2H>~uWQjIm+dBP_p5c5${%UB#pp3Wz7$_cL}Xa+?D z5;U?1vg@t4xMOO|*H2$R{TbwQ%pI$28aAZ$^7wovoeI682^?pzn24XlaXu1^QLk6& z5$cXIq%gG$8~jNd4u8y8u5@mcA5-i|jJpj*xxN>ef3FPCdGA^1(tYo-0c@VZI?wz{ zUpQN4_J2n6ckvJJO!eu$yS{Tbzs6LpVwpbYzNM5uX zy-cK@Hk?&0$Au<&%T}NezDBJoApo)K72M&})uw%g4eHL9^O$Ez#7cuNKNOPqVUB1P?Xt3|(Bx%ovR3Nzm45UH z>|7d?#;|fX_Kd?|t4TQ2#`P82Soz>g2hUrUw^-LnBTk2Rrv!$ZHdnSd8#sH9Qw02u zo~$4Nz`85=*5##i1bF30U%ta;Obq^YgzEY_BGD2oA2wfD*f{GQ_B<86PtL$hC$^x; zp;3Q<{PO6hYfvs!j>g%;cD|JM=%V+X!D6opk_{EU>l-oiT>Yf+(e5}VC&jg2B*}L! zMh7N?AmNEfZ{P114!@(H?5pK#i*Ngio|3d~ff9sD!G;kVh8x~8f)c^}WQ$K&-kY@H zdQtf3W(K|gaU`oDTp^_XH`HQ>yb zr(p#=kn5U4u1Dbc<*c?u%3A)r_71*x@(sSf27>RcAaOUUFpb^2f@8N{SA;$)zT$37 z_26!t>a#InrVdKju$w|yHyJ}%vm1TjA0OD@1M5Lpvk_brmQ9K)M<4)YtMsn8b}8+V zO`r7#GxipT{8irQ-z({E&aN(25H`B3DBZoRXpdG+>|#yYJ7kkD{oc+HZ>kQKvL45# zx{mxur#il^O|^YM%Lg=lK*I;reL&3zRDD}pv*Fw*HWlMw@MB==yVc9+orub9wzgYI zKHHUbzyUaLKUurQ378tR1t1!mlH8- z-P(++a*r(h3Y%ckJF(2MTFsqL!kM5mtWqA9*@2)8x^dsiwYc7i3DpLxL1&kI(-!(g z?ri7`n37K!N#&n1LV^&hJ-R<$LTVAKTT)bhPBP*w{XgHqlaqRCdm_EVX;N*QM#0uK9Ddm+se_2oZVG_-8 zmNvKSss#fEHMI>%TEARX0}^`&W(Z8tyU{&Cx%7)qDs!`Vx+|d+aViBb}uR6&z>8V zd-KLVrmwF0cZm8q%boM$_&JS2tG{lBruqP2Y>qE4~u)*ofWOePpJb&^2c#nrK2 ziBGTR2uTL*sHP;hdE{5*Z90}FPR`N43vJ+3$Ek9{emW)aLP>Hn%>@>jD8r?o@|dwk zEgn7<>UTKJAtn4<5Z$gVdfTujr=?;^wNE^BC9<2 z);D;3t2~$g_7CDcI8DPem(K{D04*Z70itS)*Qw;bzo~Y4jjv_COn&49sY)__Y5(V@ zLad~X37t)zF8G-N0W^1lH>VBRCc1R90b=X8_jEZP|L*s8j|bE!pZhGJmel_}y~bl) zf`+BXyIWBuZuBc7*Dg{pw9h47@H!^CP7~`|R3%J92MxDlb+=;;xAXtxto{+tuI{z! z4ZB3NZ~umvA^gJ0tF32+Hz3B z$mnN!(M!DL&@iEc*D ze3n{Tz43DtoG#wt^tJoPLG2$TcM||L`TPVQQ?mIF3f|0lGjK`1^E+ST7 zZh#prPU7(gU7i(&BP_|n+1HY{QDV11J#4f%wR1J+Td;VQmXgeL5H&>@BxZt&U-(u_ zc`^pN^RIBpJb?i+)m%e&_j2+9Xx(DNcq#=ZNz7uLY_O5{~sPEDqny&BA>|<4%P#5OjleSF? z5Qj+PWNLqC6(nuFqPQ>1S6HVb&6J6lsFcrYOKV*x6J0CQGz9%dxK1WxrdBOy#*1jJjmdkU8PR#3B#;q{jV0h% z&FI(1kr7Aqd^AZ$)D==Qtxb+KNh1RgG%5BZg`5#I>xQ*-J|`na1dTV;Vo9RqNe|-_ zTOp(oHHU9w6ZPNxZGlkEy_^-B33MKZlvUs*)>WP-weUYiz@1d#CC1JTr!YpLnub0? zXZ}*`DKrQniq4FJVeWr}fQzWYTUqnh)<%t+UiYA>5&x@3ka5I>am5j93uYM59+^T- z?iqN0&TvLq>0vB>wQjm#(VK{PR8yjq_Z&n+?qw6JM4T^tToSuQtSS|Wyd^dAgIuB{ z_7|0^6j~4~KT~xe4f#+$QGw84he}DTAH!`T&SP&%V6qzJGB!E~%E@2b^ybq)R?JC+n9e#Z%oTwtn5782pI4xbMLrpk@c^dzhet zW4?Db44yST7!2|I<6rpNUsN7|<9wbIAZcV%P2DLOS1PJf@q$OA+ZrzA8`3f&_Ii@~ z);qF{bhENvo3*oHG=Xva*}oN6x-S?yij`f#Ix)hXwP#@xA)_up^*@Hdi4twaqOL%> zKf=N8pV8o?2VFay@^czr8QEWQ2lEVb_8#2*k85xav~a-;tdVebPb~T$BJ@!*hlvts(0p8CMT(+6H)Bayu@fTqo5KpccPPR8Vgezt<7#-HMe=`oj zA%)UEt#^CZ-WF+W%7Yes_8>F- z13Aa)HH4~mmC%DSjO~}$Os}zfyx7UbuA|tBxtHRl|MocSKb2`7tS}cR~8L_QSVN7boRY z%6~$4fO+X=Jf)yZ>Lij=wGNThN2;4SyJN=REVk;$YxT~*SYE}7wvuWP9|frvPmIVOC{>lOj3}G{^-gyJ9-dk-ge??xJAJ_8I#(s<`3dD3< z;@@xs9Zmb{G=en9ahjYRgEn6h%7&bDlR&UeWveW#u8)eGHjB>BCfe9`S!>OI2X5%z z$X5KZNu%Ep@AASP_E$oFR1^^vB6xiH>y8gxEfL#_1dp1%_)@K?4?k01Wor(%A$UyD z@A_bQywveNooy1^#SBK6c*VM@K-_chzUKbqhIx9e zkG&V!T~{2=Cpf3xC5UMELi7+Py?7JaKpifNzXn7Y_`2QQ|TFfcoq%nf()+x1H`UBQG%|ywr1G zp;qF#Q>Pu~3AT5gG+*S|l;InF(pRChH=5s+CQoM)@*_9ev7Iu7@Aya9BR3hb8&q>s zDXm-IJ+Y$YcQV;_an^%UAD;wQXN$NMI`J=yP%e5MxMx#{_df-iUwAoq6C42<9{vL9 z+9)UOLUVSXcwfbQJN;hoC_mLz8lgB@0@Pn^Au^*2huWe(AEIB>$Wz6szYH6|xjt$`$u z#Dh^;Z3{M3-`GFIgP)S_bH{f$_e`}7(%F zpPYavnP5ej)0uM8?QxP>B`Ib_xdfuUw%&tu$c*q?1hNnI9o>6%e2f^84UV!9y1e~3 zjJ}B$Qwd>7-%f<^gcl_8BQQ~+)dN2A4%00R60@G;CbMiME(<38Xg!Qt=3I}g11Sp z`<-C4-rtEE`Cr%w(yCkeDhE@Yg{fzrlP8O#Q+k{Ta=RfQ(@CDRm*;n8=zFzZObaea zeH>xWqrMur&%JuYN7y|uUUqFDB6QD)%L$sjQVb(f%K2GJrIh0}itS)v@#lueU4aLZ zmhT}EQvjuA>l@#>N}`lK?%yiJ?{FZofE>5kt>MU{f;IhNENrzliK_P~Tc&Zc!9Mm= zX9|JQ7cF`K{3YJon^SJ6=<(rDTa}Oh)NEK^F=-0<4)Z$bh7)8Ei!N&jt6woYWB#=l z`oW+)yCP7APba937QWztb%lg5);$W(UIC|zn>2hIq!Ko24BG1PnWZ~n&-wPo_?R~Q zR}qu%v9?A!ZOZdVuBHd^Uz~WTg_NA`%)NplT=*g&=R&75+fdk4<#xFn?-EgnU3sM4 z^t-9euGI`7UiqQW7)?#*xzp{Zh>nyK$klSL|Kq==<@rMHF&Re_=R_g~mNA*D$-?pd zuHO@JUYc0iY1&<6^qWhk=2z@4jq^v;ZX?E}ZfwpJ z?-RjAC0To`&{m;_Z^I~Uqmn?>DJ*s{NQRhG*Rw0gBG5C3eez zw>bBBV^m|QbeVIb>n8OSn{Lbd@=A5t`;xh?Nf@9h+!^)!$HEHKSlj>JURgV@nH<)- z^gv;>>5ryAn>Hw_zI?hu4Hg_eIlK~A+C)uqF1$?Pu`yvIu)eFGNgLHU8&s9kwPq&w zyuw>DUTmWo+I`&uK=KJb#hYEAg}(s(y3K#hy;csbO~SywH3u;6-vTFN1;?W#ad`>U zlK)`Kr9AvfpB$W1Go9-ZTeQ^N&bK76HmO)J%gcv;555~A4fo|nwqY(LH3_}m+jF4S z_q8iw*dH4>DXcM!Zti|3gnK2G#QT^-8~p?Q2O_C*L=-ENQ;RcZi;PBHfOf}WRzCUs z+Z|M6?r)j)Gc;*!=JX_98>8EvZ_l;UCB^zAV|7xm39g(Rj%xQuEo9QOdcAw~GiNc( z853F+Q-gK7Z1=1Er!Vxj#sdRPWLqPv#v#2rk@JCylUH1!gjrb=rK=*f^hgX=s#qZ1 zvRZs|u8gnG`KJOWZq18L0Ka!Vzx&F|^f7)D|86FM{N)$n@g9X>%?dX&O6gk;j+75# z_OOQ;G@YS|Ouy4)wqzThpL|Z@Zhga}NY}-g^6Q!x@0Lw(W6XzW3{#Y8T;xYf7ttlt z+{p$FPL6NmSCJ{z^vW7m)Z-Vk`)q9TrAK}HD-~vWkHiU*xSE0IB{v!m3N}!6{RxQ9 zdA@b^#lAR^+-AF1s*SAiO{G8=t$YkWz3`;{B4=;(!Fy^YCGj#y&CsDdkfgd8EYJo zsFzRi0iWMF9c|L9pClZ=?b+otg|TT`=it6N@4ciYO*OtP9O}Lfsh;D3!RLGQ&jjat zbvBzJZ9dGe&3K48o6JwgZx_^VxANFNYJA#{OvLKyknRW-9ch=LhcbnJEi$4Y-Vj&LlK#AROhngDa2h2rH5Kx8uKO zlrI)yK$wWnEvaatv%_aKAs)&S))Fo0ls$tVYa~ML7XqGpoVU0~--U70F#BH=iAAZr zs)^JJwndm+NE4s@%S8!`ES+nTClk?WNHvwB6jqWGrCIuw`~Jq6X6E5wHOQh$z51m8 za+XZfJEVj2s}YHy+WSRjVoMvoJ0&QTSn6V?0Q}XEqRT@=a57RP1EfNijmH_7_nP2v zAXD|?708UWTE_!|YA_W`7i4Pz)B<7+A8;X=MLMx5zC@B~vFq{V5_W5|l_ctO@AKPe z!TcmETHXAkjkzLXoDqdoUQVA*pbDo$7um?Q%}D`nS`LnhL|UodH)hee7fDp|o=#N} zATd*ygtJg$R(D(hV4HPLM54Qit{nT0xdatcdb-Jw9eUJ5t*9@aDP6BrdXK8w;kxTge$6s7^Tmx#Z*ff z;kafPETi*WsCI57QZi*Mu^AtlaG3)<%pKZ!5dUhbIk2R#e)|`>dK${T*|nwX+Hd8{ z>>fqna5M1!=E1$)vDxvM%)IT9{=2F2p($rpti*BtnBN=qe5Q8A&@+G&QvY!j*Mn&a zWkpRsIMWiH&>bqNQf@!oz?M_Xrs#(lz3laK!OH3~JId^NjsBDL$Z~(vC(I1oRs%bD{p%F5vG@V4W*rB8&m_~_*+H3- ziU@|HL$+6{w8LZI-p^lugX|d)x4eyagkuy=xnUV7*hRNsCb$eI$@_yZ<@2s;&=YG} z_O@o2uDEha%_-%5C!VrHQ_BuN=-=@onMRF1=@V!vXeyb+I}Y#LsQaHk0=FdG;3Bv{ zf;{hM+9%wQyZq1IOP{rM`A;nandd9 zM83SB?sn*xQB2Eh{Qbau*z>56HisD8oRj5+e^ilHw2woWVAV z>L0oi(1##1LjKDEuV}}6*rtKCifOtdL@trw{{~TBKtF$INJr4S)9r4n|FAHTAB7S{ zlnX;3hXq>j6e#QHv5ASuYA6n|hPPJzdQY{t5#%&>*+uPw%GO=|kIqBgn7%*pmruRT zv@O&b+yBj+>X3?IU|#DOX2Zp~ZU4`u1>k?KFF+aj&FxR+fC)xARp-HfveH6?ykHk5K z#0f%a6Jqdh{gkwZR8?#bgQkk28toncs+~bv-Ve5h>#v-aV^6TklF>Uhbqq*A0E@XS zogv!}UmjMwMu924d}@XU4FLMSmY>xCEeJg7Hov|sDxQ)tK>{VRb43Xo8V`Lby&jta zVW|E@YD>}7O#EKen$3}LcQPOP1?9C4{gOeTn!iL{9NiG-%**lgjp7p}k(+TnlMd+k z5KN$_2`-JA|GcA+iE?#stDw?AH-X;8D!@!J)A4g@!W)c&vCiRL2h>ZUR3v-Y4l9!x zn>#m%rAlWbhFXR4BjHSTh7maJu1JPxXU~!Uw(@fz;q61>L`QjviR76~<_YIjM|Z6O z)k34RVdor~UhzY0Nk8pO^h9gbc5 zZFQi3H&VF#Og~Yx0t^dOFy&gLOY8j&^J24)-dBeTWOr3tNS}Br?TOwu$k!W-@zARO6_OEhX-$}3|k4mEf++NzDn@A@V| zQ)fjdz^fB_>fbKWr8Bwyuaw(jMEL8Mta21pE+l#fmHW50!=SZT_BLYRD7bwN$s-1I z1wd?dbMbH}fEU3Z3kLsna^mp*=ijb^Z)+!}j$op-*Y1XW1Ec8%uF6N!MhenVA*a2E?JZv~Kukp{}Wr z$C(IIjd&UV6X61sQzqQLyMg!0^bU^$rTaGFMHn|K$4gVgZ_3=viG{WJhXxF|1WKTV znDQgF{U>IqIES2Pj8DbU9)-L}p-w-kE-dE7xCX`3Y2cnu#*PD^2qvB!$GVrdukD>q+BcUFwz25$|jP%Gx^l~`gh@_03a-oq> z_HA;q6ADLsWrSdrJ;{EhVz_OcH9HXZ4Ao$Mz9aXdygbC>Px11dEad#k4_Occ4sN{%v@IR#;q&;n(_fWp;7SGvjX|KU?vt^-| z7+79rAp*T!|EN;cq*nrR#yD&QJBoEcx}E$_0K1-2u{_9Pr7vNu{h<`&M- zmCM;b<5k@bSCrC2iL*!~EbduRvYjn;dcc95O225wp8Rz|B5DUT2SC?jAz~@pe)0Q% zmvGLJ*=RILuEp?gE@!ozrUN|~5Su^T2&4!~=-{D{YHc@%E{_*)@HA%hG_`vd`XvPA zc7R-e$WQwv>yKF`XWw1@xrF%^oiT#E77K1A=7$T+bH>GvcH=;G8?|hmkWYBi6QaF0 zId>60cM~SG7X}C+`)z&rT&>LEk5|&G{^Af(NkRrs9D8CUcnHLX?J=!A{xn#k(P#cf zDBk=@d{l~Brpg^32ENVZ$X75@2A9ynAU{ff2#=^{+iwW<)7H&Rd7LcE+)7{|ZPZMf zfCGkp5T$*vSc-h^kG&k42t}$s&2C2#od!b#^E|7OctSvx$S~>%45d->Tg)DU@oNF755Et8*G#4@sA}_ryz2K+9N~fGt(Q%4(>%?LZl$<7P8EX1gvMT9!pJb>lW}A+5 z>C74rIo1!mi4Cc^M<~1W%aTHiwGWm;ib^z~(S z5!iQ?*p1Op^r($E)j&t={Ot9E=uHdg?0aDucm2As_*HW?q-JW{j`F4_e&|`B+yJNS zIXS&uzm{I805^wHF6B(sh8DbEQD3#m^3-2(Lm~lGfb1f$tXlwm;2v__l&y0s=KEKXt-~`Z#tiE5sCDSHOlAPA`7+{AGtj zq$Rou8Tk3-SC49d@F4LH|Ia+R&y$PI2lNn~2bIVC5GAK%=}Pb9Oa&(O6j#VHII@jk zDTi?1+0v)5K#Z!o`gB{U{`1jQB$;|oU*M}m!Ag2_*Z1lf4S8hY7aB{H??}uz^ijYKw4#YBD(37IPqajpSTCH&;|IKqZR^|KJwU*O zY`4mTAj=hYRwx4}sy=M*3`f^?u=hMt%Q8~S08-05(jy*`Ya1`ZfNQ^`T>pq%KN}H# zvQJ6E$k>{U-iKY9LGnleT|o$Z0a<9}zvN;c3+ojld;Q|u4tgraKECKs0JG$8k7Iz@ z^Xq$V@as{>L&z z)F`(}(SCHQ{!~uN@d){Y1Znqudsx+$JW%XKVYk>U&q|LEMVL?V KN-D0`qDvg7E|od zm&{P@ddpMSbduJQML^KkAod;}UD;{o?Cj=xaP(8zkHH4?NQ1IXz|kz8xh9pP(d66b z{5Fw+6U6-Tg-N{frB@xu;IfDn`39G&k2uDZt0-UL#2C78X^(M4LR}a_=k^fY%=FJEgIPYorG{5nnM6pry z-!UGUug3352t{lq^$!l9ztULV#+66MU0y-Sk>zTz`}2 z>YU94NBB9Ew7H-cgt6uRu7UzSj!5i;i-=GkJc3sJ_5$uY{oM6w9YR1G;yI+?aqHcH z`9mTU&cspW#E@+K}nkwxTt`$zr@@3lVAZj>IRA9 ziEyRuv&Us8PjLzthQ5m)CXI~5>3068E{{v5RN0cq2{phzllI|8GB{J|&$d%@o>?d-n48*J}H=KoYvGzO$L z3}1*V=v9MFqKe`4v9BuSXPyS_;%*I56Uv~V+Bt^At!7`QAR}V15x8mRuT*tnl` zFgB?@vH>QBa85mOoDkF@{20|pe$$QSb-g%E?(bu1&b523r}Fb)!^h`}ZH30_n>Bj!B}VwdPaLXqz6({(!nyP`dmO_+*!!92aHh49l1)K>`9gXHK z52;PsYy6{v-}s95s7ixMZtD>~8LV$a{{8+O%qvPLjz{Z0sByk&ey*Q*)^eTP4b;)E zK|%}s8Kz*JmxV2~EU{RJk^e%3M|VdfwDw^8CJ)@8DTjVi_o(Z>gzC%VsiR5{J~9pi z@gYZWJ;Afd?QAN3H%ux>53EyiH7M*O=+>jc$Gd0mY6Sy3p+7|(6}CBBlm5_6O!G26 zmY6J?O$>0pM3X^o{Hu?&Erp4P3rp(fb$^W65|E-(VX(opgy23Afr;uu?ig1kZx}Y> z-2{+cL^gUM+OID;k%mEvQqXkPaDwp3CwQg<&?KVx6jNE+?3y7^l;{wlkY`6ChKP!i zd%TMEGmG49r3?m&OsEW_IMGUzViPZqtJeleBIVU0NuqhdrZH2t>=t^FF{!-lO2^A# zCGU<5Q1HAp5ahqNnxHjFw@PiL{}zk!$pA=(IKK!1>ytJOCK9b*CN?&jxyv3yYovE* zRYnI-Q-_!#MbaZi>9W*l^3#ha;`{H+u^Y4T3UKKthKw`LlhGOaUpJ$0j}V4jeO@A@ z^2BO>4h|6HzYj#pg#L~$MRH8uqA@X~a43AcUN{Q4N$$DTiiJ~rB4JNbVhVV;I|h5I{AydzCB=QZkLw9RsnSCXW!0dihG+fvhp(SM zhpw@pr8Q>zsPr8E9H{_%B^r|UdUY(;saH#yqWdpbXH1QLJEsYEJ9XcXYH+wQe(*2D zZ&6^q3cH}zJ&|f!MEx}#)Jvitl-fWjn9peG5*<5>Bf@2tw6u>pWW~KT!YS~Qs^v0M zanrhi%}}ZogB@W|_jiqCV_5+U8?dRzGES%mdz!9m5>FP~n%>=P{LJRU+F>Pe|ILj@3d!*0rE(|U9zYd zAWcAHWdJ@cld4KCsR*JPvXT07Yp#c3(R}Vv)IFB$Jf}urtLwP7T0&zVHNS+OEHGNY za_8vc&r0u%W6n#!rzaftqM-yHa0E)ko$W%kIliRQs!eOq_-20g5rB6HRQaCGS+~RR z9ZgYf_|{O+KyJJD8`sme%gadTDtVXpDD*m9LI%7~ylBo+g>G-c2rC&HDr#ckzXBS| z=vZc%@*)S9$C`w9R#J%81X8vTh^B-xHd5zj`9kua0|kS0+#F(eT)ws8F1No4*{92i*exBmt(3W)!W%XI%6 zUBS=!H6zJKRQdI*cCMqC&D?LciBhX7>&g)#8|pUZ#FKR{;D&O-+qB$mg5cWJNpvyY>~)fNlEVo)nZzM~{%B z-So+pw>n=h%e&KI;r0HW@4nIXRsQwWN4w7lBGY@{k!0jY%akC*)W`j1hb;t*0PM6* z6bjGV@y$-bQk0iFT=vs-aYaQ1N{nrcJ8Ve8@y`9NQsG8bh1yt>mSe48m;}h&i;IUi znkQis2z;RLJE2aG-ZO8`zP>#hoYCdGBm(4(0GGe$g+BKM=yI-RBey<2aPh3+os0F2 z81RJ;_Pvc%PEto-qhSrLZ5k8mthYMT^IS$!LQ>!m{~^2lnhfoY}HAT_x5*qGnVg z14z_=%qwuOkYPReE0J~+=RM_aF?FC}U~_irc;^WAE=yb@gYqL_^SQ)#aWt-eDmEyx z+to{*HrVn6`N>pXP2SjbEnTc1523xPV)9@Y&rAm$pI2_~qpBbdM7wJ(B9e%hp>G_H zUir0xGncvXK?M%|s6n;CG}Bo)Et}){A|V0laqOA{$x7sm5j1HcS2%X(5rZgna(?w7 z^ZeL0p7+2x?c~ggbmuHe*fG}*&$D`KhJ=#15zv4;d8{DILz81}6(pj`Sc{+n>H28% zl&AORPmAk9x|Bw-t)>wZIf*x^T0CDNZri$G2JoND=h$^&5d6JdPJRJAz5(h>lRo@{ zLqGmNgS8k!x%oh^>zqaMym_yOh`T$k&v8^BxPIjJKiS*kTc^|Pzs>D9cL<&fusY*K zf#D8pTT`~_+#A)qujBQH*kvGyx~fB9v=Cd6Ry5CS}jl&bYl1fWx{~0U)4=0HdutI@9;dZ zdk%R4xP%?{wWsWlNVUR(5SKP6PaK4sp;S$VBnzY~%|pjX-{p*ei8zt5;+>ORrzZji z^8`jzzKjrO4QajaeIBOq>sLc@!IVNH+}ajd@y_ zTGd;K z{;@Ph2*aNp;G$*{GYuABcwUR$J*Js39$R^`tMm7M{>Eoj%&t&Vax-_hhE;u}eSE>} zr%70F0w`18sb`^jr?n(vwbj0dsJwckAQ|PeotQLEPj! zeGuQUu!Znq82q!W=a*4z0NJc_Y+w-SuP_asp|%HX@3<8&Fk%SVn|VyjM~y}cI($3> zmqQ<#ZZtY}P#CGQhfqM4RfqgKpM*@%+gWuy1iu6)X4ZNX)rH8@d76BjOe1#+}BIQaEWXnjkgr&dbs$3D7XX6GP8FR1;k9;6F1+AZ}2&I z^a^pxU$F!kj>}!N9iN9!R{h@#`|3O#e$gWc0RgM~kXkpIx?Orl)zML@KTRxCvp+36 zkqZN6{B~&FA_M5^YdyFHyd`pmLmt~~8)`N&`4X@R6`bW(*0eV!J^OpFa5eP z#*V#9uRRw_QF6N-tMDuWhahi)D%ggS(s#~bVU!@^<{8WjVcSsZJH=zaHK=#`^W2({ zUSd3~2_+^toK!9{p)yB)N z1fe1}K5b%77qYjmCPckeYqv+mwu|VgOmnXrxexJmd)Znq6;`xn2$jrlC}$$tI}JAq z-)D#Kk1+S}pkXpbLI2vZ_(1Au>`LR($^xdE-tNmEkO|1IGGO}$t-W!#s7>abVz(U% z8MX%LK^B&CiP400$ikN`dy{^XH}zO9!Dtq$?Xx2Bpz0$eMcHkL{qznd{+~c#gSESQ)+7zD(x$ zQMCf)rYDvk{Z)IveJ3S<6}NpAX7yu)IpJ1w1Gf~ityDUJw6H=t>fLw#lMi&T09zR+ zy#aPPkPTM!p$G{WN@9gSy%5iyr15TC0uffIn3rsEe%K0McBwiAv_zCv$fNP$%Fkz% zbCPV7NZX7HikuoyqYHfK6xsi|PkFDDefwo@MCpdd%%aizZ!2=b6J^cH2YtZ^{%62mZ$b%?;+~L=$a%o^$w&Sl0VHVX<(WfVEt&Ee&K^{uHkope~ zf@xY~%}00GxxlR!hhJ}DL~w=Q zdE7fZ4-K}oX?Rz=)HUH;2@~4~6_o1w^T+s$?yBRX*6tEUYa!30q%9y%Hu^cJ82?u0Nn*JEPBz@8+um*a#^4(hJ1IYG-8@DRtK}t5fx61)bo0w|p+@wT z^$-F(Fc~O!O67CI%jFdaMIat+4hp%N{)nT@HhvL}LCW@G#r!TKBeI8^IWlTFTjbtu zr2D6OaTJzD50KhqG;AnGg$CA@8f{uYY*E)OhooW*yY7zj15llRHR!yLZEPgemaR_k zG=Bodm1>Ju>V%*M_q1WMaGH2E3wET!R1}WGo?z?6gOxT|Q>g$@Eu}9d?q^+KYM2t5 z)ssC7p-DSRsklAsb^;REJ7$enEpVxEH2g$H1yx*5X8|Qh(_qQ1KBRru8?&zEjS1d) z$>$_C{}#LSDMM+*7T9 zOo8V&M@K2<7}3!fHxfW%Eb^?OgdCDzOCh;AlN|Rq>K-%q1_jr8z9~ZWI_w(Ds@RDZ z;CjhDHQ`5tN08y$H>lLk_+z6maa-Q7@|FHdp*$*Jr)q*3*ZC7{mu4Bv%O`WAsb)U@ z;jYi@{WI9XevUxIZ}RF;Tv<87w$QRMQQea}&B;asOwy;@6fzymp4iCa_~IabE;&l& zo#LV~V~n%2ulf>a{XBJ7iUU0&B-~r#wR3+`S=1zw;AVr9+&!{(m7Vgd{YA=}lZR{( zaoii7Yj;bNCf`F=v05y;@k5lFh0hr2M!B9ali6m~=yvFVv{K=17Jx8iYWZq?xMsulW^*gqCm`AmfX*w+4qxxxuLDdUv!zF0F=d#>lh(Ivy4BRY|-z5J@>Vd=`=d}vc%vXF9 zPY0AJe@l`{I;oxbrv!L%EaCp>#-TXQBsebCt(Ib|=c#V7mni2h1)9 ze3VvW<3|X!N(Nul#oFN5ZkQ~H&gf#_GqX@b5gP%po_jJ(mxxB<)DUyaC19LhijaJk zqRcD4I9rOop0FcU^2I$_K@suAb~4o4dENFD7T-1DV#+;+Xno-Wm4>T?jm$Jt(Mo4k zaP^*os)8apAN$=NjQcn+NH&ismXrim5knDcX7RwK^bf5K9pCoXNAZ?<>J+^|xp8JT zE4<>im*tST>s;hZQEFjFoUw|E5-op>DfYmJ-$+zTG47UF#3+1Af(0u>dwpR)(k{eH zXMg`O9B_@%2*5ALLUGDHSNQPF(b8NbIXyxLs8;P5>NvjLfLKE%ZlZr53u|PQ+e{y& z2P-Wuh<9jPRyACCVP=D3L&eFs#W9m+wJIxpM5oJV_zFsr%jolR3Y2S-!3%qB@wy{R zN2qmnq(e;MpE>~Fw()x}aMYjQ9>F+jXeNh)@+7{>IQ_Dtf{Ao>?Lxom8}>XxG}~-p z;R=R4t?nVlaz`Ujh~i81i1}s|pXs&0uk|FRSf%`{_X7wI#^2Td-Ghq%)XCmM5_FYc z;bJ!26zU_E1zzaBt_D`JJ(kzzO=WQxn#kZKNom-*8Za5k1E` z7D#808YzB=aW5u(4dp0g4AT>iPNl-YcJm=doi3}fdrTvrpc4&9Ly z(NlInA6+DqQnR%XrNhH`i6u=A5t<}5T?nmh=q#W^=SeblQZ8rrUiVAEsFl_sGh}C} z?c%T$m8fQ6u&db>?L)Ft`(Zf9{cLK z7inxoUV8uhbZ<6+9|h8gB20WuQrf$!#m0Gvn)t15#%`~tDnchQGKwi*YkdCgBmp@V zAw>E)o{}^d6;g9ga)#>RO8hsUA-8d%jx>cSqy%BgvCQb#+&L-n4lpk#6cr#{9%GoU zutC3e^%iZzN`Staquj2ltd)V4HU@D&si~Kdx6mn|mNKpLp5(|rah%;NHZtrjOevp8 za6~I!Q4zG@WvCKT&Gu(s(+pFt)HygFjrpfpaz&z;ut9twYTc+uTAWf|%5-Vy&G$V$ zSd4)PH+($%YL>C=xU^}$x26m_LTMeIAz#tpg~W5O1@S*; zAbh19oUc@lpfapDIa-?3M^|-_yQoktb~fdmdX?=`iu?CLLuvKQfgcy1pcsqa60N}! zEo2Q^;r%pCs9zKS;iQ%eHmqgj%`Dk*5Nt0QId8tU(q|os=#q7xY(^q`9wVFI*g%Cv zm5a(giB7h=xU`p9-%0Kc4~=%ah6h`@2y;t*xbTUmpZSBY!7cZu2+UR_YPrgG=mv4Hwgdw}7QLHa-@LoS{R0+CHt7gwpSAH=CyR7yv!PM#g%T&EtO zmeq-8RLr!NzY4dU;!7EZ#uYfPa&(b2tOCtkwF<=}RzXNGx>mAc_-%p0vR$h=If)cT zhCY_oOoG*dBGysj%u7*xSM0`xbm$226_gUpQG=1}hi1}>W`gT|&XzRkagNj1 zymC#$`D{(YC#S3h1U#spMxfA@mhxB1(K5a?i%=yZLtz4&Snjg8CEZlqYK>(Qb_qzd zZ`j*Hmit2IqMF{f&kMhggiON@XwoDikp>&y}vtCYi5 zI-s8#>NE}a2`bs&<)h=Jwlr*ikok03m_5kT$_oowY9~0EhIR}LC+MwfNRgTD4Sr!~ zk=7)4q#bb;UKLmGvM^3Oroa>(&xoy3r`fh3aMMjAsAWO18sWq@bdKf{oKv7MT}ai7 zO_v^b&Z_>|iT|-YztU2O#=6&V7Tk|Q@O$>19Mdq$gm^LDZ+?+_v8gS=yDv8BpUetM zqc?nvCht9}KMCUmKL`vJ@Z7vT-TFTdo($MuF6n?j!4I)_CMNG9{jq|0V99Q;?%eX> z5+z(HC28L2*X^$PGkU6w?@zm><>}s`d|rwoYHdUMM$pCiMW+JCgk_o|CmxG-nR;;?eSSpW6g7eUu7)igbjFPi%bMXe`9`%q8C0(p@(;%s+Bort1rV; z6X=Y5HbjwZ?5RtTsYTKqCDU5he6OEbzruqrT>QK_M4IZLc=sp&ECHZJ9B&>DoRhy> zMNtN1F_YUn-qB~hky3Eb6ujz^rGlsuEkEl9`WoBebbVPnkcGuxlasDihB{CUl<%FV zny)`pMT47%sIJi=blXH+Svs?WZh>}U!d2=X5b1Z|j%>cdES|-4x1R^|@Jpt5U25!8 z8WD$iQMBW5TVbaYUSlogMeI=C7aaupQjyKjd-wd7lr-K_c6jKMSbmbT*j?H- zk;EN#2-Z7h{9)B(kfO`jEAdf?&jkvvZK#RuQJ}Q!uNBMVk+a$Dz1m8-Es)TK)%f>` z;p!h{kmDmbdsmtIq^Um*jZDVHTv%2)rUAZSljZg^ne60S(*L>j`Nj5k<51o( zMG=>N=g6wPZ+6s)LZZbSv*4_}M`zqfJUx3VN-epYRIlG4^!?Ax5WH?wn_xcFrKFx{5X{xdjbD{%dr zX;)bxB_rWbFt#bzbc(goKaGp`{T7rnT+m~+`J5j^bML)mE$v$NmvcuT56u9Te-9;P z>JKPG)=>zw1e3ndza#rP4xhCya(FX+o(2|%9m2yIslAo`rLr;9BZKac7f|{7eBk`E zz8YJBV@>0(kb6WmU`1+1z0vLbIzpVGdBnsJ(|n{>B;)U2?_R~>gk&llzhwW8ge+m< z+c%iuf#Bkcnu(j&p=45Z1o}+7rKLanyYMsw7l%?~rHqm)LptM2H5%XCo}RQyM|%K^ zIMchOSXQ@-UklkKsrxy~_*Mq$P0yJmv|h0QGrKoSO?X7B1TG z+?_b55eL1-($897>B|_~G+89HGDF1Ars+1@V+OQp^T{+t&=E9j%9FJ&Pmvz!h<&~4 zN|{8QdbW$y%4%WBdt5W0c!L+G@C=nPKZ}KK;-TO72+kx_`psYW;3D5fW3aE1Nwv-+ zKHa>&Kd-sC?%Q`_WL<1nO#i>s$;NJIGI6A3mmlllA=es<8^g=YM-EH28FyPuDH!Nn z9!>gE>8{DukMOd4Fdc7STdKkNDAUqV&BLgdU{h1N%x-NJH#N@80O*o4VXi{qW zXnoqO1=n6jUiWvEP)7#dQZ`J@y7FQdNpeZnV&Bx0n&@>n8*idN$#LW(1KxcM{i|y9 z6rVp;+DI;jnATYqE4pLu-@a3_}2JqX%?M`P%dKJ_Ga0x)>|$k`!mM>tOWJ zIE(~qkI*8j|I0R=qE!yd*PSs&JgqL1%g;IpJyV9zVkx`IFma@z*Y20Jzsq2X7Z4I0 zS!<=5K2&S)%6O=*M|hm4J?X3_d+w<81@}Y6*4DlR-cUEhW;khsKuBKahjvrv@Kk56DoHw|RjBlf% zM`bxxd^Uve14;f0m7LpyXzP4(=~XX z)6IQCy#PX9ebn7M4)t#fPb$!&=e|Ora|Ak-^Sgwm__g2xsKrgK?xiDJx)!RqOo+_b z8=J$x%gG%bjYaUfY0IO|xSjRfGV(SUKu^Xm%P8duIl*0DQr9{93A9&CcS+8Ap3IRS z-~+vd)!G-%~b;4Z^z+4Q7Mf&ETLE9qtVC_NuWv zncz*S>Lt?tW44bX(BaU=jO1g~0A9>;))YRd^Y4Qq*NFwcFHqLP)9pTGFG$}ey`ydvZIZ*@vN zm9~(>NB#0Y?#`Hz5D_M-7q1}r@w8j=MMkGV9j{>m|xqCVc;sqWac1Y$|Dr0XgspmC{G3TLjC(VPI@b`ogg)h2=N?6wn zGP;12RY-(^Jo%)%%h}IvL92cNie~lwJcKa2SuzLRnk7ju3W#?tvs`_}C}yOCztI4s z@gYe9lwXj0GA&$@CY^**kqG^{`z}fP_v$d<>ik5Q%+hs|x=yIZVg9i=VNIO^{xKYr zv{1vg_y5Px>@yrPSp1!K9Ql(n_omJr0&BqWsdePEUM_gdc$lge7b5+8GWIJg@#NKh z-{U1spHC116{?Qy{-;Ja8+YJf%qzQ*jRKiLZj-3KslUQD36#5;GlTGbWC);8Baq~o z_xm(mvm*?;2QwNO0=R&rW*mtUo!__sIVcxixH82^kk_2u8C+_{eCsYRKx|!Wj*K(g zz>l5ybHk-Nm^oefT|C%v;qWdS{#plUJ4yqa?RjhAT9i^?YDb z4_$==?=k{;|4tB0p(gC4aHL5c@3I(ku}h7<36i|C$fEu>YStXD#&*j%tYIw2KVNhN z=%^5!5S{0x&V8~r#BKI4j5@f(dT6apBbiilN?ZDL(mVo_El74j^+D1>=9Tk~0j=SX zpYC@d>&_(JZB6x*CQW74(nk_zq}mL5X8XiNBz7}QvDP$olq<)7UvPDop0f45=iUD? z=FgkYX|aC#818T!TjQv%3DZ>LkHIJ4#1R8?1Fv8H#UUTM*AV?npg14F=lU~1q7d04 z95e!f^BF~fK5*Uqfu~u_Ddfx`%?aRl0$I&Y@*9F*a8Xq;pAbu{tRbbtS7iN%BfQ?S zZ`qfn1;A~(c@5{IT3HwM+A++)6}q3s28(4d^LWo(6qW~hbEc%%LmZiUQX*I=w;7A?6UK!b!+ln?E~G`qqAG2_mez=VWGcmNH&@m{TQMqe#fms8)9KT;&d z%K+08RVPr|++QrNI^P&5b%Q|25PkV8KAb(Sj$5b%`@h)S`fyz%pkl@rluyE?fS0Vm zKX5=g1r`qumBII9touC^K7WSnj^WNx&9B$SwqTsrHQqxXyG?`5NnU7==GL(k)-+cv zY9dX^7j8|*tc2^6dtXh0ePRCx&%Y{T-}WO_KO~B`3E7DM^Ylq`w`TSQO*>c{+)twE zSot*!^K9^E%2GA)^{QYy6mN6leTIAefsgFA8?}9eehPRV{TyfH|C!X|RNot|HvPpD z{~_2-KnPK9uYn$AyqAC_11uZoX0}VYgf{Zi_hUN{YYOa+l&=}D4%!AWh_ozopDGc;OnL#ho4%D zQxsMmd~O~5eX&yC}*r*|k77(X*;IK(w^_<`dUHl=l|{%^+Qt(<$b&A=~{9!tBy zm@+G0TP6H@X`{NiW!^5?ufh-UWlYuw`xD66Q60Bq|1YOJ&CzT-N`~|a@&~gO;=4Drr^DxPnUxc`!Kof6Gu(-~(U7vbR z1aCN6u!DJcac=WF^&HfDk|@j}1^izQQ|D^n((*u;$U;B1&PdG4vcH z{3%$72G9vXmhA?L{*UM)K|Pky@k5)(L|GBR!6p2s=mP_4VU4o{b;R%{nsk09CPPr| zQD0$=Q!xjXgU=GeAobLd^3k#Hg7y zm4A+>VmQ}vpix^QKF(kkN=ok{2)w)j=uvFFL6b0E$aar`ki}86Hy|@0EFVCyvw4fR zJ`8pk7?8oX64Y`;{{K5lAlp5K!$)`}0mh_v(*gGm?x&vTG}*;#U_chRTTs*yNp;N? z;Whl)q>!$`68ANgwfoI;(u1e4TXuxkmS(nUpIKZT>j{^m^h*h0UnDny-D(|TuO@Eo zT5ku7_JxMpuXVkEu%fh+chp4fTkae>TI4dZ(;L4su8J*tHazhZk8;}}kLGJ7F##U8 z=)=fF7_l{nBHQ2T4*F}~%1|rS8kHQE{sz4C2iI}q6N}MiaQ|uf?LXwG^W|Bgu_@mQ zG_s?CrDVckmSt@_nTV!PfK2WfYMNK|_kF3ugYlWK{jG!>3L-bg3-`lE!0z?qvnTfB z!xenjNZ+Wrue%#~e;p*3_Z>elKs$3+B-3kGgb?JZz4r!c*9M9B_5uKw-^T|O!N~ub zfyQCN;69rn?alOXVxa=qQCV4lU?bEbW5%&?oTvfwFfA~TutET12!vWDZLoM}X20~v z?^@_()LipROU%^h14xmC08Zao;b5!r@6uv9(mGxsZ5?fGog4;}eN$AhQETs-i$R?9WQM!oi`HsZP@2j*b0#eV_lx%4nuPuVf|A)?w(Ua7e3E{p%5T# z|NeF?iGrX@v$AeZE&#wzB{S-W`8Bnh6rmw2E@=m#$PhU(i?dH8L;?d#pGK6= ei6j+YAeiWTixg*!eilEzi#7?kN*d}VH-36 literal 12191 zcmXY11yo!;x21TI;!xZNcXuo9PAOL09R@94e9*zAxVyUzZe?&UTC6z5;nDAZ>#lWk zlbj^y?CcY}!GeK-sX~4C_I7)FB;P_E3s*NACr1hnE>?C{4hl&R8+&sKPA*Ogc3w6P zPBu<<4lW8!3s+AYGYbl}uV!`>92A-g6uK6s6w>B4?r$agGyhcw1H;eyUjU;H`@b-k z?SG-yyAyxkc+zF>#gS!kW=S%BOu2|dW_521Zs6JDSCWPm!dP9SNrjvlA5tgYhAN?| znVXF^;9t=~umvp$2R8DGUQ?J6lOmKxTtWgFGpalkW=q{gvR7@e;#VAu^o4Ob8f7Fo zR?k`1Rrcba(^W1v8K&5d3QNa;^^%@5zs-BH-~MF6Ugy7BE?VmAFVS9frm{ZY!`-!s zE~##asTMaN14Cwv++A=n&c4y4s}a2lUd?-5B2e55zletSO#1}%Af&w<2w?4qJ>ENQ z-`9wEos*9Aroyd*&DqFg*kY_gzHQG^-%VI;)YsSZina<7o~&m`t5#*l*>)K@G#OR# zMSMQk z_nRxJDVbUJY9x-eYDtvmCf&?hkEE0T6sP6dPC8LMGdO9tm>=TBNlcjsanYe}qv(#1 z1r}WRd9vq9^C1nG6Rl^(++HB6I>}IAu9K)Hc5#e8ooI%r2k>teJ+ANa(qNG)4f!T{ z8RL$A^d>x+4iA=yJn77H;muJQewGkc^ek9+3Fyv^vE-!fCXNxeFb;+&Tn_coq^pDj z3l5)#!joQ@1%-&C*Tmx=H4`pgyc&h#p=tu!rrm%mTtf*&+4%G2KliaV0i66lATxtq z@`;!isLZ#@xGYhCNZw?x$y6QFU5zmzQT~q~9xh_PVsGiHMWCy&#*(#Q)N-<6hOvhk! zH^GXVV*DI$pNDioB9M+IDp^miWR=txdv7nCu9JVT!X7E%oVXVHot`kaNpG$)GG-%7 zHFW9^puvkhOJ@p2(+iRJGPZS`8*1&_-9xT=8Z~#cc{c16kSI>>X~}-NINAy<2CSb! z9=U4Ez?*@TP-8uq5osCvGvkmBy9*k!4@s0U?yn{k9(pwAFIdB0-CS|)IW?1SH-lE2 zwpw3T=BBLSO%i*TCp;gvEgF3=;}W-~=r>fUl3v~y;%p>_`DJZt#vq$gsXvRA<~@hY zY9Zp1^Zwo8^W)hJd@$-kASS2}k5vy+Du|toCdXY!UQ+=8snx~L1N%|sY69Nb+-{Gu zu3aRrvzrc@d-%j(Bk>`zo88Y#a1zD#yD#fiUxDHeF*y44Fo#lY{0Q< zcT2WHjo4QdF?~X3339hcze<~j46GOV9g}RxSpu=+qwt(a1`w$uY)}^cCAiA&qf2JQ zWy(fP6uw4^w!fDuel!PSY{iTyKVD!$Bf`yu0X59*hT_hLo3O^`BapRIKg5~!KLZ%l zKczXLfnEH;XM0#2_QvJ%s>Es7A)2l91rD zqBsk9%?sa;x5l$$60|Zw0Umxh$z_RLq)JT6)@csYLVS6SCoH6^-BwK7{(b=5TQr** zr`2Jbv21AsD3eAhEKSiek2WU*ju%Wz6 zRv%trKGN6MGH8AP6N<@RaM9{_A=#ujJ4cIpe5_5#_In}s!@F!n5WzK~4GWLm6OJc} zo+cIbD-2HMa)N6o7#i#Pu}^W+K-JF}dBr5{`FFTQ-AQG1(kEeUq^4_vpB{B@Z(=cI zY2PGtJx2)H4_~Q9pILd2h`G>ODFhH?<$<$-E2%V94fhg=P#a= zV&)YF?-4?6soNSyAS*}{T!c{N5L6GX_I`zFOR0j>?PuWs)GhtEb)L6{JY&900RjSM1UMY%CcHQ-5y(&5re8^ng*)6vIsL?4U0e6;O(_4j{a2B=U z;$rt_?CR{-*}-knoo2n%RaChY0P+DaORBEwuEjGD>vvtin(#PtWY7EsZ)V&#($QoM z$Gi1ap;0iAXG*_`sA}vx6{CLpv#hl1{`mPcXv^kSaHeKpeP%NOC$Cs?lIFwh3!6~_ zQ`27C3;QlZr1_X;=Qr-XHjPg??J~&E1{E#5q&H8L$Rf2qC`9Sd!j|zcc|%|QGw5|} z?%}6lohj_u5DoYuyr|C- zVu3)TfS(t*4JYV~nX?w1Y&*|pBxi~@Eb}c|oAv8DyoP&EoAr{KGNGJQ$yj+Y$hcTh zH;B&?G>YljX)6NP?`IK*L-VrXGU(deWG9lCdDZFTFxw;6+Qif7+`|E^GTf^44pdmK z4O9S^8L=E-!e3ti+q>9&GPb@~!|#+zuToDrBCiPS~Hh8PqXw(MSy~FH=sf9EZp#8>8SIV&h1ODPQJ2wXr^sf01bN zgWA!+cGfwRRiT6aPktln7o?L%s95sL*3XB)=J<8);V2>fMd{-|yVw@`>wC<o zL!=^|8T!qA8WAhgggzvtKDI)oSQIf7T(NEaT=0Ep(uB(i}s_9UhYlY z#*WzFVyz5~cbYz2a}XZml{oy4L^6o4YfaaU`A4D5uVi%tKVUnZ5P`Il&~q~N0VeGR ztx4E&c@R#(f{IV$IKOV4g0Zr8B{W%q5|_P5Ls@}GK%M9F^}N#n^}M%-4UOkE#(cPR zh)Slbd%I>3vQj ziFmr&maf|oOAETnBwHfoZE67|e5o@0xd=!EXgkF3v-Qturnq_+HX6%LlPzKH+@qU)D)YVa+mAB?KU}))kLwc=+ag3`sdjI~~wub)}TYwr*(~mfNMLE@Mu6G$gQYIWu`{ zV1Q`0-J}@7C=Qw;(Pt+naNbR~f6sR2pa}LgH8bzn`Dtu{q-4X&(b_=w(D5$c-wV}7 z%Vjwo0k7*z(~qYT*pWz0ZfEb9Rly0WN86*>cb>3L4dkO|8NYYO7up_^zX6?nYco%N z#e57Zq!#UyFlp%PJFot>h8K6JmXb}WlA&H|%M&RjoV!7^Hpg~!IrvSf1X_wdYyrrG zoy85e-Xb9$R6zH}N>7Lb4s9>J%_%k&S=$k*q~4Hd@p=5T4~{d-@3$pXg?pEoG*5Qf z%Cx7u!t+lu_$wF_LB3zImM=+`Fo$zz@XwCi;~Bb^`|`vtm1&;q`GGcsDXp0JgIG}? zECe<;+c&EDdv*ugKX_k;ymxkVj`6<(0I|1!?OQw90v!)0taPb{XpseI0WTC1GBSncxh=-~L3} zV!cNxR1M3@V6uWU#gJaRXM<<1^Ih3nBSdXEF#?!Vj*s(*O*60~pA96NMJirb9Jg^! zHSTXL#aGEb$>lvGy&Dcrn=vZehybsvP#7=-7XR_KNyEtjv^`>9#y z5f|%|MOqwf0nm4wnLBIn-I+XH>{cfm30UU0_h-8rt0@=anR0$r%^_uoprD9Ux)5xZ zUqx`H(rmmU8 zIei}#{J4}j?D$YhO4U#LGE}6f@}gnMr<(Lq5g^`ALJ_#sK2eI!+(VH9zf(&N7)a{o zGoqY*7(T4#xk=3c5|QQ;CU|$hmZg;mf#6?I?><)kiTJ(*`uW2E{Z2}N5dWs_@E%tF zfStuuh-q9BiJ@oUqg7UZ7~KaA$>lLCu5XPA;74h$?>XI6$=(-uDBEBsErFFn+aH2j zaR_v%u%HsPe2jOq4LAn^AgB-~AwY$tQ$f=yX0!LAe20ExP(C1`^qWGv5^qx{E3A+W z9XS1729Ja+c-NJ*=*OOgf?YP_C+9EB^A(iK+Jnm7D6$!@464SgQ`e@48huPmhJNzW z0b;8JRy_<|+}tDz8a{_m#Z;q}xbmXZg|FN^+$@6IP{o3Pib}--(ZY74v3Bk$Iksb{ zh2cY~a(F%KpKC8a@)bt1WVKoP^Am^#I#>!v8Y7_phx8K{&m z4s;8mv531ia&II%v_kP^G7Kyi(&?Z3$+YhqUF3sz&mh7LP&z415aDS9^p<`-%u~dO zwM|_AeLzvJe-i$QtW=0M)3PDvxT9KVejK}Kdk}(*D{nMMw%H#_jvg- zl(;g+pY2L`6xJF9L1T|lT&ADVcmCmA2!ZN5%>imx;F=Plz65}G@a zqdXiq=Y#5E=@UQT8am=p9K!lEu$a$GpcFl?)JIYbsK?Un$uHJq)qBfInIAmvmQTJg z;*43ea=8y)D**uvOmCyO7U@;WXpz$49HTy6#Z#DBD2-@bVx4rxCB5Hyf9gWv5k~7h zkMVI64tL({q+y+Ksu8uiwyOY(&}tdjhxPBunufJc{lT=}08yPJ~Z zd41{?6+jD;UIP%_ZZ}`Q@wxk;XjkSF6Dbd){zfZ!jSTbh0HIQw=E-$%*SDqp3jrUk z(&$10+6eFV^V{6xr-aJH$My}AFqypyS927NHHtj7+F>Dc{`h>`jwL;rz5E6@Gc8z9 zi}+y{e}_0kT}d&@Sw6Is<`Y$dpB%W?fjOx;H=$siNGYiVi|9M&Po|jA?Ll=vAu^M& zTeS;W`r2bHv2+y|dMX$L$OP)uGx6xBKvO34_XVQtN#ko2h0m=4cI+Bn8^MJh=~A~L)hl~Jws8d|o0 zUn1{?YsX){r(D6Uutx7>!Jk%0!{0R~$kv}_!&0TECTLlx7Jfx|haEnBWIv<$wrYQ$ zjDU+UMz!L1N=@~QLfZ$YLe;0!^Z>t{R%9dd8{~O=KW)B6{dqka9%IIT6xT(YNaXDP$i$HMK0df^WO2N{>LyRN6*oc%D;?NMyaJWmGSO6nd>@5 z+GoOdBqHyJWU36yZdXEGEu?qHzQqqAcAedkpNHV`{+cAxG5L(=Yyvon$9t6O`!vp& z#SL&3llz))R0j0bkjuA+XHNf7pGV4qg{^f*8EpMDjj@XqdMZG|M@6ac9N^8b^tYBY z=BJc4Y2HC~R=016_yv=!JMOZ(DN-ybw5dG*6h`vA(Vd*ZIYAeb zNzPb2R#O;@=$k)DI+E6WJ9a_tUJ5gMdJH3`E+k5HTw4vwa&4mSK=j3?6v~t1`q;D! zddkXq+g0o7C>5c8uJvLByiy@DizUJ8d%*eF&BMvDb>K52FC2qaz=C8h{t7R@0*Jr# z%JpjB`1vSY*pX@%mCW&Y73OeQjwgw(^uK1M1mZ_Imr?1A$wG3F$RzGOXrEN=1Xb;z z(f!lK!~JI>-}(tYw*;q0*$z zU$lKksm8ZNIFzxni7s2UqNPyiWyhV2jP4{x5U)QEHt#AaHJ7|hsq=?=CvT4jF(qR zigROShY2$6$X40a6_goEkK9%P&yWc1Wje!I$Tvokx@J3@`S07;AsI`#=(3eZwq{Mm z5t~0DFBk2guKOWA|WGlC@k2zg5@$TwOAo|K*ZaTn@ie)dKqA#rav38J| zPS7AkWBwu)kS5@WsaX% zD4)>vV_l6^&a1z3D31)oG3&A!Zg4D`*D>uXjC=;0WX)$6JGMYi80KGfluw33uqrs{ z$|o{TB4R(jDv6s`AMtgJjr1tH{hjW|vg4s)-H$2g<+C#lpd_hlKPDz_LU4FO3X13( z2O2uq*Q#e)_T7e356x@P%B(wGh3Lohd<5yuvNZU7X{!(`iZsNKt*kzY64=?uRaQR9 zMWkME=z!STTlr=z(ZOQ7{iz)4b}_`!d_kpI7pz5Pso|sg=G|R4MEN_FhXb5R0XbRG zZqYcVzZ0`D6(d!NS(DK~(Xe9zT0rhd-$k~S8}HnC8oT{X>WlF3$@y3j)!;M(Nftv@ zv&;q!6Y2)OfC0t!)bMr9#}O5Vdl9k0PuBb#%?7dt7E6uO-`lU{b?MZX?#W0Wzl{3Dv z%HpVllE#l`He`n5Z#a1_?2b&B?uweuQa%@KMY!;>;8c_o)g8h<>4}?q#(p&2{T{|~ z^yZOi#AO{PY05z8CWh>UO)rp?`)w_z*d;uneAP{`%k0;FGCBU4zbGLm7BtD8ehS4eB~a4|gC*{Ls_ z+iL5GLb;>`=FR_v{6FD5);U|~c#yi3R5#AXcz!ab`2HnupLveoqXlZ+_QoOf*nqOgb>v|}KD%@- zGTeYT0Pmamgt%u~D`T#cf&k&ac>Rz_ZwKA zF!d;CTnICkaB1D^G`%z$*gC5V=UO_=JYwPnV7wgQ$Jk>N+tSwdDpJzFv0fr~6$;A<vbX_3V|8I~>H)(R8{WDTB3+ zju=}YC>MJVEF#i-E!+rPTtjb7hFM>%p$rlLIi8%9$DI#Mfq9aRdRMqCrw;y-sf)wc z+0pA^Q9o^+kF}uXb$wliS4HxqUoeQ*%rzU2#;Ct#0wmaW_guFn!|rSa1&u2zqSi5T z;f+b^)7a)Uo;fXt9y|nG@=X0cW1)coWKG;|FlZbE9jK&X__v8qYw{!UkG{!h#8Hzt z3~Z?iD?8yYvumI@GUP?SF9wS@MdG+0Polk9%aCP241x*{16PfgdlSX0^ zkO~K>#S?Y1JD5f76qDYJa#`rK21`)3-twdYIaSgn`(p$qoC)>Uy5}Cy!o@hYUwsL zjz&l_rD`;`Iu^XIgx`%O7+`0aH{xx!e<(IkF(}0}USlb=zG#Q$F8au= zzrS>SV;zUSieY1)tvnxN|^Mj!l zK5q3 z-}g}N@r2!*|5K)d_{QGHoV1M$XMbanoCq2l5AVOA^c$;v{`Qq$lGkmELWe<1d&xrF zG*x63dp)z9Pwx#)SUm|CB`bzzUvaC7v2CPh`<3HGyJU)n3@@YbAEkEI;h@{;mr9Cl zvRp)%i7A(;QTWGCR*k!`P*)G58si7oDy*LJGXn=_%CYhiFS3ZsZ3M?CHZt+&cATy8 zL$`wq>p)9O+CsE!y)v70QKW)|>N$hbG&B}{c8Al=I}a8qu8plXaA4sumd++lf5C7# zKBmvdZGEh`y5>%VozcklvE(Qz(`c(~RpS`4w?nLJ#|Su=!ip*r?o%-72HMx-#Zape;vrtJA|HG0{Y8jqiE zTC=$P$Gnj(^`q~ndAivcKkl61p!G6vy#%T%I`4=YMM>jRn4}zo%1q%{W^a!bCc{uh ze>w)K7m0J2+ji&aGp2!h=WVr(4Bl}R!DAtouXCRWyo039doyTXz}qlr-y_J6+@Jl= zYj}-lr5y3*n|5I@Ev7vJ(bDn%Ff!J>aaezXPEPUg`{6vQ{P>Xs4I!g`&pYfbi-Q>r zN658ZsBI7rqcX5qJ+>v4b3P`p(JP~R?q0A1Yt{#uphw^HxHD)nMcX?aYaFMrC~A61 zS59At4eO%FIvdTHm8DvT@DXLcVzIQb6;{V(ovz=zj zOHJS1*=x7q{Wd1Tn(8YQ%KVOI`|>y5mtsca84!gZV)?SR+K6jd)~1F<*zC;*^EQO( z5j%Z7{eQRU`D>k?<|^4(`F^lb_jd5b9;Cc5IGU^x-+23g|AClLsWzegCd-T4Dp{?$ z%AseJ1sRj>@Prn|Il(T~%11BLchz@HQQ%PIkimaIBd`YXY0czJ=k0G`R`~$e1u}vE zfwCh{c-~Vv$jE%QBAnt*TP^@W_J9OLCaTY&smr0h01`$0v5|NmvG$CiMlGS7*mYr` zyneNthGN?;Yjvq-9yh}3K&bEpNqRZwdD>R6n8xveanvl$!Jn-I3?``)&vFoVBk^P_ z`DkVJDbppblt}#16U?#`OgE1lHA|S}%T{V{L<>pwx}{N~OJa1%l)RbbBE=S203RgD z)4Oe8A!zMsO=2Cj7nt$qSEWs#yO?#@v8(1~j_LdotocL7h}zvV^-Q=-n<0Bb=V6kx zgo-^rw<4@R(%t?+`q(-qy}Mfq%lDd)YhbM;n8OW{W%HPgYxW(ViAwe**fxM$n_D?8 z$#mk=YWUbvSySDspMF>V=Mc{^tr_>ST+Sh-)#qdmOGH9d1T#Q$)(XERBIh`E0l#lV zd;Sb4oal#`hyKpe=;~6YR*^;n)eqW|{-GFLRR_bFlP8Zcg^3A%L5r9^hVs0F#5$=V z4zpEIeV2y8gB?<swU)4o#EM9dnKht9y7+&!CWs1MX*23ETG^JZV3$s%b8t&~WQ)$>#Z;}9 zBmSER6OvPj6-zNmkx0Xe=XLGhe-quBK z#?v0B4jV5Tgh(OM=lf;);ktHmoo`0)eVP4*=au=X-!4e{+>(hX3*HH>V7J!Min z`Y{V^{w9x5ydXYpWFB7xp>iv3}qQ$uMS6nUT%)iSQR^tcgSQP>!oppr`@7n-7Wl8qix7mYg+M zKqQe~34NnrGCbNuC5{$lw$7beBm;TkT&B}71cPAI%}01k_=?i}zhZ7dS0f$29{*K_ zjyMD%2HiW8?b9kFhK*@|NJC*#q?{Abi9lp`Xb=X1TO;C4x&p#2P9%{Gsmi z$J{t-wJ-lNzAw3F$yViWNtU`XV?7GFEXI#DeZNxEgpAvZmP%H%XdiEeUtwylAg9d| zSHR-vYjn1iU=Z|W6?nNzg8kh~5dM7wk-rTm$beSVZ^kDZzDMtRM=rF{F74KSfp-Hz zC5WcBYVhA|1w9V48h5T{{X|E7vv&A-(FN314rZ#FeN#OPF-#n8bI5Tv{OTvPqY@A{ zW)v$V=a<$kqEH~YRVH{(Yo_%~>+O}w&4hc*vH`ac{-)!~$#(>^ZRHa`evGTc;xNhi z8{0ObDJkW%dLn|D)VPA{E#Xd#4sVI0uDZX%FFW}$Z7iX@f~u9V?ac8|%O;kC_5Jxj z<9B^c5l!Kf!7P>dt!T#!D_5!YwVujCDfyWQ`(F)-knIj;ep#}B3(FAH` z1a1M`^itg`w}{9TK2V6%B5$~lCq>x)WleIIdF zQg=o;`dODD{gZGZqr#XNP&|{dBjD;_>-fm4(d6528>Cq0Sh;87ul%G z0{ukKglwT+GVRNx9~Wcjyh0|^Al1}oXCwKjz~ogfjR8bYPcz8(H%4Q~Q^gHKvdEG@ zHmtWudt0{3<;)Hwvg!WEeD<&rHy>-1AOR8n`?WV#+Z2Y=O}UL91?6L#^J8#%Usm08 zqU2e83?JpBoT|c1JZ@x^#7|2#9+Uh`nVgxDNCIVv+iAcn8$-!6NcWKwQEcH)tO*-| zySh%@0OR$~ED(5y#RKq-$w()x7Iw`AAO9Tr?TZ$M6f`%VW2CljvfmOfT%q4&U5~rV zCz zoL}Js!4NCdeU&JY=Q9^DxEc&VEh*U0@d+7S!y7!b_L?LSGi6T~3ezN4PXw#Vj+XV8 z9BCpZwQ2HuWH4uea*)PK3XX!D1y{%-fU;Zar+zWug#5N0*WcWPm+l@f@%J=De}Cv_ zjF~mSpYn61a{;L`bu!SBK|^0g(W%^YF^dY$#Yfi@5EI(WlCuERsg-9m;YLNXqwmd( z6463I_2Huh1;=02>dSqF2`|!x*yIscK{?cM!c8l`IDiJ+Spd=^9XB>O#JIMrMC5_G z5)jn42dYugm|2cGOTh`GO49kKX2zF8bby;KZb`xK-qG*?BBgzhi)%)uUwwYXwTCF$ ztO@5EcyDsm|I9fFa;|TF^dkgKzF{BzW>tc!XeIPb1f@hJDM-_#e-v!{J`&ncJRXP*jnV%XB+6bfX@a5_sIf`&ZJBvDm%=PHCg}z(A`(rQ!V)? z+9JJb!U06d-KRv)Em(c`CQce?EL)NwCb~T4Y;&4stdi7UQ!yPrVZ5BxB;P$UfjX0c*@QS1*__J?0*CofHe~4 z^_HidG=$#n$s$T*q=pzFiQ4NsqwIG7677Y2K*Fw?^Z)Q)`Got=bsn%iXINQ^L zfwPIUhUB`K8Xb$$2%Y2$JR-uJDbMck~MxM@HsV7R=ozvRDbsY;~*{xA7JNM z?nDy}X7S4f(Emhic_pfPu;Ghl!l%MzJ+Jzvy7(b)NuO{3-BEkHkD~GAJhJA8uI0E* zdi-m^3zvI~wGjSPzMSw1tI8}Rt&fej!gyq~nF=oCMxKP8)x}T8>6;20%?E{MNAqo} zJnyy3SvLM$=4*@ z?RCC64^xJU>*Gd*7-VfrW%+MQk!1TB>^x_88e~fa_M@RKh))cv!O+}VWltbRBERD( zceOGmh=-TL#pL324%GXeGD?BvNP$>}?uven5&Urk9@q41D-6vaQ&=9tphg-kb?4HW z8Z$MTDB_O-A01(e!q67ef|8@e!R-%%ZSAeC?c#=GBXB8gck+IeBc2SUj1gABQY1TY z!q3}={s#Vr&lI3vNDt|m5-_EXS0N63{DF>%;xjvkzYmU4X8T4^b;>L`+16o870{F| zkPS%b^bAix;Wbm|l${7}((xs^LIw=va2P++GLmTb#8I#cfBg&>OiloAp{cRPOhO5E zJtQa)k%TnRI5@dTU{J0E1XW~ows($B58zOVJZlpRHgg2(VZgziXuN|wRPe>Ykz4R; sb4$Z4M1`>UU5+oJtkn|iJWpssfv!o8WC#MpWL5F*{m5^Z5)?EU%d&pxXcEm~Bg zzZm!(3;gT@o~OcMVk6+un|3?xa@e(d(>`RxwM&~Eog6ng?6KeNXz%E-+i8=3SWH~R z#js7s&tHt(w0o2P;Z1>I7dHKUDdGn3<}dj&pqoXD4)y-`SoCfA|DFZ||9heq|0>jZ zySDSoJDn4sRWN6$vTWzsC7K4ahXY_~BCd461OQZ_4ofczNyqiaKktGf2|%gQlz zS#@PdrnNK+jH^)M>I&%uqN#HzJU5%0EOZOio+Qw6ACEFwE`!a#DRsw`zTJaTF}uGE ztd01_t?8m8$;3k>1xl6Be4}*}c(A8Jd$fo)H7tTA9G&S`Oso7uY507+8Q?~d zRFU^30!JieC$*BQ2g+K?Un|S_Zwpx&+J}fr23?IVoW43;(GCSWzbOx~BAVZhbyw~RWOPo= zR0mani9yFh3yJQ8Y002y8eO1lYMPs9L{uJw6~d}WmA}AK?osu{(yKB^?Zyag=$sp7 z#xtZs8ZnPMOPCAv|HeIpWK;)f{V_K5$)t$`(N_91{H5O+7g2Ep_4w0J_(>HdI zhy}CLibDx!iCuK5mbfb_(7&-s(;ufj$y-PTLTJLV(MUacLiljRbt#j%B~z`(fQku26*;vvEp)=6OB zq!F#Tk^Od8TKVW1jY~}kff#v}Cyns1Pr@Mi%LmJ57XF-amsS#g%~Vxup^d6o7QRxD zJgtdnzM<@onGOs|@a9$@3Jg)>G6GF;9|vJ*Leb+8K}%B|Uo%jrY=$Dkc;q-Wu8G*i z1m<~E-J~H*S4HwhST6JB(y@j8LWZ#__|p=GwsLGZpk7mftsLtfocD+?Bo%6#BV{7w zQ7};~O`g^UH7%D`?gH}wgK8?lgRGNrl;wksW58bJuujg+RqpyRI9TCsA6Op;f(2Go zXD2n^2q_$ER@|(-gLkIKtc@p551IKAN0rRmn*uRzEUso?io)!Gan+^#a7{c@;hZy|A ziZGr_O=}3yZ15yuI&vrLJ5O2(MS2bf1kTM(HMzHnaaFQ7^0DEhZ+uMQ?Au>WxF!vJ zUNwN#1}U^N@@hWGy(pTeeHZPm^yR9c-7eCgkcADL$GQL3ex{Vq4UH{tg!(^ZYHwhg zU@eqs6jJIkb+LJ*0hLq}VBZQMO(#+)uQz!{!FFi*C`~aMeB)Qqle{?(f^RF)}Ed?Y4>SDtA8}A0CuPo;k;zs*=FN;cU#Ig?Xjd$OqE`$ zSEQ-l+Kdc8NWWB~bp9E8l-(|=8``YbrL@c5xqcl5eNtVZ{1=w?Lx;;tqBv+=&T*`{ z&h}9(_Pl+T#%BPuPL-RAgR2zF@5Jtvyg8~}WZ{4-&`%v4H-|>~>#P`|!-gd_Q@NLC zxGj5{Drt3D4E}xXP{TW>I;dk57r=62IQ62#6wDB)`mim`T!vV&?n`(ATkikMLr}jt zc&2RXAD=P7mz-91K_B5LH})I!q-oq{f#zPq>nVazy)u&KxI633 zy^G6#bH&jc2)y2GSM|a3=xhUpYl>#wTftLuSTDg+0@qRh^H%T#Yr-lWee%PO3ohyo zSf>~6#Pd1^s{f|G*J=Ir4EKn@GGm|3V^)^a(U07LJXQ5w$cx^zup=FVg1;r*(L0*b z6~`&~K7nsJUj42N`xXITy7R`Rgbq~5?A6k@8#8EU6E@~D>MK+)5uo(5GtU(^ds*`p zOXX$*f>jJ-j}C&P#$b2ug(z8WdQ4xq^+#wPxCj!T28mX=h))cwPygOM29Y$|s7`Rz z$=G-~mDb9p3=_S2A(s@=6m6(bClep9t=d=OG{Wnuv-B?$efKQA0nr~!4gJ+S-*Q~O zK8p{3&XD?)G_oW+19?zxSxK#hM|!PBEps0dD`|`ljweGmT42ktkA) zx`hmH97U0?w@7XpGvt;_C|jw2T!Xk<%H+GHc zb*AQ|yweN=lHbhK7|55KY5qtdyC_qpJNA$%9|)Z>MN_a@bwH}l2#{l{-}rX@RE^j3G`x0x}Ipl&y|a_4p~MfjTr(^ZBz*+>Y)pGw>?xg*2Fqyf$Q|*+c3??R?@dT?kqimD+A%Ln4Z0@ZSPJs|JGj{ z;7S}!ycCXCX1yNi zO+B^QBHZ<{RQ4~MDbKrQyqVo2uXyRJ2`CV6ut_VEH2GU+TfC~C(yrw0F(B-sU8GPs zh5p&v*z0V2buuM1Wo|b{JI>Cm&3j_TTP?rg*m{BG*BnwJ+{E{Kh~;JNTo1Yl%xK51 zkG{kDNq54Gxe%>_C5J3w_n=0I^zr6PsHGDH5r}9@1_4?uxT1*fm=_x!apg8VYwG=D zlJKmhbc(K{ezr_yqYP5Sy_L!dB_r(;``g?bf3{$@F>*OU=CFG$N>3=c5V3I!19lVs zP;pW;wB~150GO~B=5m1-9j4%RpsiA%`JZ*>%)5Wmat(O50y#BV+I@dnG5Nw%mC$vp zj_ZU#)3gNX#-YdM5T{Sou6^(ainh3mK~`O#>gy|qKS=I%a=+=Tmzt@Yuk86S$xbH` zrs6lE!48C5;G!$mubf@gU7wRE56AhJ(O-&Z=uc7LzbMDH6;%rBoWP(C)<+?T<7)vw zG{&8OqB5tkFU+X?8`ytu3TNiP%}WX1O|E_IOH4plrfL` z^lijB&p8kMPzW`=KX9pganswQR@(ZUz<+?icSsr-qn&thLF6HvEe{W{N*8dt&Eqj8 z_iCSSA=IZU=U#`vN<#W2^=on`Do3+rcV3!8(LaH$;kD&nw_?C!IT>d5Y2snH(4A;4 z7*5(G<}p60=9l(#l;?ht;vT@ZbN$y`lJ9d?4KObLK>X{f!W)p5YVD||Da;<>(Yv^U zPHpgp^sT+j-RimXmXDLQIigA(?U3B@eA0h$HvAp_!VWv=&*n^Mh}_jI6a==R1}5Vo zRE@%>-bLU6`5Xs%K1^;3Yy{nXgg4lJK6DB-|49=6wWWVWK}SQ#gKvUrj<|BF>#-~e zCQ&;nTvlNU`dpKtQ0{xuZuHqS*IUp-3F~;*8sLFm_;haS<{ifzTN(2o4p;7ds(TkY z6IYPJ5m=+gUR*$U$x+-2G>Z3TNYe0LNd#%8ZRQnF`+fQizO^w;WLp!`Udu`E2 z`HkCrO^)Io_noO~F;|3QuhYB`p;`n+I5%nKgxC54&dyeB>wAm9du7Tllk>)TSc?@c z?<=PdTs|P7i8LI~SH>zZSKX0N?1V;5`*$YkHwf5X9h$*}b5Eu~y1ZM%X1w1| z5x?&-k1vcH>#DcEA6rS$A*da}Iwh1X+*k+IyG$rlUb7>-c~>el%;Lt&Ym=huR!^2R zcS!^feNG+r`L}ViwB+Y@InoSkpvqe~vq!(~0x`F!q^a2W6`^5@e3f*$TK|Y6i?CLb z7a5qRiD8*AbtOp&L-wjaP+Z^{OWLqY6 z6FM16(ypxoxQ&G#|=i)$)(p({eqSg zofC$_EtwE}#nATA13w+O!~J-1&G4dV2S?|!yj6(FqRZaw=Y(YO zgMDgq((X?^5SbYX3OKTMS(i{}Jjo1ZUqJ9_^DrZ9U@(n4WJeZg4UaT9tv&M9Mcmu&|j_WI|aRgr+kMau**xsTm<06c1`PsJa ziu@InkKKWT9UA1Xyn#FF$R<)ev@N%=P6HRu6v4UU`P;y=XjAenkU;@?RvOHJ#$S<@r z>2L!+yvCu(okYB%IJ@%SNBu`=CEk}U$p?=!rQ1Wac2Czmz(&?{o!sOxx&+sIN?qZP zEQ&=oeIWv}9W3#Xj@)DDwUbQYRwtFIe8P;p{h=l>Tc<9I&V^6%pJ3fD0w+te>CJT- z4=UfcgVeDU2eBeRv+2oG_4;(~zAKuys1J{6VnH^2=+4ilMGoDFT}!lHq8+QCUJU}- zC>6^>NHV5C#`HeYuZ+K)l$b+k?4DK{jb5=n_OuN4m-u2}+FNG@$Vm~#VOJoY!K^eP zX{=P-Lr!%Y#7T42E&J4MTo}6hx@FBWts{3}l_nvLq(`_vyK@Xi#dx|JaVxo#CIb`` zT5QAxT`BNX@k~)5Z#h0>uzvKgF0!jll8jj!xJejy=%dH zsrl{Jm*t5G#?zY-ja} zm!lP1^J*)N)o@+hH@Vk&@LM4Ep>YIb$?%3O0Ud?uUnH-Z;z|!$plO#Bqk2T9hi-`~ z@oXDq%ZNH?UUQ-O#>kY|V2YlmM(z{^7d*9|5hjdD%$I`FXU3UjYCpCG!P|^5(+9~H zeOs)#K?}E*KQtR#4*n$nxVb1~9B;<*3I#%xe2W>uYuvc3<(}-zb%L?-q5Zr=w0XDA z5u9!fM0;0a zeLj90^QC%@*nN7aYtRu@j{C&ZRa_K_5(b?nT}aU`>L{YM)u_$bE+>#tC-3q=q%Fpi zUJQTO2g=saGBy9mrL?ZcnC$ZFQE9SdSRp68o#|x78$<|gvgirOC92s><{@FXAETjA zSC&v`H}6K;wOf7V3)rZlq;b1wzByq~N4;nOX!>8cJHJzsE^`SbvzI_DutZT%M@v<5 zU>KT_N+^tZ7k~9hU`2X@V}Z9@x5P9xAx0Nmu|aSw2$Zfr9=?I%^xkRFnDtDq+&+zv z$I0!09@~rf!t*L;@|yP-1iUY2Ewa@&Hp!$rtpa(~Z^lCJ@bu)ON=Tl!aBK%@LfqRc z7%pLFONP58`L{)lx&&&bU?YupR^CSgtrP_tLmX#vQPHN9N#ZaU=VlaAy#6&~ys>qAOTlYLaC$5=O?Fsk1~AHo)?CqiIX5wEfT^oy9AIyfp7*p~1&z%J?{r|i z>1Da9S?3EVw^%)LvEDxNr1SZwz3Dnus*On5$^N$#_FKotB@DwP>#hN1IbC z!cZpioE#_Uo|`GY?4TX&+&A+M-y{~P*!&X06NQ7$mlQlxxLzppJ4Ok*jioE*hNRTt za<-?WdGW)k5D#oF!b=^U%*-#>?wAZJVaam-&H0(leE4{ho)`Kl!9sG;QB%`PDH6dA zaf7IY&;ZexzR|8MUWQdg7O%S;&O_4z`Kb~#|ai3(S6CzZKV$dSN#e!?!v={U$%t03NGU3CldL!^sjoHul#De7AyzTxZ*##oHyT&dF8*emzK>;Ag}JcWKKib7D(Q6`F2pz9Vb{+V z9dJq%<(GwG<6Uy=lt5pxzn6U>5kq;8IatE}B2gTBC|;6SxJe%5wqw$#6rSH_e_I0; z;}xcT@F>Lw?FN*^!to;?E~yi_X-L$dF!^azw*k(Cd?<}#$lgw6r^5f`2&gQk@UHMO zt$w8ln&x^`8W7B-wwH-#9%KeY6wD~z+?`@yQlV89CGcyWV94H$V;@kS+8Z6Qx3h4b z1av0WaKPSbVnj!cF;iDe20CFzU;yDW$20XYy09)(0I+6*W~H z`JkQENa7_KPr%vQ#z`h)l2<~CQn+a{o@oaqDU(!QSI2b>>4>^$O}0NqXU3KLL!Eqi zcf&h>g(GRAC>?zby#gQ{!^%5*%LP3{$`HLCC@tdD&+azdKkBK-z4B{Zk+gcVDweDB zAYQxTd$>6(T#pf$hCAP43DE%L&Uf!{-TIOG$W00CU4@3=mlBBZ!7cZimS45spQstK>^q?|v`f?lEMO9D- zY>yR}y^xY_zRhRyct@+6kY8g`C8( zzcoMP)eWbTl?_v8cW3ASte5DdVVjFVmp!wC0_DenXx`MG{#-qguqv1xcpqn3Upme* zgFR@P7YQw0Mz}3b?<=`Kt`5+7|0b1!azqd-Y^rG1t(SRMcndJhy4~Ja8c?u>V}&OU zavMS}D4aSP3e!Rf9{mBEv-82FEe->Mr$X@nHe%S6C>Z!?ojv@wa3GV;^JAp&J^%26 zKl~`>0V$xFcCip_hTX0DY(QCyjY;Dd!~sIuVhEV3(~h(XEI?3#4Os%)=fRY&>o?M1 z0KwtZ4OL2EUFwWdl*UZGx;z{OIJt@H)q5YKM8oOx1=r@?S_}8d4rt@mW4AQL|9SNq zkhGe4N9M}7VIxa2MpH61p>nbr7J?Ss)`k>p>5X^9SQ2zNN!!5p#H;1ev~I*H#IaVZ5Jp^#!xpW6!$ON=U|?_DvrO?1K&-sbAWh-!>cEo5j43SV|q zl7C5ou^^wAD8&Ml#o@P-FHo_4C|DY9NlU_nHXdx!=h`hllIE(`0fUNR)?BA`_j%j=(zWJKZ4oqCKeVC_J zJcVkyn<#Kj@QfYz-N2G9DqsQ}MKo_1YE9*}M_S;yu-mMUlaaL{O@hjqdx&YPsTKp+ zGEK7xRrqRqFai3(r#49iq_CO%W($VYjBZbh*Bw@x6I|eozw6N}>phKppRt^_PyF){ z3_t$$lf)g?q)+Apo%8%hj+Rh}1mk;^R&$8Iyj3o`PlQqSA8 z%~qyLs$dV1O+*l+H^`CLX(9g2;ztT!ANnByt+uU4yOGO;G|e6z7N;62p(RJQjR2km|F{s1Tl_Sw6t`c*l?) z88;Lj3 zF3QS~@&%Ro##D8cyPGj4^fptAgf^K_BX!YA(33ub0mYdLO@$S3Fyl)z=}L?6HhTxF zdYP>}fySLHGK9EtVarL5z<+|}-^|nr!Z(@p`PjuO1Mco3z}0CNJx!_59yv@8@(q<4 zzDe~yQ)AvxL^*%>5mx6juWOx<3#4R@E60X`!pc>YJzzp4$HxLM{+=kmPjl53&mWX? z%+x)?{aJW@@%K|GW~OG3yxk0|qbfE4EfHMyWIQYp5&=_;QsQ@8)yD$>PWvBn&t>0? z|2PS{Er>v0_&S!(X|}+NJoVUvs7xM0&M?zjb3DvQCNyn?+{jG*MmUodnJxEBFd~Pf zL5$(K9Q(HVE+ImAG>fILA$0^<;AOF)>GdDwnW^=kgszoH@bN&odj2X z6Dr&mkBy)TmMDIjLaS>$9N?12)gaT@3Ia|pD*1|z2jnjCwIeY_69E+l6 zYJBB~XGqK=m`RzA z+>yq`&g+tML?<|Ic}rn`loIq|wp3gSt4jzO*SEk6{57}jFL_$om$|MpBd^lo5aPVn zOOfLgGl;_{X6JZp7C-(tdTiHXmiV>7(aqTv*k*w>7oo9Ts%-~6;>Y-#EYKR-8RiOH zejlKNTvQPq7}9Z=1)eo;(?M}0GI?HDuceS7lx6y(aoA;4lKg`Ke5KZt%1WoegDD~7 zYN8(Dd@v<;9F3G))3|cUZT3~w?D(5)sx4gW<%GI%5Fo&(L0zzk8+hA46Xrx0jxYFWB~$R=YrTP zi5kC{$=>)b-llPlrT$X7AT&AcsH0;?Ii`UN2Qm zWm3o<;yWVFW=-KZ)Lgp_fKz-pI`04a<8@5>B$oSw8Gk1pDo>$tks;RdJDE(dQ0b^z zBoCo+`GMi`>s%4$xT*YACNxmqYXDhA6r@BHaMi0Y@xSIW$vWukX`m%6*~oVjURQ}Q zKZ~x$ZcuIGT9SW=+fE4inUMq~7P10+l%_o`4=}@8sy-S(78BV{egz0mXfo_FF!hQy z``uRD1wodky&+Gf#T$tTx)4YyWev7KWKDIGq{>{$7lczf5ISnU(SMqGOV%xiEMj+~ zESUiFHp99Gvq`kq&U0W5kIMWc)aO)K;FTflS}!}Q{Vl=+&I0Aei-myv0Bn?TvWy`Q zUZ`&o9HLnrc*Z0=8FX1S<)C3v@y?7YPYC=rDB3dkrz_Ri#fr{3g@SU2Z=<49Km~p5 z#gGs3$#KZCF}qTbo*<9&e~9%Pk(*YKBDm zI|Y8#Q#ij!YYlc_KY~=T7 zT#ed1l!>w=z&SP>;Oi#}0J>YMT};jt>7LhWmce$G5&(~+@;Xerh3s)85ENB9=<{4e zl6Ju*qv77Enc96q$nIXxOj*GCH0^oMZ0LSDkH$6}4${%&i`I2C*sUN$0*htHQG~=u zEnt+#HrNoERZR!aW%S0B;R}XqWo)7r7CFI_T!%0buAmKwShFS5vJ^YG4)}H3Z-wo-Q~g%ym?Za*Qnq zR*KOTnq(15%{J1sAk>8I5CX`E(gy^^1sW?%8IQjt2l%TKT1P$r#O&Y8aE?@ z?f~@&0Rhnr&x%Ou06ANcV&{?}|BAlpU)vM%oK%9gaVExlTiz%C__*<}x zs_|E?yf$f4b8$znLo~eu05E~fN{7;qLW2-XRVx7)G8xYemjfzcCLKXuj{WB#?*A4< zXgt9G%&^;3Due&@KsQMBcmTi$nZ`UnHxF*CmCBZzucKZrbaGU5k6W7&*3fW^TJRzi zX#9e_l6K}1Z+;=meP~DD`)|ixzKA0g(duZR;C+3Pl?MZj{Qi>J! zQW|?D#FGk716?h+wpSChL*i_OXSWNjXs&vS;c=aC6)0VB4IOhwYb5ukHKKRmd8<(M znaqV85m98E8sGCjxN<<{8i0%h*F1ld0JZLtd?l*F0Jn-9p7vjXw}6LlkMEi!+>HMu z@PshR3GLhh)GJo9-KnrN_)`w44N%-z&GpeNcodn{CjP|QCB!qin!ObgG@m!JTo3$!yuFt?2 zW__yms!DOaDA$N|)S$#YC;O!d?_83JGr@SQE-$-|!qt zm_0NQZ=ghkOYjxox6S(4o1zUoqR_`lwO+p2jO?M}NbkGys^QRUVkzdo53tU_!o&h#2A7&01Il zdLTNrd(d0B{SBIpvlixo9xRGJyRE^Qh{L1xxmS4#8HaBHbja-@g$K_BLjWcVd`b9+ z{1j0atNv5oL@Pt6FU=m!sxIV1Zjvqro3o&s+3y>M8nFu`x4!{vK2M_!GNi!u3CCSo z{ECP0OF%!`)##%OV_uw%+yIP;TLK#ey4B{Wt}e7)V8Otcg!`~gpd-x!$O3KkXKes` zSvWvqS}@@d`PPC7fx>lJzFftb@BIJ1Gz82vXW{mfi3?xW3)f`=UkX0h!xxOVAQvDa zg0)`rVGHI08q|Wh+JCSS3lk-b`!1{;&WCl=Z+ z05{NY!uQC9FSUzdU*&>wR>BN)R^Lp^lJCl)!=epnL{3c~dg?+l<0?LVP7dtEID zb^3X*?3ZZ=_9h^vA|DbK)|BF)GG17y)w7g^-dMM^3q#o-)&wpLwZO)Kp*p*?7Z%zs zLuUh1pg7Ni7N(G!ti6OjG!S{@@n&s`$c@oWr8w<^$N=mgmcMQfUp~uT0ea+BS>xB| zXPXo%Eef=J;2uk?o6b22YAm{I!a{m~N6);;nee(X$j|Gj`k0u&H-G<7`NCchh-4sp zO12L2V|!lBhqZdP)C{4>?zpLS^>0OQ{19v+3SR9_aN9OJi>;eOfBdm^y#V2BV_znC zA$xZ=sQ}sK!9tqj^K<>sk568F=^pSo9MSp(tO07r2+X^#s#O%KEn})UXCgdiqEY>f z`ABM3T7Uo8-GPHsGVj)`Sao(jZS-Mmc|ydn)HCftY(zi>_K!de!zWk?9dbD{;=?aH zlLLND&M{>rh5cZ?emy&p2(OWxe(8hCw+{$3{NojKhZRxKtrhbyZ&RdFSPlz$%d$q!xlnTk#vxC|0@S=0*yf)$krBOGOez-xZ=s=lFN8^?0*=BMCc15vj-2 zi7~D3d=dtMyLYmOl{?9d*HPoZeI>KM3;A7_naqAg0vR*G<(1vnpDa}-oL(EZ5-gzJ zu$)TZRscnl#WT>VkHhO^5#NWf&$rI(@&?t*YRBzs@cSiqhns_heX@E?5=a*;Qm*Us z;Z9%7kCEDyf6p^Lml$#-=ot>tqtm);vT@`EyC|8EJNKsmeD4G=yi6y8JEDcgWy}YJ zsq=CIWT6wJ*z)PQ@DnkFgWm%x1d%rkgQZpe_hgRmD@xZ1XwP0h0Q0o=~LY%bK`gIt= zdWX#%X89o^OXCOJ{9Th^t%t=RulGi3_-4<3+t%NY2hCUyjxu6S_I3v$(`$oGC5d*u z;Fi0o*Y;ZVBy9BcS@~cDhL}bt?tjLblOy}Qz3t&y>dm(C|Hgw7B7eaTp>JefX-<5z zy)jgMwRy!>g}QfA8c>Ob-fJlu=;wd#v!#A$W^T)ox})s6cYH6T96dw-u4|jStQAb} zkMG+RzSnMYkO6bG*Goi%5NdaBm4Oxy@cRzwvf`$sla7(x#8JTj|GqU3YsJ5~j-mG4 zvxxAfGM31G^`)`Ww7c1MYX&d0pPJ7L;HhuH29bZuLQjLAQ zsSEQq#9d3sokaeU&pS-R{;qh(111)(KYMbWvNm{wygR2ZM1A_0wIH!|*VSgm`Nr*& zYR_+=xU;?WWiMx@yZ5~tAu;TRh~njkehY??m!Gi^4~B_^zJ%e)Ql9aPozjHAh1!+n zGk%I(=7k-~giYAEYX0RJ`?9g`t@ef8UL<#BF*-oF21B4Nq=K`@53qkwOWTVMdJp6htA9%_5p@CMgF>=i>~!7kiT;T{rsB zUyW>(!2Q0s!8%{#dihN!FdOwR28@O7#&7=dkMB@Y%E8!PF56Y^%NIK-?o=K-(xo&% zlZ8!k#%_!O+N<+_QjgjuJ&XqxdIZF8(Es+DG9AF{lAh(a?9^=c{VrX8sCfl$FcN$R z@@b9K{nVp4s08v$mdq2#JN$j5YIR zYbEYKx}+knhUo&*0jrD$^cQUb0wp47I)qe52tTL;TrhNoq}RSROl;NmtA2INUg%{$ z`vu%!N(mJ_ZLxp-qa|Bv2{SMZD3il<6|8gjw1*$t?F(uR{lIgJha3N0B$9%tspEy;RowSujfQGULtlyx`8m61yY_B;`$cO()k}XT3QZ68{%Mw~C zO$7HIf8M=OJUi{y!Ee^iW#7R{>J0-x+nu2|+D4wSU>Wb{v2>k2c(5WHex~$S zy+sh+7LM|k%00&h4^@9xWE|1`_fQDolWYc{C$Qyx3>EaZ<5TuYWO}7WEd$ss-vqmb#WUDTZrqvTt2as2l5}u znN?o+UPwB02FYjU=1QAZ93N%a9wt)oDxc3@3_pv>V3tGHi^z|T_@(su;~x`^ot~DR z>a8ZP%kbMh*@?uiBG4zmA?M4u8;=KtuKD-(7qR-7i~v-KYoL|RR&M_=C! zC53h8=7_ZbS-Vjbn8kDb0~%1t47>OmluEomjlgO-!+9yl51ZCr5pJWe|_1b)^l7t@M)f3jVX1it;TC)T< zPtDWr;zKEwJC9K-b|8r?ut@E=_x+BVSm|nMm5F~0Q5M|KwCkfZ5GgK28MV&(>Z(GT zf5e5!z+mo~s&TwB672#$YTzalYjc46X(c^b%mn$1nF`y(zXx(X13Cv!?^*7y&3lcf zHms^3s&_n|7_ps1_DqO{S;Z`u3?{WFMe~ou{r7Z7sD2_2t~AV?j`6(Lc`?H>Kc?Wm zFUfuPR(LaIDrm!XBI{`Du~r{S(2_zvHY+>VWs!Zl8`9oaDZ6;Oxj4{+O=0S^HVD`= z$yf)gAqwubr>VqiN2JF7{cB7w{J}uLWpE zgf$1WhIu2(_!$`70`nGExl`Jxzl3#VM$D^y6*95bS23EbS@z`Te&4TKym8BqD8p>8 z;fwJCyp^6}nlbFdDIr@-8h4#4OR_(?E_|XkUTB}CMn`Zo2yFQ?0*Cl)LR!y)%42i@XB&n^emdAW(^Ee{5W_MnZ*80zYC zd4XF_M;jrE_CLK)Ytqf}hCF>uOW6N4%bT+62vX1n1cO2Rv}fhZ=h8nVA3;Yq&;Cq) z9+^U!wthkm?B#n_%kCaMO*B%NbCH^tvx1ym{x@!S-x=_}@o0qt<> zA9B~*mA}t#_!gs@IbY@u01m`Q6FYtC^4`7j$X|i?|Gg0*^f@(?AorjCSugL_8V?bT zeno6dq`0SJI$|Q%R1kH8@|brwTh--<{am`=b3%F)cd8erGqCsA#T%i$prdDydH74+ z+*ez}1`Ge#|DZ7?lljpk|F(9>Aao)bq`~CwcZNZX{fL_R*n`htX19Lg ztyz&X34;ltk=G8_!Vb1K{=5T&yl2Y?EJgfudH&5N?K3f_XhXrOclW33 zW6UZ`hWv}y+;(H^x1QThiP8<&>ylUbDP-#-yeRc9eu+0^LpiG@{9OGCH9iM9Mqc^F zX%gvqbyv}f(k4qhG5v8dT334b-A)+1;K5}67I{3$_4l(HB|o$dFJ8Qvk@)_v+O^zK zZ>{+!8B62MHg9FtCnbmSmiN?Gp9gN1&1GDeTz)Yi+edkLUeZZ1 zJ|`F_DKY&cx$=yJAOJC+C?N;hU4jWCxsM?n`%> zsej><2V(bfO4rTU(ds^xr-6WelYu1sBaU_0q=D;V%8Tc}EmaSAYgdQ$Fo(~3+;&5b zmd=0Mco6wbd-P)-A>NO4sd`rB>Cgwy+T;q!eIFDh@J0V@d$IF(3iHbJ%ckB9<-5(7 zUGXgx8=qgB(K;`_(95@~VKM<|mz`^|`xZ0C-AmsY!WBuV+(+Z2(`ZQaYSq-cAvK@% zw3eNFrCL1w;yEl!EwCN-14(Ck8=2jktu~qt*&hx{L#f7w^zTyk1lLBV%*~9K^4CSN zd|zcHGmkv6eRp_b^}s}z_-r>!h0$XmLC2LpPP8f7VS;9)<&0kbD#=wI{e-yKREBs0 zT-~;AiiWIw7u_3KG+7X%^DCtoSNNJ1{pYp9VpdYrM%c{xlet{ngV)(4#AhHXJ8O|> zfKy0|w#ScxO={}1z&0m}t3LDSD}zhte~F{AR#p7=_OqRW7%EVZ^L52|nF&}wu`t}3 zVcc;_H*u8bXOPr!`Vsek7G4bKXFMNA|NUf6dEl=U092qevdgc|c$1=}>d=LV!@c?Z zmwh=9S_OeT*Fmqawnhegh~nX=HB8|3oExGr7fq*Ot=r$?Jle1;6V(*U8Fs|0u;fs| zQlx=v+KG@k(7`kGsTgK+%~)vqaZan;VHGSt~>lgR4C&I!?HJM1Yt=Cq5?y$)1`{+~qNcHd}qmt8233b*`=cdSTfw^BOfWe3yww zOi%1o?n=e8POVGFUT?~(utF{W1m$FJwSOVI{J7S?m-XzWILJiOy{z>&^2#u_T$2uv zF5*w^gU>eG?v>pz4C~SUEj%7W6n$1{H{w4)|Nhs5(&NpKDh-W&TJ^w3y{ZE2@0aUz z#o6Y4B{OGbWy4OK`7(8&1Bmz3o1_d}>#MQRQCGZW&42e*QC2Ekw%afsy$D}m&2~ke zB$v6ZGgsSTwMm|Y6}Sk14P)Mq_yCE%u|JY+aeb^Pb58L3GwD{_e0)wFE;kKhsTd4* z9-=@xz8EC}d;o`gj=kE;PxMp9N2tE&D)`$YwY!X!nAHP zRmIyp6ZW5ptx!fGJG9Lw3g@&`7U8=h|H8)UpxbT%@R0Rm`iOg5>^d9H_wt?GahL?_ zNhD^Hm!6e^{bQRuQ+1aUv6XhcH{{C%Y~9@9-ugc_bviGlRaw4yvL0H*$|L#?)ud=os@CC5zGk#j zbPcz!uMk#5^>)*_ppB9VEA2aP$Z}kv>~U(Ao&tHLm^+blT3HM+ZOzWO{OZ0p+Zgz8 z*P*H#s3#vj#&^UrPyC=FTsrE1o|m(BaLdYSEpjlkUE7K~#`=FU0cNidpjqhO2Lc0}G6jGE{c!PREAoigG1nX{}zLy zxNM_1-`@JtTQqIL*Yn;aaZNU9=Dh3jRz|%$xhQqxYDrUIIp)pMUune@tJZ8%1rR*y zBOwxZvU_Qu-^gJs+7K#9#69sKtBSN%cbT=5Q}5)fz^(fWAk-sgH>{lqzDL%rT+0=C z3+SxqS2oFGc#_WpH?N}BmnQ68c-~@(wGOW9$k_&GxlJ6;+*Gz&%>5%~)W09i56cP$ z-Wfbp0Nr$*U=qPqpwNZ}qRFPSdBnRE093z}Tv4td=-X+cUuoKT2Ap1brl_k8*n ztM=}Y+gNq7b>b3wZLC0AljP8X3lS=kK_Vu)WIk^3uLdBCT3WYv;V^2;COdVW1^R^^ zA}l=*^IWZVY1isyX!XACZr3gYY(RZQdfP+r+84#96{qk0NGpbXo1mD-9q2WFerrgQ zT)UH=@T?Q(r1&5;yEg$@tF~*`UdYfUe%;)zbp~Gl=j%8id0FA`|9gE72rij4?b*|^ zV$!o)LzadB(!!2pSTiGQ#sNOLZS`cc_Xxrb_NC^$T>GQoQ_fb-7?_Ow>6nCsNw6>ZUJ5lNu;9C4# zQw{5^oSCN1UjMpllrYHu*=gIHK9gwG8tMuSOK=9R={p;_+y6F~*NcqdU6fBiZM|B)Q|FYeJU#rF|ct z%$;l3?w8ntEq*Ph`?N z*-(ilL8iDHD)QA{eirC?IRDSY&Mn{_lSt4R0)?#;O}6U1kntQgD(IC`-ySJ& z$GaSpWE6|Vv6((Hopn5qyUdft6C;z^VMgpR{uh{SEu}c;pS*1 zQ?S3_{~_tRev+?h(>* zr{KhmIdLM2qA2h_-{1QW+@Fu|@I3b!*E!cY_fb2}>c~^jYvRJnlPeG8e0mWg(R3Su z*yRyLA+qCxvD;<^^pyhQ^93w#qce8B<>ZIo&f|&zq)lFlEqF;49)#x`*)Pa8$`(8aJ49YVk;x^F|&`sk**%pl4 zvoYA=Z;h8*y8%zvioulf1_~-~!PO-%^AfKut#FTUUK=QFL`O(9gAuP^ zM7-d_`2R$+L&G@#VK(N9G3_ckGg#yWGWGaTA^t6WssOjrwYEOz9Iletp&bT(UK;wx zj*VU|m*4so8O0D#w${S`ussJwxys}l9qhUQY+CArc=Ycp%qVa`1Op~ahW3-jyEAsP zG4t#rtUW69mQFJ*TTZ%w@e{7#V&Xb^)5>sX@_n zw_e`!_y@Xx77CYEKFZYn2APSqIlf=X)w3{2(%+rOm>kQKdQdeLc|hR!Y&?xRedCX` z4*>D3FXLq`!n|?`D0~w)YPp`A15gMR^j0MWLXd?`9uOz&<^)!@Uc8tV@F7|!Gfa^` zwwgb7`bel@w{Z}{mh%wpt)HuiMYjH_xgq&yVr)NipJc12D547n1N>_|10Y@Nn1!aQ zm8ME?6?UncLo{O%we0jJqnDMOVbapb&$n%)6w~vP-d6Y=eZ~jh7}dUy7Wx(JAyQc* zzmfITX$=%qoO*i{u@-E4%-6P-Z$@6T3YwCH!f8V31WoR~zWPEF>BC@c&fyioHrEKU zdRK8AX24y=E^TtSGqQgFrLaj(cO+~poeRb|NpIMlpx_qtL=Z77-C>;PBJPz)Hb)fRI8& zC+h-MTGJ*;hdt&rKi-3lCLw)#4h|08?%mRX(bdHXD$JAUyeCaesLne!)OIq^*QE42d ztzxOSRW4bFL>A%3t7;%c6q-K=wemvJGYah?-#izErr-Ge18%B$&*V6AnpvDgsN>YN zz;MuBAPCv3?&B?mkj;Qmr@AC8npm@{lH4DKbHP=I0~KUF}kfE-c9BNRAk@kd(f*T}LpG}$CsZWJD)t}}Q<%hijUC9aSy$gQgB z=#;K9jHU9xiqupIO(lBCOsj})y`P!r*%4|_xb)c6VZBa^lJxj*OY89CjW_54_&@_V z+GsUR92Uvxbi9m3l0Pt+#7a_EOYQQGIG=F{iJ0@+WquUewH36Mc;h?rZ4ABxrzk}hfORq3q(r(xHQp_V9 zewEAYcexq93*a^jC{p`k^{$TJEGRk!CiTmj@?ah>SlS9qY7jgQlB?V@9pCzi$DHnx z0CJ!t{>_#><`s>eUq0w-^!4t2NqF|vNXl1P38>u zBD|sGXD1$7PAObr&=Y^%JCmSdyn;IhZcVP1Z(BzzjkhUfxo2JOXs)m}AhNS5@xubh+JGi(nJ~6JTwlnEJKJ#dng4tYiDtm)D7xOm+Lps zsW*|*;uu{PpRfvx#EUWbQDP{$3<$O&{$QY8t;cg;c*y!tx3tS z$|M_oEqcDe%}6dX6e&i_cDLhzIxpcKRQ8d&Ugq;h+%2}7QGOIXH_TD`>B?`{GpPB3 zN2YtxVA8>Dcq$=MU`-MlUve`w3uxkq29Rq+<7LfDTYS~v^)(TRgXtrk&nk((LDwwu zSd=-EerB9|+4#moF!*&pz@WHXyO?nn3Jm{fiJM)SdKRanhTs2sxE~$F-KDqd&96nM z)bhE)f&zQyh~iYGJw)8QT9O(~g0g)Z^tIZNyjJq(YA!)?N;n)g&#p`=_A+wGRc;?D zWEA4sgSQ96ZTF7&s9`^ik*x6^M&tx0RLx6g?Kiq;7TSv&ds9r>RsOl%ecy~~bO1CC z1GsQ-%Mr>fqg~tp z)FustU1?JPnjQsH{Paa&ccrfYezp`=Cb979dnc{=#Wt>vHGW0<*o}#C^d#P`>knLD zKVjaUgHu8TvUzEN?n+*1*x%i)|HHC=rK!qfTp`leda8lh%XGXZ z_UU9z(o^&3{AV6*yuTL^<15R~Lrke-4IyNmlXST3J~QHKaK* zA=?|wlRb0NV$|l7j@)z7aG~u&^MmaVPw}Y%vJ$0*%FMDsomCP8`sV7ypdIxJUS8W_ zS4uxg{&YB_-LZ2UElhry>nH^%1NlV}=0jcn1h&!p$%lxDh+KS!f$MyN6rxuI9+D=)dN|dl9}xZO#)|h!_(EC z#a3NH@@VMe4FTW;edp7Fan#QhzEAr&&#yp+_mV2uDn(H7(LmP9Y#<2I8ACI1Iw6mh zYZsn*dM+-kc6B6#cK>b3H|EzvPnLE!n4A7$+3nh!lpI|>4sd+e)x>JO!A~}jP7wOx z?}=?gkHs>hC}K4casZN~cT;%8y)jRwFCXKa1vy@cd}hDelGOQ6Awv^;w+0i}mi}K2 zM%d%S?!QkL7!m}&BSp4um23G{Pp`Cf#93)Kqw|jj$A!}4takskn&qZcf+Q%U@B0aW zmf$8{$8nCS_~3e;wgF_x<{EpOvKP+&hBxl&c!eJ5n-)fqgBnUFrvCRtuM816D|=q~ zBEBDh2D*I6>)5}!>sjS??@Xq6TN+JFnnmD`MOF^gujSvy0O>BN+Fs$#lp+CH3<}eE z%09zzziiWy==GQ}r*yvblg4iu=pcLQg3#fe za{&{xmmWd*=G8xNP=RQAY5W2v@t|sJ@(%R8Mke7qIjGIxfzKn9ZJ`kEBfkGpxSj$J={zsjEzmvVC=WNMg^LRvlO0KCluj-||ivJsJ)8R@r8EGB%X_p7N4F=~y_N<5Zw^ zCe?37p*tT2T$EJU&upbSzzk#jB;bE9CgTap<~+u;g- zT5bpd^SUD4p0`~nn*~+)1kM+)d}kEY$WP*;ljd=Clcv>CoKCiFs7a|^$74QpzSv_n z#7z_QBv|`5j@h??v^h#kZkiGIdsF0=rX99VoF5GNR03C

77R_lQ0-tq{p#LnXz5 z9Cz(~Pe$I&!hHT?j25V>-#SIr^3<`4!n}Cz!V)q2N`+OYl@4d7x!%}&>cl5m2MI3N zR;6|~K*&=!1th2+CKPoz7)4zx5mfimRF)oEP(F^rC-sow@h;%Z_!&Zw6WZaO6$ZXu zR}@ZQ)D{Gv#OT(%FEDEqNW(cn%Gqh*N(>UF`&2yrou92tGs^g*kjj^?Ar=(yOUJ_J#iW? zxT)27I9z~pn-jy1x&EzZ7cLtMFQ)Jw(g;>HEA|v4hIy^Xo`%07wKWdz&E{KRf%kYE z(?Qc*C|t=V=XK)kbCGXimp3o3j~@n(PmVMcnh$?PRZ@sW<{VQAE*|;!s}^mT@luUl*Bk zhQWHH@|^CCJHAYey(g89k`rhlcVsKi$h$>4CWSwV?oY*w9#nxbNzy3p_yJG!|EbgGJ^ z-Q9iRyiIzfETReY3MlPq+&Z_ZqzC8JMUdgnou+tQ*B=A!!ypKhjc4e4#CH4a+9HV2O%NC=b_r?SCLjg>9lykj$Xa}?(wb_ z9l7w$cpD*jEOL&%{;8~fuxodfRJ9X)fKQ6`tRD+0Hy#X$oO<0TGZQkN(Q5x5(|%1@ zTj@ZHy8JcVK#O|p6vV>~k4!?5C%y+?@1gBY&3Kb=v9m{K;F0aSr|6~>CSXyFaqr-~ zM+Od@*8nW|<4EaX2_o*-^%p;CvSN-COn{E2{wg5*1 zb(B6z)3Olg9l9;Z6TzFHywfUq5Gq%xt4iq50}sYqMl zVEWu}!+RK#&^uR*e=yYWH#smu9}6)#^jHgyauOzY4TCXYEmlow!royTK(|NVBTr)I2> zQiV?^n9dXjj_4i`CWhK7)=#YqM5z9T!^yv&tgxVT6tNA+As~dnoNq0-guXF|e@-2a z2IGSsc*Ps_EGT<;L@2Q8yo|8;?*$+Xbaivz?tcb)E)uC%e1O_}YvN5ZB zFZvpxgHycVIaZj9toHjYwr8Q|K#+O;?##gD8QzG2&k>h`q<(Xp*P)_J`!V;QHZjq> z{}djEX>EKgAnIvlBkX=Kv!Dhzvk|7+KuwyZ!wTppNdmcjnr}J9DX~KN#fu?@PV*lV z>p}-!30*#>lvK~RoB(`lJpApeKz5f8~ z84(CK^GGVd9hCc$jJZ)%TcEfd31}4{w4tE`Ev|_9gFrT5PxH?~NeS=VF4b!?)6RU= z3A`oAFA`a*{7NH|$jF-8Juwxo5ybghg9%}A0C@)s8Se%{JBpH**d&W~N)*-A=5jh= zv}veO54lZlxA}Jc@Zi9~9L%PZEK78O+!tx-HLk5M!N?n+)h-kVA{-5T;o|IP%f>&F z!X(JyTxQ^CfE-8Y+}Ny}{fdhMd@il@0G%F`NzSVxp1Gq5lL?h&g9AS_CfRrY4urL+&rejwI;6e82_N4mG z=ce1Z6`gpu>t9T^brT!o%VfPAuf*Z7m=uJ{P2X*q5RsB!1vg-q3 z2g(QTH73O^wGP{)mQpGv3VbX%Z-07I28gaKg)OAuk78$umR|)b94{9uMq%C@2@@N56g?zfPbdoJ^dChYE&~*l9JB~QAR~IoIr_o=EORQODfGHJ@nkCFT!)o`);n^_PA~=>T z%YsV06vB;(Td;mCa*Ko`U!hirnzaK3()be_VQOG*0Nbpbo9H~UAecI zm;#*o-Kj^46X3k(U!`Fm8jXO!?s`MG+b}WwXPJsw*AM>#-^*l?AIFzNf%-QitLFam zMiS#GQEP6qJYJVhNLDBcJd2`$Ki|KUEP9pc6gQM8f8i`Dc9zxrj>f5|0&*f*6m?#v z=+)2?4UKNo48d&Wgs(`bVNNi#5nisC+*LP=2~^vW4Ec(HOp+8?$tp9i*2ri@26)j* zkn|rS9o!S`^0GXdXGLwd?jP{fb4mc?Qre}i3dxk(MOKAt|B}z@9@Ip(;*?aHS-91q zD>lSb`kCn=R#dzFXNvaGwS)E;7#G_R*ckJdcDoQR0No7I`AG`rrmhxb9+OyQ`pq>P z@EOO49}A!Dd!pqJLD!zYF{Iz06NfA%8q(!PI%FOAQlGDkxxKZUTt8NIzWia1d?0qL z#i#E3+AxTsghghL2guMXlhAj5R>x^;{zFpz9(NwCvC@7XRJCugY=y9*W+`^BQ`v&9OAx>%sbVmB9 z>nXoJa+|=QZx&pUp+K2>hXe4gIh=A^FdCf{|#Cmd2)o3@g z3Uvjb6ROt+PG$$MIXpg48O`FYgW$GKf7DG+x&Cwg@n`k0RB!~+^=yG4Qrb;0$Krhw+E_K^lWmA`T`Zbm_N~gn9Q0VTIIu_Uzh~N>+u&PdTD)%Ah z?=LqGS(|5gsNsdWXQAml+)(Y`3Nycm_Jx@~=?mOci3-`}TBDA6M5^joawL!X+NHtr z@Fdg4a)|XvJ6vB;V^HMuCKoHMXZ-s2%^7o|!gbr6%^7yZmKSx;LRW<{j=~=l*=@Eh zlVc^JZ*VFti)4g8YH!#s_(rpst?C`|| zFUy-~=Y;qgI}4M=?u&!h(ZQyRpX7TphqMFYvhn`n7q+_^qkgt;W;;7oS!w66CP42Q;c-VnU~Xo2viyrw5%hnT8j94@SIncz z!!Oi3ij&c2%EEd2tjRU(7MRDg=QrQP1T^MH`Oy4Nn5eMEh(IkuoborUd-x#aP#|Cm?xt}{#MPs zMNSsnK{eO(+qh%-xl#Idu%DeInc5z|A1fmu{{M?#5U0W>+CL~OYM)VS-dO%AZw#{5 zwGN0_cknEu&Me0G58JQp;}|iSy8KeydMU&I}dgKkcCzCJ;nVy4d^#7 z5FdPFoF`D;(v{tPO%~U+%bypb1*c!cj@Ezax%J7MoRa=&EHA9`<&wKi zb{GG}fa^s9RQ9`O^fd|n75IlxuiHf;(aLh6&m z3axCyXhT$WSb{jzEye<9_JdSlr?S-o^nEG25{?}kBih`2{j0v>{((t`%Dq+m-0H3g zJ6qK;;J_jT*W@ZU^aI~NhV@|8x2ShpZwe!&l9kTp{_;E3?7^+R5|uhI^AnYWU5`A` zw^zYUJuEN|64QZKWBY8=rGal+O-MUh2iBy7n22#O?)|6x_r^H?`+-SD7WO=HZz~oH z(=EhbgAf%e2--r-GqMxBlbk&24xk{Up-YNk;@B|7gNMElL%+VtL{V;zzPmUMwSzn^@{HJiA7L)YA!_)6E!A$l$j3_p~gsChhi=DE&ohxz2!0ym(<~r{$ zik!As-=og8n*naFY|p_ZHwMPB=74;|@O?8ow*HNqYG07*4Y;EXv!f6;g&lUpK2j&b z`=B1)WlBhADdIn!$1o@GiTrD5toS6@VeIWN_3-=TsA~t|OjEx{*M0H}AuY`^hSp$} zi_dy4Jsbc)mJklI2v;ni-}hg7T_E#3XE3p%$oV;a43NI!v2DvS@I$ z8W+}=m6qF$5HG|vi7C9IpCRa)5pa?P&~|7r;i-4$ramFofWZy8q}{bU1h;DJy7uvK zz)_b8d9D59axLZKu1k>}<&84ZA}&`%f;_oC@txWP*td3DO;)YGFHVIhK~5&Zvs5|%cky@GmlWD!+;Bk|)s8pV=$i2l!iRF>2F|*5<*aDPd zAw&u@l^S4FN`bDun934sFx~{XLwkk_4U!x!FVRxskyCt z1jRQna{}T-(?fkwi1KV#^0BTsc`~ymxeqvquX}=uzXpHk*CavCyo1&tGfp+V032+} z^eLM2oR2wSPy4L4@A1jotBtn_ZKt8Lq5cG`@5>mQLO2@unECZfHn3d6sDpR-&v0&( zDmu=#gFM-?RP=A}s9*qDMR4MBjDa+Yu5fti1yO+Rk(}}3NPiz!oJyRw(&CO$)idbk z<1n|CsLJ&jG~Rk+kSJFh6e9nHH?U~ijHo`pe+dLQrT)Tc;!OK`P}6X=|7!Puy`hm1!}P0{7ubF(flj8bv@*>1D3Pd z`$2XFx;K*1Y_~VpFP>D>N`{L7E8#OuF8T}(U}Zf3B9xW*pileQ6gEZ9v;dIc^Z$^* zJlZuS>HqNiK()_<|CBmu&8>KsY>1p6{;^`>d>o%N>80ors@Gs|Lb?yw%RpS-XM+Xe zHY?n}$ZqvBMeq$?r7%+~@Xws!UOM=?T5Pf|HGl$|STFKnL8Nu#{ zFlwdwN;430XeOg?kegmj0qWP}A{-54_v;cT8{d3#F^cZ$_f>TP%}!1-KwtdtcHYmL zw0+Zu-}K*#2D@|_5)FV}>4_856>;Dh(6G*?sb4e?)QM+Y$J<>W`MoxB@+Tj3s!S*a zd%x@%fD~Wg-UGv0s>;c!vvA?u?wgMFvqJg66_Wo(7iwQEL?n!#MOzsWcmFVR&6M2< zcLt#O&cksSvk%%ZvHgu?9xhr_qY!>3xARr64l7M=#Bzr4`?)N+*2V=H)22bnAivZ9v1 z1@OCTO8D=`f_KMl|4x-jkaweowHno%g}AA1KRkrnE==>YqP9}UwHloO>q*vrb@$r= zS*p8s<+^kEWjgyQsqlTHPW8A5l%nhIT7hBvvJENTwqtR;WiRWW3WgAI{=W$QeOS9w zS_m*))xya;=jCVe3%eY?;!A11zaY8eipM$Xv{!#%|H?3{isppXM4!mt`Yx$4U>}Q| zVMqp+5=$2%V3?X-0wN?2-$Bba%L2Gwz&KAndNp;U5YA4mDAc_&oenry6|w^P==Idq zLiGC+K&3Hx?umCNT!ny-rl0uFo=`xT|6L!h<_~@YUixK7Y{1IKCZ22xD++P)%l6!7 z4l&S2PS>}%ZpMIHJa%V4@IiAZW2OXe+M8<25s-}TkKjB=3>zOXjc~JkYnF|_Nqk4~ zj8qfHsU`hY@E; z-}_^Al-1HQ;B++^%|RN0E!(U91p!!rr2Un|VluJ!+xL|+^%-XRiieY!zpOMZR@5RT znjS{5I>N=$0|@xO)PdvHY-%VLWO9VyIn*tqpCS7W>llkNG}adMb>%k|fdEmmHxs*_ z<6b9023aEF8l`VP3@6iQIQQ_2{L*y$#t4*(&s!ZR_qsw`VKCH;#owzy`Av9!<<= zy1^pm-cc-IHvHd_cOFQZ5P~pw*6)u!9N*FG2KrON$0`YsAtUzM8ctm?)=HFTO4d7ztI_4)0m zd~##x@_suZu6{r)BE>8PM=V{IEfk6RsWj}0=E|mp`xos|ZtdtcFht#r0xrWoAM_WN zB(!nM4ct)`B+1G2(#Vd3UOmtp!JHSi)->w(MS)!VHwQ<785ab%&0S~47@0QVxG z?=32xN9{l`EPM9}y0KsO5gf=nwYs|fccbb(fXE|msGreHm_Z$Tbv?m5P6C)rSG zU#97A2$VRUL(Ro~T{fC=T*ORHCM`iv*8@-;ZrXJQO|~#IVgh}ZoC>Iy;t~8jjfIuQ zkL?>k=ySSL{l&;8#O`?~SROFY!DA3gMvLbOsLuC=J~s34PL-8hnH=FNC;N<8#Y z+sa!yi@0+<7s0B zhylaEuS|T0Z^6amNVD0A8%o=Hjt{w#fxSPIRG2w11899L(P)f%JMtNTINQxk1Q{ws zE5i}sBZe4UB3Vu()VM##fDEc zA(DAQNndw-@aPKJKo$ycr)|E{e3FpTaWxeyt+gwMa=E6ais)NjuW{k;9jrKmHV$(e zqhiKEku$c3-#<}3){_SuBq}$N|lbT$iE-R3Q;)SObSZO|3 z-7~b+ISUg4u&V+0fh?%0?(d8l{?ElYM2-${yv zaS$xdG&zYvh*8J$?UaX^BwJb01Q-zRjy&n7$ZyeAq4B5pcWtJP+02n=$a$ z>1BYT9N!fuJ4UtLF2rA=o+-een|>8TcPAjC>5u=rpx`Om^oZhX;3jpMsBVRLUTPor zVSLigrk9_9)Q-H4V|1HnA+GIqJSJ;S)r>&VZ=bIN!X+MPzg0YDbx!pj0oUU_B@8^T z=3b=QM1%ra#+3nz(=BRks>I@%wJX~akywMV{;%t^#rkLt|CE2TX^gY=Lk)UH1JCB% z*V?(&)rMRF6Y(CZs=es_78f%0+3p~R2ilM`tHKTa$LbLc){U9N%qRaJ0usYJoc`#T|8z+y=be^M_=19+1m;#K#P&qv!@6C&Dj?8 zlWSN|i=0f9*ckB5)QI$SZs@%nl66iGm$nS_&&rFBlTvuwZ#>CVaYG8|w#kxLqIPR= z!?PVl{lo#{m+rwP!IJR9ctI3Kk(#wTpr&58LPpNj2VMqajwZucIZ?5Qsxy&P_G9Y- zS9yZsweI|5F-NQjHi}+zk-UnB-ro$XIzwZVUraK87#0lce?r=}YAj$l0@Z2b#h$QM z;JZzAR%l@M9)d1UOal{FL!rZH`TQaLc$5y!aYdRIBu{`3{=!!QB_yIjc<@>~Iu>7a z21OVCZj6SXrxrV4Ieqltq35Xq4p`seDEje5vSUL5`bHTe1ZL@@IN zdD8>Fs%=ZsF$<4J*S^sfX#8qS6uUQIo_=Ub6vK|7BzH$Mdm=QMa!V`muLWXN>lhFG zG$5#3=$YKUvI@)hF!>k3HO!jmLBej&=yt1*N3PwXr|#9`Pe69U8PR|GTE+3YKlPg| znJNVFZ?D8cQiqcq3n98?j3RxwjRhX5&q&Cn*PWyJ`cp}=WC48u@}#h!J2@SCJG&)C z>$>&)vx~pSuD?agW$HdPqAM1r9K*$)rx>>92i!OmS#G>28cV2ilSy5g|CA@tB6~vCgIGc&Ayh5z2C1{PIyaSHp_catdN!R)2Gl8;gx3L1op^0={_Xb zcPKn(F+ZLjP`7$xE!IzvZR<{AM98Vq=Evrn>Flm`?oXuU`+l(xo}As7F}m0LSr962 zCXxR1CD#WMTlC$a^wa7b#XjEb&UA>cU+Mjq0`Y2-^rB|5r<|MdETfxo&3Iu7q| zxze_(8-DhtY{iVlVJJj={g zME6pq_eqXokc;hMV8UO&)(@r6sI?CEUHex-AscE!1|A~0O?FG4bS3Q~k>71$px=eY zbl~42NDahoaNW_~p>V~<2d-M)<14nx5oTC<^|!7Ol|hk7N|BjpO zTVCDoCmH*(j4ax#BDOdnf8AuC&Bl zdkuYW*YRM=Pc&>r;8c0=>gZ$Hyx;1`wH?2YL0P&Qb~lgux7ZwyA$#E$%vXwruPcKH zB$w!4IcCKbW7^qg-S*jIAGCwdPHQ#&UM#z<-S}tJr4HU%T2rK=Z{+!QUoxAaOmSip zJn@~WS$Z4y19Hl|aWbfldfu<7E*N#unRd2yLeYUD&M-*4uim6;(Wct78VKX>>KsvI z@j1^s;Eq{*+#;15c(xZdQ6oCVR*Be{E}%NNh+T-X^YiL%ITW8Zq$Y{tho0SHDoJV+ z{eby)<@i&pm#x-&jPpIj>J4{g2T*;+W#x$U8ot?`zfaPU*~k>Dl8Q0M)mz_x+D2?n z%1hpT{-D;OM}R9LJ)_Ol>Hdl-nShQ5^S3DyrBKg`ickgq)*W6WI(kOoZ|fmR%uSrl z);Mu?Q(-5}zl3^OoK2os7cHx`)2a2MU~T&;izuippH6r^$eN9p zu1TMkX?IZLAA)#SjadowE7T1yUj8!qDBUG6YP9jijJq~%%(kIejamh*3`)&gQ_)^V z4_-FggYHx|zi{$@7+E1a?A^*_75SFp-1wqu2->QRvYD|_e}SB@3>sdVuq~+`tJ?A2 zsu|*MHzlqLay_;I$)G1)gQ8mpa8*6|?IN#7s><#@*Ltfatmj#$F4hqi__prTW%xO7 zbS159bE$gfK(oRw%q5|wj?GuS@VlDksDg;^NofH?Px5GhCqq?lqHVs#}C z`Gs-)G~qk_$8UQFt@cAXOZtxgUL?!i5GegWaWeyHSkw*AwTdW#rCV^Xm& z^6EBw;5!Ji;T;AD{EtW06@O7yE~FuTp*styDOlFE>}`#?6N~bkTIUZG{P)UIMrIKP z500f?ZkTfWoW&@F9sPKWXXT58_M1eYjmK*4%nWaE3T8TA9687qntq#@|F3?NgK_GP zQ)Q)d#OohRNLr)eDv{6IEZ5z1cQ;j0#c z7>M0gjh%a>K61xureN!L)oeO2hRc0)&u#8Z5W;->#_wWKP^#Ml$|->VpXG4JuJX+? z>two-AuF3yC7TzF_o3$#fsytGJNrKmkW{8WMh_5lUS*wOPqHgfuciHW|JHXM;qUC~ zVKa+@ANy2v_YUEMS&tKndsNhyS3b2oF0MPsLbzrMOJq(nmUpUk#F06=%+(@YJxfWJ zg-YR+zJ)SO=;vT~`q8Ljmq_leNHQg1!ULA26}fNVPws7eGHkJ)`8e^=msZqeX5>qE z$Y<}TH6FM>y^we4L3WK@6%cE}uicGpV~3^U|32TAK|axyxq@Et_{*#07wiTOu5w;4 z8twF)6L&Nods}d~=O89?+N_imcFU;b*3$)e@kmhMH=e)bit3LQN=^-#^0J=Sf#UvK z`<#RQRTcc;w#$`2Wf1Q3S=KvtsUxM3_FE#>Ry`39Hg9nBkJu!Q1YeDK1CM`ZAAiXy zA?Rtsv*AQ!K@7H`#4Rx7^{oY^q~1aK`Ka?Iff5z(8p!GLec#i~QncfIG)*m=Qf__u z?DX(l-?^+>(~@@$&QN+*lZ*7`L2p`q-o=?)F6yR6B5|$dJ!}4pO6<$YTRnVPHqLop z-;5S1?CuR@h?d`X1@!W^dqHcAe9Q>NeSTrT5`E_UtytJ<}?ZPln4wukV){H^w^AJcF72s-1Su zuNN=gLMZMbU<`$$2m45D&Aqg#TRJ9gryueU8;J)RrH}Id41SsXSv0e}ex$5Xzx3do zW3Ts+{e#NtklFZJ8wMX~B+K;TB_nV+{#l^Ao#VivKl1&TrYz$jin9s+_(^Yaw_M${ zJe22GBRwo5E3NjeXsh-Sa@uy}GfK|Ic|(pmMlF=9+qT_(xSV|WV9ahCbx%thU!rII z#!Tig+d=qcQ9YzMo?m0v$(x#95%Mrl_w(HF@u~}GLQI$2XmJ!gQZC+7tK+R(`uYC7OSPyw|HG|sq6%jamKnQW_#j08U(Wjqt#9O0Y92P0e0A`4$*S&r zB(AiJ*^pDN-@#n(%SiY3P}wzVCwhlNGwdp z`x~cg&>-AVv}D5)&{w6@hlwf19_?@HT4e)R&V%ap$&R8Vwh#`9(-|dH1(~K>uQ!k5-2;! z80)E&MS7RYYe`9bh`HfP+Tg#W?e^#q`%|6F9QkUhQ`#BCfL79H5UA57O;Rb^^}ejg z0asO{xk& zADg+V5*@0iW%DLPt5X~^=8g2zGG7tBi$*iBB|p$8Q~-DccCQ zhY(BByruiRma1!O{;CyC@+k_TFme7&eZh(4!Gn~IZ?$Hakh<25aN>dT*m)i83di>_ z8F}Kv)x()t%NNF0?jQgDy0!O+_}P6+8hLAC;C8~dy+^*HmVbFP*7Jh3O{O(XKSY^D zAKUgo|Gvl~+V;&qYp8uISGSn#+!$Y=%qtu>nULUO%N0g)`8J$y9D%}N6KkDd(8+4X z_tA=#_e0^D5+TkTyogJB9=5t+I-l~yDnYG*p|?sv=o4vE->;iQ!PK{xZS4g+ybQz5 zZaEt1x001ZxmdL?ZP}N8YS_(Njxd(Yl9_lhUSa&kB}Mvt?O9f6uqnQK+(X@R3q89k zFskj!C74dP*L`0OkN?sA$4V>jkBc+aiK@kr3(?NHnCb6m-}^4HIheN6Wi-AYHZvSM zKdH9RnBMmyi_=#2D6{(U*z%0J<>SEq$IG%^5{_NsB{qvwMn#IEcqP+#&xsdEwf@-J zCRCZ6=XE5}CCjBZ_{up`qnCyy@7$cBC2mY_q_v7th#%#`Z)sNBHr9PN*x4~tmBHMC zjaq-t0tJ_&zWglSNcbBsmpV}EV#F96*;#Y&?@N0rZdh21wIf@0dQ-pHjy>4E_PMs$ z2WJfaQf=3J!`G<|Ia{{B5DecMpCsqA>Gt#SlGToMfl(zU*c}Ba} zWOp0tzL@ccJ9Ei}TQAG@$!*1w-$%&yrKP(_pDFpAHq|?M-t_FQP{NmtzqeeLqr;SM z-7+4_u<#ldMn2d^dRAse)sjjh4gYvLy59ah& zMHw-5DUPP~7&%Hc*iyxZJ;UFR{d%eU{~vmRu2 zN4YyT`eTZ{BxBLQHkET>E_|@m_XA%eVI8C{!L5vN^PYFCbk3r)8QztS+*Or!nZRUw zKOYV@i38!AdiDlYjpINhIkJ%5{BTImxptoHi1@4KVd9IM10(19EPNjJ);ityE>l*y z4za$)o>D|uPW~|voCaN%EFSry7=BL2df9tOhq>WbY@vB%Z{2Bk+1feqWUZ)UhBQgY z;$0&zuS+OS12#EJ?P?7BZ8_&V(}dv2TOH7_-m$Twd?L;(NP&e`3aQ z&b2%qkNf>`e_ZFf9CYNak9CFq{U(Visk1CAx~l7tGLWcHKCEZ$kT*GOY%Qawb~H32 z-0^k~juVSW*=|1}W~}XeKHD%~{I6Jd(RfzLu)X^Fn_#m0{Z%Xww_I#Q#R zWmDRJ9_l4t<0uH?CYhtH$1)5|D=f$MwSO7Hpk_5_971UHI*)P7d#m>fsk|FL(J!y6F|7 zbBdNtOZDsWlryXa$%fmIj(QFW2B?szc6sJnl%is9xYbxNQ`>dk!no9TECxT@Wn?sl z3$P+TJ|VQ#QH`uPwg2J%VNxnPKBn|fqO&kA^S>v7dp>VTJNrA2bxL8} zx@sGaTk}e)=Y33%OJqJ79w@th+jJ*RcqcvVZ0(o3rk#g`{+7B*;Mg7mS0O~3X*9{FvDQE)(MSn;Fj?Br4}g0NX^dsfqAQKQ*Mos?Y1vk_O*|2^*U z^Q-8Wne+C36rYOTg*oRn#1EcHaFA}O+?CueMgKURnI2uy@6@LGtzyVzAbdD-^}1=g zu$%BNOTVYCJuZv-xr(j_(4b@RABQxTf~3d(yRP4#2|9ssQIJGmvE&t-FY`V(_)55m zlKc9;-6|DS5yRYED*_lL=Z`r*b~2)3ABQ zN5W_2b-flx5!215gS{GK-tyc+kI~;&OdK=)um`7;>RuzOs*JoVoTMr-94h zEX6vC6utF&*~~V)r1hcPG1bY6KkIiba0^!-Y`2G$tjsbGnRTZ>J1(fVRp8ud6+u1J zLB8DnlK8cvOGRP*8qMve4osovuIyEQ)wuX+pZj5_qY@coal^+Fjr;9m{TKc05ch^=4r zT^3NaZ_TFFJ;F%)%iog*;YCkDb0F`g#$o&~lf}JSDY03Uxkz)`m2Vuu$8zJ6a(ZW+ zw;uE~OIugWq_$pMbnw#nF!aMY!ogzk!)~~Y(0TIEiH;a6V+_Y*U==HX9zo1o4}>1H zt#=6Oet)aXNnvT{r^N;H!E?rfAGIdpAhf!@yv%sV;$&g-&Pewl4ULJO(vk@8M{0Tx zWL>HZ(hmjAC)`$ZR$TwneXm0AN6K~QlRi4Fk8DFH@jX8Napq^+G*%wS#3I72gmf0g zz2!#Lj(8)FEs_i6WCo7g#}9No?u$%uSl396?=CK33MaY^Jw8A->Nq#mf2hnpe!RzB zrp=3P_LXpZu)55C;;LD9!olZRV4+(=hMw_};_Y$%Imtx`^zG7nEoDir8JnSoR!UZRJq5u=!Xbo)Vi=km~G3*unK0V7Y|D^NG zo#yz?v-Y5qw~$5b{9jIN^s$!sr$w$S>i+Sa?#WB zhF!kXnsTeAqyeiKD3z$$3Q#U}ZH|3T;hUZ%E!3dt&%3BY<<5&I2i|@>EvDcySlKVr zwoBXU_HusFsD*W%WksRQ0o;7b~2vSs7Ky;H8X$?%uE6A#bFc z7W3|4LQv+<*HURgu~l2#esjG7^4&Q5$)X(<-|TBk49STA9h?(>ecPRSWHR_<=}G79 zX~|NRaVlWDBoz!=+EtXMv#XD_qvij+uVgd|zXR&dg_w9I2)`Q8g|xpijhe~+78R*lmc`}3jUi+O7iE+_>P z#jN^K-Xix_;i_q{i=x_@&6lHI%I7w2yY$KIM(*G5;ycuKeU3j;K71qly-~jBxqU7N zZ_254ZvDTjko{#h+-^KAj6ct=)>(bl{7Aug%is5`pKkFATky@*A9D|?eY*N9B&t+e zve(YS#IQu>R!>x7<7BV&pF&q!kri&>T~YTNdFAWxRPxOl+hl@WESz0#=xwcLTh4X; zsdsvFH(uAqMRfvIa>Ud+*hw$%Ar*XVsZo#?FWg!-bo^Tg@Js#q7b)ZmNi|7486OupY| zUUW1vL(HP0AivKkB)>r-gfABtBfI^;F!QXJ6v2B#+1m{Fcs>{;8U> z_)<$;LXJ~Vam@?C(R*|>KGnQcP%Z3+Pu`VSFc77TkgGcf&wes%aGntfo(dbtvCaLU zxAk()g$LKQE+?fvyy9H=uedvY$agw$(a7nn~Ti)l}AwHjsbNg%0 z>M-)7F5P&R@DXgQ;qp>X=X>lGPsZJ>oR2Qg&ZLJITs)EJk{~2|FmJE7WK_Pwtzm~N z+XJ8GZo{XZZ2Tj2-8^?OUh{B_^e$Q1z{jP3-zS)du(ugpk+JeiFqd}t@3`bO-HhFN z-O@Krhcm$<8Ji}ZAps46t_L@q_qT;~T=@H5cm7$}oTkaCH=hzyx}95+w*_7|?tZ^4 zYW8_dtNkYC?%srtR^}d`qdY6N8(x++8E#d5DRo}h*dqMmebip5eesjR-sQrc#odo? zWYZIsZM%-;@5?njBlAyCmi@8eGehRq_3&`Lj{+9|0!wZEk__jyWX5h9$=D{mHjZ+B zEx!F8!G1@c`Gaoak+bz8d*++INmQK{csAv@=QHJq`$wDq-k%yCcb_ot{w@7IVDF}F zapDzQ&syXdqaP^<8Lf7SyIe;YqC<7tDNjDdi}ywetED2uyo%ZAp9)6u#@h`CBkNT^ z;RTv@`sRBZbH1N}uyFUuQdp>zI?pJmWkORZAi?T)zrG)L%aAvH8*_Bv?|UeEfwDS`;>80&&Owaxh*;RQ4fUf zSW@QR?T?X7N@(r|=B)VNQmVZ1GxPfg*1K-Jx$zo%sb zPiQ*irN_C%9lu%9^N~;L(p#=qg;tcGi0Y0%V|YzEM6y@;`+V&VT;UM&qgKJW0#30)WeHT1pe;_do%r+qimGKK#;xb+)-#pbi&fpqlE z_*c@N5jSH0`W@ae_VoGu$o5a&Hx~VQ-etO3(p%>?b<&AfK_PB!2H*>C3 z3Ancvkm<+!aH($4BRci!bEik>oQJjN^qCy5kForrzZ&oLnC3sIvF3eEmWyxhJt;B9lJ zF6Y`ecLDy#ghz{iqwd_;W2vKg*@XYO(!{9R{!pGC^JWaYf9iPdU!kO*x68kZC8X{O zwUkr7sd4!-{oTOit-0~|5Q(9NcqA;UhA5Ie-Jd-uX;TSv|xKYelgJj!VB&(+#k%a3bHx3_p`N?2^ z+Xuvr`&*AF_?&q$;6H~r@LBalTU9=|tzVVVr|tRia@7*II8XK_#Hz-<`^B0omk2p* zDHZ?d`hrAkn#F?~dLdfpykb{%`K2d}uf&!Km@kl2K8HrRl!^9*-BR~9YPfuRi=vEH zLs#%0>9C*D6MnvJAzgZzI}Tkt+UT_0;PR_^y(Q;5OJA-n@;*H@EhfyU*y_13iTsm{5Q(VPaGCq5MR(E8PV@-0vV$Rmt zMOWo~&|$;jsdw{#_h<+&d==RD6?-BnZgot5pHGKD`MWch#at!-R@os`?q2u=_7qi= z4hZSa8p{z2mp?JX&(x63`YWe_9;DPcA2SKOaSruzD=pOJgPu$-?Ui(d&UYarE3LI{ zrpK+$U8^>Xzd0OgW}7=XTT_+ovX;L1)jDZ%?t;0&Z*IkRIpaA=Rid8V>nHLjE|-$R zw$g7}m+bKF2)&Z)_&2!6U&~4AqS{04{!7jS1%9^|7d=@rn}t5cJ{_4*781G(U`%+0_{<#AWM zf4+YrZ_Jr=Hu@e$s=HSfYT+!GFYqE#)o^>q8PcXGd7-dAk<6Z*x%s!#B~>@aojm!& zDDKXcIr$$q{#gs1+S8r06WG{EcEOVzmjJG$wB#^RNltRfv&P_x@8uYy`IWfm)iR3` zQrJ`Kh0c}=zY+>0!k=t=7j9iG?!?}FYyIiHefjKdS9F{|Cy3_Bn%E3F74Hjc-UCNk zcV@{MUfnrz>$lX6AJX>h&jwky>t%15xIX_-*mGnp;+0X4$Svv50n97B?AptPUex?lc;Vxu zlK0P$bd}Acof<8?RJ*J9^AD4Hmp?g+^PkGN$v(rnmcrs~%I+iIRc5|1{N4`#JlekE zG9r_9_~VLE2yZjf`hB8u7rK!p_NSwcRefRU!INq`)`e#iLW|ojV7JJRbL>qZ!!r++ z=%we$htF#^Io}<8!S?@K**Zt;9DJLD)yHD5k8F4P*t@IGe&t~LOZM-53p4CQ0qW zBEvKN*9U&@^s4F+X>_Gy=cf7TCER%^l?E2998ewb>DX%X>Y?GlbnfD|V{o;@OnTn$ z8gdJz(hQwI+o#{dIJ!&#m_@)oJY3zqt=xoXT3ds>%s^oa+~A$Ifh3KN+)fjE=N)+PlTqXLT2K?&BFDMwyLBX=uMMbZf>{x zbbACN^gjAVdc%j%+hA3uj`hDoP6gv)TU(%qS6eQ<9ouo^TbS9)P*T^aZ+A$esb|do zoKKA+C&wNCdIF_T^)C)9_^U!tBeHbIR{=+}w`FoA^5>T+JZ(hh=WSKS{)h1JSzM&v zJ^wF)uGw>IKk=KG>O$V_O?_i^54Uh+bnzcI$r+$LbNmJ4V4Ve61}k z!u{dlcfzg3c7n9gw=bZ){b@ey2CQs`I}{cL$!bePuFf20Iv$7a$#hCQc1#<{;-+q` zie8l*k+kXeTYh;=TX>W-ysWsJAb_qkw%M)w?Ap5HG8#b;u>PXfl`+lmpQW$%uCn$! zRDV1>BRvoIjCGxU>l+p)u$UPqRsG^fBU3X`d?*6DZ1=Fp>GvM&@%7EoDi(^(=a)x= z-TeNkM*7d3T`v-oY6>>vyN3+F?ji{6cSPv(Q7;5tV_@~zJc&K+)bMeYExc8kl?(|D zEs>V!adl-MVknPT{yc{3#NB%z)4!FKBuNf7!@6ixX>pGjNJK7BC@Xm-mSv@5f<8#y zFVK$lz%M;4N2Bqc;#teOon5fUTHu$XB?Ih>*y<2xN8K{M0WJH?>wy+h&5*!;Tzy!y zK=um!A7y=eki>*h#RrMVUaG}QUfo!GgusKz`?D){(vU@v>kNFR5JD)!r#rbbb#ZU5 z)vO9n=Vtf8i*1?F>b@}a`YV*69V|C3CFIDvbx8xVK?j)>=LcD~wSS?!YhK>t5?=742#hn;~{=*`+FW7dmwN>~TKe=Bu zCYpo0m{y1IJqtqzuhc-gKDPL5#ZW$;a?WCoqr7VLi;dz=gHfwe?f$VI}rT*$-$OHN@8gWh7d_^Sxh zdb}fbK^r+f?FBX2U~Be3rB-MdzL3JgI5vJ2$mUX`htbN|MhC8+QYuc}ewYwXzkh(c zY?lM?Xr#_9dw`giy;6C!g?Ij;lg^T^pVBxXoO!f~|Jd|@^M&zyj;)QPyFTm%M#jrF zI7~XGt$qNB&}Vtd`d4kH)E_NGNJO$7kFJhutp*xR`YCl2w$Wyu@JAajt-q0oTr*(( zb9cW5%un-hYX2S7n&2kT+UQ22q6ms`+(aUB8dp0e*1+}NO9QcqB|Ct|_B5I1F>rYf zK^Z#S3Ms5ayXW2ndsZewiK|LAiUT6TBlRd*efILSNJ~$Hv3#8*jyr*Hu8cI$pXMZS%*5% zZ3h_ME06-0VW*09zrTn}VbKmvn}U979S<6LmTT*wPa~;JlJE9GQtN?Fo<>J6esz63 zV#N%{lz{%4&ubG%6;7E^5>YNup!HKocP08OMto~;K|b_2oynmR#4HN&|Vtp z*#A1g72|31mDi8{WCxz7g$WF@K2|t!O-%Wi4*sL-y~ly=5E&vcP8rKl#)3Xj1sX7d z>$2*ls1>_ObQ!@f({n|V#(4}fur9vcrd76)_Il|ioaKsLJh_Y4Kg(8QV{A%91Bn>} zv`<~k=w6}|ylM>LC#jQDH`tI8j|!swtd!vHZ!k9fSt}S~<{>uGG03fj31&i@-^pak zO-xiqg0R3w+5Z<6&12sT7LejumwNbzlW{W-GZ}Vy6SOB=%WCj%)}s~nS$d3Aur!aL zs6u33A4J^Z%&$wBhK8bwuDe>D+=M@j>Q_ohphK%N6}p`&w4lrPCr}2cwPt+YEAHSsZp}+B%}y2m5y3+_LQhV6Y4$nu zv2USDCH!q&;K6jd{Hn*M;t!dZ-hze`;-5WJK4*rYJ;j&jgn2PWu;M;W@ zL7HsXXNke_IkLQ&x-JaQPr+xnf&E>E0>EZv#dJEuzey*LW=^3^MI(iA@`q;XMuRPf62`q6aR;6#c?n1kGrbg<@Oq~;V>E{n0EgDRml?4|M{%-e3Q%22SxPs81fTh-oe{q?C)4=2= zP}PJ|#ER7}c*$U+i;H_3;iooa{BL>@XeYABGy@Mm(Vm!)Xl#lWMFNj+^5yY6J0|~~ zE>tY|iuY4Gf~+jX3qA@GbPV!WY9%^xpT;FUl!RR;0aT!1d!Ee^c&Q$=mq4|-5+o4{ z2{A#q7yj+xI34OL0#U~E0J=oh^H&vC10MsRGYitbLjByXI56XI`2 zdxE>z=c*Z%ZOW)J1=&#&g^M4-asC24fDf+*Dl|4#Z8!>z#GUN0m?Q@^^an3KwzQ5% z6O|O*fAc9e{c}IBV$TzfGtf*_{`3U_ecE96GI|Gj8enKDInx2|ji#@t^csLEn5fYv z)Yh>*HEBH(lPREWnb9nG%LqC>@;pF1Le(D)Wj4xXQ#LmO7zb<7czW2g3dP^X@k3w- zXDX$V$s-T3X|b#hfcfO#%%PAKf4K?Jd~SsZi?M@Kpl2nkB|?Yd(Hh4Xrjc-{C@c}V zUdiTi3UJRLW?>$6UE3Yc*;spqEEBy&8 zYLP4DyZsmHx{l&%zEb4JYqWv?#iNi)#WTUM959F};-xioGrRPH|74xXM7C$;#TEEy zfF_9B>y{o~yy@CXM=DK^qrk%~nkM5K9kHu+WrXT|ylb93Kh#8DFbIFQx-`^iJ!p`| zaM)m&X5zA$2DJL__Q2h7NJtW;DKZ836cP#!5Xf>waqz)^Ev=GTNqKPYQNG9E||!Q*#zz{_l$mb@)!=EUWu?!_47gg5^Wx3@{wK z#aYf5%RhC2N{~45{Q79H&Sp&=zT0j%1x$1R3@J|IeB>qW+bmweW?seyD5WA{{UGgM z+8BcYVC=$nL5gk6-iyFtgKAru_YyrZ>J#lCGfF{(<^{Ua^AiGZQ%fbp8x@4>wtKkYDwe)K*t zGE?Z$B07>_7z3;w>nlXa?}EWLgTTC?9!R4O+6A^K``83soVMf%3J&Kj+Z`lii27=H zLifmBH1L6w%;>a_=?|y30KYhj!AY?(V`+Te2NMWA^ae}EyV{d$!lxOwb0mQ(?A@1` z5CKE@tx-I(~LuuN*8#9$ZEqS!U3g*yDW!O`XRBtft;9w;F!@ z!ZehGkwODIak-r-_!>Q ztWz#P2>o^V9cGHt9v!Fh7O)HgshJbiQrFgOv4QrXu*w)*z@&mK1_RBSa7n&fHAPsc zZG2b|rXSr7E}_(n6B;0`nejTGs)CAHezRdSE{4ugukG^1@>qc3rR;*Y^s1X0k~M&|)(#`aV``4^JSA zypdE9UXe!vYu2?D$DFOR`2C?+`g(ZMRkV}>+LF1JtR)D$T2xp72+hO`fvfE^tN4vJhu_;4^_eMK$c`sIcwODi&FveX> zID7>aMyH4a0`DF+?@|7;H2pSXU^J*EtcwacPTO z>tIiY#x&z!3Luz82;^67KeAdG^{76_6*J>~Zw(c-k>pdd3!}umZ5lPl>+qRmb^s=P zoFO$G%}GYl#oqwVKBF~F^dY?_gTnwU2AcmK^A!+7V)lW@dt>}8E*--FINIjQ6`jn^K9?nG)0P{+rHt4>-E5C zu`H##NXtvqyk!W1MO35({yn@%fC(sge-UiCl~h7GORZ24-o{lC;0CG?phkWnl5+~U zK&AF-$ty@}124#lld$(PaT@`!=;8_h-{d9@TY^4=A2Vp+#sG_eSwg=Rj}S5%sWo_h z z$vdCIi(w4KX(0|3A=#WqzNL^=A}>M!x2u^7cKK;Gn_SQwaMa~z0w4Pl!?T` z8v92HBdLoW;_Al9E1@d-93fEI{Il}}JRZEOs5oM0BTnSoA$pwwt&A`s5d4LA&#ulA z4)6ew3t#(1>fm1{U!d8oaoT=F;tqXB`3Z2uH)0wq-G^$a&r#6AF}Cs*p9A{FjUI`P z;-WK$+k&o34!rhPV)JTx7=yCYH4TcZn3R4dJ`!LyS^c8?cc{-fXlZOyoD~a8yVlSW zoUNf9v5kQ1Dj(KuE;&BAA(1>2WmO4tn>I*2N`uGmy)kl4yn&NFv&{b_VBpKmrmARO z#x7mqu1ORK4Bq?kM+5_T?x3RGz*d{rM{qPdX+jCZw2Mr=bEXeTFy>3>a@S=*PQ1#{ z-26m|`+8#%u+Xb#ZVGs$=NZp+yLdRG6XnefW2`bcc5O699ndUjsChD&e^yNol?wI1 z_>QJYhZ+$#w(bb!JzSCl1L{NI!Q zG2GC>oq5I(YN3s=%H2EB0KT!^%<5t;QdlZ?$?6S!x#kaqvjpH>NX1YH$&7vl1Uv5e zok8Be;Go?i7{Uzx7^=x(1d~R0yW6Xd*gi~}p$h90lemc-!k{O{b2~{`A}IZPxx()4 zw0d$dPjSJmX(nMYzNfLGz;$6nlb;1f_^N)S-+#)>Cs==#XJHh8$aPX%;TOD<6SpEN3nW!2mRbA; zRv#k>;Ie9MQxyHQA^tG}ZqKSl0BUCHIm~#$w|_a)b`e7ut+j&!kJb$fAx(apAbe8E zL5bzA7L(|gLBR3q^6pp9Nb3_-h<t@${ei~4>z3JpyomMJ*&GIf z$0G#y!{WdA8ya>;NA>b?Qc~O#3{XzFdn6&EJ=g6w6C@nibJA3@9jvy65)b-U^9OaB zP1Yv}XdFeE!79(nJD|kbibb)rupc#}pW~D#lM3w-XSOO`&z{N>Lgk zq7Nmu9tg4;(+0f#Zl>aMz#!JSJ=E$&BQy$kI0|l4>g3oGu~be)6Y4I^4uB8*MzJ|- z&$xq85_r-5LxeZedCjuO6n&JZ;B;59AakW>SrhuZv`BT-*!Z zU@K69u?^e{%07Nd-yor0m~jWrdvtW!E(6M#LaXx0k9gMMd{;Unl)_}VaXl_^*KM}W zX{QJf7FVM21Z68F=*(Yju5A#za*;lExQ>@}yd5=j7JmGjJ5hI$zo>C69G14hRVuLE z>(D9CM>`&n1+g|Q)s>7fA62Px~#6|yP4!-eWp$%#wEW7fK4D9P)&WR@z;&9MbYmHR6CCX^(nfZVKL6J9;ehf zVUm;iDG{#eGk1T^vQlSRp$YFenE0_ zn=>}T#7pY=1@ZyT2KCZlc^~7xt^>TBZ*PSXw!{7-d(wdn$L4(AT?dPRwk*J#f=YkE z=DS5YsBPOAc_vcYiccVyb*y$IcknPv{Vb{|6kr{%fMDvgb0W~9u@dMB;@50|^3*G3 zstKN<%<5laea2@Zr)5yLvmkf_1{cstoXZGWP3r?LejHRih8v*kluy6)f5 zfUc=ecwNjyV$vR*;VL+ki;!_PK$(TLAa;s2NAiq{WM``*N;UO9s2oW;qWYU3TvWXpbFvGtQbpQJnl6_t_ z6bQ1~yGTZIy8oNB=htW%2Y?rogNMabP_~WS$-0a1#WsQymx7+Q~3w)2!8igh5{hpR=&ior_dE@ zle;mOD8rX1q(+ya3E7MY0|w*xZF^fxA_wiQLIhec8Tn?s(%}pAtGt3!&?AamY`r)M zuMMGt;{1Pt2Pq4Yp2aP5f4}Cw1u_7yCf?uCVmkWTMuaGWwv2I9kp0QQj7uA~e5P&% zPjMm#7Lo`){RgOoEV_r1zwagr@$lpe&=FH&EkA)3xNF)2*amsi0=dEt{{6UbvvF%(*0}> z$5v5^kl9EjX#62cfFqD<_V*))k+N!ojj{pS{whldQ#NC13G&Ps1$2$8!TNe^g67!> zbUhZ&;-!kVYcjrce1pjC=83%{TgHNkTR025}kAR@2VT;rEQ2LHG2C|pWhu`AOae#uuDCGXqF4ttafOJ?4o zf}4?`s);1NhfWv69+MYGfGj|X?$Sc(Pk19&+2+O6b7SmI058O@MYyGoZV9dPT)mZk!Q zg$kQo<-*3|nt%+iL#|4M;p-B9O7k$n-#x%Q6UP#!`~XS;Cz;FJIl(yBSOPRibQstA z6`zm<>XMIOdOMQxgco#lgB75u!ktFkdoG)#I86?=eVH>vQ`xsbrt;X&#z;0&n>OM< zpTb`aZGgOiT;B{wydgUmdT0R7R=1v`FaWhTu{Gk2|Jbem1>xYn^B$2-`pTt7kR0>s zt7dDXxpz~6n1U_=x|5-I7mWN$NJ|DmXKc@?lAS+(s>w zOMUI(yUN)L)PxwA0w_Ack^A@!W+oeC1Q^Br@3ww-*9ms$YBpdJPe?I64b z|Hh|KH~T@t4g7moC*j+ZbmoGG_Wevil;JB(t({yX$fufN^e;%1D^|bp>rB{SVgnom z3Y(L#sR(X_eCrFLa$i4cA5S=zFpw9h^o`KN<-OyIM)E%Z^Zg3}6MOv=QUX2=4zTB! zYyxK>11M?4EdV_}ASe}DB!N6{%;@C>7fUvq8ey;k3t$5YuKiToF4}<-AsF5df8AIEz=bY9 zF_GmDK775Q;T~sm7R{42bD0n`c^CHz)QsrwS@Z5_{Gqg;u7hkrO(aZ1b!8h_GD+;Rs6DBQXp zgz`}y_xX=XlIY}=ONbAz&rv0?`dqdU3L$ZgU#W253%1Oa`V`tvhmHHRG+3<7An8Rn zsk1p>;71tkWEN%L#(D@^6IeB>JBHi?z>78EFilh&BkTlr1xWoY?v*mr1dt^hSqj?z zm7iI!Ik{m$WAILHB7Y0K z6=+ARkkL8<3WattwU~A_b=L;(02a3bBHsT>zW-@@(ZWCNXe&O?j z>7?K;GK4FLW+3ju1BWo`9syW1CXQ^WbzYRfsZdD!1)b$;06z+YM5;8NlPeWJA~i); zJYIRqu5i|h`{uwOv_^2}U$Z>-(Xs`k`1YfO|8waE!WQoTjj|L!B8+^ufvP_~Qx^tb zWmdj`PXQHbrDQj?s<|&*bJ?x|Lhy-EFmap!?r>;~u!~OzL7@Rstw8*G?Y&G67J{Fw zl|=Ey{%kZvn2C4=@7uTo@-@%;0n7r!76v1i-@b@+XA{A-%r60qZ3|eP21t3r*SL{t zyb)BnJqHM~VdTvX8&_bN1Ytf!3nUrbBPvI9Ibd$XAgcw!UJj`am;x8phlPg-;z|A^ z;9`W82KqVV!_@jjK#dgd$%56dG#)D42$6{2VEGNK3Vf>pKHF%l&kwr9_xn=9q6f99 zX(CI{FoZNgsMzh_6HTY7^a4xaX0Va{NIHD80e;~1N*u*0_v-oL$c^u@)^&$iC*_$%~D>c;>7#s3$^!%2TMN3Ev%=~F@Htm?+m zr;(mb`)23oJD;P)N_EC7)N=kT80H^SkvsILlccZv`)ty;j9H(z<*o_}E|%a$Zi~c$ zAo4ZoVX4-U-6?0@L=-kuKT1)K7d%C3-D8!uk}xnJcSOxis<1+MPwlalD5}!_qu(53 zs8K6!0X@E_4h(b7sbn$21B{)sIGV&Dz1oV>{e>}8V#e-?|K9!!xc}+9)ws9RtW@bT z(=DalCtTkn&6R#N?eFV1-7?Mg-UfZyLJlHh^peM;&AmYy2InTF+ANPq;}vR`KJNg`xO1|K=nZIyumxR6}h%<@w;5rz1V-kMwoxBo_Y)7 zv+hN>3%~Sn!w>ijqhe*EzGuY>)!wI;+ZTT+jvRJ#6|NhpjQT#?sX1S3Z*N5Iyf=7u zvs5|b?6sB~=PGSGd~;6~lJvj-^2!;!{k5?0lZ`@}s*#J7ySZ3-@t2tO+Ndm}_SX2? zXe+9m!EId)e9XUli7BMB_uU1`1aDjQom9@(mAd!{xyhpqAN}~4f$^ll?Z8G-AUJ|z zIOa#zX|WguFP#)GRnoHNE{Eqb>Yv@2x};uMX&A<8zEP7X7W!BKKWj$NM~-oIKra@Xu7r6um4oPr6XO zxog5fqwUmIDd&_o5{5oK+OazBP1*s2tKZ|JzS#MXRL9p|N;Dk!H;{6(>R&83+@jR zMfIx>`(9Ff>^#$Y)w)*zpR{<2#QxQZ|8pbJsyM0;VJ|l|(A+9+h5xqhwODh8RAKyUNeU=&3K4D1vRypd3O0ct zwX$2?vMbLSWk}SzCzdC{!X|PlbJ(mw583-4%Yn^sk+bjCpp+cdDcR?^iS3Up3`ksk-lV zF;!niG2~OtQt#|U>){{B0A-#}at-iBPebpl{!M3AA&EMVYo-umL31T;$vt+=pnpqV zU{KLrl8)$kO>f5Jo>RlaP8z6!TK28%3Z2B12zxhmZsiNErOe|SGWNJVr&3~qRDC9F zJ0i1OzbT6C;g!x8i%xyHUT>Z9Dwv}tA_M=>@rSaz%YS|s^y|wLoRk&il#15xPsw_H zwl(+LfZ|z=l8!w#sDh)(bAu-fYE!;K$vZU^vo$qJr~0q=9Tu$*6|K**I_+yeQjM|v;WyoZUXiH;CJ4HpV^pQC>!)krjPcUt%bA0ut!|2k4 z>Zm17ZTy>uOu*3fu2ieV?mdqa4Xu=SPqv^{MMm0AQkz5EQq89MN0XinXaiE6*-N)MjOJYLOGtUup%P1eRGx4)w*5nK_SuxSN6q91ZKkC?#d7af zEN>R=UGg2Bezm%(JwPPwwmw7CA0zq0>K!f6DKOGIMM>+2$1jeepKQmJ@wO$~C!`nF z0z5G_evOtRuyna6%QhoM*^%dgfGA;eVJwMf-qqdL4wbkw(nDQE+ zkJZX2745M-*W@9K<-YrcFJs9sZ zrm8CSv|XBxUfSyA zsQZGu^}J)&LoL7JR|Y+Uw+{8g2GuE-=I$8W=h}-rP;H4(`>-H0`0mt0!z_`whYM}{ zzNwwOW3pc zj4B3(;DMjgYO+&3@T~W31JWFQoido6f;NA0O+q4FBpWNC*+B|$D!}a3V_JpXafPGDMrVZXo znO&pDR0N!7l(nGD8w{sefr zk96J6DffFlf66t?I=Lz~U+`>gszs@ZmcxF=IG1~#kUcAZWLRu+`U7Qm=hnF8qE}R% zFP~Rr7sazHUgo=PPkOJVEt4o=HRmaA>z_^0ch{~yuspQ~vG~+pFjUc%x#PRv8o546 zIY^kfZCQ5gniyV%dzmS@jH*wXh+}O*JWi#ou2mY-L2e)_8dvulmmsU(`l>8?<#y+k-LU25#2=MJx1sH z)g#zu`25sgq<%5=9jUoZy}xD z@t*VOMlOO}h^NN-O56)w6uP1wT_k)j`0^fp2>b+3FZn$_-ottO3|GIx>NlEwIR2}d z{e7(+4_61Sj;E*BYcjgGJ^iM`$$R)F{Q784?q_r%UI@y4fjF>-=2jAiYdo8{Ahltp z?_9XV$-DXlbTU&4 zJ)0W+cK8tX+rWB;ck5hCBp*n98ug8gZZmuuD>Q?1b?bMcDNViHH>+vt-MqzQv_Yyl zMf@!BX|Uu&>W+~=!+H))S&!}{{3TY6N6dH@O#n0AWX7WE@dwFnh_G58VZniYR; zt2nngSFwA7{Uwd_5~pgctsa1!@z!$=z3BcYih5;<pf5RWvxD5lv!>tOAJ^XT_~EW z@ceU<@SF!q+ztK{uqzl3Mt}>zF5p72Gq?!s1TF?Uf=j>-;8L(X_$k;9Tn4rUmxFD< z6<}*{B^VACrr~*d7X{CO#lRoI;^0}Z0C)i`37!TEg1>^n-~liRpKADA0UrkeXE9Wg$P0(B^TBWf`70~ye+q=Cr7s$Zu-n{w!-^}~i8>J5FBBI9PLMOjyl&5m0wdYQYKoztt z{hSIc*H=1Y`Bq8#ixHl_e*P3JLp&kOdY-mDY0qlcpOL#nt<=uo!@IwSAsP%t zmtCbqP+M_;fIeS+bOVZkP!R~7mRZdQ{(r55bc>mrw+qj}_s02ZxRJNoXq=zRuj&^0 zMaOv}1}m>*b>uZ8sWXurYgtLICQ9ezl%-|X^|db@VS<5Ey4XZiUx7F4BiN0>iEd6Q zku$&Z9Ws;y9xKigoz%y+FZ!ja!4dwA%i6fGk{O-0a_L>!Xrg823LWd4{Gzc?6Nr?X zL&h_%9Z2m6&noDwl%CF{q5?P4Rs+%6wI}DGEoX8MxW^o{wp9287#GFms$e7$TV}Ky zV&dM`9W2Xjz|A(tU8g-u*=do}o=h1U-PUWU_uuZHfvJ@z{0k=~ur{O5AY&UpC$TIe z$X{unpUsry=hF{AKEl+^6JC6wJkE!T-{wGM?+tYVIb<+0J0oi~+;j%iH*BYVvN3KV7>73-@U2k!%nKfHyHly=i&bM literal 0 HcmV?d00001 diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index ead8e2aff1e51bb78d25e79dfd07c3d3bf7532fd..d42d098719f022e4b61e80459f88a217cfc438dd 100755 GIT binary patch delta 923 zcmV;M17!UA2aX7kQ-2H+00000007_v00000001li00000000gI00000004Lav{*ZC z6hRQ}T_eCmBujt@NC*&@7$+o;OKK1pde$#n_i#WH66(tknblj1jyKgTrHdnH6K zn-7?6VHE!*`Da+lVE#L>k89%{ApYx^(mDLn@RG^!_HpBv<7If2!R#Mlm#Q_M{Rj9* z{f{t@e0zvL?|AJ05dMWv1OEv2A8u#740{=@-Z}E=MD*<8FA!h+ExyNZQ#}XZhd#Y} zm@nvz>p!ne`G0dh*4Op<$LGWN&ZmKQR=w(aRGdH8Uk`r|&ufxe@l8I~Kli>)rS)VQ=%{{U|H7GX8zP@cpERZ+7O#@tm(d zKPf}>Jy{8%mG5uNr}j(r=lz=VaXjRb^=W*2`LiU#rGNVL{G0hqAJ+dpu!C>i4+c$| z7AQFEjQiUZ^gIrNg1L#^O=Z2F4KqSpTO_lBuy{F?E%K) zRppa0PtN}s{$G4O*ZK$Zy`CAK;AfCQQoQZVQqiw*Q$TjD;uw> z2iy61s(<@-`J?^3sei4v#xuXFPqnx7sdkQMeY7pw`hU#xq~ezp0)a-_*NNFXuaJeRJp)@2@A&g``-UI0Z{gHi?=_XkK;X`J+CXMzq}7^>G{C@v=4iH z@A3KI`3z6b_wYYLy>XuC`j7Sd1o#VI|GJ;Vd7NR_LG)%k=F^*Vy*+=n&rnLdKki^2 z-+w#xJQ#34CEYK|_nzsi&rglQ=N_6?@w}D>g(ZgeN)Om2+*ne8LwyOdU?+I8Gi@$#QzTtQBNUje6{~$zv%Jjdigxq xL%#U_&&XE>%jfUcwqEw(ANvvGGau&5>kyIiFOXl7>;AlHKGs{{egl)p12XyY_p|^2 delta 906 zcmV;519kk42>J(*Q-3TH00000007Jb00000001li00000008;}00000004LatXDg3 z6hRQ}T_b)Gi3uR%1RS9)LJ}lwa|8kq7a##4xB*DS5P2;)0<$+j1aJcqOvq1y9lBms zS6Po~dKWxF@AlO1)vKzWnQ+Q-LmxX zm_6g+d>v15Df#r`FA!gXD?aw0A&-2RZ_q6|hM)BZk=Z49xE_q3HV zl<}L=(Mk}k96$TtgWk;bdH#CwJU_$VWYGT+Q6<6(WyFZ!obKbognKaS`1GX5AJ$9n>U>)u}@JaK;37A}8|fPd;m=3T8~uWwqh=X|4n$KUVc`{NQ! z|2!Y%w#0h`d3?{*^RvnyZYcMBEz!4l--!9V4Sz3vzCU0e-*Z}z;>GMQLLT@(gRhWt zyx#sA?Bn~3>*4l|`mvnt^*oDw?*sqB^JV*5zYM*U?%_A^y}`bmPZnq&_)ERE^m(j& zM1TLXew-&-??c$f^Mvv6p7X2cvB3KK^GW69%hoT^Um|{dpL;wDbQ#(=;yj1`>s8Hv zsNPJU`?cr440^fZ`=vhrX!`XZT>|38vp?R|C8VYF45iUU;qFB diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..3d321f6e4cbb78711f77708e4f31cac1ef8d7e81 100755 GIT binary patch literal 13376 zcmb8#FW@h=`cj-Q9_e3U-U#-QC^Y^#=Qn zyMNy!TtGlTK!SgZPyW03+Js;eflUlH3D~4y1HmQ(8w55v*c4z>f(-_n3Tz12)L=uw zrU9E4Y#7*dVAF%m05&7oOkgvE%>p(n*lb|4gUtapC)iwI!@)*?%?&mW*t}r#fz1!L z0N6;dQD6&#Ed;g**rH&Ifh`WU1lW>bOMxv7whY*4uw}uP16v+!1+bOCRsmZTYz)|H zV5@_T1seyp7TDTg>wv8bwjS8}U>ksK2(}T}#$cO(Z3?y-*ydncfNcr371-8b+kkBg zwjJ2^U^{^A2(}a0&S1NM?FzOV*zRC^fb9vk7ueol`+)5WwjbF3UAU02zC+J#bB3!T?%#?*yUhXfL#f871-5a*MMCMb{*LDU^jr>2zC?L z&0x2H-3oRa*zI6X&wxD(_8i#rU@w5Z2=)@#%V4j7y$bdk*y~_#fV~O!7TDWh?|{7v_8!>#U>|^e z2=)=!$6%j;eG2v&*ymthfPD$}71-Bc-++A!_8r*wU_XHU2=)`$&tSiR{R;LQ*zaI} zfc**f7uerm|A75}!u!{FB>~ukU=x8&3^obaq+kQVCIcG;HaXZ7U{it(2Ac|O2-wtM zL&2s2n-**s*mPjigUtXoBiKw}GlR_nHY?a{V6%hG0X8StTwue&Mu5!?HV@dmVDo{^ z54HfuG0001Zob8=&Pa8)N#^3i-oczA=&H2AXkQ{JY8fa1*l^3Y848F7$ zV5m7qiB$Eo_s%B90qSR;;k?62c^7=X@!sq{GqbZlJ3ALQ*>HRoO-EPh>&j34cE5Y{ z>S}Z|JUgpI#kEiA>~?ZJeO-}PQi=Yak7n8Y_Wsr1mM=sH2T?8g@obVszb`LEQhOD@ zlIYERaygEYS`x+0swCAUmRdAOXP+kLY4l-qei2DD_$4|?&!XOVlI3?+c7KSXos0C# z@aB3l&2ERcX%=N)Zu0TX(vRsZot~$a=&wmS%dgzLu2dH{tltt%#`$@@jgTa^aeA=U<(*L9Gpsvdg3;)_+~V{I2Fod2bk=4&*!sKZkQ4~8RceMkZ?}= z`=?FLB+|}@!D-A{MAFIUyvK~jzqc{q}k#1Xq=8It4KZv?+3^IH! zOK*pl`E6fcU%zsf_=oR491jnEJs$QB4~~X|dWvODO?PIdLpmDTE#X5lj+#diCH zza}54WS1b#zTJD*`<1eYG`pNWF3l%MvJ0bJa7kQ}9yv^;**voJ4mpJ((eC$tXKmJy zYKtf5^i@NmUFK2YGJXxIc4?JMd^IGaMQK9XL^3KeJ{JaSNJn=QA5%t=j`sWe2h*}+ zU|e1dtRc}Z_YmYJ0?Br{%<7y`B;4-R{4=@Rsk>FHT-jDf(#@kmgR_WaTf`SGSl1n@ zRnnJ8vUx?#MXNeeY(M?jPyeQB)w)ySlMXjfhs;M>bVz(fNlgW-I#f{c?A&5+AO(H+ z`5>Mplu5UGm6K4zsaL{9qz2N^;@PPwY9J9!`(3KD(Lfq1LI)?yhMR;)qewyvUkYUs zX(->Kyghlr`;!LJYcY4644O!;MW>7G?=>AuRc@eem8x)MWfSiBils~1bn0&=j=6-*YpLYsUWjBd+<>a*+rNXl^6(DHXxSR2b{`$2qvG_J3rZb_)&o{~SIHh;+rmHs~JqeRgk$uS-M*=!z zk1RV*%|G&>y5poEPUanaRK2&UW54$2g56lW_U%h|_Osb&db?yk)_9J8DhewfuV+{3 z__3KsPbaa{sUH`@C3X=rxz&@{Eh&QTpA%zxg$)h!Hm$g*@37%%kv|3xPZe&0Pq_LloeJxBw!GnR04+kO2ikB0SI` z5E3ccg^K4d9~6;okRk$6k)qGXKR?7pcmhQnVG;76M<6buVNe7DBMJybATq*JD1wO* z1%@J9!8l|q9EWTJ zhinDvkZn*MvIVR|AlJcT7y{7_4Tm9+?%+WTfpACBrRR$t2zc;(gh1v(12YK3E>tvw zK=ML?GYBLvG(3Yq@*G`_{(`@Sr?T5Ic)&!)$bRncms? zG?``d(Pbr?&aZ~&*O#}&&%CVeUioe9Gx9ghucm7QmHUrhUc3MBNB8fnJ^v<~KW;Gk z$iz-{?YWjA?eU^`a-E=6vT;kx;i!ed-~2E~o4(^jN#`^t`6*9i`nQ zc-|gs6Lfi=YJ?%arncWvo~N2&cq*~?lzIrB*OYxZm8e@TRAcvXTlasPy5DwRA2oEJ zYUlocGxwpf2RA=x<9bf#_Dx&~qv6)H_f#{CoowBH+o2wU=QU+tOsh|EJg+Hx^{I#8 zxi@KlGEfUceRX^FsfXa%Q}#8YdI+BP9rlf+Bn;hiAA8lLkLPWN{Z>{z z1kbV8{s^rdhNslYw8VTKhNsljVtJlY!v>z)xb|zR8Ak4_+d~oRA$YE*>~o)b2%h({ z_HA5s&)u`9>}&K|AbVMRPpQ8O<9Rn@uRe9-!E^4juM*Tl@O+43zowEfxaFpOjjm=G zJbTK%kE>29o+G+_<=GAcolNW_y867?b1%Vu-zS08==R{UdI+97dG_Z`>MvM%-p$yb zIJCp)x~LORiJl5$O||SJdJ@K(Qh&RlL{9~>@3XJbC5+ssZughxsb(1Ul$wStQGH?T zki~vCquwTX_LO~`io=Lg9s4zHf&`qv`9)6mb3C-%Dzb<@vtJh3M&)Q6~^*OYxWSO1!y=Ps^&h1d#X ze`a6y#9_21)jv{Fo~P75Wb1iJ*@r3hclJE5DSP#)dw!l_X!~MXJp|95GIvxQMm5#4 zUs5%7nEJjydoE>T-@#XZZNaln>?4X=s-8oy{hCq_!E@nbzdutS;dzcz=8lTP5TE)d z$4U(7Vf3Zz_F+mr1kb6@K1`{9bky^jvfs(7hv0cl*?US&ZhH2VeVkJNH1=apJ$&Wv TzZ@-Y%7){!-5>q~qjl0qTG0{o literal 2885 zcmV-L3%c~I4*>uG0001Zob8=$Z`#Nf$KUU#uz0_PW1gin5>4nX+hmgq(dI>Ug-CGj zq9i0sOw~%O{p{C<6x@XMfBpw|#v}Dzi{r!i%$&#hjXAh|jK|ZfU_QBz-d27Yc80yv zH}{jr@zqr&NUwd07EiN>`P+)TkxKB_atRr9RVA#3HK_-qXz^)w9R=r;>)Swr(Qm2&c?+LX)&kU2d1&}ZZ| zjmFrd#@I5E*`-9b@nlPblGp(f2WP{x)Bf*_$Sx51KA9UzpwxiGca!-oxhMtM&9Ha9 zTzm{Up~!ZU`RQ;Hk?{=Xv$%I1f1-pU>lvJl`X>uYBr>4m;nB$lN+L3!VRSQ${+d!U zk^KyNN8Dp0^GTc~xrC7QjH3ADVKR-V2M>`2_1NhNk^QW^TZ@Z4$b2q`$E-+XKl9Ng z6(~YvJFDmBd~j$MeYk}bBKt{<{9^cyTJ9mTpWblv=jd1`dm;FSUlt`Gv-7i10 z>99c($C-K^nNaFC$t8p=XtmhMoE;fZn!R%7(=duc+*)rS>sfnaZqggbfYN8@LR$kF z(0te*aW>gN7L+C*v-{3zR%mi<632_#)iREr#&^kWUq8=Yd9J+2AI>kvgWoU4{gc7z zcy!Ub7*`HQJvpdu{&FL=x>GEC24u2{rb$I3gFWv5!RE^iWU_0&&*c#fgUX94ijl#d zv%Q6;S-9hBq^3c*X5T+3PW%JT+m+JL-)nfyjDRPKA<)tY?)vP!f^t z91o8N^P*i;tvDCeGzzX<4$v~nPRZs_%b>Z)HpP&!rpw`ml4ufIxlE-68zl2tJebGS zvTY$-O~y$M(&o2GfIQ=!;;D%+GeqcTkst-Gvdv||vC$VJ~Vibtd_Vastf>s-aqL57r0 z5NSvq*tkFDro3yG(Q!u9H8LVjn_ZLoi?hqFNx$)iD!;nOg3f;()D|Hn5>{VBuKMc2 zJ+J;R7vxTK&8XoRlKY4?WJ06k zVegdQI$DLiIg;5{wKM{{*0x^}8#Zx@LL6BnY6rw7seE@;Dil!?p z5Li(VbOM1Do+%PYtf*>72(75fXNZw#01bgCiDJi23|SH~_k%DA4G{|DN%)qtK%#`E z=>+m5^a&V&K#2xshd_yD(@r2#LUWk{p%M*FBM>Z6w6X=VC484y%y18qqYyLTgY-`j z_~1Lc0*McPdq5!bpeNxke!hqByQAkOX0&0D&Ng;=ryLu^{Z!n?xuwJu!qqSgB^MKKA+H zjy8Q{N+1$K^8o^Z5Y;(oh=eFucY#odhAI=th0uJuKrTdcQcxfqqTt^I@*&SiAs?e4 zB!V}@cL>Fhl>%xAAuGZIdm&^+XwW@`pa@NnhmaDX(c};kBK*0u5b`04bC@ASHuzVk zm@yLM4txk93C&r12uXlVazTd8F(t}egeZyy&ApTATNld(Q#q*T9(*?e<#{nfiilI3LRv1ELUYA^@YUCR32 z8r+uG?lqXHbUo5wqeN>kvqk2S2DeRvGH7tW!MM?2vrHQ7`e?=5!ngz)Z2A~Uy88{f zSPgEW9*;D*g$7EX!OnhzaihTuw_gGkZns%{QsI7sE;yz3F`a4d7yk-QsrQR(jvQf` zG}ziNzjvd-ErDAC4Te63lCVr`Q1+WnN0DT|9IRkJ=%og0Wm<#{A45r4s_x95Rmtkw zIG$YHMbC0R%@*-;a#soF%lq;5!`)NzE!*zyMsJz#Nd9PfKhF$QwqL)#w*B%~_a9`Q zKTqb*IYM8FIH+cxEB$EKO4+$i&??EDmrb&fHz_Zt6o&h*Yt*P7{NIPpP)H(pWnrgXEJllSRzEp5I%iSa| zkD_u}HanVX`Jt)0w%=HorZQ&-O06l$1;s5bDs4q&IiGT0_^E@66Xo-8Woj!M-1Y0JUSdFCl#la2BzSsHWcl=1E#eFze?+se+PP$)H zZ9j_s+%wUZl4(EsxatWlFq!tFloj{kJon6Zc{HWw+Y9vQo+Q)miLl&P6qZL*E%yal z%a1HUz1dUXn(_m5avu6JZ)q*HqTG9_x*tv4%#TvL>$v%mPN`4f6sIY5d-O+lWbYKw z{p_prdCTG4y{6Rf)v&y#oTrGPCx@GI-yv+N==a3>-0#ZfzJ0npno@&P#c4`iBU(-p z-LEM%>SNhYxo>!@@iEJO%DJM{==6_ddhd;9_bH+$jSTgxw2ISI*ALg*a<3`%tJf@- z9VPI+essqg?!&n{qp+O#xVOHp9|f8kaw$$zZ9i}{bzitJO@-?2xaCsTc_T^GM>Z{I z3C>~AF!vW#%A+YYkWk#3QiFDuJ-Ty6so&~g*-g2}Y;u3b<44i|J!e1n2O}&M{eC1q zY7( - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index f52674d..8ee9a02 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Fri Mar 19 06:58:03 2021 +Fri Mar 19 07:32:59 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -47,13 +47,13 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Fri Mar 19 06:58:03 2021 ; +; Analysis & Synthesis Status ; Successful - Fri Mar 19 07:32:59 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 281 ; -; Total pins ; 69 ; +; Total logic elements ; 260 ; +; Total pins ; 68 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +-----------------------------+-------------------------------------------------+ @@ -164,34 +164,34 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 281 ; -; -- Combinational with no register ; 178 ; -; -- Register only ; 34 ; -; -- Combinational with a register ; 69 ; +; Total logic elements ; 260 ; +; -- Combinational with no register ; 164 ; +; -- Register only ; 29 ; +; -- Combinational with a register ; 67 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 106 ; -; -- 3 input functions ; 52 ; +; -- 4 input functions ; 96 ; +; -- 3 input functions ; 45 ; ; -- 2 input functions ; 80 ; -; -- 1 input functions ; 8 ; +; -- 1 input functions ; 9 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 223 ; +; -- normal mode ; 202 ; ; -- arithmetic mode ; 58 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 25 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 103 ; +; Total registers ; 96 ; ; Total logic cells in carry chains ; 62 ; -; I/O pins ; 69 ; +; I/O pins ; 68 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; C25M ; -; Maximum fan-out ; 103 ; -; Total fan-out ; 1009 ; -; Average fan-out ; 2.87 ; +; Maximum fan-out ; 96 ; +; Total fan-out ; 933 ; +; Average fan-out ; 2.84 ; +---------------------------------------------+-------+ @@ -200,7 +200,7 @@ applicable agreement for further details. +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ -; |GR8RAM ; 281 (281) ; 103 ; 1 ; 69 ; 0 ; 178 (178) ; 34 (34) ; 69 (69) ; 62 (62) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 260 (260) ; 96 ; 1 ; 68 ; 0 ; 164 (164) ; 29 (29) ; 67 (67) ; 62 (62) ; 0 (0) ; |GR8RAM ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst ; work ; ; |UFM_altufm_none_0ep:UFM_altufm_none_0ep_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |GR8RAM|UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+--------------+ @@ -243,12 +243,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 103 ; +; Total registers ; 96 ; ; Number of registers using Synchronous Clear ; 25 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 49 ; +; Number of registers using Clock Enable ; 45 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -272,12 +272,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[2] ; -; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |GR8RAM|Bank[0] ; -; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[0] ; -; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |GR8RAM|Addr[9] ; -; 13:1 ; 2 bits ; 16 LEs ; 12 LEs ; 4 LEs ; Yes ; |GR8RAM|DRShift ; -; 9:1 ; 8 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |GR8RAM|Addr[20] ; +; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[0] ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |GR8RAM|Bank[1] ; +; 5:1 ; 8 bits ; 24 LEs ; 16 LEs ; 8 LEs ; Yes ; |GR8RAM|Addr[4] ; +; 7:1 ; 8 bits ; 32 LEs ; 16 LEs ; 16 LEs ; Yes ; |GR8RAM|Addr[8] ; +; 13:1 ; 2 bits ; 16 LEs ; 12 LEs ; 4 LEs ; Yes ; |GR8RAM|DRCLK ; +; 9:1 ; 8 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |GR8RAM|Addr[19] ; ; 8:1 ; 2 bits ; 10 LEs ; 6 LEs ; 4 LEs ; No ; |GR8RAM|SA ; ; 8:1 ; 5 bits ; 25 LEs ; 15 LEs ; 10 LEs ; No ; |GR8RAM|SA ; ; 8:1 ; 4 bits ; 20 LEs ; 12 LEs ; 8 LEs ; No ; |GR8RAM|SA ; @@ -304,7 +304,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Fri Mar 19 06:57:57 2021 + Info: Processing started: Fri Mar 19 07:32:54 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 2 design units, including 2 entities, in source file ufm.v @@ -312,21 +312,20 @@ Info (12021): Found 2 design units, including 2 entities, in source file ufm.v Info (12023): Found entity 2: UFM Warning (12125): Using design file gr8ram.v, which is not specified as a design file for the current project, but contains definitions for 1 design units and 1 entities in project Info (12023): Found entity 1: GR8RAM -Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(247): created implicit net for "UFMB" -Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(250): created implicit net for "RTPB" +Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(223): created implicit net for "UFMB" +Warning (10236): Verilog HDL Implicit Net warning at gr8ram.v(226): created implicit net for "RTPB" Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10858): Verilog HDL warning at gr8ram.v(110): object RDout used but never assigned -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(252): object "UFMBr" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(254): object "RTPBr" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(354): object "IS" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(365): object "RefReady" assigned a value but never read -Warning (10230): Verilog HDL assignment warning at gr8ram.v(34): truncated value with size 32 to match size of target (18) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(124): truncated value with size 32 to match size of target (24) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(128): truncated value with size 32 to match size of target (16) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(133): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at gr8ram.v(351): truncated value with size 32 to match size of target (3) -Warning (10030): Net "RDout" at gr8ram.v(110) has no driver or initial value, using a default initial value '0' -Warning (10030): Net "WRD[5..0]" at gr8ram.v(336) has no driver or initial value, using a default initial value '0' +Warning (10858): Verilog HDL warning at gr8ram.v(86): object RDout used but never assigned +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(228): object "UFMBr" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(230): object "RTPBr" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at gr8ram.v(332): object "RefReady" assigned a value but never read +Warning (10230): Verilog HDL assignment warning at gr8ram.v(24): truncated value with size 32 to match size of target (18) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(100): truncated value with size 32 to match size of target (24) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(104): truncated value with size 32 to match size of target (16) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(109): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at gr8ram.v(327): truncated value with size 32 to match size of target (3) +Warning (10030): Net "RDout" at gr8ram.v(86) has no driver or initial value, using a default initial value '0' +Warning (10030): Net "WRD[5..0]" at gr8ram.v(312) has no driver or initial value, using a default initial value '0' Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" Info (12128): Elaborating entity "UFM_altufm_none_0ep" for hierarchy "UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component" Warning (13046): Tri-state node(s) do not directly drive top-level pin(s) @@ -334,18 +333,18 @@ Warning (13046): Tri-state node(s) do not directly drive top-level pin(s) Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "RAdir" is stuck at VCC Warning (13410): Pin "SBA[0]" is stuck at GND -Info (21057): Implemented 351 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 25 input pins +Info (21057): Implemented 329 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 24 input pins Info (21059): Implemented 28 output pins Info (21060): Implemented 16 bidirectional pins - Info (21061): Implemented 281 logic cells + Info (21061): Implemented 260 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 20 warnings +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Fri Mar 19 06:58:03 2021 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:06 + Info: Processing ended: Fri Mar 19 07:32:59 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 +------------------------------------------+ diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 1ce30d3..a19806b 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,4 +1,4 @@ Warning (10463): Verilog HDL Declaration warning at UFM.v(72): "program" is SystemVerilog-2005 keyword Warning (10463): Verilog HDL Declaration warning at UFM.v(188): "program" is SystemVerilog-2005 keyword -Warning (10273): Verilog HDL warning at gr8ram.v(109): extended using "x" or "z" -Warning (10273): Verilog HDL warning at gr8ram.v(335): extended using "x" or "z" +Warning (10273): Verilog HDL warning at gr8ram.v(85): extended using "x" or "z" +Warning (10273): Verilog HDL warning at gr8ram.v(311): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index c323180..da0abaa 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Fri Mar 19 06:58:03 2021 +Analysis & Synthesis Status : Successful - Fri Mar 19 07:32:59 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 281 -Total pins : 69 +Total logic elements : 260 +Total pins : 68 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin new file mode 100755 index 0000000..b748cdf --- /dev/null +++ b/cpld/output_files/GR8RAM.pin @@ -0,0 +1,164 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +RA[12] : 1 : input : 3.3-V LVTTL : : 2 : N +RD[0] : 2 : bidir : 3.3-V LVTTL : : 1 : N +RD[3] : 3 : bidir : 3.3-V LVTTL : : 1 : N +RD[6] : 4 : bidir : 3.3-V LVTTL : : 1 : N +RD[4] : 5 : bidir : 3.3-V LVTTL : : 1 : N +RD[7] : 6 : bidir : 3.3-V LVTTL : : 1 : N +RD[1] : 7 : bidir : 3.3-V LVTTL : : 1 : N +nWE : 8 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : 9 : power : : 3.3V : 1 : +GNDIO : 10 : gnd : : : : +GNDINT : 11 : gnd : : : : +PHI0 : 12 : input : 3.3-V LVTTL : : 1 : N +VCCINT : 13 : power : : 2.5V/3.3V : : +C25M : 14 : input : 3.3-V LVTTL : : 1 : N +RA[8] : 15 : input : 3.3-V LVTTL : : 1 : N +RA[10] : 16 : input : 3.3-V LVTTL : : 1 : N +RA[9] : 17 : input : 3.3-V LVTTL : : 1 : N +SA[8] : 18 : output : 3.3-V LVTTL : : 1 : N +SA[7] : 19 : output : 3.3-V LVTTL : : 1 : N +RA[11] : 20 : input : 3.3-V LVTTL : : 1 : N +SA[1] : 21 : output : 3.3-V LVTTL : : 1 : N +TMS : 22 : input : : : 1 : +TDI : 23 : input : : : 1 : +TCK : 24 : input : : : 1 : +TDO : 25 : output : : : 1 : +SD[7] : 26 : bidir : 3.3-V LVTTL : : 1 : N +SD[6] : 27 : bidir : 3.3-V LVTTL : : 1 : N +MOSI : 28 : output : 3.3-V LVTTL : : 1 : N +FCK : 29 : output : 3.3-V LVTTL : : 1 : N +RA[0] : 30 : input : 3.3-V LVTTL : : 1 : N +VCCIO1 : 31 : power : : 3.3V : 1 : +GNDIO : 32 : gnd : : : : +MISO : 33 : input : 3.3-V LVTTL : : 1 : N +RA[7] : 34 : input : 3.3-V LVTTL : : 1 : N +RA[2] : 35 : input : 3.3-V LVTTL : : 1 : N +RA[14] : 36 : input : 3.3-V LVTTL : : 1 : N +nRESout : 37 : output : 3.3-V LVTTL : : 1 : N +nFCS : 38 : output : 3.3-V LVTTL : : 1 : N +SA[3] : 39 : output : 3.3-V LVTTL : : 1 : N +SD[1] : 40 : bidir : 3.3-V LVTTL : : 1 : N +SD[4] : 41 : bidir : 3.3-V LVTTL : : 1 : N +SD[0] : 42 : bidir : 3.3-V LVTTL : : 1 : N +SBA[0] : 43 : output : 3.3-V LVTTL : : 1 : N +GND* : 44 : : : : 1 : +VCCIO1 : 45 : power : : 3.3V : 1 : +GNDIO : 46 : gnd : : : : +GND* : 47 : : : : 1 : +GND* : 48 : : : : 1 : +GND* : 49 : : : : 1 : +GND* : 50 : : : : 1 : +SD[3] : 51 : bidir : 3.3-V LVTTL : : 1 : N +SD[5] : 52 : bidir : 3.3-V LVTTL : : 2 : N +SD[2] : 53 : bidir : 3.3-V LVTTL : : 2 : N +GND* : 54 : : : : 2 : +GND* : 55 : : : : 2 : +GND* : 56 : : : : 2 : +nRCS : 57 : output : 3.3-V LVTTL : : 2 : N +nCAS : 58 : output : 3.3-V LVTTL : : 2 : N +VCCIO2 : 59 : power : : 3.3V : 2 : +GNDIO : 60 : gnd : : : : +SA[2] : 61 : output : 3.3-V LVTTL : : 2 : N +SA[10] : 62 : output : 3.3-V LVTTL : : 2 : N +VCCINT : 63 : power : : 2.5V/3.3V : : +nRES : 64 : input : 3.3-V LVTTL : : 2 : N +GNDINT : 65 : gnd : : : : +RCKE : 66 : output : 3.3-V LVTTL : : 2 : N +SA[9] : 67 : output : 3.3-V LVTTL : : 2 : N +nRAS : 68 : output : 3.3-V LVTTL : : 2 : N +nSWE : 69 : output : 3.3-V LVTTL : : 2 : N +SA[12] : 70 : output : 3.3-V LVTTL : : 2 : N +nIOSEL : 71 : input : 3.3-V LVTTL : : 2 : N +nIOSTRB : 72 : input : 3.3-V LVTTL : : 2 : N +SA[0] : 73 : output : 3.3-V LVTTL : : 2 : N +SA[4] : 74 : output : 3.3-V LVTTL : : 2 : N +DQMH : 75 : output : 3.3-V LVTTL : : 2 : N +DQML : 76 : output : 3.3-V LVTTL : : 2 : N +nDEVSEL : 77 : input : 3.3-V LVTTL : : 2 : N +RAdir : 78 : output : 3.3-V LVTTL : : 2 : N +GNDIO : 79 : gnd : : : : +VCCIO2 : 80 : power : : 3.3V : 2 : +RA[5] : 81 : input : 3.3-V LVTTL : : 2 : N +RA[6] : 82 : input : 3.3-V LVTTL : : 2 : N +SA[6] : 83 : output : 3.3-V LVTTL : : 2 : N +RA[4] : 84 : input : 3.3-V LVTTL : : 2 : N +SA[5] : 85 : output : 3.3-V LVTTL : : 2 : N +SBA[1] : 86 : output : 3.3-V LVTTL : : 2 : N +GND* : 87 : : : : 2 : +SA[11] : 88 : output : 3.3-V LVTTL : : 2 : N +GND* : 89 : : : : 2 : +GND* : 90 : : : : 2 : +GND* : 91 : : : : 2 : +RA[1] : 92 : input : 3.3-V LVTTL : : 2 : N +GNDIO : 93 : gnd : : : : +VCCIO2 : 94 : power : : 3.3V : 2 : +RA[15] : 95 : input : 3.3-V LVTTL : : 2 : N +RA[3] : 96 : input : 3.3-V LVTTL : : 2 : N +RA[13] : 97 : input : 3.3-V LVTTL : : 2 : N +RD[2] : 98 : bidir : 3.3-V LVTTL : : 2 : N +RD[5] : 99 : bidir : 3.3-V LVTTL : : 2 : N +RDdir : 100 : output : 3.3-V LVTTL : : 2 : N diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 8b0bc60fd6c164592e03c75a45e4b221c021bc7c..293423359731957c3e8326b790c524368ca9428e 100755 GIT binary patch literal 7879 zcmeHMe{faRoqte+?v4VEL&qN#qFb=DTQv|-8B89iKxw7uYQe4>QY(;Um81odR|xkV z-5n`9gN|bh4KzvJF|5Lb*VfTg;(f>230Oyx)OEru(EE=3Ft1B^_XeKtUGi?u`R?bO z`x2F9BtS+BZIj6kh?mK7CxpPj% z?8@>-*KBB7_tcv5ho5}v>GIj-kAAy+<(eOsFIv5B6F4IiBiBI4qKB8wxvS!_*%cKJ z%#C~{@>f{;Uj3$Zo1R&-I#Px``sdon)i;_i`r{8;Nkv2wfB$3Ls`|&*z5j(C^07fP zp4R-T>R_h5ZCHs6c@=J6XR59-oeQY1)G(Jm02Q4qeAID*e z0<*4hE#{TvgC61ouO#1-fdd>P-*-LWj7sH_VtPGF$!3i&O7a=(AtvjHT(t}90XKX> zNv_s2<_FLxp@@U-b7kjAj?u|FQ6NV7y)G5h*}$e6iW_}+318zJTqR?Ys)L-cvG;&4 zfTK$EnCFt8wbRhYizTyx_HW=zn36Vrky)3hp%wZTitX>@1EJ!L}=0Qn0Juv*8dID)my3i<8gb z2czHHMPhLxE>9>*T9n9|_#*>28U8gf_;2|W)S88#((xkvqT&fbwz`yG@c+}mTd&CD zRZvaqB^hU-o>o?Iop12deyocq>}u9?W%d!@;aa0^{*QvIroMHDitTPv5Vt6Wh!S-& zi_-1OS5|q2nshr)R#9`lK3Gz;+5S@gsEtj2&hGWetN$;Sk~RftHbPFfjsWBx99`x< zJ!|!$ik=T9RX={+j^cs|hi6m__nv$q(WdeFdylzGW?_k~9%%LN{>|6X`!vkd(_B`KrUFGSUofaP2f9~AQQ)~OO z?n6I!>!;6aee<{T+TN;sqO)a>&~%4$@zQ)AIITKwJg*U1gmpjbmF%N-ro8o@Y!{SH zaX&BVIOxdd5*_dGuk+yH&IKQH9M~+B3>I-HQvt4Q$ z?+=|te)_OE%h^I#nA}*R92Y*iK_0FO%;(};BgMOaS zb}951Ws`6CYw|koQsasPqdKm>rwSsFj8=yA)d@Vz129E{L>8b0rpQ9MYJ7=sr}ZdP z=mfPSYqk8Ck~zrJ>-6(a;~2BC57LFAox*-nIXYkE0RZbYZzFpgX<2^`8m9LT&66-r z>H1Y~mE<$TRR|lq-MOpKZwf4F{Y=Rp*Bbeq#g`|kk3RXtnmX#wdX~)`*!r#I@%Qf? zzNS2K!1yP6_3&W-Cy$m*9i4JVH`QeEnKb^TSsOj&?DYI_5M3)N}82*~Pa}M>lcv{CR{cAVQi?yDArRAlK z8&Au5drJBr`R>krZ@kfa=AnW5)yc}5*Z=m`74zqPXGiz^&_6r~+HyW?wMR0ApxL!` zHu|VPcrNrG#a))l+GwuToP39J$afjo#?SDt@{pO54|KurIe|FBzo^86$Q#x5m;;|cJdwAg;|F~_#^jK$aGf9A;5uXYh^Nc+x*(N2 z<=T?o3H2VUk2K;*%Ca(~@52V1PE58sq~HU6k0~&YbApHb{J0q%pQzg3 z;(if7i(u$`L#rd1n?(Vk_6zjyz7&$Djogx+L?YA!9xG};)*9T;;B>5W8Hf?RE>*%) zNv$vH75oE4-HHocPv8$^mT5d&%9t-g|A+VvHL!3VXnTB#8wo~@qw#~hRiOBCbV1ma zJfV~&`t-V{YyS(!ac_!yUe@fl;a??8d~1l25+bl&%PYX=S^CH?Ac|H^%$$#s1q^zz z^<5{Fd)ElbkWh7aggsC{OIFH%D!w@tiea{f=({&gYJGdyi#M7;xg=az*_3(;A7rPEJq>n4}oPO0k- zMHzXOzayaXRBZ@Rb$#36-lQ zM>(J(5y$ZpX-KE_MAX;4u@!YDFV9PfyO2wsC7FPpDe4WF_ABbIq#rl;NhWtWZ3$(F)N*+~N^azzi{zw{C89}&zhjfPeW1ouw=520Dk21%L9-Ql}DFFP)!_2Y2lnye0E- zS>u2|J#ukMN3r^awh6`I+m~c?9I1nYe%oswmo55u^7IJRes(OneforJa&v2E#7!Kx zEL~cdQNQ<4|GEC@vx?|jESh|763Jg&e?ars#5Mhny@!tcxqbRJ66OsUT3Vo-b6h=DSnl`iQf^mz)n_j`I)qTgoV@pT9msim(zL9E$RRY(PM6@PIm4g&yh!C=NpQXd7i_;@B7FzE`bBoG5$_p-#_h4nvy}2 z*1sCKm)9RGNmF)6=su+!;->G9^V4p0mm$yQc)qka`Vs5a{vV^`{@D~?o^tH&5laas zuwL>XC3c#9{}9^YKp&KVB(CSO2KAQ&y`#wV^KEn@Ji+rU{KW#~jR$)L9m58LLpP@j zU5>CHIbIhB|9RD}^;gmg={0i;b@AFd+I+xI9?c!cQx769v*?4kcWHbYde`-mzt$2} zl@0qESW*zpE9Fn;mL&@YpIYz->%C8NldXRoJ~?`EVSJSuzGiVI^iT9F3*0MzaAw}7 zLwxd<$EV1x6IR7nP0meQJVRdt=*{AkZw=Nh?2~`|Puh9gRgoL$-S)jR%jkxd>r3~~ zDUrb?6Tp9%e|6$j(I@G-XZOyWJACsmwi)}r?_cqJ-;%oze|Tg^|JudX*X=mp^2$rG zSmuUO{f#j9nZ0Lw-0Zol4-LA%eDjgl=ltD@TW`Jp%@?-APdd(=s~}1v?NL9@w7p^8 z>G})l>!pfT(Ps2Om1Pq5|02$(0P!*O5sy5~nbS1Ln+$(~16YnA>LI^$_5J2u?JpdL zKZZPA*A}QrGdAG4a0{JB_eIDh`dtx8Zr)F5`iPDr_oRgUG-9vg6Bng*&`n$jj12n@ zX7qmKvtAGN!zff237JpPJMT~&a~*#lsWzq`MlZC*nBk96G_%pr42BicV0CLu>`3xFu~>AkIdtkRSC6 z`f@+t<+C(Q$9`HX@jT9b-iGgvd>Zoez(;=>qKvUyv@6|j4`2;9KCL&NH?@5nGavpS zv6&d=+D~jife8=xq5!RI>^VN-lbz@?^cq^zrEp|fj^~|HJd>3PYD1%IO}$*=7wm$U zd%2^|7j5#p7i&nwo5<_7;FGfkpa0$s(lM#h(YPP9+my4<9@{D9o5O~`qqyK+wfSOd zTI9pqZag`qrtIQRCarjO>hQ8>7aq{(f1citp0a+Gnl|yn;HyvmQ$^WNhBAfiUmLFZ zmj~2t&^wDgx2T`q_V~?Lyie1A@Qs#3bi<@M3x4#u{oHE_ou`s|qJ^J+{r59Lzwy&M zBa1GcysfZc>gV>!*Fyc}vYv0(EiSa~*i+xMn&n!D+wH!$DuY(1g)SKWbMCfN^@F{u zAAEjrb@lw-j^Dj|d|vkhoh@%6pESPUZOz1O`$Vh=Kii}P-d9jBd>Q&z$8l9o>UaDptI}xd3(qyu!>dU2XPwVLFgU=c0D5wa&{Nl6 zsV{4KsDFSsXgc~2YG1EkDDj7Mtq*X76yaPy?`ho9I(RQ%ztk7U@bx~^518S@$6w%* z(Dh>cfORp3Yy4^CXnYBe_?qA7XZEA$N;u6&`@w$?<9*oQXySqwCORI5|I&E5o?~)k zw4I9m#PXY~vA;jlo5B9AZN|R8)bootm*!uT^X2^V{P@4~)Af<7uNeQ2P`Un(5EA0c VpD#V|r3e0>df+Pazv6@I{u}jycTxZV literal 7879 zcmeHMeQ;FQbzj+*L|ZU%Yo{(#V4InG`j7dN9SW(Cr!F+kN1>D2G$lecwnS-y)Bs`w zV)ycg?Q|x9(@q0Xh@hPmQ^%IXlu$)%-d;@-pcCt(sVih6zkOy5Qf;yCNfo>&jCnA?ujgclFi#bzwWU$f3|kb znkOHR+#C57EIr+PV9$ZCG(I1x1P}jvAhLLwdEl@AU?VjVDSXcGI9o@h`GV1-4_}9j z%X0KwQ_dl6T@K`6f!bSX^}Cu5`u3rlVgU|#%X8n;O6$3~!C|^j%IH%Rhhe$$I`oJl zoygPVK(8+r(1XCpXS9zombEFrP##zb#wQPSP0Ep?Y)?s#13DH{PC)TC{{!+NKg)y9 zk{_Ul_-rTefmz1)*-!K4cvkYm=4>AYWjW@Kg`aqz$b`79{bOF z#y{us5MY0m@shTtk-#2xdai>P%SsEGGW#5YoFqyMi{i03^btWG{*XTM!qK1^z6mp~ zKLh$OA(e=vSr;UD%=r`9ILzq6vzgO0VSW~=K{nQ~0ik^MilOt9&mhIQ)KZeNIG>oV z3R;j852#x=5LqmLgMzp(4ZR-ma_I-`56n64a#Tw^FRCM{$1}=|LR~f4#Oqz8;yaTRsyxdjHYL+cwORmb#-No#UG{o+*ZvUlJH-rq5` zW%q%xfxEIvix2Eh4_p3GUS~o1z8Po6dzw&;Qn;Ben#T`q1s^j%<_YQt4`Pt*A z+lzFmbU?mBLWTV9V1i=Ev$8*-#{~}0J+kqjDG0(j;~PE=`4-pIi6?ar;-p5wSIiGO zIA30BVd{iZA>Hr+M}+=1^#T0A(3LcOg!6|qEC%1S#uxtkVcw&kIM4@79gHJCVu*j) zE>cpE7J}3`iL5)+O)`V0T*v`2~aPN6Xn-%FsFhFn2w?TY(GK5!>$XipYR9lVt#NuJn|4XuGf@8FVv@C7ji1Ze;@h%hD7}6 zpa{4=!8aVlQL9`}S#w?zS$Ia>;(Sn^?nb?1J!R;5;7=ef2H)a!?z4=~bYvC!Hz^A} zTccAd#*w_vc*W7Dbl5L^(>D@0p62M>Rf#ee7bBoj1NA> zZQy(^;tMgM1+o?M>#lEOYzgi1ajLO??oLh+Cx^7NojTDrG@<@DkqzDG35M~kJ)Da~ zUbEo(Q?Li}!ovQ3K^C3|(=C}!qMe$~qb@`LWl~v@8=@h*B@pnRKLD3r&)K9ldD6%; zuG-K1=nJAnX$NHnTqTYE+nW8FW;=z8_$W2uXi7rgwB++`SP&uZRBz(ss5k}N^=v!Y zpfO!hPY!x*mBhxB5B%#Gn*1{}_Ng-=NTl60l`alJ=76SUE8 zr53qj`j5zhEljVM{&eon%ToD2m$~ig`${MO6AIDKbS%v{Vq!px8I{@KqQ4E%fXcSG zDhYef8ToS?kM-$$BbBX@zUoJ|yj2*UeW&{4|MN9kQc=}q@=qM=ONd30*2upvePqkO z6s~;j=J~40zo3UA5m?OU-#`CUS0Am~{6yc%sx5DiKR)wbb1FAU%Om%M^;dT^qO0%Q zviW0uORKgldg<|*-}$RElb}cMG4hH&*MD_)Vr<~nv9nFPHzjRHQ(!~Z5N>* zT=(Srx7^0DRl|QW_@ku#PnVPF-u4}j_MR^K$F@)G$Nj{yxv#-*1>?UA${?J>k6}JH zAig8DN(Kq^y+)R)hN2zhXI#N`%edoQ=YGyf z;{G|x<{GfMeDpG~U%M{)kC&2$9*ktza@*jOM18?uIK^zvXrjg(&IwNjw=uzgN0E5b zjX8Y2cAW%0ODYX>P}ksd_6jy$lSF;F*e}uTJlo}I*v(BPu!AJ#sKe1wbAEn{T5!&( zc&Oh2UT05QbdY_Jc7}HQj+?+f5GdfHKa)tkM{TGx0afsu)uX*2XSH#EBVh+#py9l@ z4C_nL2=0$GZWRIv{byB>NxNrnPRFcj|$QZ1-zHT%CO_eYb&>oG|-W z^jgYoY&+BnT`Aena$HZhrrM9QH)$m7ulN43-u=Sz%Da7H#j>5zC3M`W>McyG*%l*TPyK^ecQ@re|8|FWFjn`Q z9aHV?gEckpcAQp@@sDr^PyX!At;Q3JHb3%-%NGaE{cFvcB<`QR?Z$qEke=A@ONIL! z=f4VYO2ii2Kf(8<3D8AK65d}V&Ize44!z0SQ@<{KPkz4rE?MY z!+`eBr(4q0pHdBq5`yzJ>r+q*< zFs+@H*-fGShW^Fu!9crP3sXIOPZ&i$_$k&8c~hUW0tb7{e=|;a|B~Hn-_wV_oSui@ zx$%j@*u8EvKKqmE6&))pzB=>UxQtgE2Y z6lf`YUfuXa-&n)gi{E;%u)1m2qBX6-$`zjk9r{E6)?Jwy6Jsy@-GB8hZCa++OsTVr zPl6uCgZ=k&cX0Rmmu}b{FQfh*=$M+FP1me{x1+rs^~C$=AA999SD!!rw~K~Rf2Zwp z-#ML5Ufl6&GR%J^Z{Yo}rw4dke||3&;1?a&z1)*s3zT_w>!lN2BiobY}b?mtd}V7^|iFZ5U3XZT*HmSf z?T7p_u<`y|#FsoWKh7^%v`1%E7}pYhXP))7SVg)ltz$0FK)ycfus^)w`ZFpYn@$w< zrx;)0eW5O1vcH@cWgLO`^DdMfM9$x&@u_y043VGlz{qv`1{^4d9=yUbA zxNlc=gy{k3fAi2=!-kGLH1*fHadF>Rb>xLm|I%;1sH$)NYKUK~KSTdVrsrvC^u^ir zQ}>5-6UX{XZ{PUY`u(|q{$oQ;O^LPk)amg2Yi}?5p}+r?UAJy!+*QM_yD8c6`sIt? z!THx&u0Ly3Ure$;U_bw^$Msiy4>EfAe3ALkJ_>3HKM;T`v9Q-duIsQd{ zta~X7snf;D`BW_#M;{9!l|Z^M;6~#{)

6a+idPe}qdMPmFE=pa-czYV#xi?ci-3VHb;nbQS2(IcN(!A;0l z>mn_BZLTaCmd(Nxyed{qju0*Y;qsK}rm@QYga0;)n} zpoww}{SK&28%iUr4!~OVLA&e3sP&>${VQ-9b`YzGe@XO<)SADz*qj)G%3oqxx8c91 z=q!aPZ=xmpvHT0jjv*LXaF7h>hHBs%lg+s5IH;0bHsLG=^{?!xa;-IyXcHA$2VXBz zw+tQ19}XhYgn+s!_p`un)_&@Y zvzeZc{r7hhr_-)7?o1~6KOnm@gKkz-su*m&uahJ)w)G~))oM;)1*6Ig#XOEq#uOtVB-7Jl)+)DzK@$2I)0E3rA+Usy1r-Ci{Yf#Q5 z%4gCzYAlIQ_gdx=0fLBZK&-e=to+9R4%M?1*KE+dd|1B^m&Cd9SiHg6YR^xAQ#^9UA`-s!7JgO#ayv-p z%*Q2qg#nnYa3ZyFnLkx0{lRWZYv^Y4)KnvJ0AzdZdyU|@dWKLU#X=Q$Z>)Zr|BP*` zf1o!GBEwp3|MC1n0DmEDJfchV19z-@zK z!R!2c2^Vk{V$$9yoTr0u|E=gToEEd@#`)Pq0_6SRdhq&J)jGQHCkkZ$keJd5m5U}u zVVgT3C4Cg*Td+W#juPxIf|xwSU~WtHs%R4Gb%Z<%InBLvmN#e~dxbHfyS^#mF2dgk z{Q&Z?Ue95>qE1If5k~vwDU?m@?!${Ho2ScZ=5~rAH?2Os#U2iu=4&_}jQ*sD^N1)z zsY_+c;qs!H`5R)gE_4RMW^xG#%O9P8&Z$}L@&Yp2wzM0hX=*m;{@8|rGi@|1;~ED( zTBsanm1D|!XTqG+>GQqJja<4vE?J^hIPotpfX_w+7Xh%TA*0zNS>~KLLi-Q6B;^H2 zP`WG*qJ{7>>~!ml>NXa30$f_-ldJs{zj2JLRHM z${mOS$SHd02o0q1;RWgpVSGa8Bzs3;8;GvgrnM##=yusI`~`0ef9Vvm6AJnRWzpjS z`ZaL&vc6a-Ek>7`xYs|vn($6U-^1|NVqWZA2t1xMieV-R8tAniNv<24A=P8MK7QwF z3lS%7DInGnbeAZ)i*Y$V2rMItd?@ z|El3iQ3@<$!|&NSgBE@!jE*X*U9~~>pA!UuFK--*zxX(QfA!<-nZmn+!yBzPMR>bG-5*wr>J-u)-eVze3I58Mceow)znUwoHgp* zyyfnqZ2w=e3ij%W*Hx<5!Wu`f)j;CNI_v97j5^DJ`&5uxcY`>$??hWpnI zqpA_8t?%`!E-Cfm>Ik|Y4TWLtfPBM+73Bpw(#y>bdKU~1YHUi>c;pmiIn4N#w0Vic z1^vu@`BQlvS9xyroHiK*!hR_u4u zP90K56VjTKn(>?pII0>ub65D2X?C4?e&zJJ7o_E#^_jEvd?_N2 zv|mq7Ey=&mmZRQj6)`9@aj+GA#qVa3gNg3-ds8>`P3p$8;@{`lEHq_3b2YYW?31ZEnvBjP?>=8FR&y64Q4t#< zdjtpFuex=te(&4EH#47|xrI89YB@jhH3fIC%P}c4c6m3f?Z0{Qb8rB`y*EJL?JUNU zu+~l$82GkMp1+&p+{{pEXBZ6mE+9?-#`4q8WyMl8bfw*AjiL=Gti<`?o^ z%%?|m6Y2+FI%7V5a4iFuhL_2_nP=v9rnq&VZ4=~BcxthmSS=GcLsB^B1;6`X=Yb; z+n1ucfu*2qIjYi$Zuc5G-`C>CpEbxeZSgys-O;{-6+Yv2<$2U`Vh@aNUDZzrt%<%< zeBUvo!65a^K8=X-XxpzK2oYfhgvyf3ib3Lr7iW&^bl}rL651c!P&?g8%AyjY^h3T< zE`&%#MO_A6y^Os6{{vw_p1Ai|D&R{qp8)7H)Z(p7E>lw63)C8fB zKs(`%Qf`buw*>pvlcxUbU_`nQJUNE@A5es9cz!zgZk#!=pK^&;Iz=&6`ut4Q^ow*B z@;da}0QymO(nrMSC$BcvoWz*KZkK-K^_XIk^rQUSLH-XR3zrP^w+DTfpE_blnp5M; z4E(R;TPUR7Tw(lM=-&vdfQ=PNoU?EvC(HMD*l9-a{~#*D=fetQHsJrw?i#kppL5u9 z5QuFUe}3NJ{-dn^#9-;4!z7SKJtlv#iJ&i#L>>{r_NS@AzS*mn-`CrQ5^{S2JSW5x%sN4x)MBl5pO z@j;v_{^!d-CI3@pzuyG@UkUb`AM%|5ef;h?>92x*kI#=w{s%>$`FXpo3W?f!LzU#% zno6>t_+O$*!uNyHZh0_1{9D6MYdr6+-xm5G68&3bpJ9xV%`*ww20Ljs`M1;`-(t-D zOG|&s4^+Zh7a&GOE1gku72xB#Uj2mR=lD5buk#e&)P=s9CybBz$v10&ReAy!{@Li? zX))IQJ4WN*AM!JfpIiKYEBP6}|AkHBc#uuwL;P0R=Zeg|_X;AOAWt0sciE5meKY(o z^wzrQWB*v)y(Rog^C@>*YZxwVkQ?;LbyB&-{NscG$*)f0_RPC+LYDTWOZ# z*?)!n!|_%9+ti$i-A~e=zUl`xWysG0;e# z?|Jn2Vf+G|PF4I=*@yl6NWU__{7uk)&cXcPJuZyNZ-kbt>6!uOO#hYsC4IPsv{K#u zk~w8RzhA=p6&C}41?4C0$9(^BxL@Jx2l`#PmTs1QrTv`$&dQJSe$D5_e;**S@$T^% zvM>2M{o`w{B0GJzUrGOyqJK-E&ovQcCqHgUW79ytDn1sJekFak-`48q6UWa~#K*#_ zPv!VUARpgU^0MUPRbH&0hbSA{$CUr~V@YU`?)s0X))L@c$K`&K!HSTu-28sd?^Ixh z0j26nepdbE83^x0qpSVfC*|FDcrmg6 zW=X?rnKsO(d}BHL|Ek%r?t0B(LCh*^>G#zE z=X=M?nkD^Bv>I^wQ|i2eXFzs^;XK6^=aqHBm9u-~_Zu{?(a#q`b8K>(U!K@{K-|5n zM$=_v$!p~)T9WlZs@u7wc#X18uOx@*Ek0p z67oW|sztdj6a7WSpYsOaW$W_>aiB}Wd+O2CJMX-rNFP>RC(iU?UCZYr07|&#l^gBz zflxOd*Oi5R2Fx2b*326lDboC#8l>;Cli}G~w=Akm8wRW@lm1ylpXWFjl715W8=u;B z`8ORvf8QGVGl~9Oq8}0-YzT-npO1iT>e zcPY?*p8?OAR9i7Dk^g%_+*k3(-SgZ^{jL=Kcs*hb*vxbHA@#RsVDRVjDn_l<`l9UX z>&?0u^cS)p)vU6=|C(bd-|MpfFE!pHb)-@1a{8A1V}$xzgZ<`$a}=o)%c);Zkb2~V znF39vxP4ucut9n`mxx#DL^|Hg?aqnO^K9_mg7V(QVOFg2&Qdke^L17Ic?$24dz8?n ziQB6FQj=&iOiYm8t>uS#hV8~X=cb;m?V{{Q3`F|i>Yr!>6%8~Yv{Yl1UC;o|A(_odI+vE6* z_B~hhR|?m%rVjK!EBRMe?XHvGR}mC5(w8i08|hcpPW)X!O~ox_o_iYd1l))l$c+59`QDUnK> zGxqPc;d##RoZ0ibqo_dj^C zem?S3`H%jsIv=RJK7NMc>tX3fF*XYEi|;WcfYS5qP~-37P<9@A{k>fFWqsaS_33Wl z;hkY=Cg`wOsLyL*>|GW&tuaO?{SisRR_C8D$$q7M@Z?j%u;f?7%J{eywqpMpicjpf z^yDvhTX&nR?dzl4K>mX?zx)E%NOnHee4 zC~RA!`&kX2uw(q#+l^%+@Ov&HgSBMjNj#d`HoS>_`}v{#dh` z(R)eKgR(Zqf5pa-U2A2dllB`h8^zyFkMi#%`5q0`UOKgY1KOT#7b+X-?Bh>JmHJO3 z|4gfDPXBBN{eLa}zbyW^Lz(T*YUKXGljB2wKtIp&@OH}F&ulpx$A3m2cZojr`xG+tELG$$%YLpO?Zc~M)QwFuPg+U#p?rAXdSV~jRVHV8-40u& zd>es2--Ywjup0bASWTRPYQq@4F8Ciejx&GG#fFK za(e_??1>q`z4c$oza^Lwf!_FsWaIJ1nw#bwQ0-n5eU6FzsUJY;3U;8C(ew&De**fC z2+{C>jmh}G)ZDw3vSAp|D*AhAd>IWhL%-jF-eQuE)kf=$OdsDG$@V`mtQ{i#jl|#8 zE5FP766ELG>MpQHl=F8@H3Me)u;NLSmfH11czbGrYmGbW)`TrE;$6NWcZ8+dntDI@? zu(q5!^y@q$Eur|S^Z!~fG#krIm3;H5zs#>?#NXe7O8YJq*1k(B{-0L<1~X)SB_+to?y-%h1QaXf}4fRa)V_x`K<5s&DxRyE$w1j^X@x3tAI<=jT5EliuamD>Js zgxY>r>&`lD@GZbhzb+CVkTuIl{HL^eQ~e{;MvKIr?@^y2ZO#<6I^W%2bCz_qr184M zdG)A}mpJ&|mI>R>F$ zY3+PO0P;KW$k&W2qOC#H49~Ie0?ji6HR=bmrlqx}Vx(g?%Z`(RBs(Opsip6!H`g$J zKVU4z`x#Eu{f|@0c@hBKBDuCi?vQ#5#zTE<9F8h^|eka$K%b32@0f(G+vgbQn^vrn6I`@u;&Bu zM2<<`_8Y$yfC1@Gvh?Fc|9o0i`TO!%J@3+rlkDcS7)VD*+j3RN-=b#qnhuYPu?>>e zJoWEvVZ4u~kmh1ZySV!6=9)We<{CZ4m2NgRUC(})d=vM{dPG~7qdMP7n@YW$)zDJ@ z#K&KxiJuKT4j52}y#F|@77x&@Lc1wWGl~?*+<7JQlI%Y}H|~ec;Wip4Iuj0i5!bPT zTzEq@5vJNC$U=aR!A`z|#%-=DT~hOInw>R9U3iZa`1#t|!&vdY9}&E6J~MbD!})|a z&Z-ihj{ZoFH&gn6mpb;~c-DT~w$i6;)@@ClSJ0*p=b=w&wzWv(wS#U+Sl3JvU7Zub zw=!jXFKy@uP`=iv7$!bX0%blst^3Dq_?CeG*(6EyAKuXn3f&y7M6uS~EtxSK%{cOR zCeJos8<b z3#W}=#akOK+YP;CRz1+p`vl{r;{|+AEiKX>V{hZw2z2=C3F~Cf5dW#n_qX8tE`y~k zUF&(oz>ztQh{a~V9LsC4cI=-f364VSsRjp3>dl#>+x`|~wEs`q8k4Ts|9h|=&s+(y zW_ErX@CPg4?+5&0gD1-gLYyPs+6<_*YoRZaa%hz{%<2T;eC`l$FinqT?M=clfbTC~ z9hL25S@1aEPSds>`>zT~9&E>|S?N*Wf2ExT)aDP!0-RMRj{ZR2VkLmK3^0%G($Oe< z3mQGw1vi`UO5Xq4x>K7ugYKDR&H;-(dtZP%+9(LGvL#s+hsZydp`mlUdJLXe*Xzui@hHLJ`HRF{Q#pj z<1y-pe1wDCEcUj42eHR_&LhESc4F^!Nc#pN!LBk{?0p|ny&`E1-|RdSthyiIGeU!( zXL$_%6!2d){A95=TOa##2EjKEfXQaD$7fl70SeC+F>@f`hqiHvZyfn1_LB{s2*p(( zK)Q7_IPlZoA@5D__`%uZR`Z_(<-d#ardf8YHV>gn%x}6F0daf;8VR6{(ZKOZ&wC-!ZJEB= z|L2g(ui!I3d{+Gh;JVu);mrQ8!y3{~xZ`^~ZUbolD?pJ~2`|kYO_F^;c@f#iFwOq= zgAU#Sn(#g>P-ZiZdjsE&{j+2LP2@+rl4bVi74kO)|9Pfm8OPWj3;Q!CjP~8If48kH z_bK`KE(y(6(?UYrhsS7dWAe@%h(J9DpbnG#SG0`LNfz&80NE?^cM4Yb4jhrkIi_1) z&M#O$)O!3f=#OufrTsEb%l*w^hf4taC?q>Q!%gdNB5s%H(%LHCosik3wQZ;3?EpGl zBmE%T+3f!f(7_e)^fADUui2lOzG^%EN&DI!`@Cv zhxDdGih*VvUj%*sW{SZH!FSh_9r4q2#BWU(RyE2%NYtNO{Gs*A+qRvQGFHYuY%}6JcB2-yarLdb=!Nyw5opW zh&)b@b?eOtMa&dAZqm~J8&=r=99ZvWS%VUId@cw3&s*W~C*WT{ueKO}y2s<4O!)(B z@GDTc0h;n%{iOdkzL|)3EF|t*v-6s7gX0G)B)kU_I;L$q_WxuT9kV~*BF@wQie_F`2JhP{&bgKH!pukz~#*iIPz=NAhLVKs_hWaNX~DT`MVh{!}uN9 zFLZg_a{P=Dd7R8f;_=SPjqi|tw!Mb8O#BDVOz{gE>Dab+E#vk=HQvUmZq~{p;kU5T z4yIi)j~@jsTn=2`1=Jc>usmznw+*vMAp96|Yt(~PY`(FwPm+i8r z%`gA%6A7OJofX;|StR@j8eIptt)?lXMFKN@D`*PuCDZa)W@1}9Vwxn!B4+qb6+*0; zt^aWVV-uHnB0et-~j%K9`K_9<`mdM>2HEgx62mFu<_;&y| zJpb%iiS$i!(jetEA<6F`$y2!w7{?Xim(>723Gin!+&HcP_(uUh4e;kO+%&?kq741q zBuQ3nz6;83)uXfiHp_1Z9qAi`Q9jGlZ2;d3l=(Xiw)V9=y%{qau{zD@;5gx(vx!^t z_-^3H72cAFJzgj9So$$!n?D}|H#f_#M-XGI7>7YRvxw=k6C_!q!m3yGvFbR>nq>4p zhx?tDOgyCN|gYQh<9nW@JYLDP6=O)%VTW|YcV_1Iv^Z$VDVe@r{N?4CB^ zHqRIhUTNhXpZS3t3A^~CuDlDx`o!F}Z?)UihFw4b-Jx}C9)Q^89opQ|*V}qAQhwj1 z7f1K%1uqnNXIy9xev|kqSdZr{{B1_^I1EyK!KzJbYV>)X{1YN%-HK{<398vTU2y7* zE69N7o%Tm3JKCVU)6tG+ZYyM->@azb1K;(GelmMr49e>RVjiU($g5j(n{$b=FLYdC z24545&pNITn(s#+hN@XU;=M{k%Sw0aFkk;0_JNeiv3aeV`;P;^1LNLc9Tze>$?|s? z6h6|1P?wtl*#|Oj01a^k9Q2>ayw-$Y{tP%!TJOpBu>9h+DQ5Ed?f4`Wyk7zE1BL(b zjBjv$fA?0w|Ce<&_-cUXf$fiuF4M^-SLChDydMKTMkb*14~?tdZZB9y5R2bjSsz~5 z7CGyy#M@CZ@H=WL56&M(`4B|)DU#6o%-a0rg$^$FIudrnI}yb-9{TXds6P~b+_ep% z-h{Z!r)CS9%y@%KeyRy5ExxtKug9(nxYf;83%|MmxLJ>0uvw8K*bI)F>O*=$Jedr* zZ8n7ON9DPDO!kXagUt|=wA8t6Kbn8}9eBpW;u*I?nG&81_yqaJIercB8vq|yz*XN zR-MRo>vpZ<+VHp$)H-^`y@?@c_Gm7p{sy>T4;>G~*4Im)z)X?R+8-V6pXlQLw-Ipf zjenbuoIAgr_uU-BraNuYW}J6A+_~}r2!GW59&qp0Yf@HOpN8gZBL_JncnsQWJ9 z^8*e>Xcl!l0ltLEU|{{K0)MMYzdF+TcphW^{R;4fs7)ujy5XJNye@l!e8&Lgqp*yw z_5lK$Wyt!5(^@XalU2e4;kBy-2O65myap)jBslf-jNp|Yo~h%ljLDwPAoW)30MOaG zi;lsM?NevyOFpZR3FK!r+%K1A3C-hpB0sOb3`SgAeX%Bgw>{cu@bjn0n7zB;k`VCy zyWq4GesL1;0}P(5s_X;Ehoy@>4Q5w?c-Mxj7tRip-EGCH@);bLvj!F`LkF}g0eRUB zxHo6OF1Thuz=_pqgZ=)Zmg#T0Oac7B4#x31aQv7!;uoGE z_n1uR-N65(!2x5t3{)AV*8t&f;1ASxC^1=>Gn zhh^diK$+(T{{cr^5tzrv0=_b2{x#ry{@i7zRrQpGm|FC11)6#$P;iUh^|HPK<;~#e z#S@r`sQ3m2widk$fbXSQ4%c>VP`ZBcC}1g03IKYKF)Mp=XkaG zIB4Ey6fm02tU4Ar-A@;oj(ob{qrkWGFyfnzOM?!NI&H9y_e0J%;5q&(wCgwz-`9H_OF10_|Ojxt}*-fa5M_!I4|t;Q|M<|V6n>g z_Dp5@BBrMM1jIIV+!H$5xrx!)4EXQMHgXxwkB=fB9b#LX!LgsZ;hj}Mz9I{|+bnA_ z`B~7Pwkv;me|-8jgi^c(NViox=YWfL@iUpn!6ta`R z(ZG@S&LKo@GecTt!8C0@8vHHz@i=9iAfSS8S75!dMuj9-fd=a;ao!s$`CmY1EraL2 zR_k(L?%hS%D)RxLd{hPHZGq1^sdub^zUj!>pLH0&{+i4-2A>-6-*#~%1>DIoz&H&0w z0RC@KV10Ft^uo>+91jIY;!OZP9tv#Z&k6jFf5Er)2sqlj&LcMCxD`en(UyyFlbO$E z{JH|Z6X3@iJP{in2ZYzk@Lj32bJo(%2p+&nb(t#v7EynPS5RaT`6EoorrX^nR|5MV`j-Y~X(0;G#f?eBJs+mQSlPP?)nq zwr3&R6BU&428yAlx+u>Bh+Bygcr;SZ9lI#-j1kxIfWK20g~I~{u8=YsKK>^=AJ?|0 z_3+#rziisZbB;TJ&ar~;p2wIqNaw^Ze1mTe{6BO_@)bZX>%ufEtp)fofPV*6t^ON@ zr$PCGE_{Q38u;|rOwi`H*t%c%RKwL>99Ki{ja})L=g$=qWSPY*B+{-12{TjCE{%hD|-7fqwB-y$I_^K@_ z16mgZjt1$hWbBxqH<{P&GmjiDd_M7mx1(ip=Ysxog4>pkwxzlkQhioZ{W4Q!6n~;ehTmt6D8uriIZM#= zD&RlUriplO%>|mghlySMQPV4dvt}DYS^m+(#a7_tf^M~Yz?qOM5i@_q_`hfWTFj6y zzK4_?H@~?E2QQ*R#_ywf2E79Km5}pb{JoxYpK_RNd8hr+$z{AZEfIWA-dfD?-sppZ z+tWi9GnYah%E~9&EN1emEnA8-*et4v^*prUcRkk3*cLN?1%+wNN`@|Pa{bV~rZCC* z>ltIr0?A_RZRohRQ2>ONr&Q~-Ask1$b;nr8zs`M)LMo&H=<$y+gJtDFE|>Rk=|u85 zu>Rp#Tl_40!S1Rr3;YwnVVSo6W~=1@zg^Y_XS6xgjsz1_aIghxt z=9zU0e6R`T(>=1BmRY|9g;Ss@pD)HYc#~%qe}TR81T^WGoUcMEzvE`q4gLn;cI=-W zUu+Ee%&PV9=hgTpp#KjXuLiy*k7d@60SCnqR^vNh4OZfHg5@s;h3*V8%QJ(fudw{< zfKSMhQ94?FD){p8$yklzulJS?{AyspTbN$FC;RlUdeD$@QfoK-Y1$r z`{nyvZK;@vQ$wnb43z3Z(EN0lRCu3hAyp^e-vlOWxt$?dl=5tS7Nd}*aj9MceAX_w zdHF@aU+a=;21vDTTLwyX32@eHLpbj5fOOlcUz8q>D4h=(#U}&pS4i+3BGloRjj!ai zmDSAP{y3C=Tmb4;*U`}4`kT9ZD(5kMBr@EhH%`;fOK2F6A!$) zyauSP8>Bh|`0wiCZc_2=X^t+qN%b7y|7}afD18s4`eIuKO7&;pY}|%$-1&giN%a6Q zKLlGX9;Esg@VjkdQqd-}S4j0d;2m30D%xuKwhWYtt1msxk*v1e4oJ6Dby14SpSm$S zPmA3GF6%>nut2jc<5^N??jqdee-QA6D&!vqTvxx7UCUn>^7G!iopm#2x9^d*Q-5|t z2K@nbm7MsUZGQ5bO$%k4@`%kcXc)5I+7;opHd`7THv#;n_FQRm?l{n~@&4J#Acxqt zGv_V{I(8MGJvQgw4?2I(YXZv2mE>xeL9b~;7$7w^CCDLG z4Hn^?4%@<_$p|qzx+A1>mc%*LQt$QoZG`nS}%ChM}ljmdI zn4K(}0W^6J?V@Z$%QDWex3sy_!^@1oCR|gkj~L^3XFrm!9#||fX4V0<6Y+O#wu`|( z5BQzNg^HtDEG+?E-Faj-VICQte|BQ*HSpyCLg zB=2^1+s87Rk;u7nCV1$PY#%%KUjTF2ufcIY*lzB;TAMnH#OF8O-L>-kA9}kf8wFB6 z2viTsR5{oz&(*@j&KftG4O14OwF0cP@JzTepDEKq*Y(6nr@s01K|2$*3~E8UtJ^eL zPx$_%sX!CE_+!nV0Geak5c+3ojOy03?#z@|_q#FesyJ=(C~N}yY5B~_&zkVwc8zDRMbTr3frU*T0?|y)2Ot&RW05i_e;E9sKI{M6#P|EiF<<|44dB+J{&-3iP4Y|gi29xJPot9xy0R4}~@&A@s><}KjQm9@g}AY|{`Y`!1p z3sIX+b?Sz9W^=nIL{Cz?Lvzh^TRbA!jjRy=zqeb&h`{Vx> zlk&Wdzir_CTSGMROkpM*^XX6%)#?wQ`Kb9)_Br?+C)u3Q{FKyuD#(IXC#T}*teyHqhw&GSQiT__suOX2kCkRg_KXl|mj1S<8qv z$C)`RB-rxv;8So)OQq99=Q}exWK(**Bs@}QGm^kPqglO?bl9urf-_3^fNFbbqDSdb zXQCo+tc#IN>5-EBL!DhK9sWzD>^Uqe;jgH<;EX;1dYm<>@qzoMw!TLwcYSCto^BvV zy}XM3NbmpJz0vAE((2AC z6Xis$n6GY;w2gA&Xv>qAszr~u@pO!OW9xAr_kLN?%v!OcKWl|@s!H+9TF*o({o){C z@_EtU7|vteHV=Gn58K$6#Z_%8t-v+PiB=pZISIEUC|cpxauYpT!5TaDHdeexHSQ4Y ztrXkDeXMw%S5qhl<@+l2j*b;aiOxG4U#anmZE-^H$$Qfln}~PAjul5pPMYl}ZKD-m z6n(o|HkYCmCy34)XvE0Th_>LX>o2Tz#tPPHUsO{l2jzPzvA?X?G0c8rTWqf}^f1xm zb9FJMJE^`EOW>0w5D=@vLA|5xPto>t;ShiO7{}$itFE7m{&>+(b1N#F2%q1J z&bY@r=hg}vi~eiT8Q(S!5oK|x;}VU1b!Fk8G%uq4+SZlEYf0{KM$ED0kujR3Dq~#z zAH}p?G+rNE;~qWd7P9eC;*Xf4?+?Uzf}~t6PSy(S_q^MQGx}E&oZ=60{>75;toj}# zN`8j;^|euzw-o80k^G%A^dm$!&X~7RiW(vVgodHi$1_Tnlqw$C9?F-rJwhYe0b$&a zKJZTYr2Y?5wT9^We>f@?d$j(y(9C}%D?S!R!D!vTWc7b0>GA1kq`yOQ)=l)6hBk0+u9V~Zd985w`rtmO~eL?KQ>k~)?W+sfD5FSS?- zh*}-5jVBZFy`+O~6nR9e@l5wGqH}Joe<<{p&PW-J_LI)9N%X&mJl4natiVw3npVb( zuBc^2IS02|`8erNhzOAJp{AhT%Hr^0^Z*SL6eib`klnOY-eoJ`ijC`xxZm z$L44YZzY)~QMOj|mEhPU*%C*ZIQncZ+uQ41M6S_@^{&k%S@l=3l};Dsy*m57+U`Tr z)8^j18^3;%9Ezs4yCCpHO58UytG!~2q>HAx zb`RMVYT4Vh=x8$<{X=x>jde2*l6h$DtCU0Awr0(`ob;}c98>JkJg-?l z<3AUu?Mf@^tjom_98W&4=RZ+CdTYj0rRtPL|G7dnw#O{=W(~O>gQ`Fn@w<|DN&-*y zw9+eTM0j`E*p?7I774MW?VGswSE0nca=b5zEpjW#d3VcyVvD><^!K#rQNw*i$0}k{ z^efI(Wre@oB~YW!yeM&+9@bmiEyLBuXY6h0=z~$iog|0%xEeW8!#~M4?$)A54KHc= z45jdyWziN%St81gl6h23q1+2aX=~Q_49|qcl2Y`U!zJ^1E&Aec{j;Lqw&hDvtE=Q8 zYl!v-H?DNQ%Fr+C-W>Sm#GX{UkL;_4|u%I>fw*3_Rnb4WgWg+lJyl zpq4?2h}#~bf3!u98opZe%_O5~S-vT8UgWGIZX1Z_{F*{JYANBS6?T=g6mdIJGPi2c zBW@>&e%}^7YITr^8)P#d#- zTVbO|$X6<)M!N7)dXAXIQD$K!xreV#;rwmg6qIC}@t7Y7+cN}i|V)v>jyEXELG0==NoB3k!~Bw36V+=@k# zu({4&EFFlrHd}rgoI3xw=^ zR$jRjaigbDugrZGaOnckissu8Zzz8gm`Un z$oS%1V*#Y76?0m~ED^LZQv3dUV!Y4L#nEUR#gI5=D2@es9D}wL{iGPXB!R^L<=jN_ z-Xu#R@)1d5OS?bH)0O)Dv6)+*teQ@ftOo`CqCe1gWK|mRumcGv)wnGYWh?P~yQaVc zWn-0kpQs;@hSu(U?Pso9{kOET=aJ?QwEI_MjjD|l{ej;Z@y2o>gh!RUsiuP)I2W>(tLA_C0-XmCgh^YFun8vC09e2c^J8ohE zJTXNLd2j6ttx+k`pA}>pFL^UJJ5~JAFBQ|nSAB?bch|h)h)_e6K;KMX1WIC}CVUs@ z=b0KG+^aFp9#yzXbFWyhchM(U@;}1WqIiu$H73WzFebfBDdcty1w4LHgQS*CC#ttoh&BvAWKaA{` zo}>6>-uQ;toz-fAq24u`*Q9XJydm~2)l17T)3^7%H zy)d@RuN52HwoGo9Z%EF1(ld^q(Z)MT&)HDQQ$v;t8*PQ!ZYJwtP%F zzpiCVr0*}f{gTx4e@OIrZu`cTk4TFL*6kbP`4-aucP)M5yNLIaJ|-dBqO)r6s7V!V zVYWbIhVP4t&c?T$W^$L@kjbM#LxHo;EXBKzdFXo z0|U~=A8mY@bo_J1#=B%~e1>XNJyq21OR9@Vj(z(E{^*mxP`vtTOSfp_HsZIrLHx31 z)cKjhq#gMqoyc!rH1#$Dl76R=B7k+5M-88));YPwAL;yR=A;aLs}`!%*m&T_gzJscXWw8!Y@NSI&r6e> z`votG9{6X`DIHTpU2g)2b8+1|Tc|Et=N7U%uGU7ru$r1_olAt3^}by~UD1~7RM)yK z{z$)2{CuOvv@nnT{g~GIsI=p^eKGn)8-FMHf0E|wtIkM|Hu4{K8rf#!da5zrQJ@9i zG+;!gZI_06Bj4Wg!1S%2QT+Z*iu`IXex20FjkX-Evd_-YKQH56#ejI>wg6WJ@DI+OmuAzNl4iwB_C{-?sL8xN6i)K~m7-hpLPI$d_am1^&p# z{{X*BOJ;1ZKZ}Q7=rlS+TW&4-bs73CMZY3LUn|trNb&83Pc~PgjjxuD=e6WS8#idl zF&nRsoRWfeYl?@t`j&NUiF_x^Mr?nKZ2y;&{Zsis`pr~QBd%ui%c({$j+$6;a%{&c zUf)uj^z;X*7hL6{(|J0+M?I#&8zoZpkDV%=?d2`=!sN~4*D$aL^6~{)&qJYQqL}}{~zo(53QI_qSx}rR@8o!_)tx>O1 zM(eIo%x7)ny`fc+67henTK1)~`kcBaMEZ9`FU=nL8o2~$qwl_7x>2JjX)YaCvCbBr zaji=8^MG}fe5UC5C=p)awI4E zQKIkGs*$fH6GN~Mo6JUfE^YZFp>&-?>6@bOSnJ!=xJ+f+cc4)d?m(%rLG>WjXuaf- z0jU&$CHZA7-99Hy!+(n!Q@yVuPEevRC-XuE{lf{3F9!dMlK)%u4~hO|(XI|-L~NHI z>dx*Xts3`Kjc|TGltyUurSD3@2Sw-It2m>K622q)VJ!)}I-R3#u?4Z8yO`$5V8rK6 ziUy@;C5L5jrq2>R6s4*tAA}60NQrxDY$7zYXv;O?$Cn}(`=|+{VqEdDYee;uOVby#E6ixX0hShlg(7I9bS;CnXzh9@tp}!&3 z_zgE+(3kavoeG&TMH~6*DN!11BK`NGyj~KTb@A9GPWT_p#jV3|` zbu{`*&l4h@y5CZBL5p7cjwIQhkc~$Bhyofs?}%k1=LhAtkCD!-9bT(iOv1;RKTGo3 zHGkCkL*jo;tH!AFM-?H{kx{>(}G)PQ`oRi>7cB=Zd_b6kx>)anAY>1##j%NIWucGh<1 ziT)hPiE|||`yWZL8gJ$Nkh`rNB!M^B=|_hAYC`xqqDP(CBSp_u#j~C0pYLe0So}MQ zPJ|7NqeI1shc8lXT(#bc7TYJTXiv07j}~Uclu9Eb(s{dYspw67z{4jYe1DZ_c+lOf zM{?8!iIaGUE0cIMho8tp+?pf@9-yUM%N6v{#Q zK}M^`s4U~;b)ogBC~C#8W0GAEm7-QhiN0f$9LY(mWukw%rPa2Q%-cYWpz)54x7wtO z&e-Hr8PA9Nv5`mDNfEtMC2g1FIafPRL(hlSG8c>gr{a8GZ98c2E>n8Hsfv9UN_(Ck zzY)hgpL+_qdXMaiFxuw++;(1|Bp&XQh zGPdugvh*_Sj{Y3A`n33)_qM1#YK5OCFNLTSwZbz~f2rcrPkPF(wGLo)h_FxibQ*9VGb`EvXnJv_ZvO5^|u-tQqnyv7_!}ID}Z&g zxt8438rywB)r&%wE?=OnRj!>JIjJ9GAL4%US-m|XE9@FI(*64BD~gkA9+O}FPnmp- zl4B)9-D3*&b(+!uTFahnej+*g0)Eat++H!m25L~w6)fJTMLyQz)UI z;xvqhKKE>!#FICg%#<~=$!@w-;X=womWa~cs3KM;tgW8+sm|xrP>X3W%P@uar_A<2 zvOTjb$-MB3#u}HqN*#L9vVt}I#EVIzx8Xy=89`=vx+1a*s=(_rvzc>PW$Qb#w^8s# z?FHQpUyA&@-@eBAvJ6z$Hm)yhZqZWs&jJ_5rdm^IBVUag_H)#tybZ)zC)hmL4&OK! zXgwWJ-gViCT~!sp8@fJd6SM7fCOW>^IU+j=jHffxL8zp^^vfZF17K2fwj*+V`87gC zJ7L>I@+Z>W=7O;e#L`dYi!psa5{LjEv(eRs;s}*Qcq!*!1K2W6|N02@m_I7(n-IKX zXLwe0{pF%u3O;r-OD72Xp1)G8qeLO1?`_B~cJ|Xj!@dG7S6RQ5mw9Oi7_%M9zt&>d zX|;fsEL!$VQF%2IAJ9~%SV=cRXlN*-CSqX4k*M1!%roQC0sKsU(bV~!sA03*V8Ldp zBWxev)~>&d!9h?yhPjc$EEr6q5a~qW+I6&a3r&x4k9_MiUnX;;p!fva!!AQx!wa1z z>Sp(yGH$n37_Gwf{N*KUpS+Ubc01R=e_faFHNtmGy5Eptawn`SSmJ$4XrqN~>;xIB z)|)i}kg1f#e6w=^>J(9Uzi!yHv-(;cDNt`~m98`!KQ<%~r_9NG@OQsgW2UsouRsTv zQSkOU)>{CpE*wHMdb|v7&nd^s<0TW{ksviz(U9KWVJ3+TUhewh3WwGeq+$ZE>sYW|DyqIcI7W;X}v2zdFW z>%2rVa0g6zpMvjTzCjBl3)h@U6n%)G$7I)fE> zj4Q9aM~I6_ngn|sPH2BkkOAuKV=gk9318 z>mPcS%Z$Qw)Q@^-p~g;SZ)J&_O>*CPG*IwjZA`i7IZhhz!G6j_C^SY}$_z3*XL|-O z>);dGTn}fXRp}5-91_lz12)X8oy4qeQrV>3=SAQaP>%Fn{$0-49ommZH;IM;SoKHo z)faWjjEB*Iy7LYTW|3YHO9!!za1@RuO3QM!d&8u>{jh%{=#duX5$_LdVK1&vq@^V44*ywLud=vXr2wRz#DRg$>O^md)^ zhmani8ugPF{<~^CtX17F$39ZoAGiD-)<8tfz+J)h~QT*AJV>?_%+(^HlKR#5KCUI9}Y?CK|9$4k`rX^I1dB zz9}y{Q4A_BI&nhO^S!;(m)2n8Npt=Cd@DCQzSoQkiU&nYS1j_x7JB7^-$jNIp0vjW z8?zc}XjV$57gt&I(t7ELwsGCCycnTElPW)^p72?~y|#ZRd^b{$J+Q4DO!pke5eRqMR&V{~DNiJ{mcy zE+5c6t-2!HL2hDIL)SS#UWoq3eQToc@^Ma%Ba6MJGFLOs*TOw)-X8u%G;OwH%Y33f ziU0Ec9kkUCHZG_Vm%?8g=YwZIiP|dk(ASp)ZsC0t-DygG?aQ3(m#erO$ryXVR0XUm z<{*modOT>Ct)8_%_8m|8;^U$!K>L{o`QI0suDWjv$M@e?ol9MnBGN6}11XHFqdW@V zEHKi~Cn%f%)~u%6yP*%eHX`O)xc$MKch zfo8L_i0!M`H2a#h&CjZ3&0YsZ8~Xpu?7eQPyr)%}HNT5V=yQXP{pIn1CcvegscnAE zn86!TP>o9qYi;Es0v>-Ycr$0`MBzBq$~=gHe{~CGbVKO@yUhOAxE=BpY2Ce9@D`%Q zO_c_v;S0|YY)$+5WPA?*cChk4j|kAkPW89}g1?!vH8?|;jyHJJI6J-xP>@5u3OM59 zU}JnJq$lfd#ekqxgug>SROJHD81|!AX5|eB8~Q8A9ut>J0Nx$Uq*<)?_=P|u(*?Xu z4~gTBN=fAw9B8T6UmM9!s~9(_myVDdAR{M==8l9pU-0bODh8bc173pPFpmdnHU+s; zFriBcf!8-dL@iRA(XzWTCM%WM1b|FdZZ?V;pw@H-#0ifOsChTA417RtfpU%;BY2=OJ z!+t1N>_GI$osRc|^e*yoGyRLQ)aIK9S%BjJe}X@1+#2`AvnZE@TQ(A zfvUDwxJT|nra`f2%FLl)p&@%wk6y_3A$@eoy9Xu9PL5+^aBGiymIUWS0Rs@xN z=N#tl$jTAOo93xsR=x*-Zr++cFurdP(Em)AJ6caB0XWfEaC_6fAy>YI4RMJy9{*$~ zzaj>e7v)VuZI1(e!VEA@{}f*Wgnr3h7nh0wj>S#&GB&$i4BhxGko z=~1FG0dZ^D>rZ-1CQnQHVr&g5Q3W^ofbsj(6S_EgU~ab3$&e4}O_CIGIh7`-uy?6t zvZE#k_(NpR;??R@g-AWIbR0<2JJNJeciiMuBMlIUl|iDW@(4G$5Eq$lQGrAf`v|(3 zt&N;NY6BIB1C{QQQ|42R@<5S5P-DmPGNpB-pgnhyaMi;cIeUok>L~$~yV!OCJ6Te3 znfz(lvtG0cOzk31MbtW_o*+Sqb8V#V+Ew+2{Ck1z)%%lA(?HM-;WEL+yDk)qi~LzO z5_-VcUB#2}uRp05ApIk6_d9 zQ|9wE57}4FRTE^pxYWl!UmLi?XJiy%U7pM9C}=)=+?x93TJzNIV0PRif*I#4S0@x` z@Q691MJQ*co@33W^i~L|%BjHiokOMh$by)-!~59V~L!4Fh<@dkJ+E? z)bnhO>4tvg>p{*Jfd=&*lHVAK=7eCBmLJS5ji>R1t$z@K*mK5n3vtB_auYDV0C5N2wb5=QR?;d zn+^|Pp{8(?QhcOoRxB0!9)i3Oi8YWORIyGi47nV5wEUDDOFX&c2M@pO!G6x3OKoC0 zL)OsuxzMu1M@6bZMTMD5{G3KpK>H^EIwGiyUa;>#q6-s?(;# zO_gNeBP8iq$|v2FSENER@GWTnQiH)qnx(>Ar0pwz@^IaXZmxffcfv-PwUs}(!pL87 zbrUyv>N*@$O2+0*VD`&$jm_2|g!cWG#QOI=#ETSD;a=E>oLg)W{B&v1d`a9m)2h|! z5e$H9-udOKR8fyVK>9C21sY~4k*P;|A9E}PqfI{M?kO}QEQKE&oe4c?M;0zL2*!nn z_s*({Ya&dBPux#Gm-AXlg6P^{2V!zTVy2%$kgue$@xl^xkjQNdq<0&1k4bcd%mtEv zaOT3p?BrfW`)HfU3*elJA3j;xqj=;UqrFmbc#)Q!q~(?*nBR%zRBYyxDESnLzg^@U zEa1~|?}O^;3&26$Px)_KRv&z|y<#~r&4V7j&e!HMq`GVtk)OYNd=*<4r^|t4A-a7| zgA4nsBouvNF`eN}hYRpISq$3WJ-_1}BgfP57c@P@1z6xK+``Vk>~$c&{c`ZxWoqHh z2$na~y;|9z1Pj6-!56ATJDXYrblxJv0sASMrAoXzfMDnR8u31ICQK1#(iLv!D$t5Y z_!!C@y-%)DHiTL1N6e#e+`NFxBe#@6CiIlsA=6W@Rh7iE1eKpk+ zv`HA`x(autd{8Mi@|R+P$&umi6IT-~%TyV?--dRtbYv+NCB{1MfvI6^fhiZ^Qcd~` zp4dToMWt_=io@Elz9W=$z0J-G8@8JEODti?Bc{5dz!@oMe)F3&dGZ+f2((#H#XY+b zX%$(rlwNu*ho66JWJ|t6=>4YH`yzR}n-#0%F`rRd&&xnT%ud}CI6#s`na|}e9c4qe zoC5W`Hx(#@5wnN1QPX&xthW2kR@^7uEiaVu{hTbqLv?=6IhW88#s_M%y#K|?mHZ+D zY{oMmh=_7W>TZ<~kem4V!5iessG2lFh3^wGyO(8-BGDXi_eG9EqX!e`mb~6MWVapg z@<(Gh1wCo-m+3W2te}i`%C-(ybkuBXXfT0N!WurtyC!bRPkMzrRq+JC0nJOyzr;b@ z2tUwy(WXuxbVvTrT>8Io3dv&N5xT)bjf?RyhsuJ@QEP_)xGOZU7tHw+>0`ZVm&H3^ zhNJmn?WE96De8wA=?aR<%8}a{{yc{+`!KEt;f8wX&l!uzxAY+GivGP|65n(MN};WM zHAO%Yb}H`X-NS3mBXfkuHmC8UY(XNj#{seW!)A5C*HniABwac6+B*=v)RcrhSVXqBADq{;+m0!ZTJgA6(VsT?DOn79u z7hCfQUH>#VXt$e_s_@ZWgbE$?dtNu9XTE-}rJy`11*Ul7dA?W<#Bt+IZh@%Qtx$zG zJ7Sn7`1?7%YGml4Pa;oSWk?cTtp&juN()^~JoA8H>*1=uEjztIUEJ>}0H_sIm>)xR z7<_FlM4DDUh9WL^eEJaB^uj5u>dQo0z0={~WBJSlU3F(&LklV8%lb=D>08BQHp@>H zsgACTx7cd(RWbj-kiL;D51|zvtX1u?Z!0tnfA_^NwICtQksl?Tknt`nD`H``QL%0E z$Y({RBK54Ag>u*Uf~u6z6WY?d^x+g+JRqR&0|&i!)Rxirf$Zk(U{1FM{e9WG6(5y~ z&f(tO8`-n^>}YXP33k9cJSz0FvP0WYgx; zO`qo5P~8V;TfMW_UN1jeF{fGPX;R{Q*qxt~#>C6Iv>6tqW=Jc4S2iGZbMRDSrSxvk zpOmWu>z+vEAR$efoGOYL4|W<-U@{xd$M&IND{>7cAm)KOl%#R& z^tSfvS zm0XN13hyW&A3e6$n#H05y{~>1xt8D2P1HGHCbp3x@QdRfdPwI@CvxP;ObAXmP87b7 zG_wdhZVY~LNdV%?{)Ep50dO{5BSza@-XGxG&+hST#6CrNr2;x-^nDn2#}BXB!Sb^5 zUgMW70~jbHBk542>iDR_!IB37rpchGIQ)dS%N)l38I4AODXGDTl~49*0~qk=mOhNN zy)X+d@aOU3WI0|@AJhr?oQp_>;NKzy+p-Z9afkbgOx(O+kJTT)h0J3o&5RBtl$ZH9 ztxM!GHiV>G_}Ou>Q;W+3_|r0Cd%6|GMJSiz?$y z=Tl@oGD1AUHe|*MK`|vIluJm&GD~J41-1rXMt%G@{0c8s`iy^^bCj!#dRd5fIWGy} zZ~saNrQZcT(RK_vEGdLN*toiIr*ZWC8 z^6Ma+baEpCF-~Un9+v?lXKscadf<1rpsru*dY9olt=ojhbg?L?;smJ@>X{>OgZpXC z_)SDL20K(bg!@q`Cs#y?EKZAWGbxxX-r1V|%e`|n!jmK6sDG+ht9p+D(E3drZ{uo) zEUCweh`Vv5k84k(=J5z~Y%|{`(oVW@h%tO-#9)^5#WmPVv_nF z;_M^9+{KcX%mYen%f^5>kEix6mvB)x*)%Vwe@r9my@jAdimJLjCvBig>27Z%^@VBK z{|i8*q1|0}ihOeDQlyv?=6_$p%G#INW_7-Kzr=LA_vaY?=GU(z0pu+aU>a+c^_aHN z(RvhWsYYo-^OvMV=(p-tpxv!lyUt<1J_%tB2kP1^=@Wh(!H` zruu`-OOdc<4>`j)wJ5-gLMb6vO&J}z94{ID$)V7>DGz;tO)zW@#dTEz#56h-q6ef+ zjuk=*#z{t!pkhwBMgNdV7#CQ!$}rL<@u?Nf<(8hdz-W{y$$GKm=k{x2P~48JkP9+>>eFBtvBw8%Ho`{XA&ojv%p{ zJfksjpSVZtCqjUM^-M?>SHHB~Kf%=F@ooDa(Oc3LcrK81zkDqcKnp3?qGvNj4mkqL z`haF!0q=UIyuHcU5-riw4XJaSxk0U0yA*79$^Ewto?`F*uywGg+!qiaa~c-&IA$lH z6pUhs@2vF(6ToT``2SnweArZ~Ej1~ol}q9-t^ez_aL}aR*IUdlIJs&m!Cg$wZjpp0pu|~HHggq0RFF9r zXb7n|D;6Eo_$$JHN@OEzV1Xi=|C`>b^R)2+^$vgw6iYs7&SO-dlQ7TR>9>zeqt?>y zv8QV^&yh@1C{o;m*(LD<-DL_=^rxG5%cL>3FoQvC{lqs!V6iImeJ(jBqNBVxzO2%K zd2JY)RgnU% zOnHTk+IF}Lu|F`#Us4DnB9AUXh{8U6n;rxhhUWvJ;$GOC2_$s@6!Bp{iJ$ei=!tu9 zkWT`q$j9{ccYvt(LLodqf^FBTq6L5A)w8b9Favo}M}WGTDEB{ckpw&*W8 zCKaHnv8|86esblD?5Ic7UP;Xvfr<`I7g1a2-XB-A$n9h216cCbjy8cuZa7{h48{MR z0EmlEcCZWbc#0M9kb9+{@;aYSuMgF}p0})5p6e97T*KG@$PX;S4?2eCTF&(^iK#>0 zMk+h5m*!$f6|p2q2}lT5!BnQSfAu3c$NaV2ur=c~1YI)239KnQN5{!&gKDK<1TRXg zqhMYVV48qG^pL-#hr5YdOs6d|yN)v+5lrC#M&lCPMNW6or3CnS{b&5I*!sh@(!9lJ zcw(Ni7DX{N!6YuoN}xsCj|i#};B+t}j~3~wI|KBc+^QX@<1=t^cDgD<3 zNK)b+VoJiTBtepJfe`VsS+B-b_?9!<#(yB;0$pj#kTPfGnjO;zEz3gz%VpiMrNjjI zA&1wvOgj>bgz;v77sYl!oq_m>Km^f22T7S z1lhIsE(CA_?#F0N-N$R9g7fqb&7t?$rXc34A|zqHtZg(vXuJM@HXS9{a#|{#DHtG; zlVIu7)EQkkOF0iD_-|BuOO&lSGidp1lsKV(bo|gm6;>^l{%)z1ix_{$u(0xu&~qX* z%08_rIHe_{j+W~c+c03^#8*o^ZOGE1X9tH`L)|Y>N45*ZJ(T8&M9r{JSqb0juM3Nu zVfBU9bWEjU1}B+@cK`9f_<$F%ieZ{8z^=LUcpsdXNLfJ!7r)SDz{H@OCE;>TLSuJX zr{g3morbQ&)<$*lGUuq@QOrzbm}_m#>`T10h~x!pU8eSKPA6t?_I<`rozpnP%b-e$ z!&~8)q-9Y4LkV#F`}=3aZ=EBor^fShf8`+v^6}5vLkm(B{cz|uR^y7bzebO3{doE2 z>zvOkkM&x=9lmj_h;Ag6xe@vFs91XBiA>q)YM4V+%X#J>%5U`ef@;)Ygl?-yUIbNY z%3X6-LpVmIBA>_MXh=(lA{WVMa^)xi&->~*{P4!V|C&~VA;?M2cq#OY2Qs@@!Z-rz z+f%ll@mL|-LS!B@Qfl9zavyLPjg&!?KFD4bW5YAXuo&4@1$VTgS2>g35u%tr$i}IL zXrQrvLTE5dzKb+n6f>uqG-jMIhzX_VXP0dZ3qK*nTavI_75{$r)TRpTCkPq(Lun*G8=Gez;c6H9yf7`OFnr~- zQqCX_Z9yGKqb9t=l>Q~69F4_q@Q#0|v`kZZy|wd8jhFHMa56RJJ}Q@M-#`|O6!DIt za>i)8^u%1@>v5Q0j0U4O1|Y~Ghs)x-kzH!`Q9Fv&?stZ-=3K#VQ@L~2Tcu3|q`KK# z0(HzXP{v1$e+1f&v;>UyR~bxH*1K4IK^#!7b4OUY4%W}@Vrqg0C-)a)`%{64TZNnO zanjIa0Fqjb%`4PlP30i7E**Y7z=xvf)%)e&36oSe1}2)R?~49%jD7E!*W|dx_4Q0S z9W@?QP>AJp$@rxNSTf#yg&-6JIOD{*UH0AhY%Ot(@u&DZlxD3EH?0spvw}u(cJXx& zJX6Z%2VsJ~iJ=SSNh%`lb-zYswSl}v&EmB1Ri>z|EMh~*{k4?vBnT5QWVcGgyG413 zHUF?mAvEPbQLBdDbJu)sBE-j`_(|e(H<$L6rowHGdG+bk8dt}gw~p)<{-s1LsR3P9 z$Ck!-)1l3YC^X-wIt4%@#VyCnpSY4%%SWXWTgtCw`$y>Cv#8atc^?~GV~Od3B~!m90m+}V&nJIT z>ulo25Ze`_cB&DB#(hS`CYvo$3dX+5rrS0pU9tl+^}PAh5r8sw0b<2&hTPK{@}FsA zeVC)%_R@MAddYNwZLokwBVWE1@|Ox4obu`O4lAW%p)!Cb;5h;AS|?YPg`tnfOV&L5lTe~$v`dxy>p1y&JN!6@oJo>-B@B-UqU$QDw?El2t<=^XbV$`Q zc+I*vkGtgEaK4EV+6{fFEiggcCb>t zCe)o33q`W>^pIp440VUET38K+=9ep5>kAC3+kyqQ*aU#BgufaY{be_t#E3}OvD%`? z{g8vF<(`unJ>)Pb)Js2l-!T5gZ;J?;jNKJX7ghIK4O(CMnsfzBD_ng;V-pVPrTJKh zNiK^L@*9!>lx`0eMhL4bXe}9|+jiT@CFp2V6jORL1cUt2a$vR}=MwDPOJ>MyFL*y5m`j``G$l1j#Q9MsBnX;OgIVI- zkwk#$e_$bz4iH+S*Jh~@COmI8U{T2k^=x+bAEH^UcmC-XQ>FQPnNr-)u>>!-H;j}wkdT_v;b9#79|EjPLH`p zb_GVbha)Mg!} z*pI|2nIx|Bfhvr_f>cH8CEZ|*58frB%8uN0g0E#QK|NgY6yIB(1ixQQSfxdA7ZLA~ z*|CHA2##wJBFqtb70Lt8nQG4@uqjts#{!?HwW7i{?h~aANqGjDTK?i6;ra9~EpfZ% zFH-_>MV8eUCNF3(9;Qy$S68TM2U{a|ru?~q0~mugC1Mya8Ba3F@#+M!obKDO?o-@6 zPeR_{`PPX4SzTl6czWq1%TjCt50oCC_iDcTsfE?7f-tjtK^J*?aUPH$!@Mv`q;uEy zyQ}}CI!QC|j6XUwR}cN+4Q~mWN<~A>Lr9iOK#I3#YdRXan_RGuRXHJW3tk-!3ev!_K6c(*PM zl6)?G?~xS^t;2L^KB{Rb+8rubkJ z2&kLnxpDfllsQ=DtQIv|hHWU6imh-l8K73vUoy22ni$qv_`rS17%+}QCs*k4N57-4Cz#D0Ep zJsjgh(C46^c2XJdPf(B*5sCJ%<^U2DZjJ@YxaO3q-7zj5+wcigE(D{5up`R(_9^KZ}FT+@nZg%3l~5gIvPB;rhN$ zfh0hjCHz_b|D9SOCbV^RPGx|EUO+(LTt|>R*od4t>2M6088zq}GS0hez9@geTY8JF zKn>_)>u*e{C{{(B9|&2|&APBIhlKq|7>$7RHqFL&CS@Rj)?cmOtrIapzWT6C?zMNH z(p`ja#m}h@!`&QV=H_E)s3Z7r^p4)1JTX+v+LL2wu;M;ha~nHR8=Akd0C)l^ZrOtX zR3aqx-ysH&1Q!4&F}&QL7YFq_x>I-%sBtzK44Oy!94nw+wJUAqL+v3Z_d3+9qOnZU zC;JT|-QYKq>yExfz2Dy_T|y55h+xr@km3ZnA~sRQ zQ_-nVp9!OAgnkQ1{&5=izwgWqz9jD|tJ6h;j{p|_x-V}qq#8yfK`i4asA*+32_*Xe zVepdS zo*O<+mHgS#R+ToA5DQMJ}niPy+@be{I||O}tdhRHcR_M2x>&W5?Bf8E*MB%?S+c-hV#_ z?jkS2^GZgO2vR@b6e7b2*{i$s#!h~R`Gif5*nfX}f`SCH%a<_z6Zuy=9jcBDxm1bu zR>Z%W!0CmUJq_fjIJ&Pfc~1fwa8bMckU>6r9KlmNz2{wRsff?bdud?w#o8SWDTug` z;7o;ohV^>%pYNMrY~0Xd)tAFwoLEvNrmjbPhEgJ5z2tXK$1>awnpRDV#cf$gKxsT9 zkYE4@?t)VS-O~MTPLU=kHi+_WH^{v+~9WOTaCILCS?Fu z)c%GKM+Dd8FEQMTpGe2e*+Pn~7ZqA`sT7aCo%#02lzp{VTppYSf<0Aj)U*v^BjRz~ zL?L=kE9&s2pq(P&s@IbzeWRX7Xc+q$gM=<~4Mig@H;R<$pOg#EN-yv7on|3MKg=qh zaz@09{AqU#-{nC1uIqTHjYP{l$cWK2(X}_-P#o8TVmvIN0D#LpJ3+7M4$Cux`7XW! zgD_K4J9)cN>7|Y8E;t}FA$83CfqP9vf0z7$yD4>y=aKuC{Jr~G$5^rauG$0lE%kf% z>W(o+`CXR>ZgD~VU57{RrsOfR8>>K{nz4l|E3gXp!s)1}dCi#9BX@g*pqX!Uam3b$ zRyGIZcYKjj%%5S$N0A-F?0>~puYA^G{&oHiDD&z}@ehnM`k?08(WgGGnkG(prnF!z zAmT35NzHXtueGB#IBKlt3oEGJ(>@9OdIhy;$T?|01*Zqrw+4=^Tz% z#gPPx1-T!dH5t9Bc*VB$$eaH-n6n;MgL|ZT08^K6V1^Ye(F-JG5M$D5VjmB3-kKb z9rCwmgz{0SlJQ7C?_!aAPf2-a`M>TT8Ql6Q72n7A5B;*IMQg~;(duta%ilADJM~qn zi|D*~i00f*Yz=pFS_QVUA-{ygK;V&3(TweuIJ?ZaqOZ zopn)>e~$5z;HD*2 zMadeWg`!p@&2RR2oh^Vh;Zp8ZDN|UkW;>-iM_v$5wC$03?DCshQ*j8Y=nLbD|1HZP z-dVk8##h}Ym&;>0P2CSE2Jd||ldOLxbgtS@{9?OIcjced==m`8r$F_6c6!?*9;16i zhjI=zxP}8h8jxAMXZ4HS>Xmw1V7cO>wyYAQ?Q-h$47kAXyp(Xd@UrZG!m*E;2F-1| z*KAe1IqevWcy%AAb)veUvRaF$(=04t_E@*v&|A6|f?NN*wP`xj^c>ld^R{{Ehx5~d zM0$xy!fTeKMSpQ$T{AW7&ZBFG$-CqZH4P(PSh^RPZPXVgetEJMP|#k z@DfG6ztEHATPHp5A1zv)H?a%Pt8=H0XFile;ubY0#ZiyCh_M|ncld(2k5?Kov%E(i zpF|$G@xP7P+-UeZ7%>nBC=gf9FTk||GRe0!d?9AL{mFg-(a{Gie=Pzsy+@W`HXD^4 zzZk-9iUKmtlEyq!WLWGDs=R>ibLu8lo}52))Q#IZ%S=SF$+XLtZ#9H}nu!!D%kC;Y za@+hku}##>;_53}9`W*vPh?M_T&#YM_CIO!4juXKNe@3A_G09LUmdbSGJh27C2Oo2 zar3yEO0QKI6jMyZTymTJUC^TL9X_zD*5=F8F&i26H#1^rs4E3!sW}bg?WsiYFw6Y{ z&91lDX6MATLtfv9$Y{Abm-GATbq-dZTxa9@cIY|%EM6e;ZK3l1@SD9fCO(~&-DKv> zwc7bH`IRu&J=I)EZ#aH^L0u)pp+)ploBkv7 zo{af~*0|iOU*SoGik+3^VtsQjm2{mO>~k-1fhP;4H^o;DYt<$qzBv_3)PLlL!4aGG zo&42%0vJd4Egd-zqCRNoOR7Qw$nI6@zEv=e*TV$9FX``>1l{5_<31%g-F_6b+8T>^ zAhr{Nrj&VJ5(m%^yDTaVQLi-Zd(N0=g+l9Wn>u8C$6a<;71V5|wU&t$q7F|WVx3(2 zlV2ZvH);N*U3t7fjF+WLp2hB$PTJg3G+1U|<^xX#uN=f_%pQmTELN%A>o5+K?6;p< zJ@XrCX=sQ8syJsFrY#?wu6{ss#K|i5HtzXHt~L{{H6E($ZHiFDR)?-ryiSD6S)7dM zVti1{qK+*BVgj?9_HCRpS&R-TBX-z)9XnUW5yKq6U-}}Xa?-b*plEh*y!_n%?A58B z{Y7ERx-9AVbwKL8=hiMkEmP7De*H=OJ&lKeOSJ_7{IkOPT6^*0wNt~Ha|N5U)G~Hu z;ufzj>T3lmaN-}ZZ_M{aUjNKt1#35K4<2g;x!Z`V1%GB=W)^qTJZ?@p^g34;l27)E zGSj4Mw1j+MYCNn)<;v;96V6*$#epBy11dP+K{ukP6M9P4;XHMRFT4k(7O!Ib6>%Nt zJ~`87-grf4F@C|Z%0k^EOKz#W3@3|UicMtE^#KcTvAly@T#hd=W>(!$H-`1xckK7; zl+^ugAkEhs@7GnHSm}MW;cLBv$ei?cjNJQ|C07slj;>-(^f*5X$uPxk3({d;D>7YHxEA zvh=0I%M9jn`~27-uEA8w8hSA_*WtZZ(ALcF#`Ijm0oSPAeMR|WOzzeSEYTVr8p95fO+BH`2mWe(%#p{aNj8RKe$N5pOMXnNIGIfx6ULt)+16GaHIY zamVo>?7oc|1FPTJvEOCCQ>Jja>WH6PRuaUx$k6&msnPPIBBiQE`PY8PXvfLg@ew5N zVHZDHc`w(fDNOV6TXNK~cDa?4Y>5}6hATIRnl9#f)Y8BFr9XdI&xXnTTVL+23_Nx| zh$Y>RMXK){&A1M{gOC|J!t3^(0%BB?Ip{NC1>QGoWUz#s(}HnmqIga9-tDDtM?ody zH%C6Fle5!>K&vfJs-mVVhXs79^3c7frTvEICAIP8K#4Xle>)#?F;~M>SndMcbUH9$ z1{@&vZ+4xz<}mdFBJSn{BpoJRusY7;rE0IOE;d~oyMKCI_Er4M=jm`dQ~hjnKQCHf zB2uaFQwE!dYlk#-Cct7n`>mqO!4X8)bn>?TI#qS9{nvF-`mMu1qmG-e4-N2C1p!nL zpT#Up>?5f$v-xTh@&259-_#?Af9{<(w4s{TbNgAo zvB6Tz)3Uw*Ejbx+z2g>n&wqAoOZ6^ER3G$DU$_8{r)+J z*urBMRbQNMlN;6TZ;4wD|5LzkwzLcUov>Q#&bU|ZOAbp;p*d0+-~alL?6>UHbJ1O*sW~ zzEXCRpC0e-lV4I2H-s!Yc>UkQhF2vgu_u?WFP^0T$Wl#~KlmJ8H%B)jKSJgLCCSyE z_Gh3APK(a8_Rmdr4xLc5M{#(=kz7$7NhX0Wo(t`n5?qRzP+Wy@rz1}ILQAila0i|# z;Bq~qxbSXG;KyWVdmI6Gi<~@9EEZ4K8LvXt7de>JHFJLw6W`I-HxX$ zbCcb1#gbp7+DG~l2)@FYzB z@yY9CU&v85E=OxkGIwD?%Z&|^%I|!{{LQ{}Nemv7R@^95J2W|O+y+0(HVOHYzg;y; z-J{4W`24EHQbin{`&D+YEW2Q(HsjgQ?3{TUSdm1rgf2>%I_e?`Df7GIxId?>@bbM& zC42Whfort35O;(ER0HuC_-JYDw@VyGt`B@@6CRndU*Zp5(Q3&WR>K2Q{!tweWVgwy zwcqLf|G)p_J7KPwhG(PYy6CAA>6Ep&T?&qUejwRwUM7@a*H)XYxU3x!A{8%I=@fgF z&CYUYrItHI*hc;RQ>z1Ms;a|gvgO#uut*VcJEemxL#=a!WCJzFgon%Vhh6(GX-ff} z+r#mET5V<+Kf;B^Q~<^mBCbt94Ger_Z|&3Ttm1K0bJwhHI(p z5oY6SkIUWoas9jQp%>&k9;aAKz-c8qIvhSbIn7uak_oSbb4OeY&T(^wQ&4zTWIs?e zvf?vMpSEhc{-vMt##**3^v1gc?&5r)U<~S2J`s;G4(8EOXmqEZtlo86Bj{FpoT>ACHueWY(jpd(Cupis$8M(;RE|`e>JM3T&7osj zt1gbK^pAOF@18X^Bss*#vl(N)F_wE2x5^v+n2)iP)*+lh@@zbcV`Fy9s4Vg#yP(I2 z`viMKr+w_-Y5@Dk{#0@D%V{mWWUo%xP3E$b{5q`P;)Ppe>Ywws;>NOy_V;F@WC^(! zD$7(KXj5cNFW-hml2$Ee)fV}He9>}?l0~g29e4GFAQeCN<$mSEutyao+QL+CRNAfnsWZrwiAUA~+A;aTz%G=|HK}G%hRlhhpVT z`L+wutWwI__Mr@w1CM|0#(30OuA5(_4pzFs^IYkJU9!!E-i7dc8826e!_4G-tJ`&% zZPA22jS2rD=bH1ol}Ya?*>F|#<5=HM2xpSnki6u-t*## z*DNcOKmFOfHT?Tow+9vS+d-pPO{%IR(Pv({+#rq;(z)=vPruVQ{F7;Z;DO#rQfV<) z!`cy4Si`O4aijE$F>B|HqFZ%9?)P)dclE3dE{2(;Ke7iZlPTYNY$09 zrF}|Ya;mL5>Li)0fOVt&We>ZaI{Nf=qu7nMQMF8XPeufmd1c19GcLH83_J|`$TfHD zojadAZs0sF7?i?rjKqi=gp1`9oH z!!{%F<0&~sf)ix8ziWONTbiHcrn-*rDm~;|ulME(gJmub#R)qOP9~QS1*|mp_rAw8S?lYa%%Qw5~XO_g`v-N>7;4XgmIYl{@F?){=WO8&Jeoh{&&p= zuby7aILZ@2=LI&7268pUn`CDWT|Af4)jM3S1v~Of&9+PmANhVSCAXQTkgstQ6Z-n1 zF6pLE(cN=r7bW-FYW>6Lmb)DL&JRZ2E5dPAVJ<%Y($`?o;Ucz0f2nz_nKPRus&99S z&$mk+t0U=~cT<51Pxo<+S5KXhxpOvjt`fGGqzS<|9R$dfn8qHyzp^a@Y zY#Lzdu#0ohB)Q3PQJGn_29fg54^T^j%Fzcp2voOUM?6EEa?WmH=-!vS~{p06exEyyO zu5c3PBGeg@;4<^982A99EL4fdf6mx@QeU}`R1Is zCdG^;^c4ixtZlVn+Kk>C~CUy1gn3}D2KN^?8-Lcq(X zax37L{WoN_&ur83_?+I|zaZ>JOxkm)O-}$bXrdetS$fPyno{al|2j);=&}A&a7?90 z+LL)@a9~#(@=zA<|Lf>F!?4ENjGMX4MB(Dc02*ZZFE|6SYJ%Kl>x$+rf^8^nxeCT>cw$l7{7 zeHHWU8l^FlKgKWfB{!J5?CS^b$|MB5H=?>!?AJv=d|x31X>tq@v@j)2wx{ zhEz7EiEMCprg;ALP4RXl-tzI&i3EyT99m^l2opBo?LKvT_^?=Riq$YfRR$meHgh2` z{y)tEOS(tcdw#lpJX%h?dAnz%n<`C|rH%mz{s8>MxnO$1S_h9eKwHo6Q&6IZh5N7g z^l=mNOIk-BLY_iW2*Ai$=rD34b0M4mD6(VGrc@wj`m_;5AO~E6Tr~#M{a87q>w*`R zHMDFb7Z{?zCoQCH?}v(^GLiA;hHMkEB%t$~q0)Rz3hPh`@0ezBP_H`W9`m`!+{J1y zMq@V>+<|N%Pxmb}?e*WBg~m$YlC)f{*e=zXfMvg0W^W*~VYTUZ+4=say_BnmXi=Av z7-|M)X4?vHY7HF2X2Sb zekW&UNKxne*AA=mP6u^Xxwt1wKr#bFRn3EGb}c8QNsM)k?zsG}xVFp*4XHa##+QksQyy{& zMq_=$qcVsjhU@sZ+Vv@~qe}GMmKXUx`Mnx*B9n((XTYQktu2Syn3D;g-&%3C8Xs2q z&$dbm&iZ^H&qTOwl@A)m+m*+(p&0LQNs0qmcgw1VbKma3O3&qOa`zN9YNEwhI z)4`}IYKAy!d`l<3Tgt=;&6IHWf;>mKnx5H2D{J0T&$$Wvr=B3Fa!-!Hk&zD2#00(O z-B|is3{gXE!6+eJjX@n>7YMRNG!;E)LStsx;KlyY;H`hkNgCMgF-0Y-U~r6$(Hywd z#s&_=A)K27LYLRZ>rb0V$*3fi5+=>&sC;3qH9jat+NAeF79Yja>(R%amxlB)D$#RK zZngkE?3kaC?lNyWG?OfZsFb5Tp6}IG;-8v$^ZtOV(vV)MoU;AN+~X znzykPRYp7WLi!zw4|@*7eEK!w6>Z>QsW8vT@dSTQj>$AZ4b`$beAq~7B~J;i+kaY{ zh$1xC`K{B4GzlT~IowjNaRecKc`dwZP4IG!PFC`8yffy@k|XO2suVw=P5j-I1$&-> z!;5ROBaFb+l9)3bjCn&eo39lI_a)#IPnGy@ zz+C8^`Iq3+yB!D#|DWL97?4|I4Nws99v}*Ac+dGfdi92P5@D^j)r&!@LDnn7$^Eox z*vE(fbwyNvr<0shWi&-u!`emPH_S2QY`PVf4ir?4H|UT1?S`=mjUyz+_;q87BbNp` zd*&o83fFR{e?I3)3RW!3w%ej0FXGZ--iMY3<0)Uf7@a_d+w=sjSN#6$h}{#K)nM+m z-3EpP}t>@)v=E zeRq9FAOnhcakb#%57Nm9T6~z{g+2=V?5P1F7{be{!a0RjzvF-LX<9-va0SV!fy=MAO;UJ)sdrJ}cTd445|4lT%(&E{@D#HA+<) zSchqouW~76?9DfTN4+0QSp9iMeTP`ulUkA2O}vO9s}i)cq!Cy|h3S#W353B7?@NwG zdKWgPx0$YOSM2ewFa9n3(2vyWp#p-fW^#Wn5c2hHzxwzIXwFCRyfW8=cMei|9{JAJMn=YyO1;2W? z;#BSX&`YWgv!EDlRuIQ3Y=w+)auvBS*vIeD9C)#|74C*Mk%JN@Kds zOnSrdbK_V5=k(-gqkS@W&X9=#8JymGF!M`~2rJ^2e06%}xNCTKDSRcT(>c_kwR0QB zoPyW9P!ee{WjsyFjzt1pg>S1mZ~Yio4-So6gJT-@UwVVQkvOx+KNVS4Vg)IYoc$Ix z=)U1dk9^QaN>53c;*S+Ud`XclWA3IIP}`u=xdF(_U{B6^^CETql|+mEP>{L@BkdWa zt=ff*My?y*Xq|ahyQ~j{Ri7f1TqI!WnVrs74jQ+`Im~{*-tTXj%L_Sxfdnbb8gLj~ z)y*gGmUo{`BqNK~A20oF?T|cP({V#zVLF5<)rP-h7`3gs{(YHcbC3>OQX#$*v@Mim z046|S`tWdJOaQq+dc@{QhXKHk2(jTjb#F|Jyg;9~1G=h*n>@DG8*JU^D@)hibAOh8 z%iCO~a-2=Vnd*=8^2Qi-sJ|Y!kkm(qQ^7!ypX?Dqsh|3v6vPPegLFas5r$&m+(=#1 zx@u(gJ;5&4MN*a~Yh?OqqfXSK3oQi(WvuX)k|~ zY|s18$y_fvfz6FTvl1m^HV@W!Hp~}7?Y=BXm}6*BW+ymj%8WIwV}fu02ocEOqS~r- zChH@=u1>ZWbDxipCm5+vyV+}|zo{UvgeyonLUcE@ZCYkmk+rveUyDrX%h%o5%6r3H zxzV>_H*0L-=2Q8xS@z0?`zP)uY_vMQzu6LZ`qdGW&Jbp*o#--4Z(fa%u6VUr$+Bcq zKJv8>s7Ik7dzV9g80UT=&d+|OT!v*%(YoY#;8;qOFQ4vd?7I@{M#uRg|L!gLVY zM~hiLG0VxOGrSK#gfO_Hn{(!{>_#6yWJpFchA#cQxO?$jM|@EGJVX*%t?GK;X748D zKef9nvOAa72PrSfwHtR8OtqLfF?0GtRuQg}c8>fbjcNqi<}R+kjm+Aq{4zRHNAKt2 zCo;Z0z1i9!5l{e2mmiJ3ttNG%B%+$3>pB1Sg)~hbpA4PdemL!K*w~x_RHaCBj05|))8gP#r%MKfRtl}N znRiqAv&%v(TDjI8-6$oEQ<`V0BM!bCypCvRgnFKtZ?{b%@SO$Zk~Lmm8nOgluzucM z3#Tmz4zn1x;@)?s_|71s8Z+;}2=H0{nr5<-5Vw4y)<6wTetwcE2)pU(33rCTWz#5y zbMc5pT9b#a$ndU9!d3Sd{i}Fa3-r4xo_GaPO2pQOrj!^$2B2bFba~Y|xkM~fdKc%* zAVfNyJ4zS!uCI{L+X~53yO<*MUUWDgqoh?VkM7fnws)r>2&c%BmhMT__ElI2>%}JQ zgV%64y$zT-Sx^0o>8@_Fu@++$>kddDvjU3vbWgrC7H2u3+tFMOadKZa zY7r*1Nh4bk&sx&c)I7PNbj)0YnJ3+)MmDGSTjPt1PQ3V3&;Q5app7xW`4=8~4E1)Q zO7oz&@^i3Zk>~4|$Gpvv(l~BXgE>(A+h03?EX-n5Dx52Z$Rc@`jVWH`ZH-PHi<6Sl zqY|z=0R?uvws(IA8?KE<$L`YEW(r{aG1z;Gyec4yY`+^TI!|j-;vQI27@OmzfcRv)K9)`v(M0*3Fx)GEnd1mek(*P&W6l^jZF@*GeXe)CSVq#xo>hq?#_8#7AVO`gwhiYWnj166IDTC8CZV+m zJqS*1?9y{U^?hyiZ4Bd~GIn4wYnL)iA8%VW$1^SmK?7pWUO@j%@A@w}CV;T=cKFvk zFs*Dce^-M@BU5ht4*!a76y!vG6aAa;2l*yPhxtt1UsssTCr(cWcLFcZtd}7#%C9R{ z#rGFTnr?S0pr4LMcbP-9#qradv;$xQKJZC9v>{QVUuyFc1WBt;%YCQ6dl{I~*aBx{ z9#KH&nE#xdw245@>oB6{Zo?tg+&)p~!zfu~NM@epu6Fu0#o$2aMCQufvT!qk#H1yY zQ9~TIAf(nqF>B3Uc!7OPmVT8CI$e@8jvVtQza0q4G+qLsY%xl5Luu6gk|XRb#cytA)jC{?DD5Fw`Jdz z4+5m&EhTg_>yHk$;UOQ{`y)Q+>EOucnaBw|Xe5-RqLYIWwiHlfokG0?KNcRC(4)UQ zkEHKyoAsq-h{vR|TM(*a;eB&?RE5=&T|6j>wtVM36k7kRmk$l2oi2^4ZxG2aqG4Nd$A+P9%vQ_mR8uD_s&KR`#O-5Br%%%h z>b9mOD8gbTTb__a5I?Jw2RNdIt<2qwaN1hM4W*eO2H!v{Mg!6CZ=;}uZ9qorqvthx z_>wE$Sk=f@LkWeX>n=keAC9Dx zPgGb4KA%KGG6L21`j2fP`@Qfs8GyUI2Eh{-%AGe2k@lUFyGR{_u)pA`p>-fS(;PqZ zChizItt{M+daj-r#9Dt^^tB}vvJY)+-Y_z{s|O>jTlJZFOPX>QgE}@N)r3pyj?A^p zn)aTv3t5~6>bTVO!coU0X+8-U2@Mw%j*&TL!iUdhj%BuHh}x#>53sCLlo(m_PGhO9 zcA_SSC}se;_~Z_6{_q6!+w>ce`DShrWEAU1b3Qg*gb7$hw(m^1(|BanHqMSmGUqTg9BF8v2v)d|6RhE&nFa&5kJtDt#hl#PF&f3Bod3uPw&i50}I(mLfjMmllyN)gPF`bLwA*+T)&c z&Bs*e<+talB3psB#}p@|MakGvpM1BKcIkh#l@c+kaC~I*9B?-sf&9~yd^uGK>JYt? z&a!L6FgurW@AuaU*^kFp8R=GZnAb=@3HPJE`syJ@|F+5GwT=@2@b<1sh7oVba>#u_ zaHpmT2M?czF4WJ^54wrIa@J!CeAB~PjPJs`$|c0>p_S#fCPX*mGN`w3bRcbVdfo6f zhSP|QKwL2?2PUAa9Hqfg=g4(*FnmdI6<`@qiclz{`6M%vl0cJ+D?WsM_ut11R3{O3 z`PwB)`e;?th>D#S$OxmExVaI`*c*7&EY$ShHNw`J6n!HerG3a%H65%6?V8*$@>ahN z|HgWNniSqQSc9OPdK!<@ZaG=uPC77x%Ft0@njc{@l>eS*%Q-5W=5`oIXtjXbSc&Ph zw!6&%PfA$!E;#MT>!v^JxsjhM=d!2i<~6b3*7sQwWXOzH00u-bQZL_H_hP_P0Z~vD z2WcvD8zsyzU$JB(21LOe%YabSn(N)Y(?2MUyAK8|Gt2H~xSMHXH@K&3hQ@T&s)z=0 zed?5s=5*#;B*#b^KNvDKk(GSbi_s8**@=ED`{Ur|*O6w^0U;Sg@;5NcO-;ZoA2Cl( zxISQO>D?zq(lav89e0_Zu{WCZ-kTP7G_Rk)e;b9YHo)Vp6!Hg{M{oPWwq&Z83i zT3rnXJ#+QrcRu{$1(=({P>+q&s=qHTPouIpA*|%a$D|h@If`1b>DOLE#bvwiLOl;p z$)GY=Vwy-meZ(vvr@c7O!_h83T`yi?FTAE9=(~n#xCIeS{)(Gn_HUpta{cP8&D=WDZVmWYsA%N zTQFQHOGL+n(GcYS=m=OjT42liDE9DJt=xKOpNK#8dcaqZ&Qt7p(WAcr(9RXP2~E3d za~h7xm|*m=(amXp+DV#DYg{dIOG@{tzx6-EVfNZ%GbCPnGn)3=afa9t{;#Bra3_za z1k0nyG!w_=ywDWqIdQpx?*q6N)0O&*$V915JJB!E-n6lHvo3v@Q`>u*{DhO6Ik{O+ zUCl@X9D~HgKONr>R98aFo2&_nbSG}_8J~8t5_E3NRP59V_RnGGryJw{*B4L|?mhU8 zQAdaAt%kQ<{mO9_lV8mdF{SjN`4VxHN*5iM@Rvpm>{5yaLU)Hd-yaNaxxYHYgu2&Nhca=nrv&nXJ*ZB z@9*vuQNAz|&uVJ;b#F(G^Gf2iCanZi$$8e35`VIxg(BhoB^E^7lfW>kprM1D;#5$v zY>fp*$U|@0i>$q=pt}fr=33Pn^wQ-~mWGpaBB>m^C@(KGl&mD>$=7D&BQ7Wp+&V`tgj({Q@ipc`@?XkUtI)@;~%+ z$`VFR_-Eem4!}e}d_s)Pco=51dd$@Nc)BsQBuzog_PT`a3nxyq?Ei8XC%h4R%^C&9S|OZ1_N)j?ywIoN^BB)^qiwm=tT4$eK7-?9)YrJ zFizCN2~-X9&kS+W)<4mgU7nPnEfenArk|*yFQ@l#$9F0&a$=gKCVXO4tOs-C)#(Wu z1pHp&2gwL|8wvhd%xi&(-^pFsQ}UYV^xd8)!_cDYtawivvHN{QQ#owJ&vsIpKt{bo zO`%p@w)G~GUvKmMTdo?hsTe5)wfTh5J2*<{9R%x4r9oGc388!PljpNf?inFkZq(h; zO{4y31n;sfI>HyA{-)CxObs+idgekEm@n@{knu#4D)o>LkTNyk6ZJmu$`MA5hiKRU z#!BBbp}fEv!F(Uz#5r|`1V5xUkjtOpIy0ayW5isL&sdCa#q>5LMek!WCd}52W*Ym* zcy^H_9SrH$d?N4jOenwjOg~Bpv#6^|_m>#T1%VEA3b(xK4%m?K4ulp;brS8QbR%RZ zF*Mq_pd_U8q5qAYM0pkRZQ}UtYgfpbWB<;n&u%2jf6mCmY+{p;*W3s3%(9D0by8Nk zOOFi6R9^N~eAi?kFskgxmhtf?`)QOfwpEtnE6U{5j#gD{g34V+6!qm7UJ;|#7DCua zLfm2hq`nXA-SrS_ee%Qp95i1k;AUg}9R41%+iu#4w%huejT!R8-KJz?s`DWo?Z~F_ z`O}5bg_F2P-_k4m5PO#I`zJhs*o;z4d5MHkQlSn?uI(e-_2kt&JTi1Wgp2mBbbBk! zb4hNn%S^9dJ>fC#bgbzkeEHRZTXJ0rFA12*D7e0K8YF~SC768z%B2t&@m;Em&F>!loF>z3m z5-_?w-)SP~gHc}b=by5m`#XPQY4L^^_Zn{JO~!PsksPsxTOeXe{4-$^t6}I$p9Ewn z{p9@2IFu8B7M@oXrLNp{+PRI@u{H7fbi#P=%p$Zp5j8kUinwa{G2!<%yO!^MZ9AMB zIcI-|w}qKO9v^+J-d8f($*q=_if;E$g@bqALhU}VPhwG6_92*~b{dRJ0zG6rjZtw= z#FDt*QT<QX#T$^bvbD#b;4*XkN)pzr3-q1jIltxLvU64(fCfwX^>X3^{RWCg*&K zrM6zkNbH09IV6QB*jL}bJ-k#<5UIOhgvuOQZYjan&-WRw>*9r1TYd~lZ_7{m5y7@j zBe%LeOt=pERm=aXvn05vG**S@_eg4w+kf4n?}KFU&Wot+SK5SoQHBj6k`iQ{|6b9_ z<&10CF1NHp32iUchwXzB3382s_ei>m0T%rSK?SF%Ksbq-} zU;RUu9k;#Ea=Y%6hSvO9SJC z0S>gnis<0*hyD_7XlNFi52|khzwakKwOHolTB_ z;#0e}ewnOxxjy`dX&^c^vlPAwxGX9NjqffP&S)4~R(T$&O=-CgKWE>4(i{)0$<{gj45_!j89)N5pb3hE>%|v@dy#7>^HR#fr`G z>w|e)jE>6kUvH%cCV%9r3}s3a)6{c~fYB6K=2rfXH_nfY6~aW062=RiD4z=&E0J4T z8`{}%%8~GP*12%RM(izYzMSZbMlDQUI@r`l@scJ+tNMnCOLb^v?iu{h;%N@V6_A977pJdD%mgMhcJTx^RpjMnGO8mvb$brCwuA10j$asSmr6e z53OG(gAYH#2Jl{$&F@QQtsS`c)E!u#qwFyL^3egq=SKoy-|b#sBPj{?{&3V(ihJqe z0S4S@(ex!0#5;D*#pp#HbnKl+k$(QI;`iS#$K5FH!ynHa?|Kc;v4&gXtn+|rlq z^-}Nrz||ZSTI%N5{y;qU{Abnwy8dXt;ulyyO(EHR&HCqbMr&Nx_hj_^RETFP0{&*- zZ2e<}f-B~|yghYOOjzWWRd_ z$1iE8-qM;RnCp@3UV0HfJ>S^0x@@ zxroHSO%DZ2{Ap3P{>QO^ufFa7)XtcEEWL_}d+nWlAUkZPR1uRo@pzHl1*vNRmzCs2 fOkv}%7`UBUT;Ebi>sA@2+L(3dItlmv&zJrWNNd{l literal 23149 zcmeFX^;ers&_4_<+9D0KxN9lJ-Dz=aS}1O%xCV#dR;0LVp*R#TP6H|KPH_+J5P~Gg zH}~_r|HJ#sb8=2LCv)xWTs!ue*#%%=U{pQFM1Q-XKkw0_j-{)cEy#(1Pk@`3n~&kW zhpmGJ1HS-21FtX-A3qO2FP{K|mZhtwt+^$`M>BJK20jKYRR&$luM7$nw(jVekseRc zY%nl-bpL0-c#i$Qqocw9j^3C@?f4L<{*;Hnlhg!;EQSdMrk z8X}WZ$`i*%f=qmZS&9UcT!Ab-lF2$Gf0P+LzDJi&=970~HUCkl4w10xz5;3X|0ti#d++)cVin-K zkpC!lm51?vsG^ZM|54Cv;q>G}WTScT|0rm-GAIAH;Qwvu{~68yA8t`K#}#(p0Pim@ zF6Q$8GiWsdPgYZl{th%VZRXQnq^QEbLRX&ar+&@eWIr2PtWlW%K5{{n2k&Sl!wsBR`+lH3m z9R;DcIvlHlRRyStfd#>;ubkP%xAV_V;mrt}MxSzOT6mp@QX{gqwb@6gv8`QL4PJ*( zwL9r*UHbkwwx;9f{m}Gv_pYDQ#qFWW$ydxS^;|qKTqIt^*tE%n_xg2Ry@7u^dQwzm zOiuskijJi1!>W9AU`NjUkhlLIG~t|WC+8oXSb%YqUlMe)$Ln5^?Yzb?9xk z^k&(YVLR>Udv_eXN)x4k^C_1fvTuO!8L#=LL{1SNQZ+g|+U@MvNc-Vmc8PU=TI!XX zpTn=!&+WDdtG3}}5vgIqmfO*qrlFtbi*|F^6}#PXoYNX2yGF$VmT~t!4_5kB#~u0( z_stq#DvUkm%W^t48K_pBzcrZ*N?AgGTTE_N#OyOK+O&5KgriATDO0cLq@FJ#28C=qm<1Gx>SUeDpeTzjI+GyX5?{+p6^IX~Mr8 zMg*C`Zl{s5bY17lF%}+N^m1VubUOXJFU-uA(!Ed&(whcX@(%1I%W|~2pPsa*Rldhi zG$J9~(sOC&i}BBkq4{U}8KGdTjRWlILXxdW#v1X2CDn{Syk&~P8lFb7#z*?#jjdK! zXR*I$Im*|f-n1>T{RxeQ3*ngLTsBbBRtQpB%F(%usc=y-Rl7NNcP~foU54SUVdq9h zE>7fAm({h{$;;mo0=S6vnSzR4y2^!H?B$rTJqTT{Vb83xuB>0U7;^X!iU>~S(j5X` zV_k7pPNy(z= zg;GHbTP}^?%VI77JAM7Re1qmiUh&OD{^Z_UAtzRWNQ?tmsE>;s8^s}EZlpO3lkQx- zpf0K0ycLYqPc0zW2iP#oeTOws<^`L5ZPi|Nktu+a(ekOWGp}>vb4cX=p_{*W&$GEzX6*$M|BD+Nf9l@h4k0;p%xky{GN=a7$vhgJyYduQMQLja);oYpcL z_2yVq$FN_c3{Up{SxO1SJ!9e)qlvxXL`@4Qk!f2Tjga4$G`KW|n=wBNaLjv%|+AUY2du4OR{^Omkgdm-dz0CkAW?xKm&Tqa! zNv2-U81oskPh1}bBE4f%?w;=gF5LwQVBh77M6*H~2(9bql4_lH!$UtbUwsBCsMN84 z-Chm4XOGJh&*Q$KZ&n2bMJinYrU^ja<>E(n6?=rue`+1m8E)5fsdfolSDX0-x_5;&}#miSs8#fv`BS@T}unQDm8me30qA**S+$oW&FY z2&1hvq=+(ir)qP$M=x`mH9lj0#gBQrADf9keO1;!!D8|ADGt@=`3y>y&L9Nc`Cm5< zTdB?VxsOj(9aXMd_e(Vd+sx+HQ)%4Robp(6Q=%zRa3ZFiD51I6F-$vmV-^v_6nblRG<3v0|8T9s*;h% zD_D10ePzJ(&5d)Bw>YTc2UnU)BH}{(DxAw&zun0%LDF>f%hO2FS`V&@cp4zqM3r09 zmr5SDrpS%_Ov085Nhx4BE)wA#a!>eiD z1I-J#`X$BDzrNS4j}+70jjLd4yMeX>l$AnfDAvkVK0HSjgRoo;J6Ai{UJZN4Aw*fA ziw!xz@-jS`2wrlXLClc`(Xc8iV~;tCjG1TllCfzqn0tes}fu?>VBd*g5VM6n8}%qg`sdS?lxH(fC_c^rH_A4El=i zLttNK6J!%QGcTsf6MhSBJAY}a_ zEww)4Xm+*p=ZCd=24Arpq5p`Y=Q0Z`{#X-46FZccF^;kYPi#K5G@ojjUl+|_Vr;5q zv>jY;<%2HI*ESe)Li80(7{XJNcCsqeheo`IwT$+?quDpUDs{c$sbQ&%Ux{F^1l_H> z?%|uO)G{XQ6f>?5QxX-qZ_K1!3}Qf&sUxL%6=%?zSdqPnUjAH8=U{U6@%;CRWN!;e zB;%ZDy(0$b=6KY=%SNqj{L-X|y-+Uox(@&#wC@>Vcw!@1pnp?|1JLz$w#SgayETSI z@+LF(Tt!@Hdq;pYi*=Q{iGu0lh^b{- zB!+cSubKQO0YS14*3GP683{!MF)-~N7*QjzG{m+?rTBLx{M{-)~<;%#wv zSF#0#>nUMw%$VI39oMTWo%c`HUV}QcJ4#~Xucyu}nd0myIukzj9nJ^)IZz@jubFO0 zfkjr?L^A5ds-6yF=fM`?C0GsC!+G`Xn6V$Wm`lPUcjoZL8fO9k4~3epOEDtK?=iy7 zYzsypozCH4c=nPMWW($!v7;=QBu!2}3Trz5Sf{_UlJT8FsNuMIh9fJ99|LwYO|9Cw zt~R6DXec7TM=Z}Zx7H)ER?Xf?u4{aVrO4z*}jC>wWN)mKCey?p_dD=GL> z>g95lGq@2k?2?@c&!77q|2sxW0mFF40f}kB*YY>W6=|y5EPO!n;d8QCfa)tC*7gH3 z#5^yCcZfjAzxZ#z(;Y~PRdN$Vmrq>WRD?3pV1g*QbNx)5RIq)IrSR~6IAr?;K-g$x zj|A|$`8-ldGDK&wp=z%8S?YKM8mh1|6==#bnl(ns9!Z@5zHw5wZT7gd!!U6dsWr=xX z^Bljap-5ifxntdF)LFga-2IX9w6+=~cz&WM!uyc!we~!(^T+{TM4>c`tJBeU%?Y;5 zx@-?UKl#Pew(s4Wj_eI|e;Zn-%*?PT&C=rG;r>J2Oo(s^<%@+1Nyj)ucur!u(iU&R zC#J4QyL*)CirM*l&i6spJGHV$^9xMYTL&b;){1i008IDBsItR|SUs5xT|K9J5l(BH zo6N2=Qe2xmzo-5*TKwikhY{1;0N*y*!I=ET&L&ZftOmAb%5L5*oR*0y`j_Qd7Q1ih z{{*~F)cQ_5#mshfMMwYol+g&OikhlgM`lj)3~YBmMu{Kb9o|?pp@{iM9Y@f zg_Q3P=d|kE4ci0iEDN~yrSEHbL%B{rZc9Zn5R!I6YwA))UcLm?x(x*fn9e5f8kqYO zT0H~yfR=|D=BT_Wd#IgITe18C(tQ9kK+)#Wh?Eqoa0=O0LYjL)U*garFAUUhpfk94RcT@3ya~~5~rj8d<``M@`g5xlBn|? z?vvni#F^Lb9ANdY*)vnlx^`!rr!C#;-;$l;9~NRK@HTiqvFbCVs~8(;@+N7DW|IvG z_)wryt}U#g>4c@1`_-*KLG}$#jw}s-0QT+sPUs=o2fS;Tzl~_ERqX;J&K$-=9Nwo8 zJUT$qV$#WUBrnW=6^-xfqhy&o0M`6gBQIp{2^hEAU1H7HhFoJ177F~LE9*SbvXOcf zu`i?9G6C;`*@N%#ZN6C30CuwRvfg2R1Y&7J5~*PTYx(q`$ot3VRLDL`00(|99yH!W z`W;qxfuvyVn+K7Cl1&1ppcBsF&aVyaBDUljtV}U)yA}AU|L91rJw7J+@Ui2fqYIr?OJVRz(T61x| zOl%}SN$eQ^bi--Xz#Y>I5S)^#u&Pvh_HC&ex)^wAS9`dS-JT-cJq@W^A+bQ&I~w)*#c-a7 zDyBSzD^mLFWzwRSA^GZ9R8k+Q^tgcXY|+!v3CTsXs{ z<$hxyj&(cF-<|8tikSYdV)yD&(BlhiJ8oZUpf9X!UuVKUE;(s zb`hjt#XL_b=7@MIcT2usM-X&^t6V+Yrpg}LW0C46+#;0H1Q zhyMe^_}y}wRA{g)$Ff3jW$`e7zvUid-e)03@&LjS@|wrx?B#Pj7dUH*_&< zY#m?bzw8D6){rsYB(!=p>OZA4D)z%h@Z*@T=UV8O{EZ205&Evxdq&nWiuOOg8^oO^XOO{37%= zk56^fx#dEXWRdC?h^IR44Lrk~I?7OaPfJwbu0Q;$K^X`8*Jp-eTSP z6~ouhxTZd3VJUv6Ad$wjmgFn>7o41dVdX%nH#L8%88k|%+zJ#^=U{V3WH5;^xI?CjpRza{WH=-7s|?Z)Gn_Z0jMp4YfE3-54z&NXY4^IBAN!|V>}+>=#_X4)x!n3h_{O7@e3Eynit`pFxRk8Ya_i^EPv?egCi?Y^)! zy(DrFWpwc<4QZP1@kH#yxi6;)dyCq>N(Elyt3uE2tuW6Ph|aT7>$`!}g`~6Pkt#}W{hH9+((}lTnbG*Q$J~(~{KLwtT7!TE$$K3`IC4zxo7`)Bm<}?MPD}Z@~2VXDcP!&Cx%JaMt1@UR=5 zHH$s{`T%9UctfX*d_Nj|t5-=$jPE9Kq>cDR70=ua`h%ypSkaaFdS~v-HH?U`h&XR~ z=)PoU<@K}Dj+XBuAZpD3hI%i?FfnTD)IxQzN>I;yU@hvgXPrAKrZYDr)4k zrloiCtFE~6E;Cz5wL3q#>&V7f&9;v;3tLOKFc>E59U|_Ws3lIcWj_2jDb3fdxJvtB zS(HSPAVNc>%ay0x(Q1Iwu%OR3q+h+yi}WR~B%`qqQ_p$@fHe2IK!@uq+KrHAN3?9G zm;v<9MmgVtY>%Z!N$)NP7Hol{ANW=eSojzbVclWy+*+zR;x&KcCDB#h9c1Tcu5B^? z7`y{-{zx3hucu<$hqzzglag=#+oCRht3BU`(?x@B7$eQwF(KC>IdxxL2b0&wf#QK~ z{oj0c^;doy0nel|m&U=7*H|(%ZOCkJRqb*_{f|wwfinkpI!+R`syG*wMd2UyVEGAs zeX^N`QXcF7RyH<*);2DPk1v1K{&RDV_t!ZQxl=(O;xp7t6XO>!d)3+tMZ9r*vnC1gS_BR5fRYnj4G#qCOb<#1cbG)B<+u{^5wH_aPG~Of!|wOG|Sq zB`qqgGo>pb>LkY54~!#@1L<$Ci+cVl@=|L*_LYv{17W25$QybI;P$tu|yf{EWSL8ZHezLI zx+b|)vl=_pJPbz*PM6$5;PC%tzwmm)OGqxTsj4yWGO&4$7NMLWnaB@uU3Z{hVJ*k8)|2-yv$`TS~=;`xvAVuUiF63 zYugX#aRYRkk7wDvBDCbbBC(xKV1`fZ#zFy#SR^mMM$3i3A*&I(dtO}VthEmOBW%5- z3qEm;d+}dqa}cGDX0nDxowML_C3m5TJ_bSeE8Vgt7c&u}&XzxyxJ7#alqNQ+ui~5o3snmFd^L?E-Y`%7#y#20@`0BIvHQygtRxYOf^knrZ#*DIfip3OXl`N9xChYzW1 z*hNW*+965K<r5V6rKzLE-6ms_!xU# z)YWg^KyizEd^Xbj{%a3eBNstlR?Dj`fA`oT%5C|Z>KJW@*C`l(P(LAfub<^A%9{cb zld-hZ$zOCK0OLJ^=2)cxz~!KsZeos;I_S5o+Nb9EZcA-_MfnV|zSCju+WZ@|p)l$2<; zkKRXE`|a9imW;b5HFp2XO@91jaU4?){29|R%SMh`gWN9{I_e?#zcHfBY%eUspUSl& z_;YzLqu18k&yTc(*;2#hbkm(0m6i7KfV`rL^OwrnQO{Pt3@MA{r1oQ*3n{>r^?4KQXQBC>kg z+_(fBy01HqXZ{Kb<;(-n1S>LAF8ST~`7d2jl0Cnxe{;dYr{)d2?}(_5iF6s> zEs-)5casHG;{ezl=OUdpyXAOZlVT3x+1STC(vZ_X^yy%dNOm*d&?cd5Ij9)2ZEj1U z6mlz~nJz{%rI1Jns9~_=h=ZpGoXUf_T@Z5*kM#&aFCW)17pzFmfYLKz~x`3A;S;{#2JZXom z%eU`Av*VIe=qIL|nj7?wy;JaA{r^_&-k_hQ zqhFlUTt&x(<`G=q7rrunAVm4EwXu#UUzr08$f%Wlr;twHaTuBc3qR!CXGclPae#EBwn!B-duM zcaZi~esNOcz-NjQh`h1Zzl?XvGTKj==QlYiP~+N?J0>6rqF9Dt^3=xu&q)B)5t2;G zUb&$x7L{|1ujei&poT&_tM}mg=YKRrF+3n;=U0I|sT02%+Vxg#29FIUr%JWc15rI< z775dR-3^X4=IwhjgKCavKV$HZT!uPrjAyn$bJDwdi)V6SIlk_ax=BKxAKw0B=U)hS zc!&_=={>E!TT5B{*t&Y94_PZ#i{>%@^TNF)h?XyuJD)9B!)YNWnm)aeond1T>0J7m z=WaVMolHbNbML486_tCgYA3x|X5d^pB@2AmKl4%AV22dNPnoucTGFv) zmR?#e`iG9KCmly1ETv8O*QV2NOD27!t9jrYN#L)hJBEtGk_|j?$|Uf=j*;fLH1HQw zE2a6ZdtSeNlMcuNaYxT>63=Xhd**xb$DAv#0}aMzicF@#_!cgm@kdU-YUOdqZ8-7w z+)cmz>6Y=&&mL^%(^pGd?z%V|R(CD<@6}7!i6bl@DmL%(ko>w^Y7)vjr$~O^ZhtY@ z-eS`2aL352eel!48OUPo_KkWv-Z0fEkID3an&oervmd|TW}+JQK3nCa{g}rw>+inr z0GY52zapL38BVzMzlFRJ5~9iDoPzrtLlTFK5_3&KJlUF=oEPJT+{23?UPT{WA9Xt98;ros)oyTsdc>T)yOh2KONy=*w#h$J^&2iJc5dbyJ9e;J31X?+E zyWJ&XmaDe-J*-fm_H-a@9CNEssae{@O5uZ#ZP4wofZFmeXWO7+iV*@yYYYBWT!UT3 zXtk%xPW>@*uG4+Rn3>Cqlo4Av32Nmds0!Jrqqb>&Z7NaAa_kRImHIB(xPhW{l&6qn z*8A$DB=z!rM_}To7y3+EKHpXvbzZW@9wjdYW_bKgdt!}$OfulX_2wfDbyN}f#)H$V5yoHLiu|5Tm2R& z&|;&j;e5b{ryS2g{qvbAd?+t^Qr;9 z3zMU29~#88?1!+x@ui2*fW!1(h)`rx;4cuW^ukBFZqDzf%CNY<-eUZqxuY-x>I+4v zV@YtWA@irJ4qr>U2&%PV8HaH>Y9vH2{6YG3n3gSFA?~#Eih0DO5~-(&nx=R5z}rpR zvQhBj{!6YW{?YS3l4@5a9l54nRT7z$kC4)OTEeecPB~p?c%`NG{_!2h<7BS8(rQXq zi8Ag!2Gc|R^_Mrc3LY~tE#5Vq*E@%(w?AM8UT;}*V@CXy>M!dg)&5*MLdz3$_vR>v3-3CMQHxI*aM>L`N>q{vr6C$7K%-jj`$PQN+$+iDig|mV$6zNiZSY zkcj_{k|#U8T-GC<&TpG4ks4!8vbP3+3(!BM`2F}6T1c)MVIH!7D%yvDWMVkB&M7R|?om-~K)rU%Ur zmVv%)1IOT)BzkIdEsmS>PWaqLw0CX@+ zwebP4f9D46Q1AP*6{bUx7dvu#WyvoLT1y9qc8t{QSUxcDz=i!YeXlL)veR!1J4Ra4 zh%@+)81KZxx&lLETnG580t4I++x(*-iaXAScdyTmt&!}%P13I02>q8%880(kglU|N zJDI#djs`_ka|iFMP8&4hi_Hl1;9uL1inF%TurO*i#!q<0;=ZQ z46&tHgP(zstZIIpgdpK}K>Xcgs zgESG#ipqb|_=1N7_@P`&{ezH93%WT|ecCDOefq}VJ__<}vjRZejA;6aQ?Hda1b!Ob zn@ngtKP~)JRh{I*?)_r>ns?ffn!i*lu{-_0pIq!(Xms+dRBfDCLF&f{%hZ9o_s_e% z$c~YEw4wVoMiW%G+cL0+NZ1?Wy>Yw7Xch0?;Zi-J3-V5YkI%C#ocO2ZGDyV&`=@~B zTv?h0+fXvO=IGobi+~Ou_;b7av3r*ywI!Bs&A&uOWqyntURplL`xh-@;(r(~bz5e= zgm^6_=W{w<<*tD4|0RLVplk6b%>iY4NQdEa&^@bv=0jXq{hqmhri=E!z5Tasx~6Q` zofdMTke@%+C>`y!cajdift1I+YtWNAq8bOoXNnWuXfw^;9f|Bz5#3%Lcy&WTK_+Z6L z*17C-_2IDmxgjReAiVUF;L%7e+z9qjc~!-mT8ms-bedV<@Ry38i$riex476BQ>{S9U7ACEpz%d14Xa69uJd4N2mWh&X* zRB5t-nX|;yqf>*02D)yHCO@#U=$i0$$}xXC03s`z%5cQq!b1x$!}8DH@(us|) z|GDavGI6Y6~YHd*FQf=5#HfLom14KIUrtxd^73 zM2yv-%quD@+ZCHk8EUETbFo(Yf0Ay0kx4Q0J2#?!JREE~wi*26tq+^?AKTxzI##L@ zO4v5boAimR_XkTuulHs(%q})oEw*cl^)V>rgcp`jZ}-{1%hug$BbiI|sXzebZ*R8h zZePBtV@i0eJkE5K(dPr>>?7PKu*wCl-1&m2a-&-fl?f9pr6-d$7=-iqtJb_PMUkQK zao0mTZttZCF66dNrq5g2gepfIHQ1#Qof`7duwg{F(Bnl~xc=r6t7p2XC&5BAQo4rx zUC3B1I4^L_;F;gEYcD?{ftoo>ot5;5&Z?;h!^fZXHW|g|oSgS1M+W;1=EjJc`e?4DL)ic5?|Ar|{u*h%BNhk@&seLE%@-z|z>J8o zdMFx#+={^lt_CAAcUw0`Q6uz%S>VUhze`r7tM6&h(Pr1CyZ*v^bl?ABwlMSh(Oz$4 z`c!(V>BpoW8|Y=wrLJH1z#gzOe_p!|2-uExkg+xH4Zco>tyq(WL8MSk@VFDV!oW7= zKlw7Nz$qiYFM)`Pi1~e?5EIJGWnw#Pl> ze)!6^bk#!7T1}ebefzxR24R^wAkH;$;Cn>3DRV-bOK!dL0V+(P?L1f#z2VBe1q^s- z6a7O&Ox^yhEln=XoYtp9si|UNJ$ts}!!1^lhNXkFWdbqjp-%&eq&mvJG(Pc~|C|!~ z=MZRR(P88x?erPcVcDRd`C8I5W#lnI-ItT&jZz6Y-Ly-?2d~`I7kO_blHF|&Nt*&c z0Cfchiq_t(>Q?pdN(J=nUsVAaOkZz3FI0G4CSRgdUY-jjg9~pO5uD3BuIUhsr>gs! zzX;}2I6wI)!z(bGp36-O;`Fcb94RHV`-%s8vG4GGq+#qeUBu_@<)tLb%(l$mWgmYu zlZ;ULWL?p*qb0w3e_+P8Yw?FVvO54?-~ zDhS$r5B|~R$v&to!3`Q@Jdl^ZK@54P$bs9W$4Q_H1~^^E_w!u5#Vxx6e;mcPKs*{*S=7WzrhVpb%9m6kV z+fwTG*#37l505-)W)SLUQ+>}&cv=*7~&Du}-kKy4EVlAggw{D;a@okb`z%k|4Yin*|hR3YYjOIx#?M{V#;3L<^mo;>_k zU|AK_ojdGxadFPjL0I>M+TQD$Tw1*L1kpubh4Q!I)^=IJISl%n4&_ZpcVu(YO?P^~7@LD|kX&liK>iEiz!K_GX1fDc^nm$SV z;nl0DXzw^roM^mf;o4N$-_ja~s((;TEw zV!dCaj2}{G@o#ks6q-(JI&n258fYU~tC+`Q=-8va}qA_#ZG{&TU9qYya zJ3t|Os`yrVC`(kDd&VO(phoUqV*6S0>3fFchN`jMKHLi`*}K<>{`3OcaO}Ch*x4*S zx=mlj=WFg)O)lMb!r%cQZ_ag2Gy;8j3RmTZ}iuut?pxFSf#(&B_E#n!ywwf(!svtFgNt1FTgsmr1E?bc#PxnjQOY6?=pk)9a5W1zavb?cgoT;$35gai%V`Kg+%- zY<1Gt=0(fR4@)>S&slk<%;KiA@Jq=2u;ZW@N1Nz1@Hax;@vva|-`RAYD411-wc1Zj zw7z+(RQJ^m_Tqo+USBst$=B<&y6`uA7To18>v=?d92Co;Xkd5b zYe!n3Dti6`W5q%7PU78hhstplZ813d#w)A7`LH?a%~4DT(FF6urvMpNV_eRtz`fF% z*a#8zlisvBGbFA|G-_Xb$eZI9zSsj)gOufkG#Af+9yv`qN*rq( zncewo=SkPLj$Y9>Q!RJFyaP+Z2Xp5!TPn{)RPP$>b>%%doc%Aiwc|>cpD#!)^1TE? zH;=e(^NgF@`4_bgn%UK6SGEm(`#mLmCCnSG*G4j5ra8YM4)UZtd&*m*`v;OOTCo;c zdXu-&7LZ-m^`X|qzL@p?D4?=`yE^a~vTZEcn8b$e*^3WU5!YV7`W>tnCpE)S#a@$h zV>)O8qtq`-YPYT!oo+lvYl`!&VbXh7NecGN>*JNKq&$NhL0`21)f`EMCAY#AIhTv7 z3$17YR+BMuoHeA+_~RF}rY(3*QZ~0Viqks?rhABfiaqQ_qsm7)UpF6aO?mr9@zxEp zaUz!LSx%J}onD=@=G=Fc4UTL~0A+lk1K08zCLA9gK2lg1lc5S>l!M7H9Uf|vs#o?! zZ?y(dnK$*GZg(n*ykcqTv#?5YXtkqyj>U@YaoXJ}{kQy4#{wwYr^vhR^*k%HC+Y8e z@GjnZK=f3Sfz`M*Gw^95C1|_qalq>B+JuM5jRe}Q=Tk8b_Q6$zgWs}8T$l~59^)4l&>Bm>xQxZlmp}UmxCfyX>@5x5=d}E`l zNqJ7}1g9HAdx}ua9KXo1z)zytDSjig#a?u56 z_j_D({^J&>;we5nDSakKpZ%EL*NT+#&iU$tMDo*wd8y2@H!Og7(>C{o3IkQulU477 zNAPUDkk0 zUDm)=_MzKz*}#FnXX1kw!ri9a9n0E!0TJ72pSWAVz2w!+G3lCq8y$3W94MuG)w|=I z8?`7IKcQ{x?BtgnluM20f2aaj(RVdX0mP9CR-nNe@0y`Qqwl!s&-#5Fp*A8-J4cPX zAmpdspkmo6xrqx8F#*SuigxAd_E4(veuiUA-C zXC1h2pN~^V!PsiTP(}{p)y=M=sn`Lysiy7$;GXomhp~#I>(gYy@d+#FK6+!vg=z<> zFHpqKttE+XrS9h45*VSTBoT*9fvixdf+XnL*7ct8M-~dVgj%d6XAS0MU9oobfZYPV zm4cJCC5GS8JvbO^UZ4yWObl$d5t!Hg{(o2>Isn_p!Z7L4tEB!Kh>6gq8az>);pdVE z&ep!W9w%6`M%z^ACMh86f($&jRL$us>iyI!vFX@)ui1YFbV`XdW})APe$Idx{h5FF zhukiip8Qx=rkkp|CvPzOUv+z_*D$5$-3QORYXS+$Kw&81e!rymJ*ICRqAhW^-D=M( z?h+MtS?{3}XMG6^z?!QJ=j>f6?e&CmR$e-vYX6s_>%_+e`e~V@=c*?(9v@6vepszP zFkjRO@34YN2{%8SAjy3Z@mN%WRu50?E+U_EW8Wt?|2>B>j~9CndGu!Y*8dX%d(yze z$rqbM*0m^ZR zw+p%KUe@b!GRn|0`VJF8DEGcsNo{3gAgN{a^(LxU;`#L<8c!KljGA~?jG0QgzE{Cd zpBa{3(_HDR$+!lk-nb13Tz{rx_RX7xbD}YZ^ZOKY)*k^(ztuJtl1c*^f8R`@vzgm} z+012@$Smtx6#;rq{-}sTM|RT|nM0{}m_0ogwIYdf6kHER6%(N3eG2{-Ba z)};p-T2Ko-b2w8OItF`kRO|majqypl3n+NE+^V$?BNy>=m`^@d$}59Gzx4Byk*IO5M>u`n$tUJfMZZf!ndy z6w&)+IyGdY(`GVjbe+>_X8PUffT$B_DjHWgugKYE<{0}t{k`k)GuqW^M@=;eRvPiR zPA~xq?I#LU$q@r-ZiTtCPE?N%VvDFU%B#44=zFf#TUhs%#^`tkjl5dC4pS4=EnVPR zKuIq6LU!)$$7{X(8?I|$5-!Cz7ovlc#5aPc0h7)uGAAAv>Ibuvxg^|;-FM^Z6;ANn z*?v|YTBt3wU0<({+l`lB)pH&Z_EkTWbN{-%>`|bAKE-)Y*_S8EzLJC}2G|-feOoI$ zlz&@INV`+~1s%q8Eyne*5d+BtvbS|n5p}u9xg3BdM<_*3Hg)71zioo`yV@o7_S5`?22R@HA{|Mag)dw~z!9 zlg1q~uR?J^NR?{f26NppxQ6-2%U?Y+iPkIe!F(1#AMNQ-ei-RHNHhzmpDoRc^jsF% znFSfDN?Ovo#0gJ>Y-rEh)^J!g1GL z&MFEDR1(AO^)tC!@c-b>oVtl~-Kp2#RCaOi8%++1@=Up@-;F>gxX|62Un}>xTft%< zDQ3Tld~YEcUzYK%b*_m<|B5NJes__woLI9-q(S@%p@9&)4Vi z7J!%(cJG#kD7#DXRYZ7y#ZxJ}q`B!m3C^U^;r^8x5@(d;(n6%m!WB2-sJH_M?)%PD z!Tzhf^Z}U;)xS07VGH|Qz7_syZQgh(=8RNgRkg~$w++qwFfxqN{u40C&5&EroQf>z zTTET7i=1|M;7E=QYLBcO$*K)w?bKaU{=7mEgL1)(m0^?bPjD<1r7(3u%Fpt9v!Uj3 z=xcFK)3jA(47;LUf-0^Tg%|A`dY|g8s1%4aU(MF2w_nVf&x-z+1 z+ymU`n|E*>W|pQg01e5a3Oiy5$p9_2I*6TGlvHmJ3bzNa@NBiez+-z@uGQ|csT_2lH3da8g`~(=NA8MUqli! z>{Nq13famIaTs`mcRS@>UP#{`7kn{=IU0a#q3ATkok9DAw*%Yj+iG&^knU3$qZVLx z(mt<6bPZ|Y*&v1=o`$L{rSI1-#=n;xLqNHSkKkc6rkEnlZ5=DXsJ{s=%i!UE z^~EZLWFJ((g+?L~wl#q&3+*<(0CBs@qap1AOr$b%&Rr3aZM^@xKMU|i!OPMBt<77I zyFQRjuaPDcgQ`4cP^R@P;$R4LJv0cDCshRfBc4IcZNMg9%LVNnuV~AZl#MZs$@Z<4lH$B(140*!xckWIgEkatY6nI*Aj?4b09&m zKu2%PRX+fD@uHa->oyo)+S-={YjVC{$6f3P;V8Iu{w4OnWgpld&c*Kv@$HqO~_6X4~h9_#HeP!-hKpK72D8Pf|yG{rqB-eWZnziyaAGyx(QpI6Gc5 zzqNO-rgp54)34hdwrAg(yHjli+@b-KgrWAJ6A?{O=l!cIfWD^!{4L9d=4w6lT^D7-li zz{k*Nic9Bs6z?|Vmu~fo)o~l9q%gs7fc8bKosjZZU3|}^MnrsW>-XR@B6bM+%_tit zdpJJBzS_$@NoVagT1s7#7u^*WmuSv~zdq;=y$*3x1WZxy%XzW#eg^PMTAf;IU)5Jt zg5GkD>PIwICL!)-y0mCyM?3xh@5-&43pO*1N5&>d4#8HN=rajY$)AF_ZW}+Mp)%89T zRphD|=HsXY{V=FH8YzB=IYx=_oq(+Eco!)Q_+1($XRD&2@~RSGgL#Nq&~$ z^8VK17~+%oZllgq;tpx5TJB-@gL$scqP6a>hh8prAs=9OLQ)_grA-%x^> zt=ow0S`A&kGG&*5G5s}4T>nuR*T~h~gSqg^g1WFP*qa@tQ{?M&kxDd#NBccSXkgKa zh%N0Ja9nGGBeGGQ)@ebd4s1$kD|g>v8#>k#uAXj#PSb70U$}>!>pF2jI8pVgasD*!80&i0&FS+tiX~JU@_dq?6^Hl1ZYHjV{Zo^(Q8eGZp{l4QwXVXH zbdTz<1{ujH9?JE zjF%<`fN)(}e}~ITK-(p8$7!bZj8>JG-SoP%e`Ju41XA}c{ViuEWKA`P!^bfDoQ?(t zzMU;gxk+v&!pq76D+V5~DeF|A8})%$^BJ!!=wx&pWSwy;0p7gWOh zdto7!J%Natrx=5dxtshf(NZN@|Dh^UzLS3L+gU0u;^J#aF6_>ux>d|}a=7!@kByXA z4^alW2d3l0d*0oc|B8QjyW8EPU`o+muS!@afBnv^FiDj%Uc$ApivgkiHQ1o zo_`)3A5xF%$6m|sIY$i=wH)ERRCG#`a$>r07y~>eK9$U2g`O$`A^*(8mhN@w+a&{a zYN@e|a=MRnEA4)ef&MiUlDUd(30-}ReLXV_6}tyhKbu8YG6%Xwa)PJ2KSEkknEZfd ze;wFjnElc3wy}*}r)$uavjKPR;yU;{md0Gi4LVuE?)!=O>5uK693Mfo4X>_fmd)Pi z+iY^(nJqoTv@v7;=zB_8ZvJJU8b>klsX*JOZ|%D?xYqFr^rPJKtIc{<0K?{qzseZ- z7*wD=eqjaLQosk$eov@f*b`;}4t)P~-PI~7K2G@->FJFOs2vV7-xp1>kbM&U9^Wep zdOOWI4&*@Q0wig@rwD{$xA}OKbt)Ce5moTztbkD(;-4#~9DtS$mH#Q-M775{gB%UG zpj3J`iX~#5{9eAT2`TYDmkA~??>pSA!ml)(q}Fn8BiHS|^94`dOa~DIu7hu2?aYqg zEE~p^+?6=mb5S()j+=4NEWa6_rK}~z6%7?>@J5_n2e1Q{`AI4JG&H2buVEqH2fFMh z=ZhDz_`WFbPA|HAPy41T@biHsoDBT-hBUcGq9R5IjEyIRXJiftwHFh zguep>CSzLVs!^xZ#`~wNS0;tcr3a(dv6a>r`gZyteRRzjy6LNS`J*-W}R zC%{M2cHykm^BsDNmLlVEKU~`cWm$(antDx zgsgl~XT_3M>6*<@;36rykuB(*V2;9yq11?cDD+7_6l9>>zQIo->~$U*QGV}VeOoX) zYxQ42^UgS9HVs9bqIu2QG@2SeqbC`=o@QB886uZ4fFP$Rb4 zZt;zHC5rB28ra4kow*zwCGbuID1qE?mE1-r6(TYLVfOv+_Tt-T?X7{5$8|W$xTWbH z^sXYFgPB2$_+~h!W|cL%zU}8k&e|VOO2xgQtOX|bp1r$h5_me!0d3+rq}y(ONn_3b!f1?r$}-Isj_cO4`M$hZq@QJ zKRxQk+t&Gp7W{cyLDy`-z09TxhNePMbCQ>-(L9ah;xP0lS zDP#8fe3bI8V89TSm$jqj z(3sOX8sZ6+wTyu!FO7v;Om5Q)NkXiQgn85U1tb4q6yRpLJMgRKH|uoFRD*es@TfDt zl3b9F!e1}YWR}FG`SFcLipl*{Ozjr*X|_}$i1rJsA=bx%XBaMGWS!w^7RBo(bBF3m zi3^x|yDsK+%OAM5ob^Y%k<}=w(#@*KdV;qHl1P>HJMrJ(i=h{zX z58?aO5Wt8i>+B$KKEwPKn_NHuud=e^H_r^?KpqAgE<9i|xDj=aYm~akmYk+KdC(X# zAXN4yDP|e~ijAnf^ZN0{93{aEtR4YUdzxgUJBbq4#IVk2*;lnVk$%c1UVw{0oT{Mg zt?B6kBvL~Dh-cLbr@!Z%96r^TMA#!f-h z9+)xnw-5|XzDf%U9N8LpWErir0tz^xGM;G7S<)k5fo{!4T7(=E&XH@tC=8j%Kkg5< z$ffs&NykBS=y3^@4+Pnu32)y)RBZQXk1 zMZZ&}gzkz2qyDg{3K~(fy(q`+C1~0`R&%7kY1x%a-$jh)Oi2%3K-@}Q)K%dQtL}RB0R1D z3pgC4WL>#LS!bFd?{?5>BX_6^ErfIo@vw5lJaeNr|1fNs3jc)_4V!2C^{Wr#x~#g_ z<_GS4bnjH4s{Yhp;eIO_)<;SlI7@{pCrTMN!|Lt7LUaxDdp}SA<{5_&rju(1r;V5O zOWc(mT$^ouTUFhlTTcf3gr3vX3=M#XW6+IOOq z_I>;=z^exPR`zsfVLR7kr}uga;u9sQee6%*o?teuA7v`bm1N0+f*|-|w_9rtaW}r4 zYa5?t%6X#j{o8-ybHQIC%*$ugzEaQTjUP%sk3J2oReE#SOQ3IE7kK6T*Ar}+*%Ks{ zn)+;EWsT{om)>e%^H@ghN$&nBQABxfXr$kBzE`G(*l~hvuvW9LE?z#Y&g6cgwNO=$ z$b>E5%Db){=mQSP4xjf4AmEwXR3xq|1wM|T`GtqothA`+R4cYd}k>;m(=>R6PkTj?{XW-=)x&1)$(VWve%5o~LG{=fF>Gx5%jJ{)^Od+es zX7(Svl(73m$brCZIcnQ!>-~`c?U#UkbD)1tT;6V!>>3`JK|iTE+2rLtu{9x>2sf@a zN_%eh+xQ`_Jquu$dvH%M=Axf@*Fmdu2E48|`RKu?FA{wBT>g_(+%z zXc!ak(yVQRv^DJLwM`!g9@qW8-}^gmmZw?p*KeH4dq#tUPMv+3uid0xb5W4J$2QH( z{?_gI@i}bnl=&X~pZ&E|u`1u=b9w?CnLnwSq_6nW?alO^!S>-VX8Er4f4^OFO}bCN z8tU)-pbrK(@IowXwSTgv`HSR4{Oi{2!MFYRuLm#X0W*AqXM{}`|4{>#ibAaQ?c=ZZ zsL-tpQYpz*#6O>V5*oO7dT=q0i=)ar`V-%4^N!a#c>GGgtX`_WNa(fMUHfP%$Odza zZs};Y=iICA&+_IcQJ)}f7D{C|f#Tm@DSWXYL@2+77w%VlgxRG)^VjT6@&dl=ZX0X`j*z>vevh4dedxFB>T5_6b#v4xCNXxHaCi zb+@atv{>1Snsh*^oToK2<4U`?Gr+?aLUt?)&9gmPU>DYg*%Mo`wR|rx;qm0@abQ7A z(T?MBjolP`pWOLjA~xC8ai9TutL#`|Qfrm*b>-XfzMD(e*Yv7Fww%Pn8>Oxp@l8ig zhE&1O&lEsNK*Cm&j@;9%GduTCilKS$)C`llZAAVDx>IwL3}c?p_H+}H6e2R^p(0xZ(f@$E78nr!< X@NF&}f?t6{(|g?u#j+XDQtAHy=0ltL diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 9c31542c1cdb0f26fd2af38e9f2713089cb87570..b8180eb3b64d75b2e6ab7c69d25ab459442ad595 100755 GIT binary patch literal 18917 zcmZU3Wl&r}({6y^9^5q$g1fW0ySux)Yw+N~g1fsdE(s37-GjUP!tUO@-}~Jkw{D$! zy1P!zOwH-;>6z)%*dIQ8s6&PMM?L;M;{Uj|mAi+Xi!&uVCkq=3JEgdnouefs2PX$5 z8xJcx2P+2~J13=vmAki{g%zc$nS}!-JEev^rH++3rIe+e=f9rTA7TFu^Wg)(#Q(4l zFPQ)3hjRbrzAzU?$f4v?=~o|BS<)3cH4)M#;W%|L@Y|v-KS^_>@^K=6-NX9vAtgB? z8Oc|%`J}$MlCrXC*bj{xBBer%mV1N0d!(C|8~PR1V7jk{f6+2;02J+k(B2nbR*si`rG^Qv{&DK zT{%5+!`n6qak}-1&z2A43MSu;m+<;pb`pDdoF(QJ#hX`^Nsl8W`2dnlY5?>f9O0ZH zGidRinrI?oT+!2}Jvw)?bVxqp zkJ<}xzgC-^*X}&D>rZrn!Qke&M7>`7sfom^>1hjwWt}bI3Sd`-j22*oxkrXGz~1Kh ztFgZyc%lUY`?(xU1ZaiX&nHDg3K3r;ixH@}XKHz+V|bmo^-g2wNwH|M(mND#J8T9N zGfOY)`OC=r(DqiMhPNiMFhC|imvRaw8WLH@gcf~<&H?7Y46u z^&AA!PlHmaqZ2F{6aMs$3(?$o5JhB?!Jm7^TE znZE9yCEvA~s36@L|BjZSv%KMMV12JxL7nDhN&>7^RFQgf%5p+&Wu66!*1}(K8!+`H zI2aTaX|)SSoKR;W`y}k=Q%t% z_!-%8$`LE|o>8kE`fu=@GxgGx3H~r%j1*g!$;1t@0w;=RJ_7yIC!JJuP0E>*l$?U} zq_b9zmLjcY)zu~NnxTWt?ml595**heu(6mindT|0OyqL7rnx-HQD7L? zbgFr)(dRT+?l@VI$=n{ulMY(C-QaQj`f8cwB(uCS{lR@wZ&MNt zJRjig%5u9?7!ZCP-}XQD0>Ym$H;yjzIxBf^;S}Q82stgiPR=9;jws%k_Hr{B;jRjKPJc-`dV+unWqXrML?!ekAYNG&w4r-z_2_w07ZTrlm~@ zwR6gHR@R{_Rhz`Z#DO~S*%w2repR3tzM5S!n{Mm}P@=WMHp4T-_FiuQV+*F6BNf-q z?Z&5)X{1y+@9kK=Ny?&6H8`>B6Lzj42J6aCw)E0TK(N`5z2Oa{X*xanjB3iTRK?kfyDOZew4 zg_dWA=`QD-KThA%J`a7Bpc@w>qKUzEa}d+7VtxDII*2|VBqv_IPJm0TxiMv>?7WrW z<0jN;mEYKHl6md-auO0OpfVGD)U4{DV_%qkf5K5BooRW#oVme%e^ARWRmoGtc+>-= ztf)m|;EuSMI>KXqL>AL)=+rH)`dt~>Kl%7A)3RHHQ`Whv_C(CK;OPZxJ1F#>@z}>M z?egKi;S{9V2!XQ<*|HwL>vFAV581BC{lw$^%*rX98-!x>F=bz4`dYa83HLU1)ue#p z1af=-TO59=Z!ziknp#K|R3uktGLC8&EHuFo9h}45FXA(aB?g`%aQjur5R5OfpZL%) zlF79aSq*+C)iU8%1iq`jUHa<}To+UUF{jsfH=c%%tJfS|1CR6_PzF8bM~^k1p}$x{ zfL)N{5O)`?AQF>I3Jcjoen!q-yAA%Xy6QhVWMe^5)hsOpv^hJlFla71{X7*MRrZJe z0b2%X4qU4j-E_(!eV0DVn-W(xPAD#vzO$odtNh9t|6@>j*EG5wz0`*jv|HzFaGY_oKFrVZ z1!sT!98e(K8C?ojB^0e#CwvYP(eg5xc~ZHU|G`won z0?0POH+4or*Ngl4n!8M`-a>9h0l9X!mg(!c6P3Y%;Drl-7c&U1r#ek`X@^PY@9^#N zc(>mD;vHyxi>2ow#u!lD*Az6@JMLjB$8T@!M!BM;KFpqG&?->w(<)%wx5oWUS4RfK*!d7I^uud^7 zDoKN_<^Pl&rKM0*>-6yJC@cL4-q7povv}5dIXXT`ZcV!?c%pzB>TQIuyxGPbmQYu; zg3G&%-;#V>UCRBeyF?A&|0gNDVbDo5C-nL}(U`^W2`)_wT{fR)j=KQH?-XDjceil^ApUp^0#-3{8LP0>4AluarS?{q2#O+aw5F&6<~u_-OgOh#+`%vs~`=) zewhkYh*8%VY`BmiIZM_UtBA?5BRCtit&5YwP1Y~DAGQD^~$&4ix8GJ z|M!AKe`zvzlviA}g#KJWg<}vJ0N}`j{dO}0SYA7p{n7&8g!k4c3n}WEaGt zT>?c;?k)TLy53h1brJjLx@49|lJ9~Q60dy^ma}$B;5u{$5j1nZ;RB>Kc@BYv=MWDA>LQJw@|u7#%=VCZ>!Z%0MQBHxNj6X?p!H|fKC0E;;1=UykLsy z75i)?_t781^3fXATq|S>ihN@aO*?dem=r^T>HD|)=RIaVo3!g{^k;eX0GH2A5+&X2 zKi}0x{;Y|F znHN^XYmJ|3{8BgcQkvkIfIN+IW3t;dpD1mRO62lXOxDC=G-yf+#x>La(H??IqZl|?# znOZA|@SDotfMT!p;AdSmGB}l^Y5h~`F>ax1!!b}w=Un0)r!J(iJtT{xYNxMS;9h$P zqG3dFx1-q(HO<@svI_U?CqgedAkOPg9u6so@-R6XS!w-GwiOyVHOuEM(jmRZCg4Y^ zS1JgBdFb)F_T$3L{oBUM{h&!h(su|b8M5A7cYhCYHG+y?oyU!HpuET0R{U|8+$ng9CkGwI?Na$`lClEGCJhDBZ{G{ z5oCE}8g2yd*nCR)T1q!XeC&}#P^;hXUi(J~Xb%3~MxwQmF$l^}2%xbDiJkEDYZ0elA01}dEGpT{~!b9b0=Hm9q4t&mm7|+Z*l?dte5pB=fiywmb4HBE? zZhFKKAFKZakdSScn-3)-bt|cq-Z+%Td$i3teupLbH!A}5It}@ZCHsUD>4d>}MEJ8C$p+em1)T;iLq>zdO&;=Qw+-J7#+m zW`z@Gw-RQJ5@z!fW*^Engy-o-ZauO?ZFpfu`R6OKk7eKzJxX9k{pLdVb8QFQM7T`* zQC}6S$d*f&z6IJ>6o~n&u?=`G44$NF|NWJ8yMPaWI-pBr~P-!-%H0=e1* zOuf`_p0O3Cdr3_i6t((HC@S8kU#hAfuHy@;i75bD+PiBg1qrk>`#-e(L(4xj{X+xM=CyV`g*PGA^yGpD*p=Ub zvm*p6O{GfaOu337=ZwwO4Y6Ze3MDK2oJ&H=l+N!Nt4V}|qbupz_Av;Nsq zF2Ns=G4SZj5O$ttE+~t*TU>o4F5|yhe2Ty3vE(^n5`BBtZHvdA@#LdsJW=JAF!fV| zAtyI7nS*|5`fu>5a>q_YvoH{_Sy2z(w2;goZZyG0Ty+seTm^tgO`)`|q2Pr{m?srO z#MORh!2yWHH@FMHwk%sTw~(#}n@7=ppsm#?|6K8YkL{eBbE|DX#bZv8zavh9QsJ1H z(_Co>b`Yquo4DI!kTV_(*>G8Z(y=~V<$8wa>V&Ni4g=F3_B;E@61TTX<(FGO&z z@9{+%?~J7vMZ{#Hqp!2YVC4f6^QR86hrLt;>)L|d4V1mgn!;}LIS1EkYGt0h`q@6l z>Sk*2v6CTCK;DUb;ETXrA1-ecdSKwc#7c4Y-_P3v#VK>UIQ#wz>1ciR{i0O^0RkNj zKGN}POUg6Fzf!yyGcjl4{w@EtU6En7`6L<9tqMAQY_P&*W?i4@n$+=rY#_i8gXEC; zVz;{?gJHS2%`S=n{Rwl}cE&9{$HL7N79U$n$&BS{-I%@nPSR|>fd!M<=k zKA-QQDI31Hy~lF+!%GjO>?cA(xf@OgHiZR2qBhf~Wb*K%FGN>4Bnf1OTpU<5nZfit z?vJHDpr~V(A*^^3ji5mqn+5^Svsjpk{1wTk9^bXKo2wC{yAJLIZM{W`1(ifbw6&8# zA2}B$2}etS%Hlx<-F?1r%pk6f3{@$QjiB5G8~%4f%&HQ)#yOVa8}31m5_~=s zdSKB_AHwf9Vw8dnQNG40E(-q7>qicuPPC-c0EUsGhnc@4sBX5XNn+s%0`=H}?KM7) z&e{_&W8o^beM0B)zp57qy)0wIJfiC6qVsG!M0gXz?)j25stGFvw9XBhzMf%~4ct@z z@|?(ibxT#_4>0|>gM3R-K&cE*oPE5t@4CyVq?b`jWEF#E6o6pc$-xz6q>IBsb!J&t zku#x5Bv5OZ+MGiZwEs?;w#MJlI-$9z){qcZ#c5N0Lt7PHRfAW4rTx=i-|WmO($1Pu zu|?l3dkbYDS6VSw&9k2H6ZUqS;nYaPVKoKr6Sl5k@d4#1>3jq6ntZ8U^jLE1RE(J> zzgQK9?&Ojqsb0M`+_*b8iCjj_nridEZa)s~?by89xPEr&6Dt}$hF5WMU96sbvY-j> z@M+`X={g&g_UpJu8t~9#Iaz9&hTQzMhr`_Wy{da_byG~3_@aW`qT-V}9U(&zpY~M^ zk%s1t_Bkb{PC-G#ZIdR)jd<62nCwP*Nkvbr)1PBfou>D^)ve(SgRl0achhwsK$&Ee z=67?kcGmX7NYfG?dV$|MUti!S^a8JSzWUqEn*diy)#}|*G(y5pMu+qINDLkz3)imP z%;doOPwT$Vr#Bb&blZDW6y2fjrC93Yv8m~##X6!ks%5T7`zrCBa~hRDdAzgG3?W#k$3s~fiCXu&jO-J}`j`o817(5HZ(0yHjGq0fz?!UX9U9qE?2nBBjmxOT zNYB`c^xa+IJCCll0rtv#zajhmM_@2OqXk!J@9>6uH;_D|P#Dt3+V0@|gK!Qn3F zIcp*YoBb;Gk6#XIe!*rBr*{SN{H@n}Rcc=H>ZWA5%&EqP%XioVY9jCQ5C*q8!z-mMKYVJXzJ$t3!1uv^|;qN$$Iht*aFKezT z@Ld4J&bY>n_{am$2V1@@5J_(M4UWtT?&Os4< zPBmL)z_wfvho?lqcbXXy_55Ooi#12`Df`NF-9F)a*|Ti)a_Lctm!d4~J06)H?Y#Y# zoP^#rr4eJFspbnd?7vE-`^~6b(TFBTAD;O|qZdE6hBb`hTlRhDB?|i2v~LX^OlmxA z6@53&JBL#4W&ineD$o3GN2L{Iv|yP}+R$-67VlUGgBlvd%T&3gNqCeWB=Eg%O~fKF zhQ#%jv)&-DR;J-8RIu$UgH_uZv5O}$Vuwao|BN|raSDBQeBbmfKl*5NM`_aYM}Zwl z#(_M2KOvY=?i?Jq6|C1>tYc#A{J$(@${C%kxZDgM`*xAAM=yL$JZm`bPV~ZrxgNxw zpBPv*y<z9|epkCg>hU0#WwPVm zdwFjU5maeDxcS0*Tl`z-gxm*JnnN;ynB&X2Pqi2kIo}VeYWPGCAw;5zes~$VX{}h& zk&VJFC#dR;AVZ_voW7JyNuZ6jUxnTyOVY* zJ^hQn()`wrM8@uSkvF@u<7U2kC*GRdtTgZ7<}hvQv{cEcqZOYgzg~-H3q2jxBIj+6{c>Ei&d%rFPY%c)PZ-)=V z;YKyZn%&4>ypRqOSP^a%`rD4M`7_f5*b*(Zuw|#v%YEAOj+a+J^n%tv~t!aTsm`HF>8nD^m8(S`++w|5~0mI~r4Tti}Jx zZFR=vTWw@^TD6vGvu66%jkiwSvx&}|;g$v5)ag|ho*zlg}U-pqlphi}gQdJ^mW6CtxGFHq3`9IJ%CU zx23c=#BDLMA~`kvCm?T2%|83e%X*&!JG z6VC3{&K08eT1#+CoNh$`q9%sjJdp`cf`86h*=SO4~SQA z-+^PRN!SX^%Q?X!G+?f`x2-TjXhkSOG(zw?^7<{)zms2xXl#%GyAe?WxYTRK5mQd7Fz=HUHx>}Q&{xFEs?r#h@6m2%#1sWPoA=EiB3fV)c zj}Qq6``}5NBOh@z?$GukmpPJxniDpJP@`=2#axPsFy@g%H0oE5nkGF1D&F710=COpsuzRlo&Iz(qkz*@JJb2)+-m<227ivrnYs`bxxu5LQM--dBM0v){tgpu_^E zx6+pxz4|1fXfCS5l%VF3G2whKbAk1PoqcwyLn8G~rGmchu*~NsMcK|?!=pS~h)B}5 zubnr}iUN*0c{}~OcFnuU+XXC{pR<+t#0Fv=(CiiHf6?@~?UZ8+heQ18M*M)gf^_;B z%Y4J30&|MH`tljL(}Y%vLx$)Z#XI~Mbg2I~xK^hJmV@fBz%$3zK#&CLxr$J6l@lCR zV4|zeVGlxn> zT{4e$<6H5Ei)))A-Bi4ASrhsS#Ukn4xJ<>* z(^uaW+$Pp+Z7e711QZ2(7jY#Cx}0t$OU!_iXeZWp6OFY8;S{t7 zCB(D`G>4`Bm@SroqJf%8hmJkRI~m+Kp8_dpl8i3)vjAR7J*;!cSNc%T8MVu($Cq=IkNf z7t?&$tUjV_$Ivage!PdT68)bZhNJp$AEcuCK0J`1fz@XlI3Cst8#r3inI|&}A^YXz zrS<_ZF%3D*eue#ZCl;bRjwdCe(Dm7*k9ma@i(W3X-GrcE+zT69vO-Bqf48pe8o{)$rHoaXAQC_5QwuQJo8cQt9jM16=p82HV&3(c?`$p}) z42CNvK0k`Sy9Jy!-;bn)Y_)C}e9i2!0{ONM569j)zJFYA+ZFtB&Sss89R;0wwlWs6@fewO!L+nMDD0U`uio=D)`KBdlGr=%Vgp>_VP?27CWxa{hr0LEsh{jl z_yh4`jb4)XDn>Pg^|ySOKBy$fKdqO{3c&TCRR}-@Nqm74 z%#vbWe*{asjw5{W=zNw^k#Vg zqa>0Pd~H7Q6R~RITI{ucY*zdE+cw%Z?!%+(@qQ>5A_o-nHT;)kU)gLdQ2Y^yNjcxX zBPkFiPu^d99TP;}c&_&1Kh}84Kh}@@swKN-W8i$xV-yZzR1M*oZ;yG19P1}~T}bJJ zs~WD8&i8J4JP7!7CqrUX<)YYu_mTrs3P}2+E| zxG*y`{CTtElBDoB)Ot-#Hr7l~k^cxXKFd@RJQEJZxA(8)Mst%4ZyxA#vWvKw4 zaDB-90PmYnN^u@@l*holkoW41pqlffxkeQ|71U(wN`DJN%(@M(Oo*N||l12wMLEOn*utK>aD8m)s?nMN}Ad^Jvs88(&IaW3(p2 z&qK@nxR&TS0m*SIvL$!GRyVeDg|Mqou+55~4Y>^Voz94bD`KODL5@1nUtgcbu+Pxq z%3>HkanCbY5z{&rqr`u_QQ2Y?cHC_RC^*2f6NUX|z^|*Bo@M756T{kAo`e~Ct2h(H z7OKjMlO1fjH~hPUSmZGKrQvW@f!y+_W$&jH|5aIGCZ`3}HB_p>CmOmS zrkk|W@7Geh-4Q}815II|3DxP1xMUOL*CCUr4xpyA!L22_+#UJqjXKRsKzaNjPasT0 zl{Rn+0ed26J`QariL#O5I{Me(u2R91*bJ-qK&-5vy0V^%G*~RAJyeA7)E?LP^aQiI z<;Fp^K{60yZvjr1<96sLy=N&=aF{RQ9YDsv9x3IH61O2TgwzM$NZ6<-*A5o9i&(H=1f11$WVfe(^Gzg`*eB%(GQ=SX$$ z;eh(2-W4aj8l^xHiwM4d-9<>tjrE16K1R#l9^s$C$zc@pPz%K0lb>y0Jb{-L6q01V zF+<}?8N+6GkR(I- z_9#!1vbvr_MG(0F5mV7Fmqv(J#rGm`D+F(T%;bY2-`L3Pa+??o&4u z`OoWR0x|9kD_+?$dS{6drYzQx<}r!h-$Y$LPQ{Ilh5r+Hr;$C`R_^&~r|6 z2qHMC5K5AAY!2W$RDl7T6n5I(!D);{t98OOlb@a|u5E~hU_S(4tH6WFH6SpvJ;_j! zJ+#>!&O@E>@|_b_lK#;5ZY-0~W*=hG<2^w5(a&=PFquZMzzK6)4}e3o#o~35Dnk;T7&!Pc*;;A=^6B?%f}buPDC4m%odbrbW~0 z#ropelDGSATCd4qt??uc(}(uWrG?;fje`3r>tVsx8W8i@iyejJd1cuj4%lm$iTgI+ z`nJnb2`(bab3Qe3R{2(B@*<;F}5{5Uvla+6fPkXV2rzEuF^jH9N5XumDVQ1{` zJEfQhsC8+M(i**(1_GD^^Wj+OwL)|uf1fU?7UldVK(Fdz;mbbZt7FwW=NACU94LPj zR5!o|)xd%#JjjhvBz!BNOmb}gkaE5tC%cB2!JeEM=q-^-c=XJ5*kdZP{?`xe&U$kF zQ=opFGx^vH(U0(2I{#SDhlo5ml^B9JpZM)!aF%dmUjr8)vc0O84V@n7J&+5pp9SE5~*^ZnFYsHa=9J~IBS^IOTzQUYWQ z1x&pZ=c9wk+u>=LYnzdtE~P%yTLcUg>cSsRuT$>KzHKDuV+QAk^dX(rq!>p$q|1(` z7{@qPDfui^<$^Q6&`}1i&fiBkmlzohU@tlEYDDD&9nXmOT{`zjD%(MjZ zxsJQ*8QYrQ2BR_Pgg4!dJq>~)FiY0+HZ>JrKm`?*+>!x1a9bqZ#*mL}(N$$c`~cp7 zxdR>7&d^C_gnS&Q*0~u+t!odo?22?OQ^E{RK`si%WrPMfy7kaRPh$*u;oEAcwP(}& z?pQOaN!#BWT&l9z$5C=g205=mwl~hXNO>bGD6MDHcb&Sypyqg<;?6~i$Z5tCHGhwv zAs@PG(|>Rz_45p@1RHRFpioq`lR2h*!WMVxToJY%x6xfKf#meuH3U4C~#dAE3$=LjW@4;9e05V%@FhY zZt}vo4# zPn&GgwMxnI8@XGX>=p>He$M4Tjwj;m%6Rsi&JwPuXmObSP97L&9W_kxB~2q7vxNe5 z(W^z~%si2KcXLtGa+oHcmq6mkKA#qKgq_E-o9nuQon9*FK<1O;2KlY*3vwk?c@gpw zl->!c1^r!?dcL;y{IwD-!7uq0yb##FctfVXn}fkM8b{8l6-1}65-YOi<%Q$K8&zQQ zC*I#r9_5J^5Oi>_5&tgGz5JNxCDQ9IVz0WGIT8I-@ z(?`#+Qf*h&S2%kC9ov-O-nF>YCMa+g`4O*|_r#tiHmmQ+F4^YT*X>R84{J!C>n0rU zwT?};2r;G#1h!T4o>&dOB;NkyIUkWW%6kGd)SRu?o(=UajxRY-Jb#RHA3ruAknqAG zsY!A@`;;+`3bCgMJZF7V)zMio&J#X=3G{j(KG(0qeARtUT^Z1NJK?!@tsmFeg$iW# zL0UpU$Q0^JB8otO_*3mdOTF^j?z6%5Z=3X0Y@Ed=wG}s7u9}5Q?&F1E*ZZaM+M1ek z2{Mx!ul4IIk;SF9b>pAcISXvw>iar}3W=Ymj>)?(yMRWU`-j#dQUzV--n2P+&3Nm+ z4+or1eO*UEl?KP{DqA|a;DxT-ze45C6vA7;?G(={1H|)(+WnmGT~)JX%GTZWud8_| z{VI`)mj+&g6D`4a-m3?71sZdR%pU#;`B&%7+Fn&Tn4tFsVdJwi{iU+RhWcKzs``3N z4YhWfNAR!0NzE7Aua?VeUCzoYOG=UXIH^_nS~s@@i;tb6TQGO|r+h}MpBDY6y%PPH7cNU& z1K_wXwXQ2>t@Y_B3aihEo}9MW|L$c&f`Ien0g6VgB8*>qYAdz^MOf~1M(xo;WFeC0 zozkFXxif<50^2t#$R=co+f}B~L>78!1XXP}sf1qoclUK3>+}S@D2M#G8;wZ2=R7vi z<2S}Tr(X|tc*n^rD0;jtG6txc_PI7Bw#`q`l6kdu5=~m zt)hWs`y~(OU%3L?6ql9`PdOcTl{`np zrIWU)U?$#$*=yY}xdBUMqoQ2cr9%1Y_?$o1PvTa24kB%hjPmM8M?YDF^72^JfXgsONpbOz!TIrkA?uB+MH9%G@87mBDBV*v->bZ+Trz-nBZ3 zWAAkM5_F5x@>-SEe^jC>zdVI=6z^T2Om16c)Vsp~o`#dT9RhOjNb6zve+PFnNN8p| z6%DMHP-`;SXsXJ=Nxs;we=En!m{?oBpTMta^bIgLHPm+XRjss-Y={VxjWzz}0%Ds& z`RcEU^2Ga@NWm&lQ+l>#?W6dJd)f3cyi6Ku^}o9dlvq+Tq6<|u+s;2c%o5%ip9_p7 z6`@1eK0J`A7hix;dfyDotAL7xG!a1_e~pQ!pEX4)9`&=;dPAJvd||?CaC$#e+eV@V zMuY!@id;*(yECO_eeRD}bvN(BhzJS>tFebwzo{0U6hcCVXZA>TXAOui19 z&7+i-yL@#Zb}nn))7I7RAVfCN)k-u^cgmayRNKJ|TWi^MWsV%X3VF_-C#*q>p2!Vo zb1%j6ckL`}25X$=f|BDG_uF1Bn1(I0$z{o7S1n1)RNtGZESj>LsoUDVO7PRZ7&-lq zA6b6LisUL0ZN(q0I3sunj`PQ*R+tH9K;M;&VpO?V zKm<4X`RzbFdw^I=FEc(+h7cR5`$CA9k8TzhDPyL)ka~jkuQrIy$@PKH=%(zOGc}FS z@?dZln&hf^>YThm6fqt8$m+C3lNdXkejfVn_T6>H+P@T}owY`Qhd!PF_OABtnzSv- zyY^4Z`vsBScG42vwX6h)KJmYU*3_`P>1SM;ZuI$xjxBaeWLC|0Z?Q9O2WmTZ-9Q7j z#3GzX=eMTfhV%#lW>@>H8iyf95X67!hbP4~Z$Y5bEIHgI`~M|^M}%W6l^KV$F3d&{z-Mo727xk7c7#H&n{*573$A6%Z??knBSrc#I0DLSj) z?S360+@OosI4QU0wF~aXiw~w>>OG{Nc*{PgJ(R$hDfAwqp z{I*8D0A6;ZzspKWP*Ib)X6j(m0%TP#|4fP+RTOkutl6NO&#A&taY$hOo&Pe$e*U4z z4U`ejGNB+A8#R3&gZ-lO;Tts8Br^mBl^?dNYZ32KhziZmoY38p&>ffZk<6cJ5w>#g z3dh51=2p~QvUwd2`v|ZChpj%1DZ%qWeWwU@P~4ap*WFEb?6VkEpy860KJJDqYs?2r z6GdH_Bn?JtxhKw+KI;*3RHWn4_s^(t8r+#bJfnvH+=)iyKi`^^2_ z3yTaYfrEIRV7Punm^Kl@G8^jt;P#V$fmQAb;afF9=CvlJ>bbgPE5{TZwm7*BB`QH4 zwLI#^Id+r~4A#3!4@V*g$3!;L z{?wD`-4(6JhpOkjTQe%?2P6|m6OQ?vIo^5p&3@DI-+jA@VSBrpt^TltFZMlVxYOB>{v-aFjpSZFa<0P*vRP2*P5IScUrI_? z8JLU)JO~%Gt#u<=_$q6>vpL7+>r69upB;1MLhBz)n0G{{hovcrHjw(e*kgQLl;)v@ zxJbo0!WhZZ)DjK0nKzAskeHB+gpk;Xi$lk=OcW!sj2T5DN;sLz#bF3;9DDo=W#B#h zg6G_ixnTuq!6S4`ro$lvwjSH@WFKYb+Zg<5V-#7ix;qM1!gA~}mzA%xM$|F46<-^} z;Qg-w8$#3DFe>6ePv5^)y1%90dPjoAJTjWf?en(N%=A3Urft_p+}XGQEV=0Q1CBvv zgzgxWkAps5SZ>Z8S=?e&#{m(guUy-I!(!Gt*jK0HLXq-tjZE1*-lE>_kKz_12W}#j zi~*Q?Lkry)#vducnjYp4=Axv^viJBVu#zncTbbF`4$5i9`1G2U>{r;?jM741x+>cEw*;bCWY@8|+~Fa82J?^M+9U+6#Hu-ki}ToKYo$Nuu6a z&|ZTG@1ofbltoGRHGf(e=pudo$ka|inOnjsuNc4n2Clo_&MyuuB8SzI(+LRn# zQS>zEcXH5RGFTX^X@m>~Nhg07(iaIw!_7EjA>1svLqQNFB!b4zgm#J~fq^NJh$NAZ z6(z)u#?OJq{}qj20F9pxjUONDoz6ol`q}D60o|QJ!$59@)W{cm1L+6yqu{z;}0IihOF|f zBa$ZvlX)YkT+k%8ufmXOdIsUJwf!0psr?^BL^~QWo@PjNsVTfvKFuwg2!E3_2!ZljP6Lg{Lu|NTHB!QPjB`~3^~scDaxh4He=4C&L zzodW4#Dd}fg2vB>#!rjJkBh}3IUM9{mNg1HaP^YZ8V%!yhP5PI*H@JIS%N0+?HJ<^ z#Yw>mJ4a)o_3p*ZmmKj{2V{r*zd!+`EsaUyVoLTp* z#D+ebNr@0d1^$AQ^Kj}v2|I{6P%sBj0YK;BRK~c$)P=%IcQ$j%^y$%h*3u?1d6A?yCkdeoR;wTeHQ9uW+buQc7Wg zq^7Dp&B~~=DZhY;|Dw78njZ({qVGdz9O4mZhNOU4^O!IETEn4~wKk?PMsG{?Jn|S%}S4>H?%b5Vm;v&`$ z>Hx}qLP;U?=iMbQ#T^d;1cW=~P>vl|3%&0pu79fYx5lO$oaku;)4YYjWu>dX8w%(yP>Z7D_g9qgEZ2$CP_DeY6GK~wW_clJ{ER- zXC21jLnUA)92~e4(eb8jG{nx#=W^NAJ3Fe)zlA#twoADhso*Q?oG+QQxZg zg{HouPRMc8bj%QQ(okK@P+j~`9z1EoLKDsL_0U?%Z*7d5Ajg#-l>HBhU^c5PV8b< zf)BqqlZ%VRha#mVkN+4leWBW;rYWxYfR#l+f?d|T%oW`;lrRP}uCRqvG%~q~G&j*f zLZ#oy731rKb=yU`_b3{H51tGpoZFw=VvZ#@fsy>1>~EzyMj|X8v9)~=hGYf6ay&k3 zOm+~$nmbJL{TCpT{u3os{z((`gUKpHvQu~inJ`s=j*zW|#D7^CVXV0#7eC5kiJf~< zNeRG*2>KV)x6CJ2CKe*`*`!eOg2aIAFHB5CP!i`en@~Rb*ptN>^m2FNyd(6TgTaI3 z0|MzhVgPtulypT;dzLwLvHMOt32Uxw+dURxcau~rMbM|*dBvlnn|cMJK1AiP_V*&y)LmGIGByC3?{ooQ0<^e^ufmrI{*HP zShOC71q7@nV37+AC17z(BT}2L#chd8aSg=XM4qB2vCTrafO_fucdtlvurSuJV zcKyJra0lLQl9GT#zq4}Qz4JQk5If#hQ|^@@?DlK9)``6oh`*v?>3)(YNd3~dD@{t+ z0cKxJ%KSe8FbU80O8foqsQnVSdN=$ki1>97@f#qZaowH$o5PS>g6-TIMBElc{5FXA zT@dm6AmR@}#2LYMzWK#Yx`TecX(Wk;TP(JK}KxZrJh#*3RzZdpeKrnbFZP*f%`) zq>j$sr*w49=qmC7X9Hi__R6;)q#F^rN33t9kDa+{^~&O#Hz_JjxEU!|uHuQWtwnkZ zBD+1?`@{@i(&B5xeG5mI%pV*ZS~S#;Tp`#ya(tE>mR1%j0FbemGwoGX&8FzLJ=aV^ z<~V3RlhM;NV|H)vtj?L;-7{zP9@jY&|9ZQ7XU&|^J*T^{wbvoIW5`?a^$I>f9vg^{ z#S-^m!^iYzSMlZe7(DHyF4Wa?K@*{P4T#};+NhxqI8TO^-%O8dD`bM9{ny zV9R-8V`)x5(s21E&JEI70XFdkM@v&%OYb9SK4oId`Kmd?UWk_<_T9g26YA2*jO>$* zR<6yvQj2MlhUD1#kW7iQv`u}yp?V*mublE^T_`WHG4w?B{R+kjHV8AHT6t5Qesb$J zzAyZMx4R>>d6ek;kEg))y9)XDK>qF~kM9#j+xu@=JNBNQq&D^0?JnTTbvS6NcJO__ z==RDoLit~sc5z58w1MSV<@bW_R>p4V|NX`ew1+LX=`N_p#%j8svC}c&#k5HFMZ9$q z)=+aiD69i9b324F#}lXA^Pqc(zg=Hkn|DNe@Dx5qFO203$49|pc!)9QA!#hWjN|D~ zxvZR*y79b(^>*&H)3z;KW8m=^C$91bqU`?x00960cmbqWS!@+m7(T;hOWDf4)`D0m z6e)`oy20GJb8n~IS(up%ln_UyK*6LykO&D%2tk4|5fox@#RQ=VKB*{)5KK@Y5(6#| z8XkDi;4U%o!4!!8-#>Ha_O{TLaFR1~=bZ0+=ikq{o_JLB6GCkMb^BNJ5|z{&l8GR# zTY+w6%^;Og)3uuE1fH+@S&s)Rwzh6rzpiynbLsllXX>$x+pIjM`83v*2_s2;nW5>` z)u8T6YKKxO4KDtMAIJdY+NC%wBQM z&Eu@PBd4mR0@Y1t!5H{Wj^3S3=kCi#gGU`Ur7Q5#r`|bAFw%XAH+Vl4G3Ogw!}+c_9;40Qm#2HW*RgFyI>wp+*^FWWk% zkgzec5gQMq+^U&>z~NhM_>_jApfda>RxpIoQxQjL^9vg$=#XIob;y7s(bbW>sEkU? zIMG7C-`!hr35y^MFKRFxrGyPT?dNYVVyY;b3d@92BPwb1#Ee#x#$$!MZlu(*BUArn zUj`7i!UmE286)AUZd2f>MlMw9#2`XFzH-NVSTQ78p=E4sNub#|=R6?x)7-{An?9pg zY?%&a2g9sVfp~&`y>V>LFMa7PH3?;)0U`QRe~2UW_LqbAVMJQOArInPlu@r2^XOT( zal|c*NM9s%RE{8P8NO01rqRr#f8Rbkm&_vr%xgj{MkxdrD?`Op!r5z^YL?Sm#*1aU zW+&iqCUjFb&a@J69Cet_)XjwMc7hIL=s(B$AA!HRNn(~@ktiip-!li`|6H`#mged{ z61bzdLpWN8UTQfGu*|W%@Wuq!lBtaS;;o6hU{&cp&U91-#^EtgET-p2*Q=W$ZV7d0 z7P&7j@bGw$u#-(RAGVQf_;CwQp2s?A5!GRl!({Vx7iSsck@jOaqQ2Q-ZNZQfDL>a% z(#&EplAiA$y50_)R5RZcDyvsm{}R(n&*fqGbOr;r(VPG`Ki&Cx9et0d4n5PZoef3i zx*FCsWt6C(`B~3js60)|N!?(KoI@gLf+I-m-^_AAZqUYZM5scRwxsO)r#l+!PDUnz zuniDz(vRX}#V_IpB9D&FOO%uHRpzj7(4iI9bs7}{v=uQDRYO`Bfy|K;qLpbes*O;Rk1uHv;*l6pO$ZrPbkrDD zI@(j25n~{g#3b!(f)2=tr#!}hN7}=n>qP`8ivjNtixeecQwBwdBqM%5RnbliaUwXX zOEt;YT}5X#Tid^eE^(oZ7LVmvYO}V(!nytFS_(a5 zFPQ)Vw?SePz1Nns?Z$0I`cQRUwTUl@48kEfm=E9DJ@Zx}V>g%-_484^O5~`=ym*)O+WVq&)@7lH&>_};5Pc+i~HPBSL#NF=>W}f^= z{4Vl$@IGqMy=!6RX<^AdI%{#?`;>}O;$Ap?e*r>A9^57!X?7}C(b*lEL5MJfC-SO& z&$bkzJaxayQ*1847k@jn8tdiBa;H5Mhf{Xjfyya>=9N>;wtUsFqFl%H(w_a$=}fwJ zKe)Z~!+q~FiIe=5(|eA-PMX0+rk4(UeT5&;WTGdir zvsU%=bkD5n>BfM7fT%%&{D)otJ!1c;rn!r&wW9+WD?1Yl6DygRyS1Ge85=tr84DLP zD;qN#3oARBnz@UIwW&Fo@=sG+GFCD*IWjGC6EaCNYqx)I!rlD$IS2?!;r}5JuW0{E zrzHQEdO=?LBjg!KroSkfq2u7daI1S&55$caHt{gE$$F+lxOrY)Q&E^qEr?K^g(bn$ z(Zp?(C&awZI_r-w#O~%g`tPDt)3b&QlzO5JD>DC zuK7GKI?wDE)h;^AT9N2e2ld-WC#J>4c@MMP>rS`l$-l3CNozbQC`=_tQ_xj_u`^By z`ju)nk7|LG!vTG~9n$+o$Ei;`UmO|GL{0;EZYoLv}X%9!oK>O$70^p@2&AaHb zIrBMZhutx;+6gE){oTlK4nY7{#}P!*ottG1y(mDnMTM(F3`Xtr;+Ut@u89=L$L)*( z>!po`dW+4LdNKW#)WdHM#QgE8c0wwnyWWGpsC!SvbS&SQHOmn?W}|CH#U=7iE)Y#! zM_=QP=)h(2EthpP@5kzVNKIq3%fPBzGOagXZL#E!(V;tZe!qs@i$_}p_?oM;Ibt+y z!G0lcGPHHI?|m^^;onwDv&!O*WjpC>_pSLWt@dzCYpP_}4LqZm@FLzYIU)qga*wTv zDVQ5hvExc&&DHP3y;U=YuFi42qgx7;^(vi;l}zFh_7J4@LDbAZD%>6gJhXAsDY7>) z!m^{t`x56eatr+ZN&h z)9-#--|>lUbu&xd;iVp8)+oxdaJz@50J7+M`h0G@AvgskEp|9cC!VJXaC{`EUb`Yb zBDF|pB`EogXw`5DF#+-EQ>M??8{+)!2jl*ignzmq;Zl(m9%NLfg(O?)9m>9mE;8|s zVd#!(_eYodFr8^Cp1+@rXt!KJY*c|g@i zzami7Qav|i`%;LSjN_j}>)oq8>G4mg7!tZ?Qh!v$(%+QWRnBR@1SJV8y)?2+3}US3 znf+w7hBXB2rEwU$O{(2-tjjJ?=Z^+hj6z0|Mw@4T)rHeG>^4`IQ5#((f0!8Ne^O{p zWM;iFc_-sk4-KrcS_29w3?F5yA2?@AwOBA`GX$RG2{4Dqju9%g40!&pAo)ypz=22bt$q3E&w`Wb!Pb?o(Om?48|*jcUK9{pO3p@W))e zlZZ5?Vq|?oE|!1Qu%4DLv3UMw-q9@MJ%v|&OI2fD<;B-0PHINNb9nLKGTTIKcI-KI z<<)-#nLVoiMjS8-O^oIyFn1^Ne=$y;kNpGuz!#~=O+t;G<~`P2=5?>nrIn{+0>}XC zDS>ad3@x@lbjx$S6wKT=B@F8&z4LK%w2S;3jHx~LV&4?l(E-W?|E)vF>RSr!zc}f> zwP46-%pK8qR*t;oG&qzkNT{+(USxG9hcky+P3RtDTe&ChJP7_@_bB|on05xA-E%9- zXUNw^{7ZC&x*a~wy4!2wUX9~@dwwS6n*|KbX?}N(usVzJ?Qu%ps|$8Q{5fwyrj3eR zYX{3D3l=>>1ZyGAFBHe|B13afBeQ0GstV1Y{l%b~oxiifJlTfOP4nHF^F#~%=7eQqX4imcT?Bh2(rrV$-`{Xy z)<{t4+WU`15!ETOdA}uQ$4m-1v77ULUj+u=zL`8;VDKMxXWt_-{B!@d-*!Q$H=5#K+%YGY${mt zCJHG*{r(;!yOD)Je9uRJ3na^L#Su3E3^GlI?bnR6xN~^n<@wiuur23RkMR3l1NGy2b zzY08g3>54|>bWeuF;YA!?*hJ_FEO74ht>w3Xu<@aNDKCQPpXIWs(|h6Q@XiZtN^Wm z3tyb)SWxH3{zqnhB3osx-wsfEJSEQCJT)wApZ|Aws8`<-v)(VMWS>#`*uTs`EMKGo z`7B~kH0iDP?ni2MN9w)h`e(O;eAUvKuxoK3b8S~Ed;D{DOJ)=~HCx<6iftw9|h zlTSPY^BLjMed7b}f3}!X|G>)7nqki2u}q)ue?ZFN~F%bKqof3 zQgR*X@YsnTM>W3wz{*Yg8%vo!%ifx0QfdGDfgp{X=hE%aIY9PYn%*-U@W^f|&z>(e zq(Wl*UJSQrdDN+PopMq2&NMH(HRZtX(W<6j4jY$zo*nj}uaq1cO$4@|okv@j7-zjn-b;wT|fiHd=aCwJeyA& zgp2J1!rSuz&7^@%m5TuypS*J*25aGMjK1jO-^oD|3NwC0b_Z%xucq`UtltP&!S-t@ zY|&YOL$l2|DE^V3_dK7eIEuVRa+j6g0IFl#JrBgq-Us}FVVYqLG_Gqc@}qQS`_z(i@)z&jdOOz;#3p!ekF(QmS%+M(~A(y^go)*zq}*ZrjH(lWYF z^qAddB9QF<^N)(q(HmxnebcjoFC7~7kl=)O5o8j}t2EK9)URWDrjhr5uoHW#J(N$NYKDS&?`ivN(e zk4j7EYWzPl-J4{Lhu)jGhW4;QsY&DRgB?sPP7PKx%xB zUo0LFg5zzbYohu$ggg>SShu{>CQh|3b zuovf}w7u&!q@&EUj*i@)|Fd}O)8+F80}_&8#NWb!j7~I9;MDR@0q{)8r%qMc1*vME zg3vEx)i}zEz*}<<_B*sdsD|3WJY@d$Cp6o%<^P!_%yJ@H)J#dhhjni!kTHlDt}#Gs zQ0N)!>Km1b%b3zV)$m$a7U1#pCr@oq0=+j7g|K+ugJ^oxh%L~`Z}rWQoTKfePXeq} z2p&&=roEcs`(E^h^8ojd(#z`m*3Fd@4Srn!@qwSj1ARZ`b@Ns|qS4j~jfAcAgsg=P z_3D0G3%45hKFL1@B6MB=M_K2`0UuOA-oB-l*@MDz!S~eXKboI$BQM|R zNa^WEJ!L>%{u)|+?N>nR&-d?-t1Yv4b32CbRG;rmk8P`cSnpo;nybQ@GxeX{&lOd| zt8H4vamOI`-(ZCez(H3nD0900lk{Z?oIDpuwgD)pvjy)Ftbi#CfUa?!>ws0<^8Fyh zxSJ1uIcbtb*=LXEI^S5uwU?&@+7J(N>RCeg^1m?=4=ntWJak(nSqdXz^ zO&1q7-UThElm?iX4NiXdEIS`Ue(3^FjVt4y;;M*G6hj|mk-oIDKX?JHiU(foEaO%Z z6bP)8a%QRoupV)P)w70>f87--(pfNBdD)+s6Gc4`?Gne1@@2$krd_7)hu^oGsjQ~ohTElY>YmZ zNdTMhV$OexcE2she3J9Qr_iH@vA&d>?2A`Gp|Ok|hm(%7gEG zT{S)dS3nivQA5>-MzGg#oSiSY$Pc7|;8Mr>d~)R3=)&!cx~XH+b)wf3V=QL%*S=vd8#U?tN*p#ux_RP zsyLn;@pRAzmT+d{x@kby+@mzcVNK8(xVv^f&POQm!GTVF*RV(%F|vxB*Xv?s?BwKU zWn$uI)gf*)Nsrm)5<2zfdwF)mZy<3g10_VD_cf zu@I`g*BjB;^T0`5X;V5^iy9AqIaXD0ejRb8&Gb(k(BfOr1)-#!1@vBV<*}G_TYKT( z@5dqX6J|sYp&PEn8^B+^F|hSrF+kOsM_ap*JjJc=G z+4h}Vtm-3q5UN6Y@Yh3KURUB6zmQcxbVX{xt_eCZ^j~{-L0t;bst0YI5TaPLNg=J| zO;yhQw5RJF{Hikdvxe@p-U7S1i#RY*g-kHl$_@(F!&bD*e*luElNX*wOhd0;ugQTB z3Dva~Hu7yfWKiG!kAsrd8j+C6Zs#oqw9{)8B#++^#HR5OI`K+G88hUSgM<({(+Ntk zRt^63`ux#4qDdV?k+0bNV!J0st?%i8!9^R49-BXKZMQ?z%7e~SHtO#eh2>g#ejf>_ z+4ze#{qE0&*m~g_Ji0IFNDBOO>TF}dn@!pHM5OoxYwcLMeVwaXRpp%3mb)lChMA~J zj@ty4hPQ)@{GMT1rnjb8sR!ke?vOdcdAk>R*5?Um+ouE5GbrR`Syy!p${TBlOwZU^%IKUECOVe?-t{Oru&jUHcKU_4AV*^r@K zF|!Q$!#4QcDyzLIKxjUy(=#Ydf=8$|4Iq5Pj^Z6xywdNm2bKL+tesS; zP{1R9oHE`g08`1Eei_E+vS%9Vz{a$37;M7)RFQkCqM`Aq-3jgl?r|8KBssj8E^=L6 zAX!rnjF!(313J-v*cB5e3cdOwqmcS7z|VwU@^_%i%h4d4jIvILpK_L)8;A1ar7I;e z5Bptk=S)P~SNIhM-v>ubWO6B^+Obd4u6%j-`?@AIG5^YN?#Gl*MkpdETCUW3GFB@e zGDD9`*qsz)_#O4b^v^lUSBoL0t20srgV$SZ!xL^nD=$H;xM6x>7+c&g$A@?!$5d?j zc{-tc;~|1DGo_dr+2qPIcL&h9TyXi}C|)e+Q(y87ak+xC5?BRTH7!|}vPGmHOw71* z-iI?XrJUt-C?r=sERyBsTg2t&@}8%lTI&EKX(!_uWcE`wKaekvW92VN76~WBU7*Yh=P(86?}R&`MPH zs*MdUEc|k#9mVei+)^j#iT%}%QQ4|+v!L_T{x>91qEbg1^S6bdY)Otg^*=$TL}ks) z(xRs2xpfu$(~@VI*s9ER2I}Rtia%v{*GuyzR72MTa{m)I?W2p4rN5U;djBF% zLDHq5C3l3>@66G#@fQf0@`+F-sgbn8)a2!!VxYp%LQDCyv1)WcVTw8uj)Y2}eLb8iWwowE%S< z$=sDMsEtPkb!*Y)I-Yp*a!7CYjJ^p<5lS{@fbjJA)l$ijcQ@XH*#`1)96Gn0^#rPI0P+pqH(`msZHsHXVk|)-xuZ44qL{o` z>O7sRrF+!l!qW$fB-=kt)G$1NaE{hLs>r*vdxIktbC^C47oDyr#a#55#e`6 zEp^Sf7irJ(NWuZI75$yRhO2>4lKJ4Fek2YEpXn^EFCG{!YijQdnwxd(v~X}#T_kLs zuSYwEO-4TYE)Q^77AfX-HsoWlL7UwcUg!UrHw=ihxSgPnoyOGjN^B6+=HE?kZIh^p zw>Q07t7#=lpfjeoh;2p{oF^%f{yV@8YGp2@31 z2VB=HXN$8`j;f&-BaO}C&aNED9{J4edOBc%YJh*Jtar414r|bG{cZjCd$3&Cs@3tw z_Tc!UwV=@;ovH`V^X0L6@ySor$?9qpM@gCNZ_o%x6UHrnM|P;OI#wW8 zvz+2if^&)e^mZ z^z|)w%`S|1-D26Bi|wA0pJ3(8$34d23GN2OTe&JC#^4BBE}DT_@ zXLK-QbI@V;{zOwbxx8&Xp`{-jSH1 z?L9o;jzO}?p*K(Ml~+ZG`E9)euZmigV|})-qD(F2okkGF<0bw|lyHR?q z>V;!KH&$YYd1E-G8<}61x<|6a z=q0t&mhdERVoAfk`Ief?Iy;sn&R~nn) zWk?lkeWo52zjLuwRe|J|HBdd21Dd-tLNosH(OuDGxfR>8^}Dt|D{tB#$^>7p4Cag` zk|U>f8D2CP|3ZIk-A~~^k=1$)Ka?c%wb2OokwnAJFL#eec+Q#!4gTfSndlRAW;~_G zqlN`W33{waUoA)dZm~~~C+F>>cvo@UyDs_Q8l|JCF82qD=r$aH_NcyqI5wR?LX%H) z$1d|J^_ip0rk}rbVTbj5r<$vaKQ@RzbwV4@un51loaiKJ><~nC%ze+;-~j!I%ul6@ zMRWX%{s00hx|3eMdJb}XW%(#Mch`kGwg)tj2e3wk_S6!;-{hQB|L7vozw)6zCeptc z`UvjbFpK!PKgvTz+=qeig>C;vZ-6Sy78A&p&8|}naaW+0?I`Y-vMv_y_{T2uxLen( zKRVND?S@0ak#%@9mctx%m93RI=i?Plw?$0yuC3*KS$CtWIc_3qze{9ar4rS(MyP!H z=SG?}Tm`9sdUldyN8JSx$j*yo1hyhf8-C<2NNzZWY00gMgpD^#_k;$ICWY`sit>vl z*SYJjJ01)XqKi6Fb1Xzx7q*VD^=W$T{0F@sQZ)jBZjtmSq9lhM?ch49C1Fz>%Y0DyNtxig%^&klAeJ6a$rXu?-Oon^B|u{7NA;KA2=_W0oOeY+vxBE<53pHP^lEhru@ki^~69sJdq z8C7nMSm3mod*IJbkTeris5QOG_pUY$iHE%F+Kiq>P_E7*`Y2c20 zjo${;jQB`%Yeng7`syX%m5ZUKdANgkbQ1dFG2~l)nci;?0g~CRg_v%rhs2-Ra})uJ zNBuo+6N`B2Le>_5$GVc(4uoX#X{C&RS3gD_!utcaNu-Qp0G|_JYV?MWKbic7G9(za z6Y**{WF)y+_qs~qPqbhpz8RTyHT8MxKU7ZkNxqMT1D=#&mg=lH(c2aN@x*5d62T~U-SgMRu%_YW?k+F@ky&*LIbt7DLxdQ81b03|;4mJk58fe$!UJj^FI7K8H$j*? z4ihs@z8_&CY6Pt+YT4|@FR+@|E%i?)g*!1`{Ma>;1u&9`wmVfpJ;VeCQPR$Z43~OQ zuh|pNtCWGUa*Ms(L8C?lhk1AAbF(3Pj^VfSQ96;9p~pw$4FatqH%-pUVDyEk=cm5{ z&!o?ky?nuq++=0Q4RO5B&p`oDCeMNQ%+S*U6TQf1guE(+2-B9hvr*EyOxvxYwVZpp zY2qPVjeW@$4PuK09s|zk`}EO`o5<+Ivgs-6@>x;CsEwyc<9zz?Lyy@oAi0=B%ivU^ znGVcHPt-6iJllwhTL~(RDB8 zn_EQ}Yg^|$BGPqi2TYA2GgLVrpjv`mFY2yz6&4Zqq}j-dXW(JPUrM1QAL=3Op!QzP)eM!4cwTP%u= zm0(IMD?H7Ox-(N{6Eu!H9HuquxNuSrOZ5xI87aobM43pu3YQ#6;$X&0(dpt-UE-K>zx7c%aur;2jOj0>WbBlJoL6--s zjJ;n-`a4 zGT2RtczXH@E(5Pj3vroyyHL@e2m5(@7IQmd0#V{O;9&cK9nljKy&KPv2eVzfjOgv~ z*=1M~_I4}(=ybv_p!u&mJt(gXP7t{EEv)Z+i*4&!PpsCb-nx?BV>97xWSrrlrhr^j zB1`nGQQnZ)CcNNdiOvYP)Av1yzX-%=>OQ;ar+$}#0-s;}!yk%D#1ERtU!J)Rc`Q|) zyONHy&As*U^FvKBFo|Ys4^lY~P)%t32mwfilQfhLJOVIhgy_QXcXb$~@vm)B-t%p^ zqluz`pjY20CCQJSC@|I?PXZXmpLm~~h&xya>TVXDG&bv`G?Ma@dcThHxC5v=w<9VL zau8YA;bloIoEYjl=8Mh_0^2D7Rd0nuUcd1$srViDpx*;q&wBc)z$&|eIB=`jMxt?a zKHu0r;SKW8ycWX=6*ldRx1pwoQ0{c8=eZAOYnI!MLp4{pgajgLf`-eS?2uFL!i>9=5{{ zvSl7nQBInSHo8ZHKcP-XDUTz_icjBo3=wkhvbuKHtq{xbA-Ui38jU?_HSUgM?z6B{HSbUpw$~Q z)O4${@3<%+5OEaU>+&FKN`Cws7@DY@478Kchx|w@;+lGWkkR(_u}kE^&!zOJ2ZrN) z;>9@+F$eTjR{9fIrOvQs1KXtP9G1%d3vM!sXGTo1dd1TSbJLreZrrzV+5|< z8-4*!7ygAKh0Qs@P0#Q%FNI>f-@ZWnw zlpMa^=wEP;eR`j^B8v%a>l`UGaR(1Qu-mqOekC|!zt%y61&9`&t2e^?S$g5`h<)d| zlg42O{f2xoC>hQN|M12VUNi@HZ#YF2i1)u&D7upH4lT%k&#+D87cq+V>YdIN0Ui|2 zN__We*W3%=uZhB#rt?Ew$g3J5>J*CqDCN3QDjbd&?C-*{PPj9ddp?4Aqy%{lLsw({ zS2OdkD1vt{*wZv2r`h#6kEOzN2=WPH)>?l@6YhBXR3MiXFFWCZ#Wk@)6N$-n9>vzo z5g>YPbTnZO(%o2f>^8Phvjrs(UoZ>0PadEB*7RJ(y#B}%as8x#{R|EhuV`x%rZqcC zm26V8Qc?O56^l=)t+!At$y@X;qaqcxN{8dFyOf){S2+PgIfxzLI^UQgxChF{MM9HA z7|)b#NQw1MAAH_roQNd<#8~iLN!G*()0Sr5{Y&N6E4a>qLe1zTbO!zU{}h0Q=2j6% zy6pnF-puRbVo_ToKMu9J*@WmGhIH;@4RHJ(F7&;HR{NhlIsSTTM4f$Fzf&5-B#Ol) zeUNEMW_-MA3dK&|-9b)^BV88}PR}};6R=QO$masq>2=MUk?+-@wtnt@G%%vTv6uOL z>~~lk+#91E(Yom4%9Y=jzj1t(nIs*%gH(Kd6%~kAdV6K;q8AD*8TxZ#*nuh~9N1Jp z#=Ual7bH95hVU7YCG|^c1oqXY%_26L^4N07EnBwq_3DwLfQ`^>K-A)ciyE&_+a+vV zXdpE4#-QE%UH15ME!z0D0+G~r`*3mGvcvAj!{&}``#@J@2f^_6Sbuy7?tXl3Cmz$; z_T1`Dn-?g>6;F|Ib1v{YrMKYo_ck>dHzQTX&tdZ$A0&yXa8iKw#=mNu@w`Ukg9v$C z{YH1BnD!Uqgons^bn8h}X!(L40^j&9kG)6QVKV(%(G{N@PcN>7JkAY@{sRWZIEPgGff0R43#QYsEEr zna{9B(+-cJr@E>7>}C}ne$SV7F*%kUMU1(2B}uahO0p`XqpKCzAKH|ogWLmZs`wxD zJT3UG#-y*z_0I1R z;QL+^?{UUVd3n>S+AHnZ?B{upi-lT!gFZ(sOX*sH&vRhCqu6!$EZ) z$md4y)&qts0_E68z?$+o%)(oiIA@ajN|{lsyosr<7S-M5>X_PI-5|2F+hg)!(%+rL zqG+wP%38kFmqNNSRhpKpLa-vi2s0BK-amCi=ub#=5e3%vh~;M>Zwkt)0Xuhjgt~GcX z(4-P6aq{t%zpBo2y`|JaV99`X`KZNKK40I!+x6Q&-I|iQGtUFG>dm>I91pc>|{rMa3L&z zB?q>6TmC%JFPJ(>q@Sito4xnHUw-p^c?SFj``rtHEe;;+(?OJz!sRu0x#O9$e_Vh1 zy?>lczPx#sy=8;>4&aD6ENENEQO|@uJ;871JL8#8vQMvwFSCSy8BI61QKmn$$CWe+ zgquFHGj<9-6i#S&KD<0<0lx#$Jl?XOZ$f)M_nuu_>1Ijy?w1dCXm1DsLT}##%bss; zm%uR-Z|n?xe!|~`!J6-*THx$s%jcF8(w*1wN3B)I)+5Wx9m_!4K#O z&t7H`Z)@J(zX{~#QK=}4W`7g0$v?9Y#43fch)3x!Oe8JcSs5sE)#8)ZGw3tfuBq?$ zS$iiF$t9zNEi%d7W#xI6XdMW^p9OS%ggkv#yzk%U*RD2Wcv*x+X;CyuW3B z2d4R;?_f+O#oQj%A%8mvqsnKU81}(%yr+|FIs?px!L5Uo76F*Ch8C{myR$o z;?Tr;Or?+X%5ShT7@XH0HmHt1Y1KB1Jn&~Tw^~}U_ye!nUD3;2=;%2?MXj4@4Lg@} zr61e96TC(-Qn%6wUxDh|>$&t#M$zwW^3z{}xGpuOSI0~LHXbf=WxRe)rY{gwG-s=v zUmV*LchUwX$+j5vWwq#FuY zrBT0d+P?0+L_WG#+Gs^07iOPkDg7igA;&Lod0HJ#O!{Go-KI0~S+73R#@;Y!v=IvD zoPK`kyv|fFY!51ZcH4i>>N5bUPG7w|g^rfH4yy)sP2tGj7<#y^4=cc(A-lfVfV#Bo zK_?qCR_*+W5${{!nqOQ?X>Ss%u)yizx;#=1Hp6yDUMEv-jh>=dl~-$|TDqT|ub{oQ zC8LS_#Zj}3;VZSi(txlX(*WZC@TY59AjhlV@lAMuyjH!aT~xU7rZMA_8#Nw7XLJI8 z`O&lV2GHFNy$p-vRMe9?@vc0YdPt0AyY6#0kwpIA=)4RHv@(1P>VX?~@b{yg@P~~% zF>`~wg%Lglq@4y&$zw4ELO$tHxO}0xGwA;hv2eeObVi+`|NlyXos@lc58v8mV^Q}E zX#=!;K$0hlv+R8a`76{vD%4&n2WgxRf;OW0+Z-}!`BUQ#A6(LR+q~OHS>H;`tFZYy zH?MhU*L}= zTp33f)1*F$t4YK>ad^O3;w$lSn;Xi5o_1HhY!OtWkj8bs@WNCIAW4acsXGh3`z z9a2Q5@DPsh6DJ{KT-|3pD3JNZ7RNGLob|sZsTNQ&Lq-^l9zH$5;}*PmD=VUBLXCOQ zGsUH~T0*Msz|Vf6Fzz6s;D0t(6Y=PP3Z{6$c)mFg)u*?I@V{>><5p5I+1Od?+P+xEe6#_>q(g`TM5) z{X?$e&q2pK!~pC1a?bG=X>%ST{6N~yx@GmYNq1p9sdV2!*JQkP;%AYkp6 z*2(i?dV&>U+HRj!b;xD4)DVH07AFO?1T$>04NoOv-)7YzBJ(~@f=L>6-J`hSQDpZD zns*a)@yD|!oP_t$6>8MNJ*o&#Ljp1iYTZg=*Rcc2$9$1Y$FWk-ZeJP0=DyawrAYgG zuabyV`kQeQ~yG@SFQXu=xCZv0pTzbE1f1Bt#^5KOVF68<0US z%Xi~hxi7_+FMZ76?x)BrF6afZf0G}=)eGXd7c%P|*7%wpBpq)e*^s(HL$?w z`7z|$9fkLf@>W86Z!LVt9x!GJN~-!unuSyq=Bs^Xcj)&@fevHt>9m?Gb3eIRPh$8sqq+kZ$9@Gg;BQNJI=Ayr?Hh{R zkMp2YcYpbQD$L73u0)Il<%2Ks^clc|UcGgyZTt6jqR5Xx=Nu#G&uynS(9BV%{54%* z0qu=Y#Om%)rz#?|b2UEkIj5($8E!~jcgx^i8t#VUFkr!m4T2`~ zL*fD0_7mro9*9$l|4M~sSnY5q8qK~X{J{PA1zp_vTuy|pF<1UC1d;%E6eOYVx5s=I zyW=%`d)1hQj~nAoxX+vC-F&|Hl{@G9LcRE&4z7IZPl+M+uP1|swl4S@*Wq+8JZ7kg zEt)6_wOLks#|}tsA(7IWiOn=xC^VaS&2pDP&E>*dgr8l^5{IpL8tsJP zGHdX~l_Ja4xkO3YZW8lvWW3Z$295q33HcXX4V&NT408X}&@(|xFBbm3_=vw~y;|?^ z$0K#EM8*rbn18Yp@oI|UKF+Ko;wMH+Q$SYyvH!U%Q7Xq`6ccLi2kak8LUN^MYqu6= zWics0$Jgn>>~gncbe{#>Ky?bv+5To7g9N&4IGFS$fe3z5^ck7bV+`V#3YZ}ksvvPYa-3ScF+s?^dZsOA z2&I7@BAcZTcOy6|Tw*UGNc4gN67EiWpK}BP(lk{!3gx`v)m?GxnO?IXu0R*Z}%pS&&$PNV6ZL<(%7>7ct@V{qA z&8{!?(3WsK({T(oJe0hA%xIjXz&*-DYe>V8oUN9s=+sAs(IWE}PmhtWj=2uc)>W1p zF4dGYLM_CcZKOx^t#SV1?eX8lGc_pF2xfmvRj7JsQL2Aqexfiumh9e)ZHI#2DFGwm zNiDyoFH`^i+V>TH_S+NZzaVp`Qwi|Rhc1rmSkUA=+#&3YOf;9oLC9KiKfLYRM`AS}n2QWNbUEeag_~PH+ z$ixM)oO*fytc-a}DfUpGb44`!lq2-g2Wl3gZ#ruq+<+Z-25GLc`4*A#O3kvl`4{Q; zpj!ov$bAWopY?1emE+T0eXgp*S8EAZDa+A^!zblf&{FdqtO#{Y8 zK}kwb1A0idk#MwH)sxJ0X@Y=zTObEnFUdzX#FQm8i`Lupn|@GERq$`e$XQ`UVG)e#2;Qm8AEaBIlrIbhA}Tl5rv^E` zux=8^x?SkQqTpBM@6Mi_elq^34Sn8gC7;J)TLO3-STUF-!$j%y(HTz^t>M3Pz)v5tPRo`Udwg_SO{u9=gj%TdaP2N-eU0fh>U;!JlF z{C)dlUrzq`jFbCN8{i<7$zCo}z6s@jrEMN!(lP3lpBXL}%0H2}wSyN_^X-cYxJOwo zD|T!(%@bb?;Mg#q<^*vY>&GZc&+dQ&+NI8n;6X-hXT4v{{h`=CUL| zHp}eqgD`$+_{u;v7kj#ZI4p^1Z$BdNBb9YtiW6;egibBl;_mYmTe<=|Hi= zHyIuH1blnaObH?0T0o7y_4kRayqc_w;=c=9^Lhn(XqteJz<&+vV6YctiI$!nwg$cs zC7#|y&1PK-nI@tnB1QPNv4@9;=fq5SwoXX|4?Qd*4Qz;njItO!W?Zx+d4$&>Oc8?6 zZ@Oqq1!Xc!XhRYXf!o4AT|+}~YGlAb@Kp9y>s*FY3VW05jA!q|&a94-0M!>naxQQu zh`}e?<~-YsLgqrVP>+{g#(deW$AT~Tq$*(M;7?_Zz1~Wlt=^()Z|_yM)b|6fwjgWr z_$RXg8C9d7RzCKO6}|^mOuJQGi~!3MeMK}?saDdG2Sl07XUWRHWu|(aM=y-mA5h2Z z8*EhtmL-f$MtNv>PAi)!YRjs@=Vh+O$R8HcH`8TEKv8&7@6Kbw*5$U9#i5@mHiOij zv^_)G=h~kY$%;0WxyTC3s*9bmyv}G(^ZP**Lr*uyUEiN!>+P=ZkY^3g;td-^0BT`Mm+71Nn3nKB+uln zD#7-yKIsYujM;jj-T3{V0PzG4`+QCv;zo?14k>I0P6$@$8b-wg>eHEc)|!qpFyMIV z0D2kQ^+SU|_^!5F%pR}UI=+~&F|!dH52M^_nSb!18y)zRhM=G_{AN}#gwazGM`-hN z8z$?JVKQ~dfFjY;k-VsaO3XyjO26OPTXg}8APg_c7>-iLhMoEIx92fc5>16=La7tg zGe-R0|8g$_2wP!;NdByma8 zVf!#5E#Z&{@hvK-H;M)HY}h#Z21cYWk~%6!kh2V5DHStmX6nChoxVX3)I7wz+OKy=A;uwrh3+j$%SLb?sCe0Vh(2`ApqR=x#UYNQVA%bkJe=tCu8Z z36_X*LJc^zAO6ori*0GH?jwP_iaVU6b;O0%V*tw>D+q5)a4ng^*e~9kvI|y~?&C~H zRbU(*L&Q>get5OE1>%-bhh~xc;sOtk2MIgbO!HwI!-k)@_{3SPlNM1O7CB5dPj_*a zF&=3@o+Ij;9o7~MNs$V34PIX=#?bS4LS^-e8((62>A3<7pUz<5HjWeE z`X@U-Z=ml<)S+kEwR54!Tvx-ori>L;G(Y$GbJgvnoYW1*$U7v0COCpb`(~B{a)UOO zBSICkv}G0FKi$<>w=yyjgl({RgMO4AEqxI;5P5WTU!u$r@!9YtRbVCOjNA)uRa2v!sth@5j2asJB-~1W|f&2l!A3a&}eiMd9 zQx8RV+^E{n53Oc&;c3oRK^(aNP8G`y@&u6G2m@tk)kAQ z%Ag35WW?_$t2&7xP6S7FsV4cltLUs|Tj$r%B`%cF;t3o}9oBYOIKMxg+`f@AqT2+- z8o+xiCx7@DKq*IbcZo|lkdwtqde(>^=c44J!*dZ*N%6>;-G8Hyh|7 zV=r98m#n+_SP6WJ1l`9Z7yC3*pV+5e!icnYsAz;$GOTY)=~LT)k^bk)f~TvlmI;>W z`La~PjK=E9i}0XSg)e@|1PHhd6`Sb2wya|}ZY$D3|lLQ_Z$~ z)v%&m$Mo{P{m|`9x^q9c{qw_J?=zK?{FP7l963Uo;q*1Vbl~eN{eUi?Dqs4;a}}D4 pi_|?f>xFrT;u?V^-O$Q?TuH{Y{KXbUG6Q84R!$VT{{a91|NlxZoQ?nh diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 335d22f916b6e6500ab506a0969425fb000f150f..d51f9c654b485b23556db189e92aa3e8f3f9110d 100755 GIT binary patch literal 17386 zcmaI81yozj*XUhJDQziM+$j{d;%>#EK(S)Mp+NEC5JC$Df|ug%?(U?N;F2Q6A-F?u z0)+7KJpXI&x9)qBv(A})=FFTuvSxmJ&m@G89zCkW!FV{kKYZUkTtC`;akqDIrsw77 ze#^~E|IX9i(VCu*pO5~n5DzaO58qo}etI37FJAUmHuN7XtQ_ch>2*};^=&Na6|C(& z9#U42KYqyO(WB&la(npD|3`eZC-)!O2jd_U3!pc6d!LO1PDuer&sw@3%E;EXx7SiZ zy$+9#egTLg8%g99mU@qloMnkOmbay|Tippwyk}fcLS6Tw)icN}+pScQY<}CZ#bFO3EiS@v1CeVrMT{{X3F;*iaZjnF=<%Sk4tL;% zNOSe(q>#V~pItomm~-os38G%LSOk%@q?<(co0B#Bwcg3TNb%mtVx1F%afBuV6imSN zTZvFRJbsq@R3QNe$Kn@5gqq$BU+-oFMg#}3K6{lmpt42Lt#U0kVxM@1!}-HvNs4S^ zwhC`#UZka%g1&-nZ(an8o+N@emvF4S&=RM2D`J#5on1)FvBCi0ma>K)Ax9F%ilwt!pKc_L6f@ga!MO{#<`T0HC&B^-5nNcjA`y*4E}eZ`QbQMo*%2LY(b3 zq0XPJJ;qY0m!BO{TnA&n&9KBzG*vqZQbkl9q(vm_-q`eVMCg-LvwhMXsYub|O}A9h zgL~TOYh7~Lz(026rCj*Oru4cq4xtabjUR7OO<`uMf2^ zLA`Pjxe-!Bh?Y7{_AKp;;!_yMZN!>-uW`g-A{^63S`S!=G^))!^{+l4SKyR!HM79L zY|;Z=4r%12yyGpjq}oi@m`f$DXKQ0``Uvt($kgY}&dYB@EGA@{)qb^nt&nf2)mvJ& zJTb(bzmse5u{I;GI4{}KxA#TFwq5{XL^d%;DNy^aBJZFq;xDlS>$Gk~p@EWHd>JCq z@`q*4nq@Cj1P%!qTbJ%Z!QOt}tX^%qrS@N#)=*%JB}U@1+BJ#JC8zkXCxqny^qUM? z{}|edVHpJ{JK&g*4OED-pCxa{e{xdsl-Kqfc{x5-fPxrU^eJ=}_-0nAAUH)%CaSkT z$mcRBg&yhA}RoD^JhUlLIB{8I0F51r~SFXV|r*k7}R(q7L$SvJ>PFSFLzX(C;a4 z@cOidyT9de?Z-#8iod8aB?*7Tw*FSFpdjcMkuhL9eQPV@P2dpj8W(f&t-+6B#pu^l zUQ?MUGJK6JC5P5L0Kt4Sx(&GzUOJJ5JoLCMs1SJ z@@GN>+v7e=urCfY4GY>4B5{sy5v{!c`W=@-g`~OFsGI3V{{27?B{B3uZr-T-h+#?> zYJ@2?O-`mDHAd#kik(M20V0N`09e4ht)>K4UxMlTHWX!n%LDZ9J`wVT|Iqu+$zV;? zt?)!b&ebf~=M!VhElvmHJCZnOTxF%jG-qw0tWM>Aeo|=tQPDs-=bAZFZ$!j$1j!RO zSdJ;d1w)obuL;&<?mDewShVT>;*v=Jy6Es z7bUh^g@R0lsC5>@7>-buFyZg!GB8Zd{I!&lp-1Mx9oR}8$I{83XX1FyJF^7)L3Mm8H2riL{d6841HKhDboP-X@$fSSXWSB{J_S*N zi?1atwFANTPsgRmrE@YW)R5mEnhKyD7j`Ke{)Has2Kn03EVHx9wKly{;8<}&qtFpF zfJ3m^jl=-etR`zidHZDTp1#AZ>Bvi_f6((3yxyhEwKibJHjlB6jqDCJGa^(HFAt#u zOPteToM9NqB3J#fX3b01UiXeh#FMbFX=p*~X*Q4FW4E)fQQ%U+KGj58N-LQ4jzyp& zd_sTI!ITMLiZ$^JS@tz2oS5S5m%0bJVB43cC!8232aitPKjP>3qzC24*!3a(nu0Gg z(oMf*{PrW^`eUI!Ebuik^bW()^f7OYOq4Cb3W`4fT2_A(jEXPa_&h%s7O!4|04A#+7P2 zEyW)u5hr3}4$EcP+wDY}T%<~zE}Gc}qWx0)r7o}(3{x+0NvI3MdB+&#fBfUwpBL*i zP#^4KR!h{cJ|qUOvrH7xAIhBi_sz8gZQXs|PX^@f==ZR-r2o^#FYRz!ocYYAaMs$s z`s#HeogaH)C;){qs1EZu=ds4Q!Ctb9*#8bnB1TULJRh1LK~AXjzlNy>eE0`KY<#v) z#BQ_XJmz|vVF(7kXH?hpeI$K5ugB>K*6{!=r!p1#tC0vkSzvRr%3LZ5Z&9scm}Ut$ zcn7-=>8#LzNd>>QCb?F|js!pQW3>eIjOC+NV*TI9PvNggy))c-`7X>pXve`z3vc~V zS_pd{T}?ZiC2dHwFEJGL+!FodmhFb!5-r~bv7}QN$yV;~cz&r31wN-bW15wFn1WP# z*Yc_d`n_xDJ+Q<}fWZD|3rcf`Bnxa!deFO<(u*)r`P97#PZHi(Mw&B010BM3Tp9`re9p*R>!5zkU_BDffMk0Zb2P+d8K`{nCdHhbN0e{V3nE);vB=wuF zqx3*OqDA`0*ihe9XpLL;S7aA~XPzX1U+^D_?_KogSh*a}BxQHPYNJl}nBF-N;zgi? zl!Niet;}s?qw2*O=rXt`-g=ROzn*+|m%kN!VhncGKz`$wr|cu!bEJP~LO35mz;G&C zAZt7IT!<7Z(#3N6Q1c^J^jln0;b#sHZTKDMH@>_{3v52}kJsJj+Edl*!>6i`lByKnkP_WDFpxxi=b%zK#IV1dQkGkNN>+sEB^42~q% zE0%ix))O*bKPaSh(GPgZ`X^4`9cIB3fk1 z6-$PVo;uNz`q~jK(zj^!dgD;px0uO9`4XHFfv?HBN^s7#9IL_Ck9VC&cr|~8g3=#4 z+BXJjGK=aR9%?;wJI+8$4GduE=d;dN&_N&U2G}ZJ0H=q9T)45+m#YFZhIVM4kC08 zzx?IPP+9bB$JoC+GDuV5*{f^%F|75ov}Y}Jfuy_i6Z1HM=M)``;M#$UU zBQ%tQ2S`>5;$`@H*+jbL5@mOr_zb4tQ=KAT(9;KJhzmQl!vS)DZ_g6#=;SH87M@f( z(P|}D=+{(`-FEbYPF{Kq*hc$`CewTmPg6OWcgcK> zuA~1gs6`uvaJcC141w{|OC^6rqJl-FAgftD+r+@8hNr?7jPx|$rPq$JPkiwm2xjRW zflU~zkuXgp9t8}(7R2-&WG>iC8X-v>fK~j$Y(xvG@eDpgzjFJbrsx^%kRoMQ>=VX^ z^hi^D&gxl}+IITW4&oD0ij(??Z7i*)ULij6VvoDvHGPcosLW?8tb`xP9RE=Mh(UZj z33vtut@DdI62N1>>goA$DFDZMyj_&BEf{0I&=2Y9RJ;cJ5i%%f z;XkDEl?YiDnA3}S`7saFE(A7ju&UR=7DE>=}T)Br)v zC0*@mjiv!N*X>h>^X1hCKkP8cHX^^q7)}1rRBmB^=UiLZlNRp5*Q5TPkzu8-6f->O zu3$O=&bar>G!A}NyFnpe4eCdjGwhO9GX??*FKbvI9dgc`#u8s2s%uk!V=~ zH)62|ls(%GR9ZBcZF0<+MUb;X{%+h`h&e|J(7?$2Ygwg96|!TC%nY(8J>PTWko7wy0>+X;|33vT1Ac)t^I#iga3_W$MZs?KsPY%MmGJ&6wRHnpBOqE|ht_YJLJNj1EP47m+_*nTfsBV*2f^OF6HKS!XNK|aa~qgvfi2~I@V!AhKM9n z(tV4W<;xn}Xdi!;RQHK=#)bLwU86#mUBy;vei5$pE03*W!prCF? zxAiQCnwH#Vnw(zMRu3F|qZmvAKi8P92FA|{oj`n$q%d9IoA6tISLgL5&5z_BZO7m4 z&n1eN2loGtEQdQ!Nemx}Vjm~)@iqX}nL+M>Aexr5DnH#{%%Bn7E%czv#iQKrLz2Ys3>k{j80S!+vG zwk?{2*Tk31n4`1_k)iFe8yyY+yMcxGQ;lX6RFFx9(v_RfQ?0XAvp>-RJF1S&Kahv8 zOrnjsPXB103DvBHecuilrqpS+bw^D&NwEFr=<6*ex-_~7Gt!e7hd!UG{hA-k&cZ*U zuQK71&DFzb;lrO~YQCAJbzx?yMXqaMJ#uR^0fnDFRolCaJ}0GVgA}_S7MT_|-H`*U z*5zP9k$k{aGRVs{M!7z}q&<(wd1h6mSdG~0WSG-<+Y{5wG$?9#v+Jn;YSx9jrr4c} zA7Uo@lZuB>aYJC9YlJ@hO;NB|5 zSzJ-FT!jD^Qp%Y$>;m;M+oSE{ia*J~9^q;#s*xoVLO?4~p{NMU zTnHOaboLerOp=k3I{q>cG_aZ_`m|sL3sS%j*yy^1F<;B;r+oxBN$*-9_9-|B{^5(m;_tP;L09MfR|{5ji-|lOS0%Pn zRa+tw9Z!Jnx9*-;yx!Rt*HM6F!zI>Y%c*e%{b|G7$-1s2(0UNAO-6}*n)j4JUvzG~ zPfxR3j?Tf$vFq;B|Z^|i?>t_uLcU#SA^ z@I2Gon0tnW%!J9w^83agE|;suP7IZ5;U-x)G5WaIm8dUN;(oN9Sy}HfmA-Fy^81){ znWOdYd;xb7VKY>!qeTTMJxRlfF#lzL-3|R+$lv9e-R-ue3r-Q?Vc}uej>JMeEQl69 za@&^0gxT)nMJ|2c4uo*u`g^5oGfL=x54avdN#0kV?Tt~awl>~E%+UKc6_;Gn!O8nm zW@a24VS1f=yMN0FdIfF?0B`yQVevEWp$5Z-hRhVcGy(mPw&`h<^x9zQuw*2%5%-!= z+5vQRu`RhRGqHq zaqF{k&$Py9ghg`OL902=orN((Uw>mLC`-jS^DcHT#Z{nr=GTONS813Dop|-H?4)|P z&u=w>6X2(uvq}q##qP1a*+H3Br+$(uu52yWG{H0T6>wVVlUq}kL*?qO`lZrIPAaLR zrXbo%(P27#rb4Mu?J3}#&k-MgxI}EI4w={`B%R%NjMSv`+Pcl2CFtI0h9TqjJz zOr#+NbXZ;;@} zNr<77WID2wW`h2e@+P(zAKMocr#Z4Za;FsgqX^SLSD`1f#RBK6k<&9d)bXF8^GZMm5erKIMOS!cUQ8gkTm-C(l(oz zu4lMQWtM(SsbdU*bGTJ8OV%y~`yyvhE6A|))4SgHh5M!8XeGcC80}+NQ!?+dk3ADCHoB@ED*|eEt*Mj-yec=t?%^JMX zH?y;kMW2?R+V#vke_aKnZPsFCH{U5PCkNcjw;f9KXB@hDdva%cmgqZ`Ufb`kx*b`_ zDGs~QBp)k4=kZV0hV6S>;}Or}Zd$Ror zKE&XyX(T2Nyolj)f1>etubN`)@U zQZ1Vg8fvj1o*GZ*xzO0`=*YHB15ibxz1;QbN1eKp?qG@fJL|p9sqBSBsDnIbzsohl z75rr3t$o&Rjy*3Ax$8vH@b}+ENL}@buirz|iKMd{Fyca61Ytw)v+GWY1UgzTX)o*2 z_f6uAMyX{icfhKF`XUM($hyJQ+t$kZ&$Fhbe+r1ZDx;w zcs2ME2G!XV=De!r*p#lLT*JkiDg!FFa$DZqT;C;cwvW{$r?TzC_z^J4NiS#pKtqW8 zwcBEj)?+t(EAAT!;cDu6p{9$S%_c~Se;O-mTIAo~_vy78Ffp;Isq%9>sv7e<*GoS> z#E(WBNM-x*^ifA*N0vyqR6rHX=-w0(R<{&J6;PmPxPM+tTF74&Gj(tBasdEdeO~xV zXULwh#VV}3;cCv`*|p=Xy8ncB(i}OzcG8IpG6Lb8$vtU$i&y;30^z$_tAaY3!rPm< zAYjQ#G`&%{075@CKhf>d{n`N#mCXJZgA>L!+m5#ME zLeAyTHbJh->fA#MmKiJ;D5CE*!g@V~|0ZzV&H?0*9aM?7!_?Sz@$D`0+f~@Ul=^8? zhMUH7+xS*xyX89P;|#qYaZ-E)t*G7EfONine+MPd(S}b>rgLzD%$_ysd@K%U17&g| zH=%h;Ge(z`SQc2u>8m}qbit>|3IBLWF*tc}n{W}CMH_*RGBcq-Aai87CKjAqf2Qnj zW^wHIUrWvlEv+96x>>#iCwCLmT36L$RqvDX9Qux@Yuvp2;n2jO#!OD@(o=sk)=gbX z>bK}4I>xP3CY$Y8e<=iz44Ii(6m4<)omCh8bVZOcDc^0|rk0AdL8oc++81K(HwN^| z_}pIE`Wb#zw4@^hP4xA40y&;$_$UlE$5LPSK^I#@N7vVXbQ*Ey1vIkNod*G z#p+MrUtxgQZ~We8M%&!i!MZP2pbTi8I#fIxwn?>yXrTCHOe2GA`l!ZeC|EB>wO%K$ ze$HdAd$o|G^+_k1ZbOjut{~m~Yj^9^yUF!V!MPs-!CY&ufM!M(!Ajrg_E;AsX&>ev zp6NUiycBh5BkrM>n^}`HWtY9NVNXWEqkw#GK;T3Zm5p=!of0~M4S&?p#JI>CU?koo zlNI!IgzT(Mny>YJ3QTw`tySMXTkdas40}8OTG)puwuWdo8wxYywRxE~rCiBcr7_(Y zhhnoWtD?cHDrJA?{PUtCs^c`a;kb^ehFNcmF1M~fUN%`5Hu}}hGT!;{v%f#Fx=N@D z>&_*K#LMOdo%fems}}#fF!UYyMp(DJz(QkLPl6`UcmB1vT1#XMq@`=D=HwSolKNHd z-Ky2;B<;46a_QE!IvQ*lS=H^}2imuD$Z9IA5jD)8o;uO_vY>v;pF-&;9VMQPEIj>4 zOXlwj%W09qBX1j!aPxS)kQ`Q@`Z3hS2ELr+&auSBDdgx}+9HJiX`k45b^3S97mWU% z5i6af!r@h(ISVD47!w_C;&mPotL)iRd{e541<_i~mheE(j*5Tz-v+itKQ1Ev>6Q^c;oUwEotN`JpB_Ad~= zrbPp}j2ljF8<@?@Oy*zGNl6Co5X_)28f-QI+lQ3SE#3$DeMM>Zs5#X(K;gY+RcNHZ zaEDbTGRRnCEYPAxeQmv8U5n}1kAvFm#$W=p4}auq2I3CBmZ3Ak_!k=fvFZNBg#Rku zbG$G|%(_65IM9ZmY<<+L`%WbM+~gOsX;kmK+2Mekq7)%_X{8^-XHgLU`mI@*d8Mk( ze+;M$nmOED{d3f$b+fR#oK_2jBk6kt~qmSWWxdvV{Is?!IHT{w%kF=I}r z$1qzze)H3y}jR)nM-*SxJ>X%~kx+E0ZKcZlBLB1EXiF!N|nR)T0scA{~+C)X&zY zRN78Z&jVi4EjO(`?V-|cI+H$L^;)j$G(4(Z1i7lVk-G-tzpR#1I0de(xOZtI)GPNU z_fC0QHi{}dZ2z*1OR)JmVlHf6b(=YK? z*+n4~BfxT3Vp!mTOf=#X8HC*P+Y-$e?Om$$)`|8pkMdQ;OZQ6oa2}<%M-)+nVRSKr zmuY%FtENmjkGkQKhp$sfodCPEodIbb5IiTqShvu{fOoGi?GJS0NVPiIq7Eg8PUs($ zKpp_+=*r?57iD1VU3JP_mv2$aBze;Aw{rw=<=bsh^5r?o;}Hw@k){o`iM`MIKuc}R zW5yYl+*F?U$rW7ghP(dJx{MwnzL?YxrYP{@I1kX))qXBCa28`X%-wZiCatck4HW`k zO7PuiZF`y-2g3C(aP=0yj`=*QDXZk%xj}cfxKgjygH%3S);%9QYZ1D|+R8bM%yzRj z%IB08TS*(K&zg;54X$kn!wI438s!;Y+BRawX9t}Yoy(f;tK09Q^XaSZbBXVMaxoQt zzL4LdDSx$LI$8XLrraRPE5c37Q(xta_dy>WM}tUoTYCm@6VzeO&+hBR#lxDRU1YfM z#4T&GovL*69J@n$>dn5qk%K!?OllN!Iu6z{>^Q~IMFU#9P|>R!1{mc!F%BJZ`K-xhL1OBuG|aaG8SpSuq$ ztb!?v&WA~ty^eaUt%o4z*IQv^o|Ez3;lo>)huXsdn7fZHMf9VyXB&I$=a*|=*_v!> zcpGPJmCPiv{rW^aL))Hslk&Kw%mT|d5yWuyw6QB7PDs$S4|k?HA9$9rYcUokaSbJz z``9Y?w*&?ABCeO_msB$g5nhh6$u7)pG463n*!=kYS_?|=tD%9*uRJ}fBlqgw<1lT2_&^Xohx|z&@;bNvVJ1k*cka+X;>)cviLYx9rZ}BULwKu3TMta-Scvz zqwA3<^1G`QM5lu|Oy%z{ ztZ3cLgU&Zn|3u>jbkGMB1m6@-v`c1e_)&Mxf!IEON;$4uyx`wangN>jt)jmyopy6A(REqK!xU^y zH_iR!&{ueNs!m3x31@esfNwx{Sv=DNUhqURKyI78P3xOr(`l=8uKio7*|QbPOx8e^ zVdSmuDcd#xn5{+!fN@OUXa!km=pqzYG&-iWgc>*PaYO@K8)5Q^^TqMo^MR)?RQ1=n z@h=9rw-rV3l;?hgqCLLpZ-51P*g=X(Cg;OUN4f$$o?=>HqGmYvWL?Zjez|nzYB0X( zz!`5ge0#Zmh9Qk)S7xiwTh!zu4?)`|EBSV9J=Z|0uufE98DHG*)(-XS@C3LL!ZK2*5G+p z;utyIJs@eO3&e3~-Rnm_zE}fR>)1CG^9@j%4cLf_Y#QRV)@Ps^9VF_RDP}2>$2{DX zowEVde6cD^1JP-|@aZL!LJsYlf;3;es6wS>#_}Tq+D&7k_lQn^_MmPy5Msf}C7|_G z<3Hlj76y6yb$8nJ#Z+XUGN5eKQm&96s0MR$b?5jzF_SEv9~xEZDjEU)$0wndPLn4z z+l%BqY?IAfdR(Ti<$p_i@sp*tW0+CrX)VPr%a+x;;cS;p@l)guuavH`8urd*=QIT2 zS$#%buomMj&xtAtiAXmDxSbCVo~uNub;6g-={4}ds>SK0nUqhGj#B4pwsyuA`4VBbsrrn4o3;kMn(!M>EmzEc36u}*)$w<{Z?T@SsR zc_1I1h2KE6*>avPXRA@5Se^0mZS2?&LwQ431Li>PUqAwtz!6Rr0QP)Cz3wVK2{1FKl9F zJ(f@mI-h&;*?R4c4gd+DG)9x=^zJdGE!V;hTzhz7v_xaO4gN)%1%K)4_qX$g*4R8v zhTXpDz#r?@sG|yNNKf!WNN4`UU4N~59>Ye;pIiMa)yVJeQR7r$Y30dF@`T&PI`fv> zBCaswvyQ}1d(%U|sA5*P@1We1pd;Pb9^aM0ErHx)$|D~iYH#I+pi@`ORCtFvAN#m9 zb+r5L*kQB8)~FtxYp@9g+jhFHK#6C7sI*Yq-g|_2_O+!{wJ)pu{1qdo16qY``Ga}p zHgFw@}tmI9G5BXy&5ukeE1SfUliUtwXh=hFsHa z@us_{*L(Gz%y7hLqvc&-VXB11-9IZT{|%G>OYAE=A0GL`kA=a~&Nt1S0O*1_pHDrK zqHtgzqZA5bbu!Z(=mgBV=uxP!ji~HIe|vv0*KB+Uh~dh3oH4`|Ly9&jlBe|}ILOLZ z-8&tVJh)0tLCof*L3U~7J#gD!oNU)9y`zI}3Wo(1c$2)t3i#Jsr;Bx7^{%u01A=|3 z{l&#U!QUz+^+NMO}D^zWSaUMpO4OFp?W~m<1D7q-QAxQsC5D}Ra;6J#ifr` z)!o`xh}$+#=sWMUw8w3xEKrCmQaDOE7Fbje#)B@a49qWsIK^>^wx`~lv&wk;d&O=0 z`kJfhET5FGjfrYSb`{~d(AZzb^L>v`$uVip_|ZTqznIb z78144GEh(JT*{pGg-Tm%B@(YLYi;x<6=W*TRu$!4WM5R#JE7g5>69854>$y{RoKM0TumG7-%bYz zxtt2=2;a{OZ6b(yv2AgMJ+5ByTt|944)gA2+6B8pT5Kdo$Phjs`oboCdN=r{a!&D_ zQEXJcw-W2ToKf>MR~lNQng4S3-{iMvRa(h%=8l&-`ZaTD=F& zHGqAm&e?x9c^37(MlHd2#6waF+Y;bVlAj?us z?8RJDtfZ>qWYjoz%OrA5Ag_(1F{>>@(yy`b2XnixosrU>1mtOJrYgg2+{kW2?cVUx zNTuq;Z__!u1i44}w|_#%sAqe}%G3L=6=pdYT)d7Pl| zjxu&ki?5A8pSp>ukGe(E2>ZAT-|L_p4~*w^=`$VA2D@$h{)T>`)?TNCxXgqyNStc< z^mOsT*v~Tad7`vjBw7OV%cAIOvY?Q@aH01l0+&MHaL)zYf2t%z}v!4$^rCqhgcG6e1sdNrlhh|>Er z_mEOQNA`UbN<~v_x{E=naerX{RDR;|N!en|dQzQt5oHIgtNHNjj#};RZF8KK*ORBC#bbiVD;N?gIg573{t{3aci%(|0eo4cC=z zUBKRSUaE@HPT8vVKTTHYG8f*C)<#LDUn1r@1bDS#aR3%Ak&f<{sJH2}TCzpU*r?6x z?@bbW8cw9+c~o&g0XMC-!+@;VkLt>Q*?36i1HQX2Fz3d^VeR~mUvS*!4+|3-d@q&F zAiA)X3~UFn&o>@RdaK=O72WeS5)}H=5bIa}`Js_u#O}23E}(QtQ^cNn+h6FbyX(YG z+^IBAr$g&XH*JoqZe-0yG{0L5)L;EYG|YBzF0*^q;e}^4@08?y)R=KO-)Rf!(q-^c z0R;G7VyAZW8;l+HO}h0_YXn=HW~?v-bpa}QX9YO;j`e+Y(qrA|Znu~_U(}tyHkrQc zEB2Pk?kQdV`(6#Un6ydya(~yfb3kcG+kd=zZ+E7))2&VnzahEuN?qroUa-LI1obyM zM4F^v%^|kt4u~!G$|z;*Wyi1dn68Z(vY`(_j>V!1F!{C2MbT2*5HBGc7x`W>r`Q6Z z&Qn+4bOGJ+%lBf9!!oU6O6~>Z5L+m+(J)&vCOJadxsYOV1oeI4xL)td#=rUWx)pBc zoxpx2$?bGrtTmTaL_uq~V;B=<>6G*_$rCV{ewhJK8(yA0o#hRGiA}k@@n?g3Bf0+h zcDnVJ+TBZQrgLh6B`EfT&!o(=c+ihZUfkS~`vGl%;qv*8mO*eZgVyZ6nWUj1{ zZ~5%>%hjJ0ERUwIn@eAJbmoRR)WwY#x72#hw8!|qq2%r+Cxp?Bk%n4O16PyRZKspl z4z#y!<)z*v!$3u=Z(AInvaup_ax!;Z2S?ED?Sv#DU&e(Bnfub(zs>1+Sk z-{>}0A6jb;wn62M{=#5fs%D;l7aVGM^G#}uuBN-e`qm00AU4Ko##FZRObtB%mxX^g5;RKwuLE z@*U>a$IqW-)mGbGxB0ml83-!DPdHOam%{u=vovsdD%WtX9O_|%&-^-hMHhUNatrZO zImC1eHeHzO7RGa}XZ#wdH7`aKzxbQ`!W^OMN|;%l20X^nRG;Mw1abD369o=TObOK*h3skeD0WFw35}-wgQV!~>#&t46|$rH5h>kx0m%3)g+;CORDi(N7kH z%|noBhLaUi_n&Ep0?R7|M~$S(A`PIBqhljs3-+X&rtKdiZL-Hd2oG*FWkSP3#q zzMV(6MN6}j!SC-G(6){DN{3KbEM327pi}=$cN&@Wp~EP|#&|e@g9l^+HVz;RyPxp_ z(m4bu*VBHyN7__^PR=UOANydDbV}%%rpA$b!OFuvmwrF)lSvO${bTsVfqPYq{XYxq z|J7suUqmzjt1A$Zj?P_oF-=8~9jEtt{Af)^?`p& zyAr7I4FSI`62=Fer3FI1!a1vm8vm)6e|iemkTYkBBMwAGDn*JJH4xvaan^u>`A&i@ zr(BURYSAI}%j$%pko9&&p&dq^yLcy9f2m;IYssfFKzbA#fvtekFi!{vO z4q@R6XAoO(q$4vj)|!rM{>5)<>W$@+%W%Gj{V6++g@U5S?L8gY>qGXq!IIULcOD|S zIG!~W?31dq*AwBIlhz&gn)wBRNfBfsw#$?PtZx!nU~Gyy8i-XKj#HhVXNDzgODl!f zL<1rj@NLNO^f}?O71D95D}l$%InDjzXGOhIRY#o$%6)f@q|1{h#f0B~upzkV)2`0z zY5HM<0P7+oi`#~lmx*E4gx9d_n0NKr^~1jWO1vSyW45@4c#p=dZW(k)XNZj$de=M3 z_e-o*-N?XC$sNN@4n8SwsML{g`x^zcrKU=x;&4NO1E*i+a|e#bgv}8A7|nd=JQ1{1D>Jp2{i9vR)F0^lQ-ftrriM<6B7}Dkkb2pC^1;Wc@TBfUfL7gQ{Em+Z~Ao1cGiQ0*Asf+TCg9l}KQ=g@Eia zx5jOXomIRo`zq-nhaWZ|Cd#ZL&NAkE(3z;2sS8EF^bDD_6p3w#at{sLB4MV46qX*o zfP2ugKHJD8B^fW?ntM6b_+imPDpe>*t3sZS|JJ$ojft^)c;NhxFrc>NY9Y^L!0HwE zU-voF`WHNl?r`t?!d=H*&<^Im?s!uXH|broKWGNc8#X$1H6*w={?PtSo*8Z!U2fNg zT=R&@wT>xcp}p?cw&|G7R}Pu$^Y-(o)C!k>{A=QJRLZzP@2g8y>!YSPVYK$fnSmXE zIm$vO1Y-CL-ok)LK@d@=>gf&+TsLmF5P`3f-Ipns80I_hT4jI?M8_yuXtuzS1j>Q` z9d^zWrrotW-a!dC@Aw`$dq-@8_5H9p9_^e;s{-nHe93m5o%oIoR~t66tA>$w=`e$>BR^_%Z%c1IuGGgshZ)GFoY-D; zV9uCJVhHRiL9=Ex^FZyZ&O0%22ErLTr0hqSW)S(+F|`?$+>rI;`b|31Uq|+Z+qP@n zi^b4&3Z;6pIj{3tg#wBYzt%1O1iQIZ%?cx#=grdFm%(OrwdI?>9!%asX;jzW+Bsvk z)(4daZLx*~?5td7sR^9M5FYr&!auAc#^j;hiX$>9cowp6}xOp1wn_7;IGHIU|F7z-@bYLPjB-@ze z-sJ~$Vs><>symMxa=Jpb#5oC_cu0C_8-rRLq7@H1rIPAIj@H06#C&u!dpq=IH&a4% zdf_5(_Twn_==LR-4}&XL=a2-UfkBD8r6-4>b()j=isOGPTiJSU$tGx%b~-zlNt(rs zX>;tR~qK1xphu!mz zGpQ@xV9IgE8CFC6(Dd*UXoK#Au>T)9Q~zII)FZq=!!brCz95!#&5doJS;z^y=E0NY`Sexs|Y!R!1CM(^bJwm?4ChwWhz zQ5@^UL<(nnfeB4B$7NrR3)uWJg#QA$hBr2$eZ%LbTkEMw79MhCVw;H#3+D}j)Apum zd&w^oFZXANmUN1Cc!o>Wn6+}_GHU^LvXm!p05`obIf#CRkEV){;&2Clm=5`pB&U&y z$&YD~I`1bB-fgC{74fx@^w)t4J&>~gKE}#%;Oyy0`3I54+Rg5Z#pQA1%U%zb5JD%? zv&dDmBIX~Xa_&30m}zn@(3u7`%{i8+^?-07TPgfGx8PPu6k~M^Ib;EfH(JtW>@iK| zgfrfnnWNAA^pmQ>PmG(7@$k%Uvm%Am-fId$Y`1U1$0g>-e0yjyar#ZD8tRwe=HOP8 z_8#G~PxEa8UZkB#@90EVGkqzI3c<9J5Z zyh7E)eNVN=1#KeKNBSGT36-nd)&-Th15kh0c`=3Z4w!w5Z_nPB*{l=U5bEnvtqR}6 znIspEjb1Iy=iHVQS&USgt5{W@f>RF4O9j%EHA zRdb8BV_kEd!~@iU+bK^eng2eE_l><2p-}g%`*8oWoqT^G=J4^HpOYA!&x&&=-G?I| z(IV`@<$*8KGb#>?#yp`jMHW)^zoR9}Qd*7o&eoRIe)-n-{TVO~FR69GVE5Y4mWMsu_csPc_C%u04N)+pFIlWOMVNG? zkkx3@PK>ZZhl;!}oOwm`TijH>>CYCgAF;OaiPK^)5*JzXm$rrT-WY#!4Ov*Y&Qaqs zf{aC{3AEDpQ_NJd1iYg%>Vq_LA|Dno9M^k!9_&8bcH@K(5@yp`YLqjVvxP1t?%nJY zV_iFxqwch0Q=eaqvd@Fl1x#f#4_-FVzv1`X3CIqd_X{?D!gQCHU8qqQe|8dsW(I9r z%W>T}X z9L|A7kKvFjbr)LHmghAH17ZkZ`4>RHL3A;T>-On=xhg1q%Nq z$ytF?VSFRRGlmDsth0DPP?Q9-$A5lL@ZYj!cJZ$(M8IS^HpJHxV)o00bSC^i(8;4G z^FJ9@tN<=i^6!C6mWn`MM(_V`fHh)a|DT#vdIyL6%eBZ&@SmjrzP$gCtF+etLfRY9 ze?{7_{}YTK@?t7L^{14Fn<0owJAC5MQdFJ&0HW*MuBuI3p zi{IJG%*@sO!+%lS-}_(yKS-)!$`WJ>o~-B58zJ=y32Ohp06qc1{zxY_AuMc?B^>T$ z<7Ewk;BtwmhcOqDvl6WQ_%T*iivPmOA7W#tGiNY41dG{O=Djz&@8w_)vH)=Wc-Las z*RkAc*_K7qt=Oi~zNpF4X*UXDju3!@HJg&G;pDHR9YtNvW`NLlaq~sXH%CmR_1;0l z8c|l#8tFBZFtSvWb?eKD;cPlly}k73NfrOAvemG6adl~j@kz1R4u)X|uY<66R_dGw zgF*_XiH!$A7!MPa7d+{qNo9pnx+2i+&pglboMlrkS4Y1{2NU*U&N7Lyr`^7xB!3gs zTG3jQ8S4?8%RLyZ+m_jGK*D%b&*KvpOwEUg?J!hy7;}7aJ z@=)$n|A{~I7yin&%x8OcWOwuJlCP3~lYi%KUd-+Kn#zAI*pfNp&E(hQLF~ak?9E;* z;5p$(BD(MU$^+P+=NrFL9>`YeJ>_B+v5-l9C2||NpWIf?;~VO4GGDzN+p_~ZvJ*SA zt95zFJcqc1^SPLpI&QRlnf$gql2@o7mWOZy@8B!k%L2!h%EfXCN2y=ULF$8fP<^Pp zLLMfUauk0@a{?#wPRBjSDe8C0DS0}pIg@pq&G}rwyUnvmUdnsamo@Y?)VYH9>RTzV z;%ctpefrkQW8_!mbzIMs@pt46+{kzN9^dCC-tYL$@)mC6c2?-yA^*soe8BiFc{lfR zAItR}lB0j{(S3Rb&*W5nE##KGfUQ`j?;^Q1^O%3nDf&9gm$MtYbF#hSN?(%ZuuQxyb z{fmE|8JmRfWFkLo1!eX1Rh8)(sdP43J}p&VU6rm(c1iXtN!Db_lc_niW$F5=OuF}^ z&|5Ssn@J9+$xJP)NtT9#0>kSvGcwuGkSt4AB>Sc+s>;iXG6T(~bTb@XT@Q7Vp4Do-APM_; zjl+Zi+*&IDV9aaaR)#VYYukt?3BI^E$r)9CPAZ?{*0cKU>Uhb>*fs`tW0#% zg@nK{f~$AYgAr`c2=Jp^d%n}fY_pX{fDZ$dGh;6&pL+&b!!nOMZN>dI6H~2We*gdg F|NlgIypaF^ diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index 88123fa29bd38002965d44fff32e2cee95d36614..9b0882beea5905cac13a6298b5647f282d78344a 100755 GIT binary patch literal 15817 zcmV;)JvPD-000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CWi0RR91001I900000 z0071U00000007B700000004La?0svDWJz{bwb#bp_F~WOtY7#QJF~OXQrR@SZROJ`-)-puTtT90hYHdy=sfx*Hc9`-*ZBR~RvAX!+* zASA#)5E3$AAtM{v82PofY_DI#_r>Ghb8kjw-m2=#?&--p)0J`Ko;Y#h#EBCpPQ2cI z_uY5@6#xDN|0eKUyEgF={8U>fr%@y5M6IUU4NqHf=gSfM=IUFk1d`9SKa00NM(RJp zzdy|V`x4&&!2bJv{PG`j`rUWm{eJv>KXUsfB;Sip!Z*8NyQ2__n#Zcr3>s%&2xFaT zZLcCTWcV8Y{2Bbay}P(u+57-P?M{Hdp9aPc;ddiE4jO8!)rsmMUVENvU;Hu_^7Jf@ zjvsW?jp}Qvw6s*3oS80_Ri)7h<3LqgF$%7EaQ%L(8>?j{$Ab&8;Qrdr+3X}6<}qDI(OM{(-}Ul4nwkbydC#o=*_OuT+0YStUwLxvB-N8p4y zja$cYaH4tK0xi)=*H*Gd_F9_9^`PBu)uW&j9;(Auy?YWiJ4PIkE@~o|6Z6WVgm0h5 zVY}TpQ|<1-Ukd9Tb-mNl)PRvEZB2N+)jW(0H!P)=%{zuBwE+T~;PCZY_n=*mqf;$> zmE$-JnfdFE@0+MvR86SXEPKpeg~(gji??RG(v@6okNh~OgQwqy8bxoJOFC} zb`qJgzK${k9d+g^KLWdfm~3vhfbg^n2{A%(U9#3xo#A;~odnJ9QBd!6WAO6e%$0+c z4lFwAy5$9V3poh7pd^mIK;B2~P8=O{JFU1qrPNL%43J?Q9zjAZkFSd&CPmYP9(7|a zbBAFkh#Kvw>$)AdHnP@eJyx~u$w?5O^)wJfd^P@ELo=E9Jv3GRd-^XRh*W7c^)>j} zgU+CM-wwLRVOxL6{kir7h=97G%1Qp^V8*Y{KL;rE?5T{~_ z*=)6%lPlp-&~0?o?MCYWJyLBz&bqyQ1rodVCV#KJZ$G4r{jg)~Y9lypLpjoJFrTx3 zX&F453^R06O1>6BEsWc>K}wj_{#1*SQv6kp;*Hj^iOv4K_7Rw6pYOta>9+Szf>Sj) z8Hd5)e%s3YzP2$w1&eZW@^Kt>!k#ZjN6Hv7-56< z7Cv^}Qa8N1Phb)n4pnNK z`m6Zk8DKzM$Rc3)7GmHCC0CJy+A(vzNVjt7G{|>sGKrcU2Mck)>JqjaXS4LxQ*12M zB)?)b2KC%d5C@G$*uWq3gh`wN)EbtnvHak)0 zOxEfO+8sPx;VrdBr-XKKz)=!M@CwnFp*oLSs@Xj`2;(-K8g008=+HpFY~#=)!`Xx+ zFhh_gf`g_GhmU}vQwg3OEnaQsVLFZ;h46Hpord&?Y5xVWwok))bOg5-5Q0(_G+NDY zO6`dZ4H5KTwWCArOY56|r`5r5>B4!#w*vh`is?^*S87sIMb@CyB=if z)1&>eNll&UCCgc<{rJN{o*B_hVL&wX`IGjsuzPRxLtE?i*um$>fqga#!0wDbGBXr# zHHnCxM>`&#tu6sHj;+?7iW)}@=K#fC`&RS_IB~e?_Tw1}e+MvLqZ*JJENhVTd>uz* zxi#j;v%nA2G${V>!_;Ziq>X}y+<5`NuprEksYZjK)$EgtHtL*@tmrQ-kDoVo!`C4z+x@PX30T@O zm=NxhpYFLw)De>%h9N>*X6zXc+l4&?e{9~K`Ex*+SQ~x|rWj80fe&hn4g#1?`>|ex zpks4?w6cbJ|1=jN{K61}ZI~~Err@|cFw?#45qo(tR`$<=vLDw=&SHDdh$R#ZCTQ3Z zaZI`!9@8-ss~T6FU@=3z|FD)OY`zovUq;`3Jw9z>OdOpy!nZTn@S*2H-UgFR&2i`s zmUv;z-!nqKrCz$Tws{Nx_M>LI^Ah>&88Pb_R}v`AXstj-6^Xpj$&;@ft=n!W>E|=9 z(LTK|eZN`De?(pJIMb)Jwg?rDhcZJmW4*~$bud2rnP*(8c#STVypR`tA18)MQIuI) zdyKg~X4o)+8Mmr&s~W0RE+r&T`FPk*&?ybq#E_0c&#}@AGfZ^P`~BJ&>6oYI>k)>D z*jS{-&Gel8$5^BWb~ob|HEvPk7Bx&soag(Mv?!W%>EM4kI*j5$gMV&5xJEzQc?drb z>=?OMtB%<+W=nC4cPuSqX?aGZg}saEU+BbKzqzfJgXTk}XCnH{Krs5+>7uA<8`;o97~H2*f+kWxAYuf_c3;| zBY|1Z&^pB`TD=7qNU$0>Rwu2)aEdhW3Gq*FV>(wyNGHoU!4MO2%+?|zGUn2lOMM#B zSTx3>F&2%~SQ@v7aeElIhjDut+uq(Gv{VjbRVlgAFFfW_KhDVbF_$Da`h~|_>c<%w zKjxC;M!)d$z$H4@jGK>(x6>6#%AGg1(FzXrxd7($PB`uMs@uZt_(Mo$+<$lIwZmzT zIKGnSEb{CC?wo%V#xXbP>%#%y?$gJZ;Rr(R=hu!tyWby}9|a8@-l_eAc89;+W1rGx z&VWoO+01bT?Zi>2cRrCkS!qvclLM&0!_{8gAK$Z*+;=R4VC?u*hW;l)j8A1qhZj=N z8P-ClrH=bTR>DTWLke(omfN{6WX!;tzpMCpN)2V4?K7dT#?>&c2I07QR>Z-mCw8OU zHH@ugow$VqP+?{Sjea|9c-Y|3<6<{E8SQ(L3gv2X{*`4JpaDe@9w5>27KY6h1rSnKaUuf zbxO=0u5>*PH6d&E{!8~!$!i4KS-Q*{75VT9Gy_XM=vj{t*R|rZiX@leXF2NSF3hVy zxi1Tr63ct5)|*(^!%JPyK`jqY9#AnCAy8B%g5>01Kqm4COB~(UiMzZtRf|+|Jf^yl z{jw9ryo@$HO>HB@3U;zKI+0``+GYjZC<|0RMKAm>K3$HgS z%gPuNs||hMaK9;PEm2;PPX>b&fKk4He6}b4BkQ^G7sZ);%;kH7Cqerw<8#oT%bv@Z z$W5EGs_B!~5Bn@%BJcgWXT9hdLUVj@ zBD2C1ka1_a(nqoOYk}lig6+M_gQN&oTyu`sJUVbs->PM;otu%;hNfOG_+bnVU1yrLwB+sFJFEM%@b!)aqfxt0_!EbmFkA zA4No^wgPv{G7Twn*@gk*aY%cu)5%8o2vntR{+gERSBa1u4_b@P1Rth@#44LZJ? zdos*BSA(tYY|hM1@0F&ft8*rnwBh1sT7{c9YocMf$ASG?gtcV`idS#+l{J0z`b>FF z-BduXZm6Y2^@_3vBs=(A3z*>HPxFfaUnKP6TnhbS0^QRlCTJzF3h)qVu36}Qx&)jh zphWpnoaBSKD1=ETCTJDei!1cIZUz`+;KcSr-q-gDh0D=G^NFo|x_q;=gc_bHX}OuX zH8*cQQm{9p5zVZ7WQL5g zE*!OfXwp;6s@t18>l>@P`?dAkTa~>#yQ}-_E9NuTfX&WMFV4*^l;+Fj`GvWu(mei~ zE6**=PnVam&BGwZu8OE*Vn2wVO1INW zWBQ?G`t_atz3uId{jJL8s!2}SJrp&w!O>qckoa$;JL(!JLb+J9XTO!^b3C*Kx_B6_TJcMy=~pzU)f#1ySlZn z<5p|yHG_)B32h%86~{}dgktSBLeZj0=4vB2<>^0AzM(ih-N1-wJQT?3 z>0A8Y3KL6Ra_xZnv^-r>o688`=>;c*+32GIB*c=5F$l}%MI&4&`w%V~2x{8ol5rCy za-A_TJw(nd&G>LyaCZ3Q{hQcq)giyw1!|^zA&h(SnC+Lx!kjM;%QtFnT_<^bGedMr zS~>^ml_Pefn?d~nZiH8FwA!6!Vq372+pY?3~ z&2X~5cyMsE7=%Zbqs-zSuDxwNZmYMfN@As;1HoTBZ1K_jqU}KPQu3tmdW7Xkp)MdZ zPbw>V7ky1sUu{#=#)Yl2^jzDxl+^xqDTQxlej0!AP&)I5C_96Bt7ERh^kkk%18d_2 z55;~ThDc{#&zB%1t&qgmoW;gDf`(|9LI}saN^C8g`iPAxz_4pS{Ld+ zg13}!O4G;jN3W@FPPGcc{D8xga4&sd9tGG!q>xdgdPv|?C55#5Fn;hdAmnMUhL)0pkLru&_ zK^jsht=6ZlE+9$^7{pQM!AXc)-vlrjIcHV;hf~FY(;%MO&1JJDDq30l!mA(P3Pul z_Q=so36ODF*26}lje11!;`mI}xjkAWo3|XMhT(auiFZNHq!yh4p-e25%jSiMTwj-gVtzAI zi&lA1(F$jcdLzQvE3Q8{Q(Fmz?7@u0R>>{A;bA|yQBCH2ZLH(Oa_Xzq{VNWH73tj2 zEgK6}F}V%Br8cS-_9Mu{skGcDiZK%4x?X$RVUmaiujdpl-}B$cPz4%-9HaJ|M!8&_gEc{c$UPh#x#;En4_H5ZmM?#&`4=-HQoC zf>2SETAR*;r?`Zs>_#)+po7y zPVv3jd8P_|yHBCXf%6s1$0nMLcSDR#WAl~ry}kp3BbI&{3QlH(Ot4*a@i?bht-v{) zCf)H5GQRHXqMH8Af~Ha#9pR(I-z zq@LTlSuyaLm%&0)#HiHX+Nk61Pc1sg#6-&Ub3MppHH{}y%*b`%L<$ASGcz%af9x72 zCSJn7&8Vpdwz^q<##+Q`FFeubqIYB4ZiE6(O(31#4vdGSXNJyCo+4lag4a@2&;m|Q zadH!;B5Dt#Q$*ttd9vAI@TsHqEUdkao<@?J)-WI0pyA@4ysI|u?(J=u$TUaM;8u^Y z?8=TssdOA3XbT(rLBzW0kw4Lxz8-%unpEu*&RtQfM-4r8(qAV?X5y;OynGg4R-3^A z#W$!0sJ=6dTS*Z@ z6?Dw07A6BjrxYF;CS5ylcg3hgIGPyBEI>7>U&N1*g5Cx(=o|a!q|}nVgAxt;MtR90 zRA}OAwYuFlq-MC5dASJy828pW1lItJ^do2{+i+|X1SVU?a45!4N@~`()3J+6n*^zo zB_GzHSRosjHtA4NZes?5Q$BhU#VF8WrKHnIFEJ1_SdIn46GA0Py?27hI&{^U!P_VL zb&XWpFqPxu7*l8w#EuO&IEWfi=PV;LmT!G<^@%og>nzrrSmgoVM9Rck=v=GpFzg^$ z1UcnWgV$Eo8sTHLo0ycD`p>-3Cf%v7vn3&fC-XJ)!Vcjc=LdHnF_U*rF+y#_!|80^ z3~c6w^BPt&EqB|KTE|qQxZaIA&aIh&&%AIrr8_2Z%9>@YY`-!*=}V%UhdXkHegdN; z(}8j(*UU>5`0UDMwe#StO+isLw{Bh|u4Y_|W=Kzox5-j#J%c#&LaJRZ$=G|Pd)h#D z6j+_lIq-dl58p2QS9&V)oW#898P#IuW4$jy7Fi;t_H3gny)-77luQ|Z z#pP&|BpK&U-x`dijL&PWxNh_#IxT3+%A;0Ms?$}cWS%VUzKTZA5T%3YoN?hbM#$d zN8MOiy}MuC*lqe!Qi0gaWlHEy-l!cK3twZ{UiGEtf)ks5eQOzK|H7F}L#fhs z_hTK#(IeUr$1&%t3~EjgEz<)7543h5A91da`NLg#gqg3<-k)W_Gei%qKz8yM7_*wJ z*x{xtHsMjDfsro4VCK?Wn!Z!b*mbLV1!pdiT*gTPt7niRSXk?K)$O-*(h1IcNNWkB zpdK*so8Jsurad*9EBXVZ~{cvxJ@iO9T{f(#v*<_P~Scco7%0h)4=4?1I!*j z4&b{Cd0Mn?jey0I5&p~zM>uZ!x5vk6KkUy;Pg|Rn8oN&{Cyz52=)}K^*!|MdQW;@9 zo+#D^+!|n~Te4(3u*4=AR2%FJYQYhdi$uY!1{Iovvw{^ORwZ?7e@fJ-(jR9lq1a+g; zIIL zgo}fVFm@}OL-@s(qQNz+I*MB-7$H42xbO{+Y#lQEBEIc7S5{*9>m&oyY;EtU+Z4&2 zZu`OHGG@pIr{SELc0F)(wbE+7+EGz%yM}<0J9iA?1k=71l>qz5BKG zd-rc+u@*It_N9avUGf@(XsF;SAkx{_9z;i-L}U>-bpswi;2EU&$%zUVx~UwN%`nzo za!`+3ZTJ`M?0w|CVS|v;hodRe!$4(?;2ydpS7ex)-O?mhe84!ChcW{Ok4z@Rui~2- z7Se@})k4r($=Z=B*N(U?pBl}8j-3t84vgD+b0`>vr7*(>`m;#L%(W`o;3x$pK(_=b z!R&|iGQxH@NX-_71g$KK40AkZ8x$}#+nA9E_ghCtdRifo935kC$$jK1EYm_VFH{Yv z9L$iQm!ZM0=O{z%ZXD7CL{hVLmNOlZy_^GR@ksJz*yvrID;U${jlPd);8!1nZZlrr zv@Zj%WrG{{x`UK^^3N1C^TL+sc_piAwMUu2!yq)0@{2 zhlj%*?Jlxo{QNsxb`9fY7{@&H!Y*dX3~Dg<4*5A$n+E$(jk5%lb}=oQRPSjPMu>nA ziV%!7YK;0QnS9GyOWC(_27B#sc-pZ8g3IB(mBHI{rUaQo0tb;zvBBC|{Kb=b(ZhD_ zgV?=*SyCX9X9T(}4*Y5RjTD;FoFoR!m>gHnBpsrq&j*t}`9 zZ3PO%t0a*ek1T);zk+WVf=zA)r*I)0gPp)*>t2eRMlwLtz6=2GntUss`RT~b@G$D0 z7_1b2`Ob+7H?~V;-*st~3v_9EW+5rouz3hp#!g1)(}gS_#J3tIR zAaX0xEx8=I$d-xnC`p1wPIk%k5(INXae(2jKxsg ztyWDEW^>SOTN;UQTYJ9JuPG6Gh_p%^%?RTX7~#k8haam3&%By)1C|G%kqd}1QV$O? zpPItyen4O5bP!@DP9M=TWwK&>Ls`trQ>25{6n9Ra37$}hob6y3!y4A^T=Po3)PL*7 zi}>1aOEG&zE_gf7g7GqY20YBwrcGL1x?r5WCaoeo)EO2qJ9VU2rTf;4FWGJ`bzr9< zwste(8?P6a%iPIu>u^e$m4-M9&9Lpl>%~h8T$g}{C~du7V!ikWfTd(tmD9em7-MI| z)Su*naksMn<7q~L>rt{kP|%%?F?_AL_B!TI!;ovQs8+Iz(P?n>KTN<@j2Y&k^KUDF<6-$pHdY>I zPYWR56#n56L4w;^Kw3k%az_!uz*qt1lw5u#Jkxm(C7@T|BGeN^mYwXx2~V?C;hnzmp;hjM(Y5R_dW1s%Vie5-}?Vq z+aj5<<3k1!Er#+`X$C)d_?8~8K^DU|qF&}Fv#Xhcwj4CAPmr?t7W}R)+C3PRXfVAO z;C@f+hwzab2JCJi1ImGVwlA?mLi3qjp6iofqh!9(s4(JUU|B-DD8xE14$TL)4=mx( zYphHR$xar9s5_@=EQ<$!wmycz#IkpUBftzaIhl9d1SJR7!Xm%Y-5Od2+Rjp_@m$cd znz7R+4_Wylnl@CjPmVB6Yd*>_fs!?HScK>;ulnoEMu?sS0uGz;pUBF!_F z<{X`ZA`zTR>)|PiMp>|V$r_I&Ul1y&dp2mE6*RkZdU7lm8e23$Q79oWBN690LGzMe zqaex8XF;e4UMbC1)5#X-Mty}uLvVb=B)bNvm9yZoAVY9j&?$lfb`)IBG(e%7hYE%O z7%|DR{kdJHIl&MG5!E1gKVp()^IH^o3nuzWetLp2FEqxYpi>0>B#YvS;3#ByL6BS) zDp-&qM8MBl0Xqtk7X`^BA*zB55fr=^u_8DMl9wdOqRSR!h@c=@@Lm91DarKJHnF&~ zwz^@=9cpIhq{`_GQ4~=Tf_@RaQle=#H`lkbGz*RiI_yxKB@yy27%gD0lxEFn(7d<1 zEEp|v7ePPK0zd?>lxPlHo4c#Elwx<{Nlr8b#e%nj_^(bxhRH4!df(T8ID<} zVZMH}=j&}OFg!IMS%)icZoXmOv;y_(N529+hkOzDlRZIOe%XNt!$-6vfB9`&EK;^2FvA^3PrCffajp7U!xxh14tpZIgr*Uk zuysy==c4*Iw`=R7{C=hDFaHIrKO?qMF3wy3GVO)L-;BnwiY#Tof?$9swO{^i2PEmZ zOnBtwXLH)Ees(o2{=C2W3#N!p_q|?xUHvOb^^^U{3MjU3QU4;?+d*8W&Slz>*FPCh z%lh3HME#0F3jydg4P_ZF6CQc_sWedjj1%7-T9y+4!2rRQr`!WbW?x7FItEC84tO}3<;4ZXa`GpPS55Ct0z?NUu|GX%v zXzTuFaX}lXpNu@M`j@V9x6&-UC?q&p7c#O^fo2 zSP^_q%Ac7L@S8xwG8W~x4C>dO&l`W<_D}fBxd5yH$oYkmUFw=s075PH2G3j2e(>jI zfW&hl6Udf7Gg%>2eQ!x~TzO6!fSmc57o%OF00dWhTZpWO%Y;W>|Jnjv&JKcRMfrpP z2o3NY^?;56(gbujY*5yP1PHw+f|f&%amRh~V9HbO z0VL}#qyTmC*Q%oUWl>Ne{=HTY`SX@FrsTip(uzg^-hSTM8&JQw<}=4M`58}q^d6m{)y z08d!~Na?w-{u|{y?$?9CPjC_c)lCUM=X1X_{C8K9Md!J`UbK1<^ml>1u~I0DgfnVP zCOEG?lxc^whL529b)0GWeYgi9YGTt5wuirlI6UNqoh-Ioa>gOQ_;sV;Qg83bWbXqm zNiX7((3V1nil8u|dxsO`*abD~GcQce*~l{bWkm>+5E~IIl0C0naxO`7Nr;MIf(QyO z3D$_<^U5XZxLop^E&e7anD;(llk;!p6tQ4}2nt~myn7#T$vHvECE@H5tP#Ope&m?@ zTsFB{YBAB)m=yvdcq8qKIl5nFvg)zPl9^^AUGj37H4J>pE(_+$T1^=tK`GL z#xEZ4As^{6M%)Wi#g*JA&Gdd8=DEeRriR?Mjf{*E#@}A74CLI4d_9PgwZ=oJB4>AT zU@dr#5T2qW3HDez1OqKe&f*vmNutjY49jxKsqGPwB+Q0hhFLB->tjSD35_fmmgSOD z;UgkRbf1D@S(4m{XGA0kt41))lEn6zY#<~-#kIhN+ne<`rTRoPH!3FGdkB`D^42-T z<4M!>?-WuP*;VAjC2OXn&}oQ#z>%5jR*#TJ$L?B`6eXQ!CFMgJazf!aLXx~f!TT>}rsnS6^qUJQ#(aG^ zgF;gxbctv?f;s7NT%%%+&?UlOE7}M;bGI6AR5V#-qFE*e1(qmhVYsuNMn#nsXHAtQ zMW`220am$%d!r)CijgKtG(Ay&*iqx^kDSB8ilWBYh19U7#7dG5`@3{d<@(Q2QRU9o z(o~6-m=i16hhA})MM~WE4o#J4jM*A=M2(DBR+cnXy?s0N_{)2nHT>Yo$$F{_(-84E zP3Vu65Dd>)xzQB(dy<#;R@RySRZ(DNL{s2b*Dvq6-O5+P11k@jf?n-xYGK9N5_!=` z*_?)m=$zFcbg^qdIjsjzyKBniLh)hMMF)Eo#_ah{$EL?gsy*iolgiiHhJ^f|a`7@G{ zMA-^ZN<|tXYp!-A;SN^SO{}+P(xRN4#G2E8S18HuxGhaqZ`Wi+Mrx$uz4)a>Mks5i zorKU>x<>03Bahs5jffX+xs0Zw?~u%OPNVAs+>#7Uml%@SpzbtRzRNA*I3HaXc9FSNSDGl% zF=dI8)?)Dv;NnCH9Xl&VW0t7%$WadssfJ>a`zqKuVMRM-OBFjx5`@dcY1&bfAXKbV zw>c7;$tJ;bcEUY1L&U@8*I8$78?F{vp>ajkr2BS9MwC^$sdx!KB^|P8Ro>YhO7>+Y zcIK_pO{qvjSKlGK6LNILh)zh98lwWIOGZ^Q?&K~lI-*muRFMo&l^pgpGP10)O)Dr3 zIe8h)Y@j;#+{Wjv`b~L~hR7XSmX14DFnjFIJJc+(d=TxK z%``nAabyxBl(Fl!1yS05NGJYAMwPIC=lp$jGucKzGNObz?0Ry+D%M{!+1flRqTB&; zTBwA>FsFz{tsfU`lhDFODmi?8RAdRi#9ZGl&iPA5 zCRxH>b|!!jcdHqkcWGo)2@~3x27)R!!t{{sa}woqoe*~s`*A_o)y_ERrE3G&@Qji! zq2K3whhkdf<;4!?6ZuPVOz3!LEeMX0kljkMh;@`K>#@31Gl5iHtpjSY$J!Q5As4h0 z&MGpJb0s#vyIhBw(wR+y4pTW9w?c9I?J`2+ZqU(m^>%lbE;%zkBD#bM_Z(?Px-cGa zbd6A$-NjCtVZB|RWth|Ad>s+Pq#p0v=Q+RCC>bUcxihYW>)mC-4mr2%ddQgO9C{F0T5)T$6XVfz_L5ASZT)N<+{p>gGe)4(IF z9I1f&_E>VJWi&mOyH-^*thdKv#gSo8fiPi6JL^$Mlx}4!iHDT0#b;YC(_gtGQphl8 zPxjI!1?=kSqFXPycS93qRa6gQqaJy1qi657M=I*{_Ni~c+g=!-@J9Ej)x_Og?Wm1A z(d!{T;PEa@V}*;$nZ5?ETdj_&JPM*laL}+xnZ3K8yoyDfc*RpJEupY|MBUYC&XK4z zwRn+4=gjGwK^EsfRIUEt1h=iLy*N5P#y-*YbmwNVf)W$=$vhcMIGFDgRiJC~jVO$R zxc=ZwZM6Skgv23Py);860qg8)s<0v}VZ7b5 zXsB%L#+|*%?PlXlZB#AnN08E8`&q-`gt zKMW7kxQ`-kt=niQQEqGsMl2p(#OMJ005_6YdMe(zy}P(u+0;-Idec&QPTiO(&%I`% z*r1ohs8AR|@|;y`p%Fo;yrgd6k3~Q+m{m7s?JM(K!jW}LGa_Oh5%V&lgpJpwMFEi^ zlhyFJ6+gre9UcU~g zEd3cG5sfEXm|KWJVek-iKWKEiM<@HuRx{k64o`LYa&h}O1n?B!o6lCEZ=)c-LZ81_ zWKy0@WLhf6AH*9s!KeGysgb#PzSC0GRvao@sZ5sLPAK#hKi(+4rtpJ@y;^2BYF|jN zzi%*f-XY!>nif#rsoxiv1<39P%!5w5(ovjgS=sw?`I?7KIN_`?=PVxxY!SP;k%6!d zaUm)!VrdHYrP#ts56m!FX)+CibuOoYg|jrsW#z;0x?Oig@XBq2!|)cWI9C~knC5^B zam@jrOC}1{GX*}qBzGX&2)dcse9)I`x;^LDk(*_`fM;0l+0`S1aAx;+^n>i#H`fnPE3D*h*Fj`z6_g(9$k*lcq#EK$tr`pKT6Gav zCnZH-UAry<>l$_uSl6y z5P$QqgZP_=9mL-RmJ*t^<+BH2u|Hl5nv-ZL2;m`D#Zgym8@C&+gP_5W6B8V6nRhyK zTHUxFDx6_;s6S3{v~9m`M9ol)hPTxVv{jq3he3;Xv=`&+$!NsgwgbPK4knYw$J z+$~d>!YgOJn-|aHOMUVXPVYC5jU;K$Hip!&%7vHj>frDey?VMYFUrQ!fTqY<8 zxwwgBWjk2rx{eoLhTdR6TyLCW(IrHI+imAxm?Qe*epfa{2Zo&mT$z?{|~0 zZzXb)fQgNK0JEwVU^bM-{k;TV8uvX3zBKOllC)_a|1*h8;ii($X>Q+`h*%2ulS$en z!NhNP;=bKO3#6&19B(J(NbzlX;7T62REei)zb45yjq@a(Xr`Z&&>tj#Aiz@k_md`& zfKB|o2ksA(6e--VB($XX<`bT#aDUsA_Di08|5K80n)cT`Y5&L*_cNZjKla4^k|*vv zl5(W^dPotnI~a~m_Wbi>9)!Q;q2*hWg!pLd$jAHiYm#8%yFGa*51&0?h0AuCw7&BG zOAo?HPkq=9B`qvMe~@q}jZXDY%Aqur!d)gV^3eQGJ$#<@w1uDb(Cm}vZ4cbHdHCWh z$3IGonS^}!JjEz12mjHN*jK;H9-4jf>_O+|f08mV;DalQ&zH7n+*=tc%u6GQOKZVX zWTYro;+Ul;QMt? z+Mn~JweGc42oU=BnK$#|qwjMbd>{3s^^iC5v4U{Ew3mt7^5ok|;!=+L`jit7Ptz0$ z5(#?+EK*O;Lkt5kBswiMd7}j1M=MS>ri&NC@=s1?-SNJKjP65 z|JGABItcO)=l=80zwxB~2@lP_dP6hn;ek*7eEotit*;;SwO?OaUmiZ1i}o`S!w>*KwTzMu2tF__zU^#xlllEf;(|)z59ACUdIeff&$&<(bxI`Ym z?J4hv3YPan9$J38AT6(W(*AtGv_4t;pa)-387eAQzI;FJ$@ix(QI5;-<)a>2ezhPi zE1tCfx?ozLocegR?cedFJt~;iSB~%X;QPUX_udjshnAWr?Z5KC-S)u!qzCSE z9=I>_v<07BdC+Uw+Dy$KECK_-7t`I|cFm6;Il;f@zDE*9Z3-o_t>@m@iiZBpYd($xfFSb#&0i z2Ct)5jCDaLtw(OX5S!l0?#jBI(tH)KwN4j{dxDO_u2pr|4Y`k?t>aExaYr2nodDZR z0EmalYhh>Yo_?FiB*(7PVae&3L-LL2WO4Ii7(Rhyd#w&OA(^WJRqMzU5W9mME86N) zO052H(W)4SSSFXGo#3{7*?SN%?ijn~+|^h^IC^~uM_({3S-bbT%5sJipS2ElceOiF z9br7o>Tb~JhWc%SNewSzOOf4wMLq16^Sq95%BloVb=y(%SaB7`gSgdfb=#_%U5hbe z*Xw+;FoJZSA;-#g0n6mT|`ihms1JYtOasRJe z?VI~*7XguFMzEUQlLKsYL?eq_iBb{zl(Hg1g|pdhbfVKn__o^@X=RaR;N6+`qQlMu zgz->qEeGwe!6k{6I1F^GUdqTf6|qUTJ8`$(>Bep;Be$;Bf=3iu?|1GJ+_lZXrQvqV z)whEakfkJjav3t+>E&CN;a|xYbr5wd@oaGOYGbwk>vZ~+nC^!blx1`~!ggxOCWM~c zW^%dBrudloOyAc`Zqx)Wx8MUfv%J0mjWdns4k#gu@)IaUr2trti_dGEO7)Z9>OdQD&wqR z8}rL^S-xj7{4juBMQXN|+};U-Ey_H5$|yw9Be$Z6>>jpS_=AVd#${Nm=qO#hOjdJw zp_xmiLbEgfE=W0dX0!Rs1TtUU309vwuP4JpE(3HtOwW$v;-l@(1E|AYsC(+IWPx_N zb(0k(R(G?KtFu;xyoi_5LJ3zZFDznDv6`7l?^b4(D^VQQI|^FV?bxRD%r7Cq;-bFG zIfbVn+l9MzvBmpqnFa4|Ci=M)oKmq-S;q3rbUQe8%sgPxes8GKQV#geo~m=(wxykv z0OqEefkL^V1T^Qix#Ycp9tAd3z13`@6r#wp)6+=_W(7^;&93Cl48DRl(?uJi(^nwo*4L=$UalHf+SDsRg%h`&+YVD$9g4UErtGjN8u5PTuwu zsVUD$+ixOdA4Znli~D8dtb1|4jI`aTi!wJT+m*%HNW0`b9Um~cUCxyyNi5_yDA+jM z<*O7e^Sq27)b!-z=rB~Z=(rg)vP>$Smr1m7N-!oNIVOvgSR-!6@lXhEAANhO1xIyQ&{pjZO8d~gbkOe z%Y~`=vQ+@*uF7o7c`{X*iY!C6$k~i+iODJR5OPopcW_3!Yzt52P_x`hXPUi^wPk{D zPqMsVRc0I13J0W3bUb=baZXvxP0hHoG_J4~QW;?}t>|TWJ4nxFliOOzCbzYaWq8lB zS>|qQA%&E+kme+7AqQ(~AqU%2Dve=agUaFUX;2!2Em^Md*`noWuw_eGLk#ksOOvCH z6|Ls~0{{U3|9AmZk~>SoP!z{cYG1asFRU+|1;N2$DRdETo7-5Mq-~n|s4Wp3oSYO~ zoCMtj(cLegiwIIg(50f_;NVcfK@lAM04^?`o8+csxc9*Q|IVNDxJeKo2msA=ZUX~^ z@n~Y+&}g-y>FfnOczp1J_k621J%Di>4}TDMst0<-v@y}Y@@0Ap@OBswvuOcknHt})aHp@(-eeUS}d9JVv4lBc3b zMeZAiS5&s!sdpd7QJX3(5KVU#D?}lV;?>7>?^x&;VD(c{i9+24qyS=O##H8P^8qtP zY-^sOb6yCUT)Xr6^A+t+%;M_0R#GJgO+W-~_MUcjF*uX5P`iJ@56`G&4-Z;ij97=c z0F^Gg`x!E^C4WwtL?mHjmX)<1!3+2J93|wGEtVrPm59v87ci0T?>E5~%|6(+b-JQ+ z0#}MsW};SRCVUNYNi7>%)uBA_i0c0NOpW>QS+Syv6c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*H_?0RR910031n00000 z004Ia00000007c500000004La?0xHxWJz{cHMX(0ZD4oDkF~KOc4ud%$J^Ci@2+lG zwAooz-ML$@$;|GaS%ivPS+}Y#opm$kW@h))GFm{Ey#gd8K!{HU8U6tzz91p-ftLh- z079%m*a$2m8H2%IukH2l`^Do%+|0O{H@mtrYo_zgRA=0{Cr+F=apJ^@6R(dx`skxS z#NXW9+*j~7ckSBTXYo^RowcK8(2ZKLsD|xU(*1tKzO(u6CV|}N+7ILHd%*Aq`1}37 zzu&|AclF=z;+KEF{n1B%1-bkPl5K}4K~wCux=|x^ahmY8-})mYrG1`6C#PL;qx`m5 zynAGk(oy+rgnp=cd@KEKrwM`0&AiAA^7YIbglX6qzs zh~r+|U^zSDdJrFpR`)baM5lG!eI6vCV3Y=DFzR+hX=i_5w1cD@15n|(o+7y^Qv~t3 zX!W}7URNAP&9Ebmlhzr&Aof@w1999+!jo1MpNQ)>qPWrQ9Wi_qJ_9F2J87LH!Pyz3 zTA(F5>*=bH$lkVjTn{>(RwD|!;gL9MHF{@Z+*Or^bWx04&eSW55`NH5!cM1oE;_x# zzZ5pQ;(E6wsR1L;I+E~4D?W-8H#DW1&HIWbu?+%aaQJ$yci3qpQCo^g=_Cn5W`5J~ zeFs&GstLuGX0J`@0E0@!@1WgoMvdUG84CQ$90H3o<Ypv zI5(9af!#n%Hg{S;*zQ3>lu%rES*xl}@w_9>g1C1aG`hV6ygWQN$#})&d!45+_Ve#m(A}Qn#tUE(6;zD{TC3V%77yLaZpwdJ6+21gP?a3 zc3k33_}X7Z2#SHqA^kHSXTPru2PpJ^45d{u>@Lv!wkA zL)u<#m`eRVfW5?;wE6##$$z_bqGGfEUi-&*f4>LQqSrY%3)*6SJ_&=PgN~NR1KIz7 z88+Gc{PQI0h67)YkHv%PdbPA8<{LV6-5@-Ux_JnZY#fY|YBr)DMW_@9&GQaA-8V(; zJVsB3urwBH@9k!b2d=X9&`_jN`2ReA{l?<|RL0^TMY64^3p+((-m26GF#n*&Y_OUf zjM@3$6sA6f-J%@hAyYnw zU)^iBrNsqkgGd7P{qq93QIJ?b=}y#S_@F> zP1l^OVXgs)I^fq;d#8rl50aqS44W7$rlVS4{uIp%i}-~RD9Wfv-bB#fv@z7dIB`o3 zEvx7u^0;wnJ=vG?NUMe&McgB5SEGdT==+%~!SM@M*~b%s6}08iwb_lD(KnPY0fXbs zxEpoP-CEs1yMrSqyeGCe_Ld`g_ydv%&JPaRMfZ72#J#h_FzI0I-hs!0<976_Iu89Q zd@4u+0|IFx_#qnbYdA3Uoxp)1#j9f+DJRjh5DuO5c1XvM9HtX%ryVw;V|aIf5R{6b z*^0wkqV8mZeeV3>;1FNiDR|i$U|G}4Op>bS-2HfCChJ>2#1|*VL~h+mB}u`?ElKjcUNvU|Hj0;Oi_R z%dHtdt^z+)+o1S=oCjc^MlsO8s{u`o-E}Lbe0iGgHO^-m-&4`wZ>t{t$B0sChz&IZ zNDBiqk~w+FK6OGZ(`&Q<@H5vJ5AF9VIVnW9$njqIxic`;MNS3wQW>ZQ+?`q+pi6bvRk zsCPM9tcE9anIxjdB@dWQZ{!a+XtC_w{ z?qekoM&kox*L&g%kG6L1;qM@dJKZmk&z`X?=W(u)K-a*P3S?4|$XoN3<7nLtrG0$H zX~{BpIwH<*W^M8bb;Xm)6y?K1(POV#iMfhtAGMmp4|eBrUa!AShrQ1d4C9XZHsg}v z#IWBPmxgi2e4BB}aAMf+*j%EjRLYW$%RRN`bBMN-GhXaMhEY-U+qD@Yx#V#ezh*qL z!?Zj;&3H89QJ!p!6F-xqnH-HHFL|&tIT|fTG&}4l?S6C=CBsH(a@0y!LLSdwB>vhA z;Yj;q6klgN94+fJ9uA1vOl+>8*hrO}u63#0-V^tO_^FUy#T@S${hk-~h!VBiL@XJc zz${_u*?!P?%H^1S1Jn2LSuNVb2W(LoV6JbuX*=_|#ayza%!3|Ek zdU?cBEl-)?A!v9rz4 z`5Uoko1yb3F09mXVs#^I2HYEr^JdJ3Sx3ectonBgKT~Qbvuv|-FEf73_<;>k#@3jL zLslG=zB1bhv5};kv~b=Y?2e$Bx50qB2}d5=AOZfb!+BE!=6435r1E3I2P-Cj56Gb#}ql?1C*`A2z#SYv6*dYjfyj*hap9=3>s)>WFH1h9wV2VxyPvx~lXx z695f7JUMyL&znv*uov^c@K7y&Q5Z?YpFz-MZHcMl&byOP60)T)2s0mrdjNGuA_<;MsWc=(df7wVXNP0X(_BWMH6~YV6~Q?OnESUZbhLJ&SOm z6IxaZ^H1>opA&}Zab})4Y_@O!Jd^XVbon=&0wn(Ea|HEJKrAq8o;e(9a!lj8&1oL7miNsEj;EGVOuy${C{odk&SXx+G zlsM*iOx-)`YAsQzh~=gEL)?e;7}rtYq+JEFfS<+XTMM@q#rI7dOP>!m@ZnXiY`k)Yk^)c-q9;UBIsse0|MA zzm`G|v$tOtaKjM67li^`EoPdO{^?d(^!H>(G=%7fif{ZV!Ept7Mp zb8>rSWnq1F_0Hnj^77i9)mw{e_-}P_<<8xO#f3Fp2V876Kd;i{g-I&T$gCry&8@Ay zYF&X-6v6QLC`hmZHR`I^PvNJ8J5p^-9$Kca>>t$k_O=gpOFNq?JsD8iuJe%5-Mw8M znTNuSC*uve$D7q!Wp7tu`~m#1#j7_rNnV)ex1kYMDhGS}^~z4=>!mud9n?3=4|gl? zJkmrFZwXiTDZDKA{h%4djZoK69`>J5*bmBksIRX++AVA3JNpU~)2-`o0i9_{aMzjyF>Z@XT4u!+h#sBS)Zv|Xz2RTZ`5!t$-< z$LcK)sT=>3%%HmW)y;DKVDC|V|55#5qf*7&UX^DR;Gtx+K_gM*D~T;4fc>g7D1}wpF zl}9lotp=_j#D&2)AHv85wb6bUJQa_%$*_YViMjXpb$nEcw!HsH@K#N61N{xJ7Q(g0 z8kttg)aSlSVi1jo0=ckokN>a92zlMmqG<=zr^^eAV&}g4=zwe4BZOKMod-cSW)ZI4 z8G?`+P?oJ9-=glm^J>-7wy0aNw?5b*?F5ZeT-_)hwmRK7?WEaR;5txSb=0Vc$XEtk zf`%Kg6_4d@Q;5JboXu1_-w5JxzOjCIc)T8j$4fGm?A@N=hO5@|j(Ar$Z88jsSOKu* zL~HB1a{9Ubvhe0#9qHIvZ1c5VgGE2?HGO6ljDb(GnZ-KJH;q_rOY6GYC_4S75CwNl z+Y^^z{4mc{G_`R@f!US!sv&@f!+Z6PO&nbu@&bDqn&V702niyMFD;&wubtonTsx0D z>zlX-)u<lq8BeZmUyK>J0J>*3u!aoA1F&wHT?QwxdJb5O=PU zQCq?ay7f%GQU=dMUH5F%q)a@)4Q0vE`~&IMVU5eYRSF8k*YDltzM#%+HAK1HXg7~; zJ6J9Isbf!)^&~j+=Ey?Otef9z^{~4GiZjBPSv=g>cC%#n>&-HYIh(6Fm>p^H$YS$a zN%cBL!=w_UJj~DI@cOeujC**$3WntJYQngMyZzJw`wBA|x_D>ZW~0-l&Zbsu24!sC z%PR_!GL@f8(3gp;7;LF+=q9k?o@m}-sC*CDaz(=dO+ek5$O{FxAJrjeIIBt5zD-V3 zN)xl9K*Vt`mRHzlSXoMA065^wesI#es#}Kxy_65VuG_o=z37K#``7GtfEV(ChbRl$ zycxcA$TA*Dg%5Zvi>OvKi(>fRAPyFa%voAmW`emnqSSx^uH8O83vmO311wpi>Hmy{ zegF9IsRPAAYL2kUXoN=cL?h@l0&KRkG&l)fw+TAuapN>;#jRdPG@44iXwC?d=G7NcDCIb7gw3YB=duwb$+>87JCjaFLf5oJ zQOEGWwA4o?ZFHuim=fAD`K1!F zuiP%DE^ZxbcyZtQ4ChD}j&O6*nE^yL7V2Vt54uZimo@BXkp5fB2`fw7z&~#z zj7Mp&W%c67f)pxUL5@HZ15~=Wfqygx=CZsZZY-}j5%5~wSi9>+EFoe^N3aKiM{B|P zo}rWV@Vu2g#Sb3x7Onh4NN##F%7^lc?8+QO4xvs_`eGNnN&83|>y_>$Rqx(5qkTb8dc?x2C|w(&jgUa3Mq$SE{AFkZ2IY@*%xIKGiSb2 z^)qKaB!XHx=)+bg#S(y%b_hVl@?#sHnFIOXYw4=UZQKj(Z1FO zqKq2rVMKanXneI60b36|XQI^YB14?C0C#xpY1BqE9w$$>ISfB#w49~TS3}ZBGOl&? zk*yhS*7?U``*FR#ts-rXPHWpd#vHRAjZ)(nJWv%n_EU&8F8c3DOh1l)F`g8iGtQq- zrAJLUdXisdH=MdlNpt%0HN0%b@*;R#tPVc{=DaZb5uP13dDsL-igaPVgHt2A3~_?0 zgdy4aH}LgHO_vYkMxO4)DrYy$L9fn>X@W)O4+U)EBN0@$bK_u$jM@%_$~B$0GNF$5%W%cqnQ^Del#Itr)Acq=JVjZ zBQz`2)m`^{$H$oEv{|P$l;HsdQtWJLix7&StG0})3>2NN z@VH^pwGW3^f=Yy|iJ{DD8<`5IRF#=7@A2p9Nh$gNtZDkiSbJ=HI+R(auK#kkUF>IqZ$+|WEU&I}AMtc7mL)sKIY5YR&Mu zsHXO0rv5W8>}B`M6}BXV@MOMbUf3Z#;T+XIBxe3m8{^Xse4NJM&A?_}xB^3Kru)6l zywow}C~5Sfu5oN;;4?2AOUaJOITb}S)@{FTc;1&pHV?C(n|=a&zahZ!WOB{Cl<`$B z(-Qlq=N$@)T_h+Gmov^qHK3=&>txnc&mhjckZO}lI`ZD=wVTL}0xRmU$8Q>S3bp^~UINITW{^6I3UB-;z)B zLPa~g11KI2bSO&lrSFY0D#gsldS8MpvQ$d-!2xCZXjC#+GTrbSCP$ql&A9JyjNw?8 z@p-G2G?adXUV^qPJ!?gnn1DOJjbjtgo27QilOSOoja2AA46NxCiiVa7`{OpZw4}Ia zjba;m4WFhkxAbQ*x3tK*$3vE~PcrM!28KM;30iaZIO){swV@B-WW(q`>CX4sa0Elp z#EtUy*B;fX+B=nLZ(p=1)U^%s&v~*N`mV4mZftBmJ}7TjV_!-t5S_W361tNY!-mSj zml*aoed)RAL8q_mR>F5YP1xy%>Bta-7LDlu8|QpT$uUJ);eAl5oaKq2?6PeY#)ZftC*B zW6t(5e>f|TG4~bf`z{oChUk$M$iDvt#;htUcDQ!gAlzv*Fzf;bX72h*?K{F?dM(R^y&;A<(Ms9lO8X10Liki!;EfXEux ziQP`e4YPf3L>)O$-)o1lbZhK4F}rk%`QzsSoFpMnca*JBiA6^EGcO$Bm5;0OVE!^p^M(N?2MmoC+{4^_ zpiyLq&b)BsR-u1bYTTwAZ_zZA7%;|8Q8!zyw#G(2t}d8$9oe_rIruPLFh2|@iCS9K zPOr?%4SXpbah*k{GhfI2XAeg*DFY9tP9=tmgUc|grJWJ{VoQ^~1tuu^}o-17V zhR1CkZum8P+c&PPzTH7CoEv7E-MzYaK#|<RvD&O*thth@^~?($_d30?m@%Z)Q-33P%1&F$V7XMSzK7-W zg?vt&S5MQ`%B79XqAA%Y>XZ+*_wJXrizbxSubNAB?}->Mu~W|N^5%BoOj)6t+S=Y$ zJxi-AEpC`vMR`6-)Cm=My09NiI*hR`;eIr_Ra5pLI57S7G;J84m{m&5>5gt_Za5vm zI0*~Xz8o{L_n6;E0xW#8)6Q;@+%WAeGtPbTUaj)vy$4vXMa?69DIrFeJa#x5DYycN zWcIbw=(wATECOva-~j}lVTzxeC~>Kq=)yi)z&Li#Oau%bH<=rL3*XeRkS=_z7lPJG7mt*=c*GoMC(&e0 z@r-(4gVO`!POHa(W)0>++Now>GcPMh$jqfG+U6()B|x_XDZ%WA_AEv71TFSmHXRz0vhwZK& z5L^uJy$s%g4YXtq2^>Z?#Rkit@GqXsiyXE~AH>e1ce(7AtBg~JhTC-AF#AQhj0s~O zo;}YM5^38Xrdhc($#T}6)*P1V%S@HqNnmNa(zYci5U-L%ay)JU-0&Oth9TJePSA!6 z;RNgi9@T|qrUN9BA)5Ae0LY0@^nKW>W{auCvwu2rCp?OJX9_EY-+kvqg&EtWvTwSy z(hGEHbii1OM$JR8GWIh*&Oydnnohrlb$d8 z)e=!hq*mf+Mi`gC2tR>8{6sXlkoT4uu$+QME+NK9Jv_pEs)dvNfV_O+kj^=I9>Fb@ z726xiqF$y*2dgRRwyy+FC`86~P>f*>OLwk%rC!S4yg{!Q?!OhmGYB0WAS#h{4%-l2 z;R?}q>AsfFoaEAQcNcCKSpF&p4QdFk0bSg;)F?9xk^ICPy*9wUGb=G zV@Vx6QgSn|ie;;UDX&aLy5mn`P;#hsB#Ud;TTA(f4UkGtKAWAznM$JUEhreqr<+=s zAl91R4HGC`&hjI88CVBJj&R9!ZH3J6Ng2DeDC5fBm84BF4z$&)_pct~q`Xx(YsD#>)X0isvG~bmal$4gYh>9N zjscG5mGcqKW%s-S`cHi8y_vu}{O9PBv$aCb*5U;ZeKYlH#ZJP?Sb0{^i+c*_q$>BY zGy{tfYF+%K6VROdmp6Io5}C;_vj}pukAc_QDjEr^(dbE5H4CS{a+V?c^#PWTwADve z!Nxl~57pbKQFq>Gf86-d-T^jsy<$+2=4R3F)S$(K!w%b93X~3k`GKG=X+f3iW_OYB zG)l@mx5{5r9QdSH>CRrQayca>8@(R5Y1FAQ>M@yrIc3cg@o5y54YgYS+U6&}q_R>s zUWrO&QESD0sCn`@Zu~Ulm%S5;TG%H$Zkh7nr&-pbj;%b}$Bmz6S&Mqg#w}AG{4~m{ zo9nAkt-7eMP^}jAr{)RdxbagI;G+K7af_4(KgFUJ_4nqHK5qOJi(1rwJZ_Ql;HOyB zqWQqjaVLqzW>wfY*X|k!-iq3K6DVcHOr=^s}m@|9H zi)r;d(j`p4U2H|}m7ix*uHLcMkglj^JLd9MSB5E{Q<%Z{EV9Khgooe6(ZP|vsPJuD z7(F$oIa1VQA{$-}juiDokt55Nt$N|KTmMDE9|svJ>acosI8xNF_3Ch>sQc^H;Yd+0 z*{j2mqRupO^Y8zwOBf5hjb3R^u4F?CcjlE6VS2`vLm@tkB@`+`_I9A6emI>n+ z8lPAujAL7TVwo_GIq`{Q!Z;Sh#hH*dTDe>=%c#y_9Gl@2#{)WLvYWFxYIn{T;G$_9 z6@jDiAGey_^lCb8rhZ)A+{(t%a?oquxni4J*_Ux6k-L|jwc)=qmx=Ydbdz`m{)J+R z=?i@nKaE?@V}$Tf*39Kv44d89EU?+xjUXPbpWVm(V(xvCgKQy5+|*9b+--+HEYC)i z+`yv}SkL0FJAMPrR8op_LwFh{ z9((YEFGQY{aZBS_Y!6JgaBe%!v|XnP8FX5UmWpv`AlIrmuMGS|2v0!*oRaHY9o|fW z0B2yKSwCR{Ru#XP>mV~G!2x~31PO4MF+my77t@gdhZz$jK;M-}0vu*ckN|x$hix+? zMd#0nd#Tg)J5_$0H-X?6k4-~a@hfW!<0{wwu(`3?Lfa5Cg*vL}#!WqPVvee|P&VY^ z_Q$#q44a#^Ewl}h1$kX#%qEo73SpzB|f zF!>IW*)Hk&mn1lCV}e{*(s@Y|oW?Op5_J7b68zod>-C))^|Lx0FXBbe>eXs88zLTK z=lf$_2!_r5$C3iSl779uQBhe^MZSCrbnQzD{HpQwy4mV?ITYy1mlXI_BY2>@X?7b} zZ}PIM!BLH-X}q9bdcl#46b45-n$|G|DjWs5bTI{a5nebt(lnCE^J3XilS>yt5Hr18TY6ddld_#$thcyGO*qPO$=_jIvWJ7^ zUv?DclE2fK1Qo8%^miPSpu*Le{?20(R5&`*=nSWgj$_?lL^>UvX>`WqsaSDzrqLNw zpu({(jqaEN6^? z;^@rfsr4T#uFjlV|FPog%&GMs=0Ya+?nZw)ayg;?W7W}_D>^&{Djc1;;*39Za2#H| z$P5mvVAWBWMtPDElO(~>mMc!rF-Z~}Gjqi;xhF}2V`CbfIq8aUl%-LelP1B@ltyb# zngp#HZ8zl*kan9|GA?Zhx~5G_ON9+xez&>isz;}*m|%NY(|JiHrJ3PW#3Xd%n%0e$ z^EPAzVKQ?%YmSOEUDu?UFk@q z`m9c;t8}j#T-9U6q^JC0^FedeTP!7(09 z$N7RJT)-oC$5D``@0GuM`s#)YYJ_{(V52H znk*Gu(Vbr9Ox0}+uE~<&-w{OX&ff0u6L_nK32ufqca!60WZXyD2=M`r_ufZp80Sg^ z?$hE;uchowugu@KXX~syjcx(Md5{;P??>pt1bWv-Zp5X#a zQBR_i6KtV!?msS8P-5Z|_m_hSH=DL`*{-~0{$Yf>Q6gX(&V?hMF+z;%kI~vfB8J5kT(ygT zGzQASg1E7uUnRuCG9VU~brk7X!*;{9B`0EuWEd(2C}mANZzWIhgNNM3mBb&SzV6}g z09DleP=1ju$I0Cx)hSG0tS(C*;TL)RF%Y9Uogq@um@UjbRTy2KgP_^%9iJWGR^jkq zA#BU?^~D|FJg7Fl$5*S+4{{1k4vbeUADhTDE6Sh38@GtsU7b|Q+**o@(#x$R6uMHG z%wB}cI(1Z*BL|fG^jfEUFbZbowy_bQ?)_T%pmfK$*M#LmvN-lS zX6@d2n-8f`nxif90S(I0nQN5hpon~w8qgf3FcQ!lI4}~>Y|0-AXm+lT1T+UPj|4Qw z7LNop*AeFfQYzx~wzPaWQ!}IaXdp*3(m)oMi_z81^4NQ|^UEX4o!&~A4<}o#dwM5{ zR;Mo_fQL4#+08;U)lzzo%t%ms<;*ZpeyceLI2VC64QLoFsdcV`DF8YKR#T@1u$oFO z0BWSK0H_ha0-&ZP7XUSFxd5nX%>_VBdmaYLZ|-e2MPQ56m%&@4!j!TwhxQJmKtY;= zj7LJI$5@YqOwX1b1&Pb_^c8ajARmIcct%0m+ptDK#_4g@!ysunq!+{u1C&}Vy-UzXd3fKK+}{*0-A&ww*1&K&SPWQ>AHj z+kZ;qelrdIi*y&f@ud-A>QflISU@w#Uy*~o9C zJh1t8J^21!ny-cXrYDnM^Q8UBG_B3|_dRg`CjD&lSWD?kKhAyU4~%TsIQDD(kB2un7)go{ri@zH1wXQ90%!F8~6neoSyWz zKnVS=2kvjDL|YEokWGG(xFpTP;)BN5y2Qr)!?YW)adnTZS#4(F{(~p&k|*CE^3=Jn zY~S{z^}zw%Ksi3=$-`G(PZnNT^XZ&l_9XV@;Y)kqq0fVE&ex87a2JX5$@BYZwOWpQ z#K6(YX@WT)oUa^@Jb*s^x8RYhf9XkUDYdlt`Y2x;^riL5)j#sk@}E8O_e~FdK777> zeQm2~S|8k^hrYiKK+7c+dgOuou1B!G-;?&&J#fCZ;481M?_RtBzQ60Cuj#?(qs5LG zEO)+UgK6mBr6C*em3PlW3uoT@9}jtRpYI34yASSV$361oYi&pS@qQY#oaDS}|KsaJ zZ3+v`F+~64gZl^lKzOeMus_;Iix1x<;y767f4<|PxhULQ9L4lK|Is6BzwNULJ&g9r zZc)DY(mqFIA9-{C+Ed;y_XFYmsYiGEXz}Ii)9t=K#fQ%q=gR|Z9w=K;IA1^LquED` zuN=NORQUjXKAL@b6fH+lxEU>Tb3Wc*CB77`%Ztd%t5#lLo%{Ibi<<QU(vKaIA5J#^VE?K?z8JoWM4`!mIR zQC|I`C*Qy3!S^ekxT1Odk|z(Y?C*c-p7Q$g_`rkjTb{Jv_P~A71Lw=b7x$|kd_UvC z_bUbAisIwsak}9nPIqLyCZmH+D;CGC1dCzLTF=ZP8BYCdR5vQI;_+Mfsdal;(h_t9 z4%-n&y^xFDJ2I}_O1k1G=myveq2QUk7IwFuc#`8nl2OU&d_nT<=xlxG#W1{yWc5}z zXzJUZMC(`;5W83mD>~xK!dZ!6qD3(dktHTcC&jJ#Qa_CtcY@tr=CYL$9KAk*quib& zIeJrMIm3of>tYvDryDg8#>1>02hCn6-{zQ9@gmU_b<~HC)A769D>;;SM;UoeZr!b2MC0n%MlWT(jEuRWCy#%amlry|Y8?$b;mVYvLUd`m)d>LXET2Yj&e{GyK48 z;M2m$GVuPhC(%*&6k$BnTla%b*yKXIQW6F-RxaSYe*)T&#$btgZTcFD<*?~8rK zUAF|}=2$v9N-{a#8J6R+Q>OkL``?FWX%@$G-N<654qdMH*!gxoAQsJV(*&2ylXjxc&8*C`~O{kwY6DjSZ; zuvWqgo`I}Z`^9F}%7tWT|GPuV*qN>LXXYUD)tFwjG2Ye3)z{SzVk$pSo`c~AkQ6dpW5x!gMW8xdeVtrgTwGmX=JGNK z0A)5m*mk3e5n(RGnVx=*zOJa|iZU?pfpw^v%uCM(>JWpP3)2w-Q_si=Ru{YD;j0O} zh=72o3l0hL^mB(RMcfAhBH7pv$ob479b6D2RK~)T*Fbq zl#vIlER4<4R~o295ULDf6eQRgnHdfMh4~yECxhY(ro_k9-O&dYDr{gSN54ID1bPwd z4^SkAxJHD)R4_4|0LnD2)(HfufQ16cId1+wF0Mf^b?jhu#y)P*oIrK3xJ6YXz_0@- UH|ge4P$c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Di>3jhEB0063e0RR91 z005H(00000003x!0RR91004La%)NDV70L4lIs?I3+!lAZ1EenQvN$a6?kw(379vEj zKv+Tu1h?Ss?(XigxVr|JSD)(iy&>QIz5m`hZ_Y{Q-l^%T>awnu>1*4zZT{rqNnC?3 zpNja)%b#%X|Bru86MPy*E<=6z@6!AqC^wU9sr%|PzUe#abIdzGV>}-d8uRo2;)!`j zJ$Xy;!mEUw8wbirWu@CqXnnxUW7dei8_N#gC$r zSnhn6T1qmChj+ridj5-ijvIqp#ha2$%==0{F=h2u>ZXvoALVmA`K&O%k~^v8j^av5 zRZ&;96#kf&tnes4V@gWY@)P1(RFc}8)SR-ROniD}O<`B6|sMb%Q6mG%`y z#m`g0tlFjcQIb(@QJkpzNrbNAQ^{7|Cz2>+^XOsL}ar9hR1D5(0ZWUKs6$x5|X-H&NkN*d}JK%vZF}3VqHJ#?>9$q$sM%QRly;os0b&QPq>P3`u;F9= zy{GTJWY@G=3f^`#reM|?mzREy8Y`Tae{XvyLBp7= z4>yXD2d*gTSumoCfVUZ=CJk+kwe`W$EWsmI^NSmfV zk=n1grBSGT4C=eUwapRn)=^lx3G_5_vLi_%2H|3$xA7SK?Y+>5;?^igwWklY?lN)@ zApZxfWlg}v#c}@BH}tL`ht{O&Nq`~=8-?-ncYi9?OMw?M=ifj(1*d#Q5iU%PJo}VD ztLP`&J3f#TB(fN^yA~Nwo%=l%ntavq5O;Acgf5#gE@R2if%IxhYwGqr%-Sn3E+X0B zjl6jH>FGIiu;giKQnrS*Nt??Q*e>kR@J-f+iT5*7zF$(k4h_;mZ z(=ln-JE~Sf&iJ#lqoHotGeodctO4Uv*@~3CLpDm|8@E7PE%OZg!7Tcdk)I0xMhE`L z@4VBkgwsU8-GX7?Mc+!M69-1Nzi>Djb@C&o%0S8_(tvGeb602cC0k&kcm{-#C@6fW+utU0G zMPa0(fd8y56>Q?R~nofTj4qYYR9gZKn?RpFNoK zEC_ouQ%BH08TLwFGE-Y>r6?9^4v1w`yNAS3qwXZWZ>Bo+Nb}wjEyZ_7)x;~%R*COY-|z^_Q+HG^;Xh}=K=EWV zslvecPCSZWCp}S4Zi3wuLOmQ9PRU=#6R_sY_#Fye!VNQbm!3gO3aHv=l0DzI*sF@p`+?#^JdecFBGGbC2+1MGd~RestI*m<7b zHc{ZlEKA8e9L$B?2(`7;c6#lw*sayo1VVj{Vrs}*D)adnJ*?8kO2D=zUFXAt87KdEPav!nD+J217)V1S}-C)JhtpAQgFBq0N_3TD^bLKnc%~i|FsF8PM z7VMr3WdZrp(r>CCtHsHIAWsi8FTrNu+qzU|ayTu|y51^*8@T>JPgDy|-a2k1U2k`t z`q$ZG_0wJKQ5e%SByA0!t)}KrqUgn}_SSA~4h`Uo??C(Z!vNY>`JpuIWMZAKq1Twn zR7@YgRg~xIH`-cfo0X6gQ4vc`sJ0{|d!Y9=x|BV=m;+-|3M~cXrX9(zHzdYwZz${0UrR7jL02{^@=NKV}=YC&JN!GH;{!@f7ko>^35` zJ#g)nFl6n5DdI12EYif2u(IqPOu$UFqXX4$T#SmueP%`IPST4_+BDISE44mTr{kHN zTQAPAYJ(YEFkw4C8b4|IG@4TTAieFj!5Rt>uI=Dz{|_!Ec3MnJs^6g$wO3jdwK)`E z9?fH5?fRDGlzRLf8hs~0f$1822@NX=6z6s(cJ`iG%$cTpEo+N*!C+MUn~lRqv65(wd2zdqOki?X`ek&wbt(}mx+l3lqhbqu^!yY_ zUp~bXFsqTrn|u>3HtzE`^Kq`FlqWfmXc*Ea8l+~TyQfuzr;Rc zXqo33xXc=>Yy0BEVOYzWxHBo!rP~zNHj5P`G#Qc>ANn!-X4WrNsSy&B!Ep<;g3IE% zjbb|tZr_gYROd`dXSR|nthCH40LFPR`H2g=ahjRrf9xLhI}u^s*5+^(WS^t|Hs?o> zx8nje$Qog#We=60IYHbDtvmVqYMOFSi3Oq*?#95iAE9-to2{l4KNQ&26JQiR>q)STa5SjRf6J#;v?$vn*?XnW1^el)n=3GvWAy7nNpRC^Xi z0GInjQr<_ms0;_}NUkj&x)_a5$)}kj>w@zLz#lQebU~ z#%Ms+;cNYXy;OgI0z+uh#qNSwzG85H=+Jq3om7EYifSDC77{22V-G&Gm;MY=;7iQ8 zcql8&+c*eg&#-d_?T)xjSsRC1t(bEeGUa4>nj6szh9wK7iDi^fVV`J{(UQ5cr7?4U zhSCH-1%{U8k}+^WeD!@8O3A$x_#!Jo@|GYN$`yEf%&dpME86ejyT3zYPx|+w{S#Hz zvzD2W+MB=?4<~(GqxZ9c#~q!u*mkmjOipsV?I(@;QI5<*Dd%P{>y|d;$;h~b$@^`* z>0HV!6ncM~RZ7=Z{G+UqeiQ9&`Gw+tuWcRG?(YU?$?r$)cRmnv*v&b=xPKm4;Zqc4 z|MsE$owrcttwGjL7yz!+(03e&<@~G(l>O0ens|GOwawL8fa$bc7(?v&l)KtFT3+au z+n5A}6u|{AQdkP5P!^>^?gqEId4bF?g+)CuA+Z(#A7x`VhjiRWm%6IjE{zGe6c5Vm z$))$v&=v{|sfgg(L*{|7iF?N`Q2cia`6+Eod!W#^F&lO}Wjh%nOWyJ?beRRMyAaop zdN)vA>@*!TPhiND0Ti^x+LhlUK~riM{|rljSSDxPL+764kcPQ}=0F}Qs%BK5y_+sJ z$m(jh2*yL42u@!5)@~ZuTY*_BN^0Z5+Fkx;`m#F4^;cjHYce&bWZDm6*;`-_HGHFJ z*W_>^`tA?fm(j;-&UY=dtw=4^KcJjcjLh^ca*GO-NbhXW{f*_LZLL1q)EEsJSpPHa zJ)6<_YLK6mLMJBkA(5G2^ji5a%Gu(L*c#L!3T=1#+Hy}(KoOa-xKywpO@J5XSRHBu?h{ZK=xPqB9wxKWCe5j=7 z&G&@_JcoIe0oNYrdpSg>S$owv$T1b@aJ|F4!#eZ^r#sZ6b9Wq+B-<`4rDjcJ zO1_Jpri|yrJkupT?RXo(m}?tm)FE#gb645)KKFvO zdn|WNLVF7;oTKVEF0^-~L#r$!C)2N%Y@B7qcia4U^0(b8(Ck(XhB&8}h_ZL;}L z{5@*&Zl-QnE;P9#YHOj^J?HP}r{`1qM{&&_{AV_+U6=Mf%1?iPs$*qjC=K7IiU1{;V_4p-(r|U_mou}7*NR7ou4x{!@t`NDofqZXCg@L zot9b!d@#8%uZ|o~8wRhY?;na-8?@Bgu*}U|h(F&Vd(gee)k2%6NR>+Ra+~a6)YiS= zkYeR6P7YKrcojxE1EHsA`QEfJO!b1Jw9KP9j^<;Is@Ru| zlVvGjf>B_C_L4%-7|%O++f6*9s95j(&Us!ft%F+T`O)szKyf4O5X#X|xxsvPYjK0O zYz`&|RyK!q-)Z~T{LcMnTUqC|LLVVA-2#J!uMVd#>$Xw9&RGi7(X>UnQ!(Q6_fF>g z9$wpdaz-gDlg?91K&U*4?_-=A6-22Xslh#~SsC&lFj8;O)?V_U;!a1TMjinsW`TC# zh@Mn#XcAGL_VY4+K}scRj}BWe55vy5^eJ~8t<3ei)N1GLx9Q!TASF__)a!MqF;U(9Xf~F)u@ES|xWTK6dod?S8^^YFvn_(?#oRyW zW{bHN*0khWh4M8A3$ym`%jo4uH3MGMLqUz_5I2V(+fEnnPl^f~FRZydR2n74g4rcK zTc1#!B&IT@lk5a>b7$dRHe9>%fn%j+Z4QRNLTKhFe|oyHJw2}U+M1|Yo5mpf2R~zM zO!tm@RLJbS+p4;CQ494Q4EYBfEh7IIdiP3=sE|yEP-RAhlH&pE4tcEO{lh&3u>=8hw@xVwkAKRQY2$NmM=taRJ>^kpO! z`B!z4i&%`36fIc$RfuLEj5|7NQme)BBVLp z{z6pZ^-)XrutpKs@D{FZ-dnPRzLfYvZ5FSyI1nqtUdrjaxq%JBE>vU@#q0NtUc3vm z?kfv1j9QTQ5~!_j=MXw@U^5*ZkhVaWro9m#uA+4rFD7<2?^4wnVsy09=$M)uV40-8 zmwhT#{;uL0FMz9ANBV;w>1v zwzXkLPB@xrTMInG z)HiEBI=sG$l|=Xc+n}tWOv3(iUmZ#jz!^TTt`%0IFbsc?Jr3>9s#l$U9}uhe-;d|y z*D*1%yYNY-=q>)7tyW1rM`Wlz&4k?_>6Ye>WTFNTg-H z9-%xn6nfpNPa*RxdNcKul}1Z#ChOZi1f^T~_EVZg37yFHT4>rMKz1;Sv2WYZt~AP0 zlk*T+XqN@;jVQkTc7djrOzXrDIVsnc0oPs79s;G$+c}Pc7pN)jseD5Qn%SXCmYO>v zAB}&)@l>-WqLX6O{a*C-Wm&3pZi6*QH_Q!woMIIXzy6%i%_Y;E2cyXgKs({v0BSYu zyesqw=e!w=H94@d2m^)#v`$B`L$EfYJBW-nSmFAp#EW_sB$~NMh7)(E(JP^*G zaV6pW2V0Ao9V9gJ+P$}1r!;alb8mY;x`dGQ5!8O#ZE7)Stu;sswGq0o0g%m|$_J=l z$tb$LbBqkQB;2n?#no&M=DX0vG+>z;U^6WhnLyRJhnz`E4dEVA6MYL`LUs)|-_YJetwizL46w^2Mpl)yYEJl^pa&Ocf{Y6PnZW{u#AA-i|pJ2mS*p8IOi( zh3;fKpvz0sUMMp9Cnn`xYAwvnbMzd-K>)V8+ixb_l# zXaWSHpY!}^>I1d1=g*3goXLXOa3X5EdcnL?8lt08rYP&co~%~;dOAP4^(87tQ%dv}qoCgVX(M(2J${$hoAJRTGtPH3riMU=9PT)TW1jh11@v zX{|~?hpUeV7iAC~jyQ9ZZ@FGHvCS3>>-ln3g0;kj1K%#an(ExRMy;|fur_nM#hAjl z2}V_>U`}{Bi_U)ZkeLQiqplT;VKk=FcZ%-T2j|LX^a~YFl z9-k5Mf@6lg>7|Z4MrlRG2U*^N!u^1?;)GDMsv;}L!E{kuiy*AO}rk`lo zSRX5kPN}d4ZC(;7_)VsZUdjz147o~i?~fHt!$?$eKGm=AR#^LsdsgvKUWD?tH}K%Z zMLS{8GRu|D#Y4k-g0+_?4$!yEpJ>$m+17TJnv5)>&?d}zm^Hf2rD+ROiPT)v>%st* zOO0pOW>dO~vDQoW!@qMe)GknW4wdVwz?^?7)-0C3aSX_{wR9ix*0DlcOB$$TJxr$N z?Xf&jT#eSxn_`U?nq2gNQ02=JGnhQ{UZ&Plu3KBQIb4Up+M!|b?CsPvERnO^>Nf6G zrd`0h0H)Y+LLjAIr+R~iJZzEZ@DD03L9s0EF?zB(p%YuJT#2YZcsv5m!>f!Y-x?~z z=4mw*nV!KEuR;4dww&(z(LMf71ONV$dACEZ1W|bVDs=q)8_PBRz77w~0rtmT{6L+< zf0thHjE>0JJ-8w-WAhYuh4yr+f*J!?)h_rBv~Qx&wEsGo$1z6>74_8h_Xkf}=oyE~ z+|Fm6(o*AFvBZua1c@PBa6-z?xPMbbqv$Ai$Fdp@XWqP?PzF? z!c^(0kJSXh1{cpTb94avzLUn&ip_iJ>c4fZQo43&7)lfNI3r|Ed&;+91HI}y&f1`z z=m;vV#8mJ1%F}2>;7jpPEV2k||MCabZY`Ei^%^-A>A}GqX3F;ChMCLfRHVqQS!KOs z9wV1-&Iiax!^uZ=982FEhXgrC6lKU|!CFHoRL8YRtqQ>Llz$&W?KS9*)*Rw3X8#P9 z+F!V~uqKU{LbJ9v*Gk8JJ*klj$hkN7F*4TaLRd9t_cydN_je zpH{53V`jw1W}zalP`YayTgOrNu4fK)wU~Y2=uV?WB##6I|6t;djG_ z&Yn}XD{GB4g1V+LZkI95yVcPVN3-UGXq%ZMr#)(S1q%I~mHghFvvOz`ObjEfhm31N zk!$2An8>;HSzT*1(-zJ@N!SC5+>QWBa9tU4D)w*T{C`!_c0_Y`-r@#dI#P*R^34Co z7oQwyHElPzVuez4EX4cQnSVF9&R~lsckCkO;L2Ov;GBoDdM@g${ChFCNBlece;V>Z zZ7tQgx_yuzb{TS)@LJYRZ7mKDh9Bd?j<-FK?p;t*LB5PrtmO&YtZ^Ww%txHP;FzhS zgX|4|$05S*`+Hxy`gjmd5N z-Xs0#Mze>~?w{IHrQQGVH&Y{j?;mUhX-gGwU#t_2UOa_%EV@VyTX(Wt6UBGoB{N}6 zZ~p2-#a_-Nk3ns$721JiQ|)f%&Ac_PFa5Zp^xaDLM3FFurSNZ!96eD(Z7pShw<#Cq z={WuA&vvReXv$I+YvJt%-o^?pTsiXIjFrXxW97)l#*L=wSKRBsBJ+XZoclU=j+|zp zm!qdFEODbtz~DKjv+X2Rs!`PrxkPFW-bHUP&%|vq=0O8_d*r5>0iK=^RAO$5SW6A` zB_4Q2ML>6fugQNZXswZu2tp+_gnInlzserc_({^=pDW6<&eAfCth>$kb zgDKR{*Pq%452NLgLoMDLrs8=n_9kO9!hPZQfix}8HY)JX7^}MWl3N&SWk8Yg&EMpE z{|vpD`r19Jna50+(;%AzRbSJ_ewm%+Q}|o?^n^+W4l8yl#%*3sIi1dKRa0PCCvrP* zODI&cHvYk3%U9EejMViZ#a{Xznb?V0=$1M%jR9F+{^Yb~X&?rC!r^G;u1ic{^bD3m!< z^QnD+ycd6U854F=b3;|&lGhPB!p%^>yrMfD+SVIRrNeh)XMf<4!TX)ba8`iM$ z>h%3NVvC@tb|rvT7E*C7KO=W{u^-^T|LF!&F)1AQ+qa%{DU0$@Ot>k1Lu%PjTW5Pi zzt(WL=2L??RWlbwv5F?;C`I`iW+cCb2dpvL*6P6u%X48A`h1YKH%sV5FW6k$S|7v~ z4y?R4_Cg?4ouhK(aLxHt#1`zJanatGX17CLrgTZn54N`W$X^t;n49}bngWmfF7ExOYEip6R9p}E#?TIMSe>Aet$@@HQ` zo8Dd$OD&;^_h2;kS;V!klWNkuTZ*>JQWt@^7~9BT-dKN9S!#clhFI!(==%|#(dO_d zb9={fP5V#8>d{=7ollmhcZ;*q$g3Y5r*+=42e|Qqi`^n9A0_TzUsz*XQ`dV4mGeo% z%)6xo4Tv}KKb%OOZ8x{1XMEp+0=~pjb34&jj;XFm4Qv_aw-D5I4l3cv2OmRk8E#f} zo>f&(n4ZRS%OSO~NmtT6x+1KV*Q|9!bSQ}6mMWtsg|~<$wP+gruiMk?o?i|ssqv0F z#oBg69junQ3^~cql)M|7u64&^dC}_2Vb_&5ipZYHsklu!a=!vH;zD zaLRE)E7T3XnjsN={!vw=mP1P|8QOgTf_FA6Lg^0%|H|4PHWsrGe4+oMlAc%pQ3~y> zS^Jx**t~DU$7O4EO0;uS(a8b7hT)A3$KFP~PvvP#sjPzBl^k3K?HefKrYK1BimBuP zo1ny6c;ZI2JJ()T2P`0Cmr@aWV!aA_d(R*?w!ry-!oKq z`4?$7>2_ZN*`{2WN$S<7(^+Hn2AqJ~Mi-WyonuBx&pD@+wG`5<6$e)}VzRcnT{Xu- zt&)svRmNseXjri%wTSwiiWE)ZcK=6+wzW|^MKYqtt-Ps$#~#Zy=i%1aAFoeS)$|FR zSQsd!IT!GCaK5Ecd73dJi&JC7~tIlF{9;?o3w3A z4c4svc(ldQSC4_+;1VhRBJY&Nse8J?)@+>w3-~L>f?sSu%8)|kEf=|8mej(DutJE) zx^}(Rvn*bER@YfL@Ktmc{}fw7Jr7=xJtoM{WeQ9lia;0pI?n(qAN>{e zHRnZ+v~gtTL7rS=A@1Gi66%aCPZ4pl(#hWbRzpTE8P{JBUj-z=p(!R%qms`=p)Q%q zhkOXw<=%~_pqvUkRd;hKVMn+4n=2vnPu-GN?xJ69D+7J^MKRZZIIWnjy1CxWxrikN zLKIJdxu@T-%k#EQYhJRz%=*lMYnSIAyNX-EEOX(!I#;%{aA7{|Gk{w5iWJV%v7Drn z9E0q63p`2h+uIa1+v=xnjq4c($9#VE?%o0_{pJFN?0Ro)`~~dG3>ZVpf26$MvN%h% zALHKbD7Co{WqZ6CMlG|d8Bv$i1T01NIMeBQWd(l3f{; zsm=|I%q(*gFOV3wGlf%lWmS6^fB%U3yn~!Kf}@Cexf&MARP6VflzzkoPJuhg`OkF+ zH(XyvKqdT|97`?6w?~d@Z(ImHfLg?#(cX zULTnGt0B9=8NUV7_caQ9Rhyy$gSK{}s|C(Dvg*EsH-PyVSP!+sn)Rhi5#)}WK4e# zq9A{Tyr@P#*@NpB1#6n~zMBU+mT5zNig}!ZDCXp-LVZr=pr0Rqvv`xRx=sW6H58qu zm!$D&eSYnzion0w{k@IkDXLTd?f++5(>kQ2=ZZtBqbkMgq0;Vwu=BjEKU33&1NYIP zr$6&-V0)r{tkfv_9<@~3y_KIA2hIwnZ=w&?4J%x8q{I5nEiV1(RaHu#C)U_s!+7IC z#oUxET57zMqsIRHE(p6I+O3T#S%K#6!1alPk`W(5%JXO_bsM4r*Aiw;0#`#1R`pHf z7n-%9OLsW7Yu0`uO$-9^k-^>Qmb1jK3dNp5yvgisw3}6x%sItnT4EwUFH*Y$^RLUK zT*#LX+U!`Q(>d99e*(|ku{%+|u_ffK+BIMp*Kq!`Yk~9gS=Uo!@=s*(9QI=E|9`Wu=WfBI~vnk_|+H38qJy@F9iSYT(}`EF6T+Va{&Z zFqG;yy-v-W23i|5YpVbedeuLKP>vnvgtdQ}wT@t|3(RZ^B9r5glddFE`5JP9xnNDM z&FKBLLa(RvcDPp0&migeogcC@B&8&UQG+1*5&cS^2X5ekE!i>@s2+DLb@x?+`z8E7u%tC!klIBgMY#gj zP*9(1bh7gjc{f!isRJOe)bNrzgV#`AU-kNlj(Wx_aP^YC-RPQjEnPUTz${bs;>>9T zW8q><#2V@_O@Xyc1)z$GPu5V&oeGR)L+QWUKr8~+!J}By1`<3ZUt5G+Ou$V}4x~IM z0!2Mo;*^HfM(w;X89mQbY2_+MkY=5=;cZ_>W#lb88@ zjNvqhc3j&;GhX;wVLGPPWxnij{G9p7e+u=>s)PzX$qNNDA^n2Gcdd{k>skz<5u(~!kP{5 z%fjtYqKgJGGd^>2@fS8aAM-ogt*d*-4pRoG*;t^ptKgaBi+WPmi)tDQFF%M-?=aNJ z#I1Anf&=z%bZpf=#v^mv+=6AptIax5%{V0}f9N(#-Y@_koJ;dal85?cp}4E)K}`#lH>ep#BbZEhm624r z_A#**FTUA^|M1Ejzh{9@u`jWYZ=hWl?T&c`u++p_qA*e1GN#o2>R$ne0V$~^<8~X7 zsGVb|7ae%3c5z2>ZE4tN8SenGmihMY72O(pjfgB{w_ol_SDq=E^UA$S=iXq1(hjt> z%rS>OP7n50A;9H@kn|ijR#VIHphrw`FuKpbQB-<0ma>0PbJ!Iaxg=ylb_^KMZZIrC zm?KClRKTNP&07yQpj%A~h@Fqqc0P%@GP{Gdqz4C5w(bh~6+L<%$P%%`Ar@Ed@=L7r zIwA{lDZ0grpmNnN2y$49aNZEe<6~)_;*O+Qmz6?UP>SFGWNh8sxG`=SjXZX{}-YO<8<;i@(g6R91_rOi{5 zwz;&f zh7T*x44k`;t~x#tWRCSQw;P;6bXXBFjM{mv6`42I>)(Gs-&4SO$$sl7=g;@lt8IvN zMf>+j@ZS#}gxMCPK3U`b*7{V9eFlwvezAyNA5_TyUjmnxbC>1)zXAL6)=l(n|4TZN+}FCS z?R*Sa`yH(LWS&fGN52+xfQp3fD6sYzUR?k3WZD&_z%GT#Gea1KnR4wUT3cL!8}V)F zzXd#jQT&faMWKP9%|m@3c`inw6e{*!fp5E(YO$A!zpMV+7XsSAjM~lCb|f>nxp3Z3 zJJAqsgINnmwfjS%A5|>lBY->@k`t}d3VokuiG@WLxmHFo-uFm~;uM-mGvb`5E>ovi z=d`|aqvCH!KjKGBrNuYZ?p8=m1|F>-k*R1{)4)h7n^xJmOKL$NA&d;H8cAp0tJ;fn zrkM=_>m8G|bXS&I0onx>gD8tWUPh%drTEp>Vt_9%ryuQOfjRGwj_2pouAmMB71(8K zuVH3_V%NoG6p&Vd9ek%P?j}ah{*^_n#VC)WkQ<-aiHrp7p}*++IBQH2G8RB|MXi!o zofLPIT5?h)qMumG5;TRATu4M? zzPnbOD@lg!V)T~}iMY1(V?bjbfW~x6^`d-L2PgmP>dF7?a1SoK@G8@-klzU}wg>Sz zR62_{Q0<5)_L;XsgQ&IrL`+e~YojO<9)x?NW94uf{;vX~jyy7odujvo+-9gTmV&xG zmD;=mStbAc80Q5shl)SE`bZip4Lc3a<(Zos#!?ewvde+xh?S}?)mA9sLpj3LdMGN0 zLT|%n_o3afFTt4tGOvgt=7qgD?(vvFwA3&g8=w=>)vRxW_qzJHW@GVw$#X5WFpziv zsht_yg+e@)LM!ovfhaT(`8m#)am}ZGij&G}sy(y>q;^rvwNhz1nZlQ>un;SRkxMsM z0&S{;xaM1F7F7sW+q7MA?Ks-B5pnHePy}^7r`9Z>4sqbWTwda_ID*!`R^aPQQWEgm zU|KHS)olIUM?@3DsUgm&sk-kwT;#2%j&3^YSiEqrtAs z;|}ZyyRwziqrt8(^8vk$$-BJoD^@j%3=-|AbhaD2_L3PHTG- z^*s<|d9zTZVW_9BYy0QWrXFg2Ol!ob4{`IW$38}}S>vf;U1i9~deC-b9mLHC(->x{ z$T{@Bma2V2+xae3tO3btq4sSv(c;H_gmx8h#k*C#?Mgc)Q?a1AB2-sOmBuB{!QPW7 z$GbTKhFHYTU%0w=#lO20$(;f1Jt$&b%FYXe_8%xTYem{m_^(%A3jLTwUmhyj(3lug zT7Fht5VOR|}k9D>RmRR#btD zNh_%dnKtmlCw34$t=wA2K=2=1@@lG}qDJ9yGP#+3-Q*Usl%+>UHCw#5<4wP{Em z`i$B)2kfAO<|}%eX`qEkEMct$3(VwsFdw5q#qreoFEy{YpJ~guWGR}=Cl9zc)`RwE zw@fq-nl?s~{atRNp+;oVnRI!{8JT`_9l7xgWckG>A2a;~FA7_EOf=Sng(^`o9mu|8 zA-RNFAbVdqUr~Ako)P8E&H&G=KaMu|D5;@`mEK?jWPSwf*X-&;TTjO74LCdEGk~G7 z*q5{|32GOF#$sN4u!-`L8Q{Ej%&{OAC0=T=ltw&19>s-Tlo&elq%~BFg1n|V{Ncxrylwei*s*0#3)SQq!v6K-%lpFpZGR_&J1{gjc! z6+BM=>qRHtsjXHyxRxvvfBetjq1$EfyU0GqdT7i|CWeQ|5wPN8F~P3D6@|OyV}kJ6 zg=!wYVXFxKyROMBbBX84p^XYqsCEPYY-1eBbjzk9BAeiEo_8G@RxiK2%urU_c^^b% zKK7gUz7HNq1)OS1WGf>VJAV&_)8}(znC)B=vMA=t=H*iN zKFwby$kRb%anSB8se96~6l%LNaLT~r*?(#b;uFF0vIqx6gymS5GGw0C=e<-2%i5TJ znQl#wihL?Kj}4Ocq;(!@>Te25O+54|D)J#s?&yGl$8TCCZi;%~fg?A{{BL%je1bPU z8K#n=l6rP-sLN7y?TnwdT649`$Gh6yJ;^3Y+2|$Vo!nbm-)`E2HUv`HGU~n9p?q7y z{SUP1HHz4mNG&efloCbEYdotkRx+rihFAL7MpXapu9Ki&fBN~|SK=DqRlQ(B80i-* z7U|m9InUOkBJvj)DZkL~ZJ(;%pUSpSwb5;hr7i>0oiJVWpUHo7Fg?e$F*ur)E!x&9 z^9N%R7|^ue7xu!Nnd;5f-7wODV4%aj31sGo^~;Q3c|O$XERanOuD)MnEV3g?pw;!48Aw{b#^-2xO+wcA7k9iQk} zzOL424VK&{#DgE)^wgJ1L~fI}iCynOzvtR!29}z!G3(z{;OGP4yuN;edj&i+2?DmJ zJ@e!;>xjWy|V)8DkU?f#~qh_fzX=5daFrycE{)Fc~8G3?b>z^R3M?M+e#rMjA&SYCgvRRMnnNq@tLaweh2sKWrx~w( z49G(^t)(N&6&U_2>0B{X%!MLt@LamsQ;pu4A;tMZ(3U+#+L+4yHbvY(hKij)LC92@ z6GD~Wsx%g~0Wjuq6U;Xr{3&GZWJ)wzf%OR4z(!_Uj$d4`a|%TcohJ%)^(6&Su@s8m ztSMBfr~+f#k3=RPgnK?W)@_Qy3!-?5J9s1pw!g0bXuQ?h4ACnoK z(c#x=Qlg~J%v0C8GmmR%^lRXn)^0iNv)++^vNl#69W;xBa~W2Bf8j@7?N!h`%1$Jq zX9V2q2Fu(<8;9}sVp|ib)k6;I1u-PN8}C`j+h!T3CTyGUxMKyzRS?Z2Q?e=;c@i)=pyZ zE9`t2CJgy}j~%prhyo|mhRkDs+Z@gexT0dawX1MKQ1pZfkoQHqYj0XgACK=BQ&jfD z?P(0WYtcIY@TC-Yn*wY9HF#=3{cea6v3wStOQ*nC5Rp7JFYsPRN80}QQhISkfpsru za6)F=n7c8qf1X9&MHKj)4jz1*2EV+5m>)Cb*3xzk((2M-FJYQP?su@$gY*0-O7%HF z$)0Bxnd_bL#wc)c3PL>FWk2N@l2gE}17+vE!E_P?P5Mvrahnzev4*{t?jY~A5M6Hz>ER*?4B2&T^$LM<=07Ktqlm?tv34AW!pFaQ+dHbwqA$A?7<yVf$(zqOs!BJ z6#5v`5x5qul*$1^*0e|4o2^&6dRO#te3F=9Hpw=J zLhOgKdY)RZ`}4FOA8!a0g+7d++g9`-SI0HpV`mtzz=lqWHV%k%+|e?*0?(fgaByHV zDptD)9ZVNs?bhakeGx_~Vc>y3wXU>T#)7VQa;w3=+oMR9VzggXrtG05L`ESCrs^X*`n!?~cfmb{%sJ)T}K-9>9Cw zeGJcC{b*6GA@t$Yc`G^EgNtWFcq9&~9a?#fUhPWnTu^+D<*gOUzmvfyqvPRke#@z7 zuX~jJ@ms4qtP58-1{J(@-?r!8_&~c~=Xd@)a;vpW2d?f24B23=LG#v80k6BXq{vh& zGxz=yQw4<-eKLj;`KZ|POz$Bpg|T1~xPj(8?u1}DyiuI!GTV^}H{f56h@i7!>R4H= zFryb#9UodsAt_$e?R7Y7c48w5aVPv1n)*2xNtSG-~+McN#2gwks*7B}bm2&K5k z_gK$0n78!!7_Cv93tmU-zkiUCh3gbE{FIIwEZ61%ZjPT@W%S-oN5$SiGZ!*%RCFYb z`ldz}e1e)l3?+%9%xts*yDt=zT}-j?HT;2ZOzTOZdmU8^BuCt z;s)W9*|8bLAL&VcO~zY4HHf#taYh4U3b`Ll=lCdsgN-v=ZFRfZL%j`8F!0-iKk4g( z$<%Z3KFd>sH5NXOZ_947!xB5aiuSiU>*(MH4|z3_HkN)Yc0Qh|iI?!cMjzuKXlu?B zt_X8v(bMbv3m@J_IndtHq9HwRRX}z_wPfUCYgyra<5>zua|j$?G9Z$2r| z+ZYO|>9hvZj)Sx{`6KM@L!kh3K#aeq9aCi3Wi4l85~j2AbLMz9IAg)IEwpyp3p&%N zqxCP04_84zQsC3YEd0W6gDn*HJY#{@P$4ck@wO&xVs}`2XY)!moF697vsP#)+K)E1 z1#7u$&Y@(5t`pvx$5Fnq)(xKd4W^zSkBZcI%|CWeq^)Jp+@3P?FHV#kLM?)xvgd&y zN?l(~TEa_&wfZQf8FzC8QNQzQZjXOqPIXiZAoEk`NVQ4^)|ueAuJt{Vqk}OYg1kuPDQq#a-TUro#UFl1GNWxo~4^P6FFa{KIJ}WOm@!mwjcf>y*_(sV)@^l z`1OcFKN$Sb*le)MFE3S1$2Jmv=L z+D&Veuuxk9mwMtZaT5919i%^KVy{Bqh>EmU?Q!wkSc`2H!LN3N{)6t&bYc#&%xGk{zN zE}Rp*N{fYmGsfHIeJH$PDf*mXKgU0op9c4aP**e9jyG;G-FkYNZbl8Z2J7xU6h>MC zjSspMMk`WWpr4;kxu=49z|LDR3Ny#r{ZwPs7h3l*P65}^u6WrG2l?AZ#rFxE_u3S6 zHc8sSimwA#e<0@{XW}0b_%@Ov6Dvy{!yXzdh1MR5NowXScJ5GdUvKjGO-))JWwD6H zUV^JEd3BX20&vP`IkojSi7{f2y!VpwVg*^<~8zvkkg8z zeXKu_b1uN=khLmC>9$QK%oNExh^Q0-KNJG|KZK+SVqPY|(7NqJ) zC%>-|4^7Djw4}YswPn}eIpFdBddV~Vxaz2ww9+FcL(SIs789&-8}<25_A19o< zSo?2qH*TrW=GUox%nocEhL(A&?pX>n)T}o}M>UU~!l-}g3oR^G+}R?{R;!~{Nho^Y zk*GbzcNbNA@SF2h*L~IyO|v(`N+4?A`LvpzUjIh#yG^(F@G^B(hyR>{%)8YKq&hz@ zQe;%16{Xwl1A$>gWz^0ykBYZfLDN&WJ0&AAJH!2_e*cZqlwI~~2YnMR$V;!rFJQ)| zG*%aVR2jTT5wkX9Q{eY#m^Wz01h?jS2ay^dhtEH4019i1t)YDJqG)W+LRM!jHGYkp z-%SOwa;Z%z$%c7Tfo+T*`IYK*F7B*Z zrIO{V#c~-Ra-+-q+PMpx!%1m(1&urhtmQC6&6SfbdhXe*=8<=4&KbbJXA#B7+ZX*t zAHs?|LjyxBoN+7HBWR-wKCZGNs7`vd^@cR^5N6usmpE)Y^~0nzWZ?>-T}2yHYqa|s z(wb5yzEaYW#hne#9Zyo9{r?nTjXm2XcRHQ%!_K64`luVei#$1HKNkv?2OEg33knC4&w*S4{)@Y z;I6-Ub@-TlS6CZYl1JQ|Gw*2;+^A z$Y5B@)ld7V;=82I@IjTV%FYvN+9KVhK)(LN70+^Ql@qyS zUI)mBqlgJUsNDd^z?i%#kWa;!{*8{TFYbKSWUMtvr*pw*a(pN#+t%q+nN;Xu{VR~xf$TqAm{U6?ruS7;;Bs*; z)AmPUaQ_KD1DThGkYa*etnpya+vo$@F~K^)Huyw;e%ApE#02vyveH;X$a5nXt{G9E zWJ_c;Cn}I6jCP*lTEQ#irH(ZK-RKMWX94 zEA|^rGk2-An(AyV_z?^v3)eP7(TA4ocgZuy+(p8*cAsOi7o0~zZN(dV z)7e6*drzi0$N4r!N|5SN_p0Zn+senZ(2Qmfz*TOD858U>rk0RrdbB$x82%1^3_cyl z$2bg|hzW)f2$=IVJ}3a;J|>uNs;ePFm@J{h1oJ&r8|TZK!FV(#cn(Jgk(vaL6=?Ux zpVw&joIuV&g%_Dz(%jlr* zym+QCE6~W>;D+ZQPo-}c=eN)i;8eX|z^-=7(boMDnPS$~-IzpV8*hC40-lGttK5vT zAd4G6x@@>sTe9X0b98bU8(7;9CmQm)0S&2FfXaPMWwp{8Eew_1gOIX&m!$RQedQGp zB>(BquuljK$VQEQ!`oBh(W}Lfk%B$T#W!VsdIA{G={t_wETfU%L~nq~hCXg{@Tg4| zZw^s61+oX`km=5O+7tMRQu&2jQ+30Ou@#y!Xc*5h8)iHxR0oe8i?%xW z)MRx9BeggOjnV8L^!{@xYH&Kldi+bnTEbsvpi$SkwQ7q2u?(WwLd`x3tHxvGzp#GZsc>~rM#1R9NH3k8^S8F|N1(Ps%B9g z^kAM|mvDcKn{2Lzh_%f7FO0Olvsk1r;d4593kr&ywKtGwR`)Ny%KUj+ILIwnOOFm4 z=yo0j)*?4X!&)kX^OIZG)AFZkp9fP^Vqt&aNh1C;Gu2v8^`l?P>%+aj3^Wr0ujJ9% zTJU>w>zFn7l&3%9PuTPz>eyTD`3G{X6`<$qaO1J``)vh2quZ@OC^hnd<}f)w)s1{Q zI+RTXC)3fNcU*m_SmRQ(2|rL`Us~fw_Y&R}Fjl1`jctKM?*Q1>gL^FHu%2SiS}cz5 zM|*ir6?~LpClZC?i@J1lz#$4xW3`H((1$2oZ#350tcAXenJ_-Hott&|_kq)WJwuoR z!|x)CF*V0HFA?l<@vK04y6T+J#%jMN8vVa@(;ymCOfA0Qz^z!UY5%Sfa@67SP^mfq zQaik>FKr2^KzF+xw~Fbwwh4xO6H+UfX)`5nkidEH6mR5Ug-T?a!4Pu;r1s;YFHI}0 z*5>g3mJhX{lmv%+F&iT z+a1{xuOZSMft9oE@o;m^w2uTU9HW3pbe>5aC0Z}e_WI%b_ug8XjDAW=40GP zaLYJ$0)2maoC4miv-m|wb*|f1cSJSWD=C314O6v| zlmUxD2^H@q_tf4y2$<|vP_W1BqJ^=y6-ACcn zDC|5PeikZI`>~d=;-*^0zca7asGw!>qb|T(7m;)GnWePBR`V^1w7zEn?*_JJS5)k_ z>x^T6qG*;6yNqd6uD*03O?la_?4iYy1M+MPnfovDr)Rwmi76U~GD|tPNq(#suIBYdD`O7gZ~J$}t#wY8diR=EU4uWjE0W|$v;e5L0`k}(4;!MEPgvo^@8sZxRyYu-&P%^WCzs7Jf^5*o(yej1)NxT{`@&)bfu%7#C@|gByjx27HXbFfn8HUULf<88)jzA ze2f}CQOH-aqQsDof%b0pc3aDQR{z6R9W7M;#RnfFn1yO2xPO)&woB_gdGeCgT-#a_ z)E)#MTa)wzz3ZFAiA4#-R7vN)g6W?qMsembEvrff;Y6bE1RT&{OHq8t}T|j z3ACM{y<}tn-F~PNQJg;{oX3YTm4LNq))rR@w|I_LT{k^gSu$;s`fLaV?z=2QCj9EE zur>|jx?0fhnmm0dw33Pr_|0`;Z4!gcK0sco%VH`r@HXM>w?R6#pq?#9*NxfTkHS~0 z&SC}|sKiu$|I#r2KzPy-`EZhl?uA}{2b=fx0LR_PS>#{mjJSbIp-&lr^o$qjFGNts zV=DASF~0y9k^E;`_~e&lHtiUvYIAGV)dKpK1=$+|X3<{-Vj&kQ75Nb}!|n*mu+NQL z+$;+eYI0n&pT3<%sYj~XTHVGBsDytJ8>Na=Kmt)(l*P3=sRO|># z-7|a(1vh+2UzW_Ux@y{6QE@ITwZ+R7RQ{m~ny4IWV_sn988j$`nr4Or3;AQf8;aIl zio25Rm#TJc&3Q@S?TyyyOcN*O&HsgSX`MbXFLT}<)*_cq1cah}oK-Z{_dQMP+TJ?E zmg*i^$Rqh$2U287EH+70js8_Ye)N zl|+8}FgeFHL0*>?YG;K?Mx6{)c7qSIOIbJcIfK`r>Nk+h>?YkQ z_q5UC208Q`S2ho}^AwQH$~vDsb1e4~FuRU&18}CUm|%HDF*o1mIYZkXjD~5U;$ZiL zT51g-?ujV$!R}vzk6ZJ!r3&KN=u3Xfc$Ee>Oz14Qtg-d%7nO9zsOH^=0NNCy5;81^ z$UIXORGa|XpBDe{ta?|yUxHZ+1FY>r#UY@rd;hJi);nA$EbT_c=FrPi-@ho>wRBWA zWD%-MmMvLm=15S82_B5}FE^tsi@CjqFHKZaUf*+kjL|6%c&4C?v_RhvxPKDToqOW$g>(3rR7Vp`1DwYMyA%enT{m{^ZdxVT5SccB0c|Za|C!`Cr#UZ-io8#pUqHWG zhmw_aP_wd+to54nGpLw}znRsFzM|H})$SPl*p(V+vdqoyNDk_b8%qyEm9^j}B4rBf zPfVulhe~2!qL$2vm!-KOE+yfy?991Lfpy`E<~?|YHl{yroN{ntt*#sRP!S6Hw^Dz~ z+kY5U4qjnB(r&O1Ts=fiHz*&^-56%$b_1uBxu8*69IPJ>ey)#wLH5p|??T*YG2*Mv}pK&X$}e zHut>B-I^7up{kFt*AteybY*vu(IY!1m8r&<_+41)aAXSmaPRth870(Pirm@(a9-eQ z3()S^z_}DY?;(-C;XaI;nOl zs%qB(RC?ve<3#AP^P*YXoVxX$y(k_YufP^(e-=)=W5Gv_9vC>bpxC8+IQ-RqLIgolcR3xJydLA za3a*p^7hw6jWa2Em*;EcB@BLsdO25cxOlo<3Wl#&ayw=d_q}2h{{)Trqb>1H^vxsr zvT;(}O@sPVK8BCcl3L^|yDJFd$`A0x(k;dIF7jiv8%Qne{$(NeKqytY!P!j9-20VQ zDi>UmdgCy1p_T-tP;;AUlCXk`KaRBM(FbkvYc}Vi{5Uz zR#S~0ZwQ?KoS3c)W%H`A_k)Rvb8f%sga*xZ9U$)tO`xhICY){OfVa7D0f%C7vHI_ti z{s~<9A@(WGF^P3pv1Zd%lUVn)Gxpag8C(*!7PrA+5$j3}AJ zKU`!LvMs?`&TMOC5p^1Z0pG-&#{uWreK~)iY>k%YsR0D%%GPjpD@9V-8YBi+O!wdP zJw?9+!5mxuCb@ZVkI!^@vY`ZJYu)ZIb01N*78zD}5hY|=Q%C&TK9@)vx=bGvQ7so@ zP7)*AUg8>irfSRR)aq! zH`*~5y&&q7NpF6c3X@puV~N3ZL23=8H8U$RW4Y5n?r*;J zom5%s1q6>y-a)fS?qTkk%Ex994G%huuKKwvi_#-?F|TW|PFrnd>Iy?S3_sj{XM|W} z?%@|_PEa?N`wliQ?JM;ZZ5GL4%Wx|4e-ahxI~jr61E|hBrJx=pM~7a-nky4jr+f^1 zf)lIeebW~zW+nYur*ZE3-at>UoMuKdl#oFnCBgM=TvRi|`gT3m&#VSL_7rdBad>LTn5-b*@b{&X0ntb=)EOrDykmpmOkqSNVY#+0;^bgT8JPpE}CQSEnQ zMd>U1`Gf8mi8byk?u9^s?HTk@Pl`Jcqp9MBr|gaf^mrdjoU26ny*tSPNk zDLc$fCp!41<^3v&(h2=VxvsnP0?L8yhhF}&MpTRRTC99?UEidzXQAv}RJN~k^h?LD17VkHF&Mkn(T z>^4)E7h=-fG{&*tR_N6B$kYp+%n67s+h4B~jfPzjotsb6zpDyeh_c_n*6!6`6G^%68-jM$fSBhN~{Bc<{H`wxOhneNmaB9_v=B8Y-!jt@Y=j~^$N zy$V>P-N}LBo~fEy~$?q{mL-vQF&3q3QwPbKlbR5p=1m*&4i8B-H< zEvO|5(59^XAc}QPWpo+bfZu%c(c1F$UBw@-<;22GD)N64?rVZ`91akU^Sp|4Isrj* zbp%Z5eD}N|?pKQQ%Yg8Ad>?MAEIwp)i*z5>=&cmz{~)$>0_PcPZ58ct$mPJ zWNHS)@9@JeUD}IHFJ)xvqik&s5F^14mE21s_i+a(!R#U@_?HtM(`FTL{|?F&X(~Ld zsi}2yqj-7owK(SgSudopGl6qXH#5BwFA~eW76{n95L5u1Gq`wxsUmQT>?7x*JN8}+ zn)nDSK@zeZm`;YISzan3tOyCWJaje$n@cyXJ9xnQu?g5b@{xElx4;#F%_}|LU|37k zOzKVtG^EPT$MxRtww5&16Ph;mL|bw3a6#UJ!kdI`5UyHmiel&`^YZFBHaoMOpR-05 z<QwAc*!Q%mnoNXx)zrqsVD+i_Cp*!K8IHU~u5Ham4 zLUGMfs(C7wZjJp5!dj>+Nqc{uj1tE;bQQU^VfqbaiZ8%gR%9pWThMV2ZWT2%jk3Sm zmH>Sceoyk&sxcyak+b|J)IufL8$??gKX|kmgNBRdr{r2qV_Gid<&;6hl?$)VdlWX7 zOXm?bcd7XGoMO;g<8^`J$xYd%ibC@6^jkrz#uVqd>V)dpj?1YtI_eWq>CI+s6r;Di z6L{e_lzO?Wb6UjJTpd6n|M5N|aaB3}hHbmd+5}i3(^13^`W@9VITc1;{re-`PH|yl z5~Ja>DLRh4^-1|hj}>OY3!>Q4je1%o*dW-^8m{ym^XbD&as^wtx7HsOS{<4l*k`_I z-p^h==Ndi*MBZQgaP?;K?`#QH6{gYg()30URk!Qv_|0PSIGZ^0-X4&+sPv_?=8GXi zB=|K|6f3nBAS}Zjn#5|=>Vo+CX082cQ--XDQhR>iAkt6x$RFX2OoFkGts#g;pFj0@ zF1;HnRz1GUt>Eue-`qcs1@+O!TTN418}oZ3ejS0a6B3?w{tIPw&khr@s>zm!ZpvK) zs~{Mi6s5V^ZyY9$7M0)|)cP~$)#*9c092a_*jm#y_7ZhIOR#30#)#_U&6g~*(Jfezyq0clA&nR(Gryyxk6O#A;DI4mj^a&rl8}xx`l|&*JwlqBqGPg z6bkGS-mSs${88<9_VBDszw{g}N~EhQ{;0cOA7$Btf@-Vd9}`uhMByz7yGiF9?k%X6 zzUN>OXOe6MkC2h@L=t`IAW%yWgHzadhppA0D%R(tO1eX{{o<_@pYy!tQOyzZ5_Z9r z@V@rQW;U28mZyOv7q>SyJ*`ox`A&DSnJ<5@o1yFiM>6fV6;8mclJFseTn0GwmBm4r8W9S$P+%D zSiMmcoAplA)>8XV4#)Xq(v7R$^rS}eB}I+RS-a@5Xpxy~tQZ`)Jr>D^4VfzH?v+t7 z9&ye!wiljHCQlJLjQAftSKB*^Pk=5n(K5?M(W{+YZNZ?2SMGBmq=n#_le0I91hXWV zpmOD&5o_a_kLxyyri&!l3Lc9r;`JV^qr?0p_@UYr)DVbFLe)3s6|s8G)^d@swf-=N zOwEEs$ocE+2BYZ)TT3>$!5|2xn)f6b{%~)#e9+SD#~Ve(iV|rBUUYVoopZpppjx)- z0m8qwlRR}eV&-ZO|(OF1%?f(LX1 z=iX@|J-Sa;?Js-7^AIART;&}4V>#Vgo zN^6RWrY&sSTde9Wx6b11BW^5;BRU*F3922#Aea1Gn&KWrhr%e~e6c~|>?!OSy^C@y$VyE_#9e=*S9WpqpQ8L=xpmg08e_S)l14q}&?G2Bp7c*9_jqLvc~ER; zWVvDp5$UjtHyk%tHD(L8x_58UJc)ec?+S75qg2Hj4hx|Q3uOO}2f6Db%NKjB2plz= z{Tpj@td>`ho8B0(jR{9LUsI8*ofWv$(alMA*Vof2@Dv2DJS4ZPY`!L<>5}mxbd{ff zajZ-ug`(J#U&xxjRr@YJf68j$e1~1in98HrZ)m>?d-Mt|Gy_SL(_LHihsr!-6u@0c!3LPT;pe@6=UQ8UZ z*65gCkCqm?!B_PHD?f*Q9ae?sIxkoC?LB9={(uSsVh*0@S` zSUT_8Yl9e_>8_ZTZ-w4pX^qa5^LXfBK$^&2wn_}Be?wIHYqxzSRueqOE$a25N5$#M zF^wFJ%Io_TYkxrJ&%k-ZT|r`vpPYqukvVW9873e7Q?UhWHIJ3f8T^PCb*Z(Qa-X}| zOSP@Qc`_=&GgTiU>g8M`(iN>`FV*skDNkS%UFq27MqzWgN!7Z+Tdk^?vqL_8R0+4fxYKku-G&2H_>axK)sU+9=2dRCyx_R zHm?y+a@W@TD?_%y@%IOa-`2{$LrKM&4bJ}MLe3@w7cy&&;P7D0PO5CE#hR8liOQ;n zY+#lNqVBq_;^W+!I=>hVOcS-S6xl3yfLMD+2Cjmt>^&H8y++8rIrMVQqI;!UGN`hb z`4I_zBU_c7GutUWty0TWs3i)rq~e?y9g@U|ox7w?ZsVIC)AV{OvKZXAw$@Dt(<7kx zq{Tnv?C@a>gRwh?Y6JdMR19~-%5V^8 zIlc@BQ$HL8E8so@TpEzXj@z37x- z86Aqz?f=Q7r3aiB@YPy=5{%VS2UV9A3RJNLt7{XLDTY9>5b&o~*KRi%XCMA#vsPix z81Z%ZSy8A_UAwnugGV=LEjH%cC<@HjY@bwMgOilnEVftqEU=1vn4%)f9Uoe|26KHj zWQutDt91V*#4yWU9O(YatZiChoxycr`Xe&$^i;`h-&7Tf9i}wXAk$5h-5X`A9j3by z9I-ji46Rjy)(UUBAlg@q&hh-HisxTYY+*Rj->(OWi#uiTz-J=38`}l7Q5YroCN|`; z(0Ks@@h|lVEzZgs;~S%?1Z(V%?`Xo#x!1$s#6;ZH=}~(z=%zH}Hp-A0{1n(qZcICp zs(q81cBI1r^~1^>?1$=HexlKw}z zXOi5W$G$aoFk+1(7)aboqN^CHd1dO9|!*;<f%BXQnu_?Ck^A*=%D=0E^M6s_U7H;ht@?fx#f&pLzjAJ= zBoxi?^thWug+d?2k+)6to>aCy*ej1tTc7^JM3q0}T+cc(KJIx~6tp8lHi^59qth{E zRdqT=!#6dV(n{2LKTRx5(@0ON#^3fsL9SuqSu;ueEHxHBT?@r|zxS2&<5ZzGYfK`0 zr@>-%Y1v;}OQz_=A@$T4B;35OOIYj&m*)83r0Pa+u)k>A7Euh0Q! zw>L&NE)E;0ry$OK!d}!e6GiT}vcHy^xZ(BC_n^BG&9HZ}TqY*yRzkz$oh-2TP5b(P z2YyP-M9pMVqbucOLBR{$G&e2NO)y=QOiK$XQb#1;ol`VgyiXsld~7i;Xt+k(+n)X_ z=FR!Y2O#6E99GL3Fv$b#YfFZT-U+3Tp`qg8`7!uUOzy@;Stq6>9VyUP9H7dU93WSH z#i-3b=!sO>HaKyT@8aUaR6LPneP_=GZ@$o6T%Rbt1T7K!w+;5aV#Vf#=U0Ujd8bRt zzt2*baIJ$ADXsnScBY$#wKDCokODVV7l+&C6eG^w)2&Ys;7~{pt)9?k=RZ$~+cRPq z4Mq&svnU^{4Rc6a=6XsO$uF{xPr=iU*sjc>=Ok%F8eWZ^qv&fl2e> z`Lgyz&Gp!<{cx`5H_)Yp`Y;B3~!8^57#?%ydA0bnb37`2$ql~Ezm|lxa9qj)5zD@cC#y))eu-la$rFtNPA{j$SVaA5wIH zSXxRRD@)bvH64QuhSqD+XIoEo_fa~(47&b)R3-ZtRu@5Y{l#z1L+v$-Zy4qO2Dw+J zJt>L~{=l2Oae6x2nj7xb2W1!PA1r3qkvg}&Kmt6O6mfhE$9kba0cpg3v9+j|dO2Gv z^_pdCP!z^A1=Xf>j&tDANR}`wBAE3f4coTc^(& z_@*Pb_KA~wKkx)vJQcX?hgvonB03e8Ar}Yb@y83}K;+z31POcE;yf5PdclFiApFk) zV`Gz+(Hn$oI&4-R2S6*>9v6LJjMk`vj)}cZr;C;2O6r6oTcSdA;i309=@VwBJBZE0 zqDgFwA2}k^(e;7aaA5ng$2US@Og(|^ z4aP^GI3n-15LH`(-aYk-Wh!AIs^0y}y7B!FMy!%51tS@i{qym00Gr5(d^#p)4-OZ> zH)NH>q)M>mo&^SmkBetC_-q(QW3JcfC<3q40t^gEy+OQ5a!Z^ooJa4VqJ#a=&M`g2 zxx8|zb`15`xFc$V6>&`U?p6M6ga3IqMx+jwL#7v0B|g}yO7IEa@IwbCF>Y9Mv2jLs z_V3N4R3B`mRD6GIlX76YZ1w%Ib?$BYeHBx^zy(%|M!_$+6WlAJo!7iERQ&zwE|*<} zu-F`$!yM*XwQ3WSPCOgt(A28cFz_0Zo6R8|I$JlWf^0*k?dg7Girf<2!rI&~2#t;F zw-kjpOSxf++!E0^Jy3h@+ti>8HpRs#J0bkrJJnI9$T!h0S@9gx%=y$bGlOSRaPz2X z<}<+!^&X@aW{ttaAa@cvQ*vh96RehfM9%KM_lDf6N^s1Hwav?BNF8&6!+3cH)du0} zIUU{@W9J)DdcsH>l_tB(krSZAn4G;{JI%Xl(;B z?__y1hf@Sr>kb|}NHxYWwHK6H4}vL69lAyECT}}#|yEky?0MLWi&i zy0GqJMP%%L>#QtwG{r2>1T-k>J&VV~hw7KS`IOgL^YjuG>a7xa-mlW*M2rr7$FC7> z^3rKP_s-cf!8Vmm^x@%1i<28TvN~qC3gu^JR_ZTagnic`VZ`y+_(>PU2znV2{{11M zf7iGaEaHBUq2~wfck-HR#VEg<99z(S+k;##duVEkEv?tE)*_LfZ2#U0TT{jq^W+Hk zc4es}(35)$uW+rbC{|9-Dj*mRm1j$(g9Pc!NYv&pyIP8;Ic4YIs&b;S1o2>!+}2On z6&t`p)OQ2ADl{p5u);bHUy;+a%aM18 zYypvZQ()rohK#Z5>mxy;xl0a_a}XBKGqph3d+1jL)|uB?D7HAdvEFG)xDI$JDD{UO zaK_n5B*GD&PjYixQW|CdiA>3@FB{^Xr()`Mlsy^Qn?;pH*8*-a^xbuRNkmJ1^X-Oc z9V4z$-BnLdL2~<;!@1eRMD$p)8;duBc;Img#V!Nq-h$zpZx|?+;#&CBSk)Nbh;+Td z2wxe~V_Iyzr;Lfdo8a+`M-N*qw%)8?RzIaI^*V^tAeT;QDco61&n(wND}=LDE?B7r zUrxKH7F%tW)aS{zJg%${B)NTp$MaELMESy!_)=;k>`9G{Fb9P_sm5iUHoSPHN)YVc z+Vf(28rcy|qTIlKC|Z+2bU=&rP``-&t@XSjMjwxDU~FK$glS{@S7<9v?3S(ImWXmI zr4E@l&^;|&*MdnLTwhkif163{J+MWm*YLs_7d=q(_wAzBrmrIC#s=M*8o;?-S|4;J z&x~CqvMjsFOVo>%wOmKM9Ey=og@t{@sjvl+cK?|*oI}=KdFWs?zNZjD)H!64v_*P% zrPO|Cd<{5}JMMU~b?1HY*Qp(PbOpB6a<%sfQGZnoqj~a1I#}~*iPA0$7GEFAUe7<| zg6u^1$jn^dVa?tySU~M}NJVDmi3Ef5PB%#~yF14arStCDM!V^=O}@#qsDfyG!YwA8 zIiS;$wr{$>Z=Kka>ytq9j$MBoH0h*3ifhP5zndVgtdN}JeL-&C%Ymzs$dsMqRfs7O z)uLX7xY_5t9_U*#HONsniwZHL8KIxX={+M_OS991#otlR3cqd*_4VX}cF%)Jy}H~% zOe-dv_lx8ucF%*soqwSvr2Ns0H~FIY|6rN;#jEJXo`16&3k&Sg?K3(n&qQyr5&>*{b9 znza@ioWDZ0rpaItR-`9(yJUoS28tY_ecH%v`WuXc?F+|=E_J4@2o)7=upFGdU z$COg156ywC)4pe*UF_3bgcOs#J-qJjgRML?ZQ=QDKF%V1d40Rq7SZYZMDMe%(dmVC zncRp>SQgTLzdc)Qao-nJL$2u46u7n@wRef3)C~t8iQys14K!5vA|$t=SdG#mfyDW4 zL88|OsnoMXj=NO!As&y1x3DYLQhsmlrg;jPQb22$p|x{`i;MJ4(ut;Y!t&S=&IS$U1KdFS!U0{h=xH zc{sFvFuWoELt0~FqOZ+*wAXM0Rb&2>Cc8tG)_4YyUQnfNG*7FEdT(^z6%?q%$uf+bzVq-RT+wc8|^-NR<#lwf!RjI6=S&Xc1;H)iP|+OLsIcZZeE_d}+|#Ge%% zK7TIio~C*|x1ey$&bYc|FC@l=rsP#*Y{X>uZ-CY{1=)nBN4H^O`El9n$wWB+<@GWv za52*nvj4B(XH=n4!Cw+o=zQM_Evh2ZO;i>gd>1~UW5N|m`>^T#ZwRj{v~+=yZfiwz z1`&7pqlBpyDvIdovx#4{jCwgmY&R_R90Kd@{AI+km~BP&_1X2Z%7K?yrr0xKaWQeo zczz{d0-1x|5;3XvrN_|Ln-^>j$%r*>iT>a@1(dDAJzk`^r7Cm*&ndu|)Qc2J%MH>; zt6onmAkty!bQ~Y;t=YZ*ArpV2Ysf+5#;Z_v$x%-j77 zDr>Qc`&>!vRHzb~D6pG%eEW>FB0aPVmgR72rgmWGFp>84Xx2G`JX7lqrP2wBn(ILl zTFLDq!3T&OvlbsrQ={kc{E)SmVEP6!?^HrnDBny?B&_LmSPLDK>y_>jwntgZ>n;`a zN6K1eL8g~rAS70>xVA&OzZK70fb;k$TScY=OKbQbXQzA9OxwK-`_4@qCHSTGsI z+9Iv3{l5P-h&+#oyHe07cIMww=SP*!nS&-I-H&x11bs>_y>hB$*)bUa;tWt_Pcv%q z3^;S14T7Zyi4hI{618b}EuQ_XI3MtZ?BY-CT2gLsx#oKJ2-TM0Bi60}cwFk2&BWz| zc|?T~^?81cyB2E+;^EJe4X8atoNaP|i6i_oLorZU*O7I|Iz(KkD8ZGfN_?6cRVByb zEV374m;`6#_cs=4&gB-nmJPMPKW2m5#+@Q6(F=*jlJa&`j0BPCBv*PXuvRIWmRl!w zUreHxP^^82*0!Vjap;j-Jf8ZeC{THoJ|B{XPhWOV5$XTDD3*2VrVl1d^$D6i6Au@c zZpaQeKIQ1seOH|48Z|_Z6ftW#shw3)RH(S!s(< zt4eD(KAv;)VOGE#1$8$>R%O^A@oroZ;r^$%UPtxUiUF}9OwoUTdl9&Dg1DP{z1z<( ztkAtcTm(}L9dC%f)0#XlCPMw1vsyxx*0w`yI15Ib zm%?8}u0ELM9!+YA1GSWf)^MzW%NvXr9N$1KOA%Hs!^c$Fh%MQvzS%?TL2ml$RDkxn zMkn#Og^VrOslg4^2&kL+Qyz*VFFz{Q{-Uxy_3(^3^0LM0;r7;eMqG+#3N0Th22VdC z(pLLTrxj4&w6ano(R%HAG5zU4eVpnm)&|{+V1L823F2EDx#9Q=Ny3VYNklx8{YdVz z115;1H|6#hdL>M@CG((`i6~of9(%`VcVAWZU38cBL$jZrR}y;`W)u5!_tCQ|!BV4F zQ3NEqHybX>f7>KtM_;FpP@VreK>Q6^_Z;g);llF0IVg%h2frMMTajh#wob&WVuP7J zeHJ57D@&Ox_mXvDX#)wi0@oY_hHdDGE~vatY$z?kkek(Z1{&#zvb#21FD8$aU{Z;c zdz>FV#p{;Jkh@oH!5iy2XwC*#E0JxgI8-ESJBp17I6i5`_aUNSb_pid{!{;a@$Z%O zO?dwVDJqTgTt^{YlEMQ;<#N)2tEjEBS*aKge<$(j(la^d_LC=xkz7)+AUG!-TItce z!PXHgAHk%{yTA6S!+7@-Rb%xaQx;-W8=bGJC>ZP(!51d#u83_{$8(cJ)xJkXmOF>t zb(J|(gg)s&r9iDyrSzi0*77`eA58VlUa|?q>9yGa?bxEmLdz=`22Lt3i3vnENT{fY zJ)+i$94){!Gotp0-W|DI|3!`VCV=VlDB()owIXNN*L*ZwKUFqsma|=@dx&IVixRYi zB|Wqy6)T1G?lYAkUU^gl?RtI(Yu*VJ$~~@GD0Ve6Wi2gsw6YieY@GLvhl;7kq&eV= z#XfUfKP=nE_Pz>L9{M{%+AmO@(i$dn`l$+~^9!lB2c4_QoH%b1>TOhw(f85mH7jIC zXA~CIZV#im?la2k3M(k0&LBS8})M{mTBl=x{K7 zAHtWrPQ#XZWkl6ebL`JW+pJwn8X}@LxGC~nYomuKwbqywpiKc%w+ z3Ud?tTIk*ZqCpwCxji1S_BbP<7KNpzB=)Q=3FzfoC$P|#T+OwEti{u*Uy#UCM@~yv zA22wIxfEtV35G=|I>OjDsYR$Q*Z1gj7?5wO^<=A9lIodA*x;G{SylU?e^$O0??U1m zcc%sE$*3J;!5I86d|~Itiamo0ov^xwNOdcRh%)_~&abopvn7hnfeI~u{EhfHC@a6R z+gepgJyeN5s$}w<4kF;X9InxU?Ezf&QkcUz49^wk=wYK1h!+t_w z9}iVkf-w;tbLE@Vz++|g-}HQ>RBkLVR(8ch@CZ0MMD)%gI|qJ5oV(C*NG8uK@8FR( zw1hp?YZqZo=qEyx%MC1_|9kMDZ$QexWn+6^?V_@M99Rj~apfz!BWmN^%rjhMid04n zshUS`tYV7|)`(|cyYx94MYY}>YN z+qP}H!#C*Iw(X9cys?c=(y`6cGv{~anLlAJ=5E)1s%ovO+WThJcYRhC;WXY>uAsxe zuW);AT(C+gfotuoo&nl`aSV??p(eQTyk8f)hP%&ue<5M3zkUQIvHD8tO7_e6GC&c? ze<1OGOTTs(CHO=e&B)o49I7K=kLx|p=!or_R(MWHCMZ!9#^K zNoe?YX&?3`1q@thxNYibR8VwutY$>)To((zqTj=O%=Q^`8Q3Fw-UWZW(W%L%QY^yA z*p18K#=qAT?X-J^>EU)b6U{eVPwp6oar=wYZmTfn#S|S64!$INMcy9!uh?(Um;h)f z!#G#Kb1KDcJvohAAjao?A#vg0&QDj!$BKs}XewUz_$QlC@uPbO@wl!#=SQdd6R1(~ zRT!1CZ=KF(9_X}GH^M5(me`7^0qfzOfq50F%)uXXZ-p#sjivkPosPbSly6TskoK+^ z43Za=q>?7d=XhgitsRm|{2}sxrDZlMKYS!&=h?I?p(k`LEr@Tp#?>J$ss+`YixaQb zmPT10$A8%fOkTZFR#Cg%o4nO# z5vgn>k!b@v+!Cg~L_HG_5;u4kf~)amy)k&@hxHf-8c*=@wwBDpbTi;abRPuAwy*c_ z_tjRQaE43naEZ*3CF000rS1%g7=hUBofjU5{eldMgLzyg#f|~MI(!H^X(T%e)p|@k zOo4q5WBx$k1pDWnGmD z$LrnXkyCTjt?W?BDFKjB0?AUkQpNimuWvBJ#1lE62VO>l4$SFg35w~F?!q~qhV17L z;+>COK~nO2SxsBVqSIpaZ~=#NF9D-rT)po7@Rz^BvfyrOKI(npID0jF9m_>8Ynw$X z#feX%PUf@{vfM)J5Gqt%_(9)tp)PPA2$GX)vJHZeR2!k|!$coAa>Ix`OmD|mHSMre z*h0;GJHjlyPcE1j=~1CH7h3nd>-mgr(MA|V;XV`?L4gfk9k_q276{I%p?>xd;R3jy zGvCy5{^%T;ZosOq&|Kq!Yy0%2!Fie!-;c(NEwbd%dC$r27z>@(dF&&!QI0cMb01*Z z2|=lOOvP9`E@yDvYX6`+ffMk?8W_T}$8n*3U{@o%hx-1>f!{Ft;6_TzDf%R4|F)tY z+=iUVmGO(B%~S;r`b%cr7Q}%pa;Oc0F1$-$A``*Z*@&+@+(@G6yFTXuu{lm+SbOi zK+kPT%WhL6;5)`qf!C;(L-nyX1-18r>)XIluCmftYH&3}Jwdh`nveI=PJX3iG-0YO z>Kof$XX+cxyH0h=fZ5;&)L#cg@KoKj&^KJ?GlVv7C3$5nexp*|<2iOwJ}6hsxcx}^ zo#7x<-@t<}?M5~AAmJ_3>A=x$d$~%=A_uwMUv?vD$x&@=0b+IGpJ)d{ph4nRuXRrA zn71d`jU`VEe1mkN`v|X8u3nnQH)boA)NU^~U$n+V^ggJ%KSXZRR_=%^TOcCzK0=4&J$XHMJmi zQvvTWngQP#FV){OuSyL;gRe^cI_PrE#`04B)x(3F#H4*Nr?r>>4$roAbKm`{VX(gu zl9+E*BdS#gB>n+fDrvmnX}W2wfR}=-)t;*{+ER1V4 z&_O)q@+_la;LG~!I6@Bm1ldUnc@bwkwRM~v1OQC#kp13)Hhb)gdvz>zxcf1m$2$5Q z3Lf32@QC7>j0$xctrs&RKndURDVGR%j?1K9>v(I;n2Vdo_LHqt9mW$E`dg0%W{_^c zw><7bNg)d2ITXY2=Z(h+Sw{dPCOfZ#Ucs}bqGV*cT^+?ulD=u#T=s-lq6x42Vm{9+7jL&bs>Mn z(E`_|(qg5STe7iL0wISXQMR%a#Z(gno^d+TrAX1Rb0f*1l0wj>PGGR6QWegUp{}yY z$W*a{dQGbt(*+n|LN9;mr{L#z`l|5Wf8lP>%uuBqv~Rp zOk6@8Hb6soG8d9FI}6=OLnc?=#$C_@zZ9BmW2dx9K;ooh8u+bdnZ2)t;P9$veQ~Yk z`6d(@^&_O|AJN$!Z&UB@g!;OjiCyk5nq01&C;4_vs49`|KZ&1zIw@NiY~tK;j_>I} z1ub@QP-qP!$HCb)tDCxqTJ?zU8Ts1pu2sm}IpH#C`K;yyJ_$cK{bfyhv8-ZSBwhP1 zef6uTB0QnCudGe~3T=^Q?e>wFJ{wjzDQEl<_Cn8E6D$22eN8qCP~jOp+fG5N96JeH z3X6y`)SA74`_#NCP}pgCgc^>lfk92@9cPf@N;M{7j;!0cd}e-DeU`!C*Hku|PbE~? zzw?h47Yv@N@uY49wwjC+*{X<>|%IeB# zYCH+kYChGg#|@}5(IFj0@uSty$o1q+%m!85&GpRr$e9;4SeC@-LfVjSo;d|z6bV(8uuL)vH){Z9 z(b-WSb}WJzcG9}#Hl~aEORmBGUS5){cyBav9bQ2lV6{D=b>D))m2wT^D)Awbb>+krIssnd+^z!o-1yO}+Vv z#{A{4T-tOo@~{zaWlVcAE0e*k0psccV?7xgLJ_tV_K2)RN@gY4dh^z5}W8SHBu`eg69hkUvvwA&&*!Host}c_c*5H{XP@| zmZuRaFB0fUP-ee;&>6Yrtsvg_`u!$3P@@Q3?uwBqM{KOsP}49qtELU9HHf0lXlk{j zA$!e_tx#8rR63X#X!&i|A9eB-mY{%gwdr~#*y{QnWnm{(*MTQa&&O`$nON_K|EGQ6 z^dsozNe6&G5vM@X*QiRSwk|09`BITCy&^rjB!Q0ZpQ1~yCi~}n<3LhV7rN|T$h^p* z@u3df^z1|2Py8O9P!I_(a(^bpCkz&G0tADqlhU7l;{_F0?M)t_Dl##>* zyxF6nECWG7qAWFu-2{%Q-jv&Kx^do2Q%3tc?j{>LzvwZa5@U~4W2eFfXyXFmlsHnW zcBZ1)^BG@tRG?w(ev=z?0y0DVByL#ctM_{3GTCrD9qLDtVfB6G9Fqhmpd!6DCTw={ zG*0@j;Ba>R3Dd&yKXJd1O`O=3>bN>yrYrc%vw%g6Oh4vzxaLpT7WlRv%{#|TUW!hV=WhX8PhRK?;+cG1vpIBG^swr3K)57x8Wi_Sf z^QkIj{f)$DN3x~IMYvDvmHS%HB{xnO(`&4~xrU_voi84r^TzY!ue+v07YT3T%U;~} zsR5^#R4zf`j{K+1a``2L0ie|vMzfuHeNlp*)K?($JQ3<=epOC&Ny^&$ za_mEuStIPE^)%x~rvQbu3vrEk@&HNqeD=Eh3Ktp83d#8p$bS`VgXU(d-+N0W;d^>n z59N(uZc($&K_l;?fL4px0=o>0T68n#1z7871}B#WX=t}z{^+2BB!_4O@rx`}T6t4U z+l~p?1n9R*GF)d==(l{%mv+{s3A=_QgjYVZQYF*s9BEK&lsNOlD@E-XU`=0Bgt~yV ziU3>s@0FgS%8p4ZatYNMj_?G7kQL8z9MUVqWLpuW?9>f>vI*eMB1`d1y<57PEsg22 zb}Na@NSBL~#1OvQLp%!j(}W?3>2Sk6skJlvH+xldk9f$GL`=B?Brk`$#69-WeO=oa zg$@m?50q&0@z2*Hi-mX6n;Y5O_`*~hF6494Cj!}KO?1b5oxNe-^Ix~9!uk<=m~OMm zEcDvF*FwZa;93AKql+Dn1SIQIDci;&!gRLwd*oY+zTq9Qwo!x!mlww%YlVq`^YrL9 zuF|EphBW(|recaTfJQFqZ90lsHRTh`!#YR}&9fApv=hiX=XDjvmd@7fcj1|8c(l@Y zp5jwfwS+77cl>U7yZS#dz~5FxjC(16tV^r3e{r@I`k#<2~b`5Px~;*s5G*cTKSrh%#BiA;uDTCD3q#B8-TRYUa3;^ z56(;^KGNm@F7dl}iKO@^)JA!ssw{!wei&b(>X|RZ^sTb(Rie+&M)tf$uN&8%F4i(y z>n=W#N}lIB%&@5d6sa_?1(u|T(@Oz;W>xnuQo5yti!d>y>w!wRgS_YJk+wV|@vYeJ zHIZaRoE7%0&~nL-3)=aYQRv354P-%{_{}*N<}w=Qf08fE=rF1LCgVApd}Bl!3*TMe zL~CBD&~jQeb>V4L`=D4#-gwLB+iSyzAEWh|*UT;#E$w|JBjlJ*fv)nO>+H33kb^R)!WrZ8V$9HoE4xF;_{9ltOVr`s?`uT;RVdPevI3Uf;4dC#4 zum3MwJpatFCn=Jh9zp)^=ZrA6BzMWA9m73w2`XCO%`i51=Lkf@r~fe*SF$MW>l5;y zk+I~ti6!k=+$!_YF*{Ft@B}%9Vd(<@@%-PU{~R^^pOIuTR^+Fbkc#T=MMdob++xsH zCwmr1|1r8K%^O$pf7U!By?`U*`~Thje{grbUFo4|$n)A&*&W)t`%LuD9dd5H`2+Vw z2+TCN7XLvmfCmdLvxK3!3oXL6HGn3Gi8h>agX~yp_f>G^pn{!3=+@o8KXEbC95_)I zJ;=A>Vm>ysd}}68wxO8G{%|gIIJt9i1RkIKp55g9`NJ2R z>;BCb>?rEo1O9t#vsRM-n_=|)+C&=x_5vpitUgf29*RrWKEA-Np>&%>w~p8Q#w=3W z^8F7{?3H*Z55G8)H!djq#{yTeMffw6%lUrOMrfE3?A6=CY4$wFx*oXf!9sk<8Kd#G z_0HlN*A-J(UMdjo7sHP#2D%T6D2Z(y75XQ_1{8z}jMYF+&$LhbEh_pIf5@(z3rLag z^~~v1CACbITYOapWy>C#IjSuY-?Li?>+W9Fm2OwPd+F;@PEFP-rnGG^6qo35I3?1h z^1(p_N*MT6Hsv@FUwN-1F+S8Zt}#0b`D$96R5r-2N|+>8uvXh~bZaz$aZC*O>9@k+ zxqzP7W7sZiG#G#nA{ZdDFiyP@kW~ltQP|p(^?^ISBE?(h?0c1~)GyP+$cGyFWYOnBX`!m$R&dDJ?c8G!fyJ z$Nr6krnB4{yO|lC?K&pcBH>!5CY{o=EE+y_wtb_Ve_3actP(dQpT?I~W8f5tBSOm4 zIlQeo6QT#BXvDEFYW0~90a$EM+n-R9f?(?SX92kCQ?Z6sRX*}4`racl*29{Z9NVBX;sF-UD6nL^|2{ zW5f`i`C%jK#les)iEPxUmp! zM@ci8>|^KOJX_DAu)@2&Ji4f$r2ckV^@}QG-KPwz0(>tBq*pKuqNl)aYw0XBj(1$} z@3{p%!Pa{4qpoI&+YnGmv@l3ym}MwCODul1JudDDQ4}O_x4BY>uSBq$aMwX})^1(}wv>vKg{!y5 z$+E!CG6>{_5yA|R4`ffLTA3!G9+X9I1Lyxl&Ee=;<#l9c3APO#(jCvjpnE$~Ex>yjU^d4=anL+Yv?%PwX2%%0pd_$$ z0bp@e$1<7(lF;j<5^ICi4#laDOlMBTWTPLO>nNcV=MmFw)O7efSi2x1%HM$IoyzIk zxX4klDwW1M{VVE9oszOY-(-+rmq$amx+O2feUl63*4)`&AT_$){Ee%bLscWJh0wrb zC5?XXnlOIMJR-?RJAV(=*XI9eiH`7U{18?my#%9zhZLWs5O3M*TazhvinzVlvK4$i z`dDtw7I*GriQO@vs7|2ho|@rRtJAnM&THE$(o5z*M!8lHRp)cH`)xg3cehb6nYvMM zgT7Y@x@1>WlPD0x0A&N-v^m)dHU%!ai+C@=smgRowYP&`hhkG>l-Ndu^Om>tX4w6$LBXpkf8 z8(qzX@gE%0en6@mb(Fo?)=A>DOD0b zTBv+J>8GVUk$RF{X4tElvofSQ+XW1(5&_Y$1&gNc;kihIUh9tJ8;?OpnvM$51R8%> zLDHx$QS--mpnVU$tHE3hLMl5^MJB<Hh$ z94n|wnq#7Il)wJot{P4A8o^~QQv@hfLz|I4Bg}Eeos0_flLStuJuitf;)8=P} z1YQf2WCAn;xyElP@El0Q0-RH1&CKQ44+b~@PNN21MvVDo}{c%2Y^= zDs&41A7r;Wv325Rj$8Ay#RZV}2{r*0JQPpdQTnJ^>^t>1%0qFd1!gyWTkVw#VHt1V zD1UWz;ON(Nj%s|rWMcBA%aXmGf5m!FW1`|M33w-|(y5X#NAtAaFMbt$R#fJ~{MJHxxRy=Tvd2D0A&D6mm>*srdJuM*iUIc!Q{hlq)i;rKK8 zMfyX_f@#FxC}YI$#QUw&2pITX!fL}$w#ZF7Ii@%(QYFuXw&uKc9z-^Bp?A%>mb266vQ+inhh@ePTwhU!$(r zkNN3m`oMgaa%Cy6j?Wk=ouI5)M!p;?V}KKj~ zesd;`h7I$x$0(d@-H=IgMM?{ef*6$~OGQ{;iw%gyDKzk*{3W57fN%N7*OLb7xz{;N zuZXANl!rLc!Uf)KHB|c8onI;l7Z-{FE)e1E_jX1O@zRNSf{K&&;?6I9T`+*cR?)C_ zJMpT+iFPT|&sOBS5^z!7lMZWXr9ZckilgR_KnY@eF(?J$o~4$lw5Tt?HK4&M-Y|<0 zQ}if7##?{M1baeS9^>Fcf}e@~p#;mJIp`hXQSynCNC$LlcB5G~os?wzR1@J!o?vn_ z^b-7J0oM*|aE#r%^AMI8N@j0b3B2H9N&sDag%NR~tpV?5q0 zk~k|_->V~W5<3Kpt54ScBwwR%LO;QmNEzzy{AIlj+YRP}wdBU1x1r+&sPmsI`~&2m zN~Xpi;dNwVhQQ`d-$KEuT|>JR+ux&6wbV=vHSJz09-~i&b_kv-kZIoliDh|t0ln!H z4(iZRq7Z!GycyIVAQbDY2d#3o{=JhKFhc>V6>Gs>@eiXyi>>bFAqx<`PljsHDQ>hO zD@R*6(oKA)!gH80_=lo=CiKvc4nv2cp*GNxsAvywBH%nS$Yoz8t}>h>E1l z$!?a3mHeBvHrCp-dLxT=LJ+Qpvl3t>hQaW5qB(>H@0gaMgV7q-A3}dONUBS*1x^O_)zhr}VxeP(#HlGkVPXSa)+#W9>K)efU)Z$04(=o2E4T%>O(zTs zGlusdWpNF56OK%OdK15(Ycuspu|d~9voSb`x9KAZ2*5K$!6CihaV`9=pB%K$qZd+s zKdz>JI!fHj)_`iJQ7-_WF8{fG0%{ZoVaQxG%f<1-ZG1EhneYn(qK`1nln{6^vhQa6 z3JQmvm!7CVs3_V%-tUV@PwzTVMgQp94crC{$vrh3GdzBYfOQpx5w{=`=(_x&aEF-0 z96)?i+Im zECP;@$r>8hZ2Si}0XjOZ^Xbh#snWu>-_<0Bhh1)r50|NZ$lI_3FNDrRgIwnahz&sk z;KQY&B5CJQ*~LcWi^ldEW5tq}a85`F@$2@;Wo1?!^MyrFv5xc$>8ilb@pf>y1s1lC zV)@3mvfyL0_lT4InZ1rfuQbHP&S%BtJ*wO67oFYL*%XArEqDfLYp+VmFNxLZN8+;1 zrE<{rq6aswuPI=@+4Y5T$eBuD)nPY~FBRfs$&(I%EE^@*uoQnY!}H)B;`SE<`s@`m ze!gRZFGuh7v(VB3#QRzx7(AUTAnx^P(uo&f3ZAe9`>|J<`%h|N6eAo!WW+dDcDHux z>V?RnV#i+g)z#DJSbnJ6P#e&PQa>vL)zH2BJR4Yq%zw>CPMS_DiD-O*(Wg9?Ces68 z+rk>RK{UhO_~Hx_+SfHIWeXhDy0@Xs#WZw%Q}%?)iP(Q&Y@4x@AbSBv3v1Ag7e|rI zdf6s@K{zpBAToVC#1<)m6?U=Hn&GVjz`q~^z&Xt02VHUovyy`Hb+v;e0$YhhlN;`q zN4&5T6;kep3sDVUX25do1{JuPb1a517GWMiP$O}0D@!oppSLP#zAXd?pg)aPDG4N> z%Ja8A4Z8|a1;#@i`Wj?A4aUAvq;P}k#kl2L2V)*Ad^xT{m_xq}coc$Y$uSsdGL(6M zNfL6>jdnf#Ytu^X(Vi4XoB-nLX=0&F`?wyKHuJ(DLVL}Os-^SGc(*EjO{H;hhL;AE zD(r=sgGy@42H~Dfmo?rPwfr`lrOZtLhGFz+&hC?Bt6rpmvN$E}T$n_VyIROV9QxhtI#{~ggPj5ghUYcYJ!A^{^4z`w?&U*pOAkvZ{eXWT-?tAvF z1WHM!-gGjsd%s`?mZFOQJoOG4^RFN)@MVo83S)#gG@_I`92`NOMCVa+WcGz z=eXGN%hJX-r7=weq7CciHbvozSgVJGoFg#B^Rd*B|Cyb`U>RwYwCMk zG$aysI|gR$ydCbW$X9?({(SXvU~%IhFKFaP+#aDjQp03tm~c&;W`7Y8;bnfM%XECu z2&ddUf~VURl>_MSIXv=BJR*^bgmIDj8{!SGW=ok>s)MZqN& zk)*yPbjzl{ZqHnZy&AF6p@A0=mxWlNvB%)xabYoHLFmn?tXdDMRB?C?@wmo$jofQm z#J|Q`&pU1{r%=K)+bxRx2x}n-r3LmO%nB7uI;|xlDWgnci97tSg(Pv6VZ`9y+pkwW zk2`v5<>wkj%#a@%w0ln5y^lG3Q_Rqd-NPO9XOkC(;Y0ID+Y(DW0*2^s&md@~RiTqM z?p3DxP1Io0&*f#=tP;#Qsfp$~{}A~&$lm)snjg#iW|UsSxaGscf=MB9#FXJ%I-|k< zJf5Rp#%evjjKFmff^U@q<&W}(PO2Ld2a+7~$Om6HVLd1i)&GkoxV zB9M&SbF$WMqnH)+CXR3Xb+k|GTbV1_qej@HrXGVtk>51iRki9mUPu$gt0mE&uKp9- z^jC`Q-rj!7m_^^Xw^A(DOwNZQAjx$jb3FsfW?~J@ z;9iV0`&;qVe9l^=40ewnWBv)f_o$h2gcZdj0j^r$53Vmfu!Sws$ApuB7@u;)k2Am~ z7&m>HKr0ny)x&K?%j_t+oWF94_d-d#u8+F-cGXF;%abB@z&-A7D~ENyC~~me6Rya< z-OqKv73;vhbM4MJ=gN*8U6o&~eypgD5)d`01P*J|=L7{sV1nck2yS3j$Y5;Z?iMU8 z&rCvC1x-+Xku|~ZMVy-%BF#)TKk%$-Oc0|9D3`BaY}Vg0QcwGx6;R#c6Ql@>){gT6 zg29i>TUN_GI&8WaH$n}jOu2Zc{HI7UDS`(NR09&PC@ z(V(o`rk1^2Mff*S?F}e(kE^K_t2kjc70}StR~N5MF%2Dge*y z^29?qpZ!{8Vcdvw`qgVh2KqKI{%3eo59*3T1CA592@BqPK`$rqT95!2RD@nmcpDxH5I1*>i5N0dvZPPp&$xNyq=F6qNjEK@86eefWW{ z6fz0|+GZcpy9d4CV(#z@L1BGkYoVreBG+$gJfVg`;G~Ox$j-)pcUp>){Vau=b?8iWt$}K*Xp%)nryadYVRsr?noBn+l+*jDwR24CgQb>r*O$zB zgG8G~%u`E=6$3tGL&v(PEu#-8#HSkof+X|6>Rb0A2SW}CLI1@dJjM_1k;+TJP-}i^P z06yf%TpeUBgt_S!F4Q358?d`dpB)m^2vPQvz(Qoe@+Bf z_(t+gccECeAPuMxVt1DnXJ}G@$m1hYI1L}_p>>w7j5>JgM zhZDlf74ZPVm-6}$pZn-N8vm+y`YfH-#4+K+XBmx2aV{&Z1^F|7X+(#Yve`tSS!%C~ zSG-JFm`)AUJ;K!S-vIIAlhbzUxCjK$yj>K2^`;uF?S^kX&y3aXD;!4?g2z_u>A@!0 zN;>!GT20LzcyIO5watcD7u{23fodDB4R!XsV}@C~;u;@3bZ*|NXu|sw=Z&?PFO&G# z*Xddg*Gz`X@>5&E6bJQq6HXiK33_zICEPyd-CzYf&Gtwln)o->+^4hshL^EZ%Ol|P z>g4)8r@t3_ODo@{n%Qd4JJe%B4^-85L2c)ySS(K`7M{?_sYTsB=X33heHxXhruE8K zgnp!H_jK@}4zN}Hmz@vuMv5PE1CS#P?;M(ewPt^T-J9DBc7Aa5Tw~*kh?UjKn2?x# zT^<>+ngHZ%gB4>s@ckRf@0!3;;`ZnF%-#>un4*dd6lN0Vw*oNIjD85(B5Kp&;2%)P zWVBbI5F)}T@)a3wGdv_v>OdW2aLNx{7Lv2AXSHSyH|?d}fUBPG?aWAmnasSpy1?$L zt}fo3T!*`vHSgGRaOt4KO~NB<;6Ebb!#b{oVCM3+byD@C_F^(NYR3+$M-1q?gH!k zzf@oA09?&SS83-^+lyDni$2+D=k03^{BWpmX6Uu4id%~OfoNgvrQUUHUtPzaUnXPe z&=A=JT3C*Bfqu>ff2X?UIn^g}cE_Cf*q6?|%pDyFhvMt0fCsZ)=R`B>p2F6! zER3Dn9Jk^Y3dG*4zlVmr1vt7{;A)qa+&$cn=ZXg}oS=>YE11Qjk2bcx+DU~L*7N|} z?~vE5#{nf1K8A=oQilnE1|UPOYo1pYU8sqg{`a$1bL7h8U*$~+bnmfBm&`aZcfB+? zECE54_LHl6ywqFM<)B6Kn4@zho5^x1DtG!m@0DW{Ra* zlt!~IeX1;~?m1He;EDlMn)#TXLPC*#HPcyn=yKX=Gp!L!TwYt}xp62gYA+4lZ;{eslj63} zHPilqWEEZ~`{Y=RF9n)Nea1^B2V^}ZMcP#dKYrs=DW`~8bppHT>K&V*|LcHy5o=$1N=KnN z*=>ot^nlrCX*!k8DL-yVbUq+txi`CE`{zWP^|YZNPVHu7gmB-A!@b4$8zWgo<;=Kx zLJ9oNE%jl?!Nsp6?@Wz8dtB_)=-(~@E~0ZoKcz~ zA@0z*eOBG+YGrxdCO$`4dZ{SE-)Q%_`^FGGMah?2?w@aFq(t; z^IhhfjIpmPRjABNJ~+{J$moB025_lf;5BeTMKJY0IsXO!I$-Gavyjd8=w-U^^p3Z# z6m|SGO*3?|_nGT8DtICga>XM{GgiUM%q5iK1(oZug4~Y{ExxKAxc~ilB=^2nsE@GQ zB`e=6=H#qQ79E5m0Zo73er49z@lT6J_!rW5Lq%QxGT2mK<@S`_b1bvs=b ze;Kyp{M?}Z^gK>G@}vvD(-vsGU8O&@HS}7W!2Kq;#oboc(WK-QM@??^-mI5L6rx(S zYdFI2EGp==Jt6IW4?;CPvy=cY7 zWb~mm!MUbehc(Weil@@g=j4z-##J*Gqp0&So2$ELpF!nDrvChH>xLHGs=;($ zEP21A{PGYMFqsa#P98(bFU*+OQhfd0TPsf%iKC6ymix$1mQ30P3|^_)LhKa^JsAF! zf-J*s{|mRuAAAN%4%}H0vT=VQo<@_vGZ${PueO^}dtNP7yDPef_J# zP|LBhk|w9s5Iz^9S4yt640rqI=FV}})u$Qq=*~g5LBAQtFIMCL@xy1=br3iD z)=MPVe=5E83&5M=qS1W2N~c5T)OFB!Nf2wL|F^l;6%Z=l# z6x-fo=_XlfD6?D;m(%5Vb&C1pW5C^w`W7Mi)xfw;sC{yZ{EUQoLnGl5Z=$dA=6B>J zAlMriV*ck=+B$cn_Dkl}RpjEg4^5Vqv}uZU^i;(z1Ve4u^pvQz+o!MEZc*4qd9@%2 z%^w=x{K;^GDm}4J<^)J!E(zL}o;%GQ%-9zmH!5lR?|P^^hNKy}qDA zekE&2;MFUJx_ZiJUOyp3h@VI-=`V=q&}yk_;|(3WT)dCcCkDWpTGq%8-=dy;PiFT+ zFao3Ej<%xp8LaDP)3P-kzmM6`{wbXxr;h%GVL#^H$n0%_YcIL9apA_h6U#Bp%NeaK zjXH>k5hBhr2bD)xaAj%xE23LQiRXNd_1~{98GUDwpkn3}_T!P=jnnQ?Lf4O()988& zxm$XJDAn)0UT>U4Lv`|~D8<$rqmTBRdt-_>f~CW1`=!4%dhXKCzX_VO>r*|5?7dYv z3pQ0dlQ@+hOO(VLVxaLvs(HBb?aY+z7pie8a6RK!Zwx(gBK}p?h#5zA_tKryIIMHmvAXkf)g)Z--3Qq&AQpJwBg^KWP>6S<+?Zd#ej(eoYc=&PU{ zF8bQ3)f3d$m6Dlw2r>`!TjQ=tdfgrI7yRjigK|`p@;=7Y($IXmx;W>X-P@ET@yABb znm|>?xv{trtdlX)=P=;o#sMB->X8j6evZLJwCP+Ifj-01fD>hL zDgmbst#HXF4GKHgqkh!`w*6b`M4{05_bmU~M`F+xa=2`lt{-^h%GWPL8jun89hnv91sns6b z^pKU56B5_T=W(~hZI~<3Iw#tYqDWwN5*FFhHlh2(h%Sx+U(y+9ZRB_29{3z7V^(zJ zNol}j@g%Yxj<(0$BV0Aa7qO%D#kg9p1X;eF`e;c2oo+`62DS0Ek>bRVKF=?T^+MVJSkT*0O_YJ0nSh3X1eoqq1R8M()4M@=Wo}@ zTAijG1(Pd@wVYP7?z{fKoGgdaW4&4>NmmD?W_iBrtr)=BN2d>^pOba3bE2iJ9OY)M zB#U_bVP~IGD+>#V-4J56BbJwV%@J|%{+bOxQ4R75L<8Tk857x}D{uBK2J?f~t^N&ol zRlQ`W=p!yHii*-)lS4G&!SW9yh^x6Gdx#rFG%{1bw(~(YUb2!HYyFPKmvYWwqt)vT zi?}b)<+fkoI>aND39UzE)XdaQ^X(dlrDu}FcbRq`hC`Q1rC_5y>bNw`QB?0x-@RbC zKD7SGvp(v{n#tHzF8f z4Y0c41)|nFsh1)QNw00I=d!3FI%-W>cWShyJEm#N?M1d82k&+IA48Kku9|Eh+y3D< z0rU@%Z0fC4+Ea?h<0C0>sO>aA5m&~P)+r`g?xd^V30ic!HRWZ}oOSBNn#~1$Ty(o$ z{bxs?IS8Nm;iftkK;O~`WQx9zT#yixj0dE5Ond%718wT7!n zfKzuETxtF<+yZh$+%ap3xrU?k)>Ldu{1p)D`HFbP?@}w{;#DDQ3OcK`@79D#UHQ~i z$hfpEL2rM%T;zp$`52deY5tYY%4HqYgy|m_kZwen$1hOt~2t+}1pi*@1fI@{Nfv>Aot)G!Bc^pVyd; zwE@pl)gH+Yh%wn;V@W1!vG*#kQ>P;H*GJnp*1>oz;r|E~j#sMXV8#@zb3gf0Q2a2Z zE^%}I+r-R{1<)$a+zKok251U#`9B>H0aJr_!Y+EU4+BSG5wy z1iImIa2RwTLV{NXY1a4p>0^3&KasNeYL@aeG@@cp3Blvf4w%Vh!TuINW7A%NoO`0I+8<5-+Z3&JZj(Br8WwdRn{7dI=LhF!(kO7 z&Q%(Spt7iHP}8hWrn=N1oU4|)dg2e&{w0vbZZqZj-Fx{p(fLSjmhR>C^VOlSYxC1@ zZ9`owv_xuu&!!^jVJ#Xuf+NM!4;+bX^=(TzoFZPe@q+R=?;#KO8itT=E?NA)*n7w4 zN`vkFJGSjiGO=w=GRefoj&0kVI6Ik%ZQHhOb7Jh+&Xeys=dXC`SN*bI^ryP+ySjU= zbzMual$+59@L3z!2&?f~xxV@mRb7;pe#hHf(fD#ecaY=#6?>3L&epv-`+c*AXg%g1 znFHU$)9C9&XydnG{p-1cv&Q8DXTTMq5)|~FR>I00^Y*!|5{n}U6~Zv zu65WZJ zP31Lr<Xv9A4?AmW)0mF=&ZUdcsGzChmEY;+*l>HbH z<_%k&2&dkM`XnPiZ*AiZlQ|kAcP5^>YE-RWaqc{_?+y?3&-J3rTDaYYXH&A!@D6xs znX@z5tJVN3^DNwC!ENL+KKIvK^2ZDN{Plv``w^>?{O;YUrxnNF#T&LKpusMCP@bqZ zHTHs_Qo2Q*3}m3CK7+bm1nFemk+yzhQ>uB2W*T%MHW!Y0fghSX-4f5 z33aw=+w`;UV6?dLP?D=#OMRa1latwbZD3*JcT8sj5V3^_G(KRt+*Z7#pQFQuS1txS z@Lun(5irNrl8j~4KPXQ~IZVg*m>GsEhZDcrj@mfyTshnEg?9=ZEfA%`^`>djlo;05EVva>Im(XVKqTYON`yb`q$hQNTr`1(fR(1dZ9--Q|r|IMT zTYf)NlPe9IV8W|5hLZH?Ki{XElT7|bh8fzyv=(hWyR_a7iFsCK8rl&$*?#ON+|;|r zG~N@swh`G{&{RLpZJ8Evow^kE3#Dq2_}%dygzmDC7HcAh-e>CgHD0dVn7*io{d;ZR zR{XH;aY+YncE4S(huzft@M@$VKZ-EO{28i-OQf=8-P{GEN^Ea`T&a=t*kVvNn15=q z0?R%{f4OmTc5_dcFRz^J7C&}SDUsx^1W0u#uBh-_@jST9A_n;B=z}OGeRlueRJLzh zYLng6)SIRY8Y?{y3U)IqV2PIBNbra)Lw?Fs%h3w2{mZz4>$GSU>cQs&dm`PoGXjL! ztUTYk2JqQ-!XE_NSJT#`6vzWe-K66`3^VF(mg>j(`U`wwofb>${AP+etdjZ1nHh8s z$ViFxvz-09Z-hM#8LQiCeFp!GGineAg}JrYzJZF9lO-c^4F7Dqoe8(Tsf@E8gnj*b zCtX8(eXU$+Tb6g z2pnHSZqy`4F$^iPk4N=~QqI@F3zNpDnfV$5VX`m?t|K`!VGuwFU>}bOn_`I=%uhoi zy4?TFM~f9AVOe~QEHNs`5Iz&dW3fPqKnX)4Hhh`|vfw-xltjh<%n#)%!~AEhX|njh zAH-}lcex_@BKa&RsYqC-e?!}AP9Sk@IU_x zO=p_(e^6KdqyOLT?EMcU#IfXe-hZJJ+5Q9N@jv=p)c+Fw7v%qKxzKV-=L3XGsI*WD5`B^kl0 zY>LHE_o(<&i>+Da#HaM?$1^SPAmncz2ep+l&9;qFrGB>34ap>u^b7O0ifWw>tEkq9^k$c?s=S!)}<1+9|2?au3Sy58mi*3@R zuW%Z5FRVh0Kb2sqHnJi=kj(>m`>9xQ?QYJAA?K&*8~8CP*eh?1@DhE>D0ecndYv%a zeY+Xey+uJ~wrTc%lIH}-RsoEdJXKnEg%!Ly2lVqpy(S8OpMLdD$A6b-pG!05qW5J8 zQbX$i<65%LSwCKV4MQwC$Hh6ZE!X;9$EC%<6}s(Zb1YQo-=a?RAqt@XtSmlvjmrFY z*iE%~Pu2q6*qK$=BxGp8W4;b<2sn1Q$8sK3PiQ;^QHRQpDv55#cVkj!O1<1~=n=X7 z(ggj5><_gX6Q;Fpup+djsLD&e^2l}6$NOU~C zb4po$#j}$x9?i0dK(uw3jrxMLgO!A#{eD$Hjb-8<)RvxhiDOYzwgqT>c5NC8D>f4| zcse#SfG9pZp%vp@OPvj4*!&4G4|QWK+(->cptcr{{L9$FJ+&lGQ~OTRPUtyjZB%4! zc>x+1-KHj5Z^b3Xjf{Vz@(y%zv}KF4eBImnWgjoAbAjX*rz~P4L*8VY{6vm!E2imW zq6bA+Q*n1x#I#o*!V;nVTl_Hl<#IMmLBUikmoJ5D3n?~43Zb(s{`ZXIzgaJr5RD?1 zBJ;G%7RIuBhKAxnA*8tCyo#IenH8O0{I`g|ZP>KKCG2$?MNj-Qml=uo@wn#0JZ`&x zI)sF+hxQBUauR{=*Vd)?-8ShYL*183;O*PfxY0ZJnc-&#s~ z*9v8(0hJ5m`21G*rZmRjYc2pvqK#U*vt2f?lkBmpveQI?XWzYf0c5OEn?EY3UcnaXw3mPL%M+t#L|MoY9Kf)Wa@z}#%dUHvcr+?ivihePHDTS3 zRuHeJ-gTWmTNm)by15;16y{1loCrdD4q9cW#j`=HAVFoy&|r z2fG^V0k9sTe3KE68sGBoQtLg?)ciumY+sBZQEf9p8#V1*HO2{A?w@e1gf)GV)XT;ad8@csYDl+ zth~cl*qxY-5)#Z8u3alU(=XD#z`UI9C%d`gZdTrm%nZ_uM~k-KjJ#S>*P*D{q*DU* zRETAV))8xe-T1W=G{> zvx&4iyromPwhUMIJ4-hMIU<&{xC(EXDgle6fR8e#Ye zq&fu7!3R~BRD=(|wR-(DFHd=b^60d5O3qruMf6Sf7o~OaOt{Q6Fk4+9J2q1D%y?4l`xNp4d z7%6xW9`eWC=Xz9Mofd8O1y`Y9jye<(aAmnamFD&j=J((J*yhEJP)oLHuFm7T7B2uf%SJVztg}}5QyFi$klh1;k&HSIu^|FeHux>5sZ8e{fLV3Th>hrSuCJ6 z3ojzOfK`MIk$o&4=9M=e--6+v1qFq*LrILGq1qfCa);=eK;; zc-ETSUWbE8_I)2>m6zw-Zf}1-!R8c#!pJno;yPNybK1HfW7=>2%QDiBqU#p*!p>7A zQ=JMSXZ57Trr$JQQ3&;U#Jq2D?V;cOk_-Ex7A2wBwC%!;M3_^; zHEXA)H0r4a`6fvEOs_i&9f)|SgrtZ8EAb{=aUE;HrB2I@Lt23LUq355Llu|!@9d{` zfLW&AYP^7-;=4-8b_DWIR`-f~b+d?FkHbz6p3O2(wo4C&rk6g|MNP>x<{VDj?EQY* zF`OC$1P5qNw!a4i`9Vk_fFUTJ28qA+`8p<>5B2O~m!igfH+?fu-WYT10d)qDvz8@w zNc|v-1TpZN^m2)iTKepG??gfoPcqf^Ehnp#md_H01OY?#aj{Yj`#Il==BDwTVl-79 z>c|DeM7+z&Gc~`2V(I|7^caPNwu~E{(kOZ*#JXwpbo5Na^asQn*4aun+S&dUy&!fZ zw4x|#=e6vDPCIanOP^wqAQ1IX;#b3L_}YJd+>TrmX#%KrE(E{JwvxnC$zI^{i*%Ht z*V!F=rFMwGt?o9#{Q6HVxt-T~c$K8%xvS@C~0D^#N=5yB*^FlK{ zb?blgHG&ZYs+kx=*<3Uk-*0VA1%HJuOF0Gk^D82-{=C+2`{|<0;$MS#RuL8<&J*F4 z;Z=v8aX8UjARQJrzHaRx)^$Qqr^yR*I{m}pJl$SLO9raax;;xGwYb1!z4`&EQhl9$ zWUUB7#Ds1wagCQCmcJJof_&>oDmikv3_)A+5}GI|VydWuWOookXM4NFy~nnb*~Xuz=%WYLK!7~n@H7q>O15HrN(6Yd)IRbH1q$Gh&Q*S0*%qlh=eID3B&OGNn4 zc6XJ-qJnTKvCE(Os|q^{Pia?y*oY47l1w%^@9^>>HRe8)KeTb|gqiS>x05b{=U_EdM5w<#{1dT|olHPje}GfrG;K}WK89k> zpBbl(QrvzCZlXW`2fQJaoB6YqmFogBRY&sn?7S#f4i)@M6DpI*PmD`dZcx4))$p#H z0=}Fu?ThA3g4|JQ$Lf~VEjQS)3dFP+E4F0*Q55`f9EL5Y`}Yva)jwbFBC_P&nju*N zOX>|hQ7l%0Vx7*4MfJYS52$OOK`!0;5{#xO4GZNFkEgy;3A zXP_Ncd%Lx$b_SQ~W$i;%yXAc3yf)vF3T-jx)Zmu!!KhmMbYUOA2>5#&+FA+0qWGHy ze7xIoCp~FP+}G7{DQ@n$i}ddZ4uLrJUE)*}QGh(s&9<|};x?OA>#hD|l)&rIt97nw zX_Y+t0EIH927+o_`O79B5&+xKnM6Za4PGu8hzA8y2!F*#dY8-Gn6IDg`^Oo5r!<>S zA^g-(h*!p2;hja4pDof>Gf_!4T2nWgPl07rur&m6F}P{|j&n~=X zc!K%5?%^m?C1=fR7CrZVJF}0D$>zK!F0PuQXwRVLC0cxSjE-zRJ6vKHs@c)iBM@m| zqH<4@w!(loZ1q{eP#%~ht{+2U(0dAk0=nKUN4A=ft~i`59xXWIg%DVuDcV9V0t8QI ztBXj`3&pL$3J5h%6!{cf!i5Ix;Yy%Vvz>IYP@Gq5O0f_oOg_XU`z7+n4ax8%#@7-$ znj_Y}o1@Zc$cpbDK@vjaH!M5|eP3qn(g3Ei&`=Fs1J>#yy8^e7dlXQ}n{hn>kJmeb zRsj>s{4LHFr(9zK_=p?GU4A*Onw4tAkY&`lVsief<|6P(<&xlRCd=7Y+53fhR1exac_P-L`1VRVWR^naZ8VNhO7>`o`0)*56lu>8W+4 z)R1pwX;8e7DN%+S?VXSL<>#tucsRvx&fUe zJhC;jcbQ%_m0Puwm^~J9snssD7g;a8|HWj|&AJsWql8znlX2vi^uadEC8>`3yNL1B zZc$)ry=+9xphPOU@BIM8q*|8>pXRx#bc5hkOqi#A0zDB>Nq!Z^WpTR_@Y2is78lbT zR)*2(;TC)~lkJ~K@{lv%d`DZJcPHy7@9kiLN7*w8Ya!>n9b4s{{Z&sA37o`iRGFvP ztk#q_to1S!d*q%fI-Q)wYUYyU2`lb@RMS)nk(pdO>l@ec?%!bNFx}feg)Q1`3Wi#C zRBLmUpa&rKJO%Rdw>RY{CW}VEsR!>smLKQoXt{5GV4s&jS)XO&FAg&0EC2|Xrx7AgN!KfQu@=4#W zz%nChGt*q&gbYo%USFs?7&C|YC@IlJ!cM`D$crD&#O~@Y;v*{68{+sGczwFB$J-46 zq|()8FD1ch$vw+=cdHRBb#t?g|-w6GE1Bz_G(*12ZwO2fTv|p8JHyx^t z<(x1udOp96T%GsKi@9rrWz$%ra5odq6Vq%dFp~yMyK!JOR!5*{q)TFwcu2?(A{KJ0 zY~&py_=dxhe^Y%d8ulLz%kUl4nS+YkdhR0UGvoGy>Pl*eZq|Tg2Fbh$@AdN!bnDb` z+9#hHP&i|x9P`bTN2e16mLN}NX}a7>81P%RzeipII#6?*~BOJBzdFj)-2wqOSj zmG9)V;L|5yjMVu&t12!2iHYuIa*{_CZh(T`1@NmV7@AHW%)?%Xv+>|i2nn-K#k82Y z#g|*z`E-#ez7P6TXxhW8cqYPW;VMG*)+@3N94;KaVwB5<*jld~PjwUiMu_fBvEh_k#R@Vnjh9Xl z*E@*Y6VpFQ#_x0|d!p&!>Fw76Tk92Nwu`o7;sv$T1b^?wtaA{>w8FPz9~|ZPA}BH(YrS^Tv+h!gPW~Y|B$Z37F3DY;aDDnWATr(qIwD&fUtYK z2#pacqRd|pYifM19y+y?&8q^xOTB}9%G0pn6L>qvFuiXB+a7|CagC@e*o!buzR;wu zsi8tF@{PWe7X!m%BK99c*iqLY*Y3Fg{S=-`O)lQvt$jvlIhH=dOue$M-OAqZFe~>l zq?9{NC>iGaJFT&<2(>(4+jZQhX^>oL*xcAU6$d zPIp`ftx4dpj&~fCt6KPw-DkavEr0XpusI9f{ZQUB!JdtZNN!&7j2^6YraYC*=OO&w z!BDH`jlOQsu{_P#vx=2Zi#1t1AuQV8GvAN>qra?!%##2vV?z65X3gJCUo{F&l%|9`hdEz>?w5oDM#