diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws deleted file mode 100644 index 6c79ab4..0000000 Binary files a/cpld/GR8RAM.qws and /dev/null differ diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v index 839b3d0..f0e5eb4 100644 --- a/cpld/GR8RAM.v +++ b/cpld/GR8RAM.v @@ -1,8 +1,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, - RAdir, INTin, INTout, DMAin, DMAout, nDMAout, - nNMIout, nIRQout, nRDYout, nINHout, RWout, - nIOSEL, nDEVSEL, nIOSTRB, - RA, nWE, RD, RDdir, + INTin, INTout, DMAin, DMAout, + nNMIout, nIRQout, nRDYout, nINHout, RWout, nDMAout, + RA, nWE, RD, RAdir, RDdir, nIOSEL, nDEVSEL, nIOSTRB, SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, nFCS, FCK, MISO, MOSI); @@ -54,9 +53,9 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, input [15:0] RA; input nWE; /* Apple select signals */ - wire ROMSpecRD = RA[15:12]==4'hC && RA[11:8]!=4'h0 && nWE; - wire BankSpecSEL = RA[3:0]==4'hF; + wire ROMSpecRD = RA[15:12]==4'hC && RA[11:8]!=4'h0 && nWE && ((RA[11] && IOROMEN) || (~RA[11])); wire REGSpecSEL = RA[15:12]==4'hC && RA[11:8]==4'h0 && RA[7] && REGEN; + wire BankSpecSEL = REGSpecSEL && RA[3:0]==4'hF; wire RAMSpecSEL = REGSpecSEL && RA[3:0]==4'h3; wire AddrHSpecSEL = REGSpecSEL && RA[3:0]==4'h2; wire AddrMSpecSEL = REGSpecSEL && RA[3:0]==4'h1; @@ -342,21 +341,21 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nSWE <= 1'b1; SDOE <= 0; end 1: begin // ACT CKE / NOP CKD (ACT) - RCKE <= (ROMSpecRDr || RAMSpecSELr) && nWEr && IS==7; - nRCS <= ~(IS==6 || ((ROMSpecRDr || RAMSpecSELr) && IS==7)); + RCKE <= IS==6 || (IS==7 && (ROMSpecRDr || RAMSpecSELr)); + nRCS <= ~(IS==6 || (IS==7 && (ROMSpecRDr || RAMSpecSELr))); nRAS <= 1'b0; nCAS <= 1'b1; nSWE <= 1'b1; SDOE <= 0; end 2: begin // RD CKE / NOP CKD (RD) - RCKE <= (ROMSpecRDr || RAMSpecSELr) && nWEr && IS==7; - nRCS <= ~((ROMSpecRDr || RAMSpecSELr) && nWEr && IS==7); + RCKE <= IS==7 && nWEr && (ROMSpecRDr || RAMSpecSELr); + nRCS <= ~(IS==7 && nWEr && (ROMSpecRDr || RAMSpecSELr)); nRAS <= 1'b1; nCAS <= 1'b0; nSWE <= 1'b1; SDOE <= 0; end 3: begin // NOP CKE / CKD - RCKE <= (ROMSpecRDr || RAMSpecSELr) && nWEr && IS==7; + RCKE <= IS==7 && nWEr && (ROMSpecRDr || RAMSpecSELr); nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; @@ -405,7 +404,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nSWE <= 1'b1; SDOE <= 0; end 10: begin // PC all CKE / PC all CKD - RCKE <= 1'b1; + RCKE <= IS==1 || IS==4 || IS==5 || IS==6 || (IS==7 && RefReqd); nRCS <= 1'b0; nRAS <= 1'b0; nCAS <= 1'b1; @@ -419,7 +418,7 @@ module GR8RAM(C25M, PHI0, nRES, nRESout, SetFW, nSWE <= ~(IS==1); SDOE <= 0; end default: begin // NOP CKD - RCKE <= IS==1; + RCKE <= 1'b0; nRCS <= 1'b1; nRAS <= 1'b1; nCAS <= 1'b1; diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb index 6614a7b..20bb6f9 100755 Binary files a/cpld/db/GR8RAM.(0).cnf.cdb and b/cpld/db/GR8RAM.(0).cnf.cdb differ diff --git a/cpld/db/GR8RAM.(0).cnf.hdb b/cpld/db/GR8RAM.(0).cnf.hdb index 3dfaa40..8837f5f 100755 Binary files a/cpld/db/GR8RAM.(0).cnf.hdb and b/cpld/db/GR8RAM.(0).cnf.hdb differ diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index ed9cb89..aefe606 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739752654 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739752654 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:55:52 2021 " "Processing started: Sun Apr 18 05:55:52 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739752654 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618739752654 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618739752654 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618739752854 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618739752854 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739752994 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:52 2021 " "Processing ended: Sun Apr 18 05:55:52 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739752994 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739752994 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739752994 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618739752994 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741636703 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741636718 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:27:16 2021 " "Processing started: Sun Apr 18 06:27:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741636718 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618741636718 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618741636718 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618741637999 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618741638015 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741638531 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:18 2021 " "Processing ended: Sun Apr 18 06:27:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741638531 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741638531 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741638531 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618741638531 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index c9a5974..7360c69 100755 Binary files a/cpld/db/GR8RAM.asm.rdb and b/cpld/db/GR8RAM.asm.rdb differ diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb index e2fdcce..5ee7720 100755 Binary files a/cpld/db/GR8RAM.asm_labs.ddb and b/cpld/db/GR8RAM.asm_labs.ddb differ diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index 83229eb..08c8459 100755 Binary files a/cpld/db/GR8RAM.cmp.cdb and b/cpld/db/GR8RAM.cmp.cdb differ diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 9e1cc63..aa22cae 100755 Binary files a/cpld/db/GR8RAM.cmp.hdb and b/cpld/db/GR8RAM.cmp.hdb differ diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index de62ad4..267102c 100755 Binary files a/cpld/db/GR8RAM.cmp.idb and b/cpld/db/GR8RAM.cmp.idb differ diff --git a/cpld/db/GR8RAM.cmp.kpt b/cpld/db/GR8RAM.cmp.kpt index 2f27ca1..9603332 100755 Binary files a/cpld/db/GR8RAM.cmp.kpt and b/cpld/db/GR8RAM.cmp.kpt differ diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index f2585de..de1cac3 100755 Binary files a/cpld/db/GR8RAM.cmp.rdb and b/cpld/db/GR8RAM.cmp.rdb differ diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index f7d8629..411b0b6 100755 Binary files a/cpld/db/GR8RAM.cmp0.ddb and b/cpld/db/GR8RAM.cmp0.ddb differ diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index d0885e9..6154700 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Sun Apr 18 03:04:53 2021 +Creation_Time = Sun Apr 18 06:17:35 2021 diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index bfbad3b..6bd934d 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,39 +1,39 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618739749924 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618739749924 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739749974 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739749974 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618739750014 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618739750024 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618739750114 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618739750204 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739750214 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739750214 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739750214 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739750224 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739750224 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 367 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618739750234 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618739750264 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618739750264 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618739750264 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618739750264 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739750314 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618739750384 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739750554 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618739750564 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618739751074 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751074 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618739751104 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618739751304 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618739751304 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751664 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.22 " "Total time spent on timing analysis during the Fitter is 0.22 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618739751674 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751674 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618739751714 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618739751754 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "545 " "Peak virtual memory: 545 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:51 2021 " "Processing ended: Sun Apr 18 05:55:51 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618739751784 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618741628453 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618741628468 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741628671 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741628671 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618741628984 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618741629015 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618741629343 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618741629531 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618741629531 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618741629562 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618741629562 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618741629562 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741629562 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741629578 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741629578 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741629625 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741629625 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 368 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618741629640 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618741629703 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618741629781 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618741629781 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618741629781 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618741629781 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741629828 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618741630000 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741630359 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618741630375 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618741632140 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741632140 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618741632203 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "33 " "Router estimated average interconnect usage is 33% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "33 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618741632718 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618741632718 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741633390 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.75 " "Total time spent on timing analysis during the Fitter is 0.75 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618741633406 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741633406 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618741633453 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618741633843 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741634140 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:14 2021 " "Processing ended: Sun Apr 18 06:27:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741634140 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741634140 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741634140 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618741634140 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 3894490..a69869f 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -125,7 +125,7 @@ nDEVSEL => comb.IN1 nIOSTRB => comb.IN1 nIOSTRB => always5.IN1 RA[0] => DQML.DATAA -RA[0] => Equal6.IN3 +RA[0] => Equal8.IN3 RA[0] => Equal9.IN1 RA[0] => Equal10.IN3 RA[0] => Equal11.IN0 @@ -133,21 +133,21 @@ RA[0] => Equal12.IN3 RA[0] => Equal13.IN10 RA[0] => DQMH.DATAA RA[1] => SA.DATAA -RA[1] => Equal6.IN2 +RA[1] => Equal8.IN2 RA[1] => Equal9.IN0 RA[1] => Equal10.IN0 RA[1] => Equal11.IN3 RA[1] => Equal12.IN2 RA[1] => Equal13.IN9 RA[2] => SA.DATAA -RA[2] => Equal6.IN1 +RA[2] => Equal8.IN1 RA[2] => Equal9.IN3 RA[2] => Equal10.IN2 RA[2] => Equal11.IN2 RA[2] => Equal12.IN1 RA[2] => Equal13.IN8 RA[3] => SA.DATAA -RA[3] => Equal6.IN0 +RA[3] => Equal8.IN0 RA[3] => Equal9.IN2 RA[3] => Equal10.IN1 RA[3] => Equal11.IN1 @@ -163,21 +163,23 @@ RA[7] => comb.IN1 RA[7] => SA.DATAA RA[7] => Equal13.IN3 RA[8] => SA.DATAA -RA[8] => Equal8.IN3 +RA[8] => Equal7.IN3 RA[8] => Equal13.IN2 RA[9] => SA.DATAA -RA[9] => Equal8.IN2 +RA[9] => Equal7.IN2 RA[9] => Equal13.IN1 RA[10] => SA.DATAA -RA[10] => Equal8.IN1 +RA[10] => Equal7.IN1 RA[10] => Equal13.IN0 +RA[11] => comb.IN1 RA[11] => SA.DATAA -RA[11] => Equal8.IN0 -RA[12] => Equal7.IN3 -RA[13] => Equal7.IN2 -RA[14] => Equal7.IN1 -RA[15] => Equal7.IN0 -nWE => ROMSpecRD.IN1 +RA[11] => comb.IN1 +RA[11] => Equal7.IN0 +RA[12] => Equal6.IN3 +RA[13] => Equal6.IN2 +RA[14] => Equal6.IN1 +RA[15] => Equal6.IN0 +nWE => comb.IN1 nWE => comb.IN1 nWE => nWEr.DATAIN RD[0] <> RD[0] diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 84ea20b..0b0d4e5 100755 Binary files a/cpld/db/GR8RAM.hif and b/cpld/db/GR8RAM.hif differ diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index fa2304d..6ff9cf3 100755 Binary files a/cpld/db/GR8RAM.ipinfo and b/cpld/db/GR8RAM.ipinfo differ diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index adf8589..f46ce48 100755 Binary files a/cpld/db/GR8RAM.lpc.rdb and b/cpld/db/GR8RAM.lpc.rdb differ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 55cc1fe..cb8354c 100755 Binary files a/cpld/db/GR8RAM.map.cdb and b/cpld/db/GR8RAM.map.cdb differ diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index b951885..ba5d00f 100755 Binary files a/cpld/db/GR8RAM.map.hdb and b/cpld/db/GR8RAM.map.hdb differ diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg index 38cee4d..3d13ed4 100755 --- a/cpld/db/GR8RAM.map.qmsg +++ b/cpld/db/GR8RAM.map.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739747817 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:55:47 2021 " "Processing started: Sun Apr 18 05:55:47 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739747817 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739748057 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739748097 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(274) " "Verilog HDL warning at GR8RAM.v(274): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 274 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739748097 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618739748097 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618739748097 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618739748127 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetRF GR8RAM.v(269) " "Verilog HDL or VHDL warning at GR8RAM.v(269): object \"SetRF\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim1M GR8RAM.v(270) " "Verilog HDL or VHDL warning at GR8RAM.v(270): object \"SetLim1M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 270 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim8M GR8RAM.v(271) " "Verilog HDL or VHDL warning at GR8RAM.v(271): object \"SetLim8M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 271 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748127 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(325) " "Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 325 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739748137 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618739748487 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739748597 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618739748597 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618739748817 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739748827 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739748827 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618739748827 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "306 " "Implemented 306 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618739748827 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618739748827 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618739748827 ""} { "Info" "ICUT_CUT_TM_LCELLS" "226 " "Implemented 226 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618739748827 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618739748827 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618739748857 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 20 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739748877 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:48 2021 " "Processing ended: Sun Apr 18 05:55:48 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739748877 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741619843 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741619843 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:26:59 2021 " "Processing started: Sun Apr 18 06:26:59 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741619843 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741619843 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741619843 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741621546 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618741621734 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(274) " "Verilog HDL warning at GR8RAM.v(274): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 274 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618741621734 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618741621749 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618741621749 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618741621859 ""} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetRF GR8RAM.v(269) " "Verilog HDL or VHDL warning at GR8RAM.v(269): object \"SetRF\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741621874 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim1M GR8RAM.v(270) " "Verilog HDL or VHDL warning at GR8RAM.v(270): object \"SetLim1M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 270 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741621874 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim8M GR8RAM.v(271) " "Verilog HDL or VHDL warning at GR8RAM.v(271): object \"SetLim8M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 271 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741621874 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741621874 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741621874 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741621874 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741621874 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(325) " "Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 325 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741621890 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618741623234 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741623562 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741623562 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741623562 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741623562 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741623562 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741623562 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741623562 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618741623562 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618741624078 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618741624109 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618741624109 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618741624109 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "308 " "Implemented 308 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618741624109 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618741624109 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618741624109 ""} { "Info" "ICUT_CUT_TM_LCELLS" "228 " "Implemented 228 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618741624109 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618741624109 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618741624343 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 19 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741624500 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:04 2021 " "Processing ended: Sun Apr 18 06:27:04 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741624500 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741624500 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741624500 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741624500 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb index 1b23c9b..5c4fec3 100755 Binary files a/cpld/db/GR8RAM.map.rdb and b/cpld/db/GR8RAM.map.rdb differ diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb index 5415eab..1c0005d 100755 Binary files a/cpld/db/GR8RAM.pre_map.hdb and b/cpld/db/GR8RAM.pre_map.hdb differ diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb index 89aa9b4..61ca8da 100755 Binary files a/cpld/db/GR8RAM.pti_db_list.ddb and b/cpld/db/GR8RAM.pti_db_list.ddb differ diff --git a/cpld/db/GR8RAM.quiproj.1680.rdr.flock b/cpld/db/GR8RAM.quiproj.3044.rdr.flock similarity index 100% rename from cpld/db/GR8RAM.quiproj.1680.rdr.flock rename to cpld/db/GR8RAM.quiproj.3044.rdr.flock diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 2594dc9..f54bb14 100755 Binary files a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb and b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb differ diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index d150b82..42275c3 100755 Binary files a/cpld/db/GR8RAM.routing.rdb and b/cpld/db/GR8RAM.routing.rdb differ diff --git a/cpld/db/GR8RAM.rtlv.hdb b/cpld/db/GR8RAM.rtlv.hdb index 409f7ec..948b74a 100755 Binary files a/cpld/db/GR8RAM.rtlv.hdb and b/cpld/db/GR8RAM.rtlv.hdb differ diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index a1997f0..331a4da 100755 Binary files a/cpld/db/GR8RAM.rtlv_sg.cdb and b/cpld/db/GR8RAM.rtlv_sg.cdb differ diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index bf4c983..e6e4232 100755 Binary files a/cpld/db/GR8RAM.rtlv_sg_swap.cdb and b/cpld/db/GR8RAM.rtlv_sg_swap.cdb differ diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index dbec63e..00eb44a 100755 Binary files a/cpld/db/GR8RAM.sgdiff.cdb and b/cpld/db/GR8RAM.sgdiff.cdb differ diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index 3aa204c..f3fcdcc 100755 Binary files a/cpld/db/GR8RAM.sgdiff.hdb and b/cpld/db/GR8RAM.sgdiff.hdb differ diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci index 1d6d60f..754b594 100755 Binary files a/cpld/db/GR8RAM.sld_design_entry.sci and b/cpld/db/GR8RAM.sld_design_entry.sci differ diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 1d6d60f..754b594 100755 Binary files a/cpld/db/GR8RAM.sld_design_entry_dsc.sci and b/cpld/db/GR8RAM.sld_design_entry_dsc.sci differ diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 34bd00c..cfd60b5 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739753974 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:55:53 2021 " "Processing started: Sun Apr 18 05:55:53 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618739754034 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739754144 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739754194 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739754194 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618739754234 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618739754544 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618739754584 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618739754584 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618739754594 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.431 " "Worst-case setup slack is -9.431" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.431 -683.489 C25M " " -9.431 -683.489 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.421 -1.421 PHI0 " " -1.421 -1.421 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.384 " "Worst-case hold slack is 1.384" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.384 0.000 C25M " " 1.384 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.867 0.000 PHI0 " " 1.867 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.406 " "Worst-case recovery slack is -4.406" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.406 -132.180 C25M " " -4.406 -132.180 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.852 " "Worst-case removal slack is 4.852" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.852 0.000 C25M " " 4.852 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618739754644 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739754654 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739754654 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:54 2021 " "Processing ended: Sun Apr 18 05:55:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741641484 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:27:20 2021 " "Processing started: Sun Apr 18 06:27:20 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618741641703 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741642546 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741642749 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741642749 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618741642937 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618741643562 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618741643749 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618741643781 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618741643874 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.035 " "Worst-case setup slack is -9.035" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.035 -651.992 C25M " " -9.035 -651.992 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.356 0.000 PHI0 " " 0.356 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.263 " "Worst-case hold slack is -0.263" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.263 -0.263 PHI0 " " -0.263 -0.263 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.391 0.000 C25M " " 1.391 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.406 " "Worst-case recovery slack is -4.406" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.406 -132.180 C25M " " -4.406 -132.180 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.852 " "Worst-case removal slack is 4.852" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.852 0.000 C25M " " 4.852 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618741644156 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741644312 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741644312 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "276 " "Peak virtual memory: 276 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:24 2021 " "Processing ended: Sun Apr 18 06:27:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index e2eaba9..e73b492 100755 Binary files a/cpld/db/GR8RAM.sta.rdb and b/cpld/db/GR8RAM.sta.rdb differ diff --git a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb index 4c29540..f3715cc 100755 Binary files a/cpld/db/GR8RAM.sta_cmp.5_slow.tdb and b/cpld/db/GR8RAM.sta_cmp.5_slow.tdb differ diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb index 91bbe10..42a925d 100755 Binary files a/cpld/db/GR8RAM.tis_db_list.ddb and b/cpld/db/GR8RAM.tis_db_list.ddb differ diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index 82e64e5..ce5a0ae 100755 Binary files a/cpld/db/GR8RAM.vpr.ammdb and b/cpld/db/GR8RAM.vpr.ammdb differ diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat index 5df7f72..5c5d8ec 100755 Binary files a/cpld/db/logic_util_heursitic.dat and b/cpld/db/logic_util_heursitic.dat differ diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 945e82f..483f3d7 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,99 +1,99 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739560494 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:40 2021 " "Processing started: Sun Apr 18 05:52:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739560494 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739560724 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739560774 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(274) " "Verilog HDL warning at GR8RAM.v(274): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 274 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618739560774 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618739560774 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618739560774 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618739560804 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetRF GR8RAM.v(269) " "Verilog HDL or VHDL warning at GR8RAM.v(269): object \"SetRF\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim1M GR8RAM.v(270) " "Verilog HDL or VHDL warning at GR8RAM.v(270): object \"SetLim1M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 270 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim8M GR8RAM.v(271) " "Verilog HDL or VHDL warning at GR8RAM.v(271): object \"SetLim8M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 271 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560804 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560814 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(325) " "Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 325 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618739560814 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618739561164 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618739561274 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618739561274 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618739561494 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739561504 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618739561504 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618739561504 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "308 " "Implemented 308 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618739561504 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618739561504 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618739561504 ""} { "Info" "ICUT_CUT_TM_LCELLS" "228 " "Implemented 228 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618739561504 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618739561504 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618739561534 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 20 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 20 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "421 " "Peak virtual memory: 421 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739561554 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:41 2021 " "Processing ended: Sun Apr 18 05:52:41 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739561554 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739562534 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562534 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:42 2021 " "Processing started: Sun Apr 18 05:52:42 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739562534 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618739562534 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618739562534 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618739562594 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618739562594 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618739562604 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618739562634 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618739562644 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739562684 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739562684 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618739562724 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618739562734 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739562824 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618739562824 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618739562904 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618739562904 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618739562914 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618739562914 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739562914 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618739562914 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739562914 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739562914 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739562914 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739562924 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739562924 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 367 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618739562924 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739562924 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618739562924 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618739562944 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618739562964 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618739562964 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618739562964 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618739562964 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739563014 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618739563084 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739563264 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618739563274 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618739563754 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739563754 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618739563784 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618739563994 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618739563994 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739564304 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.19 " "Total time spent on timing analysis during the Fitter is 0.19 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618739564314 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739564314 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618739564354 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618739564394 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "546 " "Peak virtual memory: 546 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739564424 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:44 2021 " "Processing ended: Sun Apr 18 05:52:44 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739564424 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739564424 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739564424 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618739564424 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618739565276 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739565276 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:45 2021 " "Processing started: Sun Apr 18 05:52:45 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739565276 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618739565276 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618739565276 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618739565478 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618739565478 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "381 " "Peak virtual memory: 381 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739565618 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:45 2021 " "Processing ended: Sun Apr 18 05:52:45 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739565618 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739565618 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739565618 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618739565618 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618739566178 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618739566556 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:52:46 2021 " "Processing started: Sun Apr 18 05:52:46 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739566556 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618739566616 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739566731 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739566776 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739566776 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618739566821 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618739567110 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618739567150 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567150 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618739567150 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618739567160 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.149 " "Worst-case setup slack is -9.149" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.149 -686.968 C25M " " -9.149 -686.968 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.511 0.000 PHI0 " " 0.511 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.065 " "Worst-case hold slack is -0.065" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.065 -0.065 PHI0 " " -0.065 -0.065 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.402 0.000 C25M " " 1.402 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.389 " "Worst-case recovery slack is -4.389" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.389 -131.670 C25M " " -4.389 -131.670 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.835 " "Worst-case removal slack is 4.835" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.835 0.000 C25M " " 4.835 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739567170 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618739567220 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739567230 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739567230 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739567260 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:52:47 2021 " "Processing ended: Sun Apr 18 05:52:47 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739567260 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 27 s " "Quartus II Full Compilation was successful. 0 errors, 27 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739567855 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741509217 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:08 2021 " "Processing started: Sun Apr 18 06:25:08 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741510935 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618741511107 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(274) " "Verilog HDL warning at GR8RAM.v(274): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 274 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618741511107 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618741511123 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618741511123 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618741511232 ""} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetRF GR8RAM.v(269) " "Verilog HDL or VHDL warning at GR8RAM.v(269): object \"SetRF\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim1M GR8RAM.v(270) " "Verilog HDL or VHDL warning at GR8RAM.v(270): object \"SetLim1M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 270 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim8M GR8RAM.v(271) " "Verilog HDL or VHDL warning at GR8RAM.v(271): object \"SetLim8M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 271 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(325) " "Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 325 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618741512795 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618741513123 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618741513842 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618741513889 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618741513889 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618741513889 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "309 " "Implemented 309 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618741513889 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618741513889 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618741513889 ""} { "Info" "ICUT_CUT_TM_LCELLS" "229 " "Implemented 229 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618741513889 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618741513889 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618741514092 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 19 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741514217 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:14 2021 " "Processing ended: Sun Apr 18 06:25:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741517420 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741517435 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:15 2021 " "Processing started: Sun Apr 18 06:25:15 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741517435 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618741517435 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618741517435 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618741517654 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618741517654 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618741517654 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618741518217 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618741518232 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741518420 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741518420 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618741518764 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618741518795 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618741519123 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618741519264 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618741519264 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618741519279 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618741519279 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618741519279 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741519279 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741519279 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741519295 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741519326 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741519326 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741519326 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741519326 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 367 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618741519326 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741519326 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741519342 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618741519342 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618741519373 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618741519435 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618741519451 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618741519451 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618741519451 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741519514 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618741519748 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741520139 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618741520154 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618741521451 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741521451 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618741521529 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "31 " "Router estimated average interconnect usage is 31% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "31 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618741521982 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618741521982 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741522592 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.55 " "Total time spent on timing analysis during the Fitter is 0.55 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618741522607 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741522607 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618741522639 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618741522904 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "372 " "Peak virtual memory: 372 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741523139 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:23 2021 " "Processing ended: Sun Apr 18 06:25:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741523139 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741523139 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741523139 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618741523139 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618741526014 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741526014 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:25 2021 " "Processing started: Sun Apr 18 06:25:25 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741526014 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618741526014 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618741526014 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618741527170 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618741527201 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741527732 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:27 2021 " "Processing ended: Sun Apr 18 06:25:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741527732 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741527732 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741527732 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618741527732 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618741528467 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618741530717 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741530717 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:29 2021 " "Processing started: Sun Apr 18 06:25:29 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741530717 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741530717 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741530732 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618741530920 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741531904 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741532138 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741532138 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618741532342 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618741532920 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618741533045 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618741533076 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618741533201 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.001 " "Worst-case setup slack is -9.001" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.001 -621.699 C25M " " -9.001 -621.699 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.279 0.000 PHI0 " " 0.279 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.567 " "Worst-case hold slack is -0.567" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.567 -0.567 PHI0 " " -0.567 -0.567 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.390 0.000 C25M " " 1.390 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -5.009 " "Worst-case recovery slack is -5.009" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.009 -150.270 C25M " " -5.009 -150.270 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 5.455 " "Worst-case removal slack is 5.455" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.455 0.000 C25M " " 5.455 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618741533607 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741533717 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741533717 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "283 " "Peak virtual memory: 283 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741533967 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:33 2021 " "Processing ended: Sun Apr 18 06:25:33 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 24 s " "Quartus II Full Compilation was successful. 0 errors, 24 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741535451 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 18e82ce..67e161c 100755 Binary files a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt and b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt differ diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index f10fb9f..a62ea71 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,6 +1,6 @@ Assembler report for GR8RAM -Sun Apr 18 05:55:52 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:18 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -10,7 +10,7 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof + 5. Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof 6. Assembler Messages @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Apr 18 05:55:52 2021 ; +; Assembler Status ; Successful - Sun Apr 18 06:27:18 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -75,40 +75,40 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+-----------+---------------+ -+-------------------------------------------------------------------+ -; Assembler Generated Files ; -+-------------------------------------------------------------------+ -; File Name ; -+-------------------------------------------------------------------+ -; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+-------------------------------------------------------------------+ ++----------------------------------------------+ +; Assembler Generated Files ; ++----------------------------------------------+ +; File Name ; ++----------------------------------------------+ +; Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; ++----------------------------------------------+ -+---------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------+----------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+----------------------------------------------------------------------------+ -; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00164957 ; -; Checksum ; 0x00164C57 ; -+----------------+----------------------------------------------------------------------------+ ++------------------------------------------------------------------------+ +; Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; ++----------------+-------------------------------------------------------+ +; Option ; Setting ; ++----------------+-------------------------------------------------------+ +; Device ; EPM240T100C5 ; +; JTAG usercode ; 0x00164904 ; +; Checksum ; 0x00164E04 ; ++----------------+-------------------------------------------------------+ +--------------------+ ; Assembler Messages ; +--------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler +Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 05:55:52 2021 + Info: Processing started: Sun Apr 18 06:27:16 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 381 megabytes - Info: Processing ended: Sun Apr 18 05:55:52 2021 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 +Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 293 megabytes + Info: Processing ended: Sun Apr 18 06:27:18 2021 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf index 1622d9c..c77993e 100644 --- a/cpld/output_files/GR8RAM.cdf +++ b/cpld/output_files/GR8RAM.cdf @@ -1,10 +1,10 @@ -/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +/* Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); P ActionCode(Cfg) - Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(3) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); + Device PartName(EPM240T100) Path("Z:/Repos/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(3) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); ChainEnd; diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 3e3e291..3476d7f 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Sun Apr 18 05:55:55 2021 +Sun Apr 18 06:27:25 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index cf490b5..f0cf313 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,6 +1,6 @@ Fitter report for GR8RAM -Sun Apr 18 05:55:51 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:13 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -30,8 +30,10 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 22. LAB Signals Sourced Out 23. LAB Distinct Inputs 24. Fitter Device Options - 25. Fitter Messages - 26. Fitter Suppressed Messages + 25. Estimated Delay Added for Hold Timing Summary + 26. Estimated Delay Added for Hold Timing Details + 27. Fitter Messages + 28. Fitter Suppressed Messages @@ -57,14 +59,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Sun Apr 18 05:55:51 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Fitter Status ; Successful - Sun Apr 18 06:27:13 2021 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 220 / 240 ( 92 % ) ; +; Total logic elements ; 222 / 240 ( 93 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -120,21 +122,27 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.20 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 20.0% ; ++----------------------------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pin. +The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +------------------------------------------------------------------+ @@ -142,20 +150,20 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 220 / 240 ( 92 % ) ; -; -- Combinational with no register ; 117 ; +; Total logic elements ; 222 / 240 ( 93 % ) ; +; -- Combinational with no register ; 119 ; ; -- Register only ; 1 ; ; -- Combinational with a register ; 102 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 114 ; -; -- 3 input functions ; 46 ; -; -- 2 input functions ; 59 ; +; -- 4 input functions ; 122 ; +; -- 3 input functions ; 37 ; +; -- 2 input functions ; 62 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 187 ; +; -- normal mode ; 189 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 3 ; ; -- register cascade mode ; 0 ; @@ -173,12 +181,12 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 44% / 49% / 37% ; -; Peak interconnect usage (total/H/V) ; 44% / 49% / 37% ; +; Average interconnect usage (total/H/V) ; 41% / 46% / 36% ; +; Peak interconnect usage (total/H/V) ; 41% / 46% / 36% ; ; Maximum fan-out ; 100 ; -; Highest non-global fan-out ; 55 ; -; Total fan-out ; 1024 ; -; Average fan-out ; 3.41 ; +; Highest non-global fan-out ; 48 ; +; Total fan-out ; 1035 ; +; Average fan-out ; 3.43 ; +---------------------------------------------+--------------------+ @@ -194,14 +202,14 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -247,7 +255,7 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -257,7 +265,7 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -268,19 +276,19 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; MOSIOE ; - ; ; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; comb~1 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -426,7 +434,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 220 (220) ; 103 ; 0 ; 80 ; 0 ; 117 (117) ; 1 (1) ; 102 (102) ; 37 (37) ; 5 (5) ; |GR8RAM ; work ; +; |GR8RAM ; 222 (222) ; 103 ; 0 ; 80 ; 0 ; 119 (119) ; 1 (1) ; 102 (102) ; 37 (37) ; 5 (5) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -525,18 +533,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ; C25M ; PIN_64 ; 100 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X5_Y4_N7 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal0~0 ; LC_X7_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X2_Y3_N6 ; 2 ; Output enable ; no ; -- ; -- ; -; MOSIOE ; LC_X7_Y2_N6 ; 1 ; Output enable ; no ; -- ; -- ; +; Decoder1~0 ; LC_X4_Y1_N2 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal0~0 ; LC_X5_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y4_N8 ; 2 ; Output enable ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y4_N9 ; 1 ; Output enable ; no ; -- ; -- ; ; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X3_Y3_N9 ; 54 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X3_Y3_N5 ; 26 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X6_Y2_N2 ; 8 ; Output enable ; no ; -- ; -- ; -; always6~4 ; LC_X3_Y1_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always6~5 ; LC_X3_Y1_N3 ; 9 ; Sync. load ; no ; -- ; -- ; -; always6~6 ; LC_X3_Y1_N7 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X2_Y3_N7 ; 9 ; Output enable ; no ; -- ; -- ; +; PS[0] ; LC_X6_Y2_N8 ; 47 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X6_Y2_N6 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X5_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; +; always6~2 ; LC_X5_Y4_N7 ; 8 ; Sync. load ; no ; -- ; -- ; +; always6~3 ; LC_X4_Y4_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; always6~4 ; LC_X5_Y4_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X5_Y2_N3 ; 9 ; Output enable ; no ; -- ; -- ; ; nRESr ; LC_X2_Y3_N3 ; 30 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ @@ -557,41 +565,43 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------+-----------+ ; Name ; Fan-Out ; +---------------------+-----------+ -; PS[0] ; 55 ; +; PS[0] ; 48 ; ; PS[1] ; 32 ; -; PS[2] ; 26 ; -; PS[3] ; 26 ; -; IS.state_bit_0 ; 20 ; +; PS[3] ; 29 ; +; PS[2] ; 27 ; +; IS.state_bit_0 ; 21 ; ; Equal0~0 ; 19 ; -; IS.110~0 ; 17 ; -; IS.state_bit_1 ; 17 ; +; RAMSpecSELr ; 19 ; +; IS.state_bit_1 ; 18 ; +; IS.110~0 ; 16 ; ; LS[0] ; 13 ; -; RDD[1]~0 ; 12 ; +; RDD[1]~18 ; 12 ; ; AddrMSpecSEL ; 12 ; -; RAMSpecSELr ; 10 ; -; always6~6 ; 9 ; -; always6~5 ; 9 ; -; Mux15~0 ; 9 ; +; always6~4 ; 9 ; +; always6~3 ; 9 ; ; comb~1 ; 9 ; ; RA[0] ; 8 ; ; Decoder1~0 ; 8 ; ; SDOE ; 8 ; -; always6~4 ; 8 ; +; always6~2 ; 8 ; ; IS.state_bit_2 ; 8 ; +; SA[2]~8 ; 8 ; ; LS[2] ; 8 ; ; RA[1] ; 7 ; -; SA[8]~15 ; 7 ; -; SA[8]~10 ; 7 ; -; Equal16~0 ; 7 ; ; RD[7]~7 ; 6 ; -; SA[8]~16 ; 6 ; +; SA[8]~15 ; 6 ; +; SA[8]~10 ; 6 ; +; SA[8]~9 ; 6 ; ; LS[1] ; 6 ; -; Mux14~6 ; 6 ; +; Equal16~0 ; 6 ; ; RD[0]~0 ; 5 ; +; RA[3] ; 5 ; +; RA[2] ; 5 ; ; Addr[0] ; 5 ; ; Equal17~0 ; 5 ; ; LS[6]~17 ; 5 ; ; LS[1]~3 ; 5 ; +; Mux14~6 ; 5 ; ; RD[6]~6 ; 4 ; ; RD[5]~5 ; 4 ; ; RD[4]~4 ; 4 ; @@ -599,11 +609,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 4 ; ; RD[1]~1 ; 4 ; ; nDEVSEL ; 4 ; -; Equal8~0 ; 4 ; -; ROMSpecRD~0 ; 4 ; +; always6~1 ; 4 ; +; always6~0 ; 4 ; +; RAMSpecSEL~0 ; 4 ; ; LS[13] ; 4 ; ; Equal1~2 ; 4 ; -; nRCS~0 ; 4 ; +; nRCS~1 ; 4 ; ; Addr[9] ; 4 ; ; Addr[8] ; 4 ; ; Addr[7] ; 4 ; @@ -619,18 +630,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[12] ; 4 ; ; Addr[2] ; 4 ; ; Addr[11] ; 4 ; +; SA[2]~7 ; 4 ; ; Addr[1] ; 4 ; ; Addr[10] ; 4 ; ; Addr[23] ; 4 ; +; RA[11] ; 3 ; ; RA[10] ; 3 ; ; RA[9] ; 3 ; ; RA[8] ; 3 ; ; RA[7] ; 3 ; -; RA[3] ; 3 ; -; RA[2] ; 3 ; ; nWE ; 3 ; ; nIOSEL ; 3 ; -; always6~8 ; 3 ; ; SA[2]~14 ; 3 ; ; WRD[5] ; 3 ; ; WRD[4] ; 3 ; @@ -638,12 +648,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; WRD[2] ; 3 ; ; WRD[1] ; 3 ; ; WRD[0] ; 3 ; -; always6~2 ; 3 ; -; REGEN ; 3 ; ; Equal2~0 ; 3 ; ; Equal3~0 ; 3 ; ; Equal1~3 ; 3 ; -; nWEr ; 3 ; +; IS.111~0 ; 3 ; ; Addr[22] ; 3 ; ; Addr[21] ; 3 ; ; Addr[20]~41 ; 3 ; @@ -661,11 +669,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[12] ; 3 ; ; Addr[12]~11 ; 3 ; ; LS[11] ; 3 ; -; SA[2]~9 ; 3 ; -; SA[2]~7 ; 3 ; +; SA[2]~5 ; 3 ; ; LS[10] ; 3 ; -; SA[2]~6 ; 3 ; -; RA[11] ; 2 ; +; SA[2]~4 ; 3 ; +; IOROMEN ; 3 ; ; RA[6] ; 2 ; ; RA[5] ; 2 ; ; RA[4] ; 2 ; @@ -674,7 +681,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; WRD[6] ; 2 ; ; AddrIncL ; 2 ; ; AddrIncM ; 2 ; -; always6~3 ; 2 ; +; REGEN ; 2 ; +; Equal7~0 ; 2 ; +; REGSpecSEL~0 ; 2 ; ; IS.state_bit_1~3 ; 2 ; ; IS.state_bit_1~0 ; 2 ; ; Equal3~1 ; 2 ; @@ -682,18 +691,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; PHI0r1 ; 2 ; ; Selector1~1 ; 2 ; ; DQMH~0 ; 2 ; -; IS.001~0 ; 2 ; +; Mux12~2 ; 2 ; +; nRCS~3 ; 2 ; +; ROMSpecRDr ; 2 ; ; nRCS~2 ; 2 ; -; nRCS~1 ; 2 ; -; always7~1 ; 2 ; +; nWEr ; 2 ; ; Bank ; 2 ; ; LS[11]~5 ; 2 ; -; SA[2]~8 ; 2 ; +; SA[2]~6 ; 2 ; ; Mux14~4 ; 2 ; -; always5~2 ; 2 ; -; always7~0 ; 2 ; +; always5~3 ; 2 ; +; always5~0 ; 2 ; ; PHI0r2 ; 2 ; -; IOROMEN ; 2 ; ; nRESout~reg0 ; 2 ; ; MOSI~0 ; 1 ; ; SD[7]~7 ; 1 ; @@ -715,15 +724,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux14~7 ; 1 ; ; Mux2~1 ; 1 ; ; Mux2~0 ; 1 ; -; Decoder0~1 ; 1 ; -; RDD~15 ; 1 ; -; RDD~13 ; 1 ; -; RDD~11 ; 1 ; -; RDD~9 ; 1 ; -; RDD~7 ; 1 ; -; RDD~5 ; 1 ; -; RDD~3 ; 1 ; -; RDD~1 ; 1 ; +; Decoder0~0 ; 1 ; +; RDD~16 ; 1 ; +; RDD~14 ; 1 ; +; RDD~12 ; 1 ; +; RDD~10 ; 1 ; +; RDD~8 ; 1 ; +; RDD~6 ; 1 ; +; RDD~4 ; 1 ; +; RDD~2 ; 1 ; ; AddrIncM~2 ; 1 ; ; AddrIncM~1 ; 1 ; ; AddrIncM~0 ; 1 ; @@ -741,11 +750,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RDD[0] ; 1 ; ; MOSIOE ; 1 ; ; IS.101~0 ; 1 ; +; ROMSpecRD~0 ; 1 ; ; IS.state_bit_2~1 ; 1 ; ; IS.state_bit_2~0 ; 1 ; ; Equal1~4 ; 1 ; ; AddrIncH ; 1 ; -; always7~2 ; 1 ; ; IS.state_bit_1~2 ; 1 ; ; IS.state_bit_1~1 ; 1 ; ; IS.state_bit_0~5 ; 1 ; @@ -753,12 +762,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Equal4~0 ; 1 ; ; Equal1~1 ; 1 ; ; Equal1~0 ; 1 ; -; IS.111~0 ; 1 ; ; FCKout ; 1 ; ; FCS ; 1 ; ; Mux11~4 ; 1 ; ; Mux11~3 ; 1 ; ; Mux11~2 ; 1 ; +; PS~0 ; 1 ; ; Mux11~1 ; 1 ; ; Mux11~0 ; 1 ; ; Selector2~0 ; 1 ; @@ -766,16 +775,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[0]~47COUT1_92 ; 1 ; ; Addr[0]~47 ; 1 ; ; Selector0~0 ; 1 ; -; Mux12~2 ; 1 ; +; Mux12~3 ; 1 ; ; Mux12~1 ; 1 ; -; ROMSpecRDr ; 1 ; ; Mux12~0 ; 1 ; -; Decoder0~0 ; 1 ; +; IS.000~0 ; 1 ; +; nRCS~0 ; 1 ; ; Addr[22]~45COUT1_78 ; 1 ; ; Addr[22]~45 ; 1 ; ; Addr[21]~43COUT1_76 ; 1 ; ; Addr[21]~43 ; 1 ; ; Mux15~1 ; 1 ; +; Mux15~0 ; 1 ; ; Addr[19]~39COUT1_74 ; 1 ; ; Addr[19]~39 ; 1 ; ; Mux16~2 ; 1 ; @@ -858,8 +868,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux13~0 ; 1 ; ; nRESr0 ; 1 ; ; Mux14~5 ; 1 ; +; always5~2 ; 1 ; ; always5~1 ; 1 ; -; always5~0 ; 1 ; ; RCKE~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; ; DQML~reg0 ; 1 ; @@ -891,30 +901,30 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 234 / 784 ( 30 % ) ; -; Direct links ; 57 / 888 ( 6 % ) ; +; C4s ; 224 / 784 ( 29 % ) ; +; Direct links ; 68 / 888 ( 8 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 13 / 32 ( 41 % ) ; -; LUT chains ; 33 / 216 ( 15 % ) ; -; Local interconnects ; 433 / 888 ( 49 % ) ; -; R4s ; 294 / 704 ( 42 % ) ; +; LAB clocks ; 12 / 32 ( 38 % ) ; +; LUT chains ; 35 / 216 ( 16 % ) ; +; Local interconnects ; 421 / 888 ( 47 % ) ; +; R4s ; 266 / 704 ( 38 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.17) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.25) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; -; 2 ; 1 ; +; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 4 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 3 ; +; 9 ; 2 ; ; 10 ; 17 ; +--------------------------------------------+------------------------------+ @@ -922,86 +932,86 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 2.00) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.88) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ ; 1 Async. clear ; 7 ; -; 1 Clock ; 22 ; -; 1 Clock enable ; 4 ; -; 1 Sync. clear ; 7 ; -; 1 Sync. load ; 6 ; -; 2 Clocks ; 2 ; +; 1 Clock ; 20 ; +; 1 Clock enable ; 5 ; +; 1 Sync. clear ; 5 ; +; 1 Sync. load ; 5 ; +; 2 Clocks ; 3 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 9.42) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 9.50) ; Number of LABs (Total = 24) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; +; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 4 ; -; 10 ; 14 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 3 ; +; 9 ; 2 ; +; 10 ; 13 ; ; 11 ; 2 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 1 ; +; 12 ; 2 ; +---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.54) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.63) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 1 ; -; 2 ; 0 ; +; 1 ; 0 ; +; 2 ; 3 ; ; 3 ; 2 ; -; 4 ; 3 ; -; 5 ; 4 ; -; 6 ; 1 ; -; 7 ; 4 ; -; 8 ; 1 ; +; 4 ; 1 ; +; 5 ; 2 ; +; 6 ; 3 ; +; 7 ; 2 ; +; 8 ; 3 ; ; 9 ; 4 ; -; 10 ; 4 ; +; 10 ; 3 ; +; 11 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.13) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 15.17) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 0 ; +; 3 ; 1 ; ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 2 ; -; 8 ; 0 ; -; 9 ; 1 ; +; 7 ; 0 ; +; 8 ; 2 ; +; 9 ; 0 ; ; 10 ; 1 ; -; 11 ; 1 ; -; 12 ; 2 ; -; 13 ; 3 ; -; 14 ; 2 ; +; 11 ; 0 ; +; 12 ; 3 ; +; 13 ; 4 ; +; 14 ; 1 ; ; 15 ; 0 ; -; 16 ; 3 ; +; 16 ; 1 ; ; 17 ; 1 ; -; 18 ; 0 ; -; 19 ; 2 ; -; 20 ; 1 ; -; 21 ; 5 ; +; 18 ; 1 ; +; 19 ; 3 ; +; 20 ; 2 ; +; 21 ; 3 ; +; 22 ; 1 ; +----------------------------------------------+------------------------------+ @@ -1020,10 +1030,29 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+--------------------------+ ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Summary ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ +Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. +This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++-----------------+----------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ +; PHI0 ; PHI0r1 ; 0.547 ; ++-----------------+----------------------+-------------------+ +Note: This table only shows the top 1 path(s) that have the largest delay added for hold. + + +-----------------+ ; Fitter Messages ; +-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled +Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (119006): Selected device EPM240T100C5 for design "GR8RAM" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C @@ -1061,25 +1090,25 @@ Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 32% of the available device resources - Info (170196): Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 33% of the available device resources + Info (170196): Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.22 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.75 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 545 megabytes - Info: Processing ended: Sun Apr 18 05:55:51 2021 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 +Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg +Info: Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 382 megabytes + Info: Processing ended: Sun Apr 18 06:27:14 2021 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:08 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. +The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 346b115..da15082 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sun Apr 18 05:55:51 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Fitter Status : Successful - Sun Apr 18 06:27:13 2021 +Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 220 / 240 ( 92 % ) +Total logic elements : 222 / 240 ( 93 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index dab8a8c..d23772d 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,6 +1,6 @@ Flow report for GR8RAM -Sun Apr 18 05:55:54 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:24 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Sun Apr 18 05:55:52 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Flow Status ; Successful - Sun Apr 18 06:27:18 2021 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 220 / 240 ( 92 % ) ; +; Total logic elements ; 222 / 240 ( 93 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -59,42 +59,42 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/18/2021 05:55:48 ; +; Start date & time ; 04/18/2021 06:27:01 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ -+---------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ -; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; -; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; -; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; -; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; -; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 136298148942.161873974705152 ; -- ; -- ; -- ; -; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 2 ; -; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ; -; SEED ; 235 ; 1 ; -- ; -- ; -; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ; -; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; +; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; +; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; +; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; +; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161874162103584 ; -- ; -- ; -- ; +; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; +; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 2 ; +; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ; +; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; +; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ; +; SEED ; 235 ; 1 ; -- ; -- ; +; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ; +; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -102,24 +102,24 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; -; Fitter ; 00:00:02 ; 1.0 ; 545 MB ; 00:00:02 ; -; Assembler ; 00:00:00 ; 1.0 ; 381 MB ; 00:00:00 ; -; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 368 MB ; 00:00:01 ; -; Total ; 00:00:04 ; -- ; -- ; 00:00:04 ; +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:05 ; +; Fitter ; 00:00:08 ; 1.2 ; 382 MB ; 00:00:08 ; +; Assembler ; 00:00:02 ; 1.0 ; 292 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 276 MB ; 00:00:04 ; +; Total ; 00:00:19 ; -- ; -- ; 00:00:19 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; +; Fitter ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; +; Assembler ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; +; TimeQuest Timing Analyzer ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; ++---------------------------+------------------+------------+------------+----------------+ ------------ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index 2459804..73a190b 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 2ab9922..648ddda 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Sun Apr 18 05:55:48 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:04 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Apr 18 05:55:48 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Analysis & Synthesis Status ; Successful - Sun Apr 18 06:27:04 2021 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 226 ; +; Total logic elements ; 228 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -130,24 +130,30 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 0.0% ; ++----------------------------+-------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ ++-----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +; GR8RAM.v ; yes ; User Verilog HDL File ; Z:/Repos/GR8RAM/cpld/GR8RAM.v ; ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +-----------------------------------------------------+ @@ -155,20 +161,20 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 226 ; -; -- Combinational with no register ; 123 ; +; Total logic elements ; 228 ; +; -- Combinational with no register ; 125 ; ; -- Register only ; 7 ; ; -- Combinational with a register ; 96 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 114 ; -; -- 3 input functions ; 46 ; -; -- 2 input functions ; 59 ; +; -- 4 input functions ; 122 ; +; -- 3 input functions ; 37 ; +; -- 2 input functions ; 62 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 193 ; +; -- normal mode ; 195 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; @@ -180,8 +186,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; ; Maximum fan-out ; 100 ; -; Total fan-out ; 1013 ; -; Average fan-out ; 3.31 ; +; Total fan-out ; 1024 ; +; Average fan-out ; 3.32 ; +---------------------------------------------+-------+ @@ -190,7 +196,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 226 (226) ; 103 ; 0 ; 80 ; 0 ; 123 (123) ; 7 (7) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 228 (228) ; 103 ; 0 ; 80 ; 0 ; 125 (125) ; 7 (7) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -271,11 +277,11 @@ Encoding Type: Minimal Bits ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis +Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 05:55:47 2021 + Info: Processing started: Sun Apr 18 06:26:59 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -Warning (20028): Parallel compilation is not licensed and has been disabled +Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy @@ -300,22 +306,22 @@ Info (17049): 1 registers lost all their fanouts during netlist optimizations. Warning (21074): Design contains 2 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "SetFW[0]" Warning (15610): No output dependent on input pin "SetFW[1]" -Info (21057): Implemented 306 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 308 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 226 logic cells -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 20 warnings - Info: Peak virtual memory: 421 megabytes - Info: Processing ended: Sun Apr 18 05:55:48 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info (21061): Implemented 228 logic cells +Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings + Info: Peak virtual memory: 301 megabytes + Info: Processing ended: Sun Apr 18 06:27:04 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 7f2b4cf..4cc6e47 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sun Apr 18 05:55:48 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Sun Apr 18 06:27:04 2021 +Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 226 +Total logic elements : 228 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 6d11d91..54ede08 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -57,7 +57,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 6d0ab1d..0a71b63 100755 Binary files a/cpld/output_files/GR8RAM.pof and b/cpld/output_files/GR8RAM.pof differ diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index db2f30f..bbe6bdd 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,6 +1,6 @@ TimeQuest Timing Analyzer report for GR8RAM -Sun Apr 18 05:55:54 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:24 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -18,8 +18,8 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 10. Minimum Pulse Width Summary 11. Setup: 'C25M' 12. Setup: 'PHI0' - 13. Hold: 'C25M' - 14. Hold: 'PHI0' + 13. Hold: 'PHI0' + 14. Hold: 'C25M' 15. Recovery: 'C25M' 16. Removal: 'C25M' 17. Minimum Pulse Width: 'C25M' @@ -77,15 +77,21 @@ applicable agreement for further details. +--------------------+-------------------------------------------------------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 0.0% ; ++----------------------------+-------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -103,7 +109,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +------------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+------------+------+ -; 100.52 MHz ; 100.52 MHz ; C25M ; ; +; 102.16 MHz ; 102.16 MHz ; C25M ; ; +------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -113,19 +119,19 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -9.431 ; -683.489 ; -; PHI0 ; -1.421 ; -1.421 ; +; C25M ; -9.035 ; -651.992 ; +; PHI0 ; 0.356 ; 0.000 ; +-------+--------+---------------+ -+-------------------------------+ -; Hold Summary ; -+-------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+-------+---------------+ -; C25M ; 1.384 ; 0.000 ; -; PHI0 ; 1.867 ; 0.000 ; -+-------+-------+---------------+ ++--------------------------------+ +; Hold Summary ; ++-------+--------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+--------+---------------+ +; PHI0 ; -0.263 ; -0.263 ; +; C25M ; 1.391 ; 0.000 ; ++-------+--------+---------------+ +--------------------------------+ @@ -161,115 +167,126 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; -9.431 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.300 ; -; -9.357 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.226 ; -; -9.350 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.219 ; -; -9.343 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.212 ; -; -9.340 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.209 ; -; -9.294 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.163 ; -; -9.226 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.095 ; -; -9.127 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.996 ; -; -8.948 ; IS.state_bit_0 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.615 ; -; -8.868 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.737 ; -; -8.811 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.478 ; -; -8.804 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.673 ; -; -8.762 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.631 ; -; -8.655 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.584 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.453 ; -; -8.447 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.316 ; -; -8.385 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.052 ; -; -8.382 ; PS[3] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.049 ; -; -8.369 ; RAMSpecSELr ; SA[12]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.238 ; -; -8.368 ; RAMSpecSELr ; SA[10]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.237 ; -; -8.367 ; RAMSpecSELr ; SA[11]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.236 ; -; -8.361 ; RAMSpecSELr ; SA[9]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.230 ; -; -8.275 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.942 ; -; -8.261 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.130 ; -; -8.248 ; PS[1] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.206 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.873 ; -; -8.203 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.870 ; -; -8.157 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.824 ; -; -8.127 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.069 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.736 ; -; -8.062 ; PS[2] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.729 ; -; -8.049 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.716 ; -; -8.039 ; PS[1] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.706 ; -; -8.039 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.706 ; -; -8.038 ; PS[0] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.036 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.703 ; -; -8.018 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.685 ; -; -7.964 ; LS[11] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.631 ; -; -7.917 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.584 ; -; -7.910 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.577 ; -; -7.903 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.570 ; -; -7.899 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.566 ; -; -7.876 ; PS[2] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.864 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.531 ; -; -7.832 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.701 ; -; -7.800 ; LS[8] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.467 ; -; -7.798 ; IS.state_bit_0 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.465 ; -; -7.741 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.408 ; -; -7.720 ; PS[1] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.686 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.353 ; -; -7.686 ; PS[0] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.353 ; -; -7.657 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; +; -9.035 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.911 ; +; -8.936 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.812 ; +; -8.789 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.456 ; +; -8.787 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.663 ; +; -8.764 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.431 ; +; -8.734 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.610 ; +; -8.648 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.315 ; +; -8.607 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.274 ; +; -8.582 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.249 ; +; -8.524 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.400 ; +; -8.511 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.417 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.084 ; +; -8.409 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.392 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.059 ; +; -8.315 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.982 ; +; -8.304 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.971 ; +; -8.297 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.173 ; +; -8.288 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.164 ; +; -8.254 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.130 ; +; -8.218 ; PS[2] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.198 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.865 ; +; -8.156 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.032 ; +; -8.116 ; PS[2] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.100 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.062 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.938 ; +; -8.061 ; PS[3] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.060 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.727 ; +; -8.059 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.935 ; +; -8.039 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.706 ; +; -8.034 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.701 ; +; -8.026 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.693 ; +; -8.009 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.676 ; +; -8.004 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.671 ; +; -7.983 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.650 ; +; -7.981 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.857 ; +; -7.969 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.636 ; +; -7.959 ; PS[3] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.944 ; LS[11] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.611 ; +; -7.893 ; LS[7] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.560 ; +; -7.880 ; PS[1] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.863 ; IS.state_bit_0 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.530 ; +; -7.852 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.519 ; +; -7.830 ; LS[13] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.497 ; +; -7.828 ; LS[7] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.495 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------+ +; Setup: 'PHI0' ; ++-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; 0.356 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 3.102 ; +; 0.709 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 2.749 ; ++-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI0' ; +; Hold: 'PHI0' ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -1.421 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.798 ; 4.886 ; +; -0.263 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 2.749 ; +; 0.090 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 3.102 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -278,46 +295,47 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.384 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.605 ; -; 1.384 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.605 ; -; 1.398 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.619 ; +; 1.391 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.612 ; +; 1.401 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.622 ; +; 1.402 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.623 ; ; 1.404 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.625 ; -; 1.547 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.226 ; -; 1.658 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.879 ; -; 1.668 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.889 ; -; 1.676 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.897 ; -; 1.799 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.020 ; -; 1.835 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.056 ; -; 1.898 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.119 ; -; 1.936 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.157 ; -; 1.963 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.184 ; -; 1.965 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.186 ; -; 1.965 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.186 ; -; 1.974 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.195 ; -; 2.047 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.226 ; +; 1.413 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.634 ; +; 1.453 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.132 ; +; 1.639 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.860 ; +; 1.796 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.017 ; +; 1.800 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.021 ; +; 1.828 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.049 ; +; 1.837 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.058 ; +; 1.919 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.140 ; +; 1.950 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.171 ; +; 1.953 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.132 ; +; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; ; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; -; 2.109 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.330 ; +; 2.109 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.330 ; ; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.120 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; -; 2.121 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.342 ; +; 2.124 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; ; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; ; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.134 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; -; 2.134 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; +; 2.126 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.134 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; ; 2.135 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; -; 2.139 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.360 ; -; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.212 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.212 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.223 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.444 ; -; 2.226 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.447 ; +; 2.140 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.361 ; +; 2.142 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.363 ; +; 2.143 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; +; 2.145 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.145 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.146 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.367 ; +; 2.158 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.379 ; +; 2.169 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.390 ; +; 2.203 ; PS[1] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.424 ; +; 2.221 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; +; 2.228 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.449 ; ; 2.230 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; @@ -325,71 +343,61 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp ; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.233 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.454 ; +; 2.235 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.456 ; ; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.260 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; -; 2.261 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.262 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.262 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.284 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.505 ; -; 2.359 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.580 ; -; 2.443 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.664 ; -; 2.509 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.730 ; -; 2.530 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.751 ; -; 2.553 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.774 ; -; 2.564 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.785 ; -; 2.636 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.857 ; -; 2.648 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.869 ; -; 2.651 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.872 ; -; 2.655 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.876 ; -; 2.656 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.877 ; -; 2.673 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.894 ; -; 2.674 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.895 ; -; 2.930 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.151 ; +; 2.243 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.464 ; +; 2.246 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.467 ; +; 2.249 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.251 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.252 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.261 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.327 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.548 ; +; 2.331 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.552 ; +; 2.346 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.567 ; +; 2.346 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.567 ; +; 2.388 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.609 ; +; 2.485 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.706 ; +; 2.559 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ; +; 2.589 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.810 ; +; 2.645 ; AddrIncL ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.866 ; +; 2.661 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.882 ; +; 2.673 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.894 ; +; 2.677 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.898 ; +; 2.688 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.909 ; +; 2.739 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.960 ; +; 2.749 ; PS[0] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.970 ; +; 2.750 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.971 ; +; 2.763 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.984 ; +; 2.891 ; PS[0] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 3.112 ; +; 2.910 ; IS.state_bit_2 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.131 ; +; 2.914 ; IS.state_bit_2 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.135 ; +; 2.915 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.136 ; ; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; -; 2.947 ; IS.state_bit_2 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.168 ; -; 2.949 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.956 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; ; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.960 ; Addr[20] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.181 ; -; 2.966 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; -; 2.966 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; -; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 3.014 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.235 ; +; 2.958 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.966 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; +; 2.969 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.190 ; +; 2.974 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.195 ; +; 2.975 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ; +; 2.977 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; +; 2.990 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.211 ; +; 3.028 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.249 ; +; 3.045 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.266 ; ; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; -; 3.055 ; AddrIncM ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.276 ; -; 3.060 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.068 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.289 ; -; 3.069 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; -; 3.077 ; Addr[0] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.298 ; -; 3.077 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.298 ; -; 3.087 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.308 ; -; 3.099 ; PS[3] ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.320 ; -; 3.099 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.320 ; -; 3.139 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.360 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI0' ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; 1.867 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.798 ; 4.886 ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - +-----------------------------------------------------------------------------------------------------+ ; Recovery: 'C25M' ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -602,63 +610,63 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 3.807 ; 3.807 ; Rise ; C25M ; -; MOSI ; C25M ; 4.796 ; 4.796 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.101 ; 2.101 ; Rise ; C25M ; -; RA[*] ; C25M ; 14.823 ; 14.823 ; Rise ; C25M ; -; RA[0] ; C25M ; 8.586 ; 8.586 ; Rise ; C25M ; -; RA[1] ; C25M ; 8.040 ; 8.040 ; Rise ; C25M ; -; RA[2] ; C25M ; 9.634 ; 9.634 ; Rise ; C25M ; -; RA[3] ; C25M ; 11.057 ; 11.057 ; Rise ; C25M ; -; RA[4] ; C25M ; 6.959 ; 6.959 ; Rise ; C25M ; -; RA[5] ; C25M ; 6.713 ; 6.713 ; Rise ; C25M ; -; RA[6] ; C25M ; 7.150 ; 7.150 ; Rise ; C25M ; -; RA[7] ; C25M ; 11.336 ; 11.336 ; Rise ; C25M ; -; RA[8] ; C25M ; 12.770 ; 12.770 ; Rise ; C25M ; -; RA[9] ; C25M ; 14.823 ; 14.823 ; Rise ; C25M ; -; RA[10] ; C25M ; 12.695 ; 12.695 ; Rise ; C25M ; -; RA[11] ; C25M ; 11.834 ; 11.834 ; Rise ; C25M ; -; RA[12] ; C25M ; 9.991 ; 9.991 ; Rise ; C25M ; -; RA[13] ; C25M ; 10.495 ; 10.495 ; Rise ; C25M ; -; RA[14] ; C25M ; 10.325 ; 10.325 ; Rise ; C25M ; -; RA[15] ; C25M ; 10.651 ; 10.651 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.055 ; 6.055 ; Rise ; C25M ; -; RD[0] ; C25M ; 3.934 ; 3.934 ; Rise ; C25M ; -; RD[1] ; C25M ; 3.469 ; 3.469 ; Rise ; C25M ; -; RD[2] ; C25M ; 3.972 ; 3.972 ; Rise ; C25M ; -; RD[3] ; C25M ; 3.908 ; 3.908 ; Rise ; C25M ; -; RD[4] ; C25M ; 4.078 ; 4.078 ; Rise ; C25M ; -; RD[5] ; C25M ; 4.859 ; 4.859 ; Rise ; C25M ; -; RD[6] ; C25M ; 4.412 ; 4.412 ; Rise ; C25M ; -; RD[7] ; C25M ; 6.055 ; 6.055 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.404 ; 6.404 ; Rise ; C25M ; -; SD[0] ; C25M ; 4.903 ; 4.903 ; Rise ; C25M ; -; SD[1] ; C25M ; 5.173 ; 5.173 ; Rise ; C25M ; -; SD[2] ; C25M ; 5.568 ; 5.568 ; Rise ; C25M ; -; SD[3] ; C25M ; 4.119 ; 4.119 ; Rise ; C25M ; -; SD[4] ; C25M ; 4.608 ; 4.608 ; Rise ; C25M ; -; SD[5] ; C25M ; 3.793 ; 3.793 ; Rise ; C25M ; -; SD[6] ; C25M ; 4.318 ; 4.318 ; Rise ; C25M ; -; SD[7] ; C25M ; 6.404 ; 6.404 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 8.874 ; 8.874 ; Rise ; C25M ; -; nIOSEL ; C25M ; 4.688 ; 4.688 ; Rise ; C25M ; -; nIOSTRB ; C25M ; 6.542 ; 6.542 ; Rise ; C25M ; -; nRES ; C25M ; 3.240 ; 3.240 ; Rise ; C25M ; -; RA[*] ; PHI0 ; 8.690 ; 8.690 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 2.027 ; 2.027 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 1.242 ; 1.242 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 3.501 ; 3.501 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 4.924 ; 4.924 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 5.203 ; 5.203 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 6.637 ; 6.637 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 8.690 ; 8.690 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 6.562 ; 6.562 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 5.701 ; 5.701 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 4.533 ; 4.533 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 5.037 ; 5.037 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 4.867 ; 4.867 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 5.193 ; 5.193 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 0.784 ; 0.784 ; Rise ; PHI0 ; +; MISO ; C25M ; 4.086 ; 4.086 ; Rise ; C25M ; +; MOSI ; C25M ; 4.156 ; 4.156 ; Rise ; C25M ; +; PHI0 ; C25M ; 2.007 ; 2.007 ; Rise ; C25M ; +; RA[*] ; C25M ; 13.145 ; 13.145 ; Rise ; C25M ; +; RA[0] ; C25M ; 8.916 ; 8.916 ; Rise ; C25M ; +; RA[1] ; C25M ; 8.770 ; 8.770 ; Rise ; C25M ; +; RA[2] ; C25M ; 9.694 ; 9.694 ; Rise ; C25M ; +; RA[3] ; C25M ; 10.921 ; 10.921 ; Rise ; C25M ; +; RA[4] ; C25M ; 8.022 ; 8.022 ; Rise ; C25M ; +; RA[5] ; C25M ; 9.447 ; 9.447 ; Rise ; C25M ; +; RA[6] ; C25M ; 7.054 ; 7.054 ; Rise ; C25M ; +; RA[7] ; C25M ; 11.195 ; 11.195 ; Rise ; C25M ; +; RA[8] ; C25M ; 12.820 ; 12.820 ; Rise ; C25M ; +; RA[9] ; C25M ; 13.145 ; 13.145 ; Rise ; C25M ; +; RA[10] ; C25M ; 12.352 ; 12.352 ; Rise ; C25M ; +; RA[11] ; C25M ; 12.575 ; 12.575 ; Rise ; C25M ; +; RA[12] ; C25M ; 10.684 ; 10.684 ; Rise ; C25M ; +; RA[13] ; C25M ; 11.303 ; 11.303 ; Rise ; C25M ; +; RA[14] ; C25M ; 11.601 ; 11.601 ; Rise ; C25M ; +; RA[15] ; C25M ; 11.467 ; 11.467 ; Rise ; C25M ; +; RD[*] ; C25M ; 6.155 ; 6.155 ; Rise ; C25M ; +; RD[0] ; C25M ; 3.995 ; 3.995 ; Rise ; C25M ; +; RD[1] ; C25M ; 4.067 ; 4.067 ; Rise ; C25M ; +; RD[2] ; C25M ; 4.049 ; 4.049 ; Rise ; C25M ; +; RD[3] ; C25M ; 4.540 ; 4.540 ; Rise ; C25M ; +; RD[4] ; C25M ; 4.083 ; 4.083 ; Rise ; C25M ; +; RD[5] ; C25M ; 4.258 ; 4.258 ; Rise ; C25M ; +; RD[6] ; C25M ; 4.044 ; 4.044 ; Rise ; C25M ; +; RD[7] ; C25M ; 6.155 ; 6.155 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.456 ; 6.456 ; Rise ; C25M ; +; SD[0] ; C25M ; 4.006 ; 4.006 ; Rise ; C25M ; +; SD[1] ; C25M ; 4.019 ; 4.019 ; Rise ; C25M ; +; SD[2] ; C25M ; 3.688 ; 3.688 ; Rise ; C25M ; +; SD[3] ; C25M ; 5.362 ; 5.362 ; Rise ; C25M ; +; SD[4] ; C25M ; 6.456 ; 6.456 ; Rise ; C25M ; +; SD[5] ; C25M ; 5.261 ; 5.261 ; Rise ; C25M ; +; SD[6] ; C25M ; 4.435 ; 4.435 ; Rise ; C25M ; +; SD[7] ; C25M ; 4.250 ; 4.250 ; Rise ; C25M ; +; nDEVSEL ; C25M ; 7.656 ; 7.656 ; Rise ; C25M ; +; nIOSEL ; C25M ; 4.029 ; 4.029 ; Rise ; C25M ; +; nIOSTRB ; C25M ; 7.314 ; 7.314 ; Rise ; C25M ; +; nRES ; C25M ; 4.265 ; 4.265 ; Rise ; C25M ; +; RA[*] ; PHI0 ; 5.399 ; 5.399 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 1.137 ; 1.137 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; 1.471 ; 1.471 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 3.056 ; 3.056 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 4.283 ; 4.283 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; 3.449 ; 3.449 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; 5.074 ; 5.074 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; 5.399 ; 5.399 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; 4.606 ; 4.606 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; 4.829 ; 4.829 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; 3.556 ; 3.556 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; 4.175 ; 4.175 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; 4.473 ; 4.473 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 4.339 ; 4.339 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 1.302 ; 1.302 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -667,63 +675,63 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -3.253 ; -3.253 ; Rise ; C25M ; -; MOSI ; C25M ; -4.242 ; -4.242 ; Rise ; C25M ; -; PHI0 ; C25M ; -1.547 ; -1.547 ; Rise ; C25M ; -; RA[*] ; C25M ; -3.716 ; -3.716 ; Rise ; C25M ; -; RA[0] ; C25M ; -3.716 ; -3.716 ; Rise ; C25M ; -; RA[1] ; C25M ; -3.753 ; -3.753 ; Rise ; C25M ; -; RA[2] ; C25M ; -5.743 ; -5.743 ; Rise ; C25M ; -; RA[3] ; C25M ; -6.033 ; -6.033 ; Rise ; C25M ; -; RA[4] ; C25M ; -5.827 ; -5.827 ; Rise ; C25M ; -; RA[5] ; C25M ; -5.069 ; -5.069 ; Rise ; C25M ; -; RA[6] ; C25M ; -5.769 ; -5.769 ; Rise ; C25M ; -; RA[7] ; C25M ; -5.902 ; -5.902 ; Rise ; C25M ; -; RA[8] ; C25M ; -4.498 ; -4.498 ; Rise ; C25M ; -; RA[9] ; C25M ; -4.646 ; -4.646 ; Rise ; C25M ; -; RA[10] ; C25M ; -5.696 ; -5.696 ; Rise ; C25M ; -; RA[11] ; C25M ; -4.623 ; -4.623 ; Rise ; C25M ; -; RA[12] ; C25M ; -6.309 ; -6.309 ; Rise ; C25M ; -; RA[13] ; C25M ; -6.813 ; -6.813 ; Rise ; C25M ; -; RA[14] ; C25M ; -6.643 ; -6.643 ; Rise ; C25M ; -; RA[15] ; C25M ; -6.969 ; -6.969 ; Rise ; C25M ; -; RD[*] ; C25M ; -2.112 ; -2.112 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.658 ; -2.658 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.117 ; -2.117 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.212 ; -2.212 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.645 ; -2.645 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.151 ; -2.151 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.112 ; -2.112 ; Rise ; C25M ; -; RD[6] ; C25M ; -2.241 ; -2.241 ; Rise ; C25M ; -; RD[7] ; C25M ; -2.167 ; -2.167 ; Rise ; C25M ; -; SD[*] ; C25M ; -3.239 ; -3.239 ; Rise ; C25M ; -; SD[0] ; C25M ; -4.349 ; -4.349 ; Rise ; C25M ; -; SD[1] ; C25M ; -4.619 ; -4.619 ; Rise ; C25M ; -; SD[2] ; C25M ; -5.014 ; -5.014 ; Rise ; C25M ; -; SD[3] ; C25M ; -3.565 ; -3.565 ; Rise ; C25M ; -; SD[4] ; C25M ; -4.054 ; -4.054 ; Rise ; C25M ; -; SD[5] ; C25M ; -3.239 ; -3.239 ; Rise ; C25M ; -; SD[6] ; C25M ; -3.764 ; -3.764 ; Rise ; C25M ; -; SD[7] ; C25M ; -5.850 ; -5.850 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -2.601 ; -2.601 ; Rise ; C25M ; -; nIOSEL ; C25M ; -2.960 ; -2.960 ; Rise ; C25M ; -; nIOSTRB ; C25M ; -5.410 ; -5.410 ; Rise ; C25M ; -; nRES ; C25M ; -2.686 ; -2.686 ; Rise ; C25M ; -; RA[*] ; PHI0 ; -0.688 ; -0.688 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; -1.473 ; -1.473 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -0.688 ; -0.688 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; -2.947 ; -2.947 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; -4.370 ; -4.370 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -4.649 ; -4.649 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -3.704 ; -3.704 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -5.757 ; -5.757 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -3.629 ; -3.629 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -2.768 ; -2.768 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -3.199 ; -3.199 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -3.703 ; -3.703 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -3.533 ; -3.533 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; -3.859 ; -3.859 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 0.354 ; 0.354 ; Rise ; PHI0 ; +; MISO ; C25M ; -3.532 ; -3.532 ; Rise ; C25M ; +; MOSI ; C25M ; -3.602 ; -3.602 ; Rise ; C25M ; +; PHI0 ; C25M ; -1.453 ; -1.453 ; Rise ; C25M ; +; RA[*] ; C25M ; -3.814 ; -3.814 ; Rise ; C25M ; +; RA[0] ; C25M ; -4.347 ; -4.347 ; Rise ; C25M ; +; RA[1] ; C25M ; -3.814 ; -3.814 ; Rise ; C25M ; +; RA[2] ; C25M ; -3.955 ; -3.955 ; Rise ; C25M ; +; RA[3] ; C25M ; -6.045 ; -6.045 ; Rise ; C25M ; +; RA[4] ; C25M ; -5.238 ; -5.238 ; Rise ; C25M ; +; RA[5] ; C25M ; -6.852 ; -6.852 ; Rise ; C25M ; +; RA[6] ; C25M ; -6.391 ; -6.391 ; Rise ; C25M ; +; RA[7] ; C25M ; -5.654 ; -5.654 ; Rise ; C25M ; +; RA[8] ; C25M ; -5.683 ; -5.683 ; Rise ; C25M ; +; RA[9] ; C25M ; -5.667 ; -5.667 ; Rise ; C25M ; +; RA[10] ; C25M ; -5.110 ; -5.110 ; Rise ; C25M ; +; RA[11] ; C25M ; -4.902 ; -4.902 ; Rise ; C25M ; +; RA[12] ; C25M ; -7.857 ; -7.857 ; Rise ; C25M ; +; RA[13] ; C25M ; -8.476 ; -8.476 ; Rise ; C25M ; +; RA[14] ; C25M ; -8.774 ; -8.774 ; Rise ; C25M ; +; RA[15] ; C25M ; -8.640 ; -8.640 ; Rise ; C25M ; +; RD[*] ; C25M ; -1.834 ; -1.834 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.186 ; -2.186 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.114 ; -2.114 ; Rise ; C25M ; +; RD[2] ; C25M ; -2.096 ; -2.096 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.111 ; -2.111 ; Rise ; C25M ; +; RD[4] ; C25M ; -2.085 ; -2.085 ; Rise ; C25M ; +; RD[5] ; C25M ; -2.079 ; -2.079 ; Rise ; C25M ; +; RD[6] ; C25M ; -1.861 ; -1.861 ; Rise ; C25M ; +; RD[7] ; C25M ; -1.834 ; -1.834 ; Rise ; C25M ; +; SD[*] ; C25M ; -3.134 ; -3.134 ; Rise ; C25M ; +; SD[0] ; C25M ; -3.452 ; -3.452 ; Rise ; C25M ; +; SD[1] ; C25M ; -3.465 ; -3.465 ; Rise ; C25M ; +; SD[2] ; C25M ; -3.134 ; -3.134 ; Rise ; C25M ; +; SD[3] ; C25M ; -4.808 ; -4.808 ; Rise ; C25M ; +; SD[4] ; C25M ; -5.902 ; -5.902 ; Rise ; C25M ; +; SD[5] ; C25M ; -4.707 ; -4.707 ; Rise ; C25M ; +; SD[6] ; C25M ; -3.881 ; -3.881 ; Rise ; C25M ; +; SD[7] ; C25M ; -3.696 ; -3.696 ; Rise ; C25M ; +; nDEVSEL ; C25M ; -2.655 ; -2.655 ; Rise ; C25M ; +; nIOSEL ; C25M ; -2.809 ; -2.809 ; Rise ; C25M ; +; nIOSTRB ; C25M ; -6.729 ; -6.729 ; Rise ; C25M ; +; nRES ; C25M ; -3.711 ; -3.711 ; Rise ; C25M ; +; RA[*] ; PHI0 ; -0.515 ; -0.515 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; -0.583 ; -0.583 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; -0.917 ; -0.917 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; -2.502 ; -2.502 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; -3.729 ; -3.729 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; -2.895 ; -2.895 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; -2.728 ; -2.728 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; -3.053 ; -3.053 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; -2.260 ; -2.260 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; -0.515 ; -0.515 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; -2.384 ; -2.384 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; -3.003 ; -3.003 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; -3.301 ; -3.301 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; -3.167 ; -3.167 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 0.063 ; 0.063 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -732,55 +740,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.467 ; 9.467 ; Rise ; C25M ; -; DQML ; C25M ; 8.761 ; 8.761 ; Rise ; C25M ; -; FCK ; C25M ; 8.921 ; 8.921 ; Rise ; C25M ; -; MOSI ; C25M ; 7.606 ; 7.606 ; Rise ; C25M ; -; RCKE ; C25M ; 8.729 ; 8.729 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.082 ; 9.082 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; -; RD[1] ; C25M ; 6.959 ; 6.959 ; Rise ; C25M ; -; RD[2] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.301 ; 8.301 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.292 ; 8.292 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.457 ; 8.457 ; Rise ; C25M ; -; RD[6] ; C25M ; 9.082 ; 9.082 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; -; RDdir ; C25M ; 11.821 ; 11.821 ; Rise ; C25M ; -; SA[*] ; C25M ; 9.176 ; 9.176 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.347 ; 8.347 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.123 ; 8.123 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.213 ; 8.213 ; Rise ; C25M ; -; SA[4] ; C25M ; 9.176 ; 9.176 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.811 ; 8.811 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.780 ; 8.780 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.738 ; 8.738 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.361 ; 8.361 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.470 ; 8.470 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.498 ; 8.498 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.320 ; 8.320 ; Rise ; C25M ; -; SBA[*] ; C25M ; 9.367 ; 9.367 ; Rise ; C25M ; -; SBA[0] ; C25M ; 9.367 ; 9.367 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.694 ; 8.694 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.867 ; 8.867 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.311 ; 8.311 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.376 ; 8.376 ; Rise ; C25M ; -; SD[3] ; C25M ; 8.541 ; 8.541 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.489 ; 8.489 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.493 ; 8.493 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.466 ; 8.466 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.867 ; 8.867 ; Rise ; C25M ; -; nCAS ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; nFCS ; C25M ; 8.134 ; 8.134 ; Rise ; C25M ; +; DQMH ; C25M ; 8.310 ; 8.310 ; Rise ; C25M ; +; DQML ; C25M ; 9.180 ; 9.180 ; Rise ; C25M ; +; FCK ; C25M ; 7.598 ; 7.598 ; Rise ; C25M ; +; MOSI ; C25M ; 8.176 ; 8.176 ; Rise ; C25M ; +; RCKE ; C25M ; 9.862 ; 9.862 ; Rise ; C25M ; +; RD[*] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; +; RD[0] ; C25M ; 9.246 ; 9.246 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.959 ; 8.959 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.827 ; 8.827 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.971 ; 8.971 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.952 ; 8.952 ; Rise ; C25M ; +; RD[5] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; +; RD[7] ; C25M ; 8.563 ; 8.563 ; Rise ; C25M ; +; RDdir ; C25M ; 13.177 ; 13.177 ; Rise ; C25M ; +; SA[*] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.251 ; 8.251 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; +; SA[3] ; C25M ; 9.041 ; 9.041 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.336 ; 8.336 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.314 ; 8.314 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.312 ; 8.312 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.938 ; 8.938 ; Rise ; C25M ; +; SA[9] ; C25M ; 9.480 ; 9.480 ; Rise ; C25M ; +; SA[10] ; C25M ; 8.331 ; 8.331 ; Rise ; C25M ; +; SA[11] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.717 ; 8.717 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; +; SD[*] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.052 ; 8.052 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; SD[3] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; +; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; +; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SD[7] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; +; nCAS ; C25M ; 8.009 ; 8.009 ; Rise ; C25M ; +; nFCS ; C25M ; 7.588 ; 7.588 ; Rise ; C25M ; ; nRAS ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; nRCS ; C25M ; 8.339 ; 8.339 ; Rise ; C25M ; -; nRESout ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; nSWE ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Fall ; PHI0 ; +; nRCS ; C25M ; 8.737 ; 8.737 ; Rise ; C25M ; +; nRESout ; C25M ; 8.496 ; 8.496 ; Rise ; C25M ; +; nSWE ; C25M ; 8.362 ; 8.362 ; Rise ; C25M ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -789,55 +797,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; DQMH ; C25M ; 9.467 ; 9.467 ; Rise ; C25M ; -; DQML ; C25M ; 8.761 ; 8.761 ; Rise ; C25M ; -; FCK ; C25M ; 8.921 ; 8.921 ; Rise ; C25M ; -; MOSI ; C25M ; 7.606 ; 7.606 ; Rise ; C25M ; -; RCKE ; C25M ; 8.729 ; 8.729 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; -; RD[1] ; C25M ; 6.959 ; 6.959 ; Rise ; C25M ; -; RD[2] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.301 ; 8.301 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.292 ; 8.292 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.457 ; 8.457 ; Rise ; C25M ; -; RD[6] ; C25M ; 9.082 ; 9.082 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; -; RDdir ; C25M ; 8.943 ; 8.943 ; Rise ; C25M ; -; SA[*] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.347 ; 8.347 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.123 ; 8.123 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.213 ; 8.213 ; Rise ; C25M ; -; SA[4] ; C25M ; 9.176 ; 9.176 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.811 ; 8.811 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.780 ; 8.780 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.738 ; 8.738 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.361 ; 8.361 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.470 ; 8.470 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.498 ; 8.498 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.320 ; 8.320 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.694 ; 8.694 ; Rise ; C25M ; -; SBA[0] ; C25M ; 9.367 ; 9.367 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.694 ; 8.694 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.311 ; 8.311 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.376 ; 8.376 ; Rise ; C25M ; -; SD[3] ; C25M ; 8.541 ; 8.541 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.489 ; 8.489 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.493 ; 8.493 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.466 ; 8.466 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.867 ; 8.867 ; Rise ; C25M ; -; nCAS ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; nFCS ; C25M ; 8.134 ; 8.134 ; Rise ; C25M ; +; DQMH ; C25M ; 8.310 ; 8.310 ; Rise ; C25M ; +; DQML ; C25M ; 9.180 ; 9.180 ; Rise ; C25M ; +; FCK ; C25M ; 7.598 ; 7.598 ; Rise ; C25M ; +; MOSI ; C25M ; 8.176 ; 8.176 ; Rise ; C25M ; +; RCKE ; C25M ; 9.862 ; 9.862 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; +; RD[0] ; C25M ; 9.246 ; 9.246 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.959 ; 8.959 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.827 ; 8.827 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.971 ; 8.971 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.952 ; 8.952 ; Rise ; C25M ; +; RD[5] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; +; RD[7] ; C25M ; 8.563 ; 8.563 ; Rise ; C25M ; +; RDdir ; C25M ; 8.609 ; 8.609 ; Rise ; C25M ; +; SA[*] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.251 ; 8.251 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; +; SA[3] ; C25M ; 9.041 ; 9.041 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.336 ; 8.336 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.314 ; 8.314 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.312 ; 8.312 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.938 ; 8.938 ; Rise ; C25M ; +; SA[9] ; C25M ; 9.480 ; 9.480 ; Rise ; C25M ; +; SA[10] ; C25M ; 8.331 ; 8.331 ; Rise ; C25M ; +; SA[11] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.717 ; 8.717 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.052 ; 8.052 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; SD[3] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; +; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; +; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SD[7] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; +; nCAS ; C25M ; 8.009 ; 8.009 ; Rise ; C25M ; +; nFCS ; C25M ; 7.588 ; 7.588 ; Rise ; C25M ; ; nRAS ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; nRCS ; C25M ; 8.339 ; 8.339 ; Rise ; C25M ; -; nRESout ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; nSWE ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Fall ; PHI0 ; +; nRCS ; C25M ; 8.737 ; 8.737 ; Rise ; C25M ; +; nRESout ; C25M ; 8.496 ; 8.496 ; Rise ; C25M ; +; nSWE ; C25M ; 8.362 ; 8.362 ; Rise ; C25M ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Fall ; PHI0 ; +-----------+------------+-------+-------+------------+-----------------+ @@ -846,44 +854,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.256 ; ; ; 8.256 ; -; INTin ; INTout ; 8.887 ; ; ; 8.887 ; -; nDEVSEL ; RD[0] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[1] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[2] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[3] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[4] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[5] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[6] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[7] ; 12.941 ; ; ; 12.941 ; -; nDEVSEL ; RDdir ; 13.427 ; ; ; 13.427 ; -; nIOSEL ; RD[0] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[1] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[2] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[3] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[4] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[5] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[6] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[7] ; 12.257 ; ; ; 12.257 ; -; nIOSEL ; RDdir ; 12.743 ; ; ; 12.743 ; -; nIOSTRB ; RD[0] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[1] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[2] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[3] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[4] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[5] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[6] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[7] ; 12.794 ; ; ; 12.794 ; -; nIOSTRB ; RDdir ; 13.280 ; ; ; 13.280 ; -; nWE ; RD[0] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[1] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[2] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[3] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[4] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[5] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[6] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[7] ; 10.881 ; ; ; 10.881 ; -; nWE ; RDdir ; 11.367 ; ; ; 11.367 ; +; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; +; INTin ; INTout ; 8.886 ; ; ; 8.886 ; +; nDEVSEL ; RD[0] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[1] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[2] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[3] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[4] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[5] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[6] ; 11.984 ; ; ; 11.984 ; +; nDEVSEL ; RD[7] ; 12.044 ; ; ; 12.044 ; +; nDEVSEL ; RDdir ; 11.832 ; ; ; 11.832 ; +; nIOSEL ; RD[0] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[1] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[2] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[3] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[4] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[5] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[6] ; 12.291 ; ; ; 12.291 ; +; nIOSEL ; RD[7] ; 12.351 ; ; ; 12.351 ; +; nIOSEL ; RDdir ; 12.139 ; ; ; 12.139 ; +; nIOSTRB ; RD[0] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[1] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[2] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[3] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[4] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[5] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[6] ; 12.165 ; ; ; 12.165 ; +; nIOSTRB ; RD[7] ; 12.225 ; ; ; 12.225 ; +; nIOSTRB ; RDdir ; 12.013 ; ; ; 12.013 ; +; nWE ; RD[0] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[1] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[2] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[3] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[4] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[5] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[6] ; 11.133 ; ; ; 11.133 ; +; nWE ; RD[7] ; 11.193 ; ; ; 11.193 ; +; nWE ; RDdir ; 10.981 ; ; ; 10.981 ; +------------+-------------+--------+----+----+--------+ @@ -892,44 +900,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.256 ; ; ; 8.256 ; -; INTin ; INTout ; 8.887 ; ; ; 8.887 ; -; nDEVSEL ; RD[0] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[1] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[2] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[3] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[4] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[5] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[6] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[7] ; 12.941 ; ; ; 12.941 ; -; nDEVSEL ; RDdir ; 13.427 ; ; ; 13.427 ; -; nIOSEL ; RD[0] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[1] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[2] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[3] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[4] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[5] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[6] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[7] ; 12.257 ; ; ; 12.257 ; -; nIOSEL ; RDdir ; 12.743 ; ; ; 12.743 ; -; nIOSTRB ; RD[0] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[1] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[2] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[3] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[4] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[5] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[6] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[7] ; 12.794 ; ; ; 12.794 ; -; nIOSTRB ; RDdir ; 13.280 ; ; ; 13.280 ; -; nWE ; RD[0] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[1] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[2] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[3] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[4] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[5] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[6] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[7] ; 10.881 ; ; ; 10.881 ; -; nWE ; RDdir ; 11.367 ; ; ; 11.367 ; +; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; +; INTin ; INTout ; 8.886 ; ; ; 8.886 ; +; nDEVSEL ; RD[0] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[1] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[2] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[3] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[4] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[5] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[6] ; 11.984 ; ; ; 11.984 ; +; nDEVSEL ; RD[7] ; 12.044 ; ; ; 12.044 ; +; nDEVSEL ; RDdir ; 11.832 ; ; ; 11.832 ; +; nIOSEL ; RD[0] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[1] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[2] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[3] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[4] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[5] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[6] ; 12.291 ; ; ; 12.291 ; +; nIOSEL ; RD[7] ; 12.351 ; ; ; 12.351 ; +; nIOSEL ; RDdir ; 12.139 ; ; ; 12.139 ; +; nIOSTRB ; RD[0] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[1] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[2] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[3] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[4] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[5] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[6] ; 12.165 ; ; ; 12.165 ; +; nIOSTRB ; RD[7] ; 12.225 ; ; ; 12.225 ; +; nIOSTRB ; RDdir ; 12.013 ; ; ; 12.013 ; +; nWE ; RD[0] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[1] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[2] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[3] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[4] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[5] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[6] ; 11.133 ; ; ; 11.133 ; +; nWE ; RD[7] ; 11.193 ; ; ; 11.193 ; +; nWE ; RDdir ; 10.981 ; ; ; 10.981 ; +------------+-------------+--------+----+----+--------+ @@ -938,93 +946,93 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 11.335 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 11.335 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 13.329 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 13.389 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +-----------+------------+--------+------+------------+-----------------+ -+-----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 8.457 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.457 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -+-----------+------------+--------+------+------------+-----------------+ ++----------------------------------------------------------------------+ +; Minimum Output Enable Times ; ++-----------+------------+-------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+------+------------+-----------------+ +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 8.761 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 8.821 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; ++-----------+------------+-------+------+------------+-----------------+ +-------------------------------------------------------------------------------+ @@ -1032,45 +1040,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 11.335 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 11.335 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 13.329 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 13.389 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1079,45 +1087,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 8.457 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.457 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 8.761 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 8.821 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1126,9 +1134,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 87 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 1 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1371 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 85 ; 1 ; 0 ; 0 ; +; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1138,9 +1146,9 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 87 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 1 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1371 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 85 ; 1 ; 0 ; 0 ; +; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1185,7 +1193,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 42 ; 42 ; -; Unconstrained Input Port Paths ; 632 ; 632 ; +; Unconstrained Input Port Paths ; 641 ; 641 ; ; Unconstrained Output Ports ; 45 ; 45 ; ; Unconstrained Output Port Paths ; 118 ; 118 ; +---------------------------------+-------+------+ @@ -1195,12 +1203,12 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; TimeQuest Timing Analyzer Messages ; +------------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer +Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 05:55:53 2021 + Info: Processing started: Sun Apr 18 06:27:20 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled +Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (306004): Started post-fitting delay annotation @@ -1212,16 +1220,16 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name PHI0 PHI0 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.431 +Info (332146): Worst-case setup slack is -9.035 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.431 -683.489 C25M - Info (332119): -1.421 -1.421 PHI0 -Info (332146): Worst-case hold slack is 1.384 + Info (332119): -9.035 -651.992 C25M + Info (332119): 0.356 0.000 PHI0 +Info (332146): Worst-case hold slack is -0.263 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 1.384 0.000 C25M - Info (332119): 1.867 0.000 PHI0 + Info (332119): -0.263 -0.263 PHI0 + Info (332119): 1.391 0.000 C25M Info (332146): Worst-case recovery slack is -4.406 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== @@ -1238,10 +1246,10 @@ Info (332146): Worst-case minimum pulse width slack is -2.289 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 368 megabytes - Info: Processing ended: Sun Apr 18 05:55:54 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 +Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 276 megabytes + Info: Processing ended: Sun Apr 18 06:27:24 2021 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 15eb332..8110278 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,19 +3,19 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : -9.431 -TNS : -683.489 +Slack : -9.035 +TNS : -651.992 Type : Setup 'PHI0' -Slack : -1.421 -TNS : -1.421 - -Type : Hold 'C25M' -Slack : 1.384 +Slack : 0.356 TNS : 0.000 Type : Hold 'PHI0' -Slack : 1.867 +Slack : -0.263 +TNS : -0.263 + +Type : Hold 'C25M' +Slack : 1.391 TNS : 0.000 Type : Recovery 'C25M'