From ed0821b322834ebe750bd751165758eb99fbb23b Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 18 Apr 2021 20:24:58 -0400 Subject: [PATCH] Added CKE back --- cpld/GR8RAM.qws | Bin 2322 -> 0 bytes cpld/GR8RAM.v | 25 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 24678 -> 25001 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4533 -> 4488 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1383 -> 1368 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3154 -> 3182 bytes cpld/db/GR8RAM.cmp.cdb | Bin 58514 -> 58459 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19696 -> 19821 bytes cpld/db/GR8RAM.cmp.idb | Bin 17286 -> 17648 bytes cpld/db/GR8RAM.cmp.kpt | Bin 222 -> 221 bytes cpld/db/GR8RAM.cmp.rdb | Bin 15869 -> 16277 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 104083 -> 103145 bytes cpld/db/GR8RAM.db_info | 2 +- cpld/db/GR8RAM.fit.qmsg | 78 +- cpld/db/GR8RAM.hier_info | 28 +- cpld/db/GR8RAM.hif | Bin 438 -> 439 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 177 bytes cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 413 bytes cpld/db/GR8RAM.map.cdb | Bin 20788 -> 21014 bytes cpld/db/GR8RAM.map.hdb | Bin 18503 -> 18562 bytes cpld/db/GR8RAM.map.qmsg | 46 +- cpld/db/GR8RAM.map.rdb | Bin 1247 -> 1238 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15399 -> 15401 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 191 bytes ...dr.flock => GR8RAM.quiproj.3044.rdr.flock} | 0 cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 339 -> 339 bytes cpld/db/GR8RAM.routing.rdb | Bin 1437 -> 1418 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15307 -> 15321 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 27836 -> 27780 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 195 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 21791 -> 21865 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 17033 -> 17026 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 216 bytes cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 14132 -> 14138 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 53983 -> 54282 bytes cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 191 bytes cpld/db/GR8RAM.vpr.ammdb | Bin 807 -> 820 bytes cpld/db/logic_util_heursitic.dat | Bin 13200 -> 13288 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 198 +-- .../GR8RAM.root_partition.map.kpt | Bin 3096 -> 3122 bytes cpld/output_files/GR8RAM.asm.rpt | 54 +- cpld/output_files/GR8RAM.cdf | 4 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 369 +++-- cpld/output_files/GR8RAM.fit.summary | 6 +- cpld/output_files/GR8RAM.flow.rpt | 102 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 90 +- cpld/output_files/GR8RAM.map.summary | 6 +- cpld/output_files/GR8RAM.pin | 2 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1376 +++++++++-------- cpld/output_files/GR8RAM.sta.summary | 16 +- 56 files changed, 1254 insertions(+), 1210 deletions(-) delete mode 100644 cpld/GR8RAM.qws rename cpld/db/{GR8RAM.quiproj.1680.rdr.flock => GR8RAM.quiproj.3044.rdr.flock} (100%) diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws deleted file mode 100644 index 6c79ab443485b08d1bae0671c80f1fb13f674e5f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2322 zcmeH}&r4KM6vw}B%xD!sxC@bpkYLOoqvNzHEj6g9(P$Hh&YMYbbVR3GgdlB#HvJbt ze}n%(&?acrs(+we%PjkR?|U=qj1wgkEqcSb_nsg3ymQa_-t*>azZ%auRkff^)itlD zs%b+jaO=oQDr1y^o@-K9bXoV&l(E=keI46J>0Hudt)f}Oi*?glW;KI!F>pnVtEg+b z4OhZfkkpj&!|bcihEG)z<@ zj9Dakq8Vc~#4m=jSPXK?K~{tOhM@(btuT%-dgs=^k-;dEqIqK3gY?aV)HM#hX5mAd zPMLO7hAGtlBKatDn!5Tl5$aW^dTVfV%r)w^$ayym747kOPMH@4Fd@KE8h!9I0!@uaQo^ zBFkT)yD+`*FIayGDh~*#62zai;VZ zItZukSyK2JVP`lQA8i;oQivPBBHJ~?$`@_=jx#Rf7z3(-`3v9&0(0q z9so^d+j^4gOn(9*+ktKJ2^TKAzaj++mN?cM&ZU;m+&g{zy5lOr7$4?8D27u{D6 z8+&s)ZXRwrPJRw9ZVqlvE*?5{3s+AYGYh(JCT4bYTy*O4blMiCbW-Lv?*ERAv3&l| z%(G_|M*o9n?&$xAr6&J}K(y0LoDOQKB$U%T))y@oFEN5oh2@rH-crRsL;FgnN`X!O z^|KV`i{AGr)vU>unAn}J@ti#FU+}Kz;ONyBkyxshP$icki`SBv-Y7_On=)k9qa5xD zNePuS>{RJ2s}h3?HM-xq7XMqERK+Yg-yn4N?6cOexJ{A*#H~0}^FeH8Q3TimV_k^? zr3Fw=gnC=&^|ln8oe-NzuR@FMYD6zahbt!juj8ao7)vCuPtnQ>mb_m-{hfYMyZeYkO~#Zx zWklr5%+MddW5xaTQ>}##1RDMMyT@=38M~x@wlmi)MgLe?#9uQH6L9oHXrIEa=++@| zt<|gY8DFeg)>Df9vYLNFZ&r^z^C`u+WpCDqJr*oIZxi9kFHw_3 zsZ*SgPo`jV#q?=JyX{EyU;`5mu{TaNLOe*Yz1rSzLnp$Yfe z;tZOsn*tVQzx!HR8|M{<8ZeMu8^qSlGv7|lOr6_!L2^ATJ8J)_&{~*A4z02)J28(B zGm`x*T>Jh5@t;KIl_kOwPZG5PGUdJxAEe}4o!<)KTjkNn_DOj}ntKrdhK6lgl9m4e zYUUYcoN?CCZCYHF|F{F<-xY5K3rSJ-e3Ou*tV(F7BWk>x$P&|;;QBK=3XH+}8Tvl4 zZ-Q4o8_$lH6+;s!Ya~!HU2_=BM@jCWGt#7F+ilSNkVn~3yE&OBp1q*{cgzKS4vUusiGGzhP*J~+HOiB{ z&HC?S!tc1U7TMc)#8OM+n%7$AA`~t%JWG|a5}KSktMCL?kZliP(5+;zU(|hf7F5)P zi;_b($dcJy+>QmAp9fz=lZGapPVbqaPHf(m&@{|Z*P^Se)R8Hgz@(ILs5I8)ZD)XwSB+&q1o=wATV>-drLC15NUUTnwM`kK?-+Y&2zH z=$tN3&kb)Sf|UufJVUTA!=S=y!IR(LWyZBY6Ff87H54(sALGpOcl>QGH-SKogQ2%< zAp$V{ijZ|<=X}cJTLmGTMH{Pd0Ak_>{cq0&$s=2yzZwjScCX|xE@aUV$J6E~NQYC} zNW~WWaoFm2el<%pZb*uQZ;xG7Nl%K_d!&A|mACZOtIg@4fca&U4T^Xc=>4a_Qes_GUt$&n=Qy`u5`}&X6od= z3N%}-c%M#*Fby#8$fVi=vzu|}%s2)##FM1Ux0@3j!QQj@@BNKOB_GvM3tf=h)y4Cq zM?etk~1HZ0PWzt4i7^?%*1(;-9x;76^KfPdr!Pn=oRGddy zTE<+$1ivtY9~5FQ^r<1pcLAe|ab`4z_I-f#-W2Xc087xr4nX+GQ_3c4iKFZ2QQEMF z0H(cMS-C!o9g7ec6==W1YM8pH?-8^3VQO{e@!H(EDF&GW+|V9cdgSgw@elGmwaE^7 zMII>1-Y{HhW5V_*R+O^74c?L7gTk#h6)t4bfPV|8{# z)6*6_QZ3|Cf!F|bLxi}Qm1)>E4w+I7-G4ylj&hjqX%38>vRjz($m;HSCo8GjKWINS z-tz`j_>*;Y(EM!#U$)uv2aMLnbrFRZ_Sgr0U^DSdJ>#fH{`~c*5Q*K+e&u8HBJ~|a z9R;+Ey<IwV#s#?$>x!0=6$nuYt*? zRJq7pO5ff{lbR^OFG}TTUd-?4m6K@3(LWPlbqyz}{h*AH$y~^IN+bbi4ow@!tcZ#p z+p^v^xacGovaZyUPip++Re@%;z8bYDi_&#nSGCO&rf12*7vr&;5*T9?U}pCIAt)#I|>OEV?{|66v1SYO3F)LzHq`kQwR+u==eoX;=IB#WfD-2!O)yT%kKVMSZe6r z;c(5x$fM>r2MIsz66f;=t)GtN`?cPs>eH!>NrrKq?t$Ax=NYILtPmM6dB+)ix9+*QE_6Erp%x{y;$_y!AqIMTqz^i3&#j2n6LYP=^a zbikcdQ^*%mSzaHIJTLqWp zixXsee%mhZ?f@5JY94sE-)tlTa+NY2B^+vVX#Z>F6_JvT^z;ZavAil2Aaap?r*kXp z3C&HI;zm5k(HHQ{Bv0Q?wMly9T#&1BuF4w{ig;yZXbXHm0IDu=r-;{n@^pXaMPKW* z`q2)?bAHG~I}hOLUZ-8NEf^w_FO563jDGlIXr8_V>dpNB+3=q_mGe1C!kCVuvAx{= zAB&b$9IlNIMIHBurG`Z3q)-5d77KTv;;MYpp>fUks>Sorw#qj=pLOyGSPQut+*Zrf z2q=BPs9ioQeCv|<;;#%tqf)p`KbL&+3V!ITB)$$fiHHw&5mi;Q-hc0(SLd&LWbA@z z8%vxj=8;oSGocDg90uCrX<%GuCZx`MFZ#VL`C1qaU*rP~(w$eC*nCMvo9DeZt`=aKL5++BDQq$W?LK~;!r$9` zBvUFAl{yRA;Op0ho>2SoDMoXeQn?df+aJ*D)bLQWklwVJdHZQWS;{2u2 z?bNfOIrbJA?_*ZaGHXT2%ljt2PPfo|EZG=f6!MA+*l;R0uW`GVt3Fu7l7vi-hDcn@ zEh6gH)yD)pz`3sdXW9Lcz{Pi*w>;pmHY>gv5P?0Rms_z7j`(*bnU#RNT=g#;EE6`#zDVljv~4_u#FfZ0hiqY##w++Z~31 z4!haT!VI=U9e{JPvn)&y+V64AC9O^CpSEDcdPEe7XY7l2fNUUJvEy;lXB>PIM=p6Mp=eh zlOI&89Wu9D8@YaJW^NA^fQhW`;huTo!0Cozc*}eO=|LA~zZZyhd;L1Br&r8V1bSwe zqEb{mHW$oOF8xD^+7a2p_UwjY8;&V8&7nV3R411)5p!fuZ@xS+IHjPcon2e%w3&NO zpNc2zCw%Y4mX$ue)`6~al`W859Cw#~sYXw~^NF%5Y&-HW!FDV)#7n27?JX7%F25Tj zh*oRV=}0uHw~O9k?lfEt`W|AqCBn$yBU;q+o#UjtM6P;^RF93=c^(ttHiEbVQ!nuy zl8TLT=Ph30`ykD*rImc}wWk?;km0itWk+{}|Kq#9@#HN+_zpd^u%)Y&!(v{AZ^3h8 zT!1DahAKzhRbQ$vb(4uYllczsLJ6YNZfzs_;&>159tln4FTeWPl55xWP7U>w-|OxHmd9FzT6PtOF3c2yER zIj4SHH%ghwGICxXCEHWBc3xhfPAz2LY4cltd6vp9DtypzLp09hwn9d&(Ckd=rc~vt41ffwR)t(`t?YlZpE_RrXJ_N#NAvNw3BVg{e(Q({rk-2op7CnYN%LNN2dt3~|VddFQS4p6G_dgpwI5^y(x z^9Da@^hUVhOKG)Y_ea*G=8XY-dE^5|beQ~JzNuV@T8i>NH6P+Srx+Q5RVXHmmM>rW zv#(mf#}IsvU8euo>Ga(2^lgO!F-Sv{I|fkvrMy|C+onYG>G}00R^-bWxt<*i%@N(T&vRp;L`Y!(e7=T#Cm+}LZ{}|*y2F!2G$A?y& zs|8h%5#`lY=&m=rkH!K1)!H(3{KxWHdkkV^gz4>Zyd|tS;(g1O1^BPGB`3*p4qod< z%je1xm0t~_4f4;Ia^}sLH!bvj>d;OfA7fS02>oX@moUz{(LY2>=6@aT%>2^wp>A8S ztPNQD&+5-BPsws@errv|ZrsY-x$mtnDr}ySe1AW`qF9d3vdKHg3KB=|VHrmKXL#?R z97%cFe1MVB()RM?4sm`My0fD&3=rqhPHe z{`Vd!ID(q;d*ozoJQ?_RY%InW@_Q`hG6L`Utez_;sX;vk#;6iuvlGbbW~EYu!QC8I zVSoTyv3x0_Zo3d){<{F$M|D|Jl+eMNQ?*C6Ux{7hdzs-%tTOpcomf zh1XG$nEx_+5n2HpUPVck&hDO6DbE|+4dCb|`Eo92{>h}$@%MSIWq^KCYvX>RSc0VH9pX~Mu+&LF=VG12xh(NNVmfC|pT&5fUhm#lG6E}wWr)n@ zU-|~g`%9OoBr z>wGFV;%St`71I=p1Ji!Q%^qEb+6(S(^xE2ff*f4F*_aSeQn9IK2j~>nOX*_~y=2j2 z`qm<^!#%H&V*jhSFMB9fVtcc-5Kmb+7>;8*zZ{H!l%X4@JS%}P5x<#xPi|xI14Q|1 zX%a2liz)`UFb#`m>v@bSJ9ZMKEAuWO1#(=`T<|64xc9_5-@x-#ijjzNSYV!J@SuSE ze*EIzQ!F~{&6RM9$oNrN)ZlnDdou}n7Zz5yCA+@IKmI3nWbUM{t6_?W*Zy<1tRy;W zh9jqVkuip>I`VLCQmx#%2hgW~fS5>zWypgnk@d3syMy^_SKVnz)SlrjcxslB^6?{hbm=|paA-OMk)=`r!W-9u%3++6h4d56^OB%IdF59d1hnA_#ndoxj|+6HcMFA;MEa zDuOhIRQ9^SE-IfSW3QI=oJ-f08zS*VsB7)F`|6oG29!mU{qd5aaD^}EmHA(v*@t_G z!Jr!zOKqHE${6jPq{xnTXXEW+m}81)z2DuyZ~OLU&`1;_-L>6cpG*j)>oa}u+uuu_ zZqx}m{lHM2;(wyM_+g-#=YG0gm`}u>{iLvRN8nan+>!kn{&ZJ#NPfe`E?u2lZr4cB z6je79mKW%IkP=c5GEkh&;eO+NoJ5K8E*uAw!+E;{rJSd{lbroBj3~~?UPq#)?1rpG zoIgF;Eb7Po3@=n}zu-t$Ks-K*Gp%6z^UFX5WZ-6Y#8)J&`Kb7NPCxXdhS}F@L}NO? z>eReoL=K?=S=AThMh=HE%?_Z%`xMQne?$DofFa?9?EF{|zf8M#XV&5E%G;p?65?!R z;iHBB0IB=^!gtHlZMCiAvi%)M598(hU%mFYk5wukOLU+Lha4wk90mecNpa@i)$0$! zE^*;_^#P@EHvzOdC&Q(kPM9DA;S=)SIx^G-2P|^$wH>Vd*t4w1>DNcSzB_lxkQ`g;)R85kM6dadE-6%%>@L!LJOZiZQ{D1&GewE7e6Txl|J?V=Mvtsk<)e< z{6q84tMCK-8dQ-h>fC*#e#~L`Nl(N{?LKhSVf+aoUh`o9Rocu2zd>{tnFnU&cd^BY z|EFEfK2Ua2xIcgfDUCd_Kn732H|VEE{sQMhb&uMty{KczVEdDv8&!Rv#kNmj39Tal zUY(3Q3)prrK^ir?dAOG^qpto18mBo8c{ls{Uzk6xp#IBA*%j*WKe!inTzG0OL(&S_ zq4ejU$}P_V%U4iK|D%j*DVDSS(XSP=X#1A_YRs+jZ`@tDE@#ue&-Q=n@ipFAMh#EA zf13CjD0c<2=cyIH2DM9NQ8*{%vq0ePvU1>iaWLU$luhL| zVy|0aG3P>8^v)rq`J&Y%uq*%ZAur}T2gf=w!W5z!s(iHm#X7|T*Y)TjHxoj&=hwJ- z)~-JlC>>hZ8A?-V23dSZ!0{?G3Io@lHvIm3^+Utd)h(N2kW)Nl%mxDA*1v>g7@5+= zsXaP6%eC{qI)ja*=72K`AR&wRXE{cJcuml_>15T=H^VsOe@cGA7}cY&3DKUgaEc^Xib7=I663uj0Q-HikgKWc1%-dr(Gr3I9lej&vld;DGs%GKu z%ROBxFN{v&xq~$DW9Mcw1f@5Ffu|K z8qkIQiYY9uyZlz9?Uz4O;N(U30U~zI5Mq6DWW;z4gwfEz4T_^3r{3kJM7~l7&9zRw zS!H{&0Us%}Kja@Nz?EDA5SDWFb#7%Gh+j4r4{mt?1CCTcijZ(A;&7n3cGhzXs}n$d zA+zGFy)RM z!Vd5g@@L43lyl+E;c#)%u0dbD$x)u+>odNyQ8DtLoV^$KqQMWD#iVAvf(X7u1k9w( zX1kh7F6M9{GBb5#WJBrtQVCDX->iiP%2Sq%LTbqIgXWg(>S*XXh6I@KXql2Lby`iK zGx<_m->8wx)0~*GRCdA0P$fMUicPx+pE3znbj!`f8OiM|%e7yG!sDtzRy0DH5=O44 z*ZHAY#@KdMM_~aiQ_ww@JUVVdr*~;ru;kMhUDHC~OQI`uVeEgUvwV>fgMfP;vUBPH zcXr;q7U5J!;>5p$w58bVlSePcb5%u#1t^LKniLpdq^xd-I_C2|+!GxAR1}|j;Bq`W zos4;bUc?*4lhF^`zb3uTd`~((EjtJ!4vn%yA1HWs{GBCH$BZ4`Bje#NRSZr2X;_;- zCJn91Cz?IK{{}KFWNl4ksQWk=B@%KNbd?IrDo?<11vJ3YwJ^or!#4d1( zqCR7%aKjMHk#a+xH>C0?Kr#5EmK>L0c!$FoR-QNV>MZp4D@jq>5nM`eImtJAc>%_A zlxP5)bob2tRvf~ZFG%B%{rQ}Zq#w~7OdZ*anGX>(0(pJfte8(i)8Xvm`Dj!x;n4{O zX5H>>Q?J@LxxvZ8I8m)h@yz*Y+fB-HQ2rdqd&HbovGn-|oEr*hqp(?8#=O!)p-j<> zHyqZkDiK-NSeYGOysPON&+R%+q8gASXy>0~`8285OFTXh&0t}Yq%!Tf6lb_!NAf%n z5LI!GQ~ECUkA!8r|JKI1rN?pP@Fbe(1AMFS{1f@0W?828BAGs5sGk@5A#V$PcLw9`jJhqGaT1hQdZNe(1Bu;pVhuq~U6VgChVaWkvv)9t#_=1EIceu*EAoNw=u+An7~68TmLbQY`?9OR3ouZ0`G zG_viW--hP1Mc4Ms@Jt%|uk`xAnI4n8kehEcbSM?}id2l#IEwJ~7%jcg{o`Q%YEV^@ z@1-#+yu^9KEX9r1@(Ks4W{>|3lQVy*s;8%&l5y z#;2bMqCc&mQd)A*!R((~aCp+SL=_S*z_g@>)bgcVG46o<;&`ab;R5bMmp-V3zPkn8ge6HSbnME zOV`-V={H_(q%GcTkZ7ks-#!ehb(<+%kUSI;;p3wH{(4P+d$;!_Mft~r0PZCq z7rrYYDZ<5vo0?Mld71A?uQB8CL(ww(5#;`LJ({JOWneY`3*K^R^#fkxe zZy>A{w&zN7)I3UZ1HK~^Vz_i75xb2$V>Fp`{$M)j{NhRPNZBSLRqEj^$*qkCNz?W? zJPQ{47{;b8B{Wg(rs`(sHs{A?=9^&|0BYa;%uf=?YY(1X&UoffMLW3O_fs?%`l_@P z+>Nl>*^Yg7i^KMr5#|2YmE9T-%EC5GZ%sqD?50=z%hF?U^_338*M)-|l9n9Z;@Ya% zx*)IFB7DmHXTwLm^D%Cyl36kDd@hZBAmO@yhKyz>t|y=J6cZP-tmZa5)ngTIMo5zDGl4UaD3p?D|Dd2=0n@ zkl}B!3%iJ@=el#IxZ6tO`hmgPzxFfCA{}`jaq`(ZM{VxrpaodfENvJ3k0UL z)4fm;w&5VRVEvmLon39KezG3N_2Y)n#)lgV9wPWE&zGkK#or(yl3k~94Cu+u@AAxQ zK>}|(loR^3^Q7_YmJLfb7Q113UoDL;&MNvbue!N1_w$SfSjGHY-zaI*OXBA(Iz`ug zIK;mIGJ|z9FA58ZM5q^rsfn=_LjRJS^@mcv(4C$uru){ARQzI}FIecy9+Wjs4f-X? z{=38*QJy@U=hF)rc|$^GUw4g4G9_xX)N@@3PD0?3G4Q*wjcOYJr zTI6#ne-_4PYj7UpoZN5NF(G210HyV2pDQtOxCg#JTaa#Dz08^NgwNSpq@8m0@B@QL zySe=yhblV&SrBUns3K@`2LmNC4ORbo zpn&i0PNABbY?w8K`vk+IcGzus{KWOAIARhf7(_1-zStJ#B9=wqfBQfooP8m8Alc(o zINq{%Ha;6Ji7+1ft;)eHzI(vJ=Yv1NsDwk#B5z@z(}MWowX>2zG`AGy zBNKq#kZ?5N;tTMz%ds}Bt~lbiW`g1=p5Bb;9kfFY$#t(+yr~tMBO-D4tcLKc4z0mWp5b z&f&mYKs=itx;GSTb|wXQY_SbS{V@is8!|wEpc;M^^HB33g#Rk?W9Tc@e=nlbf$8q; z%_vM|Y)D)U&%JlD{qY$}-U`e*Dz%d;i~SZ9+txeX41UR(1x$e6wTe1pQ;R?GLXmOt zgiDxTCe`xzVQUQVjz>F|x_C683S{hJRmn0WoMU-KlT19}?c4g;yEEp5q$>lHAuY$) zv!zYuVvaWB=H~LFQFM*Ii(T-v_y#}7kEP~5RKjmN8lgW!AsCO&- zA4jZ81+}?gzJ?6U$22PF{xpD_+z95bI>6W?K zK6r4GH?e7Vdk{H@5`uR6XXShHZv;Jfc6Bcg9ny)L(bUN5*^-*Gr#_S>!NQtq!~#=x zPL2{^k!Dbn5ysr+nu+3cVSPS=)`7eo8sfqOYsBCfG1?~?;SX0k@Vi!J4vvH1P_JDh zMDeB)vlDm`=V|&+GA#>getCARo^|G;j00}aoqWl}gyc|6R_iiB^fxMoa^(JK4!Gi; zzPaXIKJfp;@w&smi}ub`d~nuVGQ@BRds#u~V|1{Y!baSJ^wGgjQqi-|v~N}_3y#k& z<0S)}usOiuFDK2*3gX)I+NIv#hC7^^5h6|R19K0d0S{y{kX7BwSf_zjX^1eM-}K^5 zk#c+1UFk~t{YOA1o82YpKqMC1hFF#pQ=#?SG6dUExA0`2k>0GG<*gS{9CwraAjc%qL9hO{SsOSJ43fBdEce(f{#+akv4NkO^qah9R+!cS^FE)z!G^+plZ=f}5&nOEY2s%JcgWGtuEzW!%z z@t)Nji%AZ!4VlXg4(4L{BmFGsPve#VUT;B}ugc5s0ZyR=gt74Xr8C9la68q_Pm}Wi zskK=ww$%&FjjD?#^5~T86A|Ow?3+bEPl_6WxD(?wCcwV`XP2QSfkRKgjgbB*N=0?B zwfAbLyWRuulk>);r)Z=8JC;Rt2iLmT!*|8s16;dTUvyJ3b3lPhk-sSG8oU_DbSo(hxXv~0NuAE z-)u+vnA{HBvnpJPOI=&OU_(O_Fr0P0K6SZt#=NIdeaA#7oZDx{KuE3s@+{8II?zu7 zj`P=ZA40-&cDeT#%blm_@y{LMP)7Y#_=%=IYRYkkkk=k5(LO+EX61;i2U%Cowk9NP z+1-Ll&GZj43fe~cfL14OA(M}CkRO927*5D@k+Kl8Gij@;l{_$(mMek3ZgYW4p}NWX zHRE(h^7PS)qtCJ+VN7G5g=a`?CAPIdyT617h=#Mvr@F{kwEOC)wjdS}Sm}bJ?|cef z0X*?y#{oP=fyw`hJ1Y)dMeDmgSpi*P*fugO;N_sMPbK|`G1!{lrg+Lo0IsLt(6mu; zTeb>15pt(hdiDW@GZ?2`v7X~rm4AaikMy&02W|`>D&h>TXomR~za^o{>Jk;WGmy#l zC2xiPI!K9mx*>s0@xk`VtEOpL1L;vw8qHv5Gpoj*f*3>hT=!)7`?%IY>RGrb+?A)s zekz+lo0&--d4LcuGdv?G_-VOC2_X*1I>=~@+MBZ?22z2I{xslLdidR6UbgdXiqrk2 z01xK}Jr4gMTFzD}tnAl{)(0IHt~Y;BnT6Q?lGvci zD0eFrt?dJSP?>SO{y15z6E3miQRWNh6k`Z!1}|dl3|Zxe7{c^4bKJ6wyBVe(ci7IP zjf!6m>Kg<67n<*`w5*ceXhW*poHV2t+zl|p-1di1n(J}p0e-&KlV~Vr?7+Xr0f^hn zi-=2d!N-pp4?DTbL3WB&fw?HHp47mLT+e%4*MNdEhhQaSsvRnIvt=Lap1Q0roKal4 z{C!Pf@r`58E0zy{whhWl0a>!aY%i(5j3I?J8=nn1K+0iP<`PY2*)F#epcLGNK@xXP zR2>NHe?A8aS9tOemch$SnT8g`QV4w8*p6zW74U11q3Yf=+kyK}L*>G%yMskr_Z80~ zx^`BSluaiF!yjg6>F@8}h3-?4!kkeb_8-HCF&Ji?H}WV|@=f6Nso2fN1vV4Qxk8K!Z)9%?u>T zZWg=Zt%~1hFHIqS#1rQf-u+yg_DP#F#X1QPCB>b_qMShj7YQ_^0P-*>& zJS(;Z!#{9oia?p*Nk$I-Q@E?z+U4wctCsEBD5b$|LI%!};5jScAmBgy|AAN08H(sm z=_s|!2EJc*vEZW{<=(lCjmpzrR(mR@7BAYF39|*61dJ>q(qo&2skWEz%Q7lDS8`Q8 zJ#j^-%x+(f{oOCec&3uEttBCuPg&77AJ>(iHd%w#d}jd43MGoTUbEb7bE%x zH+U+yDL($z%+1REdowxwy^4!a5&ehXKNQu;Wbc+Wm7pO^EY(8Df&{Pu=MQb!V~u$) zZJU)3|O6L*;0j}_I5oFOw*Rve+sQ?5Qi zNB8L33zX8)$o>2p26mtAp4g&~ADT^E=Qm(C@J!hjCpW0;doR4XWdlck)a2oQPLuVb zMD-maqd)Ing_hQkMA@h)D+ov~lP*fnK!f{Q=Py#R!+&K!M5A_#Y0VL9`)`u?X1_w; z?AL_r=RZF&nUu%!e#Z1D>#f*mlnhW$2TLEq$8oJclCY(bmO&nCgssGjGT=?DX#iEd z$8K=+OGH|Pqw73z{_}Kg%jmZ_Fl7Wz_*>zU;>h+$x*m4cEfZqF+l2Ykp#t`4k9gT^ zI-F&G@0x4&Q9y4maoFDI$AQUo8hm>k2g{~I%A55IEBT*uUEz@cO5%RA=o|3%DM=IN zo!nO@=g%NkE`s$D7onz!}xj_bOlPCCQEvR!(otukgqJ)c$acz)vv z>3Ce*{0skyd(8RUpP_hUKN5M%zTy1g9=Q)m7wgPC?&&R)ml64bji)CTJp zrDDfLspvWxBjRaj@D9eImGrGwvtrHszl{2_mJ^Z#qN-9~qEq=gA9WXPsL@#w&to@%(>M|wW?UScRGXi8nTs~`>&K@m zhvd;@cc;R?a8>4VAXoB+cH?QV$h>vtk!3>$c5z=fQ*Ykwm}$8BEQVr4)n2zxPyD- zCVb*B;Wo5SGOgVcChbuh&OJIqV*c=Zd0xr)xi)mzMl5m%j&>%xMcTvf@vvqz*ZH82 zb)eld%~T(gTuAK`ERFh;Ril{N#NYBxZ@yo_rs}*0fiFldf+Kn0TlKuMq=!x=#blF;V zA$935J6UepSy za1N(n3ogF zy~<$u*ydsUG8QHtwK}@#p+vQJ$%4bmG?Dleb2jSY8&zR}CV3B#vP64gKr5tLy%Yk~T`;Lc-fV zZ#QLr?zJ*odY7EIwIs-}juwxR$}Bh3=-Zce3*Q*3A=XAm&BKDhh$oZXyAaVxXb&_c($w9T)&H8rnrXiQ4KBDTJl|D)KIabr; z%zpI1p`3I2f?D0o$;F7i2Rzbw^iB2l zkv`u_pU2dvo@3*2GbzH3OlmV{%dx&l<6@I|OQ}a#YFXa7YW4A6!td+9r!-9h>Ogg) z>2D-eYB8B^ z9(Bh>V3;Wzop&F>oG`OL&h_zdZN81-C`_nVzzDcKL#JU1U@v*uM4^wl54`<3r;n084ltor6-MEs_KQCJZv4eZ2zGW-Y*rR zhNX6Jv2DN(e%aCszlEI^+f@@Y?j$i$wFfYvd6M#{TCvQuQ^+sn&K4hHz_*AxElzE} zqGG00-o#Z|o!j2*z+OhTnmSOR`?2ES;lj8?h+1QSxG-^f30VOwWWq#2E$`50I`(f^ z2u6ZpncidVI&Xag12)VrlFw(X4c0g^D22WJQ|}39mdE9BaL@nH2i*zroTaXV9)1Rx zZKsH<)W*o_ZK60;fB*Jqrnvp=$R?N8vu>d%(JV4LXgnw8>+}q6&xE4}x1Tl6<`RK0 zx7f6bt$A4qe25Bgrp0{$EI6lfi?WsY)odXRxJ$|aMhyZeYUumTMrbR;_JZ%y`l;N| zV78d3wVrRXdF1hAodB_Dbsdx6IwqBRu882FERndr%NAfsf8b{Q60e`N3u}*&^`j5M zJMAV}c$8@J-1%V>!uSA=5j8A?I3{GcDp*I?e`b&?_^>xM z2CNz?6!wQZGD2oET>QKsms_qwaKbZcN_UiJ2)BP`o}vKlxJf2*K7I7!#i3$uAKI&V z4hJ;SqB&7_vb~Xtkfod&Gx-`V^oo$4w~mALL4#GC?z4&_&G**gU;j=g`5kP*ZO@x` za*D=9<4e1AOVG{BdGm5#tGyQDNleSvwzk>aNOMzjPPR(>{dpd%?9{hDQ8qB>av;x6 zPwi`v!ucN~I=>)AI<20T$WWr6jXP=x!?W=eK{OuU{LgPxWk~mHk20+N>}wOOs}rmr z)55dK%g>k9WEeZrnpR!vtpQHa)<+z#uIVaF@R5>$LlXpR8%?<|bN$-u?=k|?yUkOKP{3G8!f=C@&`b!nzMxzBsfAE)^*8YZz@VGswO6XMIqIN+msf^UfU2mV@{zBt4V>wEq2g zndi2_Rq;Z-+{8_{*mEe>p3N7^X*8LrE4j^ib`;)Qv?-dan6m_kjUiXD|tH$1t zW-x*x=|;0kT}Wn+jTIVXgtdR8nUxc7b)P0R`_+u`6VchC?Zs=J8nWHFz|u9NJ#q~( z$-PC*4gEc^#9dMMk z8pql%H6!|`qhAA8fRS|@!PfP)YX{X*n%B=p#^dx$Zfc?_5Yk><>p1{G&=-{+mpWBw z`HX#DQ81dOlF8?=y~pBy>RE2|+Jzshs-v{gYSb0iYSbhd8GY1=$y^d`{3~BqzS72) zm;UN8CfMpq*(H|F`Js;EA>SLHLWqWSB3X`60U4d|t-9iSO2jf)BnXgcHV%@@KJ6%K z%??#rj&--Syc3u5zIx~Sz$SB>OaI1&P*oBAPpzeX#J!b^X6G|c$xlCCyf9c7^EhVq zdr^|Rqr>ZJ)n;Qb-5FdX8`R`^`%%E(hv9w-{!3eQ?Kg})UJvXV_Dn`N8^@eVdr5-} zT*pXq{LGd`&jLL*td+q0LG+jsZKN~ZV2DQkO5 z@6}K3CKU_JY0DYJ?N-#V6MSaMtv~fEG~e5M@c*^@6i?!CP?fjdFfFy(Rc`IIcDQbw z{!cc`k1Dzw&?t7S>BAz4$;6cIn0Nnz|2#zK=Jvv8;;&&1h%7L$Blm=uKDzIp-;;oO zV7$MCn`6FHZ?v*OMVNHuV^V*l)ubL*>>X4nnMxsF%TLpEz-wHuc0SU6b_pzY{NbFl znYfh^Rqsygd2m|aP#54r+lXqne|kATMo*tpc0Suc{aQ%J4qIK}bSK{g(L&X~kyM2@?D;J#c$%&sF-)#g=>}O)9)pd!xX21H=s;} z*^QKKpt#W*=SsK^CmDz5Sv!7=p&$KBVB6#>I2#QlOU{Djd4s5v7V#Pvtb2elDCTlk zz~aUH%9|Oo{lloCv^k1YBhsWR*%vEg^(I>Qw6Sk!aO)I*YQA?$GlJm?cXf_+bTs8c zDyefdNAn50NO2PtL{hG!uPX~=cWrEG2dR9~Nat$Q45(MDE3(6%Bm-#U2^zO;qui$5 z4oXxcK@BQWHl4F3%5^Qli@wLM*n^Ku2k8y#Lt6?|ht4FOGt62!35U8@{s!m>so1WI zMS$S5>($1h=-m%5xwZ9ivh_Q^yUxSz^w)uVL(O0Xj=?gEnZ7tDazXfERxZ;$yqJ*q z^uT>XOg%c&`zDSzb$(oUaeC(=D581#X0?^e zR2(9W3|Hnrm!2e)1g>;IXlb`ps&+`9uYN*2i4~_jzz@v9MsmfO9vg5Zo3hHqL)5O! zAU~nXc;IT!SZwmkvk)@RO*qFxbjA8A)cX$sVf&JIQnw?SXF$a4*eVocVKw+~iuB?P zVfp_8>>v~0r>D2`+hk|n7zO@2)1^g;PuZbLem*_R(XluO{y2x@{qj}^@BQ)=2k-r& zcc_9tHdntq&*|m;@>%(XT|*zw*zHZuKHe{HckypOuLHcdi>Lo7(VrW6d%wS-f&RNh z-{8CWom=%55Z>=Eiaa^z{b+ijv*D>M$eY9bb*|IP+xauHGmmQCN9Vnr&v$xyKfXhD zW`_=V??>)Dnq55oPm4YeDe#Ddr~gI=@9A?FnNK+Y-{eQxJFp^tju(C7>*-u5I;^2S zp!J5IXAL$fi~4cZ`~Gd=*vLZA4Ox6o!?DAWZXUan`<=R&bdu-eL^hyb=T{i z+(}lg>``F_N~2O=f^;6ppM68iYojy-)ITt8OlpAhI(8WaXwmcwzZ-d-IQRjppx zOxyd~p&cIk%Pe87s;?Z%5AE>4D(6y>YgF58yNnR+>`c^T=L%$ob}ReEDBtx(|F%Y_ z$zQ|q=Yej0TzgZ$_Hp8!;p6IGUHsVdOT+_T)EnZ=`h94NP%DySJ51V#eqZeTWxy&6eaOn$ zxjIwux^Z^jGE?C=iSPY4F#z4gRWe z8y*zHYOOZgUYesgCSy;XD&E;(|9XF!jsIFyqgw+Q{fL>J!v1t!~LEAJUqXk>W-~i{Js`Hv;ENw6U!nww!@@-X#a8_(7>v)xS+xQ z_2)ci?O$1}tQ5(y9VYEV`=3&aX9L&s60`Ql7>V76_Ni+1$}D_ok6Am++M%?-tQ}hH zFdTp=o?B$5ol4@J4aHVMS zhw1pxI1b$~ziiissiz+i_Z8RZ+=Ju3F?85BX785IUtJle7wGUDti7YVQ=~7rsU@Og z&s=!;j|<=K%oi{qr zhmOrxIXcK3$q%5fdciR=JUEoq+)3L2d3bk)_sTf)`iQUhI6~6LH1!)RE0&%+Z*bl% zf`wpAb5+6)5};lkQ6+Lnpe|prYMD?;y7Qth8ii{32RgsaXPo)AEhkJq(A`fP{X~Zd zY{`T-1weabBD_eKzqF>eO-4i)LLnYO>#rxGZz)9mvdsSW%P1z3F-La2u^HY(;+%+2 zan4ka`1=|DK?3qLyzo5H!ns9Jf3tig{Jljz{n0D5HbfqIg}E_E4EHmgYBHRW_`4|o z2yd}*E-MMao6M^{@RTtM6}4aM3cqi6d3?`~*apDTR)dnJkc;y9$nwmMkrf2X_gKny zQ%pxi*;4*D(WG6mgFDys+=zd!oA+&vmVf?)K4$GrbPN^w$>ZQTudgr;)~;N->h!bE zI(Hroq_3!xAALh$ScHZ#|9Hvpg<@zQ&2WavVj|oV9eftZHO|62V`Qof%ooa@kBLD- zPfOEQYFKs1!NXsr(($G8a}1OMc<502WO&uDQRyTd!Q**&tB0Yc&hFI`uOpi0R&?i9 z{p6LT;QOK-xmCY(B{WUqUD2u^xDpzDy(72kcdh!NRfBdvv}&&ChjxHX5&h5(bZA|o zBt+N2$1kjYD^2YB;Q2V|gXaUK3m!dc?aIZghGXR2J2))Tf)rb{f-$^6iq1mqgyDl4Eta)8_}QA8R>*xH?C9!m368~| z=oHY(2}Qdl6!7rY?n_iZ=I~_;&Rl4%U~Tg!{bJ0`%>h_;`lYMRT;Q=DQp#1(wmxJt z+LTE3a!ExejK}`;NFDeSZm(zi;xnxp09#XPE3HLG9PSCE@cvw`4*cs&S&H2Gv<>+4 zmDNrjn}GjqPxx`M;h94Jfu-#W-`-RQo^KfFd}sKd_k{0Dzc2iU^pkCU zcN6?)lr=gPz|Cy~F3%UA)+l#w983voF?EO zaK`{!q~~(S!1F6RI!7LxfLDxG@{B_O{+B)B;}63W<7jgp`h0Um)q>-33HZKa3hNKi zC67(ezqXA1q6t{Pt1?)*V)?44p1fw|lBy@q6(-m^NzNwL6e|y2zG|snXDSmIdn%qz zi}9r6)&%^xF~$=};r+Q>9r*t$`$CaBpSA&i%@})moJr()-0%CvM|@YTQT}Q@xX2{K zcY7)Ce!J3ll5!+%qZWK8ugiax+fnF?GQg6Es!jgZlp5gL4X_BisE5H&-3L^w@H&b7 z7mlINRRf!mm62ewrwmlYQFgmATwubN1O!$9s;~b|Q~HD#k6Ly7!oAt}4+$>szQdq+ zbHo}qm%`=!e;9Jfjm^eoQH=|$?n@&Vlx<6Jx#o{UF8V4}qb>_;T-J!oCwg$Xsw0;L zH7-vOmrGi>pr7n~U=4}a>3$cHa>_@f18PWHYm967?qi@4k@l}4-BU=v8P93I8q)2B zRDY7cE@b4iZw+ZnA^F!q>L_7H5ku@#L%O4o>hJK>(ITgMklsN^^%t+QNcEWGjpav1 zLnzWm64B0`G&@1uE!M9U*c0`+m0Xl=7MPi8c7foq+DV%^5BzBI`jBNb+aETYsBlX zL6c&~tkTZ95rxSQ6zMw)k+%EV30Dr84c!Iaj?(I#QL~?9x;&bRS>5z^5rQ$hpJQUx zA+tK(Zo+%-cxH78x9H5QZjlEI&$e?~G#lC;bKK<2*s9sW;Xni4LNWVji)LX(wPZ=+ zw3Y6vFn7gM*>T};gbGsX>h_Yz&EL~B`y02q^$+OGZ8)^SZCl3o4&3T)dr)U?!!Z$V z_ZK(28``E@-EZ4<<~AHm;r0nx^602rZXPyE4o6e4u65jUv+>Yxb-a6tn?{%dKiNHU z^BJXatIK$q;}(}d9%(S-R=3Igj@;_@_d6}^-0J>b+L2p5wqDs;w|XqEbz^IjC68N~ z_P2kN?e~j=WX{_|OV)>y-L%_--!Xg6-}nt~bs4$-{+u#cId04~Vf?QTB@Yradju!v z0Ap4+KR;B-$46n7Gf2g(K9cMtW)F;-wK1zl)`P^ze%-f~S>4%-of&h(ooQC@G+cJR zB5IZ!5Gu{;alE~lZP!7wdSBBMD#eQ;vsTUOXb%vx?K?25i+Gs2%oDn3RyWAr+Naqe zGHWwq-S{`C$9%L4v%0HcHm`*l*5~OaJEnB;$woUU1vHC%j5NXncUb120FwZTw!gbu18%3mi zk(AmiPM|q%419;IUVEy?*`|aqXdA=paF6#=1(bbA94*??FD2W{-9>ZGf*yaa;Ge6Y zJtbO>NNY>Ty{vR-0bK5K+2aF$*OGRC_vdo;AInC4;PC}nw8&%A<_@oIu3q}e{5FLb zV~ryK;^jk%2VDdN_&vuU@$l$=E*`!u{5BNDi+#X7(9gm0uw1o)YXAh2IvPmiv56~5 zT@V%mBxh6DDX#Vm4Ww_#^1A}u_YppMY{Dhm_Jr?4pWi^}V=0YM#es79m*P0B`xUK; zr*<_=6apd-bpN5%<^1+VA9;=!T@Aa?CXY>gW3>z>xc_~!K$daJ&?SkOnFhxgR?V?F5~(UX4P@laPk zz5La8Jp8+!^k3i8KE!h$f4yAtyif77D#j0&SZ(RQMc>Cye6Ohy<=s8yVWrqde!V5r z%U@bg^@8X3!}`ecx}Nk;=}G@JJ?Wp?lm4rE(tk=%`ovowdp@Hl{pa?i|LLCe@7$CA z+@A2fR@BEnC-tQN{+{%?i`qvXJtoo%UYDr7^xdN;{VRLY-?1lsZCUk_zi&MJx+i_@ z;q|gV<9Q$d-n}RNPxYk#v!3v*_r4&R;&XA~-M+@jNGBd8`o}4&S^%fFE?d_>><#p> z56|26f&X4lcnRK19&QL|X9Zo!W7GE~kDuSiAv{L#Pt+|0!I85m?CdN(EItipAYAFG z_f)&)irm&TSMZ9`vy8fu)VeI(uydM2)uIRr) z^mWq*A7}5N(QXNOE|%;DZ|zMb@Gn>^7rxAF~WIJ^jDYpZn={`_b`{&34QnNX`dhV z=)chaQcr&!+f$ysC668m3-OkFFwE-<+%=+a_V2RZ*j@ItWowsZ+`UR!f;{8;3--CE zgZHxL>cF#!t#g5X4#u|u|E`|!F~&O4C!U`q`GfU^89^I`qw#sjk8f-eSzPtr#yZ2?F;|>4J^;U_oUAzv+PbDnvN50~XUPwU>zex_7-m>Z^Ze&gOU?;0HL@K}2L=ht$0zK#18dyg>} z&wRkg-aj^co|X8sb}*kqdZQZ(z;Agy zN|cJf6TJP*n51kqEAXc%zpgY@_ERB=bT#`B8V=(7_e|+)}P0D_GJf zamGHm?NN3R?Ys1#Fa3%>^2`@JFZSH4qkTRsxMd}5(081D7|O=2a(P=qADuXEr73=% z81xy)7{4!4euOeK#tmeQ*Pjp%9=iu#yjl~_ik(!#768U&S`Ehn_b4|4DO3daPruMo&HU*%OiZ3c`I%=6%fza$uosM&qeWUChf9SYeL_j zI(>CHG%|pA0z5-FSN42hq_6wqt?5$1?clZ~as!knK#YD|IBYf0J}&MA65xO(jkAwH z3gCLAq6aLXn>9T4$A)G{29mbK^ARs|YuzsX-KDfq(MH$K5eGcCtz+`oG*@sRP<#rI zJT?J8<>ViS0Q^BE?P44(=dtH&d&0~7^xXpdaS8f;;I$4fp4F577ka>J%r15La5b`{+NB_e9eZNKN$E$Jk5&Aw|@~kN5q_G!J$ny** zzm1D6ZZ7sIZ5iy@0%xZRzvXR>EoHC8tLThf=qJ2-eHRe=#3-e5m(=^afV;W%!#D<9 zJoJeN>+c=>8dwAW%<|%_pkds6Cy?Uu9^()2yeB}Z+$9x<^?;u%x-afwPsVd_BnUa1 z>_YMI%!MM4NBpAfKiNGdzK$2R&&to^8GV-ByE-w`Ww`xh2JQT0j^SC!k``Clv6a9x z1lX}Fi(ETHQI3xAEbJYL@YeZMykk8m@4N_GDbld1S}oI|ovGXCd;X*F0emo5d=0*A zDgSdVAE!m%%p<)OeSboi3DpwYw?msL*0)ioi|6>dYxRI)KMBNLgT;{!Kg1AD>L2>5 zsO)gdw5XOTv1e%?c%rib*-siVWa=@f(C)jg-M#W{;Br}Hm$F97+P!@ClPg>nd3|r5 zUt&SW%VV@?_x|(k9=J6v9xGyL>Y+>0?0Ly5kgzMV|l zjjwMv9^lZf!j>#XCbV0>=mIa8DsDaepA)^l8U4aj5Pzk7jk1S#Q&Yh?`^hc#bl#)SR^U7}V$)%tJdhvQH^gO)R_m?GZ1&_YOP)GQnZwfEmN*?H&zQj5D0#7!*kRQEh z^KmWl1070@fz&HG(JO^Fy>jrTm#5zZ&vuZ>=;0ZEBtRGkkY_(JN`Fi0t7^w~!XMl- zj`7q<+)i*m!u0}yshd@aI&agc8TNx6#EO%0Ur3;@9sa0+QH^H@peu)G^*E!hCj<4Q~ufQ$}2t@a;rog z<;hn@`%W*I*x^I%od{&Itm;(R}dd;67j6Tf|ZAXC~JxBg0xW34G*VbSeLo`Z-yWr!P-+&(bws5Fnn|xRq$JRO zV(sxX=s1jRWKJ1*1FHg@hJ)3?B3>v!R;F`@4xS=A-k|GKe^va0Puo2cRNAXl^S6!l zb{1^q8e=;b${O}%8JB^L?u-#oGx0|PRoA;C9qft(shKq_{MmkjGp0?BeN~IvEWcXS z)@_0jwONCCBrjU{&Sur{gBI48B~(T%F5H^d3`#6Zu;ow2Aq!>%86=QXw~cVlA@lww z$Qq?<%8wv(@$8cyOWE}FmK#B)tNG-SSpu{oYpxm58Ij36vD%8<#`%lmkQoGg@?!IB zb1P?nee%dGH(Qa@g_=hmYwb49W38QzMV|B5#)*wiK6&J^2IIQ633;r++BlCjnCD7e zkrg_Hss+d6l9=~?)}81T-C`VV&O?6!_$i?l;Ezia(%+!+#}~_sak6uM68Zg&=y5!t zf9KMc#W+~b10Sz^HyC`McWscTFMQu;BQ;i{YiE7q!yBF9``R;p*tj$OzVb8c_R&|1 zonG)e_Oxf4{I-+B_#NY3q7M^`Y+E4?Tgxl*TsypcyX_p(9^)*K8TaGSz}xi~2u<*| z15X_1_|mTTJ+N;`O>}I60bcd7@Ll-o$0i*elVDI(HC1k!Ummr|&-JL`G|6xBXj-k= z;r8o9S;^b7q&3~pUczJl60ZIqIqNOuQP*~F=XirP5NOiN!+RcG;F+sU)-Lc`e)od+ zJBeNB_m!XByFT*w)z|O9OEyb|RVZ!Uh3tLhkK=eC*Tp_@H#WkDf`3I-Q^ooVD?+dD z*6T_r@yy;Cq20@GG$(=YLMM0eto>SSIPl|?$x*+Ge5QqcJPj6HBX)=iwi!s2%T_&P z;ev(XDK(W^A5Dik;Hsl|Exjq((crvtATRJN`Gt|ZN?gM7y(v9D;n#`$f>Qd0+VG6z zbCSbyZo=1-XZYjvh;btM1w-;iB@q@w)RHH!dcnzr{DNqEN|PQmGyvSEiO84IFDRv- zgY9#qjSc6Q>8pvu#GL}NQX$MKGNYYL8IH?Sg8`c(Rv#@Z(bLJqLNGWl;6a>gXdM)z zgvyo*3!{aESkc5qY#J#HE10@J7XA;3NMUiK19CP+UZqf9!X$ZPWFXs|DyYu}XGkiXPyFd)tk=pZvsqO8(Gv9FdLc2xepjvuakSLKB|{81uu-_2XI-t>sPcDIXDz^WAZw;iC@X?PM}4PCgJpfe(1q;G#nj2r z1fR^nm22mHZIcIjR)3u8Twg#N-pIyN07r->w1DG|IXXfgcpfaz`Erf)<1YBAy2}i% z>{>ioZP%*)T(!aXH99_7_49S^`6`j*DKFeYOQXw#=C=_m9TZrq{kYn3fl8Bf?tJ41O7<;6O8 zj!MReXRCgm%8#f#L?yERLK)w~7y8)Q$22n7@wa4m?&5&wGqMmX&>_aKk*D)Y;h}r# zi`=oN`)=W2KT4Bfb1cyvx$(_?WuGVNm`5w{>xRz%Cmwefzb~rXPbG1^P9Aa)+D`jb_@L>awatNeQ9XX#jRIQ1{8|CP$r zzdiv0RR7Z0d!I^OcX&B{R0S|2pk937!s~97D90(DnY^A z?%v$(CU?8%><~0D8BI(qupnB{QqWmwAW;%2>}{Yi##|(Xgn|ZaEUc^$?Tof2yg!;< z7vd&=-p>5@=fD5|6iHBph}Pe)-UUzr7S}@)O5F%zZDgp`9d=L$Y>?Ik(OSvFN^}W! zOE-)=8+yL1nlP%$X|L*tlzlY>4vsdwX@H%_pOx zPyTg-f#GQNU%>Fg`X4&k{11aLPYZE-8H@^nUQbM#qo4A!Cz{N%J^!4F$M%i6l&!Yz zr5o4F&q}0xkckC;fu7s=hKZQIG7}r`r^YL3owa2#WQtEipAO>O-`>gjKKi14&+@uN z?%3Ic&UQ|IV1CT~AIxhMBr&u!n_qAUG_LOt^i>+-32CKXaR_ZLeYhuo0Q{0$~gC@o? znf}V(cTgZ}>~-b0V5|pBG|z0Z$Di?W;VD*-M4RJ9?C;p8Dd3Gntx<(O3`Y7QzejBt z-mf6*e~@9 z<-ZK_+~OH6P7f@#Ul~7b;Dlo}`fbhw>EuO2WOPr_PWurh@r$^CQ@`ayrDBXwRffmJ zI1w6wwAFCc)zGPDp>ruscLk)kRw6^2p{lD0t$1x?)2{o(r`|EgbRXj7ZCh#JpSGQj z$Vc-_b*Vr8bBuU!n>R{@aZ{312QpdPv5r`5>qg0y&I}#3I;gUaWYVNQ*G&61YpZvO zhoWe97JkhqWyirfLS`O+Q#kW%(Fnoc{*eU)|CC%h!)*0ldy2l6Ak?Xsliup(Ii6Z> zqFk&=&ZbDBk?jog`?!Aw#Vw(ei0zDxIQgxXlgKP*O8czdCwbdW?~ER&{l_dzx36Q# z&QZN+tuMyfk{K+wnZxh1A%07tL|UZ5G(jvkG)szBU1bDXt>lBaQj<=DxK%sM>=@t^@~v9Yn2)A#?zI*Tk#nX7Z=BDaL%({%;k} zCMB7fez^rJI%T{qn`@tMofJpS9UpVIZ;TZvXat8)xea5dft3uJs2C3mNdpu0E-&bu z<5|Bwk=#|2%Z<8&+L7*EdGr?zA$+^w@Te+ZqPreHj4a);ff~vQ)^cnQ`HI*3+418a ztu=9(|M1hic)sB6elj#d{&pMHWfATh?9n86xk&(S-qA}+uoB6~nT!CA~ z9sh+Th@|nc55@!J1EDGxBLb12Kt|~eYPciXs6%EeTh##c`<%QAC#7{@R7gp zWw1@H+K+9rZru5g`votLgVUv*l=}>gI*KTruO! zP!(l0lRXnSli+oveB9lk&yDGQfl<6i%PkG)rEz|n?ljMEd+MD0g?^=?#`wzjFW}*h zCy+h9u%5X2AQP(HU}%}lZfqX>UR)+u+WWY0L11azX8v})k$*Tf0C3i4Yt^~WUs1$>f?V{EZnh?W zv!#s3XIce-C@R#KlC0ROjV;Vx+oH7W65pz~hq4lp^9>7epQ|yb(cT~YdIgCX=}FG_ zkw3~EVXBdnZB2B9k0tTaD19A}KWL4GByyow(FumYj+k^Q&h8&nlj4EzZnYW5Sek7s zuv3>ea<*ZG?TL)dt&oq#4NkWAZHXB#ZfWvnKi03e$d(5^gB>Yq>M$bRFS&?A2PXl2 zTl=}qFze?j25^!&rdlc%%f>A8Oyy#~mPh=>RfLko5 zNFFY&d%Gv&YwQ5vQQn?f*L!R-xN~7mO zF+Da~(5K=>iRuo^k8<6xWxcAifw?W0w$PBpCBviR?Iu1=qgH#tf@*x%B9NRbX7++1 zRm+~Vt{bBQ3un=d9Jl*y$0S?iTP+`JZ#$a({Yo7lj?&{mu~1?hC%w0Ov;JZtCgDR@ z#sFAXa+zMEd&x%t4!#b?UY8)+LKsuJ41zz%t&k1C3padB(9pg~=g~7Zpm@HC4|0Bn z#}L%~nq#X~w`5qBN(K)uT#9DTVDkF_j-O_@HcE~|GT1^ctAqzO{9`<851NCk4d%YJ z&ByiqZ7udVv3Oqsa70iY)7NE_-407TYKz zOP@;6=MQl6W2lW%D!1~x^9}k16LE^=dz!{gr<1@rss};%@$MVuSsBgNnH1LFzJdDDVEWQ*_?TyX5Yus6YZ8k0@Bi=K z0$aOLJ9am{DZJPcZy;HJy-`VQl`9#xPo@HFKPi*YrSqg=U|bNd;g_cV@&1Tiy3~_( zl<@i2pRpRQgwVeXhTOPBeQlAabDShDKQpUdvjQhn2-xFRrOSRqnX6^Lh=3$!#&|R6 z>AM_o6n|HsmEO9bNa_5X7Ti;dVb<@rU{(o_0w z`;~B!)_pZuB3)hoP5M1VfOViN1~YwH@iUz`en%xy{({E93Bda*8P4G;{vp(pm&COB zWDUsJ=c68Qb1&}S?RWF+ranu@eN>CG3xFpVu<9<9rHHRrFOX=mdBh?OJ#^fiecSpMV^SpL3N+!vJ zO>Q{l+|vWPM7!ywC?)QvL|0)cBHH2F-T{CVnFv9hvghp#erxhWOYXL3)Zd*WdV-4t zPP;rE?GL*8H)OYCu$R71Vf9O>nW-I-?EPT{X&*eeDN7)Ya6MfQw}e?gd@5J{F3b4*jxBx)&bYn?KQsjE%h8k zo#-sY5?56_gs3soEhDOSb6g>J%3APzph2k-mX}4^m*z4HDVBcaHt*i~nQ;zcsl!=Q zxsoi? z7CT-dOE?reYU{@8!RZ@vww8w6j1Z^H?~~o_`AdYG9@mq;k5$TgUn~8}eO4p6BEx3o z@HcI*|2tgO;~$J|(my-iYs&nG(kx7Q5Ac{hnInINpO2?WZ2En~RC3W%jepIic?COc z=Z6@QC$lQdCieA}%&Ym8>&g;Gcfr4{s!XdN{1%kyzR5LVwctp&#$|XfNc4WL$$tnq z8Azm(Rcv&v@JbVRTUx9*+lbEVle3vxeVVK=qIWsTwX)c928ssv;l$d#>B`}skZT)s z3_Kd(^QT$apCp-U*q@K})sZ0|v=FY^sKKg5k4b44|Mc(!=@Esv8hf3Bq?eM3+kKAh zFU<F8o&&@sByAYYjQZE{HeV7i75Evg6Nd zeDr)`RcX}ujc)EFnaE5o@QIFc{UuczV$qLQbqkLiCR`tbQf-C%#J&gW47mO@N=(jh zUu5{#%_VlPR_${Lt64ZesdkuiOLURvdYiQVY^7wW^gptpPSLWZB_cEa;;4mpwXZhQ zT>Oi1>TmO-sLYPXJA6nb%W?#=-8|lo_TRB`{6`H?KrP`|g=!5K;Q z`%^4K9yX2}MY-B0vFo&|k$!D~vHI(}1J(LGfM1eTO4U#f8%K?O=h|V~?CweI0-lOX z0)mZ`$$0qx2+ms0f7;*wB_N+y#JT(zv{8*6+SN#ln^T+$&u>u%6^0R}7biFs5ptNk z20RKz73qzuu)8cgNh6JYV+ujHcP9w)CgF-pk)=sm*_)03`l%?A|1Idjr@Ek75c;TU zVb9LE%B=x@@~Lh@?%V0~*fdd{yaFa~L-ftA-~;rCmv$;}8#{$ZcVB{+QnX3h>&g31 zOhpsCH#aztaEB*4IrXIw0}mP9e!^aW)XT*8hhVPNbLAoc5igqLhX|4TaTVyH>b>xdOkc$sP+3JMz@Mt`_`g z`VSr;!4z)w8-r}nVE7-{Qr;(>aVkrBHU`2I!Q9;IKRV0(&Ex3YNzHNb04XSM^lF}@ zE#2-P+KfL9V~w>pC*nW6oU<`DL0r)*Vu2Ukl7Xu${H`mxZ+WD9h%@l}32}AhN+tbG zQ*^gT48`F+#9s3_LHS;S$$z6)K9O$?UR=*mS-xioNp?8N3}(0AN_e^Gmao!tH;G9U zfM|4)Q1$gXWG8}Ay$M?siK%Vi~Ka zN2}WBsy11q5y|NtSl&8y6&IC6CyIxJ+d)AdO^(|SWSkeldzAk0P|*Qv`eT5$WbTv! z8*e=zNI=FU5MCimR;}2e#dG4J4Br&YpOEz0<-DEqxUTN@tgxnD5r5NjnzvtjIQk2^ z`N$y{;xPri%`w>v>$)xze2Ku)j^+Z~EL3_A^w-oua(C8g5J%p>zB7pD_%A|tS5BH| z6r}pZDIUVn-Hm<&^#}GRM=E*hDwU~~Y0$E|*8U@}edOiaafgfJ75;8+x!=+!PC4?P z6g#Ep7<=#J0s@ilxiiFh08ZcVy z-qA8OYj({tFg5&djaBkh<$a(eUYLL7^4ac*uRsG~$g<$@MFOOxXN<&n8EV*a2!~BN zLy$4Nm9r9TWW#lijw6&rZX==RtYQj-p&&xoU z&%ie4$LZo3aND0<0kK5jfIgoADR`?();&0euNGT}=P9_OzZVv=x~gftk3_OX-x<8-7z=F@lvS;S-A}h9{c6kX>F$TX`=fxI`i@9 zKC24M7nxO%v#4U!6Sp7PeA+lety{>!^x^YmXphI|OTgP(1?^jB1z&^gNP`Z5e3v5Ol0z5((IIWX!FSrq!W;B@8+ zi!KWloEzr*OJRoOgVt>%=)dpUpl^G;XEJ^R^hqC$(Vkt9MtgA)gfT~h@n`rpzjI`` zQ%C^X7Hbr3;0)D0@@l$|ysSe>{6rOtx}%BQB2knxr}qF%U@_o7)18V2&VV9gL1-^0 zC_o81(=l)we6WT{?~L)Aw2Wlv##<{6$GX%iV&a9$TmpV}{Pnq2Fu7GQ5BBXs8+G{l zw+^00&T_m!JJka!?-f3hH!GmBnsJ$e5a%Ur2<6t?@lYwt13@%>`GbMu_DRjA&+d%y zIMI2F_|PHvR7SJgS*%`{k813JB|J1S^NkER`iDR=#q9=vI0JrKR>=3-6>#;KHX21X4pZu(FzNZ4`piD+FjA zTn}uG0<1pF^#kAbgr8re?m)JSseMxnD}4|_6q_XfYJq5;wa_PTzmMY{e`z%x~J3^8ng z7FlJKKr)U@)P1dtOaKsW7O$6mBDlc#neGf(2?MjQG`h~*xP!ux$sbB|WrN_??)@y9 zJ%d*|H8<`@q7T2X_8BEbkHD%4kB+G9TbKCR^Ltfdps&3;Ll7i=Kk#Vs-kEUWSR(?_ zz>FG#v%)A3XG<0A>>XmnkDX&Oyefsk$az-8KGm$|*aoWsFr>L0)2?Scel}f9;K-Rb1 zI-5(DVQ=r7&~it9!PG{CTztVmoY2sp-E&fU@h?Q~5d3JT{Vo6~+F3vZ@yg1Q@%R-d zTykn|&{GvR2(l7L>7fg}gU~Y|&yK(KgGse@frttch9hM)$wANXqrcP_17gy?3GMla+1v(O$3|hgU%hRNwD6CU>``be~wMl-jXPOT0nQWU>M!8c0?5ddnSV27&VO zPrz@S!#j3+3JUILI?N+4pwj7rOX#0D>fXX7>2gYbPi5Zm#kDM|cRNwn$!|Z@THpWe z)7glQAM}0sMsgP5{o-Eke@Qb^%h(fGpsyVR3uz3Yz5KUEyN@J~+#9M=NRB?2XAaV$ zqmgD?M9(*O^U31V$tFomgU3Es;3f}YN_Vf#y?RQ_2D&5^psxoMec&sHG4w6fTn}FjoDnha9%x5{FhfSj%J!hukooXrs?4L z^hBlwsUTT4;qIIXimG0l!Lx0(z1OUt-F@b@V}uv(S#L`C3(^MYpSQ(^WqF%@=n*Zm zE)`BlQ+dz-)ir`;bIb;BQ`Qf%G$BkXOy5-s(=0isn|nA59rOW*-TG6Dy9LN6KrS5J9*!Z;W)&n86oDB&kF~?*0imaS0uQpSZJCM9i%7DAJ*Yq zu>9ASRpMxb^;b5g}WssooZ zq!-;us5kv>U!T2c(22Ry>KMIvz2ugx#KOU615vZ~fxp@J<4t6P^63wa4L@PR zFbeW?n`#0iOx^SY9{Wotb+64W*3CSgwR>nTERpD|{9*PIC*P_)M*5c{p=#-sT7IL$ zfQ)L@`_qDS>HWoroiXt*B-t8Nv`^oJ=KlN`u}D38F&@$id|%8RMe|!#r5J7t2A9`t zk|5eehQv8(G9r4J*z*z3Pe2~?&q1#vE;gKpJj>Ig&D|j4k-R0M(_fC9Ho)cAO0p;J zP{!p<(>l7_Q1awC7JYXYCxYcwoeK^aO+dE_wD3$H?~4e|Ym`pRUP`q0@Y9N~0j0J1 zN>h}~-`T;%*HRs4Az!zD&(A9LwMR=Zv{?jhL;unj*_B~(n@V{!a!{LHPDQQ{^y*tE zRGVnV6M7jSp-DT#8FxmX{nLqWJ?G{;>W1g{H|II40zQA!qbphu8Le@zke(YF2F5j) zt$$5Ui?f}(&{YpwEmICsT+orx^=x%39!bfF2{Bo| z_{;45{pDV6627>OA?YvsvL2OZiIgmdqMGQL9cWf*Ec^;*bGYH+W9fmPuFxNt`buZj zZ2k+hDb|(7MUUgDfV^!Nu7Wuuwb#ZtAzcC-3a7Y~J+{br`{3?PdHS_xi--vh?0XaR zdSe?kI^s3Lw*%Id;*?)F$3!UHju_~q+13_jkZxam4@8>hGoT}%{7Gu;>zQ?K&!D%X zfm1mGnmk&psOS7i+#OtAhxlYc61+Pau_y3~?Y^I9b@uek8UX07iqn#N1f6k=lpoaz ze4c&pk-*me{Ed{J(}HtTYEUUxziog9&*UqsywbD5xo>1e$3si0zV31jC-KBQ%IrtW zhqC9*XF9kV%8cCz80E6|>XPKeZ^}r^g_nV_mB`P&0<(k{DXW81)>B$16$yN)h1uI; zhp$qcL^*^(OGKF@sR4e;a}ldCmR9>mj6wEjq!Lzu2&4(=H~* zIZnK{Z=-+hH5ZWorTxWS)MD}+A;O>Lxl2D{RnT7g;;*qHEVzEL4-{cOa!ybee-@ z$%~S-&yPQm?v}$fnn85xTzL4C617FU{@JCtyT2&p^1SCj3%U@w z6dB#uHmk*|6zz);^`ZiG3#vj~KRH7)i8{UAlg|xXeCp{PI%~ZK?b+yz!*E)$x<8C0 z6&oO~KnBJaAkSj6daW!uj#V7NrY9!xBiOiR8i~bS zmzhnc-oqwnuq3!?dMD%)PXV@AA#tHwX}HobTH!roJmzSoIB;Zkp5NRMR1V+%U&8NR-D z@?@>zUrg*@?%A<|I9{=G0q9g(yC528(+UqN$nft2rRc%uFoN5#W>_ykC-Am0B+iAN z{y1uP#j=~lbbL`or;q#H@2cDuZk@*$+lRH+5cPj0{|l%S@2L%(L&y-RB# zpDLC{o{u(a#buHX|092%yIBC42X`?dB7STm8apC$gSLnV#6k9&ySIM?8hpI-tQ#Ff47Y8MVnr=0O|EwVE}wN;#8UI^%(4(-dOOw zCS=1X0=!Lr~$;AOr*L*WN-Bk1xPeSj`(oCe9-H-Jvi2ODB(QZ*@`qwLJnN{nsAg^Ubp( zgk&}mJ$S-Lg*}>Q8FMTD5+|*F{f$g6aQAp|dl0`m(UGe+To(MfJBYCa$$vZ`Jw1#L z)NcIj<^@kDMOkJ~ns7^nnwFeG8|id@6O81~yuZI0=XAd`q-DGORyv}i)k67(YX3^%P#c>8{l`2Uty8p zy}{FTt>wnZ#()Fwy=LEnDoYimgMx`Kb%pIzYGwr`g^1u*fUN7vam?xQGd@|k?m9r~ zo|>~Bh6a&8wi3fK?KO6-nv|{KmU}>XjltW-kCy`y&P<~iu`34Gn7SWh1z?TGc<64* zAhhXMHI2#@HIrl}hgOs?7}zs5enTmpp&yiQooL=e@}#%k*~OaL{-i#Q7^;vA^)l#5 z|(jN7m-*;wwl&qWZ-W(h1PaieyN zHq0E;!0bWpx;Hlg5%2a0de>;+b=IZO^91)Pdv=L=Vx-v63i+8>@QA``W5oNz-h%M; zZTFeau#xsVs@AdON&ThE7GYn1B+Z(gQY8xFwn9g@D#&)4o=VNz#YCMyeCS$o#k{X6 z0yVEi;k1P+oJ{Hs;`lClMtthGJYP6NjfFXg--N7%_!A61J)~ zGj4H+dC^>Gg~1f7a`3yVYs7*B?x;u_e^Ev;L=rU69Bzs9DLg7U*Wu|au*k)n>?*Jh z5O`4j1y9MSc?w)+EuFcc@`zrRb*r$p;s`tOjoXDj*fHccevpk$vt*L%j1#+4 z6y4wzgtUV+->{HKmkt|b_krtC)kM%*I#BvVYm49?5lksKkOO+3;h%O-BI&$4gRb_3 z(1WCO?PP!Xr+INYc_0;ZVB(MEwe{vM9YN)Qy=DI7H_r9b42>yB4wBuddflQ1-{o@w zgN^%nD-F}M3ZeL+Ab04|B%CePxsU{s<@}}}2i&$X>5BL;L&@KQ<@aH;YU329y@cxZ z3S;66ItWiICf7U}1ElA#$Xe$&qWU=kdXimiCl8a-#+7^iOt$9Bt}e_>!q}ikd%^uS z$e>Fj24%EL+B8KCBDm#;Fg2{8?D*y`ra2&INj`;6fLOS}jdtal4 zO1<1MH3ZRA*a^rgDLBATP6F_%Jr9ZIpl(68)j<5SZ4QlpdS1{pvZ(H)%1`tTFw*sE zN1Q5JhxjWa+%p3l4o!Jr*0s{?Ns@Y`qq=>Xmb0qA0t$=ZVNbkvZy{L$rEO`$jQx|H zeezoh0(mqYb90-fl@T`8h(zQe9wigT)u>7PAjE>YLzn8qiQtDW0Z@iBJwtNG3|@fs z_$R(CW|{Hynf^k_R`1LJI@~XX7c>@O&btFMOGR|WxzC*Hr~6E4HaXIniorj8DbcdN z_}1mR>hQjg!B(jzy3izsO|s+M+3iT`Vqlw0aODU3$H2KuIOqnjlKU{7KAbjv-QH{s z(0;{10taK41dP-uW(9NK4`qK9c_U?9* zybcdve2^{O*x7SiT07T3+~^NBE_J1I?TYNW@8TdOo&6g03-4MCq@8q;=ewouJr|CJ zHoI7;;rj?}|K)_ym92~{Z^f`0k}Q4Tp*ZnudMfcQqgMtkgN?eZn#y{Y^!Yx(!B4n44vl+R=O-GZuRw0i_}{GEj@b-dZd_Zdwh1z-1{hY10=wo*3=gJ+7`$ByNU}OeTW9%U8DIj)FSsU%L^;9`_(j3EMKJN82L2YN{bsQad}x~vS9{Q@$uHnh z?zODCm2n0o8H}#HcmC@_JIYER`#BpKR!r+|edooZQj6 zvE#8SFvGoVu#W11#0GBwy)6uakiEq4UTA-qsoeBd~GBc0d{{@J=tHrgQ#b zjPG+s6y0wvx5zYLYbm`1d$mY#-cY!v7kyR{iD?c~f(Ke_U-*mVJjZdA`mWEoqYuWV ze;cOLb+eOt!2upNRyw!=0Ins;`7Nt!RrrmF;&-{#rE6s$nI1r&L%2 z`+Bag7GYoxp@*M_fhOK0tD;XjWb3Babh#wLm2Zycp8z^i@R4pw;x%qbdV`ea)NE=) z;n+IvE8kH{*5n(=DI7d{IAA#_*PhIxnB7o|;EvbmiPJD`hTfy+z%k8%4QtfrsBpy? z=r<u=S=EcJ#cxy-XKCqddPe*jb5I%(Xwtb$G;`44V zR)i~?=kUNHgbGh}^$(eUPu;5O>tJEZT>%X#DEZN3T>P0f6N)bOF(z^PuXH>DN`Ct> z;fg#i#Hv-v7gtWg#EYvB_?Vl>?`EL*?PT~YT%F)Hn$(<`XjSmTKtu;yu7%PCkbQO9 zGvvPhYwyt{tFM3)hVUE%yxHwcroArHA)WkKjZ4AAG`w>w@2WnB#{66Ie6vblg(5bj zL#XD?wOGuWb^XWH=u5qhM^O$g`6p_*Q{uc87;HXA${jlHHoAbCBcZMz37sm8@BI}3 zLMxzhj8~EF4q@LkbQG9b2JPyQ^4Bqn?Xwp!n${+SMQYl=fMAo7Ph;>EFJjJH32_u; z`)s&$DsfU`y;JFr6R$IyRcYCL5Z4)Uc2tG?kY8x%jIE&dyCW!_@Z}lR+T*^9z#Hg;!lK1ll z2*0+aA?=({)49?+#S87Y!~V0vDz`0PcGl-*A2urP6;-b3ln;CxeId8)nq*BxH-+^E zv+XO}S8a}OzS!RbI7%r-k$>iRzPw~FJRWz8sb$Z?I6HsN;}XhFHuW)k-S|gWY=6fk zR?Z=JDEOCe0*-+1oC&kt&Uzmx91)-5b-uCDF%$crG1LMtp@O0lQhPRz!w!jqzg%rd ze{ByJg+e}@7|FtaCf=it$P&L;Bpkwol)T*>g~wSg#@_C5CmCB>T!{HCr^_onNmm@Q zgQOhgXaU{<>)ErmNT&n3a}4Nw;zd4r{z_dXt|Xzlt(u&rmX#eWvNb#tn4f&}9r3z{ zXU5=G@4>NIIWiYl=7^d<>*wDVIUdvVO5aA+qXyRL!H<69d_A+Kw*azrLjKU)6i1?~ za}}i%F}3|i>3r4wU_GDow}pFUnUz&IzlZZ+B;%w2!e?V?`ziNhlb+Ar zNl@+DSrz!raiMwC^!?B@D z1sNX&)t_kPw|X4<%sK@}mf6R-xSDBQJp8N@d}qaDYNr?GJ@!M&##L>t z6=?^F6&Y2FmX-m3l1X@yjl9cEB9u=fDyO`23CTq^JdD!UF9^E5faK3Sbbk4Ed7K@U(Q>T zLj5O@@U!kOj~W5Ch#bBWDRmY(327zc8Zq@|rF&3sKux7lVM5S7qbXYj=uw z$uR%Y^%>2|2wH}}26}t`${4A$_MSrh`tP)NH@(W-(B#~qOGBMi&JW5}(fs2$4Pg8X z44?pl2PWk_<{3owmJ(%F>YIlT$Ht;6tuaFXI0BHvPcDu^h#SNu>Ipg?U)P~{@Ud1! z3S7t1x}MK?#F&q5@`-ABe#LO30M`lf;sPU16Ge%d`3(soiH^lZ_a?m8jv*7k1$0rZT`pc1svqtqiL)6XGB z`(-&UDA0Ey?9ilEoIV$XGKJvo}iP22VoAJmGvu@Y>pNOJDeX92MzB4IAxJcHIY_f(v_ z{%Xj$c)@GeBlD9)0D|!1?iAK`;3ZPx`-{*aG6wP|`U6g5E`GUu8 zu9k^FLoJieDOOUJDgBF43xWoci->H}^n{6KWuDD%akKKaR@Agj@0MH{_!QOF3WNoA zIk} zMBy8p#QIb2kJrVna<|%RG`+{FiyfpdDsIh5WU)gUXZPNwk z^S)-k+nTrEqq?=?IC#mBQu~%#@0+8HF(z&1&{uw^Yt`tFR-%kl(@D3N4P|}mW!vtI z@Fl^}w0o?Kd1#Gi8A|-~*T;95bhd#;YsZ||BuU!@9bp)GM|y3 zlsJ7TD^`OIhhvEy@OOliK_EmmSr*FRQtmGsrr8;I5ur)~zRLv2I3$A+wCi9SgNvVhp zHHT+xSuYC(?C4w>%!r3^Fp4vDAGj zyxQRcNMQCUyWmph1R~#Hr;)uPv65BV4>j~TJSpd)s_uQuuABX+v9DWkF85<1!RX|3 z?^d+7-?R))oWWdE7oTAP>xXQt&=ETf78$vXhM+6H{w!O}NwuGSS+`&cf`x$UFOQl) z=142{JFA9w)y#R{-v?K>?u%`U82u|;u+)RxOEdic6&`|0r_7?hb?HYT@V7*G?hGF& za!B-olO{Zj9`*Oz$f9W=ygb3ISN=T36`5-F%mp3a&05MV>uz<*Hk9}n`AGZOv0LT? zq&dD;+SXqg|JHwLjws{TFMVBkt+H-S8 z3?xKbox7MBsT0=P2b&Q*)bA+dzt~DWntBhnPAS%jec58(PltSi+vOC$Pa=?X`cm1N zLNnhsNAF4yF4<7|5+_#b6C$=E_tntz8Kerw-{8I2+%pUu2v;q39e8YMsNq8FF&oEX zg*XDY!zNn2__VjOEB%x1TAH7m>XE{m;9zRpF2q+>`0VqPduBZ?tc>P^X)@@{PB(F- z4x@aXOd4Z|f{+WX@w<#I_LQ?j!bdRK+n=%B%B7WmkF>nDTRj(f)E1r-4xiW?o_Mg` z$nV5CxK^%{2DDzqq+W!8`MJb;eHjubu|;d$vG-EDcV_%g%L!$XE^z1~=CM;{R$<*K z$LN0luY@Pv#tCD1S0`ReFqvAs(@d+y%Mu+87G4ko zJBTfO5iT0DRXNcriam$VJE3`f0@#GhQoqr)D1JUJk`$+rypveutW z+ixYyrD-Oq{b#KU;62(>;k`TApQ8HffEnrQDu}k|5UX?BQ_G$~cnj_O@uX4x0X|jr zR;T&^R7i_imdo8a4~QR3)DGnVEko)P#7$Fi7bTs$=1SM=CFWL5ZAQ$Aybe00WR92? zvhh^9dhQXsHw*J=tNO(o*4dr9yic(cjrWXe}5B?ID(X?)l^6n1=>pO7a>E-KxKvdlYQg z7@KY2Ef`Ak`fj}J5~-iUEA9#Horsy@@oX4grv|adMtJ6+9Se%j_uS!9Lv)8ZkFUW| zCMW~VJp9`1{aJwfNq|t(&IqYlg>1-bL(oqj*N)6bE^F4TiG@Y^?1a83d^eNo2(zmR z_`_>v@(1s?(u<$Zfs!4mn?e2Wq)1UW48~LHw=`ivh4u7~4fx2+D{asjVx^YusL9ua zG>zhjEhJu~m1zwFZKVdjTi7i_&CGqDzu-0mb3xNnoFcEoo&RoBZlrrzf3-q$n)6Ym zpr&YGy@;oL$I*_1k!NGt3L5)Vew*@F1hS2*9{WKUT8Q9gXk;0xu247&zby{=@JsZI zUEFYos!e14@LIJUa8)O=`Qo!Q)8i{<-i)kutAJBfzx0qoUmETy$95q2ycY~$3%wLz zb=Nq*3zU-U1B2NWtTLhxqX}B_PhG0T!5fl|vayB5to_&OqY3;gI=Dd~@R1#G9bL?d z^71G{ol(sHN!fv-Ro0^Ku=NpF|9;50yN&E8=Jg6 zu2Tds?TBo-C%o{DEEVv52m(<4LnOR^R9OK{`$3=bX14~&3H?6-u^&$071S-E4Eq@Wr5I0Qx;WU)*AA!g`g8~Jy&(1#FOS0= z_6orkPEPAhj5Gc^-Ee7tkAwH^tXJ&VO5j_^IhTrDquOTMWrS#FXQC!MS0FRATiGv0`K~Ma zn;V@be+|c)^2m3HnX#{+j6~x1U*Jj{Gl1TFQpaynWaomuKuR6NR_KGPbKd7vcNw zg^o^B|Ml_UY5Vwjm5URNA3-OSsE{^e7!V=)c+a(k8QZn6*P`fmu8Bw!>EO{UCjEYkIN98h*!h z7w!A%8Mcu0o$2XE>G*&+4&4yN7gx*Y^vZdJ_D{t9Mt)mwx454S{pErO z79Ll|X$Sgz3HRQS{!Wpv-hrFiUv%sl3J?D&;U7}TQzG0f_*X~ze3;etvB7_gGV=TV zWaQ-IkG#`J`)luQvL$H__({d6zhQZlPn- zH-$gz{j=|eh2mT)XQ@XYCswIakJ#~k{S$Yq}Zq;*Yt}>=ZL{NVa zQKe!?psqM%)pDVdzN`F~=}-;-KuzzIl^bccGd`h@4Ne&KWXiWH`5>`MWl zz`NUE*)z^Y0Rh}k68%JnGEtSN!<>u>Kzm%&!PFP&^4H1qK3Xf z=le`xu8dNQsDF3Km;UNAtPSyf3H!wKk3=+=&>qcA`t1|+QR(%b#P`WQ4{-1L-z>3>KA-0*pO};_csvblM$H{znfQ{r~P?RG+OMr8Z7rQ z*ADZT3ae1s_jcs<;xI?w=s@382fpd~9RJ?pGvhc0yd~)T%E{yDf5f$Sp?_k7oUiLZ z2YHB`H7l2{I`Nc~W8ACZVhR2D8$t&wbT~G>PePm~hHr_&I0I*KF~D&SJ`3a;XW^YO zGF2wPcgmhmj`n9XNz-lBuxh`>!(Z*v@u%fyY^y4H03Ax746pjNE}f)9c{~qq^)R5< z*}X~Pbwu;ritgO1p9GT>5}>P))h~ewEl%uWTG~YANQ$~uKL92G#*B`-Rloby53QQo z`k_@bYCp8SZ6fW5wvR*W5+xzJ79X*o`Yk!J>x1Xxqz|4ClrDJms5LA1Uo{*fx9Q;U z5^J%;;??AFVG^+Rp9pK!;Ve93>dI@$VJi+AVrhxdNkU;Q8)9|kwQ~Q*ItlrF_Bd;p zHk2QfY=;Tw9F-gkw>3fA!?yzKQNbfZrPN#SpMF$7$|JY%Gq(~`3 zdi)QXnz-)#ct=-%OK_}?MW=vPPAJ+fp@4_Cc3-0Uq05%fKWTxrg0=OZ^>u-pngg)x z^h;NrG~Z+K4JRFw*NJS+H~BSfPdmv>PGQ>Jq>`Z33zWSUH^|b+!ILQ z{kdEnc)lm9b4Bia+6MgFO4!cuzwQa|^-cMw$H;Tn()OL@iQfU~4A1Yqi*IN6zV!RT zU!b3~>m$#+EraZx<&QsS*ctxLJ>w_#mCp2uhZqx``j>~7okkMZT`Lb+vFh2!9=&pj zoh6XI^!uSd+;PQO&ISqHG z#=)ga=U*e*8jT5%PJ`VCG#DM7xlqoDhK>062p=5Eolo0H)8P!s6#W;I|X} z4L#ubd8w15J;&J}`M;pSU3wMh#s|wNn-cK1zjc5unqYi8@Y|NOJHy|hC;YE^4qm_L z3Ey|{>P!F7p8Ed0jQvJ?>IZ0soxkeIii84h=wu@%i;I?WkI{2`0PBKt&u7?>j~+lz5YilB+XD!ixv3I%2`@dflZ=aCyI`y?3aj zW!$s}mrwk07+bkv)VM6Hae0y^rwbz&lx<6J`SG8ITy)1`WUw|a3u;`RA}$y7;Brkz zF7s<#juMwowQxZ{*?FHD(oJ&CwGk<&d_>y2hI9uFN7s!<+N*|iFCqP6Jkp*uB)*jF z->OMPjN&b-A>B?$^;e}Rra_Zp zhpy6YID|_jb%bAgpbLEmHiPH{q zSE9L#lgf?@h9eZ*{;ZYHr=x1xy&p-&t#19jI&&KiZE(8{<9i2gb+TG2)Db5 z+xZ>1)%~_pXKus66mA#Fk_Sg_xp~+uIUG&Fy2f$K&BjB&)$#5oZrt0!VRrZ5JI}b) zW&Dog7MDOCY1rG`8UrcWx9GyHZvRho=2rLj(vIBfv2}W9ZuM9`c8lTI+GNSYSEl{# z-LlHV;vkvx_Rw$jq2z(ubiksRJ?C%y2DiG5ch#lM=aj+9abvCt<9~f9*+tCkF{hln zjal9Nyu8WY0?cv-shHJAl6hiwpQu?IvwClPfEc~C3$wbjmpU`%hC9=&-f12pMz4;{ za)Vu^Sv`)o7qk0z(5&9q*lzikE}GTR?jvUW>?+o8Gh7rTPAP?7;%Jz|2 zn;GlIzg9iQp15l@W8Kv-+o6RS*5}DDJ%oYsBzg*$nX6+@Yvopt;zx?xjxF3+XX)Nd zef5aJ&FEGJLE^tEKA9kALzHiat`_9bk+zT z_GYWCKch(G^@*gb#HmlDB3YkZb>REZ=d-FBS(e=d;&+;Qy{C{r!8wU)WO~M(95BYkQ`b zzR&1M|MZ^pk-d-n8qa&luie02^qKjdtCiN9bxp%yrLny=y;bsPl~)LeJkWUBI)1*g zr~G~U*FW^6&;0lUU4`w`vMr2WmWWTUuh3id)qZg=e?7dXzt{-u6F&#^r2o;L^jG$z z-*>)yVo&;S>nZgiSry|)b8&0>lIZ*R$=y%z1p3a`TEFy)2Y#lpPyF!2 zMIU%p#(m)5)RX=*deYa+QN83pp(p*<_oTn3Cw=0rk3C=5lm0*Ur2qMz^z}qXFZt*8 zgnw^Od5-Q$zwda+`n-?7=J$mEZclx8=}DiRh(7XjmD&ehTbRA*_l*ahu<9d^w&Hrp z&(Cl6p}$Q}`k(DdpIgU$YX|;C?uMSVH3u9o`He|k^)|K5{6S9^Wr;c=rr z@Lc`$fq%8z(-mH8B0dl889)Eplm5{?=|4;HZ_jH>zSe~NcPMY#6#!~@7&|_^tY`na ze^2?Z=xHBT_Y$2vHq8~>pVc3AFR1`{+&v}i!_~jRk7EG*t2I76r;O3D_lSVs!P%#a z{TG$82l;dIXeQNHEmobp`lQ&GGzza zfNb*c@%hJ2`r@yb_lO_-)o0kEyZ@jEee4epO64x8H~iY3@o z4_Pz={WjX1gZ|fh_!szl^t9*Qd&>XZp5ycTdt8=an|VF`MSMP>v{Ci}FmGe*6Mv($ zpuNjw*rJ~HJiAAKN1peHzWe=HFYZVk_;W>n3zZ`$GY!C)BZaver%aL z?ok}%znhb1+*A-&_|wNe z!1meTLH>X1DgO@6{$1pMY>)A0l6dIjU+DLN$DXh3DSsdO$a8W}`hDMl?<>y(ddkz6 zeqZ>1T+i~H-jjZx2dw(W8}R4#l&8-FXwdHqe|O<%cqETalhE%g&#q(a!)1ARt$Fx1 zG|$yM+6}uoJ-D~bQ@G3AtI#}2=$~K9;rX_U1i$+jbMedveC#!1!{=FvKWgjXgi^f$ zYP6-Z#p^6L6ocOe&r*T=FM=VDP5TOtrS;!MdB!cRd3I*GB1@Z!~)-X*wWO4tIxxJ;|zSl}My=18828mGJ`@)XJcN;%^`QLb^pigAx}a*cDw z+XdUluF&VX8OcZQ;+e_9WC)~FxX_#k@Zv1zX0Uh3o@ zhXDK|OWMUaSk5Cq&mF2t9-Dxd`5AMIvA_c83(r=|Rfll<88#Gdifhd%P$DEjefldf|>*EkYH02uJ71T~ciey8}Y6Efvx0 zO@G^-^2aq_(Lr`V3f6Fx9E?lYe|NVY7zeLs9&7W_xOhn8XB>UtU+C6X4|q+#=|aLS=l;#Bm#T%+(M z8*FNCBYVbf|Iat`6_6q)KQiJ^1Gb+iqJQll+nI0dJaVdD$^VyWQ7uzqhf*(idipoa zD}j;cxyl^*@lb0&+H8K{bVyud{7r198ab%WX;rp#|i#kE#Yfp+8(`zf9Ux1Rw^ zcmi+j$j`fv#GRMN>-*~j9({?Sj_^U>6kfQMJf1$kpR`sP->`l)InfjODHW3=@faH% znlayC`)CTeDJ0O>3_sd$RO8tJ=*r;%H_j;+oHKN;B!^$`U#6qN8h5ta5T)tKvfiV@~tE*Te4{#T@-IpFC(3_M!pl)rq7HNNRgr|Lps^<;)_lwPy^~#4NduliNgXd3KJI{5 z+9kZ@qek9wZ4x$zVLHNFk6+gU)?1Cp1F4p3Ub{|Xtq4@ftIe znawag$Rb`qeFV8pZXpIF5UbQ#Zl(uV84=H)^6i`(KuuV0iV3s z|2Ga9V4pnl|Bge}reQIFHrYbEU4x z3Y|jLf@AhND4UX)7pSN6MH7r~2cGC%&+r?YJiYW~U|OH{@%K-?Z*q0~b;r`TMecms z20TN$+SeO=pZ#9s=?mZY5kQTV=-OFdu9y43_q8X_PV}MQSAM4IKJ>NN=>@;zI+Wk` zh**KgxR>a|q)D>Kt$MIYmv-Bu13t!CAl~$|#WtJ*Zx>J?G{M^*HF50aF}}334`CWL z(J{Wjt3DRK3t#phhkUIzD5{z&_H)v0@^e9HI8E}KJgHx{S}V#*-j*e;>4x?a9y%pl z{XcTnTgs!Z?cUDuK4Kuyq?d>HJi5R$SDUO|;I;hj1@HI9yU_0|KieREhc1}EAUs^9QtPAXPzPLf6tAVTG~@UN z&MOD<0?(3P5Xq|)WFYTJ`1(^4ex1nAFQs3g9m_~QCpm29dS0q~^17-hI6Y#VNPhm1 zJYb|m*zB=jf^jIpNyyKSwxj__To6u`0I8uXW z7#DWP$yD2dyg|QoNs={+P>KKMx&uw+({oBN1JbGmA&TAfk8H;nmCP|2UN=Y7s`IHm zB%Lc06uz$K6a+|5EX2GjsdLZL&fO9v_dDD8;6Bbr@q@;nP) zcZ+*4xEE&z_xstmd*d=$)+W65Me26`X z8%l2YXuTGWu>s%rV`rZ4s&fBdaaimqU3Eh=#3uHCfMWBZYCA)1|Dp0ODjzF2?z4Sb znP+)9f4WL!dbaYr1oJqxeMoJ{BO1m2Dj%luAfmM0F%Ec;?6(8YqbhdHR$E;?NO3%w= zcVYoNpOJ;W3msw#J3!0myis`QkoqEb?CE|)IQZslWux`iP+|-8z&CGIiNDrY{*`Fo zUHpEn@-8Zg>$NI*_HHL-;_082@x}LaytB$fR1#|^D|3z*e33GbOq{3u1l6CR^3}@d z!Mij6q5L8p(?8#!`fe&y|N0p4b%XP5?-h+3MFaVWbNVN@6^~SYm(IOInLXhj>lhg3 z1>Yy;>-fbwPt1Q^zDqpVh zpHu?(S(S{#@Pa0>@&T2PQHdN!srM$Bxg)=x#SuVyh1G0A_vhV<_EXCH+Q>mw|mY`FhXDu1cjtZinJ*# z0v3h@l7m>-TiJ-nVGM$WjoMiF2gGP6+6sPe)Z6Td3vb@+d*A!@y~&fH4I+B*kLCdr2=lxuAXbLqhu9LN)fXLr@UjsZu1tdH;`ue>W*eoPzDCX?q zG`)f~-+J@*8uVxi5j8-Dj+7X*jJcn`^}tVO{{fjsq)u-69T1szn}_%tO2yO{7DYEb zhHdxe+Drp_Fy#*-afY^Fx}NK(Y#70Q*0=(qk08^@Pt z;U7+=z&2dbM@<;lzkF~D(CHc|P?1WE*(siW^!I@1$iXjFoT7VhJl}tRyaK+{3`f{; wo#Tji(L*KN9+svLiUXa|4!mWJeL74S|G@ zAVd;HfdjKqHe`i}=Diu);~dbN^~|n^_1MmPW*xFvmSayGlg*gSjyDksL6L&Q0fi$c zq?~{=e?h__kvJi82_H8QC>#)o0D(Z3`c-vxS3l1r-i5GU#eYv4cYSqrb#-<1$9ukf z`SRtPE0xOIRKC-%a;#GMH_06Rj(l(w1Mklm_!#Ahe^Cy&k{?y6yhyp@Evk3)JMzI% z3>?M4Q4Ac#!22x*{z>cKKj??`@C5zdB>1rXDzwQzb|V=#mrnKm?u@0iyHWvhdA?iQ zM;zpxA|l>Il7ArX?v0dw12lY)eno$Ddi8HsFQ|EIYiGDmw!65pGf*nq@F9{V>Nv&i zUTcgnQOm+?-6Z;AuRB)0n?Ep?p0~d|mfl^QFVnf7t7R&BH0UlBorS2QVr1vsSUQQX zEAT1QRMGU7`+k z7Js>3=Zpi|>an(ZtgRkvtH;{vv9@}wtsZNW$JnGc6e%?AAvda|n-|L%4U2Z*tm0GahOJLikGx=5EfyUg>%*fJp$^4_L=#rGG|sJM)4d zyNUMK<<$uiOn?30=%bRui^^%opK)c=m9sF4__C+Hw)s4aDO*VstD#Po zWlSbkQ%z13NKtcjXRE(7ay&MKw=RN2so&&tu;*8 zcoR5DLTp;aW)$%Ds;^T{-6Wi9^;BC)wUv|y5NkYdz5V7H4&i*}&wn7{T;k6vHBX~6 z4SeGcIq@d(VHVOQYychUwPBIEMN*3-7O5E|nYBpM zA~P15w#bx4tOW^MfCS^#92MlX2uR?ueF0?)0~*~qm3A?$7mX(;wG;-VXpRGG{J{BQknkx0TTyuL}(>x0F@{x*%fXO zc(hbX+J$3j%@hRTPT57h0{4sUsDmL5PLXDLR9!=*P=8f45y0yuG7{5>`#>nk-#cA9k@C^R7E+XT1`UJ3C0G-)65PYb6~Bz zozh;)0e@aGIoJYo>e;5yNgrQqmhBi%X>6%5w{Xb@C5mGg7vmC+fiGhaa0!QiO9%v9 z92{`*Nx)?`2VCMh;F1|Uo|f!MV|#HBjO?eY)fgB1OBc})DPR8>n!f^48V8QM`7ktp zyJ2YlM#Rwkt%%ZS`!P;#T)X~y%G8bY!Bu*)HGeYuY~w9YsSiZ+hhD8qeceYRIe!?2 zTeA~7ScTN z!p;DK(MlQiBgB$C@ctT{&1=Eb!2<)N>joXE{U?nnS`u0fjZ6 zg}E`mEW3AI+8W-7bvO+}3%~OM3(4Hw-hV6VZsvhv6SYt%l(#(8288Vct?vM_J|NWt zhJHR(1Hn+pD_mf*X$RzX+DcsJMnI%Fq{M;?FPwlh5=(S*zPtv0ZXC;3ef9u9u{|1Amwu z#VL`x4!QdmE^E{K6+P2EP6G6Vh%+Pn&kWrex z-?lV;rwtlsKmR5h6js0ie;8#;P-UoerOuX_N+O7vB)Q^*ta9ZioIr$7p&MVmNT@RXDwpjO15F^JZxCm zw&grzIZs<;*NUC8oS#|FH!a7&CCA@x>)dVRvR5o}zZHAgaz1A{H<+->j8r9yV)W0p z&KD9bC4YqfJr?FUmz`B+Yg*tR&C!CWT5DiDS+~Sj9@#bPo@CM7{Py(DL3XQ z6=lqstU6kd)ibOhLf!_+JsEa!`ed(K_uj5#Dznd8B+?db7Jf8Q4BJ(G;!OT|B~zI_ zVUehv$EF3Lk&h%SfM(Rd)Y|c-u0vz@9$s+eE6=n7tJB;5jtn69K z`Hbbms#6Rqz&g2Z8yVVN}tTWql-5sH>eDiS+rS*5P#ne)Sk(kbi;AqrLPct zu0Pzlu)AHIZ!c7Lht+c^pWGM@b_UzkjZsxa?@gy?Pu^eMefX>N5l8hd+*zEytGaRV z;?DMwW$m-@r%u)ndj^6vUiq{lBF4|-1|gubiZ+ej~45Sv#e za-~5D(rt3rBh$*%&n}qilTdFvD02(Tv}PrJFWKcjpu&*lyeOV zthjLKF>H+<*rRVY_byhu-D5Wq`n0&h4Dvcr5A42~TI{}soyU{jyY}?G@*tKj@*o>w zoJdAiiNnug!$tzR$$(_-o)r&h{?^o+-b+lW3d}Flf2)mC|>HFpF{-}Cy(m46(ff_qe z=ZQVJ6`JZ>$0s*LiDB#b$y=!{GAC&S|B~@lqE>!FzmxRy6a8E#@2+(|9(`*q;r`hO9{*CZF)RD9J@Y_CVJJQv?g$w@b+x@SIi2#I87_A32B5Anq3 zL8UV1R=f50UlpPb`lU~Xji^4^OA7r500960cz*#@lD|tGK@i91{FRqHf5gKi{z6cR z#3pK^LLg*4*Lxd$x5w^@K2j`56zo!(E*3(X6xu1+38^9kQV2~0LcL&KuI}70`vk%_ z#6~ZrE~}+QybI1p9-j`s9JS%}3^FIITyeq}QFD<_@F1a*ynR3gEfS}VqdPaOW1xMYGJo8=7phS}}Uw@YWDb7`HAgMO_g<~*v(F?2}-%WhSMjenm zkDhxgqbzvf3)rZft+V@a!_7JF_rA@0Z+p(aG1=cBD@qz+qDsyA7?mt&2K;|D5x4yK z=k*Ey0Jo@WW4DZ*JHhv{KmNRbP`9u@)iw*d=@V?Pdk20OUHtZ-gXUp7`m^t|a!*Md zRi7BfeeyE(K->MbeOSWB_CaSXlPf5!K3z7d$g1E7;@=N_)6b^%Hrc}A#mp|JgLXfK cPv2jSFvVlQl$yuXsReX{t-k#K00030|HSfQ#{d8T delta 4483 zcmV-}5q$25Bef%tTYu{h00000007+r00000006ZS00000004La>|0H2WJeL6O@M?D zP#{2*aL8=LimVi(d2hz{mgi0ooKNv$DV8kHe)h7-b5$_ao~g$4j?XY z;06aSAdnCzk^_+<4hRqe0Vj|E5rIVb53tl%)zw}7>`A;Ek$-pG#RahP|Ch<|tkNrJeuJ68I2(C|k36#eo1+TW~RQ1jOIV6;cJyRF zKgI3E);_{SEeo@Cljuu}-F@Y|`F;D+^Y#P#(z{CwWjc>@txUy;hTY|&(~AZwMt08b zODFNw{8S_l|K_d8uh&EKT4%3VTg4#3lajZ~?gy(l<$o3O^x;ntlMoZbe9lil%L%cy z4{>fkVi9cg&D-}adVg5rf{w-$^SLvAh)l$vA|4_eG+(k3Bb=W*vq#}#w^&x9kNd^t zqN_yq8m|V@5IrnT6i6x@30w{GHrL21MbZG-(vVyX?JfH>bEF9`WMiXXg4AR(;u}c= zZ{!JtFn`{o#6#&#M57FlFE5^}t-HlM7y{tsi>62%9R2hj)p&UT0;yM=OX}+ir5;K= zBuYtcmbDYmRA5GdX$7VfXedxuAXOkyptg<*iOXs*p!Gk7)2Y)1k2PA_hNCr=U44wcPJOMIz0%Y(6 z$lwW(!4n{ZCqM>IKy96u&{_xcN0V24yuX#VaTPqZIs6zf%2SBAymQ)OEtVwSTZz}& z-X1MDuHt2W&$iZnM(8N_x<(u&Yn>6C#{GAC;BFf??R zX@5YSC2rR_?SQs=tgRkvtH;{vv9@}wtsZNu$J*pEHmMCo3Qc>+%`I`=+!EyGmLNB` z1i85-$jvSCv<~20T3-)vYT(4cwE!ox25uU7#=z4Co-%O5z@}dcL~jFyVt{46V9qHV z;b#V$0h|fobO5IU*a%=ffN21e0ESU^hksjsiV0p+iwIso;2>Z)fM`EJQ2W;zL;!6F z%N@)Y0kl&?5UC#&yq7x|2_R+CW?^!gESN&0hX7e`1ju?LK-L=pvfc=g^+teiF441= zYxDq;=m8|r14yC=kVFq4i5@@_J%DQK7)jAy?qHBBh3lID>6-xQn*f=(m7*ALj(^wz z*kRdYw9m`CC2!iz8K(^#Zpr%(<^4yuLBjU!-V5*@og|z!fMj$9k;GO&Vk;ntbb=&{ z5g=KN0LfwmIIn6JBZ~8?W-+2TucBiNnkcn(Y~8&AfAbdqZ6gHob{obbKv@zeRJNKo zD##TqN1g<-!f`_bVNMIFjOMPk{(mH`&HmQd;YkTkOL)D6H%j(GZ5__w z_%qJo$c*vGImC~gLwMvI!o72Rm(w1a@lX>G!e3G_4|5jw%Ft^8OajP$z<)k2J2jfy zl@|orO?194?@o{??O!p1fKnA=suY5x zORoJ0mCHTQl%v7}O@G(y9gjz$M_9^V?#ie~SfaQqqaI<2B5^^0L<#}s!UAs`mJ^`F z%;bYC_%>YvN1BCG=#=M9pz0K&T;aK%`ww>xpeQ);n+|c@4bGo@IyGlhf83PgDCBWo z)hg3%gzE!|UZI%Q`hbJ8GLe(Fv<;4w`miBw#kBAQ+#Q{jWq;pnq*n)H^+6*Vi=uyZ zH7DTQ$oB+K=;OVj1g&)e=jh^-x^nFs{n56rB&$`7gaythfFQ0EN~D%bEEo!%f$r@B zqEn)6X{Xn=K}+6JT8}$H@^JSiFo3$77f|TuS_TkwjUq^=r;@Jrp-f4Vib?WROp>a_ z(shd^5msXiy?;}?S&K9+GGmcxi%eOhVUfB;#0J+-FoEl7H8qd1#9&lmi?E27Fb{Kq zoe*+RkDaq&k-9}vi?B9r!^#|z%o?$zX^|O=Oj~5iBG!V0Ex>%chskqC@_+m|D zV;U9^%~O;hyxmlwHa13y1&;P#Jd9Mz-BP?`5!R*_1~GWnHv|DPymQL879eScw;Ms? z)2zEgLXh`huEqm%J3gm%a8N#w*+O=fX1q0k$tZ6jfyv1spxmRE>wbe4=9TY>h}hPOQj`;Cr{ z4>IlEaBnN@k)`Vzd1ENwdQV6t(~d}%ZWsgi6;QwX(mpCt3Bw7IB>_Rs=VF#eBT?xB zCZk#-EH5c~lo?~IDd&6J~qHFWUCKKt}$6xh-J=wEaughdJ7ZJ zgO{lA!XajvE6K!jWlcI`c={adCyZv_Ppj06)k+=>TZSVxU3-)vRb<8Bf>NAV<$fii z72U428EfbHhecS^%E7$JsB|*ILJ$u_5|P2jWNpSQ?|T{+!%)E-8{)i@aari{n13)l zT0~F;TZXhr0)`V^j`A!jSOgYV5CTga#2WHe5R>wQZzUy&fby?FfWlHKI|L>dCV;Y5 zHwA2$)Hl4Od=c#>Y2OD7m2!8tSePuFS;8Wa6O5PSZ*zdb)5Hr`2|~hEf)JT3Cnj^{ z5D8s}NLV{WmXJfl28V>zOsd6JaDTWoqvr5WUVy+aIIVdGeu|_F(FO|@FHrayb>0gYLo0-t5Wcc4B z&p~q4Cl;GhGQBeczZCK#tD4}@PlViM)WxghOO}H(q&``+er{1Ry|W+Ke(trLD6W5H zIWR|^k{L!N+csFtF^rNAzJF{jc*P2$ey&E_)0kmrQWj;TNOgpK$6(olYYbXu7?o^Z zod(W#ZHPr;9THQ?SV1H<89`$|gs7hb8j3WpPxeiP=$$=fka)+*nWOX zi1*H)$s9k@sufca*qgoEU>PX-#LM|vtDvSb^v-^0Irmu3i*_1KH-D8lAK+inv{@D< zV*Hbm=lnt;dS|y-&YvvDz?JNSR_u9;{M5*0P0NW4eb$N@xD>rNTLqEW3kHjayaSZ6eN343*_Q1zQsBR9M1Amd-lQ+T?!EL8g3tCxg9|(7s|)R3 zb!SvPi}z!jqv2q9zPdTCs_5P6^z6wGRCgZyDE)||dKZ3nK7Utr^Ww$9`C)&%x>>!n zd11F-eQb}`gt)?Zb%Uq}cHc}bc3*GsNV52{BY*vWc@SF{d611TP9!6%#Np=z z{XLKqojkt|=tR@x%AA<&aboi@II+2CotV7pPQ)H)BT?4nBmLoc-?dzCkQ>LN-Qm{e zm=>=r-Ny#whpQI{pXgWXX?46)ePnaIEmPcY$xw-bnaiFnjrnSd}(7f|M1dW zYn7J!>hi{X7fZ=MS01NV=;9=qxoRIbUkevEgNI>zRb6$6U7Su`yDlc%uLT#^(`(no zWcxMX;`%Fy;@2b>+f;njQH=AIK3ATLZ=|MrFn>i&ADWIGLL!-!T~EWq2=T-v2c}iGm2LJ&7|9AmhQol z)uf(Y0{DQ2p~wB*lNP*>AXCE1g-jSDs()FaV-zG*oOkdVa2u7Omj_11hVD7c=}-lA z4qm4#dP1z9gGb$vJo3MoUV$Q*e;0o#j#a#)B--Q&U%*tNN$8Em(NB1&1CqzlQ?oKi zy;j%2T6D3(FwZH6ImZ3jx46mt>A5^+=94>Sq@)ohh^m?MQSppsg4o`2d%<(^6kJy<(Am1b}>wM z!Hcfo{V&^x1tfWVOH1Vf8mnEG4I)_)&V{${y9r#3eR$8No?Dmy*j~H4!iP&&&zZ$% V!1>iQu1?Kh8f{i`x z8$}R50~Oz(A{7MUVIB}l5O&U1T1v!2UB^iiYLdooh5CZlcYDsY_to9K5ch@u8z|v7 zw`cp#wS$rucwnWyoteA&&Fs#{-o?em#b20?V&DKR{#t27sG*JqMs+9>+pWmqD0koW>h?{0?QF*I@v`Sk66I{se2qvNR@4Ci*Q% zA9aTV{;E1Y1JigDkD2+xh5rTr!g<)Ra0ovKxd`FDOr#P<`m`5DQgdup1d>w-sy2{w z0z3v|?qOYE?tjX&(35nOnJ`WC4n5u3I+0nbxAsr&o^%IWUKaUVX>PLI{1UL$@*% zi3!d0lFwOvPsCwVwglz}-Jj{;z``+aLuC06vGk7zoo#p2cHQ3X#aA|hmVXD!(AK*Z zu=Bez5P4+iiHP#jd3N@(mZZ^>40&msg^}Pyuv#3*qaawp{0Lb;5$K7O2_>QkdtKy| zNPHTFv44D&OKq4!-=S_IqUlSi$j=q?uUX@4Iw~z!=`R;ne6wsrQ_8nk$q?kSaKw1mPq{0WyqV)QvUHcf>(rq{0?om+)R3 z=UYq*87TQ8mx(u}G$3;-F9GK0w_O@Mc*+otGk@n_zAE@H@Z1g0LLVT7y9?}bnNZvf z$_%cMTr%Cj7= zXMYW;#&oK%C*oJSA13$_n1QPCbwD3hK_AF-;Y%-!MMN8%j!_d{#=>mUQPIC=woc_a z8v16D)K}UAmqpb|6;>N=A;R~$&hQ-u=bKwlRdNH8bs%1vCx-k`NpI1GI6EF^7N<)8 z0MR^9L#aaS`w0{g8N2VVe!inz3Me`+N4P@L3hxwG9o8+-p1bJe=7(%&F52T5}{)kem2tkQ`Rad8RE?x0>I z;*5s4jmuvA9#lpuY$A;=5plocU!USarKN#)7){Kp{KFJVMPt460_7XE{8h3VtA8(I z?yHBR?tal%xvi4@`h6_!x$Cy?Y=7@^hr!@2_fDnvT<0;dz;QmnEI;eJ+w?a800960 zcmd*IU}WH6U|@(cv`hw44BS8*RjU$<@Wh)di}Wk&QtB zC=4Ko;GU~ou~r=NSgtB{i`x z8$}Sefr@WXkpO{smS{ z8h}S*%%4CPn12I#9=MW@Qxhby-lqO`>!FrPw+6`+-OVBy8{OIu%t1D3?VsK~?G0OQ z8hWiHGihdi_SuwTqM0WC8ml<$ZWhpUyQ|?mlv<0aTpP~sL(N*-6gp}?jr5cg%(kVR zG{R)s&U^tf%3K%deil*#2^&){Rn)#qPItSDUxzwQr+;mizXx)P8`QWw`d&oRieCq@ zn_K=Pka3bWLU}Gj+Ko+MX4fod^*s>FR?EKuGO|U$3fTC8^hFjLdL+WEFrJM?2#`jhGUO&v8iazQ!)mc3k9~gy^BrWn zu|T1uRDUQDhB)gY&_wLfIEdtfOlrd;6eH@zBAh*wio8rQ|H5t@O~=K~`4(&Tq24HE)6+ldYMTmLjiyR^D8OedwI-ZHz}|hh&u|i4_N}SX*JX05h+?G!~OA6pF_3!sL~h zHh-GN_?xtcfEWukm4?dcSwX5WoigmH_=R?Y7+(i-P&K&;=>0P2fxHl&bc0BQw87yR zHeh8W%qAV@^?Sb8nY=(j-^_#hrOv==hf%9Th8E@I&1}A(9!N-w@;&S z@8tdx{9_T_0KVcnfkd$t3J?B~h>Cr7W=2vU$w{52Ge6DaiThvKuAH~!@t5$-VcZza zw2={=sAQ@{ls^LVH>e+qD5Viz_h{Wgem;W+KDx4!A#u`<4g{+V>2=qw^D zdIzLf*6-e-zW@LL|NnRa;$UE85R-@m9e)N3Mg|7Em_SV+#UKg9AVtBUzP^q@k?|pp zPCl;j{!U)5&JZn}Kn1K|EkcHC%s^U1pjupgom^d9TwS258QB;VfHJW=9=?hM(lCP| z1_p-&dHT7>yZX5Ly81y3jshxTXOIArH785TffR!f5QE$T(E?S=$iiR%v^9*)(lwVE zC@+Yj2I5XeCI$_l5@R2?XpsA0#<= diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb index e2fdcceeb09ca3aacfc70e11ca20bb007577f897..5ee7720e44679ff85892a67999f7bc473677d780 100755 GIT binary patch delta 3109 zcmV+=4BGS3815L5TYoDI00000002|~00000003?b00000004La)K^_>T*r0(W;lB} zcP){#D`|JFxWepewYw4;L5Pb&5ei|rri7J(NSCZ?$wpdSG7>`vsbD`O*b35ixS&`{ zX|0v&Agoao*Nps^hoC4@zz=Oe2SyRp4`tH;F@ip91y-Phz<)sB1jCa1-KG9eM|tl7 z=H4@R=AQZH%=w$oKKtym5;o`_c|rF7<69DUiv1b3z4!TLER!QSwwO(^9fOYZroX7< zui<=6_GSYlWoT&)5OG2}Qz-b5{rD(k%T%k6AZR4DU7YlO_7M*1mnv$ z3A~^qoyF>L$W9kGwuvL{F|3SwbPi1=MImRqA;-`e`+w$tZ4=FQkXfl;OFDV1)Lpoq zq*9-V-Ri&_B(=oNTtX%?i1O8>8IA9i2Dx#x%c8%_xNjd;yz93E>b_uC_F6Z!ugedt7TtL>{G3tknNHIw+!* zE2q#Fn133PetRC)2p;1GJ$R?R4SqRg17HTxt&_|8Jkho+Kk1|(^~c)C7JNQu`?*P+ z&ZjeV3==QXx+?Ia8MGzVcWQeM*JA#)p`i!Zn?V1#d*fVrtQnxo@%U)8Tqz?p7_S1C zl`q^dNbRD%3;nME2gs()90fb}l@l|K&X5qAGeWX?(S>nHG^ z28++b+%f1E@#fum_~F={2WDSY+t#?^Q-^T}qF*<-a83IHdY^2v{o^w8=_7lm@JD)Y zjlj$t?Ipv+2fUv(b9;5`{o&rBb_Q;QD%*pVVe~kb_I7AA?VO_kH&T)_q2`!sWA|ft z2Y>A2datywWJUigs&`tVn|;%_g|%C!k5F;nV()`~WbfC}LPhlas6-rKyax4gw8v|y<2iGLryI~i2NA-q)XV4qKP%#Ce*5Wh zyV$AlBd*TYJf}RclL7lU_CShHXt#BJ!gIiCejGp2^wq3tOS85NTy`%?su!CB6^x@J zrc>uxUBpKbDnTW?cm-SA-KVz>NgNZj$`JhxxcBk?U+kr?Vo#$?{b^l*`Fk2uUw<=t zoX%1Y`vM#)g<*~A+2L_uixKA>H=089xto}Vi(s;c=AQJ>fkP|T3Rv&56y_Y>@W zxio$UTl>S#-8Gz+&|yr>D9dQ?>wf{x3EVm+>Bsqn(XIH@>i*aB;=Gs_HoK^V>3?0} zg2c=BLEnF6Wf~)`Y$lh{6LsZY$S#G;#PmhMGs{+`lvxqz;<$Mw zD_gbDrm=O4gPoYB$S2uM?yK_kyHW3Q^H=et!qdzAb3( zQ$E?LGY5fxsA9h5OfsV6S@@Xp1BN0J6_z&Y{r!5=cQR8pKp68lYvSsG^2PaYNLi9t z8-|eORPV_b(2Iqh%1iH+ia+GcA&Ub|h#}>l6`54#K=%XYA-_!SqCnWUhz(rF0-F@p zHTn};pO)rw5n*Q<{ZZf#7JqQ52An&JTW{-tkwx!9qcLTYA<$+JQ&I|=5+oa`nSP8h z%_RI5u$?{OkjJ&fahQK4zG1wv9Rr);*qY!@aCttonC9w3jF=QLq$a*3d@Ae-*v)33 zvCB3#H5vt!-V>nS@fH=;@%GCM!^|92Rs=hM-{%?bQxnuX4#NJp3V)N@I}huux3Qz% zQ}B=L{iFJGPj{qX?iBko{`6ZGpEz8icSa-ByA`tHbWK{?dn}gXyky*0Qy;?LPO)?E zLtDdVS$@!vpJ4A7M?`SPW4#F5nSfq1HWuo36`5~MBF&_2D2s$jjk?!pT|s77WlH>R z*Jmp-yFb89=9=F*Tz`qn#BNjQ1NCzkT>GQVP4g$=8|*l*&>O;1r)y%(jI>FwT-QlW z1XBiGU&Tk2R(@|r^xt%7cjf9y#GPiQKPuGh+^&Jp6#oO-;FsC;&%?DtB*kj$o+|yk z2>-Q$Op01{LbEuE?dN@X`;5;1u?$y#0C#Q-Zxx%kXUZxJtba!omzCa@GmesoF{Sjy z)}&n&o6)&I%>R<-9@=9pZy}gmaUae-79$Gam?pdsf|M(bv`{Hae2Q z53$;ta?+A3|-{mPeONqxZ<( z@g)&+_(|BKz|y$Y_2aMEj=*C5l3jy1Gm^(EsQUVxxTD6t`4_;~XpQki@{GXkx|Oqj ze14d@3Ha}v#NV2#qkQ5>DSfdK``oY{`%cp*tlD_8tAE8gbmqiv9$ULBR=z>)G-Hn8 zWu~Ajsjirfdh|En9X{nc5-UYHvF2EtdLKbMunIukpF~PV62(FFMy*fDbfgiHRFpwlDg(A^zQ8 zUSaHdb$=X-BeH=xvs$!qr-r$pnyC}wp6(#MX z0^*s;#E+U&&@@dFck+-n_!RqM;WhiMZ0|<-npYWa1zA?MeU^83RYrJwu`SiD;RJUX z9ab4lC2r(j>V8o;Wwm*Rr_H233!7pm=9JP`cA)oP)E(|EB1^lDkyNBPl7;s9p8T^B zcz@xNT2prGb4T8DGUSv63(xSoKMxmK?5M!M>h-!R4}|_^?AWy=qP)8~x)gppgN51> zp`bsa@TZXes1Oa|`_ISXi*s&q(~RZW_w_Uj(?}PUy~8|mMa#PzdG#^uWNGioaI^O- zF1<_OR1+&L_r`)7^RLmzyI9pC`jLmXCV#mT>10SrRxsUMetv(mv~tXX^#V5MA~&Xg zi@nqB>H4LZw;2U;aBMM~;)rGCU8p3}27BkKy$W38s(f?8VP;Mk-nXJGuJ07~Y!k6# z*dSt3`eY;a8+qq{$kL%MA?6SleDwOb@JFF{=WJY%z1J0dHduO>^;2OSud8WKD}Ucj zVTJkW?L72Jhj-0}(BG_gLG`k}SPx+{UiznkzhPD0-%`RqtA;-*Q!A;_uR2%lMP52R zux?@gs*3PGxG!24$1W^<&Q}MuSZ}yBaStvWVnv!kRFL>i5a?ql$CZoyx0yTVs2+hY zcYAR@KPfXj3NaQ7zkX%jPSb4)tbY_zZIB+kAMwj68++cFCuW(PKQ+>Sw?RbeOhuuD zOpof~O{u0&V45>+Pc|;Qc!p)XXfE)Y#`^tCSt0sdoXM+H?~!haAoR%};vH1%PYYu4 zp0BMGd#CnK*j7R0?s=CKBeZ!>tBd5tG1je1dP$o`;LBZFSKWk98y-LD34hp`GDuT& z(*X+0jyjV*(xdktn20xe7!4RrU4KU7AL)*)gW4mk^m%3UNT+O^?qfEyT6S{BA>JI* zyPJuae18%NX|%T$#3yz1E8euc_0y9AVyLe3=(5d17ZLG00960%2+PQ delta 3065 zcmV00000002|~00000002@900000004La)K^iA97mb{sdZ z&OzEsh<&G%)mhojo*#JN36O{u9}kfu!5VQ=?w$rKF(v}U1AjtRWN-L;#yL#1hG+iW zn)YMfd+6qOpV zR%s+1mtFfAaDNL}KYE09n6(wbZYlH2QE51XEZ0kqfJY20D4ppEVc&w=AA#IgQy3Ba zQz>Y&d)0k@ihXGm;QlDxN`Dgh=x62OxnO@%Ie^C*ivkE=mZc7Jm^M=<3|_S>$sxQl?mbLhJ_x(byUZ|i zGFtY(No~}GUDTpqagw`;?dwR|E`A;4(S}qm$8BID6IQuV?or@5AG^k+v!Rg2odBnn zRttl;hg%JMs%)%+#Br5I|KXBatUx`#?3$Mlet)_KOR>5wy!AsCo%%}mA#TH-+s`u0 zbUi!9S<6|V#R2ZpeoZ@1ZOES$^43R_sW~V;R>Vy!aM?5>H`kot{6uhIDaA+k&ndiE z7j~3kj(N>Y123S60$7r_@4YOXQ%o4@=|1e_)`{=uwA`@8Hw)0?3hOWHxKqc)isHu( z3x9fs4U4rL&{kLeHw(<3rUmw5iq7?CU^~T2YpxKtFiAash0J?}DbBT60q+Z}eVa$K4Be79wSSlGSf}vMcKyW+8*lgYJ5SfKn{g~^W{n*H z9>#j$-iIAou;jGGmHk2yzqM6@ zPH5w2Gu(McY=XT8T=iGs~yRiNkR_QN|`R06A+hMA&LFYM1A?bVK z^(7Jye#c^{?_N~~YA3{=ZHn|=tUkuo69~UIf%%3}r0lP3cXD@6PjwHyK8S0~Y(7k{5(CF<@$ zd?((Txm?`Oa&yXqrA;#@h|};|8r=+R`yh?#d3TBL1uV?Xkh&T7vkOd4Vth4Jt0Pb; zcIrO`EyaCtKLI0Wp!sE3Qo4wRJOJzzF^>LL;76q9+4Q^c=@NGG&{Pa;czn<)8~hG`hW-j&*s-enzLxJ6a)0uU&8N!T;b@j) zadKHLd;`uMIJauhCpZ=g+TBRRdxa%@g`K7K!flBg&+s!Z@{j7fF9+CN173^mV=g|! zqgn>_<^pWyETn_5%)=&;X%wuD15x=fpQ(fCxEo1pXc{|7in4LkroED4-JI{FgXX-7 zlMKhK?;%NE>s#A@X@B|E&vLMGWZTg36(I0h=ZQ-4)&K^ zws4+cj6yYs*j-|C?|$rSM_U&=TqiFJLPQYR7cbB-zIkoeL%p>EE$~6txDXMYF0@S! zg7)f^bB*MG1Z*D+MvnXqzFXz87PHOFmq5J8zi#ut7r8`1luTfgRT?#JW;}XU%2!bS zeIAJhFPZ(sE`Q!8fu=CNcsBeV9Zyqq194?rmCd>hmib4*giDW& zd$W!>JsLTuXx|@zezfO6cSYakZf#l7j|b>J1=|ANt$%Rb{c}DeDeju&W`KRV9MyIT zV+&}tm7Xqj?HihL(yo4#tY>BTyOh&Bx-GDa3uO2g@jCE)9Vy?NpFnTRd7~+3NO5n@ z_xyGlREw7MJL$c*2YEGcTQW5p-k#EyHYN;yuP`G;+h+9R;CrL^<}#1AGQhWhTL z8c8QGg?}lvl`P}oU@v-YM(ir4%7~GLSoQy%{+smtJUl- zMcG%1BSPFSNYY3hQOgwcy^7pA&>fkoeVo+}YJcf`pY}|_On-Hn&lAbk8vgn-^bw~q z@5=PMB%BfPQ;ha}18bV+Id>>$Maf{jF+{yNcH$wgy5@KpOQ#k*d&v5&9J@) zqC#-!pJ0D@MIIqB|NqRAlGIKPTXx5M(nwI|gFANc!hP7utP}YWJGAldw&4mhd_xd$_mUaH#tEaJIk%vYA! zE!1YV%3hEIdl{Bc&@+dg*$P98#z-y2|Dg^26}lu6_YgF0WCJ^|j@e0Htdo>KB!4i6 z(0&2f8{qP!=WPI|zW{M8P)TJM-oUZb1-R{L`ikN@<8Kmo!XCo3c9yiw(WKK{vG}D< ziIO9Pt;tJk|Ab_=9#>T@Z)!omz}Q^fQr2c7BySg(rmvKwsL-YaDYeb9WAZy9Gky>RR*oB;8+JM({${v8)u8>GPrz=Jy`fSF_As&^`hSJ;sVIPV*ka z9_j~RAFMDOInHsDxR{XY^h(Jz@Lfw298*}6ekn8& zRW4~U86TD>fg8TDS0>OqM?zLd-w&~SKcVHCIetVr$bX2acd%!7F&<^6=xW7M*Z-yQ zOJCUC4(MwcUF+gc00030|9AmnVqj#DV3RWpAsQc|eMR7l@IR27|;H)PNWO H00960UuF8W diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb index 83229ebee340a424b6a91f4d089dcf0eb902413b..08c84593de68f2d6c10554c590ea2bc22e952d71 100755 GIT binary patch literal 58459 zcmeEt^;?u*(6@zrK?ISKM(LKWg+-L^28opt>FzWDX=#vHrMpvN5rn0Yjzv~jU};!j ziOtvNegBE~hvz!ib-na|9bIfwezty^^uZvXrG{TnL(sF{iraM-FibagOKGqGIBr;?Kn-IE@PI1KC@+&IKIjI}t-o$NVO9bNqY z&74#x`N!tgErE^yOKu6@|9{kK>;KW<+lRd*oz@FzrSSibMSf~}2k~cme(Pj#@d-+x z6WzL>qmuUQh4#$m@6FbCRt1}nRQ}pOJibTvg@`?FTU?V0OPSF1+_{OE4!S&_DDZ0+ z3duQ)aGXkFQw+Tbw#>$t!q~f7eWI#A)Am*J>S{O>L2gp$&v? zQ6bPUJ0d1lG$VCkCHp7@TYHXHs?bppkA79^=x-IjpejR(S3r5+(V%U3k+}y8WGwVegE1 zQM(hdSQ-w+SQf(D2U}`WQPYTx16<_#6F21IWp6<{Xz^`?5yn&!-`TgO@6_f1EAL6z zVp&4-+ZJZmBt%(;QA^v#H7oVH2=2pRp&1@J(5wwRkH~|Ye<}cD7k8kq!TtwWOhgHy zLwfP*pe6!=*kc63nkO$4+f5^8FE3(LvFN{7e;yzBv@W3gzl463%YDUrVQj;^tQnV@FzElx*vs)WX6q~TAmn0Qh{X=f=JU2@aAqYZ|KV0){mUNt z5^#IS4ZaVJT-^6KfVl%3>S{$A>XNeC=seDMw-;_usz)Jc7uM7{`4XFXvqkuDdy8=K zbdxF|VV9|HJ!QOPi9zyg_foZR^sUwG1vUh#8jhERb<`zmrq=a|wwL}{x=6OA_Ha9S zaC83df*apg>eS4n3ws^0vUs)K7vY}d)$xN^10#x#uJTj3>8yer%A~~(JKONB`d%s6 z4{Bc5H+_hNAjUt<(xNaT=*l=exWF8V(u74=^#XUAY#o-^0*MUx6JoVaX3e3*x_PH! zThDsn*E=w>LweeF;|;`#t!}cz`XUoMJN+eC?09r3bGh*kITY)_>;;e|`2F_|4|N2b zl+q>h0!4`hvT9es4Ji&IUiQcD_|unGk^PitsX{)YGdB+Q9T~7g@KzUP)hFC#iMot} z6ccsof!k#gZ)g&cljO5t7WM}A6Qky2?jtZS`?0xnGO;oTQQ?O`4k&qj4-E;`7F{5= z*^VJl^#h_*siOr-EJRz;Ypb4Mya#)!z)_kE;ZM^Rkc!c*>MRaG=#jCNTKDI&^=g}e z?{1?6$Em7%&xZBgel@YuL_j=WMmC7zhsJVG-PA$TCm$c*d?r1wIDx}I4Yu3d2$9Ye z9gR2(8HIge2NyM71|G_M4;XH@3DE^zM*TsJ4}OfOZPkW5K4Z&Red7*eJwZ;V7KR_C zT;X6SeHyVgXztj_5|zU<$Vwbe&e_t%Jc@amunz82YLuSc!evawaSq?|D#<&OhjoSQ~+c*%x4%s5MX8`p!w3tJ-Cs`O9@^-E9rY+U?5z21z`y-V%NviMo|L~rUU)GF0R_2J;WR+ht~pc&f_KA z?Zu)R5k0|du<*Z#yfe#VUi~qdni@{qzCWkpH~gYYUS?-otos*&0}bxD+$~T>>e=+$ zUrlAW0{wsPar7${U4aW{dX#T*cBoBJ2i(JkXU1JE*G3ulREgrpwW>w8uaJHrs0k&r z;a|4p3NEzC9ZH!&Ob+FEpza~!+?Y;r|KR*3+xi75LO=9GHf$DL_psYCL39tcHgO5S z5OAL_B6`eboUw6wMVUZUag^oLh#lUHytA9|EMEA;E&>}C})9+)kxD~5#*>z;M7$Yc9 zDKk!HiJ@HdCWKhi%nRFNH=}q^q8=Whum?Fj-9{ZsKY;;mFrmGN_@}9$AM19JGSpQK zAW$i$`47};RSz(GW0yPl@$w%s%@ab-rc%XXWuR9(p(X#_q9Q7<~B%xNs| z4GmQW`1<;wA`|&Ajw&@mX(Yodx)ze0~SrSrOo)q`<(pXE%iI?=BJOAhm* zXrR6Gb;zNHC^~5KV449+-{9Y~=Xv%8d}LhI8kL1l#AMKG7eyXT@bb`XpA(j?A~WGo zuOh&3;u-zSzs+@aZvbJ?=G4HkfplK474%UL4uLctgHMG@iHrU{Ie}i7MXr9l`Kk4f z$F=%OuqgYDSR{5f(&@~Q9V~iw77y~G-#~7o4?Y$XoPv{%&=DCi0E%hxNF`9m9DEw$ zPz=ubpG}Tp%s@H#MlCz?0Hg4Q!IsQodWeq))b*rwjfI4F4o~2b5zl!rTa&<|$RDzGIq>5TWUPl$dnRjXt!Nl;~h){P}th(J%^}nsCPbV`#vcyK}vnMW+XM?$4779Yi+Jg2v6$Wcy@`9Pq&~ zXYhIoZ^S1r1jd>T-+b+&-q(~j{W(hECjLju)+n(38WX-`6^>OQG}-94p5sz(9&NM2 zkhh`qCt{XhtBF}9LP+dCpYyLEUM%(K7|2(#gN-hOE}(ul+ zZ~pI(b00%w@sxut@`wD=LRY=i@xJwZf@Y!MzO^ATVG62Rm?)nbQh2a$ZI1l0*jeLe zD995~U+Je>C@9a)5FoM-*a@hw@v|vBc#Ww4U!ni2-v2eE{|{YeGr;n1V0gF^yJDy$ z5O5qf?YxYvV1JEtaFXtb-M3#Z{w`>?>DF+f7Nnc$WS7j}^0W5fe)36cg#CPKI{rCn z;Ia}qJQC1J5_zAn678-vP>uy z^BWGAmHMesO8hzz!jhl4m!}&Ifaft4F93nx1HPN&1bV%}Xr%!w ze6oVQ8*5&(r_VwyoECd&+(QcDECtB0bXnq>_f@-#8Wx2UA?)f=QlT1$S5!{(>{cd{ zk4h3*Z;}XkeqE&aSc-MYpc>T6sCL zyX-OZ`M%V!(^Is1>eu`BaiDYq@0}et(Su;M7@~Eyk;dk8MOq5PiP&YDio2{#*Tw z;Y%m~7OtwyDlR3ZhJ<$nRWa_iJR6hB$PG;ocJoDUIK#8l)J)^7B@hdiZjwYone-j2 zw6CpK->vievJ#52wIDHuwW>aqdp{dD&>YONk)3aU7gKb9X=nC)tJv~o^MTPMeG=WV zVB0q-^aNd$`Pvh@jIPRW?XNb~7~rv=z=9&xpHE!;ia!`#Y+L&%&)a=*RoSQ6eic(^ z1t^aY9-Y>BZuLBJe2EG^w*Nt0)zEJ7P+P~5(o05QDt?(xbtvffZA!*Kf5Y!-UqV0@ z<|V}=HB=)g*G+NhPzm0&`wx0C-#R80$Ro;4qmoo z{S%M*I_0mQU($ID;nKRGFjdwxm-SL6t<4>fnyP^;S}V%!Lw+hvuq^s^5v)AG_s|ou z7Zu<41-ITit_%qae{wyJQ@8w1a%U)z?Sn@@Pf|rET^&m*saW>=sivcNuHfDUW~G6{-PSLRz(^(ll;5Md~Tic;NYM z^)o2{L8W(5jBsC~P>*3sjP8SH$h!S2y1&Cb3#~KJg@cHnZ_EQKT(ur%xjvDs?zD8pL z=G_u|BCE+8;Cc4na+mzT@>zA!I?h8WFJE7zWL?{f4VO|7?4 zyG?$7r(JX2+=7_ZJ~%k2aX44uf18%OsdB@8l<~`r9qU3S;{0sz&|Uc{>olm10?2jq zJ_7KVEm@=TuORvn+#^4@@k`B_Mjn@i!mxO~yV@osadCj5mOF2s#aUuAVc)xQRftML zt6TSd(4N+>;#46fEZf|2bc6UrB%6Yz2_uhM%mFV<#?=WXuIIZj{2Lj7La^{$s2Wfb z7e5P*^+k=1_C+W{rdUkZ)alBo0r&kK$G=!-jp2TLywpQ38GqyaIzUk^EAdcg{D+0I z@EcwVm2XYO@BTCuW3;G6!UQi`ITAG|>TVKSGovyn+O)&OXd+kzd1n^|tjj;+`wUe0 zMR)~78XoCoKC>>b>~|uPTN74qTdS!(eCtY+GwJ~GLgX&CJQ=?C^+R1n?9azf`hQqV zfINq*5*N1HNVCdEK=k`hL=ZJxri5pmxKgb$g1CiG2nb*HUyixYSM%8MA$ckzJYdb% z7!xAL_;P?rgg1iEN3pSijdU?_?Oto9u8| z2fry6Qf@tEXiEe=HQrjsWDdZahc_`=uL|^qmqFo~YZ9TPkG&ZJ2mdSRYpQ2vSChZ8 z{PN!&=?xyIG*|nh?rNU9Gb^b}mH#=rb$e<^&?lwF%=8DGAPlFb+b#ul)}9A1o&2(0 zVdtTDk-kzR43XtAAv*^ZPO~dSLwROw)Yk?Du!{3Z?e+Rz}WZMyQL2`P1ah z32mUNWqP{~S`n3|Ogrdh#k0TJL z_feqHvg%HLkU(Qs{hj=*(3nZT>f}@zcDJLQ)8=3r>l_n3PNzM_|NI!9lUR$br{IkM zx%y_oYm>b7zV(GmN}ul;@@d*)4TF)9|%SO2bmv7_`FqLxBpt$nJ6v+NLpq8 z-V_e7&tqZ#J>ih9adOQH`{T1Gk~WfWET>W5XM4{R;QRaRi(EZ5cp~%1^`AH^q zmB`mska4EiCw!!BYPn{doNfJYChan6p7dO*O)LA7XU0l4lJUB1C8>1JI{K-tFMra{ zjGQR|$XeFq2IT24JkY3brrj9paze?5ewFr#P`bn#$(8#x*KPDge-H{;$z5LCtuNX? zq!W{S<)f(Q!~M{f_h~&#g*<83Cd@A&3mqG8_p8aYOo()ioz$zM^R$}Q`FWf5;6Oe- z;Nhj!!j^^825o{r*%v2Y%)x%z^tOO^f*?LCNbIed_^&LVk>fYNJ3oqryoWIH)a(T( z8b9|a01LDWytpZ!023a?u~l_4^1fav-F=|v*lfM^YuV9`;uvZFrSqG-d!1PB@N?l; znkhlKxt~gr_;{$P>chf($W}-%6&=mn89P@+BU8Y@VQ1x-gZ;34CeK7Gd-rl~Cpa=M z;7ojPjDx9RPF>H`mW<-}Nx0LOw==!(rB5EMO`X;YaYipTF5;P-ttMo-- zm(z^Jg9BCm1mx(TN%H(a>;aNOnszV?jeCxO^<6xXu+CEzO}Tezpt+Z*APf5BC>FI6 z-anOn^@@(P4OsZN}x#D%##X3s{ds1C%Mf8&Z{-BeR%m>(glY zcTG0lMLIE|#4_Q{Z*qSOy!^_T8YNvdKGcgLgZalg6=ut>TzTSIJqkf|3#6*?JkfrQ zrG_tA-;F;kl~Zf$D7)D=p)>3S@BEoxN-+TS>McnpWzqPCqa|2@wTHfYkzm?} z9`DuYG#y!&LHgv#Rn*u%jy$~*FFF!+ zRk&n!dePdFOegbB!T&x|h?9u^t>+#>0l15`{nqt-oA@yW&Q@4^;fIqkz+3wT3SMyP zUV=feWTN0-;-|qJrD)F$E_CWVBuh{BHQtLuJ`8JVYoX#-HK6mg=}owhbQqA)Cs=dl zZ`ZC{!ll!xiKBb-dVP0_JGmxjc!?3+@_a9Ea&pP{EoN8%z+s zhPOqqd?HIp+#FH z-yhVy<5`X0Ub_>uqU*zG@_rmZ*NN-8lM@#fGu~HSc9Uqg(Q!C{uvTtuX38le_g>NT z>1x^halmfk<$I?pyCGyz;uMv#j z!-jhYJl=iCXOp)7jm?91M7GymcAy`4!}NTxMm`7kM*>ea|R8j-;M5HWC#Z<+IZH3}|EaejGtZXf|xXsn#o8PMp7z!9V&T4zdetgN)or9CvhKRam-W zHk<#2HuHZG?iGnh{snoC1Rv8_=T*)iInK#=$m#A-*)EF{XrY_W3l?sc*-_WaH-)(( z?|6F0iraIw&fEA%-;LpKd(-CSOI3oUE#8H8$L5P`-U;Q>`4_PvbUJxdL9cn|I!w%Z zTChKWa|peeAX_J|FIS@Pvr^;GS!)(Oj!77IrXyU62JAhH>Q==klW*T3-Ym3^um_n~ zCvM_!Jk8pR^7R}Z~4AzRGaY}j~Hb1CE zt-n`dp0fSb-be_N532_r6;C48*(uF>v$YpNH8r*hW(CN#a&kJGoUm8Ox%a>MsB*GG zolfQyV#fnWE(tN4zNxF-3Nz#N>c_8}M0#y~1P7dkY&XshQZ{_uZj03LiKLosr3#yP zkssoJdRv+AF>G&_`G*@u=6%1jlqL1I)ym*6`KCcpLZ$5qb5^kjI{ecAcDN&IQWCnTKAmUPc`|FZQ@p~HfQ=fjK`Det!d#<^4)|#f|9>*>F(Q z|H_8$>3)zkD9rRG^JkI6?WN&4(~l;d&(_QrQ7#4fkfQ3N3uXGnl3!tNN5%a6w%Wcb-Hu@IJY3oPMd$?SDCX>TT7(tbaiJ#`kIm zKVnd8SwW3YIj%kyGFSMux%hJYR2I{1WL|y?US1wHXDm;DE5vuxGhmd!FZDYNyFoD| zIgQEWiMUJ&m~R&4wh?v>E#G&`eL@OrzpZ{~6dRA*FZj_Mtk)^LULijkA<#+Yog;p0 z_rRDkP)d|yURpF>eRe1B#_eYbFVn?Fnk$x-Thj$StPF z^WG!bZ=qcWnT2?hxal*xS5leGgDpRZqy6dc%J5?US9%Vrte&Re+v7v7#r?c-)n*~) znekj1h3&o8H(ajrsJ+@SdEqr7)lZB<@LLh|yq)D$ zg*-fDZx<@#Ljc_^56h;DgM%I&ghqoq!}#O17{F_YzKCV;(CT*O z4ea^od67&pR~YnUCnUZo!`G!87V22=+N#+dYvB^g<&qc!FfDm2GavdXzuzKmUdIK6>y|@0RG{J|{DZ8cy zSur%O?>2yQ#Dqo9(2F%7jJ5WDeUXI54Lqj!$$*ARR)4{dvoB{kq7TUWL6d&S_<`> z+4|%0<$)c`vW5a+)=sbVY!Bh^bMa5!cfRu!CS`bFKV;;RXs_|;sjVD1S>v;@eVKdy zUWc+(2TJ?QdDw~%_z{;S@TM1-Io+Bw z7+sr}Nc{@kGE8Abk6)ky)$Oi!$ z{dm||V)*){z|m_gY(HPeS%-Fq@}<}6q(9=mX&BB{RpKuLFB?LJKV?`L)bgY5yof5w#1%f0gS z1~}2ZKG4yyUkHTcy0<-JZ@5bMbTLwEd;_3uNFFtZwZG8)hoh3>(eq|eG=C)hV3oSc zk03{LFN;)ls3^yk$#+b9&uK)=Uj~&FK7MzVbaXP}1{4FB#40xxkjbC6zX*^fH*Z?qUKbzWM{*g>Xi3gY2kf zz20q9{Cg5w2{q;)fq{fnaRO-zfx&X(miktF4VwP)W*!yE+tJhKbUup=U57P z<*2SajB0HSxkGKD$*gbm`l7jk{=fnHKL)eq8rRE^?N~_`k?^=WVqnUZ#M zqOifYaKW?d_e`>k{_ynSYE?2`fgCD&4+AP82w!iyC?~Z1l$2kW{8IsfPye(s`bNRa zMD4fgNUxzX?W9;1{m4$*nI_+_D%q8Fr~kHg!mpd(|D9};n^*JXd?f6M=%?M^Bfcn! zTQ8g?a^jFuOb=o%s6K_S0q>$uYV?oFaGJ#3Pf9=T*QU-q8?s91F|@kos%!&Q&t&Zf z6~D%)`#Xl*gD`bjm0SsqpeQakwC(OpMsof}Dtc7#_9mdz9Te^l9lpv zalJS2!>lv-rR0we6?Vrz(baDzFC^V~@ioyik^Q@FHu~N+7`82Y=A$z*#|<>n;}5{` zq{Nu59!l<9#_@Qab>KWbG

BMlJVCQS>_PC{d~5Nr^oga-O1qA+qv<>OLdt2xD^I8S2rEWjK;m8bfrgOdrzF06 zf_f5aX|z4VT^~kQatgTU`bF)f|83!Xj_M;Bo0Azv-nEhGHZ zit@Y2Z_^9phBAe?u;djmI@aB*ls{uDp|b8(gkKLcDa`0Ywb3w{Qj9&D+ivre>~eO1 zX;~7@I{ZKD_iu%YXaq-M%wx;iFx^QR%V{%116^O;#FG94?1abb2GgIO<&sP=7hu0X zq#aky0Wc@z6X1$h=DrNy`nQ&yVYk&^sO z2i69dLQ_=~vgy(wAnl%pqfEgpcGLGC8k65$UrsiycTqXpE>+?V&(p^A-3jFQcgc5G zqV2Qo3yB(T=%BnZF3_3o>c1tXhD@oata(-Z(?H|O;8;O_LGYPHM>3Lg4!tKt<7g*W zU|4&Hv&lQ++8ogI4#7)OUP%dbeY-QaV61|PdoPGRq0C3X`F~_Dpal=I4c2AsSbwx) zKB)p$CX!Jy#SisC_toy7|5jyE;`}{T@KS!4xi5P1$5VJK=G~(RSHnr0#W;!ays3(d zNZX$MdIB~5+~vG;p9x+mX27icH(Da1$a%Vw3Ty05JIq2v7kVTq^gqU9>67JVomsKX zsjua5dJ(gL+mlU`xD-5$->IlEfa^5+yhfG9D6nuaQhj9Vpt`~!gYFIgYy5w_!@%F3 z&Y{*-?1`5pS&>m3J|aT;f`0$ijq-tpY>u1Gj%wW0Y0N!AC7E{Y565jQ%Xt^2-H85Yn!9-v~|?j)p! zFA{Ha*)_cp^;vDekgIZ=cBxNvm7i4HJ`-%C_ew#60f-!+@k!>fcKp~BL4~35GxMmx zb^8O3&Z)A9ioeBh5F@O=Lz4aQM&1Ubatyo~l;5|qNFa&62!f(xgI?q#;;E%Kl$?o~ zN)da~&+Y9>ElSg`j6vC5%xKXi6hZUaL#(KkKR+2{Bw<+%PumBT zv)`~k2$#zyI9==R<2VO;u08=;Kwifc=rCW?VN zC$`xd0osz?ka%rn!SSgC_`>x3c*LAc=2Ijf^??J0sokeBn~Me(uR)aNhd`8%Gp5&| zxC4#6t~M%_0q4a%G~hAneQ0MS!{1rA`Q}KqV3`14Cj0eFYDyqH^utZe!wZsQ{ZGdC z*yeKPiQ@f_2vvO-ZVZAoF13w7?3dw3Xtd$CJ4QC{A5DA~2Rtp*BjnJgbC-|Gyfl>8 zl+;z~YrrpN43g$L`&s_GLwb{)7w!}%=kwIFqLTeFO(HKaBem4hP{-)-@XE!${NX>1|Deb7mz+qAI zGk~KK@^yCKWy+@6mK(fU)RzVS*^1siMK|~sNT^Dh$;XcHi(#fs-^Up@eiFDq#4I?g>E;a`fJ@(aBjM(x5hSm&Aqd3P6vN3_Nw?1 zfBE&*M)dxv^HAmua!DlW_h4?+ly8&rkw#*8!(!X;pnMTJU*X16RiS!-Odu+YRD=lJo0*bmpGAK01H%WpB=+*b}M7%CKEe z->}zp`Y3b-TCJHCN0B%$ZKhQfS4%?m!q`G@l;UoENavx6Z%$n2bSayLbjbPk=osX` ztBi%0iQaHW7K9#E29o3Cb8vi|*hh)4`~XFYeD9CrEc`}?-Gx?+*CP-;PMCd6-k^G4 z$ltc(@Z~w6;Squ7>8rg|lo}X{>%EJ=v()zcnZC5IaDT*W4Qwnk-RLuIYr{A3oTh|^ zwnFOQp20Xkzrdl<2P&9zG{oo7Nff2&-nE_Dkhgh6x;H3midASBv@9I=RTJ zChv%l|Ex|duvC_QGuO#rH%eijM6~Yf_X!R+e&@iDJtI$=BxrP44U?;L@>cZ*x1#Ry&HN@7D1_zZMzi)6kOhp8-l&@_foOZ0d}j#PqS+4L$yloF$i>HyxKs7SyRQNXi+xiutYk1P79zGzf z?RkCsu#uykwM|Or_Kl#}1^uEss~B@}-ja|GPq)GvQca=B!7jf}*8-{!3hiff{32Xi zF!t&wCTp6G8;PFDz)E^+aDFZ&7EPFej!knm%k1|8eQ!|9oouh6J0% z>Kw|B`~vuLun|gMs1-`?jg;~@H{UT|uj|jXIL^kOHt(3MOu}wRnKHUeS z-h6u(KTa1fnL3e(OL=M+Hp!V8W#OALe2IL+=5F<+NC$dljLD2*%{npr%~-vp&|#|m za`Dh$g1zHgGQ{t1TUaAyST&CGcS@#49zyHzby9^Cd|;F>(Q{a#j@3%_OfV+Nc505dQ#@<;Duedu{ z4(~b&?U)DPc)y!q~ zd#?ERH@N<_L=cJ*2uMdgVr~~>aUcKY_|I#v%3oSRSNNwA zm|tlPWO2egmT^!!+U#6d=As!%h^2z{aMw*XlfY`U^0?#F*~m>kW?+nxIA9H%1DE1@ z10_i`JU{CLJ6oZn{m!186J|=OVXtux-^MY9E!?!y&-7cPoYOKmB9*a{NjYK#b35Fh;6mVYO@y7mA z|9s@xzG9-qGZirMse)oUzQXM0r$mZ#5oSIFyp!P_T`y;J0=ManQjwxVb?dog5T@^q zpB7q?{KezmdmvR(OrH}}Eaq z&Nihk$ps*ZMY%+w56UHVMmuxYN4Lg?DEB_nx~zvd=?(09e|YO|q%wA}`6QI0PET^! zSl7iM(QVO+%?9?=Cui!3J4izj6_rAKaH^szJLl0!`r(VSt4q(76o5+p8a`u@i(DTJ zKIahJh#+GI3%JVZuJi?#O=mI{2fA=JF9Tz0&LNrI2pQQ~yPGE;o-RkQk zjxzQbim33|F#~J9MMS0YBF+_I4cA;!r+ z$yG^y3G=%g9drgH|C$G$0tf7#o^h(ZE@INqd@<-v=SJ+I#9C-wQlo^r}Vi zKBj{&A_dt3+=+^-B(hKyyF2jwpul%cH`gA<5vqX)gzCJ{*c!L;(9fiN_)|+wJW_o5 z#S+Cv^|HWq*;xs{^%hQ{0Uyb#FQWx>0dEI{+m`d<%ic(G|9g^iB)TWh**2{&PvgFO zI;c|l9*&Wx(NP&P{0xe^UV2nGnM($VRRp~Zb?SD~8vnBPTFE}l^gAJB$yC-eUePm>C2z*w1GE6M9@==fSD+cvNfQiQggB$(!10 zP4W6=PiPat?S=Gv%uU2TGVF!%_*1ScnjJ^?0d*#Iek0%nV@gUW-I6p2NC~j;`$px z>`t8AgN#J$4r$2~u%5*1uU*pH#pNt2nvG3Hk@owQA|D%dRUfYyW46J;tU~$hWk;Gz({-W*VSWnR zg9g2#uPD9ZS<+JOnU=DY(!wR#YaM{o9Pry5Yzip@GCu-`UR)PbIna16UHU71eLamO zo%Jxt^(nvocrc*vXPEch>OrVHym5M`Id;>tbUNT1hx%{nc4M2Y5=qEkj>&gaFCNi9 zd)OV5F*DrupPy*;;KKxe8OpP*j;q@h>x$iDUP1j`>uXF0!e{rZhC`7NL4Tg65=@w& zs@H`!AK0hgqqL_iMxq$drI1cExu{h0OWUFs0l}(@X%S~zgI@O?zE4*iP2AGn4;}+| z6j?Xf_nv*)1Y@8-e%MBSOln&@o{}+J001nGw266CM-2aX6f_?sQ`VY)d)BGFWe!(d zk+_Uh4$wMDwTXGcS${(Ph<3eda*)pa?u-#j&*?Ahtg0;PbI8Tod z_S6erJ0H+L=f4J>3c73Xgt*WRUFrW&;()|RiX=?UO_jXit0G7rte0HFYR5yzR`Nqq zE;M<(3a7Bou+1-}=|+ZG!~Yxt;tQ_jUNP%FX8X$3n^vyzc=lt9N^D41qJ4ozVbi}$ zA^#4VjJ&xd1*dcYE+W5=NW1^Y3p!9pidhTPMEc+}$+0M4 zPF#qhF9|CD5a{b6fLq+&@VIwQp?@oAvKS*=y=th0p(#49ux4z!d*EH0A?j5W{x7FP z%}vl8vYQAw^K}oqzD^aCS&&0GO)_$!^>cWymKYB>7CvQ*3pSs`{(hYAo&Rh|qjYEa zSM&RJm{v|!Bu*oK?AsPXE7*;}W$CWrEUaJEl`Z`;?8)qO;;(n~tz{ZfHQCmhitiCO z<1!gOZ-d7YzW9U>#W~N*$m4Anby^^{a$Ab@eG*`Q!UHc`6HYX1GA&a9!J^o%3-xM0b6m(DB8r$)Kme>I`w(d@koW&=A_ z(ltJAd3XHke7zPdt)Nv)ps?CF4zBtj13rctFbQ4;mt$(YeXa>=b0|3>-KA;_v#( zA32(nSv#G;#PPkLJyY@h3i&4O%-i|Td(WoNX~#}~gfb~|Q-QZ7XN|(y7IdEfRvjbP zgOJT<&>LW%8@p3P)En!ADbiXgFIEQrcwl>z;?JT)@po>Tl^2t|FdIta<3HN*uP9sm zJ;K0eK+T57v%r+4Hi@Oie?}nDb6>z2^bQ+@?dBot-k z$$FNyidA%Hq?+)oshXZ~K5vGx(;17O=gxr)7lH*Xiu7ClEK*lZzMTmnTxo&DMnS%> zbw1dZ5)(gQ6L9ddjE$Fn9RFD{ZwwWrN`9((1Rn~7sEf03{wO8;@S z%-{HJRb27(NPt*;kzFGNQvBQF`8j66a_YZ`G-;Rh*cMg{(U^1-RtBSABH~*^q#C_HP#G*=d z*-GE*K7D*{2Z;LDOSXNv6IugK+Bnr|_!gt=T8yHiiDPeTb`H^gE@6 zY{-vK)%j>(S(1`o{u%L#n3OfIjdmsKx5LG1Ip)}_P-ev~O0!A)ORoJCb! zE0z*o;;waQohk+-ekx5rEx|G-);wt8ctVCZR|L2AdNu?bl+-K}zWAfz4v>>s9gAZ0o1oy*_FHUNY zNCl%XS7b)e;}vUr&94Th%2S>TFC&$tG60JNnz{~CSP&kRL*l!bP${L zD^+r?DN=qRv%zN5XXd6qU%+L*>e|w;zp*hoQF3kN;F++}=$mY%S7{=}QSKz^kcxN% zBQE%?#=m=9GqaubYv{7wtUpPY@V~)Q^@g_h8IDry3?SF{~A+?A#=J>B&m=JeGfL>bCf;RnxadlP! zxi1@T>y;7onXE=4Opo7QH_V8*56q%8%+?^j5=Z1r;3c;(Lmcf8^1D0@Xlv8Yc7lTI z%E~&2BYWa&O;tFj!HK!JGrtmeS7#(|w}X3Y!iMRS&N}ux0nfD+&2zX+Z+B@ z;3O_j-9*_Ee);jwM3$zdsGA0Ve#pnK6lH!>VUAyRjzF?E447F@T9;gSlnqAmy$AhZ z+Vph#&J=RxDrc~68Vu}2eNJnCW_V8vKrn2!4K{Wbn0nRuk!5+1khX%})^Qd9fR?@h)9#d9y!JOQh zXjA%dK5w6P*|5p|YZag`pI-^v?MG>A3LtZ!4oG%0cdm(4J;TQ(xS%c!Sq)f^XWIeT zra$ZP*=m?F0q_k2DvB)ENG2U%5H1Edc>5zvau%)z%+10NAPeeH>z8n*pl}*CLyoiER-Mbv;aJsx{JDbbje&!=n}t%Jg8mw zK`D$3ozyWw{A$9J;9XLeH|}j49VZsQL?hk=7y>sdYEFU`$8hI1{`Q(^D-a$_9tZcZ zAY0bDvv7NGpqUAxdfB8P${*3Q089aD?a@FG(W9yf@DwIEw3=u;sL?t`M6HbJm5LeKY-5p;vR zk%|2jRTZgv{YI5-oNxDJn-rp)baaG2%w%9k*nKg0$C`?H-qc=kMhQT3i^nb~3&M;JLEUt%@+Px~G0j1dta?iJchl`q3D?%Cu`e|Dh&p)0 z1CNSGsLIYa%%2jBO)8B=XDUQ#2L+deFye`0CYf$zgW649=_f63n(o$ zJ^p(F>iPZ})Hj}yAeklMKgXku{c#+y;hm~j()gbmXF{(*hR@yndWp!C9fQ1VXCi;q z{7nDL^Pe-x7$x-&t^)r7diSY)Y^C^1kt?ne`)Z{qcOtu8q@UmLcr;nY4H1@GX0 z+kT+dV{iPbJ)q@`J1P)9woN-m8Z#5;;(|NYL;;wZdY_Hk4~VTl!r9Yuz8+HTOMcq zh0qjAhILg_@}LFj32Z-0kvLN6vwdH*vyJ;VpqSf z4X?!}Jg(!@JoyDY?Kfz*JV{IV@vlq154WnPL9T2!$Nx~t$Ke1nL&?L3^xk!zsNS1V z$30YkyZ1#J=PN$ke;S^OP2+u&l<#7lgDyb7RkVFU^%TxSi@<(E(1zWN`e76PNx`*1 z#$fyBiZ0=GwSS7=Uu3-)aAzBw@vB{Y=z%u=1JK_T^uE%0CjIrr&Y548f@qj1ouu8=io3 zZf1fIo$Cw2tTg0^mg?6lhjugIxPDA`2F1f;8K|ckP#{r$6u7)jI5& z;qEAP;aNv4GDOwc<3A;0>BhHuM?5x96IHw(>p2+^ZqD6T| zI8V%5k>So~qvY|or-4#WY4<19$R^)af$p&D1+IPly|8vJ)aETbTEUOS$Q zi`uYTUi&jDR_ZNA|3__S?D_Ggt^IC;+Op32=&(jCi_=1wD9w-1c4=sPs?HKzQ-VNv zOtnq3yh&r&r_!vb>&1H&bkbi?>m>*J6KQXa0|20o}rn8XIZs z&4<_@4jJuT5k_C%gqGpShpt62er4rP`mdwE(Weev1r1mF^d{CJwXvoY|Cayt3&Cg_HKxZfG@ zyV9<`$Q*^a(dV8ubrrH$!4p)k=_=@c^&gJcd==?!q2n*9xbMEM%9rZT|EdI`u!Q;# z>6>j90&T@QyrNeF()i)|5Xa-)(6KPL;uqOn3t%jLhwb#=Ro&Huf1{nWIRN*w0ye?k z#K_$Fg_}DT1D2$N|1Uy|%(32nMNgRBrTCqr( zpBBb>a6naK?Zdfy5RNC*@zbe&&HvDxU8(lv{;$Os1N4acNB`7z(l`Iw9v#>}jgJ8; z|9LJxUWNSn4B$HaoRruMI8p5y<4#gg(LrE8z_9si@9 zetfnC1H+1nAL;8AzDN)6fQs#3#G@?h#@5FV`Ug~nzo~!|C+&7O&7M%B{csPSW3ugl zAAVEM{qRT4|DcEeGsE=?wGWPC`;-Ox@oGQE@2zKPjGt$9ZT327nE&mk@zagYdGfF5 z=1<=~iQ+dM48cPBv!ia9%4hL;yW-c4K7Ksqr$0{W=&MW_+s23fq;am&Cl5ZaWAbZOEbAh9cJe+l%jW&3{Q;4_65agF~a#oBf7=%BIF0_Fj6xVXE0lVP%q0c|4{jg{T$>NlS9XNG;$;YV5bLdLRZoJqrI^B2Bv>39_{;})y+ z@D|#jOJfE8Tg9hn2LD{!i}Wu#AH)-F;a$kMfyz(+`33meua7AML<(P3WbnZ*!+QU~ z^}#rPafgrT&nIxZb%vf$?Rx>34a3!gU!o0IgkjqLAb~;Q3g8X2+ta)3!_QN?0_a8` zxMCOkf@M%y0{-hRmehXzWVQ+`sC?}7BhCO#M&2<%6@Sec3-K}kW5s-W20B^63X!s* zTliX60CtAPu`Kw9p70XnT~zu18%y19W!B3Eg7zee-=cVBBKw10_9EH`2wxrtR0X`* zBbE{P_V`=-BL)1Ifc_xeb-MC@1pNOdvwEbrr0VxW#r29S3-Fx-^5YFHUHEldET%u^ zz`%-+f%S0k-^}Shm#!e#j_bp;Wmv)KzoBdA3W_?es`_`MbDsT26v+3I;)j(+qwwvH zX8*rve(=8`(3pt*k?#U_g5B?ArDD$AY+jWg?%A;46rdj)@ihRxj7^x;$)9IGyCanP z@3XoB8=~^_4B`%8V)WNl`S4auv`G96b^N!HzF`KHFZCbd!z+yMtN+mdd7aqkPp|TC z?&g;~`L|d3XokG@w^aXBzh_iGf5&bPC;v>!-`+CQT|j@$0{g42f<3vNUV++1h@{fhW_M){8detG&MMxuR+@R?lsr}&ushR?u6|6A1lE1)9_uW7R{4)p`HSWkdosI7KKmtek$jf_Q~8FfeD-h3l#lt1vaxKT@*Q3w-=7tqBKfAQ zz|WrdNace#0b+!oIs6`X@~y1$%~v7cO%?KOSRvnh+Mdd%&qjt@oqT_F@~x=yEj5<% zy{`0&>}&Zam2VxLUvF^o;myUwG#0)e0y|+rm9HBIdGTTYw^S5A_+4IU{0yy--=0WF z<=;!?zsbpuU*QCZ8Ot&%|C|-_&t0%S0@(mU;lcv@o~Hcg(ssrN-h9n5u`H?l=dR$7 z{|b(!$bM%Q@V{O8+b?6j0{_u6r^Poi@ckug;WM7{hd$YT$j=*TjyC=7*Zlqjw%)!Y zl>Wv%aX?uP^z|9!c>Jj9x1rL{%THXR-=XqzP~Q2?I+0rOebQ4YI7$&dcIuzb4}2dL zhK2vBe#7B~(*YkGvOZpogJ?~^9J2MJoxiZJEiCVmDmr+dFeuKaZo|Pv<9K z8vXwreE)#?+4z$OKeK8(=^H+z|FzP$H(`+eq)MOdvnYL4kIfm^b8O>hs+@MbX)2zx zv3-J^_HWQmnMmscGkh#|MMLjp%c)UV-BI+plyC z$5RGu8vo8$WM7;A2ck1XY49JW@+|{GER4TNw0$79y4DQWsC;?;iD6+!_0RPD*yMXc z^FzwNTlue|2C(>>OJ(5tomcE$b?f7KMdxfH)T=JxE*+o7AN)2@zHMFn&4h8diy3WX z4y~eYN!SLt#FKtWSY~M-gpQ`u}#wWFn@04IHFg_kt`MS}b#s{jxnHBld z)<-FR?UAFKTMHA}QVZD{mq|Ge{$-3sjg z8>PRM>h})DlP<&!*v&rD_VWoG$};f31Hk_ZmG2&H{{R>M*v@YEsC?(3kH$*4TlG)v zN7*qXET9*tQv5zs`k2RKq5lm6eZ4E6?W^rCIRC@5-K3dq==k4X!GHb&{+6HCa{M0v z|MgV=zbXHh9RC?5=R|o|)$@Z>bbL{K;(4!FisJKmI5kU(&v(jyUTs%1OJ{tOEU&TJ zb=G-f%T(Gv5;pVE#5a_oDf|JI>{4`vczV4WH7XeoZh_-}j}YQ`7Ej;W&rteB?R&PYsisApe7q$uLk`2D{ptj^763r@{Dn zG}`z)!0d0L}oL(Sd8(95^eQ!pf4rr7}dx$X8_ z6&fXP{4OdcEYsA!z0$`UOk-g*ZXgjFkGIljJV2wV8?|}#zg2HY>HksAvjTpT77OWP z9tv`VfA7$9lb4l#(cEIcdN0y%%L4uI9!m0RT$^S#{F&qbFPQ_w)6N?&cJ`T5v!%>A zG8fI_#_QYk0@gUObmKb@AA5^oiVy#Z=>zbX)Zw$4@;?sPXl4l4x_dV%eMbH>I-b$0 z>y?bYgZ~5!s0uHseXyTR1Djg5I2PW_dqu~w0fqQ{3H`dnF4>;iezwEsVTpk3STvek1fl>gWQd(5(9IJJ#&z zhv#ErQBd@#btNWF?`ivc=fsM|)@{-ozYu6hQr25|8x0PnW)E_WRS2~0Wusbo~Cp}zd`UcMgyNA6guBUI(+u( z0nnKiJfyyV95+Aek3wIrp9D!#7^e4!9w3q-|;0<^IegKN1#e8^%6L&mtj9=PB+G302;U^1d{pRu6JF&$H zs6Pr?Yz4`f(dA$9aHR`$9!CG^!GpBqnHv034)yb(UTi@vh60}_o3!9FeD-{zXu&57 zo3;2PTAa4{0yyz4K-(eT@h$u0wFdhCHA=%H7SZB2u-8wj#aFR?y4X4u`u`SM@Zb<= z!l>u|oRF5=p7Kqs$8i{@ zhEhp{t4RJM&W1m2su%pHMLnJ&12-%E;?73kdzkiToZ#uG$oFT^2)}^~Is>3D{u`-l zcoT&wBL&{Wo;)W5eBG1*7!%F<+H^UQiF z>&4A(sK2#sjT+Pxzd zk`4F_(ut^xUE`N%cO){;KH%OTADmmDU9_7X{jY;|SWjzx56&kwX(8Gjf&M2q)r)qA zqaGJAU9Ka?PaC>WyJ^wqvqlZak$bc4dbIl%+MNN4Z$M(pbr&MmZbjWP@+>hZh<3Ao zHM)y;iU!8Ms8;O`1^w%xU3b)&^`hM&s6V|)3(@X(sQ+VAy=Zq3>aPV~$-B&f8$&yL zqFm-9&OSXe6vwgVdG#Ff#(T=5?G{6vj%rB6`hNV%CWO~vT)-|quc2=?#NoPqarph5Zg)7!u?pZi9h^+{#U8YJH{9m#73e1u_j~$ zF+LgocvHO~#{9n@=n7(t(^KK?e1;pl_zb}2d(fP?QNwXRqNZ8rD;nPZGNgF|HrPCl zmsw*naN$!!HwkK>Ah~yc)Q?8}B2fcWXEJNN1p0g{51xQgI+BGLYk zgs-5%I2{H0xO5SUW{sXK^bVwWx zX_Dj{)Zg8Nzu0AMNO(_Ez1U?f)Sm*rvL+#Sa-6JT1=@wV+J5aIvpKmm+odN?@ia;J z7bxx-u@LRPK>tUe0qIK-?N)*hY>oPj@R9za-Dl|k7ic#LXi2@`{3+^hY|=us+YDOV z)Ko9p{R;IDf;PAXu?sm)*QDJipgDb`hT~Y%ybhE&m?eN z9e|o=L6iS&iCuhXYjSDUuE&#Kghbyq@Dc60(f=~kVW<|-Zbw87o+Al(i)xb{Uq}Db zpdH?Bs`WiMztE(GXtyY|;Qucgb&(Rk|3>`~@D=Si0+=b=FlchPP!Ah|y3@uaTy7u$V~{d6-}gEzHX6db2*=tAun`#q6M?O4OJMeJ&kIK{K0a7k4^n8~|EUFNpmE_2Y@YDzqTR zcOCR=s;A~SOGiD=CM0h&PRVgi^xQUT&bwQ?pii?dyk;+JgL}b$F37QO>@92fd(dB> zxy^>gddbqigmpe{!dcev(*x(_A;UHJ2p!2ecY+T2^pu{QvlDU-->xbBMfcm$|9#Mh zuLbCVVKbEE9NeuA1JHY&*juddG$<5BB~FyW4fsfZvBHz+k4L=2exN1wBFPh|*WVbZ z@M49jfxs6ze#^7Yo~YyyMkU|a`cgb&pcO6x&1Ng~WEgtXG>~Imr^`_E{~P38GRdEF zB7goI^<14vf6)c+{p>mhG`KpGdeMbzn|GUJ7F}k8%>Qeu7mu11^~6c$N?Ktfc+?`$ zMOHf0na1F*{k@-7|DC~%f=o$J$Y|Kkq)ouBJ+ZNX4fGhBjGB z=EMb1Zxm$vUgV4Yum*hK1NeaO6_gGHU)*EEBt_oz@P$C3S@NFsHt#y^jo#i~#Q*G^ z7~Bt$LNEOapDiPGvDZ21zY}QO0a_xVNb)}Fd94X~OY6m6w9BkH_WB>{XKSh#WZp&n zX^=!iue30LS^xOJ+vPTepAQQ zi6HqO%=`LVX_Y}%eG|g-@ynqwJ+$H(u3Zs&82z^gjb}hh>IKP%P|x3_%UnuQf+Wwm zdalp0UXXkW^~>fU$+g+n;4A*a`4|5&llVr@I+n9KuY>S+LwvfH^e=-X%~#q*BVK8L zy$NmcSZ3YLbLwwE{S1&~J|H0UC7)jk`rAtXKz><%AHWq4;Mnh1F)8x6Wr~( z({`*Bs{jCFZ6oA+OBZb;}n}ufIagW{>rPIj;`=ya`*u z{9)9u+EgzHJcN46LwQA}X&{Nkqs*=nkDQTtA6e&Mbp9-2&U&s*u7;G~5W^02JbOQy?EEZQU40OOI8P@B%1R4i)TGTo8O}8 zy{KQSsa`bY>XC205bt9DZp7(%;KuWZWur|li5i`UfBR|n7MT&~EpwwU$MOs>t}C$5 zdDFZ|aO}q}+4bl@75XjGKtR0c5oq-5CNHAD8Rl^)*TyKMc044!Ts^?23J*8*evM{}(K}&4GD?AUPPUb9*`Z*|{ z)#$|@w&_9#gE17w>P^@qp%q*DKT)N>690{Bp_`61P%Ia=(D`g5D= zMT>pLQj0}%Z1DoLptMH-b3R34F~YUSNYoEb>i?8$3+8nwq(yA88>Hph&1!9CJfsaR z81u~*>bVAs-yl1hvf_FS8T(7{`g6l`1hM@f?MJ9z6`IQvgklT+D~qlbL5qEtf>g~~ zV0K2K7RTmjF{lkKkP`~ESf|MrqQzaX#fRXv3fgvwo+DZ?F5YdDLA00+GU&4$LA2n1 zI9$-wQ?%Fv^}LEgjid-=zOfdx0_yldX-1uKQD%$5Ikp%KTj0Ikftgx7N_d?CEqIQ0 zZo@{R#UD}6rx(B+ANE}pQk~Z%t!Tk_vmVw|FO>PO099JF<|xUW9JfJ}Ekvr@U<*5= zl<1fG*Ki+`+TD<7aR9WquSttFAk{fd(ux-QqW*xUdeP#{G18(ZE_nSC;As($S`W71 z?~;4+m+-=W@ik`5E21W%T^F>tyGe_6Al09mq!lgre@II=)eGgP+t7j@wJW%ug|*g| z(a*n#^THnB&a3ZK;la2fkW4aaNvdJdeR%J>!}0!7*qpTFAe7T>kYjq0vl@b*$-IYW%hTe3*@niTKH!J|)&g z`U3f1w9>g!HKMr*6Y^oQW*F`PHC8>}BU0t1}9kv=C|e``jrTl9Ghfe+k*9M!m>KjXy^X zd!7=pVNbzxZ{RaDhce%lYk0D^QRb8IeD^qLhFBe+ji-)!MGTeVKfFpdGbr;pp1osl zeU<>a>;i=Go{soF8ne{1sBe~pD9c-WFHlRp8+8?n@b18e@tBfO@0lT+q0N!@d=62V z5t6J5uN)fB{zgSl#(yYwStR=YjJr*RvQ%eswe4ompQ52Ro8(F?9y;&Rj=Jf|)y?&C zMuWOAm(U4<)|;TPENbX4qRV5b5$mxg-@D2pcS`8HG(5;Zdv-JsTT=K!1QwZ_o&hhO z$6Otwmi0sv|7;82cn5iA^#&IGV*RVYE$%eFs`XVGLE`T|Ah~9f?f&g-cTV(txsu7% zFC*nov97@|WBtdFxu-^SnI86ixv5@s;eUkwy`cw3N&SZ4+x#Sq)bqKN9@^aN(Gl*SJ$<>?L%F%W$6I(+6>a#?6L^J+*Q}(5 z@=l4GX3rP&(B_^{Ku5JWel*r~O%57cLF=1x>VJiL{4O$(f)qiCZw<8?+4kt7*Gq%c zzmJ}j21oeh`|})VYt|xfB|~~Xukx6`B<43c48`Yjc#F*3vbJ0j9^1c3e({zOkm@!; zlEYC%uML1F&n7o(c%9TQ+v52`9|Ob2=r30znYWIGzwwPaya%>3&^F5Z!fzKsPd;@q zB-YD}us7=0Z1NW2crUzVBbn1F9*Wfe4KuqO+jkH0_RFCiW3HEfXV@L|F*2YxKPas; zEbqArhTKZwT888Pi_h(#cVHYZYqQBgbL}RX1y#=PoTGQ4jhdsjc@*@{arSx>IN#nRo9MDWWII{w zCye@t%)f&Sw>0&a`b|o7;d50xCwAd^B}P(5e0pz}`(!L{%3-|Nr5|WM+a#O#-V%_l z2>&OV`uBr4J#TD}2l1;Xj^IraTY?8RI}{f=?Iw`GgHdY3EihmJi@n~9FRTD2r}+_fQ} z=*WE7FDC>4gbWNg0J^v$H`q_7n9hr*`OMH^&>CJZRosKs{NB#$p zydgq#`~)>3-WQw!Tgw%jfadJbQS_s9qT|M>Un586e?sPvQ~vaRono;meHN_3nE zI*JX+L3G@@MaSO7*Ll$KDBvbfBa4o!f#zG_wTSww*^$qY^0zbFVTHG)TWj&@S^Q!) zh~E4b-7kbL*J&OiW>z22zo#$vdU6Oxq8~q;zc6pMu~N0TI~l$2gD$7V@uJJ(;B`Qg zY+|o7A)DND#WPeGW3us{X^}2m3laatqfVmZXq>x2P*;j{X^pSERkzn=X87K0PM5VH z+r{8LF|d?+(Peei@1Q!;Hm1ujTXYwhsk^;ngjWZw|C;FU6v1whF0E(8Wx9Z9SO~go zAALsb@;!Lr%}L=+@Lw<1i!S`F2jgXP=<$j3u|3g$-zGbXF6Tg(KLHc*FG?@b zBK`M3z17IJ$S%FpWgo~kKji&|(`8A>JQM7~H-w+&?6ME)pHf|hI$gGgF1!XpxqSVs zsONg}w=uTZD!TA4Gmm;okuLo(y80~D;$=_tJ`}nf9Ay+Ay9w*?dvQDj_3K9&#V$KT zwl`uw+%Jyy64`D*|3|gnUopNO^$V(wi@W~Up}t2C?iK0MyV&0eGVt68zl{fd(d7f+ z_JhhMJVloY(B~Abzth>}0qAHx3GIU6zbyKnmg8dwx9wxS(`6g*UJsbf8)Xn(R)8+e z{x7=F|Ib$0R&sf4IrKM~ap|r{7u27bqsswp>(aaVg}=bz6Ed5{b-w8GHLefnTSdey zy5>0qGMoz8_#})ph>tOcACLMKl6vM|z9~bAU?cqxYg?Dz*<~Z>!t*>lLjs{vz9J=>JrcF8$)LWh!J|AkyMm<#kYQ&R5U^1@yz>O_IoU`{&Rf z?{E&WQ~VN+mxJS_nr);_B-|NiB|D-&>B*J7zo2I`$DVsPH!0;uqAi8vAFx(=2=zVZ zXu|Ok)ZgDEiEvyE^dFXbaAaXkGe_oM(eV=Wys+UpLgBFz%J<}OTnqFcXyUkr>( zm*}_@e3Ea&kvhsCWeL<@)`Xtuz9Z0kQ0teAYaY>^>y1T$==Gp2@9h%FIS<|_F&c#O z=D3Er2zp)~rC^=tz6^Tu%J~zh7uyJh;Oi zATxi-&3!4taRe|qQFU1_QWsq)^TJA5q!h}_qJFj}R7s)Pj)D?-4^#@mQP3U^{kog# zh4S2}f2FBjBv}yk{1pt2g3!SmeIiGF`#UOy@{YiN%_bd1l6xWHJdM$$!5G6o6@W5- z5s6)XP}tLSc_Z3JC@cW2d3XQQhP|Z!bf}*nqwj6hi!O7ap3e(`PmrFEfbx7edIUY? z-jm=zH+rt7GGFHABT}Fz3`PIRBNd@MC+hhdMpBjc{Ym{CsK=#K*7Jih9yoHQyaNMduZLU~rypN{^UB>e}XUa!N4$S~Uw9M=HHt3iRYA^s~N zM)b7kNl#b{{w2=|NdDxS7O$%7V(!F0%0t3_k=2!_hd-2I*yhD$9GXl$ead@qXwp@67BMSunnc6%Ve8`U{=e zN+jV~ExPlA!gGq%aC8|sej96r!UC8heu17sl|3in*Z*VhOyGT+%K!hp$E-AHl9cNj zQkgQORBW?;q(}&n%ySyhTG=}gEC{`e7yAbh}XNy(I!yuq31NoCfc*iJ(l+*jGB#CF+=x@Wp49 zM*sfSec;ngg$F3p4ssy zU^kPE)iYDT?OMk+iZ|^QHg7|>>w60f@IR<3Rd@Q1l{_rAWA7Gc|2COOGYZyX>h8Rs2D|3V9WJJIc14~BO9TSa$@l~qrSo+u1UYgZI>_ExoejNH<# zfV#EpJtMJ~Hr+b>7B=|EtoVxPhltL5!sjOVMMU34zaJFcxSMrf68%!qxu(apMz;cg z8qT+s71M;X=LVQ7Zjg3F-F?!+zUyb4x6w$oec-4!IzlhfxTkcLdXP!dH%x*yhTlqf z&SW|BOGkAy4;9Nzr3q&WHnMT>0%^f0&9@A;Oh&ea#DViw&Owh0+)NXFt9JSiMdv*V zd&X@f$UwtVSlFo~o+rGnTNHMjZ+tUKKP(OlsdVoxC|llXqd{b3t@8LdU23o7Ck6FhejTs4W2Q){u|TuHA>#p+KWx^8qwFR z(ai%_i+-x~5!WIBd%J1GSj>>3OcU{6!aS{{P!9?*IjVMfR;YPe@WTV5b49Ki?T1^p zwfa8K5Evh`j;FH@kwpA$R|w6zyF_20W`$WdOY{Y6bhGYJ(fO}~widcgI<6$^o-HJ+ z6TPn~$}fZ=s%a%XwR#e^bRAGD|OjbdAS zs!IC>_a-ivw#LV_y+eH7E#AbGW|_k0vfD*JQv4-NG&~9CWlP=A_BLUbD=BCTWtKQC zDXN{Jo3_6b{S0y2Tk#{9l4<*&zFtsi`vz(I3u$Y7MnbKd#OGb&eLfyZw7pUE7nmji z=e>Zl>7{CPixc9CE7Db*>?-S4GGt+G!P8&%{31G24+<;uX~Nn4Ba58tM8Bk^E$mB2 zQK3*&)@>>4cz(|Kn055ocZxS(@wZwQIWt9%evZtn7jW*se2aCz7yVn($3}A64o#jg z-xp>b_s8kYVyKLDP&kvs3+7w1j<~JgS+Lb3cS%$&N!3{TdRg~-S!V~$x|_vkQSr8j z;)q%I57D1lv%;+VyXZu{@nCChcfaUw7k?3yvF;3M!V^n8Rk}&&t)}^LaRwAJCW|`0 zpZ3>UdzpsMmX{o9Wo6M6v!*b5y82x|=xDlKCf#_}e7{m}i~oNr&i^fm9}H*k@G$%* zg*T4Ats(>;LVYMmHVuC$JnKDcS&qI{_HHC;D+F&azMUuxWA!?s@`Y_*4}VYeTU2+e zhHf|Z^}%X<+d?`#Ao=z*o9XZ!@!?5Hq}$AG_-~8OTbOn}r)_Tq>9&O-g`OtLw}g3p zNx=tD7>)5_I%0fH^jYG@*e#fnwcS7Zw5>eGH(~HrI%0fTd|oRaL|r;!aLpXQ1=e9> zQTGGUH?GmGr7jUY&JLji@%?RBzlVfBvGx>LpH)#A;$Q)=BQ zqDMbRE6h6XYiws4M!L1#7ersLW*tw>@uf_`l(CLy%=RuR)Purk|5j1A31gj&DsR?G zZx?an%9~(H7Mc3Lq}jf_nXFs9?E533*7@R3A6+Ks0Lj|!Jkg_{1J2v-EYaU)8b-QV zcc$n(HD^~Zh|IHO-M^*X%7HUu0y79>``3vd^(tTUY@l{KMAjyp|G{hbEE_PnpK^ljl;3x!1>p8(j+}QgucIMV4oREi6bOc4mZwZxfm z^*~u<=asg?|E!RH-P>0AraAH8=O8>Q>Y6-PK0hY<);OEytgraGr_tCN{W}9R-$?jX zlx>_h&8JJB>uZ{?Ce8O1ifcNDOG=XcvSbpE(8$J<_NzDA?m<~JyBcmN zndVm{n1&ybhI~;*1hUea(vTVaYo$cI%#O=CQ)&)f42a_mmX;cbq81$%mwu zwTnG#eXw+{KRIJ@!jm&`JrhM*E1WL+C9!YX0i$m$`o%5u%|wq3Vo}-hkm60gFYLe~ zv2T7%8lD>B!8r4O+P9AJU~iY0hWf7t;k$(ucf+qIiMy6uIcoGXWWAklc@3lAI>6hn zsKvuo;>^7HCAIs%g9PKSyL|ZlTHi4ZH<1;7?zU=(2gBc@h}|c~gPpG%eMj-xq!uSe z|Nel!=A+8nLp^y@X=m}NM>1b$Vop3sTG)A(Meb+TjVS)l@2?V=IctP`I`gg^S4Q#+iIFGC(W7NE|liKQ|T+- zqlMhQrlDzmp)}-60zp{VnC3T$zK108?I2yv)^VfXt9~)NntQYyB7Uy<|4E-aYnm@D z&Fu*f*G03q(zg{A?`qNT_Q%!gy&e*e5}hkzww5&x>xq7=Y`L?s ze&(IW1!}fTQSYD~;byA>_VhgvA+hl%L7LH={`{yj72@-TsQLQ> z-ZaFkynSw3!}&Yvk36YzSSiukYaJnv5uGzqaPHMti~efSD=!)6pGfOvt&bJ~-ur_% zyNyP%n55>fYyLO-D)RrfHTp=Xc9H1Z={6p0P2%dJ$7d|#vDHLziB~0F!fL$w%hDF( z1$|b_*tLLK=SPulJ;x;8B5%j{nvFr!gr~?JGknwp4*p)l>q6f%ufl#iMNTvBP}*AEI!`vjE{MHn&|P(i)hRI zeG;t~(2n-Cf?jWjWSpE&UfnFV7x%8bz4jFSytml}9FA@E{(h`iFkD86#W&X5$qF>=yuXliK;A%0j+8qMn_! z%O#p;4-z; z-@@BGh{Q!Dk+}f-Slzh9mkPO_G&wnF0n&6{QS^gF-={%eL3Gy;wBxbpUP)Z2wDFmu z98~@SXEY{v7ph%;Uuw-U(`0$^ zzQ^#=g0!@^p!U-d|25*wSwq|Cak4mXSkBs}$#Obt_+^dr+Twh?kpEXAgTV0RD7?x{ zZr7Dex3whkj2Z`?Fg#lv&MduQvVJ7L(e~&|l(}_lh{Kdx3o6j3e<>hz^(=5P4&M|9 z#*=Fr99}LCOV&92NipzsaX4N`yO(b<0Eb!PaGmJ-=WB{X^>8ItHLKS+Tq_QEY`xMC z)}|}UV}GdGyIj&1zYf}(XI2%@<-}n%(d^Enao`%-r%YCm?S42&5_#u|uU*@Lkx+a{ z_|MgJNZJ&;zZN)~&PRxTevQLU;y@pv?yZ4~wJGByZF)z^**HuSeTAAW zCh-(yX8tM4hBc&lsXI!{woD>shmzpaVBVwCf>gb#gctw=vRp|e)xNjX(j9#L_Xq`g?b zthNa8x&g>FMYr0}O+zH!DbGLD7%`CeHMLx|UV2lqCe(jrF5H$)g~Z112O69$LASd~ zx=)wryuRoc$F}ARj;;yk=6`63fu+^re>1wUB<>@#a=xIHXgy^Uao}50^9QaV&Bl#I z&%MWeca8Il`l73f=Wn;@#+&=P0$w1?l1co#{E@Q-i9!{If1xAe54Zlg_Cmmhe3%s5y04Ta}PHc^yERBR-QOFSod*+w(2$1W|@QKDI7 znCIUjI%gt$jm_w$$qu4l6a8l2t%Q58x4r0}k%rX@mUl*m`9W948h$XXs8??Vqtgo9 z+cJ%iyl-FoRvhjS7j&~^`Y$66AC#UO%ai>7UH9-d(*Fy>FIh&Q;kgnr)A$s|XPRma zvx_m3l!rLoIaf_Z=mAH9DBjf2x`8Xwk6@4fJK&+34v@2b-v6 zez;S1!O468-TZKe=x3D@pqunvWZlz5Kc?hke%M#|!_11p{J_~+)jDvfdj&aNB4N-N zwL{d<&YVp{dWt;{jZBi!zaY)`YO&~K(f2lbVVa*O3iHlMrH$sReZ(0XxVQC}P{VwM z?Xy}q^MCiQ75$p99zhfH)$YPiDSHicxu)^#w{hm#?_WjEzYJWA!`|X>lhKP%zqgu@ zb^li5&s8SNfYBRkX{{KqB1R7DisBqTS6Z%XL6XG^Hl@L8Khc-1aW~d7F@&iF)k4L&#UxIGfd13QxOyQrcN$S0y2FAR)JD;ru1xx36*do+$1O<7w6*m;WjZ&Ccvihd=8I##1BR@J9c)dcgxxqJ81m z=ywVKoU*@AWAQ}dj&Tfze!k|fZ`L@QEw2~nH6!OG!g1sLE#bdW!yA1|;d!=DEXhhx zu(5iY%3|h+@={#;88D6&Tt8X0tj(d3aQu4F*RRn{;-#W*VDusYdzXuXMEk|Iiqf~# zIGDG2j{U7Qx^X^7^gU~I)8rJ&tDhBpf#_BH zCb3EUfaps_x^Xu8U23%-8$C+gRFoYe@>PMe*~oWt&}4N*`))z9ao{^S+(EEw#_%>e z@4sJOYp)GrdzpN;)y(qd7TvxmO?DFfJ&jc>Z!GTJf(O-hqQAO z&ioHeFjpeQ)Ab{)eRFFHk?)Hge`^O7}MZT~`5I;=H|%kc}%v`aS_4 z+fn!#HHk+^qK?5Ka&aGvqD1U-ep9DbJ>>X} z&nP3`>bzY#EG^xRDd}e8TSR|bw9$~p`AoIprlME(xQ)(RMX#;J;mt|p8;2^!SbrOh ztnweBT+`q8zXDPc4iJ!(4v~-=EzDBzH5$DI^QykOQb zuE{$Q01Jg4->Oj6zb6)hVu4awy|=X4^|}B;Ebeb&Fi!Y*i`2gO&$$xTsA8?wIlcXqBTMGez5C5lSadWpAxn4Pnm+Fl!Dy@l1F6! zIOImQf6LRB1v{JwWkyZSgq%YGHLjV|IIViH?q?W;MDkJ}FSn0 zkdK6GJjLVan_1WRP+o$K){fOXNz^U4?aL$T+4$G_@{UaxVJeJ(UC#^--$G4wN* zFJknJme0xt&nrHxf{DX>{ZzER*cG$fUTJ80*$_-} z?kwdzd3hy5B2tga)=2KGJQfuUK&JePu53(Ho#uul1?wGg_AE&lP#$l zw=7Snu=goEH;(M_#d{@rJHx18cYtnjqqrY(3Ha^|&FtbU<()gF3Lc9bgknQtcUMx`g zN(DMP-oM1WFu*=8BoqpVDI*CAbX2d@zaF{j5hsrXT50eO2U?|g7Scz%{FrmEC^dCH zB=72OWV=&|@UwBD1hh@2+jePBLmaxV-orGVZ}rS-PD$K6LquTBE^lt>mYU^{0#AH4W)qY@x^3ErLO$ zh0?giHK(8CEHlg&?)Kpc19#qzd0%)7iM>am0d!mKxdizLG=pJAeYd6(pxE9v4 z;jUNS3ww8>T&5)-(tlkcc}OCE&|fF$^44=Cvdh)=?Ea0$PZ!peu8Z{kq!j)8(K%;x z3BKFfku)p!JA=v}N8J^oqs*gw7c;k8VZjhfT}atBsLu4vELkxHiPmu`Y8w{3Ju;U- zH<_^k){7#Dats2-PPg49`V@X)Ps+3N7e>X5-c_OwGS(3EX5T?d{Z2^OEm$v*o zu*L0^yK}IW@KP6I#?LD72%;noM6~+m%X4ZNIC*qb-XHNZt9Tqis@2aLjq+iNX}n;V ziRf)2Gh{!1HzYGqtWygkr59$AdlDO9^{jV`Cd)?9U@xSca{q;d{&U5!+~4hh+)ALY zJG8bJ>hS^6Lbtr2ZH}Wb6u)`LBQ8B|<>bqsdw(GfYggwWGXEvj$mua1m=AK$?`NN? z{UksTM&Ro7c1?_i08K!4Qe&weckWZTb_1qk$uVi@Sl){{14CFL*Ptkx!L-^bH2)(cc1Z@a0;57h(!tffMDq| zzZYU+1Qm&4Snc61k1&z=gxP1&?P*iPyDG_aA>DF8gfQ3hMJKG`p`q~(z;HG^nt5X$C6O#&cDibA_HvhL?A89k$uuo0B*-<~|og#y` zCglsQL!tnMn4a_p-6DSbD6^1|e&+zOKWWjT?dV&G29FHQM6{`Mm^6GFLSE!6f~{o@ z;t3KLh+c6m%~l6E>_uzAj$K^32er&2U}{{-Y>(x(NL`U^1fDMB4$i`MLuINa`^$(h z$vHBVlB?zU#(=@hFL`r;&PK8LzA-`G=m=7Fh?(pj7pRa)o=5QVr(k}CytWOM!1rmZ z_0%>9>=)=Lq*RtFn2FbE>}SFE!E`^VQ*BKgg@|T=@Q|{C0rRdID8MF=IAay}EHlL9 zdf6cN?f{(W%>k#ft!zxq^5yMHI9}Bi;Q^)#sCMbC~E5EC7}q zt%d$@Trb&*LCSG*z=VgW+n8u4AFNp9D-(Zmi0c7~T|6hn?DB?)AC6Y<3&66VXFClU zz=SqQH2XS#0MNoSYNw#sRSE30BrKFlgF~?TKz;}tSiK~;yXXTu+KyCIWI_9-2+F4d zQ~(RYFQ0RHRd|gyXx0#z<_4-J=9C5n&2t3#wh_P6)r$;FJd_pQr``PMrdw}J$aUGF zdatRFskRpZXKGjKbb2?U$h7WjD`HdC%dbQF;h&T3;<{j%2M!$n&{xZvDjJ}rK}#dR zg%Hl*?tJ$>f>=;N9NhNmy=;Uysqh80@HTCSKt%vE{n#+zeJV_`OOgVxE3gm|5`I-m zQ+a3!mRSb8p0rB733-1<$%xVOHKQP*3h;C!2iw5OqTP77NGCKz@4Sya)&=cZr28S} zxzA$I#*TI+i7(!ajo2-*0ZbQfx1-VOX|a6|Ml5l>Ch zKGBOp1E!6CKJMm)5feb#{e~H>I+0_n#Q-MfI3$tE`rcrgFeXCf)LzWQ+%&tjbngRc`4j4%cZx@Cs zw-Zhj)>klridyN|=+Y|@wikHT0w%8QBPI^^3Vs65PnS*?F#-!egke(vJM~4kv7(;z zC0tro2ruF-KTF9&+jd@>(rpI-Q66oh|Lfod-rY7?3tKh?Z!&H>9`r08f{{!{@tN$Y zluShY4hh7;;60Pydb*cG1dSiSk$qz)29K=(yE;Qly2wc6)#h4yksG=eou|i|B$|FzAbZH^_cY_ z5pR)81lNy@lRXE6fy#OIX_&~mCu)WgE%HNn3g;VXs|$TQXYIN55KG15p9zvJ{&^dI zN&-7-^}shoSwnJ|OY&2lCLi%WRq)rJMb4Z}0o0Aw>PpP=9z;uM@WqDTw&b}VYS@zJ!qaVz%Fs*fxo{~;s3q-)xyecGMV{;6EJWJpeC>TzsG z)J{bcEfvRTn!`ZRom;ZcJ|+a+lrhM&FG5r)z`Zy+@tKd<{T%w%Ip5-K_QzKZJEN*m z&Nz8}AdG&T{wpijB(0&vRXh1E+OJp9oy=X9rGu{S#%DgW5FGK> z*wAzr4D47)%<70ETx@Ngf3B)$a?pi=Xv>0`i%q%r1>Qu1comI+wh)*S*429^PWD4- zn0p*)$KG_%+e#d!qU+z?o&KOX_3PmcWI?O2_i$Li>K7#L>M=_Kn!D8TTE5GKmIr(M z7cmi?$IyvKO~ePmCt2gK=9?8ZSFLb=7;zq6wml#0P_MB``paALGjlDu zS|4bEi3+DA@khL&BWOyn2W)7vNO^rfLE&=jLd7EqGF-7$6N>wby7JzKlcs2Hy2TcG zCm6|h$#TIhEQ_2Fi+V1d6~7m>(B)Nq>5?xr;|9P(c5jI$03kbr#ty!JHbYOPsykv! zhlEgn`111yooYFmcS6av^8@A#a{e;E_<>;KkA8oeCBGlD?Yql|s!wpv5%085hMj~?2=*lg6-Y#qiYo0I!k@lMV|a2w&rNeP zky~0IV8)7&Hd7W;q&ojgvW$P?&}v8knSxg~&OB>sMUB8g?f_U>CN2F>j{yrD;*MaW7z2eRqTsKe? z5x(gV6~%&&6-M66U!@v*V|XFv>?@2pB6h z(ptnkH0|y5%`;_*ynoR7?;&@d_e9a>RIm3L94BhdkpCum%n8GNL!%D7_UYZeTBX%4 z$uM}0QH+8HJ)4;B=Gt^+lF%(^>X8##xdqZZV%qD6;OA6B~7+Vw&Zn_ zk^4D&yDuG{k?1g=(FeA?rEIT8=2o}=l7j2>X*%>0Rg&Hpeqhb*L*Q28Pi_`+$$zC1 zFl+=5PwW$MYAWQ`kDuX?AC?UTtD&`dK#m^U3834f?4f*G`ZE)}$Y1{_=ZQzp+;_VH zgLCB%9Ozhk*Z)%G*DvjFz~QuwwwCXr*E8CO&R=gL?|=a0 z0YSW9o%8b@e~midv*#MNB9+!)S$@XEM5e^VXShAMC;~QZB&)P-z7X7Ot8_owYJMH7 zH~426n9Ev;L_6hq4xBUvST5!8HnZY8H_+6XTn)%gOFiKwwPhybUb0YM5x9PDi+_N2 z%D%u<7Q1|!pi!&K&wE7dyy?%hW;jSi3S$bhXsF{GBcHD%eEz9Rl8WdIJDd64U;lb- zP1Fy_dq>g0-BDSsUq6x5lg9m=UAXykXZG&vK2V9i0ElDvz4F z+6ozg0~YTVJ@VHyOc(sJnDQc#@03@WvtEmhMDbKb9P$YbC71mVo{rUTjzb;&&Z{gE zoLi`wTYB&W&W7-5uYBSb@vdw^NiVKfnJa+)v3IA_o8Kk&WDGU*R7JK)vucM` ztwq(qED^&8m5P;qd>UT>DW9S9AxRd}%zg$?yrQ(+8 z!U`i@1R#ELh<~uy_A8;@VUc%MTI$7a^%qh;K${Vq!#VMaNAn2-JVbE@V_oC@|MW|@ zu|&wy8^rNd{>;qiZg#cTW4A9jL4-}~vf0{PkDJOuXQx8pWh}KYBSPC_b&3t1u-^P2 z7xPMGmYg;o!o@Egac^v^4i)mX^a~-%+(IbZ>Vn?G4wpZANE4<|HawIfv?FH5uu= zcu&a(unj6hqgwoImKkQUz$T5lX~mAE%_PhgJ5$SA^n)LMa{kCG*&NBGP*I1C0PcKK zbVE7*<_q_(k2K@8Rz*>S&wtH0F14^QrkHhc`<=@2`SH!q5G)^O36cs#B%TH$XsRB> zzDHG>&i?oG-H#{tBYnHpyL~C+t4>s1Bp#2^96ys(2kilCY(>ZfG>t*bTZG}heGXdo z6915m@vP62Ba&(BXplL)II=8`9F6HIHBlzEg4rGV$s}DVcwg*K1@2sA5CI&cWAcTc zS144+Brux4e_eoXH_8U)eg5O={;LPi>Gr2+eEw`K1C=asv4T`O#Bc8}Xtl%H%eyo3 zD)w%-^)YDc6&1%J$?nanb26q;S-zp_4mn%AA!DoPiAP772AV$v1mEd#_kLWNYg~<` z+9o>DD2g`=LQI$yGPU1v#(I6ybIQ}&18P3W3lqz>`wrT^0Y-}sS^u>hlMQv-J~-Rm zoBE`blNe$(Lgo<1q-SID1RLg(4?GqF{f2~F>eW_r*n5?Ny&bIW%a(R=W$%wo*tiH`*x1mLF1_}54pq86Xb%ew ziQH72Q90w!r&x4!MP>n6Bdx!81eKE1N_jC&*uzZp_@(0ls7CmrPR4$cjPqXe^g<23 z5IFno(=z(fFP}kue*F=VUi6P^cfasSOCx==mk)?Oy02shh)Br?G{fr;{LF3K?THD~j&0#)!JRwRN0 z=09e+O+xddxyCCacH^SDnh7<&8eT9<=~wAe{I{t{f+}Tcy~@wHOjN5Yq}Ix+R=l1y z@=j9oCNx1&##p3V5oFJGZ>UlCbG^r=c<7pO&?;oDy!SuwcMk!Rh5u%NiPg+_2(32+vB=)Bf8pZ9WV`#n@rZbP{vNHGag~W*<_2iz zTfn-HDd_=Fu4PFklD=WYq%&*^px(|a3M!LU`nW4!SSzBSh0E1wV0YPaWu@3C`wKIk zvyiFUIKY42gz~La1e!`pw**@GBySy2y9`=?pH)w(mX)1&+qrt-{J9~N>%BvS#RVG` zZ#qHg&qWdRG(UZ}@rWRd`+-;Hm48$7UxUsUG|tYwm1IieQP-SEp%e!2%gwx*tPdZ% zp18i1;QEouVN_=2nxIz$WZWf}_C@9Wu}~8DrDKQv2b~-Q*uVeDDIq3otI;)yiZ@#Vu7J)<_?MifeS8pmf993Ri?{bXnkBad>x_C;Q3GATdTdsU6hl2Z|8a%2jUPcl+ z#F4)RjMGp%$1%BPlIMknoQ{xHL8|g!J$s-woM1ok+Q~H#7)wr^y>Iw$_l9u~&(B-` z2P-EP=-bRQGW@1BWf>76_D$^mv6L)E@|G{-CtL}%*=tYax)PNH+WlX>r|Fz$5LWcl zoFeISm}syJE9!2P9tOnH7S=+g$p;QZeMCjrKtm)d(a&1mCZKIvNb?DsX+?F}@RNVc z%NzyNUJc;DG*VNIRAs`DWR^-#bk1F7(F2OmPQI;l37q#Kw+4TJlH((4*PGwhk=x%SCn^ML z-Xo82b-J@2P*&Kvk0id4BA=dOBMpK?TCTUg~IB2|GK5@HH{v(t*5+#MsqALF5Lvps0b+)EFv=VAS%(RGGsvR z$e{aJ;zeE@#?o->AU6aUT*O2Aj#Z5x{CY5Vm4cla5{bwsik8%97Rom1=tYz{4t8OK>7Y#k% zvuXa4^u8va6xRjL%TDr5A6V!};|ZE&3IA=WGV9u|wOhKvReH5*hvw<*g^xOgb6H{s z3~#tYjM{Mn!8`cN$B-Bwe~=G?F`O4R6e2XnV!H>G>HP06ZkWQ~?Qva|17K&9pCqE%3j{zcK@lO}?^^zig0>+Fii(LnjRWQbaFoqP- zCyJR* zKu?=0WxKx8CXJGAV$F11Cp=oEkl08jnlrIqL3%@kNH4GCIm0S<)#S5-fU)M|V zGyu)RA)S6Cd_WR|;1}XZMZ&}*)iZ02VOay0NUT+6xXL{)!c=tq#!IW z$oC}%%3$9OIO&9Wl^Es$fjLQ62Z8y&>e+(8oKtt^4v0;Fr&Wgp)P65mcK$?j60eaC zILXtB&-!=Aw^K7P0PtTN<)35eD$Kr?!C#V9ScN_c9qA;n`u~3ZD`NQPP#>EIQZ{eH z{^X49FwK_~|K1Hr3@^_}tQikk#kF`w<4Y<_93&WM%GIYyL%Ac&R=1}^11ctJrau0^ zNmkehVfX#TEBY*Iq9%|_^d$HUxF+cw9}=(~1WeYC@zJg?euZaM;FI=hz$Q*q+Fg~+ zquvb7DE?2TzTZHez27}2temr-PAdBMJ$vXUP=&gHIzz-yc6XjdwDUTAj;UJmHRIs^ zjeBz+$6a~j!yQ9)bRXZ*$&hLf(~~p!YhalD)JlM{nzeV0;ER4R20A-Asvt`eT@Pg= zP_Co=nH{O|B?HCHFIS~<*Obasmh`Rc_D9IS64V7m)IZlM;sKM1u_q5k=@nKO!IXcp zMv(Ux_lnIjUr+YXEjsrHsGFT3Gs3aDnp#0t@$sP1*9JHMHfj_0Z*g^(V7f8k7V*t* zP{wxxVc_>Aa-~I&U;y*cC5`|x999!_ov<9}t0INX_Dcttnw!x7FM#9(c}=C-v8h=) z<0$O$a&K7Ty_jI%c-}{jN4F)|wVKKtg~ba)@Ls$^LxdvbMT#jz9%QL!w;($FNh*<= zhHr_)L%1k%x#Tws$$%xC5vh{kWM5>8HE2Zy*oz-a!J|@tvIJK5^)q{jAoWMU40_Zt zQu9RwfjWL;A;IsxnReg8*fYRkUs@C^h~Hq{>|%$>F%X$i(P;B9!(Z1j$Z32QNNe}C zaEf0|Ogl1Mx9E2OV?>HqbpUI>fjYnaz|%TWyZ)V}b7kqmW->uw^K>%AXV$k}nCD z9uYyC1yN2r-MRf$i5QJ@iP}drY%J4mHIq_i^{!$uzSr&wi*}4A)u3VZqrIg>*Hzh; z&zXN<#Q1_$INK{24h>Y-c=+jFtRnL-i*E7r|K~Y>KkLBj5%0K2Z-| zIxvwHz8WMvNS3tFhnI`|@vM5arc}7_U^T!zU`5IX2GD^1S0(dJy&dtUe`>pQ<$KwS zhD262DtWSB5ya?_5S)Un8n6llEVF#m(|h>=ogvdC`=ps#p<5Z4*^Bz-f0l3XCsh-v z{l@#jCOEH3jAAXxuVHLm!?7)$T=x#Sv0l~#gvBf^hejo^F00LpB(y9)h z>2Dz_AWIRVu8t8C1?y!{XV}Cyf*9VZ__ zC@kW017^@7qy#e@0~rW5c}-PIf7TjLosq~(mDniqAtmymCY8xYUMe2;qEOs$LI)v-tvLs5E#Elc(q z?>`(p+$f>2qIxxr?xmP0?_ZSgob3vtqL$W4`;{7PcBbASn>UBs8c7(*f&S6 zb6r31{U3QhhT_fYM>-wneIlD|lh6NDIUcJaR?XRFeQ3Ze0A7_reY+JG%b+`XN+Q{% zQP**bN9g7eu8qT1!u-i4JZn|u^hY?u1Umr~rbQBOT^yd{Fp}*>{WKLDx zqgC8Y%YMdyb=-(9MQ9whoJ?4;2%|eAL3Hp(pIC5lt>Gva1hz{K5KoD{B{rW0R!tP( zT-c4{MOpk zgjLFRHw(z$Fb;YetZYpKDy6Y3R3#}}zHCx9V#Cx9E4nQRCjfCOKh%=6Ko$h6 z)!%)rx%`1eW-LJXuDQtC1DzWAjqMDgdthA-Yn~VJ66H%ytYcVD2_@OUY z+SlEj=G2OYsd~YzGCn+{K7^Bo!VL>~AR9oPns~x2G7O!nL%)YzAQqhSS`00Xzks+U z!Nu8XCo}j~+vM*ire2`e!!>#fHN0Rr{i{$}k)J^l#DKnGl`KoE7?`10@E8cj^HMUL44<@)^tYwk4-jHKA$hiX zOm>LMi8P6VZ`aA(4~!p*bbu?{n_7M5Uq9VPb&ht>BqhQs$ZZ5q89tLy*VvVmYoWj< z(1fX(AM7!LN27`^Brg+OZ6zF4WDB4nSEGwpVEZ4N!*s^>IQJt>W^i&G6D0A8 zPLFQl6U(!1a}B#0tN)$1ss~$$5s!i zq~hDWO|+)K_1J(Zh0T8RRxp4*=QfS@rr}{S=w$K<5H(GNbSi(nQ@8#C+DI;d$|piz z2z*$r8+d_k_6#z_fu_h{v{v6(el+S1)+N5oehfC4L423NDTw(Hi{4m z#Ebd_rWGM5T@FWEtry7H>Q5<1lhQ#3gUu`KhF_l`vG@^5ZcEgweUL#=f0$$3s(+{c zMVQ3-;(oM6-M8aM<*j%9faUJ;BhpWJBT)YCah!*u4>|{uJGb0%pk|u|L~2B|SlgT% zFVq){t}**H4$Z`$*dSbHNOLBCQs;9%pSUjw^7pnGAJg-o@aRS~61)VZNOjp(f0@ZG zj2~?D$Fy^l<35@`)gG)I$j+1c`kxNxs_AZDU`Bk9G37P1(6R3isnJG0?LEGXA?o?9 z+Sb91>_(NNKfM8|M0hC^z439qK^i>iJfi#Mpxh$$7JtJ_BD(>t8`1T+sMN>B<-bHz zZzZ`}j53>*H~J={H~OgV-0s_W&IbEmK4QErOL?c)CX8QK=j!!q6gFUVF&RFIMz;gN zrerobg38?{xcg=58>Sh}GKtn#3u^^lcT)?9ThZ3cuk*L2$NXJUAEi)SN3diH`P4}w z#(kvaPJbgO0eKJ;r89ngm1AH4D40EQJzx{@5Rr1!=YZ6cbwNjXT;!pW=_&!n7vSq% zRqFL5Qp9Nz@#%B$rP*!w?dHMZJWtbIARnTm8`gg|_-vd zSj6^O^U&?F!ET=*X;f0^iE+{mq^0@qmp9dh5DBRAr{+J$IYvB*atIe8qZAyp)*%>dp*pPTkimox$tx9)&ka$ZB>7C(-H;$ZJc<(z3Z+ciC3MsTral|8DRI68z zQm#K5)k=TaxW_li(??7_@pnsVKEf>=yg1`KQtl>s4yx{Q+d7a?Z06QjmRL{Amj@OKvE&~5zjnS$)u)9x(-?t^`>#c6&gf5j0+HV_=cf_b6IyilM3JZ zqOT;#P=9N=h5O2jTi{8M;Q_pY^4pC*2tHsa?<2u|}CIdvjEjo9X#&Gox zyOhgghQ`nKWc1&-)LwrI!3*2@!CS8FECqRkGOwSySm9pzc7U<>i13%GFzW}n&? zh$9GP@aUyhyUdINx?pW1Q?8CkH;GbtUKOlf$1+@fRPhxzcBwb8QsVSnqz?MD7qA3x zDVaH0JSkVCz7PS-2|P8z5hG|vpEDr6bZ@I*m{U~ zyeiju(Pnye^fuz*(PKQ%>ybNs6hPiDA|}goF|aiT-f8~RSMull9AUI|fT~-+6^<1f zTDI&y?Yt$lG3Fg&h!^o_kgU${-L@4q^6r)LzX5Y8i+DV8d$?^`&bnTfpquOzbY~9I zed7@lXHD(c0$pT1%T~o+119E6UoErHe!li|X&62YJN;ZPMp3SVon*V>(2X!r92tcs zEck7|9WcfO#cDcr+g$?@=tOg5l@3JDLWs zZh>C1VjJ?DRBctxi_BtB&W3vq&Av^c!?8zMLh;cMa6(nr3={Xt?d`E1`Rw4skIJDn z&-BpCk0_)IS9tGnNsI8na$GgHNDlfO2haJ?=mx2A`Q|~wakzFux$O;FP4ztei^Xg| zY@zn+t2iU0QjSpTzk~CG;$5!g-a=p0`fdde^Nbx;wMLn-7yUY8Q)eJn!qWg-!5h4k z{IS-Or^2*;pWakv>^sc*It0y~Hd7X)J|}K7Xj&>l+=s4s1VzNb3k*uZXXAfHQ8>rU z=O3!3xQ|27k_S3}fY88A~h=;;YplxAw7ZHdY2 zH)1#^lWU#eV!{qL_yNggZO`+&+sk}od1wOEN;6-hEUMTJ8Ngk?RbPud5QNmTWH;Y` zZK_!tQ$76LZhNgqGN6b!jX$=;uCt1ZE{#CJwj#bf`}Y>V$5-iy#0 ze>uFDWt>T``&HLxetPq@5{0~t%r|iQq`82?r{yV&E`<_eA#>c*rCZO0o#AqGqiLBx z-bZnHO_FV$$B&Wo+_-u7d*vKl-qTy!c1!3xPBzXHBj~p$>Ig%(g9AS+f_tc*)7d$u z(f3hM`N&9>fhFW_9RNw;n7tCcTJ$au@an$Ix45y<_1DM?<&FlC(p~H%S0WJCF ztti*_c3Soj<9f`TP%FF;K@TAJex7Z)h;%D!%;1UY>tR}5^fse4j!s~Wb z&adf3zSWHH6K|#Ags$kczMhYvHm+9TUgm}$a79Nx{)yBAdF|+IJ`Y}9t!o0^1?fJG z5`t#GWW(!9fqd!STwldJ1zuaNCZ%4BB(h#ZK2AcxweRej+&9D+(ZL-5Xl<(GK={X?PC4W+Fuh77LkRFFMbKDgW217GmZh3B+Wz!}=FTrQ1m?&Gr z)8gwD55}YN-><$0o~L9H%tWuBGA4R~qo5ga_6nS%l-VnE>SYhUrZV=1Qb&;AMCbc5 zA}I1uq+jiP_1c(2qbu#}pHP&*Oq6G&OjA4mOcYU+nNRko0x)fEQk;?>7)4hZ_X9`f~`2fT5fKX$2gBjjzVfv5ZJ4Q~5kR(+0@@DjIh z+w1XsHLC;andTb9i>mT+$8&O&zolAKJ0ci5w2i|_94>Voe9tp8ifUYOSC>K- z2sUbXElL>nN%1t;${KJ#aL<5;H8&v>aWB28l`Pc6?0c!b6~gIfekDa*r3=mPr{(vW zihtC&MfS?5Lq|rH(kk}%L$F(bMrV}aI^m9x)1k(V9CcQ4IQ7E0iNyJi0eAVBY{X=Y6UUJQ_n__gH}4#;_ZtHS<*+%@=HSrDdqod1loc;{)qnE0=iLDR@skW8C@ zw=GNmC2)9HflanW&*imgGkDrY?QrcKtpB}pKwcC!ufex>q8ihJdvShl zAYM*=iN2n+_V)06{aVlzbtgYEn)Eyv+9ZD)w`n;o)6oIuH#OOX=UiG?C-S;>vJ^EOlOSE40I6S>1Zi8*ibWON@x$Hbw zt-}*LaLbs?et>H-y|v`&o7g~n`RAkoXPOB~k)9C5Mu+-xQ%=hWaWmV&D)eUH_w{AW zil$TaA`RQ;?PczR&oRcS1~-RnLv;c~w36Q*F7IPPxz}h4=je39*bc{|ARpPgkl~&M zHd1Ghk2i+A{6-nsYT7d-k zCS|zr{PH@h*bi+uw=VNHV4UkJGv<5zT5jxZ9sZ_JU6Q8&6|KhvvrPK~u_$>eMTg*V zdAKq&71C}#ph3mi3ioo9@)wL!{kH$=WkNn%8%cjyTjg4s?Rt98-EfP;=pCMtuJ#Y5Od?UjWV+d z9%o(25{KqG=ZFQrTCp#Or@l{tyo-HntuM`Ren1ZIdtBlB^Q+i3JblKmP8+Vw4IL|8 z-=_VUy2MHoo*u#NkjFufGOqG)}t z&ui+CIztYBlm+_v@N|pe@KorO`X$klq5(s^es$^SeFUa1hpINVZht8M{CXBWX zTy;FWuW?(q;^kA{Kwwrm3D~pXs zsi*a$3JvwfM$PrmHrMaQ--k;xl1S}){bS2o%9^0ub`Ss$vQINn>}Nig&x{6_$FBsgI*YX4=F4Gonu{RdQI zG;%p_JP{6^eL_V0bxybp9I>CR`vwjE!mS20w*>nN7la$Ps5%3wugxe}1szWV)Tsd! zF`g=Si#Sw>&|OizsTro%kXq#LAyP%qmY0P%)`d84+yM|hk)4v_Ch0LMYlbx*FL@#+ zcS17yt+Ty8ZzEra-YXSK8WikR}uNOjOwz_^#pJycx9vIt_Dw8E=OQxk0qP+^;A#6X)UNy2azv=2*16 zt6jgCW&n?(f(!8LOsjR@-SrB_;PZ2*t`#O7YtWV|y>TCJ8Q-(a+g& z=a#tb$1#VWe}rf3llFn&<5A3rx+Tg-SMEQCE7SHj$8zdCeUWwEAfk4N8c!IsKhm2R zze@~uL{Xz|d;iXe59c0rPIDCA*{qEeioB{`lYKMt;wZSYp=IiNe{cVaHoz)SDj znO5O$#Nxse;pNkxmlTNbePPD*4SD=gvkzU{@pcIQJ|hmJoB5eEI&Z@C9_5%(v(8Q) z%f3!gXv3L8+-c_92fem2I_pQP@U}MrW|ED31N5p$rNa}0`GIllgFlf4lE;1wkuJ;b zVc*R5^|Or~5gcBKxU<2#@nwU>D#@1r+$do`W-mJ>M1APNKC0x}P-!%EyWMj2)T23x z{CGwsv%dQM)C{UG6th5%le7y2KhB3xJJ1BkD+}47WP-acPqBoK_D$>l1Z8lRQyl*3 zc{(FyPkux@?tRfGpCMa%@-TkCvCVj98%{BQO>-*xp`MuN)no0;E4EVPU7ys5M^IYd z3i;)ie_n-YHW9C%L2?|?B)5@t{(RJnk4S`jrd5)=>EQJ0JW0tyG<|Ej01Dk+VYvcd z^XYaEtbaH)b5X9rGuOWlGZ4>2bWcU)7`N9%HVxg2;R_3{JMPa8{y?60w(zX?POjvB zs_@^Jq$55bB-^yWzdp?1Sj1MhA2I5c>Wwt5PYNA%2t41%u|tkI6!99z5}RB4YBZc4 zm$`b*-Y9r*v8F#XKXF``NBnoXU^tsc+R$(swa;UJ>^d(~L^ePMi%2F>v@17f9eT~O}9!>pQPrggS55421-b^8H@^rSvrb91^r_tc1A zbcT*Ji+)Eo3GWH2Z*SX%(j$)2V~#@v(21blV;U#T%G#=4nHQUTT2;h1`)r}}?Jh^e zigC`vh1td6@bl_th#NWDX+SW(*xNH&figbt5~^Ee9jQBwYEpeAG!hOK+-xbJwJxiKtc?sZ3~uPXV%e7PJV;kvOU{oU*5 zH+#|DA1RYP$0$Pd+T$OZzQjv?2A^E!zO8U+27>}_T2!u}61584L>uK_%}nWG zSL;%aOo1*28JvqGGV@77lFId7jBR`FmXT&pk6JpxEeTBB_#(TkAl;< zJ^nFKqiqeYYiVNXg6_l7uD8uMmE6t^4PeB(wIijA)DqXVFM4~$r@i4@zt^9hHhU^I zpEM)6_u?MB@@t*rjMh-S;ektv_d7qkz$@sZ`_jk|%q_0zQAzKOY11fKw`+n|!be&Q zM{o6wd6|h+0Bt#e%9~rgk!>t@k-|jvR}m|JDV~2Eo-7?@0^5(E@cW+wqdcZQY4W|H zYcmfqet4}P6>q#nk1j!WALn$oa-Y%ul?uVswO~a0sX8ZqcdfmZ+W@VMnrjq!2OwoX zlEG#_D%pYYgZ2xW`A@?_%BOiQe=s(JjfdTAB<)cuw;i2)IBlY5GDlJZbNTy9G5DKb z&bF&;yUF4AB0Z)!`|C3t*hr6eQ`h)=&IfM}&N@{ho8Po)ZQrlcB3%kVpRU(5`tQ=+ z#E<@lWoUL%0+62NHO8pu8IxTU#V_t2hTQTAISAW0o$l%H^Wu%DaQ8bN?rfdT6RP0=$a=j zk*Wrfr9!ctKD@}@^%|FK<^_Ap(yXdRWibo_ZT(g)%tn#!O)`RzLzOG2kS0@b92Wpj z&U^R7dF1v)D?k|}Qqxs5ub8ie_Y^*LgWmbCseuEwi zzEMksa;QW&CPkSO6#zNM2FuUu)}s5XaJ-{iq(ZI`V+EE>Qkq>j|+gdWmJZ!4Ci-OU~H z!mq+we!$V$QomjOPB_TER%=6lsjleUEZu^@$p*Hv5a^~hmw_|Vv$CBnPCvSEgI0ZBS2NwZE*BF39zdeMZU+USmg37tLqQ9lMoLr4##Z2-0dE}SF$p^(A^39Ub&uuvL zaEnA-#jn3T7<2u8PK86;GKKcw zJZh^xekF$Z4&(E8h4b^lO1}!Hy@Gk+K4pLYW<*4Cn&9_@FzBay00qZ#*Gs+VC;G`pVUPWo3a(qNs5o^3#}q%KbNW2$J<@Gu*}=LJ+0}Uzzsgi}ZMQdyAJ;ef z$Cr{9JK?)qJon&K>`nX;$od`D&-AtDMg4Md%6RpNGUEc3+xUrGa2@3D!u@3k&e!4a zBDL{Dzb(aUS!KUZlGE=DVZSJxack$NV`2Tgp2}h83ug&)$q;_%_mEPeg1HMHpi7*!v8zw zoN<#5cHqBtI@s|u;Wmyqms?7-oucu3pdGApn(f=P<6V-+eIcKJbM0|e)XU}P^n0mz zeO-Cb`cFK}rd~RIx^}nz4 zxyD5vw$5&gXH^UTH{%2H&F7=s5fRCaR2(eKx{3dC_osQEJ*_KWj_dj^>)1ai`*)dg z_sfX;6Q$oC1Nw(lDc-5fy3X~?wHxPUyq~h^0DiO^YtzS+-R4|8v)+_n3&-W;?jA9| zex@zRX$tWonOv9JJmPb5KP?RBAH(eZr1)TqUuVea=Qg;_Z8-G1O}y~4+mX|6s<7am z7VT)`C)*2`%eow248pxDVsbe2J5ZP-Rr)$H*Ut|W=5AsA`bJLfvcfS>51NmV%X)+R zl3QpW{c>_|7l!j0Ux(%7P8A={7ku1k!y%XaRpF3H%C*M^!rZLv>!CJ&s$Tp=c|YM! zQ3<~s4tqK7sSSs2uN98d;T${bB9?nyK zDBNa4aI^>Wd3wJLo@qiqu616lym920(~t3rxL<0(xGUbpr<8fd#O1Wvf!w=<`9I~m z;&r6zI!OJx1wQ_(u9-b2eh($b&#y1I++6=1iFP`!jURT*-w}s?&Wo~*ANDeq@;zk7 z=KPG@$K;o_qF>thiAV9M_jni1m~1c28^%ipj7-S9DI0JXcOspf8<%k zOI^POq~AKRE$aO0`Y|VbM!eRD{MzKIe(`hVHz`g(GNiv?4t1PCxn^-}~CK zuYD_fk^55F!F{lg_TlPwFg7nHxep2thc-L#-?hU0M>hNVAgA9-;zM6@T*a?0m*+oO zNA%x$Qz;^o&BbM}5*7}h28$9`0ee=Z@E#Wdm4`9rbOBR5jGo+EnU^h!Uc zSX@0WnOw77H)5-}uW;H6Yx~QDbzB=iaQ=Le*UsTMU*)^CgK(-F-sAhMV)QxDFFE~K zudNVmfoD!G&tgV;iI!MPi7xqKZFS)osR+uTut_`t5#v*Krsd$I@iC!G646U-aE|>K+ z_hDnb?0ioDeTVWgv5hN!UNe-%?&8O{a#?dgSt#b_`sEBA$Jby;IevDu+IoMhD4}k_ zb!Eoh=KYFvU7|Y0Uh?m!Rr)-W^YeS9+w;WJ-+RjGx3YMBOz|>fzb=lJqk5Ty3Yjq zg=gD2ml@WNj+e}pleo&o{m+FvP&|tveqkMv+MMH;V%X35WtQZAY6AVj^FD~f~7hS>X6@mp1SSR8PDEwy>Pe&L*qF@K`Q7uGE+$lkr;yqX(d_7HBF#Fm^L z%=y1jcAIm!iS`TU$cH5QjYt7*X6YKbMbB+3a{BqCD zog`lOEBkXi(oE*}|9_W#CGR`Jxt!d28PApOpWxcsO+5PaYa2IcS6yhIev3-i&)Y-& zG)>_fA|KXx;KW?}ZrzaW{JdT)ixt$qd#WETJ*0hkzL@(dew`P7<85Es!}(1_`!Xl_ z`aajbo5^16a6eT3b4n59}ESboCvit95+3=CXFO~o5arG|wWp`!wa}|H} zaaZF`@wZuePNvU)UNe;8TFHMy{B6-O{yrfepOyHz@?YK0{Qr$j6n|^?`LFJ0-Yp~k zIJd>46VZQ}<2;@xtN*@B`g4xta;x|YapIeTxhNecEB`qplm+qk2gRRL!P)x2DTe#F z+Tzl*RQ>}z1WQ9R)!zNJH>Cu z#`#R!`if^yeT+0NKUBj&tm4Wcp)3}Z4a-PJzy9|;anp5&W-3G|xR$hJl&`U&r#zYh(d{thy@YeR z+v~q$S!^%dmy_|T&0hHN3=hu}em?f4-1TwBsShS{bAGu~7_H+<{c<=k=WCq2Yry#> z`u$uvwJoRL$>O($?7cF^Lr(6V4SqQs_W}+cVh8$tR+%_;9ceMn1N?U^!~bJ^O@eEa z3qQ`?W+po3?B)GcU)v+2ji1N`_c*zR0EU#qF*XltH}t!sfy?Q4ZDPY9xjYBu&#n!^ zUD|;k`W+^I2Pbi#(~s+B$a~R%_|xIgC&BG1zLYuty*H6r$5rDq`W>Tugz~1!;41y< z^AT8{i{zU@;Bs;q*De-rgCRJDu++}sc=n*=Uc=S>HzNIClwd3U>i+w%|tKK<(UVh4Twj3~R!4$+EF2*dY>kV&fav)^$W{%2%L zsrXg)>Uc3j`f<*Ga-ZCO{nBew6~9Uf`fVir_K3VVlGATJ;i{TxyVdnOT)M3&euqYU zCAVL{$An=#hi`?eq@dqXiSIa4=~tKgbje+_u?~Z0^S!M7#q$@s=J@_T{Q}rG1+r;h zfB!hA-%i3XcX`E1Kc|GU;JuENQt_+X@m|^S`sfqz6|U|-^!upt;gN5JtE7Nop5}Vk zjge>WxxNnx!+0gbi@My3pZ3Cf{So078Gu9XZ^Z9b<>jN?oL`t1h*Ou+W(RW755B{2 zoKFnHxqc}w=NJ0u5*4l9M_fqr8eWd5NsO`{pM4?PS`agE~g(@&VL6zzbi4}Jq+$0Ad}Q4SL877 zr{@f9IArqt^Xdt|oPNmswXnz}web@<$fb<~XaEk~hS`CBXA8%-D_$7;N2OoApTm!5 zcwaD}AE6((1(b*Bw~F{YB-}!M{Hk#S{dn$hB;l9SkNZoHC=Zi6B1{+magUhT>gQMK z_eUM1opevWY%hL~B`+bRq?`SZ7VX1B*IP$5KJ;|2ZB*<2KA;m$y1+*YNUX0S`tgo@8`)lEo7)MVpS;q+?nT+ z{XE40e&im^V)3WWJoR94Gd?qV-qV@qQk{9;-I?bL`+3GWs&J#D?RRzNsgvcV?Hc>x zM^63u$Id*L?&o=g&EZX+cXsA^NS>6J2>Ej@2i8=chePnL3H=DCOb zd2&C`akbIj;(6)>^W1X|@Z3v0Z|-lSaoNsuCR5@$ND@oq;;9FHrca$G^)e{0IB{=D2L1A)fxf0wzjN)`~MGSkEWK)8DzAC_R6wc2~zP=-dfUPQ~h}NPi9< zm(&=bLyd&zxY|g3+IVuRC{al&lKmm)T&mk<`?&P{qS{Cr1T7NVq1987{v7Pj+boVk zjf7|aBLuU-GnJm~bE=*Ej4hc^%3V&#lQaMsRd(=%ARBEofaiZ7lFJ-P8elsYL%Jaw zYp?-4xv-L?0m$w=|A#C+`d{ErE~6!BfJJj+WSF}=xm4KAb}lG(L&||^3jWlpk~@IV z<5W$d8*ga>$$9aI;yO7w_N{*DM~kNr1*oW+K%+0Ni-+fpnm73-2goGVfgC6vSAJ<+ z1;xV@`Y1%x_JP$W5+Je-fG=Y4X`ui>Y;}W-&5-7+k z#dGC;=`B3hXy>_1!ce>LTu#W}_e-DIFZ~=zzb+z^QL%B|8=ebkUP|Ak5=sslr7t8&zrue@ zdPhIcmHK)9$ex2PV37FpxBWczR#EZy{x+%uVN*OVo=5jfKcQdx@v`VOF_sePdI_?T ziq8uPZ|LzTg>Dl!rR`pTcFo^R~eQ+12ojHlR^sUaN6XH`5)n08u_x{+Jx2suqHNS>%! zxxY1c@AuLo>T&)K?L+2lJw`C9&#t5iJ=I}Ke%h=Pk}`cHMshq%v0A_M4J2Jh z3S>=VW5urteZKGHBw-Mi)KRI4kOt>_J!;`x$v-+cM zTE9hWw_7x`!BjlqE2$yJqZHrow}|WB>Ruo(yUp6@Hnq_g^_;OtNh{iXsm?OA`|QPMi$AyP_os${wg~xRL%j6EDk&IpJWBD&5pAjj>Ws?v zG(z6lA0b5Yp82lp6B%I zxqrJqckb6yv0b)i86T~4G4FkSus=fdsfT9oz)M65{HC$7;?m9*>6TKORIj*MdLGn2 zGJL#$WVlTJ+%QT{Mutb~(&5QEs1z|{?r3{Y3~4et~~Vi z{&xRIKk~GE*d;}ZWh+==wR3h7Vk#={hiXA7}?Q6V{xg?MksjYZD#RhR==i#Hklp*{FJ;lAs)1I~ zU<&+16C`{o~s2MJo6{g&r6$bTn=E8U5{k zbJ91b39dw;f6~=RIq7@Vkx1XZisZE2PwDsPQ#Bs1V|oTtBom8%UPp>j+|nMO>(r%# zL<7pWuOjel=^b~=^YM%0sh;Q6rNfWsm*5ApBsGMCTuc^S-fz(rb)Mo;Y$c0!HmlOP zxTrIQC)aJLf#kt!T1`;}@6do$O)q}bFa3`H$K18EW)VbDOz;6(329XVR=%)EW1&M( zLNJn`u{1@*D*ggdQmKewrCmTFg;-ck+@M-)`;PXzk>@955u&8sVI7?c^Km7Y#|YNeS#cdf?~0gS>#O8*lBzN3R-r z`p!{~lZF&K@0O%QZiz?PNGwZWXD2<%-!+a#-Vb|pxVJG0AFEKMLOajH_bZ`2ZbGzA z_B33?_qc^NLzZ`=q(?A(@=`~h{bOTHl?&Bs`VGz)a^NbcG_&iY%ZL*6u&6l54-zGT zI{j|{C6ENgYK25g`UK8}K2muzQFq$>+z~q^KOdH)SWEgABGsCdz|MC#nXr{b#X(-% z?M=1wU~4CP6Rq6mRq#oH3hiV;8;c4a0o56D3R%3=`>)3kJf>11-!rjOwmBXRXm^mD z6=Jcq(?Py~cK#nKHQQz`FrZR<#7v$ur1a+L)}uE-=KqEwuZg353CKqwJLyqADrQ0~ z9ZvglJPC?b2g$h}^Bg3Cc94h$vm*(LRR?)HyvNy5A;4+589>6Iv}IXG15F$|G0?gC zq(#Cg74pr&_w|tP?9CXGePmAvau8`FCJ(Q(j@OEh?MgthZmi9^LqPIALG4G~@tyDF zzX~Do2zeE^VgXJbX>q|7Kt7dgMKg|zJ?LdDvY@H^UzxA1od{BmT#@{gDD7>dWOGiW ztjCs4lm^a64szi9UMgotqzROH^T>#}_|x|Ljzmd3+E{!jhp>dn@%MMA=x8;+Hh{G!7xBdp6u2}?Rc=hEhmBMeOnBW8jx_w1ok zS2MB(zfrQ?Ny;@$(b#J>5tz?=exs>8LAhQ%0)^&P(U2WOQv)2VAg{LIwg;?aSmT|^ zUk}mb>M<&~6}HsTv>TH40xH-(xZAHMPoN1^+|?U8Xk@KKUT3c-6JY6tgV?ZR#z`;f z*eL_XEk#bcAyBx9-38c>>cCkHX)O*ZgKlQu0kL*{{pJ^Vw-lq(nk99W>$?1U}z-TN1t7ND2!fpoG008E#YxfZ7hlARI3X&>2OoLl(TQSk?wvTQqOgTbB zGpj;xcKWFvrI*&?^Pic&=y#V?PdV|heF07M1c~L;rzISVC!(jHjD&5j`~v_0|Non4 Bz9#?x literal 58514 zcmeEtg;Nw>)V87+pz@=ok?!tVLApZ(BvwkKI|UY%kZzD%x*G(PSfy5SrMqM4SYnsW z&-eTOi*Me!bI+N%Gw05nGv}V?-Z{@DzjNnKJ?Y(l()Zs``KRAF`uI9~da#O$3yBDc zva0wyyE(9miHos{NC}II35$t{inE$H`UE)JJF*(u+PkufvYKeKnmO9BsyR6O{j^RgQT&jsVr4pWrG1Z5<7EiTdiG6;l57EX z0qS*xnP{!^Gzyzt2-THlmaWHjeyBrgb*vz_Lv=xi);Is;KC;r2&z0`1%|IwSS*ybt zv@V=J43%AN33t3!Qd*URh2|l64%ZVkj0pMROO7>Q9r=cvLX%9XxlB)FSt>`-q3f8CJQg?(`)%1Htf#&XJZ*p}Z{zj@ zR31SOvM{*YP9ZgW^z@sGywSZAUT~YxIx^e_=B~}ZYOvg`!~%SM=Fgj)@x}&Fojkfz zy>+x5nspU-4cE-ocuX4pLmn#efLYx?XR|g$eg|ta~>sUXXM*_$L@4kRV#E(9N#2C# zv6oV=5U;Z)K#<-!BU{VxOya2y3s#VM5cWN0IROVOc!{gj-r?;R&P*2j$a{2l5**q@ zco^HgT?^7D@Yk?1H*#EI_<(XOa)N2cM?oyF@Mp;n2~@xdUK{kt`ux3Pf_mTjg@4Eo zMV_*`6*4bBAuE-tA!Y6C8tAh}q1}Lk%A;9EGGs>^A#&d! zT%WXSa@~Yb7J3D2WVdndHdNZ$l?ckuVhg@~4Mq-M*CNbDma}gM4rmwi{B1OoZ^w4w zqW)LLTwtUVCNEY=?S?aY4Sc2Hgk!QqIILe`Bd$y^a!UHiEfsK$GD1iR8K&~eS78C9 za=wGQ3N3pg>=(}oH@KxWVvsIF>azioF)cQM3Jc()FyS_h8o-5PcO}jjvx}R)23+f0 z`+qEw%d!I8z_B~!!nkQ}E3ITiXZJw7T+oZKJzCF7d~omZ^(I>6WF36jYz090zFlBy z#Og71Q!VljCSccewqoo}@N4r&L1UseKDV(>xv+(76eftd5)M&AjDJ>gCQuQ?Zbdk< zte9`$my<3@w+qg#N$s$Cc>@j{W;7XP_(1rF!T`4-m^DnP;6#iZ{Cb@&G@mPY2Z!Mo zhRS$}}{WGK?`0Oo9Rq$mzZ95#F)(AU*CEeZCnI}{WlxczV zPc&|gL3=@!QL7`D#7PD)_#fffWNfF6UvAkt_%`i|`zl1|XCZHzur+Wui0aw^4!SXb zgG=zvNkxj&x0yKG-U^&%vcC=5mFxz7o0|;7Rr2Bgth=kx<91;eVa?f>??59v@t^T@NY6u*i%i9|Hg!vgSAqT`M82ppdY7VU&M-;Guzn@$C>iq&m4$;ov%lEwo4Y z=Zz(3OYsH5J2WKBE%e;r#4HLhuM@wu9fb`J`z5;J9nw1m43<$!6h@w9g#YYiVnOT2 z%Vi`YmE2hRlK4zfGR)3|8dUzUyuBq?av&X$;2XvR!2 z#-g8aQ4L5Uv!SE_|G+YnIpSa5EE zj-ZOh^2<~(SdMVpoNgn`O}N6>BnRS#8!Z)rL6yLPr=sCB!Z|K%Q_IADV!C-Ft=g4&7mgW!Qv3976ZY=SL5YstJ>zsUZ7a-DMMt zzcU-HPx>z|7&U^Yj>MD9_#kJcM;w-CsZ#NyM+((sD~&nAmjQ=>;>*Ag9DfJwWgQFF zg8C4kgvF#aYUPzbf;_f{RU$2+8u-V%XT2)8p%_Bs)_nGDTuU?6=DHvOIY=0afxsVa z&4Q0S6Qq+a#FT;pFKM@4Ev{6UUfKiCbN*sQiZ2#v@zuTCzC95)?+UN_>}dddb1rd5 z3+e(4pMc|HABc~Y+9R0AE?v0Q-^D4tuBcL~XBP}qS!>!<;;y{1m1mT)sd!iv3YF4> z{0k61AIc?R}f7BR_IfdJ~8`|JKvpyGHb127L^D%o5oHp=S!Tv){-V z_xzJ;eXW>03ohQkOZ0UQt&HGta%N9Lt!93F*br^>wJtb1w3??2^cOlDD4U@6Z>aJe z`~_7jh=0I*DK|g+qhZ3oz}xJ9P5(Dc|Ca%0V(}KkQQ}A&gU71M7ncZCQRo{Zz9voDdzTuzosa_dD$V+nW5Bv5a)9H=tSq0 zJP%{V0NmHBOp0B}S&O9jqs*h{53>Clbd9qoFg3uP%u{IG4uAHHd!JCC23??@pSe|9 z%PeP(_DRxWS<24tpY0LVwA&Yt1?4$@J8;TT$LaKiJ#lVjJ*v@8(pn-6^YjGZ7KJn=z^adkl>DAN8gLnk>eG~6ZQ#u^DVX5*Y;kqk0nU-wA4FhC|slI zv7=DvS1W~I^PzuJf8wkzhEb)JEsBZ4$q=!gz=^B9AnkILAK1tIgbTL`bZwyHaqo!k%8>ZYii#)hm=V3mbk)CdJEjc7XK-RJY2S(8g9+sb*CIf zmjzl39KW+jR`+3C$o+^bY+ffq9IhNaRMwc_1Eka+Tr1W7B^vo|%QP;xlXCdfn=URkS7NHGWYyXm^;-1VxhEenX94^C$MylX%P}1*GY*iRQ70+>agt;VXxR<>X)KH zCXwwZI(`c;`<0)O+fD6%u4_UqIp=wkRz>&pNfjnZYlc-+wdY5lZM&LD=QH_%;<0(5 zhV$B9?eH%51SOs>HENX{mJ*Skv68(r9)_mlMMWfa za)cq30WfvS{_J&1m7UQl409m8C*POpzQEzWEBnrOs#`Q_);V0_q}5`2(R!b&bR~w@Q@rIMHJNa@;s^dSwL9$Xi*urG#XWz;4IFiIC88-@!A3}QAI2&NA zpYsx9=8+o4BPtn7;#X0zW&g2i|11}AI%CsA#UT=i(9)QTBXd zvqw{r4sjCJrdPaLMwm6kZi|I)@7TQca!r+A*+8$vbTcmQ>&AK{d+PpO3ME?aJ=IFD zSA#VlzuZl^n&sKfOl#xgOOC@e6vy!dzh-{QWW*HkW=4chG{V1Gvlbhz0L{;-y-%w}1Fx)rZ|mTPekErZx2nOmmjW1$1d4LNYwARfkw!QY3rM&x?Kh zIN`|9s1po+)=o^l${|W-VO477#GLj8_Y)${7zqkLY`A$Pb!FeBOoFt>RDlB++R173nM$}=MP95g( zq%euDqo#J{_4fPu>ss8fm%Ig|?@GHjsOHxR@8+BKkbJ@EkH!P3x1&QU&3s9^XYo2$ z_=c;(8nz^x`mgM3Dy;EhR(oS!%JW(~WO+BF*$g^fx9wgz`kfhrla$nW5lqBE&wI#K zZ?)=~UO7^iI)tD+Z>9AhK^`%h{Z=^Zwx8=>^ymXz-|iBHl@x`L?>`?}y3x5q*}Jox z-?{i1Ans~5cw_Ik(HKn%buD=C9uE*{;d&kDZ&O$b>;%5Z3bqMY0U3S5#M}RbIRR^b zoovVX9$0b$la6#vB#3hR1Y-dh?2tQ}Jhp)$r_%oE;B~s5o-fJ#54UHW$f5V=`W)}n zZDsCv5Sh=%ll^QFqPg?DiL#I~9$UA*EKO_7{#TYsxW3QZWj&Ms#Na0Bt!IX#m4*!qHo?ZoN7I+S{ywL-Ww zz5P4f>zlJjdF$%A=kax`j*V}5F{@)IUSP&)88HA^xH5%J0lenZCM*&O+0)Y17vQW^YTm_|Z#EVC*$H zsYYhqzR;^3iaeeB?n$J}tS`2k4Wb-ICZ1=1rJ_kd2PEIyLVP$zc6aWH4-fV2>i5MO z(>%=f|8W@C`Sjys+7UehtKdRoa7^Q2!{J?$aHQL#RfW+)^FsI9CFe*6PAl4_eh3j# zID)v?0j9}Zq{%A(EAZ6wNL4OiZZeqbRQzhz+H3dv)|mp-Un0Auu22p57G0`?JrNkE zq8(iiBKF~Se)W@;0W4}^6i`a97Vw+sF8e6VaAFioXU&bK8-Y?4**ZCRcQh;8(Y|H* zepSX({qgH{TS7+Tw8T(Xo4aC5T*(nKHO65kS1vePaOZa&WPw5IX${hmX0*Ba713ZeV4l$N)xLq*>Gr|`tE15on&Ls6T@LdJjB#_ zY~0*%-O7GwDiKbu=HL64O5L_?Y4sJO*~FdRv;9P}1}kJC&EwDqzdHLrs*Z9Ry^=6w zvPr41pDc9k8|wh30rw$g#GC!pBf|5;ugqbxGp(GMBEEc~E{~Wm`AW->8T6hoF_zA3 zJq=qlKW0!CR)L!K?FkCsmeF{-gBufUYGgmQWLbAVa-ngInl4ESAS`~Ud%_XV>y;{crF!Zx>d$1l6tQ9B#d}0XT707ztMao%%6JyB?qMXBB8v5&h948 z9PPcOT>Wr`gmmll9Srd~i}|PNma-L7gny?wv*Av$8?ZSNuZ2iqQUlBSyf3AbM7Z`Q zA24>(ey)W8$QOgTO#xvoO(sq_JNsB)%;+Ddq z-&d9duoy@p0@jS^^*UY_hZj*_Z{vhkmmj;n-cbQi@{|}nk){ko(_S(JPd0MsJg>b8 zHUf_X_BN0!)ZAphSeFV~TM>WaoPys?OWrO}I-;FL)k_qHvdjmy6UfhlA5Q4gtkhDeFMsE`O9__pG z$|dl2p_giDaR}$z60p_rX=u7JbG&~i4ov<$eXDVWipG>A^INLa!50I8LUNV_8AYKs z=7-V~LkUy?mmly}W-sIazU=S{`mD}3e$p~T??NDg7a(J$z+oF9S=+++zjdbVTNb9< zye8Vfswl<(2~Gp)n{u<`Mx*xgoB|1jF3j9P;BuTUbSYW9Yh2>!iv;i3r!)Ak-T#Vy zZQExe^=Fn~oVIy78fGRl=sh~b(vJF(2+)SxaPG!$q}Dm~k2W%hY=FK+rH zzn4W1QFh~-4IfX4mxak&IiaLa_FLgw%PNM37K!nSFA`@BXq>|J&Lc>y^y`1^9#MC$ ztP5M+z`V3B&cmQJPblZiwznXz6W)u4_?CCXLJ!S0d_0JS0+FQI)8%#lkR;V(F$#M4 zwzGQ3F*!|UYgQ%Y36N6A@pAR|RNk?2jlI$(iMH{-r`A7|s@&!C!p+^^)ULMhvFZ_$ zq6szK{^>&8VDNe@P(%AnY(=R0m-{fu%IN{E2fprC84`MyxzV15_5KhAK<9Qn=}_eN z>Z&8^(8EM62O|o!lJmen_HGm5g8#8~TWorEEA$yhFI&m^^2M7#x|E0{rew^bNpSJg z5H$-q@PqMfzrIT_AzBRTZn`Pe(zo6~>EU=ZLB~H=(0Vfpo;YI{I(+l9dIAXHjqT5j z98IOZ+_nBU5BloIfLJs1A&nJvLH3~U@LX_$VzJGMuztClWn%pLD;Um^coR`eVuh{# z(;_2ROGFE>KVlGaG%$sGzmATXH{15HiiIRl2|-_PY#G2ZHW5$~D|8?}popq=WV8Xa z*iN4f%-(28@RF?|%3kK%+Fu~CqB$@NUv&EAC0l+!n=@*(n!5A#U}~d|#!L1lgkx3X zxBeDf-(X{zUI>V{-_d*1C)X?Z4ubaJd$R}IwIJYF?A^`H(R23J%PF<8dC9lnjDzo! z1pAPu**5cU_OHhY@D%iHhcnondGqEZ&L0(P{FR7y^Nl|)$UjlI|JvoB$-aDp z>lMH7MDbcW^E^)5tH83;!%!56HM-ni@E+_GDwFqgJVIe4d!I=6_NSty78Tc-`z4k~zS4bDb=oJ>a(Hn(T4zma}T^*w?2&b}OUb}W^C#I$*(mYw-&A0}8a08W3N zaPj_%PKe>yHqm0D#AI4s9>gsyFIyoZRS!I*wNiRt3=h%gpiq(6Yab|`kV z2SmjHx+rANpY`}^fAwGW*H#q0@KoL92Db4G8) zLw+k`Ki4hEW)X{cq6^wPM#f70vYJNPk8_emoT9qYon)?O)bI64uCoWYmf7vUI;wj7 z{l4{SOixC{<*mWn$Sdo7j@|YRRBZV{v=Nk>!+C|{_Kz$&GZ4!7CAUztndA1og9n83 z!!G64p0Tcge$!nNyGH@vxv!M)p8OA|KUY1==6^_Q5tD{m6cF_uUJ(-#&E+Fyk1pq7 z+5Ewq(ZyL^3i}z$lqapmT(mT>Bl)hclU-UNpM}*)M)Y?i%%N2eGD%r`f*uvdQO@f7Dac1Ko%N&YWqEMnYiBWHf5!AI*sh#pXDquq5_>ybM83*=eB>^Mz ziBB?ep!!ZM9IDL8gVGpZKtVn8OU@&64&N1c(D8kctGW;Yvtb}7{pbD+sKz15mH`TS z)#|K-4?cjSCYAV02(3*|ha_d#w*Vxr$Z=XTZ-bgvxfKmTNEXWIcUe>xe;1iN<{F9^FBqX2X|MUM6cW~Pr!8bHY+tL*r?rO6{j+@_lrO_J%U_wo9JuX3bM?K-NxbruF*MIuaOrGl zn5IUJIh{>fRA%vqV8iM4sJ>%<*Fl@leOrCy&HY{UPR6CZMhgRXJW>8n)dCqieH2$i z(*0BLlXa}J@|uIC(9uXz7dO$I?N`PU7fl%VkJ^dSY`^ex zb7Cosx1~Hi_SqZvZ82M*i>kHsOWc+X02M4MrR(NFW^=fqkM9;LLRA0`wu-x6Wp(Z7 zJeQpxlNyVXW37IFe2=3(rAQ>q|2Ih$ooRJ%;ePIaKkBVs`|Vk7dGSpe$H4$c0~cK3Z= zue&Xbzh8Rc4baE!bNJbLU;Qw6Y*a!Vw30pblyWpbJA#Av;_aNF zrWT+mQie26Nz!<}!aeDVOe!*p`p=|t7!2vrJ^W0an!!@&v)``ZM=JEQ# z=M0A5U~zRZu7=Gr?`6K4>+6mQCFicZODF-4XPFz&N9APZ95_AyTY7r>%C?3G;LB?* z&NA)3JpL0t@JV{~=UAOMM;e8h`Vfp_OfewrnM(BIBWDHCN+!*WwkckiJZp;^mvMOwvaL0vF%=i6+f=T&dni=P8T|Ni$YI4u*#3OqE_;2B*F%dT5q2 zal*<7Dd#S;qJZ{YH&7`v{nYYN8Sv=1{~H`3{t!Dr@!o3IB;!{VtzUdoaM7^;@QcIeG7R8^^a zwZcOSZzFTDrkWe**8*0xb$6*2q8|&arEmXq^pN3@H>A{thPecn4zcj?aL3(W%2)_p=?Mmhsdl@iKo2;jWt)vGd;k?5zrOp^~ zs84U2V1JmKq1JUaqixg9=t&3X&h~Lw1nDDsP)7LGi%AlDRr!uH*(TxBsVEx}yW%jj zFJZh42P0XwUypU9)DX9MfFZk3BTQF|rx4^QFl`66yG)7@r3~f43f;l=?}GB(9gdp1 zu=2@%L$sH!{Wi0dMJWq}LaP9M832)zqoKzE@wAkIh~p4L+1|PZ{q>QUC@1VV(FQ zGd-wff-HwuKaIN;uutsPr^A_J$F3^2%$**ZFys6yy`@-bf}t~uCj8SNMe;DHZHGI+ zb=2hjeNBNM+(j-W!F}u^0i~%*1#Kx3*OJGXDaTbj8afnK&2bc{(E7a*J!!CnrIsWOvX&y<@gW}hR+&>L;Sy5POb#N%deyveb`uZAHGlDs6)$QCiWD`(UJU@CX z5{AMDJ{vdPIgHTzw)AI!T6yU-O}{t*nql9gXOV828HF7cThjJ!1K%yrU)Wf&t5gd0 z-*(V?#V3gy_))g3Byt#{I9}LvA)f!5`|#D_H`B;nsx0BU{71nhm z1{2GFxvBU8zRG6kJ`vie{5ol{^BJJnfJx}V7~HvcRqYBFcwY9Yqg)bx&(rLKv>6lqU6VQVr4>_0b)1 z>#{h^U>l`u&ZK>Jgz&M)HWB;mSLi2kRN)m19N$no*!HQ8Nq;8vuyDg>{A3`GUA~qE z`i(rOCyi&4@|)ueFLMLBVxD-PItbk`XL(jtVU@*9_*t`zR;f%S6{YLJa$v`P5di8& z+ri8IMh3dD5Yy<9pW<&6L8VYuPKmVm6N~(CT!rpp;Qo7;Y%HN!q=fFGO|+Cg-A&=F z(}rB_{!0II-sif!eI;6|wL(+NPnlsifx8!0qhPUi>dCU-H!L5BU+-yrJvL|bMR;}p z_`hXTb3uM)kDMI7GgDwGNp>`um_E*Uv)UrW=23Fw(EQ@O^xc&JB;g8b%$M{HvHH-xSTC53&$h7g~y>Q8#aX#h-Tb+^1EH)QFgxh4L!DXKWF_~e4(JgNO$Xzws8V{swI zz{C3q@*>%PleZ0S=DN5S^aIe`P~+D>)-bT>i9N;@ymC~;f8GhI%YF9yBK~JI$-%ZY zI`HohYU?|T&DlkV=y^yA@a)r^w-7vMQirjsv}42 zeo-pli@4T@Zp34M zU2p4uaALUR6qh)GylFYq3VW|za0YsM&s-*4*QWyesB`hfu%Sa&mzI>)4Kqip7Z-CDLB~gH(XC2$u&mlhQXv=rFV( z3jJjv9(zuFtmDZ|Mxgy-GxN#RP3J;Uvrt>AIu!g8@H*C`hois z=(q_<9-77na@=@hnDbEvBOsGRfcDKUYE-najh8Igo>J)(J3r%Dk(6+#Wg5k z6vTMhaE#~9620oPhOEKc4w~wM!N;0m_Zmj~^3BQ)mKm$kIw%w4XVqWEDIA1a$RW$h zQy+(u=?J6;^=S|16pnWI`B3x2$+E`zW7JXyZh%~=8A-@|OuE~u0@(_%ax+G^ z@z(S$xxFm5j$a}xS>X9$%`z!J)u=s#%{|t}$FmdVknbhDrBBqLVshigV-wmc_7?fb zEMSjz-;8H6i~#*O6Cf&bib*zxGYT{o2gbTj^vfPrzi4JX`a2AB{l~p3*X2%&O4y2Z++->l zzbQ87JD?2g;DbMj?OAG^XpwLGa2a7{2dP)(MMiA?x}#_7`0N$$NYmJB7zZ8Af8M$Z zP88~!yvJ1yB44J)&Epgv&2DB8k$v76-;e!*IXBG`{C1Z%X&XlAq2NVU5)GPnIWq8K zaB8FaYs-+ldaq#yrL}vsh( z^v|NukG(AQ38p^ByTMBAp1T4*thVMV%px==y(I4kV&8Nco!s)xdapWBXwWFWi>bJ=4PTLC}E%!{JeXZ^m2y6L#w8h>YJ5xDyH-?2>FYTtz^tI>4JnA>!X)j%Mv7tx#3wzN1(v!^ZIbb5ZZDo!Es;c?27 zWLG>Jj!mMFrx;TI_dIaX|MF=H4ZTVz0Jc3WDD_+q*TT#kP+Vmi^V7FNv%lm1{DDYzs_9hhgLBoX8es z-FPKN+{9xB1TJ^qT3>lZ32_gI7SMfd{V%uAFRkm3kQ=mMiu>;6YpAI;3ZhS7I>D4SD8+uoNqS(#cq@gk zKowOYq?tqh@0Z_VC{sJ6-CXlHAfr||3X!QMT{xg}arC=wnU6R?zNYW&eGA8=cZ1i9 zygcdaMFBzy1SV|KS z=TCJOA|0d1P?Gw%m8I~{l^hQ7A_-4<9FGXDvlB{c_{+FA18R7^I_Alsp@**%TfD>A z>-vbShrT!lz1{W=y-5iNb0P{dR>=B;O&1;p-4fpY1;IY!F|Ps-5&VjZ2$S10=PFEb z{nf&XjG=*cBRhH^kY*D^Bx0j~gLC%q3j5esriQGD4@?W4o*UlLtOV`AHpF@H}*xMieGO1#c3^OPU zXc?kBc=0lB-{%qeCVX?p?XmiiErau)n%@LbPUMdNMyzxZLB!94=G-Y@^TKq4;2D$! zOV6(^qzr2Z+cVP&^?jjNU`{kh<;F1*wYtR^7-8N8tAGeNwDAT~W{3Rt&vs=RxU>5y z&TIY~r1?V@n4N^FqerwbHM5;1F2D|Yx7D8OEn6|*{@aNP%^r$?JI4cZx`Mb-u|?~? z4jvQlZdlvZ4kOFb)(!_nW)J<$CeHfV9#u}pz=@TnSzMv+2;WW3?F^SW#C zv|7<8@26-wXRI>%x}@O`WN#9+0-3uXE>5(btsEJJuqFX}6DTHZ4=8RGj6E|zx+9u{ z(>E!nyC`?pB+&0mJ&(F(H^A7po#Xz@^hLPg?lHWK*Z1ZbJ%m(&;_TV8eVX?foQqGj zqY5UYS05y8i<7XN-zleMX^L~lCp@U>`>tXqun#ZnyV=a0Zd#w5=w^IelIRdRt|jX* zE7GV^oPgKUY%3c#9w6vkz!aYnK0O!VI0gqD3R4&qJ#hnc4^Re7<~MyGD)rpn;Yj~k zdCV8OYJ#ncFe+7Id~5MF*{P@d^01i*#-hgYT-w(C9CK<}$m& z(og;5fEY55)Q<+hbR1Wv(H?1T6!Laq6!oJr#0P~y67lx}Lx;+mwgO|Qi5&L7?|_597ZKYAzoU-Y71$?)07CKo<1>ZREcJAoMlHjSA@^@AXh9=+%#mwxGb40cnCpcM#2pIx#FLlbT4rQ^fg^`DbI zn3`U%u53zVLAoJo`BoK(%_A_+F1paNR3Ei%Nj3}D?YmxHE>ql?RQACQzfQKig;Qix znL%zg=hvRwHoCkiN=kBFTwctc#U8-uDd!g*k&qW@8r5Ni1C14Gn5V&zLJ2Pq;Tw zJEx41binX_Fax)pw5TlIaYj_sS`SG{df}k`8Xao~nZv3oJl2H?(f9VjN{laU)O;1# zgn9Eq@9pEwUIPKE$Mw}WPAUE0T^?$f1|8jJaJ*BpJ0Fd0O;IoBj?@m$@XrZmrQRr)%W#E z^)1U8rMFlp5v04oTnJo8H683Cw|QBExPJix#M)$gs{Q*xx2|%NC;FS9u$asykMC@} zKN3yQT!8y+LQ2np+VetHL-;=7U(&len|BW8<8Q3~y&gF^K&f~)B+~gE#0&d?bwnzQ z4P97(xL)7sJ7d^_X+ntck|SF+-rRE`taPWESXcS!9~V+yCE-`IneRBVY(m>A;^Fo! zrr#=5AYgta-`i(13YYAO+{r+vMOxF}gd@pe)#Ifxb%lMMgRHP((!ZaI^bCT?c@p2~ zU+H>f`LO*sNvnH=8kK$><+xBpRQaekd0oJ3olVJ?~65tSTKEig(HVoBQ+dj#eoS>#9bP&Jl6H#MRB(JS4 zOpiKa4LU@oEC!}>`*6vy|AyZkzu)>n;bQTv_;1UvZ}9p=`cyQ!=SBhBhr&T+4Xn4L zSC+u5QMPMRNBXa3RfJ%-m%OE*)_r)+;rnr_nA!q~H)>oyEXogJ*YHfYl^UNLNji7= zK5e6of2#FWX}(iVEiPA5nfUcFm+e|Mld{s7<3Gc0QLZKo=l-@P@E1u2o5A2WFaMHi zounGpMv{)f$pR;&32C)iH4zCsGMTbW^WLnj&~84XGjMr=4?ja@FnEL2LQhh5+);#( z=rSvC?*&M2h`g3{C3{o!GJD8iBets~(Uk}mE6?LZbCCSvHvu3Ai?xi$*Nntkef0HL zU)#}OW`uO_mDw=vq-r(>o1qLr!!r?ewpxF=)lXv;kRL^ce;j{#vDuSW%MZQ-QuslI z=e=bM?|ZntCEq6#9`B&PDDDihfUDP%f^GaJcHt-_ncg zyEa~-!+}ajGIfe&*^B0~RCQT}t-9~%F3U0|^59M6Zu5V`rnU#QnUwP;V+0M&iZrhX z9sAN+NSBtK(-c|GY3dBy*Sn_*Ups$^-`wrGo2~U#@19cM6Wa4LujXXT*phW)rCQHr3cag+;-2wEnpmhWzzTv>gkGTPamL z)lUyVi<@y;7}70LdTwF;^ESacw1rSBs?EY7<*gMi#FcJLp`%91dD#80Ims!;T>g9c z?x%o{s;z5);q!*BRS{8U^F%72tvJ`X3kG9^g;3Y~&sbIjNH`;0T>nW+ay;Fc`Umi$a>Az%Yb{0}9T_HT$O(NoyQ%ekGEMDdS}Q_f+nc)Y0-$pRd~k#5LJS!H zLP|MwS5o54OozfLo^QszVg$c;YhVl_Jo01sPyW0$>FP+$I#+|kb;0OnbeDl2v$5Fn zK1abqE#Y^;r+HQ|jOPkQ{0k-ze&=&PK{>wQtXqJvW0D`<>zj&gr494J{*trOe`hrG zInHe^;Qh}!1P^9s+lac%2CB%>y)NB^6PkqcIV=C!#UIr+MX~ar{S%zw)cPf?PNCb|u*J>5M z)g8-!_^8O*6Wjj%H^5QLXHM}##9fV}fXiTHz8`N;e_mz&m4p4$Ll`^#&iBp6w`ZA- zEvp-+0**u^>ubV)QseV@xJpVEvpiF9?) z`bsT+@Ut(bFy*~5*1&LIjl-JT;2qa40QZyIMYl62iS zs-UTpEj3d=4+G81&k&_)Fvknx@X_@!4!rIg;gIseV}xL%=DV`JlO6aO?}QVDKpv6i z1CU%Y`f{ygbpHx&R`KTqna_5SH4y%h)x?IK$v@X*SA<|FvX)eh|VQn7%{7-ZKB zd6I#FO(NFk{hVV^OvW34_{s13Fm{1eT z*4+%j^h%T=0DY97mV9BEaRAQ7tKp+w*xnnz9~>TRh+gF(I8*?+enZ6-*LJCPN1?(3 z1au{W@}z@4bdMuGZ-}f6;^b0Deq4Z}1us^H63T6jo&yd3NMA4v>}d~xV*bV}$tqQm zfNH)9*A?a>DsOiwhR@*3MYAZH&|Ilq{2T(p9vq>9vxyw*&{0=#q%dTv(Ak0)sX{F4 zfI-hyd=*BQw6G{e<{u!pKmy>7Qg7F>c$ix=s^7G?5GPIm6pax$38%3Hrq9g{Ebquz znS}PYKyCo3-@=0g<6)%hCJeRks>NuhqZkmZz!fS3aGr<@HgEe(n3F*^(nX&AH|d1! zeV8i*u>S(~r)Ie#l%N)XCmFn%>(}d%PkCesm*rq!vK_cS9rcX_-# z)zqynLPTQMZ9niH-Q%<0l(-)&o+HMsT&YFCO;vJS{s8QmMesX{#}HAAU_1+{z;yoO zq)3fP*ALhA+6t>+FC9f(+JLdgL5pvLxWfS@Rou*(;~&3o-E@%qPyXZWE*Miqfs?;+ z*^>pP@3P?KAH82{v{P6P=e&(QGeIPWa>=Wp4N7(Ua)<~`vk9tDTC>lSQ*>JEv$`N- zHo~KGD5kyS$-_}lfZh&d=za17v%H{O_6WB}?*>$EA@QOH&YaqKEJ~hnL~J~>#WU&u zP;`}1QMFz46-1;3BqSvTX(>fuK)R%q4wcTKn;Gc_0qGbTm2SzA4(aZpWay5WVdCTa zb=SH-?z-!V{XF}ez0WzVC<3P*^=gXJ32TUwEk@GS?Qq~;-JMgtUNMe63N&@z@3XVp z=mis_R6-{TIAtRD?N4>1=c{2 z>R}6URS)y;hC$F|jE@0&Micf`x}@PVI}G<>$X6~VconRNoG#xa&j6#^6M1078*y2M zQLi>K5+CH$db6{hEYwxk@u1weCbD*B6}yVE`XCJ3zuE7q`GW3!z_eYS!gWQ$pmFW9 z>oi&rU3mI?+@jvSW{v2;m(!X>5F;G+h?FFU3njyO?*WH?`+Udh_f^lU>^1!{$S&k_ zLGHYS{+)PM5a_oB-_J{Hz=e^Ju^L7Jg_AjF1?aES)H4fV=2g)-+IHAKJFYqs-$*@& zWjkN<^jTs}X(26XmDXh{SZNx+^&-TJE;EM^Ei0wPebqe`@b3?N!Mh9BQ(bt=i|~wT zoWbLfp7PxmCGZJ_LVgfAwpDZo7lvq9S+a+)x-@o9Ojy0S=lc+LO!fDmH(1LDV6lj` z2!P?2q!3yER2F~XbW6TB`o;vPnf13^NKCaemJ>sricVZp&AB%Fw;H~U;eynJ8zoKG z@Ik+4SdSRI^T~U5svnwIB~JIIl(qRjkM}3;jQXaESN4Tpz#o0_Iw(CM5ImPyh`Qe7R)`) za@tE^B*_2~L;bu@9aLVBw+J3G5nRiE^xlu`V{-ppPPwa=Ybyz7+qL(4jO8}d3w4um zf711+IN`_Wb37}Nbl2HD6n8=zO8lrSy;(tVlKc zCwf{O0UqB)Y%P?AY)_pwHIDuMQ zZVP2}s^*w&`l4(59PE3IGfVypa!X;h3w^cjUu!Oq)52&~okGBi{MxTJxo+9~Yxl8Q z4MfBH(H*5@puJLB-~kWYKiq(-##-7L&MX-nA>G8Uck+$P1NAosOMLOvWNU#46IOz= zu75b|6qMC?DarPO_(i?^ztoaoia5vWTB*nI)&b(#rxBVMutp|N`emeu@rD;Mx4*oiwTvfggNb8O3m7t!1^M8&%#i|TpM@tS>eTe-^Y`)iOD{*&L^Z}wNhr*E&6OWln z5U6ZoXiS`vqgb)Nwbj)*nXkU`Tgxwbj8KxNzLYB@iNt&cEgMF zsMQinZrE{((1ZX~!L_^AEe#>sQdzkAHSP0+i6gG-OO$mcGDDHE)>ZcWPA+4yJQ2=` zjx+E#jK=L2oaTRB8_K9=-XClERosgg1EUI|RDHy3Y1j%C6hoI8SmUOtl5E$PP z&=b$D^EIef5Rtqf7=w0j&8E9Sib&HL%2{o`^rF~d%%JGaH0=F299-pvcI>(3O?Z)P z`dOb9N_Pc)fW#lHo0J_~qRI5@_^|^MshcQ{<@7-$dcgYG|JZAt2fwS}M_YOFkLG>P zBDCx`x+YtQ1IdD3?oE6Y#NKk!?sf(K0qbtB_$gfh7v{LDrw2yB$ehW+QYiE=O!#ut zIvnZ!JwgIow^I&=FGU(5!Rc^MLil@9BAnV;r%?B;!LaXBDgRBtn^f*3R=xHKEnZpY z+q>zOv<=b6h{-`$)w6$dREajmMmNU#o(hSlc^eda0cT17>L#&*D{b`c^}G2h-y!c6 zY9MT~3zXQfGyu!zkscg9@lg#sI}^02 zw;8reE4!$&sEiCTZrFg9gt2xwHD=?q2U{_1eO)z~tWgaGj|ad1gP+EL^CA9CEWM#{ zx@ADHV5o0D_CJ4?@0c|1;g_^2|9&kS&QlDSphi$+nfyCd~hZckIrdR5EKbfB>t%L4bU(f%>+ zfpM8H&s2^sc}n+0X`5OY=t}r$pZ8Ua8AD5`K3}TsKPra--ZD&HRB)B zkusa<_?OAMqH32N12bWDjM0C-N9)~YmH+EL5w%YYUiDps3YF$eEOH9ByOqnSlE~=u z82(rO^_TF6?)5l)uj6iR+A9h(AWD>{a%YXnRle0<87nl!N}_dnzQ-Utj>j+H> zE!>$BPZ;+^kG#DL`j$($344MkcZ6hS)Ol^VX{a1>2aZTI@qLI6qcj=uoHE~nz>EBq zz~8EezF}hvz*T1)63-cxKTh6S!f5s|{4ns*?g;B?}d6sGkbt4%A~mnmAWg!LExZn;q`I*fk& zacPxG9hmtfVUZS@RMw-1_wk%yXYiC0@8qoi9d?3YY(VGixBdll z@)?Bn@-XNb&h=ayi+z1xl4e{4Z^b`gvb?*Gl0}{gt88eNhfU%34I+LFOgmiC zML1Iktk+)amOyLgO%8{;%;E$d-HXAx&cJdqi{W=o^8&rN)mP{Q13$50aNLV4R{Y>E zh!n(a=rg0_7kY+=4p%K%^g156?zEGy2OFp3Tdg}jXIi_8vnmYEtXa7lWr$8EOwZGl zBy3Xo*Da&OD1bY_Spa9X_Nijq6<_=s)4zZ1yO9lx!>La-{=mmlXiVWA^^C#+n?1D-z@sFPA(4fi67J>2qVH07c zJ#=Cm;E^cb%j;U!zX#K&b;L;Q%OKCYF*Z!p2v`-zz67~ic#7$NxdkRnfM=S4Kb%+V zHau;*6Wu!cFe{sMCG=M)iWrABd6s`n@%$M}a!`jsx~wt$yhSd3}aU&|9BL!>Dl2&LSHfUHz&>I|KKMahoi1k~S*@X&x zEB7-eD~d>>zN<~#a5q1IQ%o41-6F=3+fYk9)d_4

bp3!#6m5f(z!Ea!RBsV$9C! zqJeEVFmcw!zF4Tx@KEoY4=yu-SY2a4UwLL$DLhL?u5SN|b zyF)^skz);W!=}x1bfv27Dloz3KEby=^`xx-xzEtCWao~l#mzP<`A~8>3S-_Cr*TwM z{<_y~v-kRMD8pOE+BBiaJid9AoR1rKg!>uWcZoZeTI5%hTZ9>;f%owfD3Nh z6P&#XY6?ySUCf;U6YfVWk#!(ki<32*WC4Cy|A1?PBDEdE1-Lsizep7Nb-&kp?$uh? zp17swQ$U{~z$39mFklKNhpIaf+4m(xF;)A}K~S(zA6)+%Z-tL%h|_-gWULSmw4hZ7 zN4E4eEnhMF5~QzP0#pS~ z2MPWAj0n7dqwiM{gtpwFGydMz?M3y;-n}c+?+!zmRo{rj;AHrbN%E{oN#$Z)bXFc{ z-MK61E9A)5w*L98WvZwwIX=!(y$gR1tIG}}-la}Bo>Xb&{vBikhdT8n8}D%|U1(R| zyh2VG1SZx@X#d1rqU|+?!JrT{qSK;)pFhwsSPa6Pj#U_*l9C@mQcR=kpHi{K^)=!L z^#ZbQ)%_+uVHdZw+Y;|(m-c3#4HJ4qE^g`%w;J{wjg2J$jhs0+O}o?zK9j73neyy_ ze%#ks=Xfw8hO1@X(yNJr?}yRv<#)TpKH-)s*>+J}-pwPfa+OP6KdX1+=e|xYmLbsc z^Q#iA3~s;npQ=dnBhM|pH_SldN2jm!Wmh&|e)>~en>x*Q9@1}2M^fYW`NgOZ(i4fT zJ{RJ?kRSY1?7mxm4wy#N+apOH)$Ls531ZIwUKm9Sc@as!CTVQJr$q}aW7|v=Um?7M zUe6#T+?b+oU#dyyK5VHPz_==Afn^dK74utzQbPbW%>pmczfFutlZQx0v0Wyadbi#e z=Ln2aCK(1p7dJ~`C+mKcHu;%wa|dFsfcy)A>=sjjO!7oDMXmID$-K< zMfxff_z){JlHz1d_WGXr!~iH*i>CoFw^hjg#08%#XYemp{(p$eJ@vOD`IbEniq zo!b8gThBxR_Bkjc0*`54ojdZJv_vus#Pu5$1)t6>v0Mw)pK47D4MG+_sh+`-5p#Mm zGm8%qsZhw6*?$niYuykBaYNc&- zOAEBrCqkt%U&!IMV(zyB#qe=e)5MD_y3}A-O4*~CoJOHvK9$e6{FZ#!=%?+oVw-+Raw|%VNC2nF-_Fy{f^Xsk7Vx@nq=xeqK zm&M!9W3=BzOD8OUYz4`zCRZjTg-E`=_Zb6TI5vsUBA(jJ6ssF>MDa2tp1VE3aZsV4 zI(JDwNr}(Fq?DlQ`ZdwXQ<25(5Y8MumKzK?YL!3eIkob#$r1Avu6dwA$om}kVC)*o zuw5re+QN;xeAfQ~d;;Odc-%dYdsIsX2}`DvKAvJql6*=q8&y1~ib2R~u)K283iGj@ zO)X)Ch@vEyWwwz}7ik{U$E6)2`j)9o{ncLq3ZhTt=<2M1t6On*mA+}x`o=(|I~pPbvO7Wdk@z@~J};TUxkmuw&S$#NchXw)kb=%e6J(i7n5r-*G7 zXC;N;0~*96=D*-8lB1#^qPNdY!*7;x%7<>NMvB8%`ddF!U*8G~p1@}mW0Vq;c?8_mIHKAn__W`1E*HIJEE#*MrU~x zSG+ZBXgr=44OfuTaQP#G4xum#rI5de$=ib)i2Q!_5_`L3KYflb4lZ**N=W*vzd@|_ ztR%zW2fWVLy$u0F6jGTQJ*sLB6V96fLI>w>zkWqTg!4;=USve7)%vau!XM>`?}!@H z3D^=wMad`o5}U_~{MmHP(H+8zK(as;R3v(86yHflTb2=ktmeaDg%Qjm1ACdYtRdw2 zM`WU*QFDyrtVP)O#S|tTMVxy+E4=vYM!YRIxmIl*2UFNFO zR8o@-=R`;@er^9IlL%+}1I;VmR7)&xQL|48V!Z+rse!&q6kGX?Kay&k3;Hv2KsI5l z3--`|_e+r&C>i|KZ#-1?RLp58N*vO(`N#8lA45qtvxysQWaGDgvA{G$9_B+zzn_c0 z?Bw!}{Z&U7QmSOEUFY7GhJtVy?4V6yYH4k$y82#p878+WJW&S>mwNWyiFGD)V7PyzM^?-)^X!|ZZh zw)X1>^qCh@?3+LT8*-teS6S&F2LD z`82d25lf28jMiTr?12FX1`-(grK4B0OPSCzl&!vc1Ic*@oexsl5td?2GYeZQ`{}=? z{sUTGwSLso^7K{B!76oldenlzY$M+Bg;-^+TSMfwQL)|35W~NsXaK~t2RQz&GS)I| zTXJ3c`{+==yE+u8Qb|)j5w=UOiVXWv#pWYv{ktoMeTn|dp#rEwjWdXX^$-}n7K1gL z8OJv<05-SdUr#i$Sw#yxwqD*|cw&XN!0$3*B*;k~c5mXARV0O0?RAGo`+#02p6c5# zRR0^+epf^&cOJdCBAxYEflW_GL_4zhmQ)|ZKF((`QOk_=jm3N}11yHS+c z9z*4rBfxHEhZFzbO&2fVW0=W1fIIWzMVkU~;~keDCHR{&`h}~hg>UBtTu6RG^ik(~Pu8e{ zOdnB&IA4;+9HQ$TlB_VBOv|rUX$w;nmQQbo6x~PQ*!86EmGg${qS!X#(B&dKzk_FhQN(Rb!xWLu}$fyHoq%c3*G}0h%Hj_JtS2TSqY9sUcLPR zCB0uq%WGj+F!#Fxo9i-WyDT>Dm}K2tP%MgfpkWyEEm5{{lAbaN}0kKZpR@ zl(#QboFDGM=&wf|=V4EZp%_ZB%(=?-CwJ5b(B;T@|F?GOHBEDjUvh=qROI;-26*4N z#^u8jvo8f|EO%EJL+DOXM&Ox$AFcodVu+6Hxg5dr zf_&%;m(O3?I^t2t}wbrQ^fpgbt0{^gPv0)e;6I#rH7m4p<5y!U(wWetExedy=942pY3&`6P8< z!3)3=^a4M4ga((_bZ(P@_NU{t#Mdk1dk`?oPk_91`BX1h($36!2)p<(BWGnfscwjO z^<#f|QiAX7$kc9cdZhA?=<5sd;iW6u*VD!1(QT8&e+wos8>JzOMt$cj+r3&POH!|W zjOzuq=+%xT_`AwxV_(bew-6vU*A33nris37>VGQm9SJM@_rn3uGn$ApJgdV-PPp%Z z@$7R+??EA5iuO8=h_!wGO7AKcR)kfUv>*Gb@WdDkvr3Okl!t^l^Y5^OO6IFqI({U$ zY%06Sl2Vlz$Uec)3DsUeLy*5VumrL{(n&~=DA%!)pxxd4q`_?EAA5~?X^gYRWL{orV0RoY9`a$rs$*DW{OY=rU1vK>G zp|SwK;iSU4iuut~D8J#Eq{8vS$NOj7h~A{Li|UPkhm>{emY-rk+@o8Q)-T>WvA$C< zMD{%Tv`xFaMf_0f(MU_P+J)}b@SHI#mk%mzd;GxVyx-fnef{IPcZ0K|XXF^()dLHz znVjnG!7V4*KDwq|fSxJ1fwyz-@lX)8y>X{Q3&jCE(wsJY)c`25#&~^FS2kAd?#Xyy zDEZdJJDx94V?c9bDRyvS6CVmR$(6088_1unETqu+<-Gzp@> zSUM@JH|A3h%_vh59Wa9~|zO73FgxZCU`p$RR2v!PQ}k8_AG zl>^JGDkuptYD~tsAaj1y17U8np=o=W?to#w0?><{{C5xLRjtY<59>GAqYtzWfrS=_ z+8jbvsULqFXgOKDD3rbuGVPYdQxgQv1bAMx78&=tS9ag=iuA;dl?QR}mz6OuO1$VI=Ror_Tq*|K=>{$414!= zK;s^#B6o&=z?avHVz(GlGUNwOv*&dQ5elnYomDcX&2x+?X1Gz}{BKf=&-NItl_RlGfk3#`YhQMsFow9>5s z(>fY`$W*>n=rLdBluQ^`#N6KFNwKKT1RQsi%~zUDn$qYrYcj?{MC5mzSvL-!UjnGuV@bGqkt={XayYZ@3NPNMz~MU~nTo zZluDuzD|OA0#n>(mdKflr2uJS?{AY#rDkC%Ze(czR3&Gpya0awZO8IQFrb=G^OkQa z_0+au3M~QdB1{=29SM4O5Vimk6) z?a*(I_KfNSaZsP_tc^tbd-=X=&E_6pX#63Y!V$?&KQ7{}isu`PPY5x$dLpvNNx6Z#bX$kOT?2*=&q%66zFJf27WbB z+!thMIh?QWPj|rrn>X|g5nLV{`kH=F`C5zi$L+iky0ZOFZcp~ zTMSg+w_RX4XcfFPY3a`oo-FcAo1Wf6OPFTTuJq7$aD(l3bywt;%XO1rwd&-DQ1JtR z@RE+UfS-h6S-(4h$q|fDpl#{hsX5JSZW@T`zf1fM<#V%?IG@nQj+Eg;a-8OYuS%!1 zj>+hC`8jTi9yu&CHn4n83n(6Tl+U2J0zUc}@gRt`7)1Aua zba2|UfMaWi| z@pagWS$}CMC-tdN^ilK1k3Ukg6?xf|_T>Xo^FJiM>mH8oto_)elPU;r=R5~}HIK`r zL6iVbE@{@VXDj=S2O##FD1M&HjeR_IZySjj5z{XOv{y;;dk&Z^uqz$2>G891zT&P@ z<{H1tq2xN;$NDrDxMKc9VO%Woc%?SZnCSE}dYXQaY!V!B4aZ$R^YOu~N{4ypQd>NT zNGIhyLAS!N@wwRjVTLoUpUo*2af(5E4}O~v1kD?EdQ1GQCd~H>)2WpLnaaSvH#f}{ zy9gf$$!Vp1$n%lZeC_IQgtSn-5}!O5O~lD93+cex7;JPKXb%89nKorAwr}g@mV4zc z9cQ6oB7*~aqD~jsYo{Ngtqxa)WFJ#2tD|20?*|d;qqYQQrGv;0al}QXay)~ z0-X$_S~WeIk|IaVVA;;!Gwk+`>pK}8>Iq?+f5385V$&@_STXjDiG_U&SswZT?Lw^E zqJ7Km%f#&MpXSy>fWKwZb~o`+meW^3rCAe8o@RvVRZiq_B=9>c>u2gSU+ZON`lk4! zHJ(a8T^D`9*~N8ZLw4QADE&D@X8Fh--ipJ2_$6xBQ2f_1WkPvWAi`B-Aj-X+Sv*q2 zDHc;J`eG$Ed7C!8>y|CsHi5RqQ%#db#*UbfdhTkCfDcK-ZfE%Cs*}PB2F&?9#*QTk zIz6WCcDJ8%pBP8fQctA*ZZhkJFCyc(E7&BlcDn6!&mt+qnxiFv-C=)ZP+FwQ4Vj}2 z1z3QKL_Mls%6T?h()S@|=*9FK!qy^ls^UK^Els)-S9m;Q=lR#Jx|4J*K#(l=wEly*gYuz zQ&AlDuO*}Z=#y;ivjcy!R;6VWYn*hgYtDobhAi2(!uRFyND)}41i0j3H94p{4JV91 zG+GVaB~RVrwHUlE_E^z_sE5{+siw%!G3fg;a?a^@K7 z*1VpPA08P@;${VL)xfo}b5#Z&4aN(?h$z0UG#b#5N)_B8mPU>iRXi16O`l*PgGh;$&zXCESHxUx8) zAtIV32v9W3o#xJ2vhf{?yiHEYh~0n1e1J(1p8s^+;4@`IxzvA8PnW?nQ($w!48fTJ z@`Sm5uEHoTNOLo!}Gy$=NzCQ01 z4!LQ2B6V>*-7F}~tP--@Qm~yqIdPyhNTUm=l-so!k_O9TNPbv$+!K=@FK6797tfvd z?1IJ;VSAHxVC3ZS&|TdPg*xJtY0^2P+pkdY>`kme&f44GopGa{jmZ2e8H>T(X%>|{ zeMZ`>&x`X=kw^63xzyqtq83B*{kj3?L^dy4z4N` z^|x&=8h1;y>$~&C=sdStebCka)Ol|p(Ds&7DnGhoK`>`ub!ob#wlxt=NU8ZRPl_FW z=HU9c;!Eb9<@*k*6@wsmvPnl_W;-WSid%5L)FZK|cZ1s0%q>xg#mm+b%%>rv?N5hB z!Q`;kf_z-$7;LNM{_XkU}iOBwT$ zCaQNI>-Fxh5OLMqO{=SZ{RCqQe`5gsZx2oAzX#3KK1z(66N*I9x|}q5DJFd-4vO^z zO{vdqC)&ja$@W$gBediOttUv+-sA%?x&ze$O6`-KFd5Y%vnQCWqH8H6c6KT2yRH&M|jU}!ya#?CHS+? z8$c19(-F{k7*pGSQcoNHI+}YSUwXYE3)u1Hp|^bd;I1+Mu(#bI!&Wm}kWY)<+$Tyf zNZlhTx@Et|6CK5#=*K5FJ9AY3_@Gr5{SZX>Gjzp96v1*DNlC`XeR)&M0pYqaIfW7& zn0l|~ygsidvEDMr%?|QhPG6M96$xbtk`#kL_lhCJ;=3PEIxhqkV@%ELuYKvq1YB)w z-+zsF9Xw+rtlR?8_lm2uxnZ^JeM+m)oaF9&}B8WIxcPN(fy40RQqE*BR!&dYA(bBTNOh0g* zA60*<rx*RhY<;gCPSCP>L9fRM;^kJ63#08o}GzOglDW4*pz9xAQr)jYi*{&erw+ z^?LKbDGWxtcfqkYeUe&65_~ZoiiIf$$4T6dcXeL_bWy9Yrm7?>CGg~!p$A8@b{ zh|qn*ut-*g=|RRB<&hu3Jn}>%=iMzGi8YG}+a)QbQ1wSYg5NTh-RwMnIAxJtb`lCQ z#6+w@8;Fl?L1hIX`B{L|b$9iVKzz1OVwF>?&j}@g@Ut__Q_dZrb?sk@krpcA)BaK0 z>xMsvXYZr)4f57Jvxu%q#8gZzL4c&LK($?tBEp;(qw!dPJZ57zv$#7Mnmu5dL10m{ zi@W>Q1Ds5C8t)Zeo{#;lmv9eO$fKFVJ#Ef4i&3^Zh0BV68XCr=g+hO!ZV7eX3Ew@= z864VaZFwEf6^1@Bxbg2Of0k`ETka~tUremY4d~ ze0Zr4^QiROX0BO`a?B%z7_sMEvC~i|19qs4?z>Bg+~0@=vZ_{Ur}%Xw=^FWB{u>B8cnV5ie5Z<6A_@QQqjlo2`!W zf&WgvR{aZ>=V)5tiBz}czzQ@5%e()=jaMi%{FA>PM%SzT$#mx0q)GWv-18GVj%L2s z6K?-#EL9hRIG&441TWEz;9%3KojK1|*9m1RZgU-rXc)VqF1$H_yReZkxJglo0#KLy7QNKlVbhRip!y5RGu+1z%#MUyR>5~#h7Nt_tZ&=~6-iLrS@y-27yA23Nl{@2p@N=FBdpIjuX58sBj zO2ICopY!+&b6#HI^r$C7$oi?VIupovYRP#?6=9q_erwawbp7yV5zsC=z zo=Vm)du1?{XqGpOX=I0$ytM$om?iTx^=?Dij2s>_~dkk0Lky(c1q`c!P5r{*~@R68+lg z#58I$WJwr0h0(=Za)BiY@5!=FVIFzk(7(oYj;hj;iDwUz8#;MS9lPxN^@?#S>El(q zQPDWvwISg#V45t+M=`KswrH5SeD;b=mjkHu8=%VGwFzh9U}4g$yCix-Xu5*b^Y3`-!hi+;!c1*s>6a=Wl$H@gV6z`BrDS^&)ZMbqn-q zu=q^hqRQbFE+EqIervW>DB{X1XN*5X1x(&$R|@T@hxAZKQb%NQym5;Ar8>w>Qb#92!;D$DYi(1l$rut(a ze)c)it0f{n)&COK^myigt_bpBYJSTxipAyc?=NHMAP1))%@s(@MAnv;ro;Gi8O@aj z4%~DqkQy$~);9Ld)sEy6{< z>`}+}>5;6Ia5k5Ph-Q7q-+uS&_YxWlH}JH@tGp}e?4v8CcxA(zGyTJPAxb+6z%vFd zUo~X;y|Vn)vFGmJ$tA1mC0N}4cevQ9N-ntCBCyGAO{}~n*L2J-mIB)>USC)M|GYKx zR`1kgO7U26-`?Tkb3@(bsg6e3u=~~q(B1MW|0^llv8qVI7#u(Vk8?^4oq_<&?a=NO zvKV#QU~f#-?sU(7k8#-&BdL+RUwg*4{52BX&jI}d8fBZoG6Ic9;C0p}qnD$qTB*rH zmZim{w!DxJWHnSg@%cdN$Y=M#$!v&L3q}bne9)X$?cWLE5K=1rVsJwx&6oQUd86FA zUt5DXq8o7%5L6XM%NAW?+=3%aI-bcO0h&?I^u9kUIuaPQYkL~>Uxe{eNKx5#GB&K| z>}707ltM5uePHBLaAPo}vEOvx@9Oh8GTd*bymWgiN^ubA)@&`)EVZ~9_R_23C%%%U zJW86&NCh$gR+H-a7GZoV|G8_mREM0np?&NfMr3K*Jh*3)Nwvp~IoIg(} z_L2^`|2w|**|4c9K_40!RO^?uK_y(J1O2}3+Mps#aXxLE5_rTq(-hIRqLgXXeh&wq z^UH9T7Ii0UMVB&yis(1{pHWH-#XTgB^PT!ZH9_V1M^vd>Y;WrfMx zJOwy?fF}6MHiNhC_sZ?|%ut8kwiXgky^K(Aoy-MhfnPGfCJ`szCQMlW@t&)-$=cd# z`H4@m_u-IK-A4xEyR|-ugp|zQf5QhS!9^+n!d%mqhP}b8$YfXVmef6tw6+z?c>#eP zh7i$UyarxWMV|KyCwIH%4&5tluYbMss0xMfHK}PAtW$k64R)nSf19HR@%m0`%9tpq zu>!Jr{V(YA^oK;~0bA@`7%3_i7R}g63mxA)c7k_ADBn;=)O!oP&Wbcu+hSA%dup+F zLW&Cia}X7p*|{!K;7hHl+mjBuo7;-30Ho1gsRB}K=E*Rn?iw#cs@A8@ z9!?pHG(8qY=b%&{p7Ir<#yd);G-?gdgy!xml9YsZDbE9vM{npHXE!vyhCKD*P8NE? z@^t@BJrLgT&2jVK5!z9vSljq*2WAh-ao}}Am68r>c5W2u=j>8vs5aSjX1E{-gAA~2 zzzg%}v2IPthylg94VSoYsyQRnTtZak zmo5fSIqtEOhotsx7eyA@Umd^i=@6K0(_M>#=_Z^m6l|84Yzij3LTSXtSf7C5pZxb% zWFo-985s^;g<>`1(sbW;8;wCu7wu1g5{Xdl++#k_IBe@o@pH?8$G>b$^$w|nYEHzL z?XFIIq^4T9L784}%7AXG9>(2&Kv~W!i?_-)yN39Z+9{`TD{I<+#jPI%u8Xurw!85W zGHbzuXUk4vn*L;HbO2|;91{nR)(3dKOg~&JIbre+Zf|s;yEuV=T$UpEa2GqUfA2zZ zyek&Mi&E_m9I#XL>P2>4Q30*r%)yFg!TAj974LBE(sTQ605rok>tD0yqm>8~eEBu9G;!X6wH&dv!=Kqp3tQ12!EU*`LtP_UE8w$l~K?by# zp;&>kVV0hJT?dY`VS``6`IQg`M=IIfUfpYekYQDt_KcvOR;OBSO&TqLSk_O>&moeN z3V`;(2fU7&Q^GmU?gYzwZc8g&WvFh|I8sF^E1De$$bxN>x#0y_A4kkKQ`r&ruHyJTz89*<@!=Wtdv^X zN@uHe?GgpjEb@3`?z~Mymd3$jXLfOsl6gp*lc;c<7aicKpb$IywhBWV33i%pk(Tu9 z<6LLUJ8zyM{fqUPs-V)M=44?Cz9@b^C)TQopoqnux{l^>!#O-Klu z+*eCCW{eF>Xe{*x(gtf8yK5y}GL6k+m!IH*E~6xC)EVpA4(u*pM7KAkS+2e^?JC+^ zHt-twvGDSic2If~xVg&ji@PLi2}}^=clgEgF~*jIskgNuIjgy^gfcrAs--j4=~Z214g4Uizpi#~0Z0JoYD2 z88IG_UfULB@oVql!b)^?edw|E@1o@KX`M`ozl`jpj;g0e|Ese~^tc^(xiz^Wna@s6 zcRx(zDymU%gQ7UxJBw?yjxhec_V4)aAi>~qn^D3MmoZwBwjr(4xcjpEE#7zyeXzs6 zKd@ApR@>3qXL6z_Iv;AnQAQ+w!QpPCk!}CzBVv>c`VnH2qnK|q=wDM?vJmI-QOT~s z#(U~#GC(7)oN#>L!T9-Fequ1u${qrl9V<`~(~Fn(Ll^*fS#!bEiB4=@+Vb=}YGx1{ z9|h9=n*GbM zu{gU0T%?&ElSGx!v!u0zY??|d!#8NId0w0)+)%#E zz2NlAytOEYUk*8={b=4ZZ;2RXfLsuH_1?e&diCIgX)cB^Qr;?!7AqudtQR->_Gx- zF$(kbnobQ)E>l8OaHV!}n=5gpBy7yQ0LOS`1*4%?vy?Zv4u6&_qy2kOxmTR*bZKdS zcW3uO{6arTu`8HZ%#Yq~FC!WsSNP=DeFgq5zgp)ES1D2DK7Z#ytZ#@z5B<6El9A(u z$iD4q`)ln~grxstC~R@A@3oK+`2_LxreE=8X{AHa%HxS9Mx_`J;_*6>ygLp;qW4-D zIRS`W(~>d!qOA#~0G%>wR!(xi(#)I>#j(~V8W>ZA-+uFM8;bnhSMZ_ZP;qAUx0`EN zr(Pi9ZmYxJu*jh&;M6}-C>|FnfG+S}u4b+Lz7%ILx|lGqZt~#rc$=%UB&QRNx5vM^ zP%*dMP&y|wpknh!kd6E!fZmRiYK6;gCj3_YNG-!sBoOx;^`=hwDAu7qh8_K7YSZrO zS}DdZSuZV#>BOpH)AL2bC8I0W z%qzDOs}Y~APH0ho07WffQ#5VBt=^?A_$H3$4osz+oQO>D^;>5VUll!POdcSPFE;m_ z$2aDnGG~-JJN)Gs^j!p9`=tgAmf$`oALB|-KNhOK=CpI99Rq9A{LLht2TR~^>m_r8@B z$$FN@C%f6gFS4*wNB%w zGnOCs)DI1MUrvMx_TA!hTfq^m;=8m;R?ew#&Zwpzo5yq0w<-%&mbt2TIY*3fXVYv_ ziO^M%X6w+dOqEq>%BW0Ae2fYeZTu1I{Z)ier=R6y&%sWSqUzXb?3fafTFq{ygfI*c z{mK?#u<)Tv388nE&z*X-=WzP;qdo4&=vIr*PIMolTBAV5k~!JFltbo*D*>_bTX;RX zFTfKyDOctjPPfs2VFMBlYSbU!Xb03f2js-&%%}Z#^sruC3!A2 z3xl-~Vh$O(Di;U<^rhDkVwPD`jmWxLxvgP^Y@hAvjDvaA;^}XYpX*I~H>hP#HOxEQV7&7`w4T>dRyPQ+`rLP332@-bPMg~~79gWLH z-QL*#Zu7wM_qil$QfZ+nH*E(R3o>7IgM4^E%7s2seoUX1bM?alXU;8O8aHoeEthH- zII)^4D{hps)w%5xe3>jZM)4U;QaDajh4+44`q(9^!4vB_k+Lmu^K@zJq4wc=IY>|d zEZByAzW=oe@vC;8-L4^Ot7Q0C#LL*Yqdq5XLr1g#1}k0FDF}j0e~^p1YX_Gu(Htnj;AE+nzd}YpDX*vDNKtQxJ9RSlg6g{ zE2h6bW4%dk4>+oHB4&TL!=nm+<DgInP+#TvboNM1i%{C=9CcPs}O>0a#O3B-DIk|h7r|QiI_<+YI!nKS^ zQFHxLQ}a7QxEm76xkY}be)pvyKc^v8e-}Fjbk4IZd2V-3IB!N8eTI|DoKse?>DR5q zOBg;0++<-OIR*-ze~I|vs8@%b7e1O!UGztV=8x8$f^YC7LA61Jy643={gy0oj&SzT zH*bFdda)fS?U?)t@-}GoY~h|ln>QJDH5 zwdm_l1%GND%47z(x6_}QR25>m?`5&(T;jLX^T5qPXn znQZ1<7x#54L$MyGeBtNg%5Q&tHz7r6Bq;QXHRx`?VncTNDYBi-BstqV@dhj@QZQuP zU3}5+N$>pqlwa^+^F9fw{(kqap~=W=+!$tN$gn};%49WjXmQM3Zq zAA;Jaq$}D-@4hc`nY5n|^zRbr?pHCLT(WDVEu&U6Ve)Ns4b-;uk}baJv8ny28EWvG zwJZJjoeZ@FW%lUQ4=M4+Pp}W}rF>7Q_W5>2mtRL|Y*`@TN*Qb}#d%EKigi>bO{Wm~ zwd(wgvUFXe3@dMiokud|R%yfd5}#JqHB`+Ed=~*Bxe^^S*qT<#%;S|Rozw#(JUMYh zbeBcUbSdJi)sdZmc{^qU>Mb1Q_ywPlSaF|2Z+l-Bqn%z#i$U9!NJO7o;J!GAn$EW5 z;3i(JC(hH)C-!dtY1%v;sr?$GdoZtn4dYbTk6R$P{t&`e@TZuzJO2P@@zF5!=&vbE zE>=3L$`43}8>~QTvBXP8N*0m?!X@N85x9F|I0#4w5atarh|SI-_n+-OCaGBb6@t~BnhVOd>H6jh+DR525P#Wyd${) za0*Bb-H;sG-EfC-xY{TfgqBJ$Wfh~bwJ(|Qh7*pLSo+*y+P|Lid3IUz{N`SXJolk4 z{4d$<5Eh&$o_+90hF^V7M?$%h$rV=n9QG(x+>(iD^>>oy?D7*db!jH^0zq;iqr_0X z!R0=ktcb8%wTprjlS`OQwr!v6Y~-@hmlB086HrI2C%X^Mm}U+z7C0~wo`%jwgYpAC0X_x;QoPztlcOowHHvW8=751P=X zKwqru_KBGEkRJZ)ijWn!$i+$lsk@?k(Eo>)PDg#`y2%4m05%bg4LN< zkN+x{-gUvAm~TfdZJrlE1dyG0Ngm)ccn%nRrE5Y1$gLX0QHtdx`mN@f zix*4d8Gx2VMUtanZJz`|OvJu0cUT)iYq!uAS?ai{>c@sFYKcGNS(~{Ru(nrL9%0JZ zHA^D^P7+tOse+iWHJ1v(t%C`PK49HA`f{IM_VlX48;2At)u}TyDSCLXYxaKd5qXUk zqpT*y1)53DseE}n@~w?4#cM{v2y-&-7x@cX5XM*P;=F0$@;U(J(WQLv={}Q*HdRi{ z1jkGF$#wwZ(8dz1xyiQ=PnCK4>_^o=s{klV4ra<9>b=7}Na|KXQ}M+9Q~11wxpDxl zFtzf6Cymp0Oa(DLuWpNHS5tn#a1$S$iU-M(Nnja>cbJ?RhPWu8@aGby$JeBpwwMKm zPvOk}8RHtdb{$eEm%g|Y$fRq}t&`8!h>mSoWDYSc$n2kbOD|#K0PZz6n9jWyaf-bTWW^^a#K5=&h7t z$FHpaHT%TuL6eFH4pfv;CIi6K>0M85)s-EBlF8JRI4_rg=75=tG1U zPS(N7!Fmm3lmJpr(7|s*7aL8h7Q#7+j&#fX+r#;Dz(jYRwZgxi>Nu=z^GwHxjsl_1 z&^{%c(aFaYw16sBQz^5wVRD-Et{X+1x0D}AWdDnoQ&`Ykz%0C?8#TIqjtyzK zMu7%;B2Hg4t3n4k?b1PQ0B*F zzrGM?M60~E*})-l!`Ge%vroDnJ(lPQAx{t>Uwsb+;>Y3m6$yf5^W|{rncW~qB$KYx z43*4uLx(C^MzdGMGt}_c#3lbxv-Y_|Fk{_$)ZmJx87#E)Ofo{0LuVu}09DAf1LxO! zqrcQaAiQ3w?2<~ZR8R381df%|TwWl6Me{r@kBy-g2NIa%V*n^~D4`7Gs+KSwHwLXL zy=$!$)$e+eKgy!-SN9bga;-15>%zGQcL-9bjBO3y>}7bBA%^Xg4F8C}_{ z5+Uy&-X)2he_~wpZgOhDT=If3J}N!j^4hWt^qm%BvT1sqinve9TQto**a5^yFz1!U zcuw}SFr^#z*z~~s*YZG(uYpx#LO-Q&{q1;Z<`%5gX`0 zWD_jSn=L=3ypzo@Pl4<-TT~~3*btD~o9x%26rAmZqRc7*eHyUD~XPBMf89}+vFn~H!oY}Jrk!ZZndb421 z+-cv!K+GqT;;*n?o@UVewc|AOIlwiKM~(jR+S|_0TiM~+`TglhtMw#OOD5qq^`oD% z4S?E9>8rg5bR*eGJL!31fVxh2WyWNaEDaEpJ+BJ+^%C?(;~Y8CNuUJuOgtw6n52he zfj--_r{|e!H0?QL9J!C6{>H!aql+ycJ$SxB4BPTb%uUzElRA$>b_y!JCtBfit6vO% zqczHst>Ox6IGp!VUD+XS06p77JEQR1QsAcq_x0+*xaz_oU{<)7*snObE()fR;`t zE`0lBS~$r@yNHY+B*hDzBdZu1N~eA=HzoTuGCc6=eNv*%K#N3s>}9jUnalt7k&P%f&KJgYvP30c_ZbjYU!`9 zteWetp%4|L@;X*G~Wjf?;Z!o*lojI3-_~W$(X1Oea9A za7KeuUrOS9s8sOT%{Il(95?FnAPlvy`T#nw~}F8kNo*A554L@@#}jLF9q@T0EISu z8Ad$cgKe9%bQfrd`!koKqQt@X3lPgt1*l=2CO zRU1C&^u934v|KZGP>YMRt*&;U;lw*9uJ$IPBPaW~4%hCr0L}DH6S;}lPLg5%c0u4S z5!&UOv7^~>i-S1uZ6Z10EiS-LWzLrVc$Eu67IRIT7pi`Uf3oY%trCKiP8*f7!8rTo9Q(ewQgb z9B;sw$VQCerOvv1H1BVfpmqWZ!BB^$vu{d|dO_pvGF~yLQB~V28wS2#k<%VQ3wuv) z!aeG7)Dogx!rp#n^CU`2_dnyA*Se$hhEBTg|BmE{G zeE~QeJi*a?qa4Mfo20j=cG_yHd@c3kuNzj)Cpy8FDV;CRysg>d&T@IB=lrub`isi0g{ODv+qu)?@! z{PBo4<>!R9^{7;wgv3>oZTB_VG=k)~N9T0U555EAN{wOUBi{^=HdMsyUrx_eff`*n z#rqy}#2&d53vqfxK&^%kE7QEKy@DYpA707gYE1kbk5j73d8hq>gmFV}wSLG-LhKt{ z$WgcH!*S)LA7ZA)=K0~*BPy05xq?my4&lNi4cGF!Z~azH`}mTzxq}Mg?Vgo3S$03| z?Om|GN;WA(FfX&%Wzcl{hzU%Kxkz>UUMB!x4tQW8p5%YTBa<7v3Rw1y8erUD%H|1C zbf{ttB!Xbb3RmD++8#U`h8@=l*?b*E@_WBT`c009ir>;RPEg3Yn8DD*)^wrtp{UY}0u?79KGK@Li?%PcWTOP%9Q_U~ob^FaJTca(JRkVoau z5%t)+I?D|cZV$r3w?Q6E=ATkE4bhQ$j-N|xQ zp3;y#_tjYp_>z1w?`qzRvlLo@^V>M82NbctKSMnaxLfm5NU{+&`E+6A%@BG5rxOrps1|5(eHPXHnab(I5+kubYM3fDNWy_~}TR1;{oZZFU$o7qpZh-yoj@rlqf zWiPSZ^Q<6}YCu@bY?k#7^DGOmqxQvLicD1$04ww0%zIq^JdFwv8?XshzRDtiTtCvp z6(U2k(elEvMTC`?xG_^D)=_BYdeWV43~0^~!2&dT9oSitET*8);yj}RTeddB0RX6( z$1&00$KlH2VqfX0%fS;FHg>So(7u{85JW%0>w4xK`^>*T8IRo~O)(6!bK?d^dB8`3 zx(YU8>S=MxD*9Q=smKo#s>4X6j)noSS)N7<W8AR=SwCr=Do@l6?F7KU-Zn#qAVu~dwjt7e;+^8(p!x+7SBOY9F7ZQ41t4l^7WuLp>98YhVxEohQS)B!ba=iE6ycPqRSn^kbMqJKdWZ zRp>W?0}~B7lWV9RJHZ;0ds;Jj1&`bCtJ4tge!%YZ4Ia_z&nUXwU4m31(rJ3s_avyD zvPb-UvylWVVzc3r*z8Zn?YS&?Y!qnnZKK()pB64q=-hTR*#^fj$+JgI95Qpd9Rv^W zIIgnB2%PFs$t&OC$>XuQ2kx3!NxZHeP2qpelP|sHI8G_s`KAEu)UsE*^`jOlqj~eM zX*Q(XHZMdhaL$EOch8ff!^^2c75@~g{`V#`(dLQu5da9tzu=^> zr^Am)+3blOok}IKB)z54|Bxu8PdGm$lp6%}`16R}cWC}c4SI{3{c|%xFYcDRyOrXP z$*Z%Oiodp_^CD3O1~q4|F|1FTGEL|e(j3ez@X3JPdd&|mBPRByuR~jG>NNRadIH19 z!4+>MWpIsN7ej@;y2jGG72_c3K+*gG;5ubj^z1qr~2v263V3Y8I%}bHgLINK$M{ z&z!)Poq5h#xfHeHV1JvYpROI*~ijrjJ*J^jC}ZTVL~qjzIR{(o%|HjzV?-tD509NGNNJQath zR~G+}Cmt>_EI3gx575jRQh7W_zaojZ0cKC$$TKLMV{ap;Q(JpvC@pnie~@)%)Dn7j zOQ2EL1vpfYmiLusnM~^<{)EmP(B>ib{MpM?!yv^WQ>V!!G8%hEHw#T)^BVx?bY+kE zx*b2BqoEtye`!Vk2*YA>*^)fE)S?zUD>9Lru&hD7c-o0O^siZ>b7Gz(G0>+k8IEdr zhRw>)oxYtBPjV?y0M;8?L+m;E<`#X2KX@r11-2}R`>LnXa@s2jrrpL~Tfbf1;);D% z!tLxL*Yb{zb7sUK+>&|$=v)~0)b=z%?(>(=CrdnYkSq>VPs6X#ITpGxkBHfQn@>vi zTr@(??W{vg$+Pk`wTiqn`r-;$PtT*npP>du_B&H20mD|>8SZlLV0PBnvt-1oNn76@ zV-#kGB;1DGZ)}8s6J}L;x|)&0!1-P2#Kwk!^QvsLOsD`O8`)fQzo)a>yW%MMT*ZCN zvzccZ=TM2uS5m=vGq*Kx=DWssKy^r8%rgb~8z=1lNh4=uFcVa({rE2MZB?Vu{@8d9 zMgatNLfmi;6LWxo>;sWOqLxKeDlUBv+zhAfAXiQq zc-Go5xg6$Kb@luG$6}&x0iWivM{&I)Qr&+Hlo@qI#6UR=#EfKn#HzqPm;iIK)zexN z;G`=&sWq^fnoH1G+cWGx!vXXiel(*~Lqyc^?Sb_jpK;)=bGEZ6ML%eoU4NjKe7p`Ipmo zm$%>GnX-haPpku@0`s5K)VOs0I+-=D$!1aXh|~cVk6!$1-U}ijI!nk4rF(HC!Huyc zR>s(BXj$`K{90tAA$tm6hiLbY%38i3CIE3`R9CGg)04?z`qu~5vcCT8cN(Qe3RqZr z>|51GHp@nsHXPmHi^CvP-_7Tb{G5MiVoo<74X3xc&QA^#*xUmhdDEA}6V*|p>{;3f zmBO{Qh!a=EZ`U2k(nyC#T5jxvX)3RyzhUG%)_^Z>Q)rg&r|?jaH(l@O+9QB?kB|Bo z#ioLsU9&`+yU(>JY_~{S?rP}kyv47;R`IYveDPPw*D#g7C5d#u=E{yGve|FJ6UA7-E zIr3h)L4^Zz6i#hTb!jPh5d|Ge@`9d%nQ@$`n>%S-JK4$G8V` zzGv@j>jB4i%oa#w(w?6>KpJTlYCw$K0mlNc3ZjV7_(jV`?Fr5bwgwzp)lE=ech^TYN3n2yC}AE#m_c^t#gTE%wJwPF_Qq&wXw6y|Ge@%RfXk zFXThoIMO4Ge%Aiejmvmm7iB#uD&K2~Tu12$fVlAuY!)V|mp}sD2R1R8S9BeIX%~+C%rN%dKAmemOC~=XFB6u{&J#v9#k3N| zZ> z$}o<6in{(=G|o-fGt>+w$(hs2C3d`RWY)#cpLSyoo40swS`rLRpcYe*yBzw)f&Q?; z%tee%^r0q7z1kb2oNR8TSrDr~q zE<|{Za4Ow-6&L*Y-W=?z3rLn5m|?H|DR)3D!qQe zsDwp~`8};O3%s;OlN>T54b5od*nplet@seoD`zg#o0o%qGM7ObIJYk31caoKI0Jc_ zpH4-K0(<)oaeFtz)J8Jhu?8Bk1Hv9j+}H_+s(g1lxU(Z6R41sFHb4B~=;w9WrrQ3* z(}!BQKsm!5E4%>2&uA=fLvoiD_v|}+Q@=e4t@;i%)8o!O@9&~kHp2v-aEXxn?+PqF z7+<-Uz*bl#PF?>#a`W{7e^qujmhQ1b(P#(3MA*aoNxINQ(ANVAtMx`_15*UCj5UOLUi`!Ce;EI!56DX+kOV zi$|a5Jc?L{$16Ps4FsEpVY4blLY-?vRCC`i0r8=N;&|-ZCDa>YDq!|tRaa_CjNSc% z;zlZ{P@-+5%;O=j$-+(cM@QX&5oqQ5%kH9OK`_9HZusT8JLDyKn>gR^Cs&88mt@Y{ z?xZW>)2H`*l_mRh?OXBJFL41f5($_-0POU#7t%#8+;K>bW?Achfv`k$*;(v}B^|`{ zNs3xO{OQ0rye&L8jiM}ix76QjZ%q`)i2se%5J!S|h?P&Z>OTDS-x$}{EXzgcWBFTf z`z@&p2kFJJr*UAezCD0|gRv7#EojDmw+jRr;Wu=Nj?4bbA`h9fVpwVB&IuQk|7&g6 zKBRh+gzpt=mc`~ON3)4$deyD?9QO(nV;!-Igk6TS)-`h;h$%;28r>s|vyd7$xalNS z4NPF=z9Ru$Q2A=)7QrU*8v2FB4o`~W5+4(kpV+6VRI%#OO$G}SHK#yg?A8`4@)^~; zut=ZT(4RuEtG{~HdTO!DGigdR1W>qTFA8IS4Rx3QuM{KqAiysEsd75Ml=+!Ly9LZY zKwR#5*klJ_Ib#7<|L!?#3^4f{uBW|=De4_$>v=A$i7{2aCxT^}yD;CL128qrb}1-* z_70u8f@FiZ`ngXok62ygldNB&nR)4~4~eCpnZt@fpCXSc52uw!#q#QX$AGEfdW=Ty zr%x*M)3~h`kN25!4xaG7A6p{Cv9u|#ib2a!VT!=(e>fcV_V%Y0<)93Yhfz<_MEEH` z;miXBgf!{Jv2pVMe&j$g=HfbpM1BA)x6nj_{arj~pZ1rNkCa&dhE!x`f$uQDDcZI= zWU~2JI&-j}Ma-K_K_1=jmhOf>Fc468En?neW7>f%FA!hYLo+tP%E{C~@~W})$u0^L zLZ;q(8#NchcBvFjh0Tfzg9$Ye_VNPmcnuXoIs-$@7`cG-62g^^#q!2GljTH({igfd z;RR{3$$DSfzl@U}F7jmTrd&~AjLC=&KXbVf0K=16!WKTncLjz^En@t$$7_B|(>lI(uG1mhRUd7$M8>m;n%{#lDc^hvA~a z#baPZuOZ8zV(-;@ERF%{E%PhK-G)+|04JJvM<)(;_@b8brWN+m^M}F7##;V#mT=K-g0|wAOTUpPH>bbR;V;BO^pYZ zi|!6i%zxB$>o}MZNdOr?y(9@Vqr^Le99f-++PbjRQ<$-NS-D`wU6pL$J*J~eg5of` zy@gW2MheLY<$qySY#>Y!^tf|Yz>P<^2dT&%8CFxBuoJF1Y|1j`5|J0zPN0#j?>4wg zkb9=82D13ltn#lWDaqb!D%ZP=V`nbSBe~!{^!Q^wNP}o7B&fVBjAF`I@any^c!&{o z1cg96FAB%|+nNB~-sF`t%%x*-1dfE%y97X}?O8m2u98HD4KLU{(sTai(c$NZMXUYW*gwt?ESpMv_M*v__TcEYH>ah zDQt9d>#Yuru35j#{C4-;vH!63+g-*|A`;De%{!im#OA%0;yuZAQf;{TOE`}^&G@$M z#H5+bcsb)*#k-i=NA5IP^j;bNNKb`C3s50@%-%wH#b5b82)*?gRTxOL+Pg;r)uL^S zBiV0#erK{IjA@Av3NR#urY_^4Go*r%bjMA(xH5~3c+fv2%e}W$b=AuSf-FaA(!q=m z4f}YdUR>Nye)M z9*ph5Nz#hGW!HrW6Ev#sZK+K8I$EKvh4p5mw45>Dlh;DI;$eZ7mD+?h?ID6Y@EZeq zgx^QrtT6vU39zyntNMvvmp{MONLBORe#hIOu&J%hhnT`gm+^n8#DeatCCq`m@^aQtuopvvSGd{YqdSL#|%9mn}zJx8yv9?)W2?^r!CGXaRcxE zT#a3AfUFbLOjaawyH#ReE1sE0ac{V#fA{-U+midhkbEM!q_H2Ix$FHg*>p;|pA>Fc zr@hp`HD^5Vc}}xix3Sfynv$!&yK|$Mo?p|!b3v-6p1kFWVp96FvfOHAVY+t)Hy0Gn15lqwmqL3=6TfGPW_!Ox;CAG|U zIn>HHB@|+O)4T_`-f;PnjU}Do2EtUYhJ&`wMH~-f1K2x)@V_8;63mB#GNYy=EHh%c zk2~&=hC9NS2wV_qfh@_TaWdT zA`{jRD?cZ>874<~B}Y@CzXZI^9M~R6=RuJ1UyYm(cKi?dM8Q%{^X6TP zNqODz8z@$&c4n?O<`V8HisxTo7Kp=-tMXi+8DjEq&HXO^>rWFC%tq&1e`-98f-|2y z*xt`cLtSguOn1|4_X~^}r-P4Y&wcl- z#}Kg2APVqE!l2Ijl&)(`K#s59G{5}QK*w|aNdj*hXxl_}GGBVrDfXp1qTZ6PsKIbK zJ(@c+r~!W5^Yy0tr8AifX2{A-p{k-}_(_X=4<=R2D4Cru$Co45abTYPh5f#j?@iS! zDVCt&>qEU&G5(tx$J|Bq>Y+#f#qDqS!-v{xZ|J%Gjdw-o4gPeepI&R*2*p@Md&DjD z1paW4*sKh!EeG^Y!yiTuA4^S-w&*RVU^;N<*SzV1Qjjja%rz(JtpSR3GyblnVBEBq zCiQ@x-~Lz(%da?b<@{JNzw$dhGqbn(^+nmqB6utayfBhCufBn3gnB}2PJ1rG2Wu}* z;0Lzy>6YD(&u;UoF4@>1ryTVGrKL9M$BAR z^|~ZyI$RSwZi=MSMejPEg9eCKQ;tX?!2WUH24D3%Sn&(BAooA=qm3@1OCDt<6nmz! zpiK!A51HxU$Gv_OBMi4Ib{oIXqgw_m@MdqTXQ1Dr+}tk?ILw-@evdsuAJLzN%I;sL zCB)4qokp8qN*W?axiX5PWiR-c{HOIEN`pEmcbGp`$RNEN!01R$fee(3^hmqRvOB0e zpY!8k%kLB~U?HNz?7yW~X)9?}b^CV=5*f6C_y{=t^1>7p)2b-5C5Un)v?j#6;r!mt zaP|i5cz^fu<6%_C7XHcSKVDEM!|6eD_cj>5{tWhN_l_0Vv2OC}?-?gw9tzzIYK}W|cQk&gHA{!+t4rJ1vxZxg2KdEdW_S}|NLXjt zwXwJ1dr(o+lGjsF5=sATys2Kco{G5b@4)tpbg2UM?W5Iq-STsIvny+JZrC?^J;%F$ zwWK*R`UbAACkr1)uwBGbG9e%k>UH_Du&V3lbvZ)C=JH2&GG2T($cG?+` zYRX?Qxap&u{#4U%I1t45ssLHt7lE36kH1}~&?R!Lcie#%;yoE!x%0hi2;A!ql2DMY z*dMF7fv5XqBal9AKGm<+Bg*pN&?Din{WO;??|K(1^@HPIGDlw3?|JVdL$`}b59^xg z|4xr>d;h4zh+Y0xCr<^fKz(%LT$^h-qmj7~l3&8?rBr(Zl#adV{o)r_`{+pfljtMd z)@;pIr$PVy#RW z+$Bp4n(K4^&kST9n9PFgN=X-!!Y_q(+{QRHHAh}~wA8EI1PPtsJX9{}`Mi}ay7>AN zgUBc&s_jatO`JdSfq5)@*6K;a(Q)d0yT4MpuOuw0C%~`H3OIl39SvIB#v0oz(x+V& zDa8M2?mD!02W?`GXYhSXL4vk%ZoYkpiyY~0A8v#&ZmsF?kp#dMLIM3;1X^!@v0o48CAs{?Yo4nD>%_^b~tDSz2ajR(<|+T*3H~-GVb4 zRMOlNn&0xb-dj2&Zrbd|wEMbpCo32wLm6cEXU5NrFov zZUqykC4vi@V-x1p)T?&&d(zffr$>-WgYL3%=j#mLr24KfjZIp1yW6*P`0D6l^g2|> zOjoqWwk9)1)LUsS)pVG|oGQ^?9xb}E>>2Lxp7=l>`^-PCvWn%@UFx*I7%I)*>JtpT znssCy*%sqjq_z(*1x~|0C_!UPkN%V?`}p6gWcukHV=>qc)YNS-U9A10CoUqxXc*x` z1B>1Rz(^q2n3r;7!gFb4);kz_Muk7nOH175d+Q1Y%P?|4tJO0?f`_iJ$xKo7cc!ao zopOXSZ}v&8o$a8fxd!+<*fQOJC)_XFzl!9(&3F1q#lqyydlJHF#%`O#Ql{?p1S&i=+cLq3(2#pm29q$#K2azsa}8P z)DkiOAO4*J|9E1tGC2SeeoFKjgIAReuA?ex>)0vadMqS7g**f<4E|j1wna{GB#pV@bm#v z%TUnV^eeHaT}%O15Pzi1rhUb!oe@oOS7@cQTk!ND z1O2{-315ae<@qVfy32Ip%B%D$oo}y-2?@`9NA()vt+4RlwQqz?#I0X5^uF-QB4UKp z4f=sdVKZTD$t|GdA}FPMcTlS>WxsIlVNAOIpr{MXy51eW+WB^Ecdd2!>k{E8pA7q8 z?A*7Q0QWms^zjn-vqcqBa$MOhz z)>EyWO#Ijqb|ole)!5 z|Ld5Eg}~Xb9nG4qo;F<~*Dug-^_Gl5hg$CSh6)mkFgCjL3&?SkM%pEQgX>LG2h`Nf z8@A?AF7sBexwQZ^`tZOTuW1U5Wkix86h8;Hy16tQhq~U7uhhco`)OpnzG|GE{ z5DS;gqGRVJpZz<7y;K8_@Bc6a-sr8js((jrfona8i;|7g8JqQHxNCfGv!JtQ(Fp^W zr1eO-a^wT%tev>7=yB;FP86$lQ_&Vfcj!Xk<-w}5iEnfAjR7bOn&Pc==NnLtOn-iU zI&SB@w&VTd!8F%V+h2ltfF8(D#$#4s>%SQcjrBeL(&5{(F-MD@JN(StTIt)oPmCeG z>+7||AFDm{73tj281$|(V!cOfuGekODe6MBMtz%dGa{w9r2z(}b6?bPEVx`z_UEp4 z&Fpp7TKfuOXa;eg z{9xw65FM85z`2K1sqGy)^=b@Rb$}yHRS-jX>kJp-gC`x?(@^CNhmCYn%akWA zNZ@sGURKB1-LFDzi^a}z7w>i6-pHnZ+)b%%_a<-C*Q-GUHC;&-Ix3MOmhSJlj?XT6 z+|g)$rp$sKNh*GW^pi_xi7%wPnhf~zTzzlUvk~m&bSb+LQ;~kYzUT65JBQ}{KPD?I zTY^72K}ERD7$=eZ+-6nPXx`OdHAVD-h}9*3qppoP09tB)0WGxTVFeL;yWIR>73(${xQ3fBLTB+y zOe`pSiQy}Bm(2@UMsc!4H}d1Ba%+QM?t>L^duDE29p;fXpG-Vj70q)tw-NDOpZQ)b z)})K$*VSTT5QNTj9oa!vSJ0#@FQp9mChy59{9kasoAR)AoVZzz#6IQ+?h)U*zs-o> zGPzabYGod5NZg&&b(+oWh(pcjS<4?b*Y9a{=hn~s=RTs-CQM~$qORv;HYhH!4?li< zr@a7z=x(w9kdfe>)@qeJab56Pd(Ye-kl{m;O7*}s@YjT8>pQK?w@J^80u%D%nfXaA zA*4^5ORMw`d*`#H7~v)7n7WoRt)FP^@)q0uN*BhYxakosfK0~m150hLiT|3^vgflA z27YVFg1IeYr&aHUN_7&O6y&pm#>aOyjMX*7HV9WKb}@cvic1k27`;4;S8yRhhF^h^ zp1)Ef03}C5L+(@2_mAWUy0@ou>7}9Tdv9OYr`Mp_25_f=&;a9UTZAwzBJay-8;kg<7Mhhg##(Z(#Loh?kfC z9VRRicY#$4jorp!E!y?A3Fe%2d)_O(jku>DS83AS&i$>M%YD_`V(WrF(0{tW7D+HK zVl?v5^Dz$=_F#41$!xfAJ8m>f8#}j`;^LVK98eN^GZ7%epgA^3wW`5+>L@P*;$C!Sna`BhgM^8D3QSOjAp35J6o4DPr?}WL}letUNB@PFi}} zIZt{MBqkGBa|I|+TvwOr#;mu8Tt+UY@}dX5A4dlUMqbUQKmW1{?`yeV9*ZfqG}HSc zZdHn71SawGPVu|i1&gZ+Y$VuMqe6dP^wcAgJmAk=Cyn*|Z)Hqn-qdR;EcndP9{0|j z63>aodad^a-pDMqv~mqNe);(0`R30BZfD>lu{w0-9#5ByL^_pR}GVDw} zvjF(F_jt9gppu)#Eo~?CJ`M$UI`r9*-=v)5;+&RlW&gqH(u_t@b;qHyCvr#{T;tEb zJUrRxm1hwFk9~W z&b4iB;(K@RdMjsO?hv$`9uu1j?FyU_9}??2)V9!TXt+_)bQrq6x1HX})0v)vKNhzLI!z*ZR_dON=Tg$J;fDG6<#i z!6;>oek79<`YHkrg`#v*4wm_0oI+a0mi zD1`pH`f>74cXMGnI}*)-it0F9UKp|UUEM&>nPvGIb4)oSkQ=7~F71(j&I` zqlfR0J7Kgr=G9B17A5&dLB25ZDI|aYtb`)<>c(g6y})0Ppo_ z7Q>XzE5hMJDmRdM1+MzQ%AQ@r<>ijL8i#S|D`W48&0T3TXHH1(=k>4}<=%~TArjrG zO!WGZk(Kys=@lQmz1^jTYrL6E)_OK*qCtSvju`VM7>-1BQqiZmnD}e_63g2k zq$ah#ExeGw4^kbDy&Lc;x}ZIv8cADMOJ5~T1gjrf4QuqM+bbJ1Y-RiuB2jCY`Q^f! z;qKbzE^=`EE!u1SEEHANQHgMW#5NH<5@$YVR zi;I~yWDM3bUk#8Xt?kQml9QR5dh2}#%{BSB)d704{{-P9F?_vI&oQkowi18qbS$no zFTo_up5k*;D{5{yc#Q2GQERC1e*sz^rQv?%da-K~@`83wf4_OeHSxn<{BohT4f^1) zgEhy~wY@&#%63$h`|=p4(_&t>qblzA;sxb1@tx(Ieiy49eMh|Zi~PVftuuUEdR-~( zxru&F^ZQ>$+?J7_kNc0HOqq)E(2knESA}0*Dm&h&&3~_^cF_3T^ee*heDEbvzns0S zhw%>Tp!Pw1JX7{QnD_;rv}DUen=U>ec{d4rVU%08%d&q_q2gg-w$|p)Lv#AEF2uVV zgK&$9=U;?-O_bZjPqeU>$U2g@^ISZGC1!m6Ajc2f1Brg6y}>!YE2xiqh1*U!#3p_s zhxN%H3%Be59QARtwomuqs`as}t`7_6^N3QvYJFe_es)|=KgP>+{+z=xUcz&2Z8>|n z&U<9Ug7>SXew6B_0_;P=y&&=%(j~T9Dvr{1`uo2{T$6tA8WLuGR;{`miKAEkl=TZI^*>;8o`*QgLd$?s9TC)=wQn-h>ma_`7WQwdAf9@wvF#S=VVt ze!uGTtNOUWxX*i=j%$)Db&8#ZX@g5`;J7JXu;Uobkkz%0WL{jileg5z@c z3nK{zY)(J=UFVg?4YkiH&V{eA2gnQ*N2e%G4bO0g4>akyN9qp5^m7*qiMpi zHsJSBIloBn0{xlKk~iVd&wau%xSW1Bi4StsF9%!`KNSz(H2}wbjm{h8g3~xw>>G8< z;m~PMVd$@Xy)M_z@!#KC=r=<+^z(UflO4##FMrkM^^x-nvGNmbTc`|n48@J(B!zpt zp9{BC#O35p)Ad_~^RhX)n+QXl^t(?HkK#OSdkJ?$AGzQ>4qR?dKLNx4(_A$2%jtKP zu5&+wzv0=$Pvq|R@8!3?xVH1ytt9gzZP+dT*?9h*uH%>bJdrXNBjNacg^%eu|4|?3XxlXM%*kb*JtCaH=a$1QBOHEtMIV0Xw~sKy^R(EX_G@pq zs^9H550fv78QO^ZL4KK&j9Fkyzm)3&@JoFRE@v z=IipMepP-KM0uIXt!~1=@-yf--x(R!al)UFA{wwc8 zt9CG6`5HM4a&oEPS4A88;hrxaKcwy1(e9F8=@;~)KiF2Ae@7`7FJQkWJ9ZOKcV1%)|H`Lt+n*&kH-txY^9?WpVzs<p z8{n3We7tm%TyWf%t)H~FCY)&Dn-Hu4KO^$Z^}DP)GB0_~0Kd?F?~q?Mjr^MAq95~J z9|N(a35S08n6U=`fXlVZ_v`u%!tES!x%xd^7@p@{+G9s8{=|p(^gq*stHz(&L-8!} zL^o0{{%CK^pI;Q~q{&_tFQ!PIe_IrOytl;m2q$F<&sT?pzg8RM9KW)N9l3rf9Y3>v z`a*5~-kkGG=MgGXEF%5*KQ$kU?@Q(SgR8~s#qyu8Z#chHF8q96|9RoLmcwBy*FEKM ze%`yxR>(&EoaBZ!dxJJ zKOW$h^C#FZ%>Om$}Q{!uDIIQH&IlQ+TY9=lq;v zIL@^r+Rwix&@X35zh%^p-Z&s$z9rnS7hoIt-YkKn#`g8_bF z-RJ9)`^jie&JM=tzbIa&4v3edCfF~mzo4IwGvn=dnYZ%}g4au~e_`A|RQA3*j^{bQ z{IjLKyd$%{w*3eA+4b@~7ccTd@rA^Wetwbe-RCs9ar$@SwQ$0(^h&J)*^%w4s4+i^P+a1ZAaX@ao_FFm7Cu45U(D7x%bV! zCSLU8zD_O8rbxY>@A@&%K2x~cBk$Zin>C~Zllf3ny*26A6bIBR@A2HM-1WnMZ1s8A zN>MLe3Mp5=+zWmw(a-sLxtJGwseboR{VqD7etDmo=P64@`S2TW{Zb#!ZzAfK`z@c} z=jxaLSAZSvhthve33+k2eE0cA|5e-3N5yZZ{P(N@{^NJ_yr1a$O@#kadne0(o66q5 zxAY(1XK=5U@mKX9>q9%qf6pD@Ki1lx4F3C*?BMrI?w2zDs($&9{PHGkjw}6F?RVAh z6ndyTynK)Uyky7=-lw77p6K!SXW8)87XJI7e8<@3Ug+n)hv(>fO9TAJ+QE|{{`j6` zoA&!<`IvRlUjOyR=@x3gDXwXL@B;bo+4AudJ^pT#9Usu<_U78}9`duVg@ElBfB#ba zIX`gbKc|Gec)nyV8)Fm-xbcp^^d0^l%0!GGtRwN>DEj5b-*+c%5?tv&&blc)FYBJ^ zK)Qx4rRlhkABvP#`Z=XmF%U&Y67erff`mt{M-WGOTQT1xMX-PYo|p6F=;QSBlH&cb9Oy z|7_fv`RQ75nKs9eH^~(_w2eVH^kY1~SR3!^gU{&)_9Y1}C-+Z^saH1IQ60BfbHrY^ zr{q`8uaL?5U+R~B`hmv=QpwNG<32o(=bay?mbj{ZyjOf~BfqMCs|a(1`1x3q)9-Uh z--7I%T-HHut&Qhk)93p-3I9KjcZTQ|M!sN}BSxUO84`)=uWp4Jk3^@Dpi zh5BuyUwSV{>70J&O77)}4@>`5^*cql7d85?s~ZQc_2XTnv}8`d#pJ(NwD8~CC3}|q z=VM`MM=Hhidr!xu3bf0j~=z~Kp<37(KykyQVtYg!b z99P=wl#qw-72GV`^P?R(-0Hec+x!fjx=*K0`tj4X z@coUe#B-g9ZITNPUaYzIdruz>vGRyEt&0cQIsF)?)B4ECXT#(cZFu zQSpL$eXxaoJWKgYymv!xlfAb@`mVa@*T$+W$b-F?m+yRocD*2#g^m8efP_RRa}nhnY!Lnv*Wh;ev!Y+@m%*|qxz0% z(24&`Cs;Qru1RFLR;W?VEfs!1&ZrQw6cI?Wrw9jehg>BxOs%FOJ?+o)$BLOvV>~20 zsrqUv($j>ee$<^?K{eO}tdj7UG&-HqF?%AxT{v}T> ztH+J0GUo>~m4^PDA~TlDh$O>3Sn?d5r+c&6X{lf}68($+lnPf_c7 zKCF4)V)6NUQb*ry&2xudo~v2hhZ;zD>Xx&X0>WuYeCnl%x}Nb}k5D4vd3$S~dU2=D zQ_pANSLgY+);yQ(J@q-_S_0Kgi9es$%QIv9Ut9BBzBSKV zTJv0?muH+?6quFN`^~L+PV42luZ_)ho_}f0^O#sY!J zY&v91ZI5n%8dKp1NCN<`(zv}4lN(=Std$Pena8^yJ(_#9wvYK{{xvQo=1wOe`9~5cz#(t`3=}a);r%c zyLW=?D6SvX_2gEpnu_$af4`&-eOTyFVxs(+ncHxym?{>fI%)Ft{Dtbh!FFzCtEos& z`|BC+jY5gUpS`aT%mvR>dUDLIc5)hL773+$$PIat`XHmq4xSKXt)K71^T~%~nIlPk zY-cf~9kMnD>%)_Ul_d2+w&(djWY?ol0)MiMmZUxw&5NF4{_6leE_tTV*5hV<0GRTG=EQ4&%^MA;0UEs?nxIZgB zSa@cWv#6oB$=LoxK{oVYtf_93yq6lQdY5jM>p(xX5$ZIpoNU*N)TK0me5rb{%j23r za_zaj?fpveT&We$4aD=xUY^qv{yIeZ8bV&xi=5pn{S-;xAxckr#oD?zJogpPnX#`+ zB&vzU0-0RJV>t)Rbx`i%**jn&D?wq*J05c`By{i5cr6}xaXrbc{3dZaU zv6NBRqgz29kCg?UKkU`>_oY8mJ-6-E6P`O6PxyJN2?upT$GjgHRhwUrdfso&zF(d{t|}fq<5#qU^yJBM6^PmeJ;PnS(%0^lzFl)H>BHW& zl&hl)d+Uf*Vg)^Vrgikn-a6V%5#qlGPUO{uV-auX-P1c)T(Q@p4Vx{xsm4@1;VY?O zi&x3c?zM<_mEw0k&b`6vNDXFG16tZT;Pp{i^tISJlG;4BDjmtMNLok#+DxBXN`|K@ z%TVug7oQFOd`quCH){6h$u;q^2&<%A*y2^P&-eP1@4ZH38X`d#S^$}0II`M2M3h_|eWkaKc5kku-FxdO-a!^7xVx{K9^x~;6Ws#I zuj~(qwns)}TUEUzN^x?jXKMRTYU1;@&+N7RQzg$dJ`e1*ou2ll-uQfWubwA0$LBv= z>v>wQp4?|2*BhTZ^v37m&C>PZ!dl8j$O*mD`5KU3Nhri2_0o>LUb-`pp2({S*J&8J zgn(z78jv5B93|-&d6ml6C|?m?a5alLS)J$KtiU^}a!Gy-{&HJks!ExQM#GI0=|X#o?32^t zTzP2e-a5Ly*P=xeo->RE*)pvy`fwGA-7A$mQ;WV-l@79f+q_T~-D6$~j+Bnry=rgU zOUTFe(l`QgK zEGN?S66I(L74o9epQ%MFRe7pV&Z6C_NTe@P@=WXKYgOqW+2E}$O;Kehfx2E6T@{h> zGFiindh6yonq6ZmUhox=-Cj-_m+Kf zs^>SW(&5*>ZT?5r{6r;CF|nxjMk{(IQP)WmnKk~NxEKzE<{i3eP(aH z|0QXgiFCcPTuV8B?pj46{jFsrr~cGpXT9DRR)4&P>6v=z(kfD#6uiGgj#i1DnjF+p zPS1wc!900i8xc}Hzf+ZtMSO8ma*CR)nsAVdh~GKA7F}5739s#Bkrt@nn~ue=sxpP= zSHy3{$TJy>&+FxRXS0_!s`5lSe97anvQPo~9CVsMv9@-GNl#DqzrYeSK!lxbk#-ozwsp3{-3%l zX0aj$!tlJ-(n7S56BLB&JS+qmEe|{tvA4NOENu?tK_9jPt(Su34LBG=UR|Ik?iJPc2_%y2ElPfKK$prv9Qp3_?Q<^DI$k_ZN&Xv1nzc`HG(0f)xdzT7UP_+K!=e7##I%XUJ~Psmezc@GGp<!rZ{fMJiH`9}$-l0>H^LiSI zeHra#FMZzM88*QAqDP);6{SU&($bN4@z3{SZ%bL)eEyv)-=F_qkRyGYpp-U-`Y|a> z201@l9P5Z4QS|B%t&S)>Nt3Pas61taCE(<4XE##PchM6FuJ?d*3%!dcDb53+{`?mC z3jhHB|9Am}R8L40VHE#HS5tGJqmJ~9$9Ep9sjl9M8Q`jo#Qo(yaxwN_C2t$*?h?ro@K6_XV2&*#^FNT!u zPExL6L{qQT6u^Am{S!6i1C;9((G);o=TU0RjH0Fy4pxw3TW}k-1gvCDAl;t)@c=b0 zA5p=rpruAru1gB79FyYY8@^wW!vRa3X^1g|3>|aX{SP@XgLcS1tpP&CK=> z-=oqFBgUeQan2#j?;;n@vAm1dd98#1a9|Rx@^p-2vJA zGBb}V7Z{GjVU_HpUf9hZ`Wt#=UAc=uFC63!SddiNVH(_O+lq;1w|z8IVagF2npx#v z``1qOD7~~6>%Y>!(e5s(o^)bC`vR)y>7!LX`Dy7gzPcpl`IDib&6U3Z00960cO;KC diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index 9e1cc63d100a7953d5d0e733cc5ec4a4575135ef..aa22caef0f0067c9075e29fb0248ec85fe4f5ebc 100755 GIT binary patch literal 19821 zcmYIv1yEeU(k?E+LI?qZySux)2ZBq2ySpsz?(Xgh?h@SHT^DyQCGNwG60ZkC8@LiO7$5lU5D1}2zN1Svd{ zA`pMfbx^KQ~x` zZ9PH$;(Gw^-(V3-1q%7*Uu3*Sx3m#$POvA5=vD>-J}cM%O8N%`c)dO$$NCjBaTj!m z)m|)M(<|$BRoV`=yV4u9ZOd9O)!Ln9uXYG8wnln)oJDqYw4X*{os_NGJ}sn9DXFtd zoM{k0xj*w<*jqZ_KK5Vk~y0N-ABvME-*N3Q3{+f^Z zFq4{x7E5Oc$*??GJ~uh+TCy&V>c?o=NF)&>y+A>`!dygZgkPjkL80m`sk#Zr!zi-G z{)n-`*qA52h6fq>ZDyUPPFWN)?yPF5QI(`FriElac7O()4yFf#RIjVA55x8N@_og~ z6~Sh};zT!w{aWuXK{8E73#G?4vk{cuS#Q8rX8OEFhb9m~}Lm8x=`Nivv!i zUKEg3PL6z!I7Lpt(M=LPJ68;SPmR@pE9HZk3mc3*pcbnbr(*U=W27ysR?6xvLdM)ao_ttSgdH#`1fvVE`Thj0BTWxegY==vEu?FZ^y)Afqv3iPnebL?%Yn}S zm*@WzEUq$KEZ|rlLt57kcJ}8mLF>_d%5a^KXNadX$&v7~W7v*c(;YVviW;r>_Pwkw zpJ7__Z`)2jLI(QrV}W@mq@@&`?oy+y55ZOJ*n&7e%+6ESz@@$XIMHdA)bqz=(!E5* zab7u5UgrvOfsQ6pcZlWx)#?A^;eQ)k%Sd{}InlmQfJHPnq@`LO&xgi2?wf1IK+Wv> zONq}7mhpb%aZIdPpZqsMbeZQ_6|fI8 zlSQ>+)4T5p1#Dl7fwJ}-gFi4#zIc4>`9 zCjZ&<3j(zuJ>J9cU2P5W>+q()Z=E5RO7+C>%}xToBDq0f+AumZ7ebQjJIoL{@g|0s zA)Ms$sGZ>_SM#eWPgdM7c3MqM+dxM+W*oo^Sgt&i2E|1D2x)aUXuKI8VXaNWyEF}X zzN>V)(DVS0)-jWzS{;;76bznuYv;OAk=2=G*x2+?8itF|1CAkzAbV0TwFO9W%*yj4 zV{FF2`0ewkc?rc~maxz57+2DMreAVws?WFR&XTdaJ5DRWk?oH*0oC19=@%(&!)p*9 zx@UR$;x^rO^nQ?_eL!?lZ8kV0~GY^_p1zXMAx{e_8a^Xzzu6D6_&!fZM5I zW)A%x>O7{oUL9rZoR8Epg}qe8Vyh$amH_wfXpFHfa(_08nbWENfvTLzDX&rsm+pgl{4HMXQ380ZHKR{*YGUn_c zF7Ri;jw6%6VSQKhIQe=Eio~ujwae)Wk`vxTfS-im<)I zn+5<}=I-JT&R<9y%m?(`1{AQp?f)`M&1&S{zD}_3>Cq{Ry1u#i*lgsUeJf2Yvyr(j zzrPE}+Kv-5e@YB8l((3D9p`2Atk zeuxv~Yq7uD_E8J$p({%m8Thx0k32d}c9`kH`y}I`b-+DGu5-bChz;TTK|ZaN&E$F6 zckyfN;Cwb8KY5(Wj zKLXA3^Z`W!=6-=yx7uyAwW;`<46gzDJ+#0kpI(~sXZ!mGzijidi<|K_&rjF5=J9?u zc_!~QE8Lt$uX<3?CGSIikIK?-3cO8Q`xOv@N^Xm!9qH)#=jm-mZ${oB{QpwA!cr7* za=CJcF_H#J(=N}_$nTkJS)xr;CPq$L+*{bZr}l5guas3&k>HqQAV1vl>IJ^%l_9*)~FSbFczK_J&oi+&KFSghI6? z!>KN8h!JpJ#blR6f10WLo0j!_i_)g|K5JyhsT@GK&jD&9tBw-h24%^-y_oIrx4N4s`>9rwr!=su(|m$2N%^xKCt1XSEX(y;FH z?D2EYuH)VtAcng%WspWgAVjEl;l&T-;AKMpiQ_{a7I|UT;9e&K-Lv~`RPgeTh||%L z0iMa{{hS2_wVqQ@B*B`{^I@7-IE%}g(Sc`?wG9uG4}TZ%eaXs~Zf-dlDW8rE_5ThfWxQO+jbkbGHU;xTU`65=ILP zb#p>ekQkbd;Jq;{6Qk@>0uFF}@rK$TqwEYn0@!b!Si0rPSIT%N=iwP&rn-iNNAaR* z>*ADe4Y(h!Ob*8153g*uE!9)UvErQVa$&L`8$fuD@;+{2(97m|T#p&!vFWt4=@JG` z2uUfx4FPxw72b!VA1RNVrsu@6Ce9}DfHx^ZN|NpqG8J3o3|yS4m_o;xik<^ho*{FM zDNY4Rp~dNL>qQJ9&Lf?>uPdxdYD3S|l#jRBS!InrQ4{{S znJ@u!mJp|}%c;wg%trksestp{(%^+>=C($FD#A*z=_k!$i&Q<@l#g=R$$9FU05p#OE(9z}fJhLNn zI`4>C!$;wlMYFY@u>5j@JWKqFJk`~q5zRB{h!0otVM80owA}S=>L1I%_Yi9#?=6$l zkM1svCB3D2bl@%dJGHvg;D4s#A09y#R^(-9#%4=}g%b7@Hu~H$o|FZtgDIK7yh}2* z`MK0r2w511-T|X6d(0P(6T>8sn~9O-YNcieC|G$GdY<0DzCEo%5+m}~g}>?!m&qtJ zW*f8E$5_4jf;=%;Fg^1ChA;bb5M6Gt+!_Qy`Yj2Nu~DQwWX?rS;RR=#Joxri>5Jd! z&vD<|*cZ}yLvF|cp!I^9GRxl6=@7riZ}h?Q?Y53x@hElMA7M(jW33zOK^QO3?zQ{< z0X+`lI&Ds4b(R+`YqU%=E%eD?kFTAMeiSRY;h4jpN1Floi|N>0!?|c+TdMKNqLQAEu5nwk5<-HU1*h9 z7l+gp?7RjNXRM?^;mYV9llr=EbxcaC^VtX)7tHC0W)5GwzEgL&zxc%NzAUi8kb}9p z@+6Qa|GvhbIx`=DRlhSz(R$Xt{FF)6ubB>0|9u}{$Y>Q^$c(2e3U0h2@c5cxsQF(NbsnQ5h8=YOUHhBxj3$5nEdP#7|Bftw?@WJlF6bw| zKA!;5wK&0FedQ4if-b0e1aNT_F@@w-k;VWkyHDu$UoAe0e?LC_&b1Wu$|g;8LU8O; z+c9W-Bj9MUE!qtKl!eu1^iO@ki7ZcM3aT_aVAq06ktegpPTCo$YxIwLweytu4E2t3 zILtF?fAjsg9OyB{?*^%Pj$K8&Y52akI-L28wKQH2atnTFARu0vck=0fys%j5CPZ6r zA+PrJBxMJ)S9`L1Xq}l|{~4Ox`E8rk*PqE16xL*efMLCYO0-mqCs3`;-rDhVHh#65 z{I2=q^f;laV0>d~<_SUi+Sn0u96@kGIfu4{p!Vn0s^5FBe)*C`ya}i`F~} zU-cpUg`I~lyqV0mn9LCW*kok;31$7!uLMWZpWHe`FZfopHkeVw?;fJze5cwMhnvDC z&Z0j_ZU}00kRz-aYFPXK5kpgfc6XpdKy6?0NAIqHmiQh#l8=vX@tF+wI#_1eU(l%0 zKI2s`pFEg*63gKaq{8bdrN0oM;R~zV>27GraO#a;<*sb7Lq0|0QyERsR{3;Cm)(TMUe`wpvEp{tcol zwR$h5>istfhhW^?4Nz7D;~9QEoTACk$3JA8p}%pPt_3GMK~8o7a+nT$K2{NxRX;eo=oZu2yb z<)`y6tsjlv2f+@w+N)Jc2jA&$EU#EPGxH7O$A7rKZvKv;IsT*Z)kTZ_)7oaN&n>;$ zAAvllngTquz8`mmFF_Y)*LC8TIZ81^JQvZ})V#|;9d5*lwS<6K*BEv8;C5SrfORj2 z8J~LF5sATz+Rq&Q?jw;+)S*T%>dbKC<}e;Ycrd0_P+ntrXW}yvdnCl=9!POaIOxGN zRBIA#{BV-Nue(=wIkuBV%exLR16D?>N&V{|aW+H0uaXFfd0>S9F1q z_Y_P`QV2(?;N#xF&tR#-X&w`b6|E5R)a;d=elEK@&V=8L2-4;*F2C-t$+UR(#L)4Y z7b24k{_dreJq4==T=#{9pY}S)L{GRn{UYjnFBoms?{I-q3nm`dy-Q$7ZXy%OB(FP} z_>5A|&fn@rY4GsmU3`5fe}z5gW8>2s#!>UH=Va?K=u(xYyP+g>iih6fAEleg)bHzlVsUn(fSOIL{JXV;Ci36TOet9F zDxQWjCYdx>*5y7*u!p@|cRntb4in2T>oT5@U2#!%qJA+OwL@Id-(B!yKt%fKu+LN; zPYX0TQQ}Bl*>~lB9-nwD6j&IFs+4uQoWQgM4uA^+i6ZcCO{*G$+E?j@Z%9str)$`A zaxmyR+<#Q(B4{iwsK|WNXjTjvp}OwdeF<=w&yyE9Ci8j7%^# zEQOAI!q5q`zeEmab-F^lV$A#XS;;ZSNMu6veCL?AHS|2&iS3Jd1xJFBH8UxhvDD-- zFJY5$3uRFKd8s?W0^%IU;t-Za~|Aaqs;P+1^SG zF|mcQ?p_G>_PYE--FlG3jeX(<55M6~N+uEDLQc04h{O|?Y(c&FEjwt8a)&@e$KN-G z8*P(7T+J2wvulCy4YhGk@iM;P{29pO;F}>@Saxb{C_DL% zX_uSaL7wp@thtJ3-m#_?OSZT#EiTQrZ)iHz2wLkN4?E2e z;RhbGymr9GQL&CU8`6JeHFx)gtH|Wu_HOQ)M-Qp=ca`ej8xPnF zmfuL_c*6W+X|tg2kPWs_+WQK`kvDwfl6>$#Z^{yRQtmoVO?FBrklRCP^zsfRLc$b- zi!nGmRNR|8@cp?Ror=-?e-?D`Bc*a!L&-SU&j{^2T;(3V^OF~&6<^1$p4)plvE^%t zXH4x*-AwVb^3I(a{XDxA45XZ_M2S!WdeCmGI;?W73l>?xwn3)wj1WVnUoi-s(4he4 zxiS$U+A#s(S0wZd0v zgVJ%!$BfB!4^XzgT63ksBwOOWQFF~v1z+vlG5h)PZz;}co0?XZYZ3LT zc%53E*Clm#(mC$R@sjvY$V&Iw$oml=kwaRf8m0VZW>i6#MBXh+Tx>o&W&!^YUwUAp zv568nV$>!9sZB%y^ZJ8zZcokG&Bh7+uEWCZ^iG^DSxt|88&M2#dNU5YYM{4Cu_A`2VyZ#D!}I67?5Vd~Zwur=daajR`RRia_9aPsH@uV9nqrA_7oZ#6 zs6Ja4 z8-`0(xLx-*1KA-_!+fdklXSke3{bK%O}OSd;Tg6M61Dh!H5^_FzoW8O1(go7qYOS8 z_X>xfg*yZ%6~P=?`V|DZmX>gW(MABR^9;ozb@W}-RCZn32tDPb*6ZI^(saP+s7JCI z;_kGa?A3~Fb|#Qf1N&)~00LV_V#M)8KHX8FSr9Yk1zM#kla*Wd4^?wX*Pw$!GkF^9 z3%90-SXHVuOD>Hcv-x)MQJH9|>I-;O;IE-AN>u-1pILidPNfDT4x&Wi!KW zD~j2r_&VDtj25!w_{2?O?7}=nQX=`pi(|}YFIeIcihz+e{W^Nz?~1Q(J#NHO}a*U&$OMAv=wZ?+(3o z3uQ*g>(>NMtY^D94>^GPsLiY=*R$J_V*>&PX?e)k$t7t!OINk3w>weSB%-TaI}cYS zf(v%Mr-ktJe*#`BUX*VgkqVT}M3?yr=H`YfDDQ`l#EzpTLs%5DPztWNaK!2!kWPO7 z{Ejq{Mnp=e=C*nO8T9=D0!J@=W%5N+C=qM@;t_nWM)@e%Eq#^ryCqfp^x#UG&xdTW z+Ea3DyBoAgnQ;5m^EO2pDKWOa_z*=CO=8&dD5+8?JyyyFF_}>xd3zPnma&?m1a~HA zuV@vb5h*Jp3GZV+@7RB0+bpc%5y*Y*vu8mMCEjS___J^({3_3ZC`dNS`jJ*6;jo!g9v zaI_#}6WrX$tY`es@#|+F8XC>|l)9_Vn&-y7e#hlg#6iF{=Xh|4t$+{fDjZcc^vLZc zkKmp;>5T`<+p>JXWMIHbxwHf8{=LlLk=%3eX6YEV54z7Dk&KrXv(k1jL#-LBUzZQ1 zKgkiR^V=kc=&pyd!Sx(@H)7Q*!=0XNzz>qLy{wURSgqruA{=i zitu!T$Qk5KIio+p=}V8YKRdKas0(C2t)Z*5edq5iOa6!~fbvH3W0C-ybh2yak%i8v zurWcuV^J90t8%%t7^E4H=O#&0r!GTHOTB3sl!CsP7m>VxkKru1 z4A?SG5@BLc@W2G5OLcgII9A(AOP`o#D6Oz6IV9;55@!PuTdZwgX$y=LAA}nQKVc7A zjn^CmY|%tMX^IUVXXndz7j5z?r(MG^+;GW@ktF4gw3<@BO)C<8M8F?8#Jfs9& z>Lz@;)<|+EP=VSdq{X(et(Z$Txij%?JA8~$dQT^NoiwzXe!62;zYTX?`^FCXDrX1H zzXb`1oPKWy^UNPd{sF>z6IyT|bIgp3 z)WLxfj#u-aqYT3CwPi-`WwzROx)>RSp)GF@-k5HuMRI#)yfD-06CkL^>pN%en04dv z0KvI!QP8Ji!=QUk8N;Z1ui`qXOmH2clQ67lR{Rrw@ha7}6i8X7fw5LB6W{}VVowVG zU92g3sg{2w?@1g$+#>?sj&izu2_R$?eVOe2%NQR`B*7pY3pdX|edr0tawQ^mw-nR@ z>){??2Rqhm^g_tf0&^9eJiJmX`rVJp?MedBHs*))GDrtOKEU|tIi?R|Q0n#=WWPRNXuY4-H0lTwnKmWpmv!B_Bve!WLrkjvfgaKoXnHALs z{IW}W+=}qBAK)i^t$2bQZ_JM*`0WLQjM)Y4_DcMnV#b|*!*Rq9%5zSCHm)u(sQQDK z+{k+f8QAf;1NHp`*67FsW30FaH|*Av@ zF|}w~008D*MC%1f>AmQPNo9)l^~cKZ9wLOJIG#2rajwEBF1P6)q_6FR?g1FCold_Zei0c0Yb3JcZ=+zei-eD$5$eG z@F3k8K~Y|zbrIg}Z48jzOjG_9v}yG+2^dg39ccWfYD7`Q-8&T}!b{y2`;;$$knX|T zgQky~e-QHiz1=YJ7J`oY;_P4$7b*+|K2rS5kM9X`CiLjaE)!iB_0)?uKCxaunHT+Yy+a-Yj^uf+yxlWZi35x)U3d99 ze+%#paG9$4ngP59Y0s3B1%ObpVROdNCVHN4GNar1a|BVyh1a=}=YbhyLk2AF6^&kX zlymO*ft04YqkT+dmN<%;G_r6v5rJJU8dQxX`Cznr3Zm~`7!}RYA zNo5Xp83+jMH%fNA=QdE+=sV;JC=mJ&R&`4!=fO#oHT#$`P+4~j+0P;7cgz4EIc1`! zy4;|oNpYgIBW7{vp36OJnQ3VdeZF4pU8*JYF^*`MC^Ni1+*rvg((z!v&%}-PjHc+a zu7lGFnBuxsVeR|9feO>~6~ZR^J#oG=JQ)AjN(Ehd-4St&H|0ixO&%qVEs;o>7u*vr z=fHyQ7m}FMyI*tE6R#|nsC)|PGIHQYpRuVJx0C~(q)j=?4$Av8)QlY-3Z(reXfD>7 zR!#t7F!~TsBjSY>37vUpLdoj`OZYN~rbkUVxZ5E3x6_F55nD)-brSLEM~=8tzj@Kof$lh}y`Z`{f1;c*($(XYZx-N-6ro*CC?9lS1`kHqWS1jb?d?QN_g`Dli9X7J zce%QsBYrX|+aUtRZqQ1x#HYV=g%X_PD8NL?iS>pvL%())O&8oU5tz$G%&(4_mBXw_;oMnM$8S%FlO%&eYx|Iua8xrY zmp!61`uCd-FSrtKnymjXkgvmP%w&Q|dfO0s1MA1; z!t`C&pFZ2#1Q#10q7!?PO^h+?X&R+kl*{24`d`~DC}x09daX==A!i#$y=F zA#;zGJwOonmL)n3cNir|toXuu`&?Oe+{q#AF93u* z3|=nhn#7)AP$p9#4bF6AE`G4;@<}dHt60tt|x55lB|Vl*PCI$Uyl>=^WeZd zWAV{ud!0%rd}1eJv#l79w5MrzNflc~z5AU?LiW5X_FCMJq_@S_naQRsNC>qZ{#ZO;H&7^jjR@8y-H9hJ@D4TGM+d|>VxLqlQR~`BHWMlG zKgliED^a}&>L*RDOF1ZB=*MTGhG-xvm93(8#N(5EdqhF(d;NUspl-x_xEYMVT7(>U zkl;*^XdSdK>+#Qg-+WE~DR}UAn&lirgiZYWwEWk=cT^WYmCK$^sC(*s!hD~%c{DFU zn!0H}#7*e?x_rI5H@swTm<`>1(4Kp~ci{W?uN3?qzSL8IKk6Faeg^lb3kTDVsXcZl zGV=zObQU!eGcaBb{z0%UtJU({JN;=(zf_}pGX_@DX>fDZ1x^?YO%Z$@Lzfy?U#D7F z*Z;hy6vTTP+}_dtNo-X^sY_+{%Tv0OeCO+*)@8Ni-OL$+p?GmKx7jv^OR3QBOEp4F zKa;9$%Gj8jO!ON3{>J%5efu4C=XfHNe-6o7&j>+^V05Gs9!htfJ;onV26(Un$xXLh z6#yoGM}WB`FVAbKVi+Mv{jBX?;EfWUKrXFcfM8X5l58n5aGxY2R)(LyR6xHBNIddq z!hz=JChT8E`%a3gx5nWb6$fD$HIk!o1UgZP#2gGb88p|!DC6+wTBDllU#*fobKhxx z7qC<$zd`-}8?H-0?wc9rU5rM+bwrJH2LojcdkHKfI3AIWx{*d|s*@RBVDpm6Gc!hX zhCP%<(F?0v)&F&vcp~5N z&NfmjaeEK1R>#h9W$P0*nAP&QY1o@Tonm)gbkUnX5_R0J7;6%)d)t^#pHFQq`ls(R zK4m}U{V{sv@aWQaod~G7O&QMS+^-z=2GIZ!#F1p-(l9@P~TuO2yT+;6x#Jtj(yG1fojerA7PDCUn=wM3BBRyQ9^3 zvE?A8rcISG9aq%hPe1W7U*D42jb$#`=qkYC=q!*gtNWI3Q|;%GRnG*oUKzO;O5jmE$M6`V7OmsRJ))OIi;6 z0aJd{q0xNPXVzW0n89kTjUjcbJVf@cSMt{Ii-%P+ScjCV145si{_-Ho3=CJ{I1 zqk1uZu<#JmUSCY;iKA!UaH?iEzo9sw9#3Rupm5Y}U1QwebXhRfULWzmE0oT-l<@e* z=c%ci;yl^2pslH4KE189VDKYy)OP}k(b=EL$9j+@zH#U~eB@Y*!!;S<(1jhjxtStJ)Y_B>8xtn{U&6=!a^Z_v=D zXJWhg84hPWSuEo%APy9@|}&H zo~lrDZ`B_F1`Wd6>u=WRM{jCw(2iM84UVRL_R~D&wd>9N8k19ifyI}?2m3242B{17 zcQhSQkVH;P0BBh5u6_9eIl6_xvgi<_V?8|Xn3|c%^%WkHTW_y@miGKb_sRQR5U}<- zesmx|mzMdeP0fvM>dJ~=*Tt7pQ$N|GtWdrAqHJ2rn^^a+ZS33;uZ|fjDa&BrTwnW+ zZy_ekM9^YcTa)W=J9mpFaGYG93){7B{zYc_>aSfL(^8h2wm$zt%t?FwWCb9C`RH&y zJ?Xbsxi{ylz~7g}-GsY_THB=edY(Y;zi?O!1MIX7J&+&E(?tBHwo9*j?I239O#)}G zEdNT~ysK^UF~P69fe%w%1YYcu&W>ufRyn=%t+-M-;alzfGxwDAu4eU>eQRs@f}h$D zD=UccIO2zRT=}l|4qfGAuysXS7du|)_vo~>-f?+FdqqDDZaB-c@Fap%%;vp58RkCX z;2NsKuGmI*0ME-nruZ6HL z-DIV$p8@Hg7X1njA$G5EZV=y_EQ=S3{r#kA@IFrMw^wd&oBBGZW4Onk%@5~QE5jkv zR~nw+&QUk{wM)blx5E@Z=9Xb$LmU@vU{mJ?wLP<6XUn$4J}~KNkem34xb_~SS!>Sy zu{?USGrDVp(@_0MhgLf8TCzChJvoi_=VHdl>iBb$cJme5^+|P-{20LQA;dAN5U6t& zpS%iIIK9TInkqi`T;k=L%{bQ|dd3d%qwEPc?iO0032IA6HvYzeZl0cVuY=hjU5AMs zdIH~sbO%ZPu6lFNk$gL%2W-*58NtQI1Tf>qp{iCyZU6nWHzQ$Qs8!-jzlVsj??`4= z?38h)%*;+PaxiRJK${bPMfTyh2!OcN+;^>1!^?$4ok zk`BCag(?c-zjB(cfBq1u{@ugL(2+3xlv;OM<&nucu?b~OXFNqLCaNH%|2;h?dI@J4 zKYepUVncO1NRnqGWt|!N0O@Y4fJMzJTvz%qva@J}{0Hki8(qdn?napINt~KYXgP;l z3En}LEPKLKJvv~WHx8AwX%cr@35r*&8-_z%eIho9^roDKbEr_8As zw-+16WedfpWK6UPWndohB!1mYfAbE#)l9jyxdVoN;?~)|eD3jn8?y;;CwI7@+=}b< zc8qYx_UK_aS;q$%sQd%NwGt;g==Xvf2iA!@v*bI$y&WY3-b^0C^f!<2S3t@wp&fAH zfH%5_FvAUBUq`{f8Pt_Dr2)SOcd7?spu1WGH*6g_Daq(V~Ts@B1V0*IXo2fgPVtcTe@ggrWpT|!cM}V3 zZyNFR`&uK#gBZr%HR4J1I+pQ92x`lba_hB^zk9%&*uz?`^C{3>EaHjix|aUNtGAZC%NOa-n^@C^wpVCz)=#QMURhw>Wnml0B9Kmi71a zF}ASeIuU!nL)Yr)W@Gc@XG2j&G-?gvOoKBWpHhvwWp7k+r5{YV!%?55(!Q$ zl6vEkoU{M+{cM+p2H{jQC zx~<0HK3d(b?nl(!+P1S&UB4FAC?MO=OS)HC~-XQUTyPy|;PX$3>;^bM>brN2+ z(oic)jo;99n8WGkwfQbJcFAFm!VbN(vB2WFW_u=XO24FJX|t00IK$O<_U-#huvU8c zh%4?i=icy%*MyebY^aIZpc;3f?&u($K3i65)DYDe9A;h#KCnqQ2v1Jp4~2k|uiiXfDZJ z!!i12yR3p~l>eR^VSTd;IZ;L~!_%vs@PRK5w-KAb!*Ksq#de%@Y20YcQ4hb-yT>!; zd-zM8>ig_YTzU@q6f}d^Ny-osRI$Z2B284kUU&4+aAiO2A`wKV5Bs>v3@g)~L@lda!)?~R~{KhUR^eyy+tXrfv z#&_FBjBAnNY;Z)=j=wf_&FK+pgl0}*|F^n6zJ%4wYx%g|@IMy?puOSj(z{K(8Y!Rf zGzgt{BIo0D`56=Sk;p$&8`b%RtH1v~q}rfqnz|YGcRWBqB^NAfFb}uP-Pk-KKGkjb zw!pH&^efwG$ZJ=n7;;T|x1gv_(jzj~*23;S^?T#yYs`OB6O7!wvG5je z3qlG)ih@WolA?|0r*V>e5~ty-#1Drbo%cSgsL@BF(~_b-)A?&pc2Mx`92{>M*H zT1w?yPqli=yJ<<~Jv05!5<_0AH?2xOIJ}}m1cai6-h+dw2CR6s``m1?@ZNHg$OqU$ zEn$`qDEePmQU7(IXsRbz{6o}1t~*uNy0IscX0dcb=QHhpYLR5CBHbuM29Ez8vMTov zIyVd-o12_LQlb<2hwgaQ7sOg@eDRa0$W?3^)j9m;>Y?2MsOZzu=JB&rziJ5ew3c9} zRz^tStv|9es+3IB(J;{HQg0k7o>)hxX+Z*WekPJ8`EYo+jN(#hX8X}tJ8Kl<2cRV7 z&Adf=Lj0faK{5@QQ3zpa(-Q_LK$Er`N?wJc_QUN^g=%Moua@f4pDW8W4=h!Qb(X7% zXe-2BM|#qiK#PL>5}M}TNR`HBpXo+kFJt-i&sA&+Vjo$CKcUe^%DQTG{8JIVbcYWq zq%Uvl2yCA^XHl<_RC+|Uwk3g6Ul)O?ya<9hP5k!P)f{>eL0v?&3FVWz^h|5I_F2jV zdBZ=IrYZCGz|K7E1Mqo%AA3i0!C>8L6Jt(6;M*xuq=cqz3uaW8Xnzy8f~HERS!k1r zin=+xq^rh-$0Ql%5=uWA=7Lz4Xu>htoe<3z_8^d^y~??FpiT!r%@;w@zgNhoRf4~_ z5C^NM%bPx4TeztZnj_8v!)(s)_3E4`UR;8c= z>Yr|Sb&pKI3|K{u5Cu4et&#Bv^UwXF!_0;7PqA%Z;$K#0!_>Y#2J9N>1H#nCqVCS5ao~ z;2i9Q4|oA1LV%HO{{_usICY$SIcMU;utNz%3TX*yUJ|-DYJL*A@e{y^m&hr-OR6EV z-}efG(KY}j&^zTCGHP1@uN#XPe=XwH>)*tK9~DWn#!C3WN$%z+!XtFVWS~M&*_K+~ zUst*etvcyb3tRXmi1ArICOMIrCz-~+?m-;ztEEWbrGT(tV&j)IjiEgpjG9)mes(lR z^v1|2-moInIUHZ7gGsr)=z4aDcoYK8eGFPVbw&rt?g=);K_uDu3C0MST|W^P;C-%e zC;liyit>#KyUP^BAW}Ww0CS0qng)_hSBKtupK!LV_?y|?%}w$gRbS=AlvDiZdaUv{ z>(HO(X9IKv#+4|55)rnZJei4z(Bcf=79TIY#Y1#kX8qR+!Q9)}s|v7aMi=Dg)HSfF_|-+FP~o&s5H`0lYY{|T zkNJ7nN|lyxx$cHUYZU&nU82w z2BH)K=o@P6(gf_Z%khtge^1D-Fkl8@6J_DzNes_s;`%h=z&y2Yh62&;E?Hp91n2 z{$#3tewz!x2qc!d7TvlC(>w4VXk#aK6;W3g+Vww>E9?NrdqedZdhYACIn^1C`uaPh zK|i^`lJ{R`fnNjmDYj;}xHt>}qX;j1H_Z7C`Vo;B=wFYZp{_L_wFi$xzpnG8{J4$R zj}Q|;g=3a?cBFA5Z9~Rg%El!M`KNWb6N6Pokf#x*c3KLHIIn6yTPS9OS#Bx6bFJx# zny)TM%Biu|674*K1(wS-(S#wa*Kf!EyfoMpIlaWBa4FxY2z4|HoqhqsqKw=tzzNmR zg;EsQ3Clw2Ycb51J=dZ}s5IyU=fCJ^DELtMcFi5xt^z2;whB1Zo^kKB&eeMqM2FX^X68jCY%C*LK!m~^@(obpm$q`;M^$c!0 zNP3g0yShvrua^CiFm=O#7K9~{?@Y-whv{2GW46iSZ~Y~b@-@*L3SpT3t`X*9zp3d% zv(DgnAgoy2aI78&&v2KWK=0X9^w-%FpN>Nj=0ZXwWj!;ml+Mk%147#3&91U1fXJhW z-tz*HvfNQLDk>Uk+ykT5rB^5cFy;xm2a(uCWI^H16;T2Ygh28@c$;M~5a6Lc$sbtI zO}>VJcqd0v(ldgp`FlF4yz17y!0SiO$93pkWJ^)=Y@h+u_Vuu4M(TlHaq{N;FL&iX z2sq7*r}$aIYoTG~wjKw3JdGaZwl=DM{}^SeCirB$LGxVU#C|+b(_9n#+R^K*B?a+5 zHBS}q4QfF-m$6N0#J6T5D-^ycsgH!bIu4?yLdes2-7i6RNAzx_p2PxXP<(tHpL|l< zMBYxE&^O9@6RD#&XN+v+7YjP{aIX&?PE~!$B&JzaEb#FDu0#~3$gI(o5Ey*;cE(}Y ze^tQK1|>n$P0(SL1-Ec=E$7bK#Nmzw zVW=m{H=@8aLn*|eq3e-_)jTGsY()>5?PI9$hi8sf{#}9#d^To9R7L}T#(8pJE3<1J z^xE8KxmWY9@M%v>9B<4##D7=~A0|`g+CR-<0pAb^j(H2Y zT^fu53jrtLzHN%AHm?=z`%nZz-d+e#XzX^!gj>nIDnyj+T=jqBgH+`EFH zmYv177^~9IZ%IoAv47FyldX}*)F0&T!~Q=3mken0>~t?;z1PYaDWbP{!H#n?XW9{} zF0TNB*ZiWRO2t{Jiu@nqje4cxm8pvE+-@=Ts#Ha3BU%}GwH=|S*9comq{}Q0)ufSORRnGhk2(yVg&_B4?xiI>t z%a-!ze!DFR9Vowj%QFu>5my+C!%E3kK-iQh$RY=6~C*!h--lGbiD8QA>Z{wzUPNr>xX>b54jEyOWagco{nM*LN(!Tc7$rd z-R%ga<8&ZAkv-S0M436yujEY*h;rp!4u~@JF$YA2?Q?)Q_M8;z;vm%LgHV?Qp}r7= zx-&4_h8$O8(&wJU@BseZbin=J zYrg@mz8QY&Mf}c-_&pHtxb9tqKlmZHdF|ZpMf}l=_>&iLhZpf@FXB!w;xAsrU%iOC zyokSf5r6k0{sDxib^qyAa<><8j~8*T-;w*gO8(_VJm5t<=tVpPgePV%#$H_g&^!!7 zii5nPYesw9=%V6d5cM#dHw*mN){d@&x;qZ)Zf|QF>KmCezpbO^1#O+}`S%Y2QaJeU z+)n)pc?QzlxJ{lYFF$t8Nvls+#ZeUJxj!JunX9;{&C8?PkY=^>FOgJ*CV8yf;?!&{ zUhlYpQMz92ha3ior3uuP?(INu{ZRiJ#U*wf*}Ry7N9~6UjyWH* ze-jbrKfM|3;8A#tUK1+IT@81EW1MnWAPkE>ED$(cI0z|5^Poa`6!GqCds0D!_(c6gU6cBhAd?1#v#|qwTd6@UcCX!+oxOda04ZDCcjgA)Yo=}r!{%NX= zBY-%ZF&B_bV@BP;5h!VX$$_Lf+9XXsCB3~$^Q9K@hacRuf&c8!V_Tf$VZ9&k1A0Er z+fWW(Jeeo!C#UF@yp0N`3H9lba-U9(leAEmtgqZ9=i*bJF7xFzHY7b!f75%>>2`Mw6WJ_i|m z(@8AU)jk(1TY|w-*VGALzBB1{6C3YO?P4)duz_7vlOI#IzYZ+E+Q-C!@emzCzlQSp z;9r~S#Me&57#5WSV=1~F7}9iHHKtfKOh|qQ)Q|SDm@sA=qDQ`;up6Uyc;{xzL;E#fBEU04Ue}Vk7RsO@szckH5XY}P%{|xY$ zmpE{Zz&x(!hJRU_m-0hX{(q%>yj^B+hotm|lz&G`q05xxXKf4Z9H)G&GYa?7@I5h-0L%$qmwb;_HCnR!SFaa0Nvhy{X; zkf4MRBp4GxA%?gF6D&>8KPpP1h)ETQ#DL2W4L?ZK;DSm_{9#zs^PQPHudf9PVUj!d z%{|{e_w47ste7eK2q8B9y5&on=u3$DWFkoGR-jv1Ge~9BbggDOf#<7!*5k#B)|O3c zR=2EdDqYj^R2{bQGb@kNTw3eS2`fo`iJ|G$)nI)qezWuV)!dYyXJ=ha4^l?vHkdw? z$8=#&Of}ndY)iNNp2eM$$GQ5ZoK?>=(w2E!JRi>ES$RXAs+I~=H=PB?z;AT)TiJB( zZ7_t7JYDk*+v-{CiQ*thwf3C5jV3XI2DU$9WRjZdCIeHo)U@t`CVJ7hN9lU6yJR&Pv&VnGR0?d7g0S zy|3ZrefSzl16TwH1)if@N!`*6-2;)ID&~FCoS((#P#VA+o>s4$Y98l4VmVP?IseBX zAf*(lm$veyfS%Q&l-|D&IL}!nrO07D-wpgkPQwSqK*C?T`c4~7ViXOwOUxVir0T1n zie)r!?DokerR!+F0eMR*a^(-+^oG_>`8TC^Y;=wg{u}Qp6!T{M6cUI%F6}1Hz)JboV^) zqKsl#OrGz~(<>zlsgH#le!n&f&7c*(~$g~!d)?Ujz`k;DAW}jFE6vw=r;3BNtl>*h`Nt+xixE432ha8CzQvXm-w<1!51;*6-Z# zDNV6uI@KvGi@r1p#bfk-wQcq;tG|Pze8WFrv&cVgXP%)Y4_NvC3Cux@PV%e_Q z1vrcux~a>@*AZ|G4Vcf=&4liDffh6L@3y`Nq2(>Io+VI4DWQ5F-wXfeqQ$l}SND2wZ$7Gxqb>$8Sf9O80STKp9~iUPWY1A>O}O-2`zzW@JsVjL$PKo!91&^&OIuR*-MY@kx{;BILHP6& zuhEa89K+YRUE+AfI_IlF`S=%Rp77h2c$16mrP3p%KG zRKFeoe){qWt1o=;9%Pp#GPj38U_OH{RF4jOdaANA%{i zm1Ez32%wZBx)Q~O9t!jqOX*!Hew+bW(8A&02&vTbO2>}BQ0YZ+KGlmEfjExStKjf+ zm+>X5b1tF+pW;RLLFB$+FzchcwR2dJ_6`+|uu22#+f@9-W?-cM`3~ags;kupi}YOa z8ps@t73F8)L8%JgCzA;<;AfE7K=Z1S_8qtcNgt}Nt2Xl8lR;>ugSkc5?wqr{ewB@;)looonIbX<^ATx@vLP=ah<4;$1a$PXR(lws(^npPkCp zeRhXt5F!lW@w{vI)6IoF@A8zzT&7R|wtofo%l>ntGZdFocJtnulK{;tx|(hIs$oUB z4zky?AG&m|JNJWsdw#gzP>x i;u?W1UC_$CTuDYY{mB+Z)&phaS59ho;(q`D0RR87ccbzE literal 19696 zcmX_m1yo%S}`O)-{8XmFpr<{V~@AuZ=v6OVke>r3F2?1kNWAfo&IYUkTMWo3h{mFhi z%tx-yM~?0Wj{08AgDdd9;Iu{fBO$bIG1+P9i;Dh>7Tg1Fo(Qd%bX2sLTd)YCU$1ysnAd+fZqehPCy_W<@`mSlXC{DHo!6VeV<)cOYtJ}iu;5vQe)OF!Sv zC2f2Y%6v~+>Z&T6tS+0d03-;hMQPo$XP4~%2)mND72UOY-jl)SV-m=t(3_)u{z{gCtEBUHT)L zjY<$-)_}`%yJIB5XXK=Hq3D^f+AP8+yJR;I`-Hv(No&lxh!f-pN2j$T7WrzN!2@>_ zvcmGikhRLp)^R(YP1=)>iO*mTf#w5-Qa}dz{urliSd~smZMw{}yLHHt;Cq3iZjR#t zVof-GF)TA%0`n`MdTst+$=_C?#qb#NCg|>&cWn1U#t;qCg zlqAdb@j1JSHYTZ(yRlnuqE$9ScA-_LkS|)KbJepNj(zpnUqL9@;S6TYVFlWc=Y7TJ z^KjQV=d;(!-jQtb?BU%!$sd4ZT4_3v)o;AA0ol&*)W)g&7(Oe5P)0?A4CZzNfng9s zksqCReY?+1J5yq`Rx+o~y25iYd~*nVA-bGSLJmq1Q)cItftieunXCTkj1Tc5+mG&v zS;s!a`((8c`h&Kaj7grLBzniZAAYO~M9|M-wrLbKX$$qutyj|cg#yHQ*-XD+5`lr3 zIfWrFcM)r*Wl1GvM{@!IeNzt9js218Ce()ga=Ml(Qmj??OFRA6-9sH73Bo$EZ>kTbt{TIqUQau^ zvFNK~_W$e3|MThpG0pDiYctv*z7X9jaQrY8soAVTRaXbZLO&cHGMY+)(iDQuPzCq~ zZN5V|!84JzHT5Jzoy)sblGuO+ZoH;S2Gt$z-(YoZv8SX~B*Onh#I!vY^jf)a zoJ`!XtS=&w?%)57QObSk)#))p{rII|sM`6UdaUtqT|IBM)MW@iIi?es*36tLrPR5E zz{b@zhqn24Na<04y-yIW=5WL{)|vLt?aoJS`U!N*E_Jc8l=NydGDh9*cQ@jGxx0o1 z4y);&c}~}=UnIKNV=DM*}q+Ehrk>SyY7fX(Ag`+pIk{pC*us^ z9=v^>3Ddn~hJvP1`;kL|sXNjaVD@|F?Z%gTVGT)B8!*zKyp5UTtLd!{(d{^?kf#P$ z0d@v3DW=%xB&xC~;`SExm*0VzDyy*Oc8b(H4e!U*D<7n1Y3bbN*k;UIkf49zTLYIw zPNAuVrE6#d1EdQ^l{ac<{eRq*vgX=8H2FkQypRI(^#w6F5o1GiuHsg=+1?G7$ z$H1Q_fP{jE`r>O8^ zMO{GES=WJ-`iU`Yv!1WqgnC~`Ri)F~wVD|5hFssol>YI(3DG}1M}n4@Ry9c$PeIcAp@bx0|x%(JvwoISx04Xrk}n|Ge6jOk`({F$D2y1qTFE3p|^Ea=YR_e2xCd$5&IV)E2x+VRtwhR&u{(QB%pX)~PXzOiCp+92OnCj;Iq* z4BSvsY~m3{8wfr>W`cXu)p3JPj&z<{tlVQT2X^?a!dWEn6Y3cDM?36VBzM{TJxIw? zjK8B|ns`Qgu8$p#!0zZR5Q0qU32G^c%6tUgM{n{!rYD4h2XK98iB`1DNH19c)+ar0 z3pFEtfH$A#%8zH4lz%|Sw$^Ue=LELj;UE7@Sd-OxK_J$lspnVu?~#z0m_HN;#*K}n zc(|r1NThb-G`XfFas>Gjzu#XfjP1^1ysBnNQeYy6DG~RB_(nLWpCxm^WGGyoD+8+V z@;TY^UWA^N4^?tHb-GcJn203OQkp>%c*;-~LnKyDT3PR_=7K4qYR2ehYUdo6m z3a3TbqO;j_vJRrr}?kYuRXjOCb)TOa?E&fu%`w` z-wPr5NvEbpIlUYaEB;_=u==%fK?h|EVUT8Ju;HyO=7p8?G<{(F!N-L3@DSICVL#L7 z=XP0z>cpc~EIb)-Se@~~NQd0-z3?ZzHR2bPk$DoG})D3Q@1QF=GbLO^FQBe?O z@;odH<41Ta4Y4(weKy$i2Tzo*hjjThAElCg3Au3;(M8ba)=-ILxBlo)P>oLkh`#&# z$L(;}@DoVs(bi>2>Ers=r3Sv~Ire^&R4UoGC!^4JN&i>p#!u4G!>3+qvXz!Kp*!JG z_h%3m{7z~82VP<$ND0MouQqnS;RA3wRPx5qGx9DT;J9S}vZzExy2)J9%pnn;{;p7M z?JELC8{D3md>2KDsEuJ(>ZbdEkL&uvr++TBeh@FfnFv9&y+p;i!#lzCo<14mTu8mS zry|_5a5`e~_LNmk-y^oMM9ZbS$34w7p;YI44b%<9xCRZ?qnptshF@)t*-KG4Mb*V_ zD2fNC(S39bb*$C?$dq9bo+s_;9LiCuE_iJ`H<9uBZ{+{kCLoi;j~Xt~*ZhSG?QOl6 z`C_5lpq&q#H4Lx4T>Euq@?R~AYv)8}x}f3x!JL*ui!}x~)H0vXQJtXFh2JvQO=Hh) z6Zw`!{v#(VD)XPb5L5Y3fCt+<(lgIB;vt99+hxoCrb$i#mkBe;W+h%xq2V7ACK}+G$Jck8P_Ez7Jo&pSL)`CqAFTsTN%jZm zD<8IMA7US}FYx=qV%KwoG?<8kI>Jw>i!>OUH>d@mG7gC-ncvsXda7_HH)X43!ow9K z7VA7c_0c89?|J{`KHLg=EP=197%T1}!;=iK^!XN+r1j0O*o`&-2BoSFoqZF;lW=id zaXyOJ|IF)+aj?1B1Mm4hlf3CQ*V?^u-L!}_Y#4n`tXY#grL(sDkDb@gdStlUEH^~+ zVXS#xSXl86tv%NSVZgF@sRwUJ*>sHSo;|`CapA)OGdK|!0*d~LS1fG-Zoi4SYq`wX zwSJ*yba4hcy1x-m0G`D0*!%G2s+vs;P|fRP=7ZyEn9b=E?(g9P=O~K}Ch%Yh$oh47 z2z&>=Ax(VP?A}jx?D_jV{sd5^!MyHq*m;Oo=M|nv_Yisvub&xtX&Y2d2G&b$SO5gj z)*c4m2D1UKZxW-s@B1l_%Xl>?Yd4AWmx&*EniS`#G?-QsG_fBgE5btH&7bma;K{`g zo3|jL-{26HocGb>z}vY94%$fNiTqgz3Z`%DmOhR`C6_$ausb(~#m*+_6k){XmJTkW z&3oU|LSBcyHyXLrHcy@K`WA?a^~jV<-g;?OYG>kecCkhidPWbdI>rfd!rO7!n$pRH zQ&EK0;oeHIH`Mk=9d<8Lm>|Y@nL`qbN{dlp8m#^CalBS;gOa8z52;iCf^Vf?fqzUb zgjUO!!wQUSEG<(Cia4LdA+(ps4l^#%ixEeeEJ-2xdfamS#^a<-)PY_mgeJy!8x>>C z9DUIBWi#~^kZXI;?n$okH9MO*a)lszGPB<2<}9>;3q8xc-{8QQpz>^8|0Fi12Go!i z6A9feYqL}npCidFA%iAEfJa(yiyQlGl*MkB3yAIH;(hegEdY7I&_RqXYA(?^&9c&C zdO%Nzs>zB+QOvNV>HpJSNJ3t>*VeW7+_jh3wKvMl!9CTM@FXqUqaZ7&$M+V3CYu`0^!Du&nvpj@44&>Bf}59J-?5 zq9;&kW2uW3V@~~d`VPR8p@1D@Ua>m8hK~ojQskI?kz4s=Gko=P!PKKgaOD&~=Qd{D zkG{L9gr>WLCdaojM(}4BLzTU?w(#iHPeI1Ni#D4J8Rx6I4ZeDRS5j%&W`+M|aEF97 z*&(4@uYDm|Xr>dWv{LiX9xcAA4OQ6wd7km-Zw6;bhaL`U`UD@FeaE!K5Yay#T#$Ln zW2}g1$7*x8v1nqzh~0DcI>4F{DhHU>YtWEewYQ!+WdYaV{x9@hI!!~gTI^${nKkI z8T@PYQI#QEoMmCZx|l((JQu@Zlx`D))3X)yFukohVs-;+iyp;l3yA-aCSF&YFh?+64?kH zaSlBe)SzlAjXPJ}CO!f!EQrf=MJ)Fbm#UVbs@-J!P+F82GAg{?M4eqHnfyN)Nd5H& z208^{LXJXk@&j&L+<@oD0P@IW-{AD?|)kh^|91mGI}Vq^Df`#s4TRly!1 zB?E7QFslt$_|5Us<=0)W4q-}_Q}tw%9a`EvC3Jzcb(tM1=jvCehWjm}>+5E6O6rDH z@hO+hGqVaM^nW+ih6pK-Fb+UAm=R4#m+{bh@*{1>I8=3k;OaUfG6~k{fl*>|%L{I0 z_uTnTcXot=^)56B>?N|%#VkkFa7-UDN7KHkqx9WTsTXmW89p~W5+ajn%nw#+rJZON zJKuCDN%#XiLoPAPejp0PUo1E&i^k@Bi(8mvWEG|mn66fv`Q_0GgGGE}q3s}K<=ueo z?^+Rz2Si*fsYV~Qd7E0yB)wPnCZ5XzGxT<4Um$0KD~lV%WaA8G`{qZ(e(fBFa8%92 zn(wELgh;FI$Y$8XNm&;?Q>4t}3LD>p6H!C({deaCMf$&FYzTMzj7MBIrKP=jaGgzs zItLyo8cV&z1QGicjBi0V-`tSA%;bsgS4jOSR{BocTzs>U>&dFQp{M2vK;7?MXz+1y ztewO06mVQ!_C^XKSCRwGAJlJr;YEd`?mWYF9Nl$W6 zE1DV56AC?1ll|t?D125}k^I-?!*T&i^gzyFRa{r5S5yvy6BCwkSHg3uOtCk4*0%0C zh*t063j^fqy5`tX8KfPuA+xh?^=^AJALE8*$-mV=-7IgVV=rslaJiX%N%^}UX?Gi`I90GMlA+9^o>Z88oQ z<{6yqx9EjJwgVhDV}~>xy!2nZ=HGICMe`u;f&TxZhF>gDNg;KBP-88}xkGT^--oA4 z{F$9{Zxabelo29dx<+=l~9(*ue32Ik_b64DKmkiF#+^|y`5bpX;u$y(>{SOCx&$^JJwyZ# zm>nQRW+-d(>%8)CYN6es1C+d1HY0~JH)ZQ;F*Y5Gi$E2IR50;1X{lwJ)(?joxH0%d|yKGe27G*bLSUk%vQ8h zM|T7R5%V7Bq0780osT@x36k;0`QJ%NQwG)&&2AlFbWT}mqKJ^r4sJX=WAl!Ti#qPh zoP0u#3T9bL_lFi?y%WOLB)mtLw$o8QS?QC==e~6?tXzg0nRFxnq2*}Q%*y_Sh<6zl z8+sj#1>Qa(#ShtXfeORewEc%Tp+PE=lYp?SdmK4wHFVMZ_2q)ymYM;l^tTE8AND3@ zB*usnypMMKbVoo28=iwr?FGucp$ZhvEgZ|kvY;l4c1NpBF!NFzL_3)~>t!ng@TOyhc#g~Op+ z8LrF=49Su)^bvN5=nsNXo@?`BWPQWpr{0U)E71w{Co=o*oz9ALu8P+Ii-$<0rXM8V z7~BE*)u8Xqah3N7Stqh?<1Y3+r%nt`Jn>H*wldZ8U0$UOH9LPsDDgNtc`^$pAsmK| zA}Q5G3L}3CoMQ#hpPXg!jdm5zhFAvV%6=vtF=QCd5?qIi+?7-1x|n&WGA+Gj*7FjY zXlB>i-)OM zRGU-93t{L=1nEnt=E7kZ)eHI9%Mu*z%Bm4%?&lJA2vFY#s`vW)lZ2surKD({$3+~l zdFymU0z)LRFh>HPPzdl{_?GHN4PE;#l~E6H}TP$`WDMvt+}CO#Nur5 z5}zu-3Ih2rD~^z+R)@2Lx?v*+bW@w%m8|y+CuD1+UP}zdb?}YN2l-P@MNE?Te#=eR zoY6R?O$P3dJ(y9z16TJsxa($Z^r)S#3M6aIQB$+c!L;!~{6YI;#nKFmI)luelgk-H zyl?qc(kEen55QF7e*!bdqh!%8KZiMx6*n74{h$1t&HTT71JR~2iE$E5ij&IH3y1X5 z^lO%i6%si&cu%@y4nnivrg4d? zGR{2$@h&gY9o&h*vF%tgC6SdIwwx^)SSlrvE_r3{dgBTS+Kq$9lojsdxKb4Odj*0; z2FlYD5-dYM%8a-cys}7U=H-2@?KY`@@DZEdSG6Hx%Qa89@JZukyezCuVW^aZ-DRT+ ziH}*hF>k5a=D;&Tat1thYi7bTo=Tr9migWGn%UMp^^NiwSK@(~t117EN(wX{V^8NC z@=K0iHqnv=3mrSQUy#Vi9BGo|+1%p+1K|(yu)Txtjw$F6eTOLvwJv{S3^Yp(?FhPf z#Kv{AnOsH!jm{J6m%^Tx)sp@R$&Zte%gOKnyn^qDIXsg#Y5y{P7bV)hlxlbVF>>i* zKo@`GE_^N=fAff>r}J)D0m96Ke>QEL4B8P?b<@3l1sagwngUg%PkQD2+bGwcuIWaN zJT&E>gmpuKuTB)Z3M+SiP1;Cb33iRnwiyY9?^`7c4@wb)hGC7-#(6MnR%!X&aw-0V zuYW7hocmiCt=~^gzGGRfhdZ$vZ)obcK%&hvx4`O*LfAUTouqvHsg zDK7!Tu_3qGfa%rjN_dnhg*S@rG5*sz4b8^sOnMow2U+2E3j*B60-DbOyEw7wDF-#X ztmbXV8NuP1!Ft~EzALPNF{$Orl!WQfPCvSo^LlSc(>4;hKbq;gHQ$zoJ)4qYi6Z~x z#3#Y1j!uyem796EuzvYMzbvoizEKR!c;9ZdehBgre#$cY^M}i@fYlxgd%-bof8G%* z|B&KF+xayab})kdpqKk3#Xv&XmVVO*lWRMSuZaDL%w}go2CI^`q=GE6L8sr|pBWyb zUtkl@AW`BQ5pgfJAy&S3UAq$YWa8IvI7Q?HYGu-MZ2Y>#8n+xwVh8%!G&$AI?@Tt| zm9MMfcvMmwTs1D|R)ng5;gvmUS|(&QzNPWxeL|2^U<^JW&G{Y+}9wB4C4R5-(d6dl&CY)`WTe|#3-uXxcE>WDcke4H= zZ?6_-CQFMl{=gxUb==Wfxo_y~Mv7Rhfy^eEp>JHgW zay^UDLwsFtv5n~m=O4)4Kmc%6Isw+_WI)PmBFCI(fS6sjF-P`BU0 zKPT7(b!R!)f6)@;&e#nQw0GwMNGLFGfelbsUw??%4U zSAON(2!4W-FpZ$PMBVD*92SOlyxD6-JbsHiz*Ay|b%vd}`?qRz%6PSWh}na1or&24 zcYTY|gXp+Yhjv{<=|{g~8`puFbS}1W9^PPJC-JJ-zYNDs_U_itEcNQuFC6~FvnPZ$ z(k-zO_H$54`2(G7VqLJ7FftBEcrF1o4M%uf5YAE^s|6wcmPGzRRQM>eM>0hlRG*#Z zP8+k{dkPr~eQFyHir{&V+GG-a(fIC5H`6&1{~AjvSUR%@gzHTGzA-QDPGyxdWGC@* zMWrBM`wZy;#_{NZvgf`kMC%uXA$f1^#2*yPO3Xpstc3q?E2P$s8FJn7MRkE?Nrj?x zAv#8<=pwok&rQJf!g?Zw`}i{TNY5J&fP0rpS}T+8Q7UT6d7HBDK_b*1q{JQ(dIN^{ zASUy{+m=AjrCnVPB|iLIkCfuy<4JYs^g(XKzRS#Q5b1$jf%QWEHaIYzD<>ihHzITm z$Zg!GG#q*6@Nf@$WSHX5wG>_gdruwuzoWSTr#1tH@RFS8Aai@*Y$4xpjskL9f`lMm z6sMYUQ~hUpDlmZPJBJ0ew+T+0;ZBBuPsF*^S9W{1ku6W`gNwO`)0NaDNGC{`lQMP@}~ zVpMkdX=S!1{IdQ8H6+o~_4x(ToQCVIR!0DkHGcG>qe043juW}-qF3udV(+u+PDcCd z?su)#;(NvaE8|uHd>kVW0R;}r0g)`97BlCH-OpWc8=9giK5 z#^F8MD6}q|K8vzC2W^w&?AQqfpBadb9Y45=4!=tl`?xI};O9WW)|%A;=jd0GBX_}@ z3xLUn_rf`<%k7lUK!4$#`Z+}}f*0sVkR%rk{8xv6Js31t^nfkertgJy^MQR= zmJ5V8fE(GiLyJjA00?PTJz@Ut7XR?Huvq96VQx#V5Jv4gOvbDvCMpZ)PKp$b^Z+4SlA&X@7-PX&9-pk09 zZDS)n>YqW}!ICp^=d1gEJW$}}t%7F)gZogopV!dS2g6JsZiE-3KkC>T<5E29Fk6Kv zjM9%e1^^8vQJ$DbcJzVsoa6{yY)j%$Mb*3czq0L-X;S{@p}-8 z;%!-qXFbk8?KI-&t`)yQ93eX*tk$n3GTN-pA&$iw+-ViJeVvbwMWG&UEKa zLfm$RlZF%!AGhq1U3@KUquKUpCOrb~dLZtQMKkY`nRCt9L#{)N3_1&CTu~NYE0zrW zknw`Bns__?bdJ{Uk<{xq<(JU*1M)YtDRxnGC|~B7ws2>{BO9WAqOd#aTnm2^q!&e^ zK?;%plBiwPeqm%jm=UfQwr|oV5QC`+Ja5i8I~BPO=>5PbE)Ss{W|-JRGu0H%lr2o# zDE~USNf$GJj4%7h4}>>Vv5q-Vdoc`c?GVzGa+ukV!`=dKb;$qxJUh6KuP zQ6ki-KI9s`zpdIs;6@4J?Q4ty#wN-O&y&!ii;@}2m-vWK6oBl-dbFIYzgY#lzhZ@Y z)FGl3;MCQGbr+ONFLHeoHlUCz6|@22g>s}N>MdFWn+^T)V@h<2*`EaE1$pYP2oPzc zYJxP#Df$I^N^?q2lwYI=am4v{Q=|!(Lm0@x?B+O8(fsp;SsAL1R{%&GYdty>3dCLC4wJU#%*A!HpTN ziby~905PlF68R=uF#}@WJlb&eWMwIDZ^a`~)*9V!0IbJkc^0wGo!Yd;^wjP4so?MF zIh~GWo6#tomBhl-D%dqPC2WjMg@9SoA*ojp8ojI*33OPq&CQLNxgX&UsHDJsmfiem z?gUccG|Mg}ZagWli)HfAOYqstq&iG2_~I)uK-i)##Rz|l1@L(r<4_VW-ECTGMsRRA zI@FtK(1^eyN%buDQ-|uk(@3R1O+Ow7Xg2j72dFZYfddr$kI>bonRWKoK;g14)$QQT z?)?fL8Azc8fJe;+bZ*Rgd=^}&q`WxM7ljCUZN^&O>QNvlp)U@Bh(|+?k=LhwE1Lb3 zzwooiQRg{~7M&>frJVHxcZKG-%cqs&uDqRnzJ)(O^)?KY`1oWl4;)$~6Z>rVP4^ug za<@kMnD|b>w;FR&n%rk~-dl?ALiwn6mIfzB_c)f0M$!Mf46(w4#=PdyF<%!i27UZu zAyEHAZ|2#06F1OEE6)x7cU&2>hD)@&zP@f?uztQix|*83#${~|_HaM-7`)LDq*pYY zZt$T|+N62)x&1*ZNoPLkf4G7}OPu}<;5Wm|KEZ1;PyW=qNO>}sG_)+!ulq5k{l;k* zV5`oOY~h1_Uh1jeZN&OVmgl4v-JOQWb5&{`XnbPSLS4Q2k%v^xM>O`XTn=D(97Spi zl69I5FbDnykJ-!CKffM&?VaEMc3m7DP1Q?TCwOkj+Ys1MD3hE3%}sJSHO-oiT11z> zVy)ias(PC`g(a^9dNO&_CTf{ktQ~e+G_g;Z40~=SU@zK-HlbzD}-G zpNYSacgEgGYU;S_r!H!bW?KqY?3KUxChN1;ti5BOmz;6m9jZTZ*a1@FF0IMUH1DI! zJ6lOQQ!2kV*r;(e56IPzMILr%R+&&vG_=TQZ0z|=5CWh1Lhm6B=Tr9eZ<$>+_ciAG zHWl4KZ7%imY24F3DVk<#`bvg8DR0=Ro|9bGAHN?mNz(%5RFrEc7=f z2`n$eqvM0K4>CGNK;r`5o7ts+r&dg^I4&TRy-9ow%&!;KtT03KV~g?5VnD{*KaX5q z%`u`GBJ}a=DI#?Mdn478VFCGQ>+*ZJ&52kW!sVA#C)Z93p z8bXd|2yf|iRq$=q%2 zi_A46DYn_%IIcA_ZB?f&4XIlDr{{Dv<;3L!E*cvT>OD)iw^vsE!z_p=y5mAhHbB=U zH#f~D+cf|#r;!5H1PteU)a}`1kNSN>Hf_y6F9Uszo>mZJ;|seTJlM0nJlZ%L+9oIb zBO&*t(^sIM?-{{eX957CW$+3Z1rpv8ykQ|}S*4LkVkg*FrP4E%Lx@o*giuW`;UK>a>B0?K%?<^NEK zeoMTMt$82!N#8s>$Gac}H*}zh8KMiuGVty(dNIldy{!(HiZbaG>c0`PG=53GOId80WX z1-+&FbpT6r{pw$QAGmM#Uq&{%g+88EEKc`t|LN^tXC8kPy1tq}KfFBO_}+tT-U|%g zW9)h+fr;awN}$h8x~~$`z5Tc#hk$-XeQG!tR=4 z{|IbmvTT{K*6CK-$obH=?-_oXq`kbg=el5Kyhm@=UUPq7j$UV|>D(B-8S$$(NH*+w5W{D+7B@d3@7Lj3+BUsSn<-9bMt zIbcUCUSv~>QJgvb+0;TeLju#Hit-C2>+}llX_e0&Xm%c6-p9loz;{<) zgtd(LhQkjT`czOjr=6K*B#j#UVZ#S0Cr42@Q?@JV)Hz4C&^)bn-VP|^?Q=A#eY#_YaL}H2X+J0x7yX) z0pHo-=v#1q9=*Bl{>5SQ^OsF87|z2Nb|bY9tRTAiKDDUZyNh}?|2o z)60+03BdJ$4|MkqScKZBLF$C=1-k}3>R>d_)9(X~I?@*VfS3=5L(LvlDMz@@`&(yFRi@hDyWRcw2lA!Nb z1HE900Cy;l4}+~Plum1;&gCrwgRT3Z$A3`vXdYTP9=E+6^}YPKos*wzUq^l~A>8FG z?1tDC6aAHBFIYR^(dp{Fq1PMMgC4o_G0Tr8oz7t#OD;x)8rB^t+%`Tn_+bO-FABVrK`^%R@jn9-hM@ zw7Y!3qsCP})Ft0l%=arhn3|GaFrSAK)71s6{UX$*-&G9Ll^sM)RWF#!gPGyV4`SnU z-|Goj)Y~#Z&Jo(pNz7vTl@<6XJ0V*pZH1|&dq50e2(iDikai{dQo=5IJlB$n_tQ(9zpF4#zg=-Tg_#w&{%AQMYb=4 z!=!!YdSfqAoHOZW*9fI7{KIL!r^K>4LC3Z1UB9!HS1Y2Ef$xV6C-A79BYEanhyCeI zWhL!4(uNrU1qQpgSuVNSp`>t$KhiZl;ac_A*$mEy_H@j7QJwoQ{^CNpb9oYaSAF2V zESSNM7Er(I=BLKXL!FI`x08rpsW{@HrTUSy?Y=!n-dF@r`)?0*Hay1IKo&Vcb+xkinOQk&0^!O{Cvw@6w05{hU4nsHSe6Y{29r3#S}M&L zTOB)oy{ANu<^&zs!O^Aofy>wPQ(^7Y55%>)KYi8SV~`PEwaHXJlJ`H$N6}&Efh7xx z%-i+SNyuwXQLr>cA%CuLb81d!%Z*9lj;qgiJ(w>or*Tb1gmt!F_4=0`=W&MyYvENt z%Qi{<VSn0DLoCt1JbaextUC{_I4Q(f&p_g@M)}db`*h$EQrETNJcjr);LKLCs%od^G zpU~|heHUG(E9la0vzWm!A*R^m?!mR5DJI<^ztVnyKHU(=5VCv-Fem;0gt!CU!FL1Y zzexW7IYYUjukpPFA4eOsPS)-eQl?h%o832to{4=8_=fBK*If0vZ{*P-Z%%;b0{SJM zkmb$=pm&I|E7008D?HcX#98{Y)}U9r;b)h_w;AATT-zzl_qZJ9=e5=JS$86LfgihD zS4$)FOY0kAaGZfEQ#>3z?IIG|f2IPbMdRj%LT=$KBH##kXF5LPs9;5sjT%#UtElDm ze$N{2xFqpp)6voisAaLRbsCD=KaG1)()4Be@82Jix3pB)3sL{=8QG#Y9A8$VczXkd?S!oNCSzeG&&k#RpiV zNs1Tf1}SG7P)uUEPPMo5Qn&t789) zGRj%_jb`4?7F`@ReUoG_;vx6I4*i5r@Mq}=7Vq+GRdNi5vKcgDX+1hpsex|)LN=`W zu`(p=JkyX$mFTXqW|0P4tV>wl_h=ZEq%esn^`XV*;ID;zD9Lt(B zE>&Z#DTi~US)9EZou7+&mFFP*C?>u^pCLL;7=_HZ_ zo^%jNN^$iY@)9YhcZmTY3P-C~?TPgyM0UwOc{^oV_oZB6P>>9fe67Vbp;Vc+JWG#y ziNN%RAtNGn#vPwTpO=>_n6D`wg;W{$$%D>6>q5Ygi%v?I+a@uXRKKWjj1D%9aI zPnZ8y3mUSwloY(uS4({2ulQ%K6M_u^2h1AY;DOhzZryV5X(>r*kyi{UvDrrUDW`;M zuczO9`oVw^<6T|TR3{jBBknff;Vz0?BnN62J`8>^W#?i&a9T(sS>I);CffZ)05*n) zOhpsdp1`9q3bLM=ibWoefk3a-va$$hdIf{l*+z+si~49Wa#QyMZN9wDadw40nE@_; zLEyT+9g21V$HUVgfZ7$-5Hg_4Bo-ZQcH4w9h?(HCF@z3$V2%49DGQvZ^RBQd^V}ze z?Pd&NB5${U%HnQZz_YJ$vyf#%*wr@_6IXZ*D;1{M;xb9mL-%Om1kr?@`<-wIn@$I3 zFtdyNY;icC*lcK&&BEb|1@cOS?Axt2i27_@3m!bq8rc3B1QpF4PmwvjupH1AJ$)NG zi!LVlGPYf)u$i%`0ZCy~Y_gd7d{vh`z_cC&D?PeZ(8lwvlKOY2Y5v!ji#zf6t+3Z= z^tMs-wpH}!MA92{5e7jyUh_x*BBzIW#yZ4yX~uGW1|j6a)fc1J@%K3iH7joEW1sfT z-1WCO!PmGhA`H&(2k7A2-XW38*S_fp&n;dwgK|TUXUKpK@a49|147Wx0U#z!@i?&t4UoxJLW3FMvdAD z(c}1aM!OytPnj_ie(<1)f#gWA+Kl`m*s$^2x|-$9Tne494`zjzm_Gvf4R!f% z)wEt$$+u0`?D>hbj`~}-2gLt;{Pzys>xgBaz0Ag;)NLB%A|!zDWr9BXH5xj^69M8C z$5yl3^Xqa>;)Hnzmc|&R$WCG-Ge=K|jkL8)b4Jr(zbHk1md4Stc4$gq_R2 zoO<{m?5`DAp4VhM=x-XaN=Wo)dXo5ihjq!<_XPbBkHx(;x0AZN${j`yy|uQJHXk9;fJHfl5k7D?%eKgZ zGIZNDFSfE@_H_J)y8VV4-s0XMwk48!?o@L;(I6^gz(igU7-7&V8~i84b~+pa?GCy2 z4ij4*mqxxRF`c}LfD%{Ma^x?T&{CE9>HClN6gO~6g zobaBcNO{#;`+u3{A|8-Qc2{)lgfEa`dPMDC-ZkMRaNG&We4yOd%W>qC)*TYCCU73G zdwFJ=R%`tf5KDqD9Ft1Pz&lY7Fok-n<}M`VPi;pHpK)c7rq?a1cSK~`9ow*s&Txn5 zrCItez+NK{s~pW-@h&ss1FahY;XPRYshv7(JhX?l#c1Z640cMBAdOI&EOnOnx$GNZxmENWMqM2&r5b6=U%{cj9GTG2c+ zQwdeYf;u7#wf$MC(W0 z0w(Xd5r2n3P_P;Y2nA4X$A+s@UO)xU;;r~n`A3@G!I7~HM&|F&AYOikCn<28Lv1urqK zpyVo|92e{hxlMQc@2|CHEGebFcrt|_K{)L!_EqonE39*B{_I{0MuGf|vJvlUHcJig zeP$si=M_*~SBqXFo;W^v{s{KZY<>ge_T3`y?7ETf?+mU-SJ$4!6i9xtd5;+4 zyc2dj1Oh$RRNJX)>XA7jYbjIz0UzeT8lo!?w)+UgVeKbA z@{pBhDS3=O7XpU&|65p`|6c$W4C(U@h%)8#4v4DIm43(<5=h05=e`C=^;!8QM>(oO zw>TiGLf>#eRE56dfT)7L4+z)G^9N2Cn4cf(O3{yjVx49jshGKa@Si)1Qutp0;_KbN zOfaRzZImgx-G#{@jbA0^RJ7A?6Nu%KL=@ctNOe=*=}@BD=?{STs{JR28dd8*2dMcA zFcy22F@FWZY@!bI4=!>pjQ-&&OWE^)y)1DZDBr&2nTMW$GmOPxrDRtiY)TYlp#u_S znByIg4AJ@&4U@%#{bTc%=2rsBo~!+u zz6^-32Vd*LsCr%JC`wuLl>jwg1*Y1^U$Y~W|E~8UZUDm5@ow}(zV3(IN7#8D}qp;4MKg+jZ$9!yc?w)e>nPxr<+`WeCVopMrflK zvB``0S0H#xacB@fqvTI=mo6A~KmPxYM4$Wi^839de!z?POoDLlm|u|~+|SMYFA$g# z3RiewNVp!8KKCSs2k>*#0r#)hehpl8GyKMj_^lW5J0M_j)w2k{_e1XRmUE{U@dq#B zk6y%GUc}vA#Gkx~KYI~>@gnZ=BL3<{{LPE_I}o1M{fAe{KfQ>1y@>n#hTQK}@}L*- zkQec=7qJ-#kI#<5UR?FiJPt#OgS@qU#>|${h56ec>Si`C7WmWF*7l=1T94|O+0ru9 zJJL6=rM2^gEp0Qi_YVP*3;a2^Q@=uPK%5)5@g3!*C$C&JzD{K~8c~+|J))es@{8Im zzi|iRtakPiNtJ1$C(9*H#n$3C9TzZ4*D-#`ae!EwK%ME{0R-0%)sInp+O8+cta+jx zkpUVn0fJ6852oN&`|QCn=WX_D;9>sg&0q&#j@#%JuCiR!a2GhjA;AKnTXe8MU~n!F zlK19Ox%@_hS5J8a9{4dY*$|-R`l@J2SxM0li;|)x7ob2sn$_AqtF5QIwWGVIqisg( ztk&+X_MWbeu9=;!o#~Ft)v)pQf}LWoi{3#zCxF0U@PSyub{4$Z@Gwt}O(w<;aNm|K z8}|Wa9NjE>c1%s2>1U`s_J87VL|i^Hj`?&W$DhRciUWyrtVx`9N_=+}=OZoTk3GC) z#RQ-}KWNWM>}#z|bLOV*d~l5_E? zPv`m48XFRyti4G;&e<4Jt`Bnq`rkg&v%VsBPBs19BU|{J@U)LUmg2wIoYftVc5Zba zd`aoYn{}dVlXP#5vi=7$c+W{B)YU$hsj>uvg|4X+UcIx`>n3HqFDVyGfm|8bLpA9! zRrb}u;>AA35A=uV5c);r&jbJFL?>Q45n))A0>)C~PGCrraaEgQ)i5dfJy1WEkHv)1 zb8kW=EfnXO98UOk>BEVByoto?_ZG6#ERX3&jp!KiB!X&;Ne_00960cmbqWX>1i$6uwioma>(7tq;^np~xaqx=^@p z-hK0?yjhr;hm;scr9c5wAlO(E6p2B^m0)Su53=MX??r_$>^CK<1`P}x^u#nlvt{(qP7-U-;Cd! z7{9t3@^h`6qY6K*XM4f)z8KR*Juy`+!?sLedOeFfH^#a4hMc+U>Y8cvis$_?p4Hdo z$nEK1Nr*Nw@+zRT7 zvVbMP0|%$K8n7NI-2EV>f!P2^|Cf6afoOj`Ae29=CmrrI`8L<{v9*v;4=vyFHc|`; zDb%c`F7{O`@6BR%7WUR}-|#8CBFhxiDO_g#V3aU4-fJCmel64_kO482Ga4qdDa zBb>FWsqQhr$#^j>$LIna&N2XS(wB~|gZDTXXg)(2N#S&XmJ!c?I{NKLEpL+bBmsvU zhJZP`y9HkPXt7My5gulIp}0d?F@T1iZaIKpnUt~c#tpLLU4;GO^@-bxU`4u*N&}V= z!r@d(_WtlfZ8M5n4g)m{-4}HP^thk2QcXxg&nT9F_qduvCy-8Bgxe%?kZi7Su=x=j zg&0e|`euu{374cu@mxc%C)re(-`RWNGUB9~aZ{+2xFroQ5PRvl7>3$8a-e4nmC7rh zZ2cUAe>@D-Q**3bATkx=pb#pf$=frZIyvhIC?|D8W8@tYLQ~{zwreBFF*0Nu$q}Lk zk~7LHzF${ptm_$>WW}jJ8wS|2j2R?%2 zIN!FmKcb}(x}g1x%{>hF;OR#iu0H?K2PnHNk$D$dsJmDxBrAh1MuTht%F@(h9$kUzXX7oe; ze7F#JdRh-JDd>nkECI7}_=k_-70D4^iK0S}3JhSc!d%UMnu)RufC5hL83K(~C>mmwM$)&r z?9q0_$atdQa|L(QjK5gqi`O9HsIRCzgC3Ns(0wwMLX79m!Re9$#cnOj|I$pZnl|0$|qCbd`Y0Vk)x7TGn4f8ZixacDN_%aWU|g<|nx; z8WQ=xGA6mBa$EZ<?#qSzqOFH@w{wteE^*9=ge2;C-xEx2}a9)54Tzbk*Xv z&q+`@teLi}7(++4cLN%qmCknua)+t|BDnfYB&wZHw3PHrBoN4CH98EA$!GF;8~ z#4^v1x=7G{QFC?%Mvl}!J$qkNBaoyETDgNN$>`?4$fB6_h|=Sgvj~>|2LJ&7|HWTN AnE(I) diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index de62ad494f6f52538034e640904545721713b657..267102cbda765dd8f9b30a22dd10396427c9c321 100755 GIT binary patch literal 17648 zcmZ^}1ymeM*EX7j5RwoWB)Cli1PB(~J-7vT2<|ety9^%O-QC?1+}#3$4el~H%y2p9 zeZPPG_q*$^u2o&KYj<^Z_1@1@RhTbcyr@8Z`5ZeuU&7CKRbzVxb6XoA6AQyP1}31e zlev`G;BiJ6i48xspq$=Kf6+|U^KL*LL6$OKf90;(As07Z?=9iLlPlD~RZ z^WsIi$iKH2!2e`loPGaK-u2~C28ue4`0~vu5*W?nbg|7Jxy`pDe9gwtan?q8# z$S^e|O|cqb#OV2> z2K273A7&bdP@igI?DoPviL)aMvZUlb_`*%yPRuav7flf|bhZ&IAQcr)Ov$BbAF-t_ z2^b2EeK2nEpsbS6js1|w%Sb@sCUz0O^=`;8_GPR%1wfKC-tpI!T4MQE4V~J;{5T_I z$q%ph{9e9rm+)xMFUn8al8=p}gi~-xw@RYN6Vq`iPwUtRZb=MH#hy~23|==&NByc# zNC$Gs*|!^cG5ws1{xp3Z{|Fr61GdOtlx`Uhg~#GiOh{%X@Y1^}UNjqJZz&A*#3Eu7 zjCe{VRO8A&?Njg5X(&u<*{5v%9MY+Uti&!*-bgqna`Pr$V-FR@PEfQBI$}WZV|gkU8^;;}AjYCuZ-) zdNpZ}%=#oLkDPiu$<^46GK!?3v+Y<~ilo8Ygz4*qcKRMK%7vk`zUftJYk?sicdpoF zqg&@8QD)DidKc-{gpDpK(q9{>1zr+MA(W>>c4R|_%$|Pr@=_1+M#UfWKDo&SPb4{4 z*E33v$8D$;@To01P@oQiT-5rb6E<%0JGA>lq#inrl8tN$o&=Wwls%MWlKgSnR3ra= z{VK{eDKTmE*sJ!qYmXs7EH3k^+%zyn3X;tPC`_!6ks-hP(MZ)%&mv(GKT46J!5nU+ zI%F05m(oFEC%!%*BJrwMqBF*mHbt+H7MP;f*p*$6Dv6s&_gO=^Hd#hby*BUzBaMbs zZG_E;V`A)r5s$Of$u9^)i>!Uwme>$l>>;Jr(1nSlN8#3oq5aqyiXthw7@03HKteh7 z@*z+|vNp^}Wym6yjWUBGgVIuZKB1iP?~mFVBPB^jjjr%|Es0jC#&|2rznaW-qYGk7 zk$tzlkhccoFF+Lm&A5VQ3*Rvu*uX8XdfCv0M+*I$seF??ghnxetTtc3TqN4`punjpEri;Xp)D`j$3w$`JGzr1DY7$y$FBVv|LFZW6b?hpxUckV9rd@yAaC z_J098tSDmamL>ql6)c6)^Vt14#jTM+QA_Wj#0_}8Tn9j~!>j^EtG-tt8~xi5C#?Bu zbt-+daHrUl>M#Ce3oqtntA!xTHQvI+U^|Qj;Ji|`&}a;>QnWfg;sLni=aeW1f$1CC zAbUs~pu;|*?+f+@fNg=pnbe^TR7dBrnX$UD>K>m-E#)!(4e^m|7~uQr@g7ajEIajZ zJ)Dy;yQH8t3W(^2e^3wiX}g{JF7i7U)n=CN4BV4=JE|a_PY}>X)H^(CZQtiQ5=`{N zc9HHoRF@x|<*;hp0d9aL=>JMsyx*luk9!u>r;lZiZ%i z{gm9I85P*s|9?k#U}rxw0QmYRU&OU?Rv zw^6tLhYju*AQE5vCSX4e81&vC-5YM)!oB-f_J#d~AI8Onb2R4gM%45J^S4lzLLn04Jdaux-?kJ#+ zfaX;p?bCITv81LEWZ@dlx$z+1OmH|ih?6MO53t@d6(HQx8uVZGJ)-4xr*pl_({i;n`ldap%o$9Tadu(f75&D!Y&x@ z0nJf@d+(sFFPUrv_g)7}3o|Y@>-jfV3TmsZTT1S|C0f7+AHECp2Hv9?r2Fj&fDw6& z%~}2{K}bwbe(EUx$v1+dO3|X74BY?&lDD}!z$GMH+&e+u9zWM$r1R0U?-+MNTPWvI zDBt-p?gY1xSi&9Ukz)Y8ZA6o*y#emL!#-bT{ANVCG4=)Xkz&w|bGm>hKA7G586ONF z0!i#((39{Iu%B=Gvrm3?n>zQBuIr?k% z{!ifZmj=8!HrfDSWx(HD*;WzGMhs2eyg$g0@Z5L3<=Lh z)d>sgMOnk|-=TM|2&1e)_(fg;z*m4~Ijqgs?DI^(=P<6O zH)Xqr2K5HW#rDEU*vA1otXqFtzPp>{@!2d>+ zaAl+OwZN(l8W!T)#st+x0J!JTmnjE(LBL9T?XAe2-5$#P@79^)2WH~aL5vU=Qs7Bf zk0?ZT1EuB!*;aBjv7H=TTlwCAZZD7w{ZIho)J!bQ5uc1LwhwcGw4VtK`XF!-pkC#R zM+wsNb#4vNJ>vn}|7rC%6iseAQ~S6bP!%M?jR8Xj?>>DKT8YFvKNDF4bw3H-{t591 z2V~k&qo8lTNZpfbI!DLUC!l1JhUx&jb78HoD#6b+@XD3my#T{#ov?wRK`KRxCvrCiTU0-bZ?{zxnt}L z<)g%qet%BH;KGH-{xKtK)IHfFRi8g>=7;S8z^uEky(p)|S8{Z3b5P#$sfJhcbZ5zK zp-ic*eByi5GRD7Dr|njK-_|Gr_>6WSveMcENArH}@+#72t2R4z2j608m&upj5j|tw z(Oe%t#I@79$ule48S{obR_CCCoo#URIpv+=`Y_FZFhVpiJE=2!I0GYZ(s_c&ZYumg zlYyIO{M#s}a91|k|3o{V;&|6Hh76+Q+N^vcc?=371 zoy&^>qCy5p=c2FZLw-lQofn^pWNCjU+rystL~>-wT%IdIM%}IYwypf%P77tU^3Zgz z4|uF$cSk=sD?E<}1oTHKwphspf8Z=n$29P%^K2LMPH?t>$GRPr=td`SgU{iYM3?sM zv8X$S`47Oshxq3S3Y$X4jCT4CiXrW-dpqqHLJ6m=l7_k+$ASgET5U~{%!i+Cc3k6Z zWYIsaYY%bxO9Xg6{{z`4b{!Cma|t!YdXzvBcq^F|-EbG>2qXP;P9{%xCoS=O=|-%p zw~%^rM0lbnW9V*5&1c5>SJ@{g;jXvOencAD8FD5Q+x+xr{Bw#@Z6L)-2zUT~mPu`x zQVjTh2V4quQOJUI~kA^`z=h zU3A5=za=6Jutf%CuAg;MieVi0CO-g&!=EZ&>|&ztPnuvX)kvTmJI0<71tOixc+iar0nH~V3JYOYY&r}jjm&e$}?!D{P24B6c zbi+WuA;8!~>*Yj}ej8Hj6!L)eh@bUSRVeTi8Q2qekt36j#N;g$p%thf;Wvm9;v8Vc zF`cU&ALN*ALr&_QAJX$+9jM=aczUUTVubwY1pEN|0QMjSd!U24 zNPE-Y8=${ts`5*&`+>V-XqS@Tw52Fnu7DBkA+Sdt+CsuUk8FloNsD1=V%PADVDz5d zL6;PiaPI!|u#kqPz-tA0{Xb#3bP<^8WSr@yUX_1XkT3h{$cJBoI+C!_7jSoST|N^# z_=VW<1Q9Vp&^LI4mKoBM?9|B-6vR9CL*4qv8&t$6$7w-YjOl3xcG=I| zD<}3OmYhOdDhjuk=j9fXQ^?ILYNBo5)-;Akut-bx$=T`)P%+Bvit>gy@d5I4^?R@g zk057Y4j)jPx#Ssqt!ohNX2;s0$DVC!3t94#sU!rF?S8OiG&}hiJxR)5kCMap_E^Xa zU=t4kf*X)7=5W7vw)zjM>0#WReem;)M2@K{0baO;vJ1-N>E|)hQ|M4?y(h&uuXuf4 zjxP5roncXP5$`*%tK3EXmgLZ8ta<=6Rn$kQ9{c-)U_EN!7vTNtT>1Yy3T%17?fZ-d zyaVAP0^>C)Y z@_&n(L&Bk2lyS6?v{EUZ@_5o3L%%ih{SPtE<*%6;+P9lYwi$zBh}-Q?){mFe9Nuo# zn+qY$xBCjJo7FGAFC$W-csdwcw2R z;p(mAPcJkz{sLb`L7ME3x2Ckdlrha+a4(lcpGMwNC#jduHS4x2FD#E_kA}yrbT4dM)uU5uH9b!`>7j#61)l8lP9SXJ-F0FzRl{q zCvPok&T&PQ*dvTXyR@jT7OAgIi;H@E=Fa4(G#|vY$M%u?9%#pb_&Cw-o>03LF;k^? zH@aJrqpY8KH3O->Ts&fjH2**jW2)A~ib7eSV>s zC4^bI99mL@H}rJj#}EC@_1h2j?6#9?ZoQGaFIk`c#l7^X%-dfm{b16{5X!#U&luZ% zgSBx|Fk94_i8?t5$1{SZEaXRAo%v`9OzB=?8X{M9gJky>Y#uEs5UxU`5qS}3gGg>y zuqQr4v5BJMk3Zg1Bgen$s`_m^zvy!~ljC`?;Rx-~s^ZJrL%b#$u7Yn?&7;bLSk4^p zf9pkQrbQ^cK}7{o)!+i&=_?SoG4p9S?=Hfu1u`3x?_~`L2zJ z6Xf!VjQ0a#Uyfb*?{W<1r`%rU-*x#Lsr&_vK8zkcoqWq^RpA!;tIse;tcL9LIV;nq z{Yges)2j+YhkbUZNu|fN7spg&#(@t>uH9l+ov+8;p%da(%fsh>d%5R-XG)Cn;d!uZ zFFgkW;!s|H+*|2on)OioU56`9QfKS*UfoW=Y}41Ce)R5gd{Mz+SGpYzwmEc6j#=Rv zgzv{pa<>p`Wf3zXihf74Zad8?@F7m2sRb^?Jn$dXz><5VBDw8LhMkQ0{twu=Tv0ZE zeOI|EprJ9FNMSzs>#i()j}$OU71hUTr79(E71&WKP4Zhgj z+E*cRJ`$d;1EGQaBHFoVY-&@SG4|RQJXp(DV^bSZ z?q55P5o*kEd{$cT+j|#v&7S#k-)o$^^W+4hy4_x-NViBn^$@JrzqWBIx@$?ln_o4v z;k80?DArV$jwtgu`c&rY+m+|#(=ZN3=F8B1yzr9_s=6C6gR4E{UclGXem~OB zJyk`|r86eZ65AOhZp>XpTtaBUe9=Y)uT)4$8b;lIPu(`_zKE~jwa(m3!9-$v(uj76 zO88PR{n(`AX^H!HUNr3qJ^yi!^GsB=XLpXkydSDvsEQYDZhjQD>(c2+uG}V%W|>-d z4S|pL%SU66mc`9@Izp^edVWk@CMJok%ucgsq^-CuWEXX{bcOEx5J#-vLQS=Llxs}t z$uN_?SZF%$ewZe!=8n!&DhknrA0LSF=tkM+rjFJ>@2JHA~iSf)}DbI?(AaJC9cGLOdn3(_&XLwmE^-0%Iro z8QJNY*r}YP=a7P+s}$BDP)UgR@2N-uF776RvLLqvnp0MUeYZW$)YQEqWszgmo0{cd z-gtvFfu=KV!B1XtB*&zkvo(^TCD5|B(RU#nWTTquILTZ19up$8WTHAPY(t<{EfA?S z%h(Tt!<4*_#)sbtPROj8tt0X#k`CjYMjP`4?utO~H53B7<&$!M{~&YF2u-~x8f{m@ zo9ErB?!`Es3TKF39Lk`BH`p(XT5n!j91@XU(ZnChxQ~w1b?mgsjkAL032Zb6{4a3a z$K3`PHx;AE7N_-Pe%pR^8q~3MI9blpWOMstNyb+vvE3xl7%Pq@vM+qNXxH^swAFd) zz-?=GbU&VYqSOTBT)}_WwB1X4>s6Q{mlZ-p{nVH;lq(lPR($0cHj~0Ov^O)$@x`$B zHGLJ06Qy`~GRw=6%6tdnjuT!{IR^Z7eVnb^nWV6nD7(=v>}41g>6;V~=^>4wTm3_0if)Z7^&?Qy5{U+62Y$jSjhEBM>3-P%4 zV|elc&3S53`@f#%A0PCOL1jgbb;V2b|Dx`7S-#ozr)oU8k%NEM>8prC6u*SPEaUy$ z?YvtEIO)2MD{b(Rt|l<^^8o~syCJSPkFRj`_eB0q{yPc(k0XWqv+NH;Ty*8DJFHzN zW?n0C*t-wXjkg0xUl|~>=ZvUlM3;*Fn`EnVIsZNv;q=~>8*!K_L)!;g9{adpvr+|% zb`NmW7iY*4&=Zl@X!N`@G+;c>n%)SwQjoi&q0{BLoJP3pWqn>$zUeVW)jD+Qua-Zi zZv>>lHqxLh7P2TtZ;I2Ea9PraH>o}GyQgaF_rZvrhQWXf56-nav042k7Li?jh5k8~ z8OvP^M492L*@Db|)(qhzDn;JW@n^ON!z_14)(4P-xT>m$87a@(bjSS9+g=)A5$mPN zT^wE9dA@!EBstmH=?Q$0iZl_d5?C?8DFUm)XA8^?@n%X=(D0)lLTwY2ZP_3C4jpSFeogO*ku&ROhLpYLl$K}+RCtwJr^|TMT6RM4Wy?KL(w&wTva5o3 zg;xy>sa27b3_5?g$KENiPt*s4-`UC(CL0GAHKa}#G+Hj+UA(9xrR+W>f)DH#wPK6h ziP>m{4<*>*^K^|`m9jQ>7GA|6@XC*r+=v)E53Ot5q`~JlgBs5ZRcneX0v3*FQeX@aM%aQ$&&rC}B~!OMT2)xQK%{LB&Aarc z(<@T$)#>iWWU-rDm$5Y_;67chfX2i=2}Hp~Ef0T>wTu~a^J-fuIRB_WztB$mz67>? zFB*hDQNU= z*hDnG_p#Dup+GBGERUwlQA-Nwcj$Ct>+ttnAf#&8GcDLHQ8zn#;@C2^?+S+gAT zum&>gK53_;)8D(J^)Vu)9^U;yDd~y4Dl4D5MCaoCDg+^Gpem`o=-Wb!aaGMQX1qJz zC$soQKO8;LLBSh_8^*rc3Tv3SdN07fs!iYdwEK%i$fMVlITV(XP0Uv=F*4AWz#g429|uSoK$O#ZM4lv_-+%Wks-5DlcuM_*ShOw8aa&`LTrNx!V5el0(NU0A z0_GFRR<;j9`>%R-9JF)4)7POr&0BNTbE+j#;pWPU-MBBfCZToO;JM)E;h9_4*6*9P zG%wm17P_F-gV_P}bcL6rhJ+1|8@8;|urE8&SGk5oB-J$$6&9AY+-?H8owu-Gx7PE& z3)>t0k)3!=Wqv<%H@T*(dNhvoneH7Efj=R^j=9`*Y7U*}Wv@1;`^M_do_@hq%5P>q zB-W9&5SB&JmWqn^Tg_cWU786kNIg$L>D?K)q05|>2)pfhLSL15Z%<~wm0PdDh-dFu zjMs=WM`uTq??Q(x&874rmBX~HyPZHZk6h8+SzoBoAXzG&`WjFpwXb;yfD`ssbRE{uk_Ynnz( zrymxszMY4(o#3+BzzsUt+dp?!uGCf06*hF;hE-XneJ8;(;ZRD@^RO?GBXdnr(UHxw zF?{4d+*d_FG~1}P_%wc2=1C2i=_*?nIY*rw^O{dB4)^0vXGdfPVH6U91G?4@YiB90 z-q925S6_($LMn>ZVB4j{F!!&b|7DZ^p+^5N#~%O6C;HXm1Lbu9{N&+lh4DpK*%M#I ztR+0H>$sc)sW%PSj*b|!Y5fLkBd1Ub`InUbc>k#Nk@MGDe~q`9O50< zS^lT%utaPEO2eDEcK$KH2&HCxK!8wB^6|-h7W>7P!hclU-q5Tk(6qsC2aEnZbtabK z(mr#xf0Vet`eFD#paaqVid>hsHZ7BDp-&)7Y5Tw3_v_N~dm6{~UF0u7|M$htY?Xis zb7BvG0(u%()iAoV-q0zViMz@5QAcy(ubS?s>>k_FIZpJ>CJYzF@X%#( zQL|-HtwcIeRgKDvFqJPSfBy?P^@;RK z&A8v9mbBz;tZ7qouu$eeIp(_!L}~OI&$?LK^782QK_$cP$uN*sSbNYYImokPh`pg) zpfSo;(3+k~sAM?u0Wss9#P|H*ncyMCN)1;MfC!#m)NdO_k}7bVPnl2D5tuOmXpD1w%8B>TS0T&uHCXv=EXjz%)Fki zGO^40raERq0Pk;;!6`z#G$J3!A}R!>or9}C^`NZQO{Nmw+;yX#susNyvgKN$i7yKG z!SDQv@Z;RcrN3Ka5M&c}zUY(=pfS9*_O#8T9AivQqRRg(Hg;{A%}|y>eee?~pUIRg zwRB{9dbv+MSV7uQd-R7V{6qHOG;X}Jhz7GLI(K`K)@#0iyVDrN8kue@xOUZRB|&`1Ijt(GW- z2m;`vnr$~7?Fjpcbc`Bq$@uj<8hwAAm8KPHLBc~KPF8uks(1+YxgsS_DIgH zt*PusDSbRi^@VwTJD>v*m6G}P<#z{!2qrKIV2ivrL0vo0=CY&DRQ_YO2=own!UH!S zdK1MoK7e&d-d=nJ{K~;gIY*Dt-EsJ_V160OU**R>TNj$9wj9px(ZxBCDDR=vbydf; zJrQI+KPRT_AV%7{*O)>?HzcuS_5As*urXA-yz z8oV0A9B;`gmUm>=S;FV2b%Zrsi zN7h(O9GPjC{;*Uue*|z76}tGj;G*3oMyja>!y@`D4Kv9iyfiKkT|O!o$L}ghiZ960 zTH8&2A7iE2l*vPEmwzSP)}0qN)t`zw+RCF@pWB-E+3oPtPDdZh3_`h&rU-^Y+Q6GE zEql_}5iP%CRChW#qs&tL_(OWQfsY`;%wzy*@9SK{^+wb+Wj!w2+F=jovHOq4E81J( ziz&xabN-GJ6NAmEKoKZ5e?v;e!$aEgPq{O_Gb1@`ks39_hE_aT=8J5!p*`)HVMtj# zTV&@#xK-}Xe3yPmp;KjQqRry=r??&*QkDm-!}GDd)Qqdj zgCiIB^7#OXEaq7sbIVHI2Q;@LD~Zi^d&R&9`zUkT{t!-HXYKd%yyuAerG(Y-m9_?b z-O&lpKQp@FEjsX=#Bo-WV4a1_$UO^0^M!zmcQ++_U~*~b1?no9q;+QEdf4s^QPk6- zgtSkM<}WyEj7fAxC@jfhDC1zOhz*g$OHm*hF7Bar={5`}9B2U9yrCj|JfIfGnaG{f zGWxDyF)d(2Dj~k1nW|p zKq5yM&`OVXy3%B%pOtk%1F`3nQ6*!S$1EF_y!>+I$NsQ2Zm`h)l0wXqh?{brzoxet zbPM{t7}poTGFBm-#1Gk_D-v#KFGDq{9>5<^zoFInVd-*wgSqthBCq3|mFHnJKW`-j zR2q<1A`i=|_j~{xR}*Q}Agi>%`~^H(W3K|~Ar_7_r4H*cxLF1$f3-+bh0*LQ4YV1D z3S6afY5vp>zKBM=a+fbtkOkjUPOC59Hl>mq4cG^h>u)iZiCSIpLc8X8wmY76JWR zJlkKWXmvxv^gIX48hxO;1X1=~O}h1*W1PB*3;}n?3)+sqc+hL$pw`L~!jv>W;$av=k{TaETv&5Ta@wBm5LwPtnt%F`eQ&;{^=GHHmJ^-h(cOq{Vy`9jP5WMPQ1n$tUWWWRJ?YZ6V!ZDCD0G|BdWf=+Kqr|q za+r?#d;c(lrly&hF_FHh>7wX@$qf{o38z^JN7jTS1mPT$*k^2Nl)X`S8gm$RG8rc* zmGn8bhU`}TJo?)9i)rGL$1z&^;ikw228A-{MR_>?=0n|gzvX&af7$IZW}};dm zK3-GhN0J+&v7u|{+3ECDUEgt{c{@=h@lxhT(r6bSllL868Mq7OA_@~6pgH%vAs%rG zGE{-y=GEYi3dt-0`qTx3os+(4G~JucDdtqK%~eJI+mbKVJUfP+QB$@_ccN|@S5vHJ z8rbwJ_s9e#3X2XWjQ5zE95D>r0uwI%S9z2ZRs;?>2O9A%fJjU4s2gLnY?yQiEY^4W z@{HjAu7MUdH7S1Bg{YMH^6mh(GW==6hOL{Ml_WYCLwFZBD7{RPT$*Uv=X_inO)Q8fi%WeduLnZad_u%m@7z&D{{zREGwitfkfA z)7CiD?YGC{WVXP+q1A{nz-wAxB#67Rp&CjAMW;&kf2tn3Z-SgJf^GZByM-T%?>~7D zQKrsPw%Ite$Sy2vmw3Ka8?H+oE=T2vyiH%KwuIo=@8D9}h-9q` zX0s;4A1vvPjT`$D?a8SEEb_WXy=x_*$~sHbE*+#{3ifVGk~RJz~$7Tn=i&8I)-pa=8c(r)}Aa zugb!D&_0PsbQyl3=5VKeIG`S4zCqtDW;YQWKIk*#G$xiC;)ZJnr^mkYJ#-Z&juNiH zggEt?*eumyvB@h%#CAlo7oC3T24!P+&X3h!+U&1cI)s}P3;Zu~@qefirC=j>bteU!M+kt`B(e;sj}d4@n=Pz(%GoeE*Rls)_jGtatVow zg?Pe}LV_!)0#7M;HtK6bp45NDo-?IG<^L5?fhNS(Cj3i1{>yFt***Gix6gJz{?-LP zo@NV-7C0;S!HI*G6s|%#HqC7*=N2FR^zQdkQg?#73GRM-V}CW znQHvy(SC@jP=es#v~v_Mr$V z-jg$-VrYy~yGMEsB`SFh>@9K^GsEr#Hu7q#m)k2zVo&E`pM-4V&$E<)t`=y=n$-se zk~N*6wR|Bjln3=6r*&^M=N#kAg^)}|te83Eu3JBYhAU?qAXMWVdvDeD&SL};N&2}u zM|1s)q$ksPIb8daxs5b&hDjKAsG?Ix0n$4;vgQ?BJ=v>{)jxDoml!AuIMue(biTqL zS@gy(uC#EnN)w9)3ZSYfEtOb&ZxP*QL{VFR8Wn3((&&13NSeRci|IE(XJJnwv`_eNb98ATtYZplzP&{j*$G>vN23WO~{O z^Q)mLF^T>d-)hJzZdxk!l4SbV%u*AC6%W>cRplz3Q$_YYx#KGV8{LU;+^bO4^reA% z+Efo!uAV-Ul|dd+mG|~CeM)L!gKJ!qr+g2du2&%#*YFkszUFx~9>AcY?K{Ntu}4f! z?=E;`2}iFeGCVfO6t_TH*rsm5g&J93WJ&c4#?fl{+@%Z01v z?=MDzxkh(OuP>-qx2H9Ev^07oWVb5fBGY+h|5mqlQ=WKM(v4lV_7K$x zusg|F>o=$6pq~CsO0tj{ks>&NY%g#u&)g4f@0mYgtg;mqw?yUhvU$j|X5HjNpOo}N zme`886+mlKoJ)uFNp_xpDvq6}+G0IqWJThLYy`$7sMhdubjASZfh$h+w!)W#8j=rY z$_r7LN9%1tVFWv%@Ogo=bT^fH+s$T2)CTD;Y8ynCS~Z<)mO_H!Yv=W&3{?Vql%(8a zTENBa8xpsk-OxbGqxo!5*WG+?0e{PJ;+r6XlOx`7o9MD1@7mzntQt!6I#JdmN5Lq6 z6;=*=*fiYp!?#Q51yWnfvus@(Xy`NenL}@E?DCjkw3hpuReIdK;cQE2DSxnQ(YHyH zMv|9#N_9E&B9D0(*&$qdp-Yt@42NEfR)jRSJxNxm6pHS;Np8hlQGbJq?OMs;%u!2; zf&X3hG>v-kj0O^D0r+UP5bceaVqPt`LwXS$%hSp6>!W4#KO-gceT*JD}aaLnsJ+`r5 zrfS?Xi56_gVbGEy`l*(4CiJ*;z&x*MbD>9M=;^!A(tRPbe8uWCB#L&z=C7V*qLk%; z@~Nt4KTqXah>LRl$mCvm&U{FaCxxg_7ztiK|B7~VhMrLEIkD83NSu=1*ZxiWqWSTL z7N%~8e8FP)*k~2!?j!TNde2Eua7LTD(%i+8xdey?O{GCftdHU2!sQ~uEK8>gEF15k zVOrWy;(J@DsKBtwFBXo9FsQ|Ic-iEYs?_Eqn{!YIPOJN{Syc3;4Yi;oJ>ioO!BCY; zQKJZKy2u$Id*9&ja~t!4{3Pg|akDGidIfM74#)F>TP1}WkLvYJf*7caU+Qazb1gk1W#Y8h|&CJTU0Il$3!D}i%vP^cCk)YBi*2w1Ek-=68w>c<6_eqho-rk z%X`l|!<4tIuP-qH7~=HC-PMls#`-E=&Rt;JB}?QkX4@*$n)?r9qnpJO+**G49fZNl zLgdo|m!`&i-m6=$^Mm>lSy^2?cd0|^gY@z1I=Uo#R4Q^8`R&3X6?IRBs__b{N2Q8X zD{GK{qj9S=AhX?+Y?r3+f|B8a;el1T4g8=660KxBmQT)NtQSVC%XhfD?XCNT94WKJ z?yd|AB3U`x4OY?b%Vp3*92ew|76j{I>SA(D_5^>cE;b)~Jd39Qderq|Al(`VAUySN zVk_~*`bVWo7+p=U<_!O0{k?DUjLmUQ_6ft~elL}h8q*Ci^<370p3b06Pc4yRN5dwW z7q8jr>et7d9nGa_THiGWh+t-T(}mwiotrFM(`&E$00xZAzE{U;X(t69qkXz^c`P0l zW*V&(#ez9Rk%eGd6?3DGOkQ5Ihg00Sj&&LsBvHBBTPg8JBU6$OM6>) zXVIk%;ac(#jz|Yv~94!WOaIe zS+YSE5T12ib<1&!O!N!zJ>`ygiJ(rbV;$A)bF-H#)4`?mYY(2}X1U*v-qXirnJVL6 zUTGe!!rGShh$ZcrQtv1Wci7&Fn=O6$5!fb?i>i{90o!=&txumqj%ag3bWx(s+R^3g z=ju|f=#zH@PDuklFjr>x-0VW`JgrK+-Z3UL*vg>|DN2W*65KV|yzZ6n(#RL5iZLyg zA)EVll9r%pawY!XP2>NAqyDpp9RKR+8}c*u5&#L$78N4`)xVZJL$0%E1>l}_564q- z|6>xNV!5jVRZk1=&Qdk#>z3G{f`jkHW96&AsVzJRn^!n@dMZGJfe7Cxi=a~Ge-1)D z#lJ!~zb+IF>1-gq&AYV?&Djkn%TgwBZ_S57cjw?f@rY>Xl|2-8bKyxV2N36yY|x38 z|BCMB&4{>x{<_f`AlrUWZ6|%^!*i>)b1BNrx~omY_o|Og-EpNz`tJ9)=b?wQGZg62 zSx*!FeO0Gb@lO@JYww>r8og;Jnz}cebZ!pK^{M8Cqr=HEm6LVnyCR>G?k{X-bQ{!Y zeyP8NyUP$)TK)g6zdvGsyglg`#>yz8QftgUIy$3=+LLvV_64^3a-U{oeYXBlmfwB1Ix0=Ot@3IrUBdlhr>x*k zSB~dTl>;)YrLkjgRR@Vg#@L9N(5w%C$Hs8j!W=jA!`yJQX7Ju!)X^pHf~njlasQO~ zh57kRt;WoLqMMs=slu0?6RfWX+5XZKySDVdu!(n?$0@MO!#TSYlKZ`nUZoyU}CG^1_l_ht>-2%yQkJ<=lJbf zO=>*px9Ppp#{6xNPoTMMX}@|_Jy}l%{AU?;_$$DDRXwA|a;fe~h9iXauHVN=ANq;$ z;&zZZDh#%!v%(c7xv-1M33L1YE~dIBq_N--IYpD$3ruz&jI|G-R`jl7Tn!YH1_{|l+AJ-7@nSOKg8)&+HN#or^QCbxf`r} z*xYgA(?`rDUQ&)x^t*OX9fkPS8~xFCSWasymv`F5T#>bv49YcVQ5x}_Wi!RkS_b)Y zc&N@yQ>J-^+!vk-29IW?=uza|t@z6n7K)-Z-Z9qP*f7O}=lxmHD#V_@`!FXEl!88~ zTDt65kBg`fcvu_Iv0V#$k`H2pg>5Xfty#cZZ?0b^*{a26vf6FbDX`$fBg~kC{n3v$ zlP11^F4o8KrlM5arkDL9EvyO=FB{Bj2UMKv-Xmmrr`ONwR=!)GG(lJTsqH;uLNz1C z#3?fwwzAY%r=fsLPt0;RC|rm{o_Cn=!Wc3-rYA77v~VIE`uYklHA#&K56}zW3CB+R zfw(&hR(2KDY9!-|v#D>%Pgr(Sb$HMj2i+V*>$`AHpT0+_a-U#BL*Kc8HZe)3S=C?n zptD<#6*riLxUemG1~#w<`goQmgkE2{>YJ)}EJfFm$yF7k$tG&L(K!Ethjw4>7x8Dg zs{j7zz$bAz^V4 zeB34nYw;(j&o7YfoW8hk=g-f;e(gNLryR~Ry-_%Cf*(obtv|5uW`4vZFs^C$8V42a z+d|78iU6VZXr@UNosi4n5tM3MDXx<3zIH>AEj96LWx3Kxj3$44$wJGGy0jRihdxtp$?DBRXIoH27S*dmRd?gX z2f#0?dZV0gC~&luDxId7X<%NmmzGA%dym=H()L3%dUdC!qzU=^S{UA#AW>5yuxenz zZR|10L>433p0BN;=#Dne^-m7aLA!vC?5%P1$&d8liijDpX?Jdp(?9@)$ z5_96glN#sy0sYX3MC%gU->8kH&Jxn$toYI4<3&tc4L*aa))q>m;y&~Y#hK5C1rYj= z?v7|uAihcM>!ovtn8;P$u}VyzA1L0);ZqzYHw9e~C#hO-J{%Tu@ z(_Tkk>Uf7wMFH15I}z9AHI$2XrJnVcCI9eMofpGsH!r_}-XE^S;J=jyCllC~(=2;Q4m|A$&RN>16{9l?dauRgys#I}=kn7{Om6NkSKL>9-v7cfW5~wac<<(jC z22zY$ipQ16pPE0XqT$WNWJwcV?9gb}cuF68^A=|{sfrJT2Dt9p1#Gy%gf-`zLTt{f z&wJfTYFNG;iXtdATcUSf%}@Anoch*im>H$E9iY=o zm^ag*mT@mCf^|GRhP^hNtcq4ma>S)!O^jc&zIG@2_-G(}_=z2ocPuup*}%*v;HJ45 zEZ#LabAWEt@%T}VI!T!5FgakPlIcfgmLY*5cNJXPAz=BG?&tOHvX`Qz(o-kps5OhY zlzhVEeXt@~lll3`*6otdZ2*@>fF{VWQe@xELH+9M1E*(1fr(){T{50*bQ%fkg5Nhj zrvGP;3KZh_|Ihn!lfUlbGqJrgIM-(UnG9(gb_c)e4*tKSarLc5z$R18es}||_W##U z{yrF{tYHPTSthz&QRs6sxYhP@_KYvTTk}@;2D?C-c=6(0 z@Znjsl_4Hpj9Uw}G(-0Ze!H7gtGu5p47{kmz8!A)U)k_`|KsL@O=`Wk1nh{9>7wA) z;vqH3uSYe3sK(K2zVwx2nn2O}8cX{*?}kY)2XcWje^&*BfQ`g-fx{)Pn(uK6U=vm@ zX`jFq3L0#E$p!P$SO5K9_4{ifHVZC-+RO!Sr}}?Q^a}t6LY-B>-;nq_vQ9v&@9URD zLtC)~tOnZR`FfJYm;b;6IsX5zV5|w)?ZxaUvN!or@?wRkA2~rC5le(;3$Ue4R@6C{ z_UN4i%fUq9!0AWsPFOQF;pyY#HETrvA3DXqapPs{Y=cOaZtL1S!B_W9l3V@xRHQziP?tbMtLf~CYaTvXzeM)9tykKC1@GTHJFRnH z%CL3H?{?p{Gjw-^-O1%zRLZwx7)0d55np zk$ru$fz|pFy(ULZ=GmQoY>qQ{f~QQ2dAG=O;Y@)|r!KrbzsI9{$`fO~aQFQu*o56U zRd-&waXBu2rb@l=l%N-4zb=|Ci;z-vmq?J#<|_N}W$pQ9-#6M3YL17e-D8;_v7zCH z^SfW7(mH(=k6T*~2=YzOdtB{&V}`;5_nmoFe%zaV!=;$)cmh0nZRP9V%sOc_t@2K$ Q#yis)62-i~ctK$b01Nh-qW}N^ literal 17286 zcmZ^L1wb52vu+YXNP>spvOpjN5AJS(4J_^wECgNL-Q6X)ySqbhcX#*27F`y3oOAyB z-}~-+|5jIZb@%Md_H<9z*WERX`QpWkQskG<(&m|jpJQc1Ya3&*1t}8?!&e3-Qeit| zGXqj)7G~0~?2JszjLct|SV$ENt?iBV4M}Bn^-W2cNEM_=RSfk=#SDyXpT8-6^VjKK zykPw6Y|kIkSO3KRBLBpWFCnRjno0xkr*vT8JDMhTy&qZLTCu5L=2uGRwdPk=R>D#} zSM+d$=dN-f8k-fm7_VfypiS{+#5l1ZrZPzRvs?t3<@BVFBZL{OzL%uNXkSkXDT z9t7}8(m8pnLg%?Fci!nAPz3LQFo)8a0*VxQ30B@?KEH$ zZA1Z*OpE0G>?8_}G$=5r*mfUijrKF-3zou3prbyZKA=~VwGQ9b9C$HM%DiSCoj_R+ zWRJCXB<(_{1W5A7n^9b)^;^bnD-859KPI9`0QnPK$reNp+2V%jjutfisU%yKneQe2 zd8AtFRubkGVSkq)OTd7W{G&WHd|MD-;G1>Dwi3R8 zqJP5BnWkinLC!W_A&0Vc$~GImfSiBAz!}kiu{^()wg0vqe!HS|S?pL$3jGeUp<~oG zDzy%IqvoK|z!?p`HocR=ATH1%5*ilGlIt3Rf+Xn=gpm)V%JYl(-}Ikp4`|4@$uf%$ z<`12b;q%iuX$<0iON)dWGrOw$k4eeIL8+r-C|L%q?6nTB5}<_4yrAB-*bU2pczJiA zKNu+fZ8fHau~B{(uIiuuO=jeaAkQAzfP8y7Sw!`x=@ zYM{A_Kgf_XD5o{Y$3T9-AbNn3m{Q?eUhKUXG;H{(bencyF8Ux>`;DZLng*_z=K3<)nuz84Rj?X63c$0;QrOL&mHRElbU)}iGkBVaI}a) zo0368QBJu5+rVM8d-P*88wG99d2lU8xB5%HaG&2;q-JVr7^WyUcsC$< zs+tOwppu$tD`A*&HhlkJ`m%E$Sx2a3WL;je1YBnfx>uqo6pG%N;5#&}aH?)_VY^bW_0Da#d- zZ@ak~{`FF*B#LzQE2KUHiJU~#)hP;;L2>>2EqXLcED=o*-{;NBzSn;T(bf4Q&oAh3 zE=Z%p`vAThu?>c|4%!W0H%8w%D04#gWRL?MmL)f2-a2SD=ywuhp9ES4`0-FI3vCGd zA!DM!8xcBn1?oW!t)0iuspqp)rgxa6`))6T0LIF9YNX^Z#=mv_FE;Xd;~&xSRx zhH|e453dH0R|CMS;ncGs-E$uJ14Wm_^EVP{FIC|AJ3Uu#ynu|8Gqbk>X>o$QpS&E! zU!9jA$i#NDAa&+bj!EgAB6D3gkzO~gvq(a8G5@N#k`P2q5W*w~q5g_HPJ(g#l2J-e z`%|bBs-Cvb?>W+4w!pvD0191PUtL@b`tNiu2IGGn@fZN!}%)a3da!F$>bP6~TG*?&^<$MxQb4 zU#A1xBD-Dvh_(=Y-Gqh-F)k3hU0*Wk2oB@^PxayT=Hn~9Wv}@m3*7D|^ehj-OZ33U zmlFb{cSzI3cZlxGR6_rqBiioy8%(;38)${pX+a8kvzdtH`ye>XfpLM_?TVla!nl5| zD}ZtQhKWI_1|SN57UqB4aJn5hNoU0{#(t2_ieMZih?9AcPI7!;#()cBJojS8akayZ z1(~Us?&@I?%!o_UNuOH_BvEdRgBnLkiOidC{ZVK{6hTIVee!7G*wz@<`&n9~ix;B( zm5gtg9aBT;s!)H{^w@jY<`V#LD1duhN)a=*z~{DjtTF1!VZ4Y z!}od~ugfC4-QVg$JpMFB&p(m2e1EpD@{$l6jCRova6(^Ppq`G;*K3w_6iJ9aMjAuV z19ImSMWu%j9vl|~j%%4qafh!95fOY2ml}i<7W2g2ZiGZMTyoGjiaTUe^vNu66k6Q` zaWCQhe7h&i&)tR0r*+wEq+1=4&$42hQwYLmhfCUZJ6afXwuWhSAm)r=^}7%66E_nG zv$w?;1=f|xH$QYJgT5AN?ON~0=_>JZlYdF8TMOGA1Fmw`w2eBD?A?EqMcV5tbGuOy zfpAU?WXue(l3$+vK1?5Kim^rDo`X~gcscg2^JkM!>be6i%*(Oul*+)yPoTXY*Oi*+ zQgHZ&$GeH}%l27U8iKEY{B1|Gcc9P1dL#+j13KZp4Ek0xe?NpWMrxCXr(ahB!=8v7 z>iGZ>dl20Ay6(W?-U#S&e5qT$Aq-ADOZa#oSR?I#M|B2{W}es2iPTN=`mM80_iPMS z$cPt9cpwVveGZA)eotj*JV8*wZe5}dGGe0Lmx~!Ze4*zoLfyKq6xats-I-6a4yk8d zx&r8%JPiZF;KDPPU|Z6~jOGJ!H1`^GI$BPp{_!?%VLq z%T6U-Y!vOcc<=E(ibRyq7Rl|FLkfSf@q0f`NJafPQl<}7w(dmv9sd0S>d#FV&VKgy z0j)0rt89^6{zO>SDc@G|KabK~lwiqK98IDjGQQ3V#T;}=q*wVytp+-w8U)P^> z0q5Rd+l-d!?i&DHA-1HO;qUayUu7{gi9B^gID@g=r%!RC&_oxSd`O;;@S4XJ^uL$& zKl!S?(bv?Zaccz_rh_72I*3}9Qw)w1_oCmc-drOKKw{K$5O8~~$3ZMcdpGEVyJhgUfzWLfV*$@_Oo%Z0IoI=`%Nbh??|_o8(K4@T3Zuhxuyi3j zLXdKnpr#cZ_p>;PHjuwegXOx-0B*En**+t*laFM21r-zhNneszg)|gSxKA1cC+Zxa zuxc0KOr|(-dArpXzzcpKa2jg6nxIQd=0miG>qYIy|67YWfT9goSiJ^G)MdTz2K9Cn z9)H%=fkp~>;o)mL-b{7x*e1HbeeQ;O?R(3Qc^U5uK-&UzuLY9?+0y#97wjWpunRX) zRR&(lF{6;95PBD|42l3+a87b93#fXPL;FDez0#u)}L5Dc>%W2zcakWpY-~ML{1F#CHc#iY6|-^A(9gl z9inEfj*GSRBRgl1i1Xf$2Xx&GMRu(Iq77uW%~}DA@=YPP#ko?#)IV6^Rg*q`M&9Hi z?6%>)E(%1#M1MwTE!Ag7Xk%Sx`ox}qJJL&`eGz{nxe9;F(N10BmsX4jR*Wy7ExanN zP>DI|EIo$lhqh0DxRFO=QfRp(KDeNFZ>^#+#C9izeLcCkZD!fU3~Xg+_-&>t$eT>q7QoY8V$$4$DUngse1IkaA=Wo_6ZH<-PC2nzbT7W(9F~s!5NEuh_;46igxv4 zgH*&#kW<5$<9>yBth>U^ePfw&Ljuq->sZ#YitH<*qdVNWOKOJN*}G0432DZ-j=YS% zjOup7J9p%NF$7fp*sUq3^)EGJ)eAF ziV;}*qFa-J@uc_-Cdk;gc&1f}V~-|PgY{^l%*NB^VD#mRi>>J_Lx#i+e>?WUA!Mpr z!e|dl%)!}9066uaWxHxRKYjrukch*Y0HW%e zO(?Lf$u9L5eww%p&73N^D%v4m-?0(ZeGu7=_i9PFvCj~~(q`VOsLbJq}4+ zLRn^eX^-b2=SA0}Y!2E3^I5_S`fUqC_6>-2-%1`{lG|y*5Q78BtWbV8Ox{ylqnv&$ zWx#P}pSz;Ck4GM}JCw(f{hMwb)Lt~dvSJBxIXXKv2`?(G@_eR0PaHUZR_}_hoUYWL z)a^{oRrmI_isQ$kYKLZV(7y|k`6Q~8Vn4l;4M7WedZ0Ic^jJw}e?{W)IKD$sbGn&_ zNq)GSOI3@>5yR-b{zUay1e;`QtJGj_y#^otaUI_?hrlphN=S)&Wvv~z z{c4=oo`xMKrl@I}swJlRG5f*trW$qEX2*9Wc1a~mZQD{FuOwC{Rc z+)sYDc+pGY1Be0UF-`7M*)Xs|B}>h1+k8b1?%r4%QI^}(q+#X3rmM!Xr5^TOg3I%b z1^sSds{3$wmtHBDSTU7*y+iA%-yz^;c#=AO^tdc*a&(tM#diB=YmqXq20MGf#*oHZ zMxJxKo>^+GwRG;`yxLu1uYPiF^kUTJdn#J&PbsTlzznq5RXZK9$5!e=PNSC|iUx2V zpcP2lBqsA~Flm#m(|{#)6@lN)T$D|dSKfrfz}{Duo7RP`t0(RsH*MT1RV?d1leNcQ z+ypPSZWP~VHrv@Lr5D+GqwOEqqG>Gl8~w=6#evPs6}mZJIobYj^(W$gXUfQ|)To-C zV!u`uo1YJFR)cioo$C9!JXr88qYveF**#IBh$icmhn0#I))pX;2blZ&0{xI({?^G?$KSk3UdX(@%uQ7LFY4~B_G zFxfNYFirt!*@06IfgWSk-RFR;t z^nr}F2p4Kmaj*@rII75Vl8*?~jEav56+hHmU0r+Ql?U0$ z;|s@AQSFT=*O#b+-M~X!{Jlq8U(mab^Qq`> zDq#8w@EQoL{{zlUg(h-ek7FteH(_sJdGLp8mZO}M--~<3bEj7!5#{Ttb!|xHpee@g zXsFPwGPLz*8H^y_NG_gobA(8##rT+1+M0t zcBl#E2A^=Psw^6->k{w@%}=%N4cTcDVMi_TCs$At&CjB=r^~kv+Pa3Vg=%T#cFTDQ z7w?XKFr2PB z&Hq9YZNuL_xGApH>!PukHCwMkAI z7P_@M(6#w;GWI9(A|K?ke-3;9k@L$c{aJt+M(Xwpp0@B%B_zIjfskGH9W8)ai)4w>M+FJiM0{D|n!MrA<>Lt6Ejd?uHB}E84Tz z2dXyNt|gQ7CHmvYiyXF_U(LYYaT?k_=d>!I%t2b>li`I~u~a74SnIW`y9=toxhbal z!w}Ng5iUQp=I1+n5aH6Wv9D>n}-ufcbKJ5zF`_R!;xA{5(T6YMz^B{Lh5ehU1$h}jB{G&uVqADl`6|na8y|@|N?KRhk%ju9 z{7>T5ou6%^MvwQ1hH1El+C_{wh|Ae;_}ZXPN>wtCFtW1<%clPx(+Nv{`w`-z8m z$Cq099G-7yJbsA5uL34^P`wDYj^*h|jgH@i8M!DF8Ppn&Pek$7sOmIrk+wN~RCy(L zT3od@Nkm{yW8{p;6xQr}BN$j;)xha0`GIA6U7`M~_s5#XltNh8VLbn&ug8!Cq!u3W zv0)x#!XSoe(GU$6!a*C`zp!Us?xOP{LK3`UL!vqo zRB4$8=wj+W2;tC8)OHXOu>FUfS z73Q<#KQ{B5H>aJsDn7O<=SPJ=j5lcnXqU=NL({2d>n?NM(n$4fKj>8Pb^3#kluSi! zwwm0LOLqA#zt&0l+;sQYtzde%c}jOLVGZ%K#s{i)t65yvsw-dlv1=0|Qas` z)hpW*C+y8x%J>LRgJF-}VX_hPOwNgAHnS#n&C^Zslu}IENXjh!Zalo7>Qh&nEX?b{DZE zXFG#Y6#k8bTgK)la+%eJbo*ED`YXFRuT1odV_*S<)J7s~6XcWH8pQGS;^0U3;`a=5-}Gfw z)g6uV;zm>+^56CJv)5T_Mr$w*=BmTU{m-ga0PmnD!y(7>MCvGc4 zSo*9r(yUoh*cryCBL_k!mqE|xCLRI3r=S|Q@j@_cmrWgV^iFX9E@85zw;G5?xpyLT?)w;N4C& zmsULis3$*(vAJZ1SQv==J**COwH(Kt^~-lQ=iZkvUaTJ>)LyIFo%}MhUA~8$vvzSV zR@z^K4Y6oPm&+QKh=EG;8A8-CawX@&cswg(ce)z;N#>AWaiQ5^Sf%ReM|wGRMo#v9 zMYfy=;@2gv-6p4#^1bW9`nCLk1JyqSy3?Ww2ep%1wp^WoaC*1yn{wVBPCX6JpFC56 zFXPz|tPmn{NcqqX5(1;{|u!p-N0UG8>XKGB}HeJwG=PLaN!Ib5czXTK$9 zryrwH5w~&MQEZm`_izNZ$qYR*SIB~XU4*`EI~(Z6r^?Of8PM7vU)@@+Z^u?*RPg;= zp}7|mhd_JBu5jP~cQT%5$hzeT_$Y!>}j# z5j`zx@HbSvwHr$cN#Hn=ZbNr}5b~nh7@O}Zf0b$s4V}v6kX<0OYm_+?0|tb;hSr}Z zmg9GYE`K#AlfhgK9!)nLOhj0mdKgo2+N^CRI@>0$#}#nHdMd=Wil2;S-y{R^Lj5?J zk~l5zozg6-^8b{wvs$8GB-x}liUlyXSl8hSNPX#?7{<32$Z6)Wo`eYLx`N^FOQrR3 z>@pLxhF|jYU*@Q>&NX{-qzCD|4R-K0Dj5&}_ zuonuUM2E5$G-Ct!9X!y)F`gZwJ;{2G*BATEsD5{A6pGVG~kPgW*8El|-Ud*Us#*`wa8JCZonH~VGgUD~qc&Q%m^!2u#s zN+z*qVR8%Fc)0+8E(fVJXuwU)h^OR$>4Qdx4iBekru^y6%ibTfhrT!Cs7)~5@D;Q% zY7mw(8lPV7{N55; zN#ozJTNpcUHQHfK<#R`Bkd?qK+W0U~X3h^idjoR(V|@-D5@@im!!d&J$7SR0%-O)% zceyxPbzMSs54RIwqPq+&)XV}fuG9(D3N4k9pS8?@ExY<)c^{jbhEob$nh9oPY{|P| z;-XUBY&WDs?K85uGRw42qAPO_QbO0}%4bIlSJYc|jGmqxd4O25J zNoKN47euGg71XGx2coGdowbia-}(GPWYokcm#q~7uiT|)dx^oZtUCF^(nR-<#6~=E zVQC@hDm0vs%-ko3+K^q8p3oGdTBHSK{*kK>EJlSJG5{C6ed7tVl#Fc`?RgtcL@gP? z`Zd0tl5FCG$Rndi&2^@F>lQ=q)98*!XGd#-OD3dTXxrccci&mpz#IFP5cs%sQ}Dx>}lD!4(Bz7wO_2q2~bdsDEFm z#mudBgMoX~FAW1?=jI0S8A~3SLO3@FBpimylDBEyOZ4luR65(qWbId|PLwBfXpPk# zBbF-;*wbRg?t|C;gIza!9P3hTW2|-NWrE&s=N<8doI&K%$Aq1(4yi2Ev(8u*SJy%; z-caPaj=3(Jm}T2U!Y3)~ui+NwBq}Yb%F9#P{MN^TNi8F{-g>JX`Fuy=)~!~APR*H^ zrOVObd3K8hJH^yP5!tjwjcjcjhF@$e$QWxDrBA6=^!k<&*jnkSkC%^?OLwr1s!bLX z*Ba#~wLkN7R?{C3tEAvI(C5Dya4>0NppV1D{zen@9KBS%!c7avj zkYC_)bM`H=!qLkvFSEDf9JpxrVyRK;#C&Mj>yZ7+6fPkymrL7hM$x5{z7Ml%G7w|2O3L@dE75+u1e1AgalK`g0M ze6mXtuJr4^x^!9QGWkM*gC1OCB;RYn+G&|si4zgl@XL72y!>VnZ~udJ2$hRro|0A- zCR%$+t#k1M<0}Z)_NM5f$_)viiPNijur8yN1!$DFMG2KF+7|h8sQ+`91NF<{I4(Un zmWvLgWF66#F;oA&<827)R}xcY5($JQ_MM4bhpGGQ+}q1E!X_c0T)W49W1-la~KzU#5E&VrUb z)L1Lj?spfH6HIoT369Pcd(JYdHrI(wPi!XaKIZH+ULU{db$&y3x9(&sl9Wz{oJ;SJ zoXpW|zWDJhO+D=W9v_gSQfDUaa(e)4^$OOKS8jNT1rP!zMS{0_P?Yy=V?HI%Y=t7L zM8~v7q0jv4&u5s+Z=7g^36~?OTTJco#DJ%j2qw7cJI)P}D|T#ff*|ALSv<{->>_iBA&vtf$C|rx z1YNyA$pYKQKGz(1<|J+YB)GxVPFH>MFEwyWvX^55F;^^KUT*!+OzbObirqf96x3_O zh(xJf;?jdwC&SIgBV3!UU=rsz_x{Zu6^+C5Pk!c@?gj$1`y6(oi#7QHAR6fXr}P5- zr#;^GVYlm4=G4kIG#9><@23Ql8kG`D+aG%25{jAGa=4B1W1+6uv5o=PyZW&z!=VhQ z=F}xVv|@8;yEA-51@*v>0THa@D^53!z{3b4_U1t4R*W?pNNbNPt#5{FqDX8~1XEN$mv;?oieEBllc|1BNj2t#YAeiBXw9Mxt# z@bgmR{9U|lNiQ$j{FR>@crCXJzlk-jOy;F?K%V*5)wBATH3W zJ7~>(;L-^nR&TXU#K8cBZ{gU~&l(yZ@?JbZClWi8P;ah(=M}Q9>U>j~3A}9v@mFf4 zl_?fFDM>r*R;212aOOV@0~o*8Tq2%mH3<*B2JVY{Ac@p%FiNK?1U-R+AcEM(ys+;u zGT#Te4Zm>KiXtb*pwq;QbnE;}G3_(kwbT~#N2|2zMY$o94*}LH&>XDh5%U%z_GnXF z;!)FxE2?75m3EE2D=2mxV(fpQA1_l%J8bq&)wiA;+YWS|T+SV}x}|l$q{vs@8)b7R zUN&!uQ~$N|aqmNyA@jK~M)J^LQs9^Hqk+=&0EHd1Wa@W$s+j+z=A^rN%A5QH{r_M2 z^bNTnMp9sco--^*=JSJZW^C|Z3y13KhB%e`99(+bjXgnV1o`TVHF;~WDECuwUoGaT2(#s zrEHZMl?*T@w&^B=w&OHpaskGBkeN}sTr=?M@C#Ox5j_$+G1eC=1>t>>8ym?h<&h%D zyTje2rTRPxaiQ#IJl411G{pdDSVmrGrU~bF#*w znGJDUSg>P(DWwla)yK%g66G}WRNhjR?@CBZ@NXGkAW&}{INi4i0=X-!1=4w*5}m%k zz4;nGzHPAuoEQk$xbKKeAa7b-2Q8HvD|XsWE40*?*sLNhyQFZqwOoV(0Gb_O6ULkp z8R_}s4A_I*E`i(AI}P6cws26L>HxltVa9>Vg+lsEthW!|$mT*km$5qj7Az|+7g6jX zH#iI3CZKAubS`E?HX0UvYCyun>)PBE3{rftJ`fa4Qot%cI(n#=Cv7OSk2{jho%Vbf*P z@blz1>mZ7Z_hi*(YKDs4-+7C`GE;{}R(H$Zf=DT)3=MTBR6${)Vj-qb)cYvqS2Rou z(WBS7O z%A7Vvv(zYuE;h@h3>nU>HZs=_*tn;;Q_+{SCh;A`?!Al|G(KheM%c1&+~50xel0>R zIMRXsoFN-(2aCO7?yACZ`Xv;Vm(sjtuYKvv56jc9J6&6Zy5qE_?m_dci(9BCEm`(4 z92{l8w-g&7QPHW0OeLG9uRLspI%_fjKS{eeR}U-djlNq$MDMjj3vpZvesh2BMpl~U0I_ex#y(XNUHK4~Wd3WS<%{__Z8s8Xsqx`dX!rFg z%vKjc3ENJSYgJRCRl6^Zc&p>+y_-^b58G&C1g(33X>Q?n^E}pF);?wR#klKhq#J{d zqsCAdA?pUN-$#8sgIq;x6Pu>jJbSYilRqrzv`g#i+<_t|Su(N0_u@wh6Uyp*Q%dE# zy;!q&-c_l)2U;t}wlnWjnhXl!?QbuKPTXhDzbr(1>D0O`bt|g_@QXtn=7Nf!;Mwtj zuohlhMs&5rki5@kLvu-ZC;@P%@x*EBLg4kG8D!@hwMN<$boJ|nFZ}%8{?fJ;ISkV< zFWQj2JwL>}=H4eBWVH5%xS-LTCXm{206p&t&R3|mD)(ktV3x}uXSNf^IG0}jGvw&H za$PP7kI6H9)H0{0a1c6RA6~P!tps#gY`Oo#r(^h3lzJWVu+p?p%C3k<=RQPVfyPFI zIjnuYX*Kp8c(4%MyUoKN3?jaIUI285ooErHj%hof7h5N>uocLZODV2PD~;4?a8>6f z1D(%#qU;U{Kg)arozt%E(%}ypUkUQ*CP$b8Ct#&$wilTkNQxe%J&0v@+x+~uuWrsp zMI1)mcf+^{lhD8@Uu{A6e!xJ7->+wDXD&+)`D~GwR|AV&&~xOEm}69VzTXj1r+=M) z7!E0!w(4TEy%thKJH#o;9hm3xTk2%hK%uM;VXFV~j%_GKA_nYIKQXf4*5J6dqgXUG zb5PvEaO>an>1jiPu~N&wCZ4swSZyM}pXgxpycTW|?_9fwdeZQ8pwa@{$P;EukWCn% z`eiUid;e##gV`fvs%FmRrbGtwUaX~B5lm=+w&|wY9$Hzs&rcXP<>t}Uv>oG!q{0cN zoQN1-D%ne!v7;j{2P!>`u3uJX;AdyogR547I3o*PF>yJ zRNP!)B;hJ8yxoDYvPVrQ{%l2+W;iggl(rkHG0%_L7?_G>o$3icRGcr^!7K;8Z68vL zrg6C1QZIBcwoj8r{D!%v z%a+Vx(nJ38H+wgZVs-*LyNjpqCl_5N6f>Mj7}N^zTSw%hXv)ib0Vn+HqHNVsPjVM< zvnrhHyq(Xq>T}%GpkIw?_HC;j*fEMZL*_#Y@iZKcMUGV4F?+SOO>e@DrRKO(vsv=R zZM+6MH2G6cRgNe7fSTPGF+QD0*p$pIg7>9n%_xyNp&(((mLn@@+{K4Iu9} z-6yj*^OlqBG#I%fnQ7wBobQWj>_)8T_n6gGU6|wbMhMic&jC-)D^`eq)y?TjaXNme zzx)oupS6P*@`bpZxaK8+wQZjIHP((}+Dj$OR-U>&PUL^TJP_RG^FG3l7N^3S#W1C2R+ryaOYuoh!cRV9 zg-ixH`#And+Duy9sz-}mDKDW63aM`-ymm6*&^6LHD;BhAlrfgLSV3wn{8nd{bmFTx zstI?*P9maQ9pSK>bU13E6xosck889TII>hR?s|9yDxV&FqyB}bdS6lLsJLE56b`S+ zMiFsUyAaA4R>b-ue48uNM6P=qyBC1-ZD;9W4qWF^km>7@kC`Mui74)IZkj(Apb?pa%V|Pt&Yt2=z&&os5hRbLtX4e-*4yXV+(+jE z!6Hd(!4b3!3|vt70&N+uEf+MXc+@H`Ge3-0OY_`nz@t26{(LPSrs4FMZ&f)22SEdA zr8TW%aaEQ9aeZaYCvz8qoOKVju+xD{*GKw$x#mZDJC!R%oLil0Pkx=Jxy91OV!Dj~ zFP&ZB+#Xc3Jq-ygUQdbNIW^Nt|FcQlKK$yieqkD1UAXoWK9o176JL2}&VIFI_U~MU z_K`gIWa$U?_0ir3!LtEW?|f(mmHV=G{S0v&$JGz@Z|Q)K_e|h z_~y|mdY4=+ixfHilEUtVB(b3Ue^MWJk(iWH{>P5`Kl|$c+FegKd1?3JfNB`_T&`&GV7Ro6;dlSG2-ogPbHzu;d{q;5k-w(IH*T!a>*GTfHEM?Z+c<_@&UK(FgU?ma@Ea!5 z`zG691r(O5V;{)$;y^dCf?*c#l0#KrhwEjbgl})1+$?Krw~M>OE;A!RyqC;g>mP?f z!_1~IaFyFACV$W^`}=5qe?F=={CW2!^KYHh^z(26-J`533ZEiF;cpK+_6Hb!Gp z-$fG+m>pD4V#PExu?)VDUXtRH@U`lMV15@zpx^Iv-Nlkib;2*y^28oV(%be)7r59M zs&{OXgi{g$?Xg1I_rBZj+{y{>vP}#-z4x8!zjYdzu}o93-7k-ua&mBxZck#O+L5|U zRKYJZh4P%DO#k$7meS_p4-0PW7=0(bT)Qnwer5NrC~=*?biQ=h_M*q*ea;1HF~ z8*o@+oniJSa`}1fLP;)HOs61XS`w!OVHS30=$VL>*7vucMvj|1G>0E6KTk2s0-o%U zHSZOH#Xb+qkoczChSi-LnE0=U%S}66DQ0rtwabgi&GfRG%;EYQDD}~6gShO<&n_#6 z1n}3smS-Osi|kon^7Y@Rfz3u`+^$=880Rc(DsC{-T9=fj=x@7umFfK6jdfiSa+;%h z400V11Dq04h|HlHSs|=u`uL1}cewSjK`kKLu-)|#{2J>fY3FV&m9{->G$%S^eHXpG z?4g^_`%*#8sq6Jv@39V*N|VBH>@vpr8SJWR%EZwhR&5r;-qBgHXOC4G6{aO=stj5( z$Ltbov14|)JGaV8h(dI5hq;__KhN)6-X=g?AnZukZ21!x<{Tt(h zv2C0fn%OIJmvJ1;$|avRDYa9U?5E2|;T2ij%a!^E|4ZlAQ|zXZm_tg^*89)zcx#*u zcrLw6s3Ihs4hb@35R6>c-(v>-3NXhcVE?Q+WqdU*7t$qhQ8{-jXoS$pC$Ab)D_|)- zCG^AiDPft`v(Y1XQ*m4EqeMhoN7y7>?jmAi`2a%Az`c)<-o7d1V$r@cJM%&I9^L-q z^?GW&`{8bNo<7foN!;(MriH!h@yxsC=KkSC9x>eW}9@x2U98&i3X zis(LP6M%e@eZo~n7Nxp7E;_NMY3iKZzm}Ze&oYXC8q+i!tP6pEFDU2%96v%zc7v|G zn4>KUO&lFeU*U4=glnb+*eFdQOM`bm6W$=q2GP5f&V9_9@!VgBee$N_vMAPuU6L&(`U|@OpZ#ZyB8!} zg}i!G+*3S1JC<7Z^XobF8eCoTo_?F(=?5`^CiF#la@~wP8uRUb+qAiP*2#1cT!CMm z39%K8yLa_bjqYkZ8I5p3k@h>!cLn+bxt~fJAdzHr4aaTI5cTF}t^U$nvBbUN_*^R9 zP~7EGabOCGiJclxS!8n>)$h`M>5x|c_8(Iv=B9;OJLq=jnOMD^hx0s zfNeJq3+do=+sMzLmSrVcEEu18wF)6ZKY~-E^Ya@;ybxkmt(xqg=76H*1MYmn=JVn@ zLG<%kRH-SOgx;h!{yN#8KTJ7_Hk*`VnoovIDlvPvpoXZ_ph?M=uO+X-Jgz%gtFP1# zQ@T;x`faqOjnp|V!{d!)!7;gvnLe&y8H;ZrG(oLXE2;6EE9`X< z&+k+8{aSSxFX)+}y&5(bGjQj2)u+czn~Wj&$pscB0m@J3wsrBJzfO<^k~lx|pgT>* zEVt5MiI9*K5{w^*@77wnR`UD>yjR69me1@sjiZj7P+ij!s_?|!;#Ag#!@j^1n_T&x zXa;$}0hH3`?g0gBUsX)@;C@t5wLgI#B636X^MtI?L)Ga&6MBl#<%89o_D6mL&0HuF za?2Ux+76T}Vvy-ctb>lyn1(vcP*E0L0&nco||YqXYN4dV;R1ox#L}THwgl&Vt$erH_lh1* zOsP_dsYWF(uF8&tz0OSex5*R5naVdxlBx;I45CTUpH4u;2% z-%cfD4`Z6ChddKC*ik5(hpS&+f>iHTHzisfh)Oy#+$rhel4MdwWd_#rCPWWHY1@kO z%K~iA`bI^@fDu!67pU%_Jp`;@cRljCUzan#JW{f? zERa?`25w=WdC_MAt?XDpMT<+^OK2R!(rKHaS>~`ts8y@_-Pvs;b1jj-U}WrC)W(2q z=oTFZjwM&0zx+*k>Z(#Dm-Q#x)mGY?#tKh|BE(GCXRS&!fDuG9xHR_WP zL>8e-+?iz?CznAT;Uwx!j$PbQC*Z2~V#@Y;>y@>w8BS>jS07|Ew@d1kdV!%@pP(Dm zwQtBO37OG7_ElqtCb1WB^yT|_B0`KKE-P$6@NbOX+@TuJ+wp&UJuqrv%EVQ%jo)pT zZs7#d&2@YNH&{K;SzW6f#3}nfTn*byn&8D4zc^0~MA?1EXuaTM+(LO=e}lFRvh1+~ z3oYN#Fwz}ib^hB8{(t*7Jj31DR|0Nz;;kv-|SWu@{&px+X^KnmdDaXRjKiy=V;n7hSLS$hHK!%!h~P-(vppeKFe9esTKogIO`g@`NIDcCWxp!iZ8>I&3=$;>OUV`K(9 zccW=R2n$dVJOusyed5D_{)u;S3~>Ypr7KXHfk6$3nHU^^WH9HCKrtWJSDpGBQj63JSL5w}BK$At?Yl)HOaJ$TJKWwqPSc3V;?f lFmN$|+_^pavoT0S2#7(Jd-{dA2KhPqAk>0n0RR91|No(i!oUCk diff --git a/cpld/db/GR8RAM.cmp.kpt b/cpld/db/GR8RAM.cmp.kpt index 2f27ca1f1047fcb6050577e1872a474c008df897..960333230b7633012330f007eacf60e68d1858aa 100755 GIT binary patch literal 221 zcmV<303!da4*>uG0001ZoTZUbYr-%Th2Q%t4tZbOq#%2+g$>4VFmMXuG0001ZoTZUnYr;SfMc?x)F8kgjn-uAT2~;RWq2LG9$FL?7x}@2x zyBk69#~Zs)5fubq=gv8IV8)GhMYVwvEoJO;`L=xj3d>eAoPr%0D?61j9&(0lFO2K$ zdAjfeOs5#VzD%UUw~xTnFb+8;z5K0^qzU3t#FHqAd5RU8oh%7+Q8wUM&9J5oJ}T)3 zlH~y~ZfIZVPAX>$OAcy>(eE;KafLGp@QAXk}Dl9Gj z*4T}mjhEm)cCMzTEu&n@+Ud_{7xgO+E-EUnH%pd`m7S%o#>uwE+Z7UIRE;ktCa+6% z4X`?pkpBD-nD3#aL5RKp=AdH)K5HXLNC$*}#lZCIX!J3uNxpRWRtH~)4@cTxMR+fg zzt_4hYND`Thr*G`WwO}q51xNMZ+m}7-+ocQa{C>xH>N`G6>o5InKkum*UiD3&x(*= zd(i4_m3L|o#CTVKV0L~W%6}1le+eFcU+;fBPkwI?Qh(DIZF_%z;15p0<2PH0-en%y zJu2~?Jpl!#U-acHLaP^F3)GA?cK?jSVD^mg`6B(^uBccPN7wR~Z9?C_divjE-*w{Q zhE(e{E?Tk@b)Do`_P&#=jU_I&xw|bv+a&2iL$%tXn?yba2j?wa2-{;MaWO#ExY>Qn zm*Rte+n_kXap&@_!@d;SJl5epab~N$KIbiSSg{5K`gHQcxddM7d-9z<#T2lgxxjhI z4zNRE824dXy>#%K8+vlFLmuj2`(cefgN$EN3a|0Pa(?fK_oCx4Ys#~+hjER*1*`=E zV1j^WvvXd>g|FH&Z$_*5=I(!=F|Z?M4P_6qbE|OQ_@$iK?*FU4O}~zPnzW*`y?%7d zY-K|ST&tiE4YG6k1!3Unq64y6A0=X;eOvl)c8r4!$w3 z{zbTde)EN$HaeL!tN8kT(*282u3&M2ecuS2&41s6{Q_TD^O5lGcpZuW=q#9=_%+)n zrK{@&CwI24Xr3SIfr4GzS2xKKn`eo}nAvC2xNbgMBLc49y9|BP!s&SyeqMO2ys`q30o zDR{jC{_$rR;@xr@FG?fWxw<29@B=V# z+2AN_^rTOh3+jV3n&CWwRgW(e*eWe^DrF=C6w1u0m8%;-goV1370a>_H>h6|`?=FX zjb5~WL~z6l+Q+$el~3M;GmnM{H0j+jW{aZJEe(58_rj&n5MwJtviKw9gYw5M#1;X) z0UV zH9eeWbr~qS@IlG_u_UUiK4LFDkThoUU?s#yCacJroov;gSP|Im4FVfGc8?dhP5HZW zew3wxnv#9q<+^2-z_GlT4tm`Zh!K}RZBSYm+Wy@pmG3z&GX0wh=C2vuit6!|X7YD1 zBDNrwPA3$r2sl#q$UDp*egQj)GIKZhx5D=5GkLUHdQKquqb&AkmitA5NEjGmi$R8! zfTHPs`Al$l45aefm+4$qyfJ%(+&tlD;z~aF2G==4(IkwIE^kALO;cINIED>JOvBWADbmuFcSh_rx=h3`k zM^!@dFzNm9twbB%R&>6Mqu;o|2wPlIQAGR5;6rIPL~;Ye`Ci`-Z}RJoZ1yTscRY3) zxCG&@g8MKj%^DQ2+f;ywh+er9WBSW+Qz}c%hcIyR)~Ia#u~tdpIG266fxB*jCM=ie z6wr%3AGTJ_g)%f1eYl~&=Bxt`e!1cQu&;M+Tg}?&AH)oTPo$Kt_r#pd_Sh#Xu5V{{ zgm$m9n_urPIhJp@oA6F$GjIA%*q;VMkQD}UlCd!qauJ{#PpQq$w)HmQ{$#db^GX~v z<7q4-w=@aS`*RcUEj!AZh0HJZgQOa-{QYnoqhio?n5p5X+zw2PIu0D^XJEFf-&U&*kgHGU zs}TG9)2#)1zbmTdR~Yi?nr11(Uvk0z>Wpzt0o4&K<0?sWPVY>~YX$&6J_HyUBiG2_W+jWaHWShNt7!RZEARxC1vH(g@?-;r%zK1q zr(yW6TVqS#tz@8D)D<(ey!blrnSp0s)De6>$yE3E+~HC*5-F3iI?f`q@<;CqjuHIa zr9%W=rsX~QlUF*5m6x_LNhj06bD~Zjh{;-cQH4dJQY!c;O5or_L`Jmlo2kI@3xrG5 zqeFycwb3V+DEr|ls)0*lsDy|GwY*#`+Je;do!44^5sk%K-qf6-P_LB6Vxu4@6zzBf z@+p7Y{D$pNpO@Ljr$^-XhT@&?_Vtg$t(x0J${)=US5lc`V@eub*o6a5IvHjqTN_Z< zffp|S3>qAI$+0u&nf?x~_LuUY=emQZ9EJ1j7yGZvX_8#*wnqGEb4n8vB9Nt;-^Qo5 zMUX=~%ZcJYsbFh&it}j^BTCF!(G1q`i!b#5A$F{zb4r#{%_IMhxP2=ta7ZZ^AC2VQ zB}oRd6CajpC2V1!tiZ9>(4O`K*?{7G4R%eYIn;re2XH+|mn zcDt}Mpo#81RH@_?_A8R$iP}#AQ!Vjh+P(g1VjoE|)ua&3<@f|j!w;pw0jT;4JZXY< z+zBd_%q6}wxqF(&-5!!Ja}iA7R^Kaax*WMr=_GaROF~Yx6`aF4__nA6jbw#e5!g~= z&@yVfb$@dY=9QQKGxO9x|9*;i)PESV;@P$f6m$Ws5VEK-%zOOxqnvP${Z`u}rE6kR z!kzZu=XqV~K!4n?1XuDs&}5sn0j`K`zJV(v9dM4I&ncAwhgv##EFK$`^%$sHw*Nzd zQ!=}WYRSHp+zK4S-jv+>ac9@6`}*}O>}|A3{da`(VM*rNEWyO22b&kwb+wP7wmK=* z)BqXeCVEJjqf4q0@#&y$dw4pgjUR>W^RDWbJ?!(E6}rFib(-72ZA_fRTN%(`+WeV4 zBUiNc;mE_!_31gC?aIBd?V)trm!^+2sf(t}(T?8L`xJtWv^-oxi?Q5>U$5Y3%X15m zz?=)xQ`7?tt=0QsK@!0!tHYSFDfQ*4vxs0o87eQ=>5yIR_qzs=P7mO3`|$W77+|^* zu0!mlZeqE1PG0I`fF<5yFqhAB)kP+~^>Z66bp+-IZJ10ZdODtSjuWbN_l&q)Uod=k zA+|Ps9C`p=aO|@+d^P<@wlt5F^&`R-JqJiCOFY6Xzd_8K7Kk4`{@8-lZEg0B?qmo< zcQ31}?={P&2ye`Z0sO-iSo0Z%rL-NIGhfMv*o{x)wAPQq0?+Mn3o^2qkB5gSxxS-P z%r68R<6)m-<}rq8C~tOSySs*EbF?!Xcg82olFSnjOTQt=_~9+!`omx4tjLYX&n`yw zWm5+^OFQCkW3C8yWap;YN-c+2$|bc&=avue-oxp)cZH3%Qy}2BP%f{kzDr12%fHc% zoY#x-u6V0;IvvPng|$F}5tFlE)K!>Xw$h9i`pMD{phr}jV7*2>ubTzzjk0YPHg8th zoR;Y&!bHX|#|me&2qUOcM~(S^$|@_XU%=@Ww45r+*B<)soor z&bq*<@^97YJW85c5>(wOT{`EXm%;}FHIQ#ny=^2i5I(FR@{GA>3%BIiTAG*2SQw#T z=9!p!V}5)40N`up<=WDVCezYyMGGVDeM6w+d_A{@miy}Dk<#e)xqd63URPNIx>ZW= zV`OBD3v=>O2<|_7rRd>7qihgA5uhL(8K8V%F>12FNgPFBJD+&k>DfLqq%TG$JbLH* zuDig$(Pl<#UpM*BN#LNU`oA8qhc#^p0G~ zhf%Jld56wv zAUL9T#;AWX6WDz>sE5)G1u5VASze_NMp2e%pU@SYdyIv{tQ%>W@jK_1o0_;~*qA@} z-v5Q5t}`l3fy!%wgWn8NXS2CM z7!6kCE_{5f3~qmPUu?kHZ3~knZ`_C!yR>$=yS6ITSTA38zE&h_l&g%$W>c7$s7V*E zB;GAS?5*B+?_S<%azdwPci4M)4;nXWQ}&KqQCeDRHsfZem9?cw^p=|-U9)|TerOjY z>IZ-P%&gGLtEePWUv!!owM5t3EGJ`4zZT>LX>PO3+=rQZ(lLZ;6!$pys?_+I7GssU z24#o84yo>Jtku*6?mk~^PcO`TYZ$k7RNULUuOA$IO)M-_4?GMEJX9CA4>;%_uNdgJ ztEK6xX{bBBZ(Jn7#Ov><)~Re$SKr#yrcNYBPm~}PU5Gq47H40ql#C=*D>_6vS7?>A zRV`7_hO31@#!NC(@HB9ikIw0Ae(Fc@&`#&fL=uY}xG*3k!!UU}U&@$2PH%%BJ2*?0 znkLgs(Qwb%BI$&b2S`2kQe+g&gl8!M4Tq%N*}9f0^t7sbU-}3O{_&R>iZt#J)W*kOk_~$8O-DGcS={+O)$;q3M@8|4`7fz?>0Zu_wm8`;;fx*-O?|7y0yGnqK z9S<=?FKmy8pO=@9!fkNPORnP1WbI|A+Z|oHYpZk^u}iZ*37B>}hMg_X=@OVUXFoG9 z-`U&C7O=LOEFEq4a&z>!0gCBQ+OCw0#ZIKWm?c*H>9#y&euI^-Y^suGC6}76mB-r- z#@^dzIwf^oWU?X`ZV6hz)#9C9AiRp3SXtt!^&a@js%Rf1!rN{J{AC`+4H5Xn zvlvP~3rNa|Qrc4f-5JgpicB-Jz5Tu=DeA}KRHmU#^tuqNHw1p<@G=lQs-*xV zLlH6a5YaCx5ULTpL10-bL{lAS7>dF6aHaf-#0_qnk&AwgRRTM`!QQDn50W!o#}D+| z9=x%dle`_U?A0>LmV~vv&7-zK=RAkm8tQ#Q3v^cV z)5poi-zmunlLMECDU$*`AyZl^DiaPZOcMp+73Hb-p{I|LbWe9#2^GmXqy9yn6$SHz zNiwh*ZedEly^OWP_r*t^Gl@&PxGb+~#0IJMSm_s~=duT7p_vHldpj4LV44Ivz>84# zJBwK(=N19)>zXJmP%j)6B2Sl?8W7V?D$`e10KbzujkpW@z^N4)7K=9^_3fMrhAQ6r z^J)-NBSq3B+U;>dfeK+Z=LD9k03M8xOzw5EUDhAas%GdOxVz6=srI;sTA&%S2qP^P z#%dSHRlB?V(SuKH$$JTl%q&CH7IAxd@Uwgo)_Tr_(MOPXpnUA-+VrHTbHn{}lkQuf ze9Y-)85Mtd_>k66SA!`+|MWPIGv;tb!n@&+V7KYZV=GR_fq@sNIc|yp$Yb@*;iRfLU^q!nr|?!=UAN-A<6^ou2z>)5prs#ZZ~ z2?rXvH=o;MfF#RC`P1T(6L59gzXoP~YUf82i*XBfT_n*9r#m@Z4Ewc5n2xE2S_}Tv zBANFnhCZ^lNH3(EMu+u+n0&42pocMv4QMJ$zSYs+O(w!=e|W~cCS_(6n?Kwj6|x5l z|5^O(ptl?mZhR_n`i#p~Uii^?9b_+M3NCT3MiI15Q{$jXhi!!qRy9rV7~T*8!gg(% z8U{*N`LQsnL9(Va8cSR_zer+_4|u16AIKnoGo+y^ytExgzrNm{_8EpaFlbYurUhxU zH)}~bqO=;|gJ|uqU`T_FC=C;QpkN2=3j_9o-Wq`2Iz`3Q-Ho%=)=f=>22iI*mHzUvIgm*^U$9Sp%Z19S%$bA# z7@)G*!(aUz^jj1wT5$S9PC3^?cWu@Y5ea65snpmOfLuyWV3UitKV8Z0a&^}{hhFp= zF>{sw1j16IS5Dfz2kgr13dR3N>=`DQ_me=)x300VhFDwQysM_)V#xhnJf6ecEL90x zd$J-iQK?FQHYjAI1Vywt+IMPH43UglM^sQ(eD~U1qM4F_kY1!Z@ z5rK+UGi^`?N7q9B_iw6lU9Bqgi9?~0#%dFcBCE8H6tY3TBu=*6-(^laui?Z3+UExb zFO~-LEYpUXKVT2X90dTb`f@ErkP;ns_O?h;BtntW zcnd=uX_r7x!j&ao)(9?f!~y`x>t#%APGITMDt=5d?ae#zAu~^ma3&*H5r}b5NVyKm z2L+BRZ0YQxrG)N5B-l@p=@kAlRz;-=O>M7%!~>0sW3rwC^$M18jA%oB2`YBK5~c;q z4M6&IMiF8LA1DlDiwez|HIxjP3NGbgCBwm@4Acg=?a-4Y$d(O{*N6uO%iyS4Hw941 z?yi{Hjc~jqICw)TFlItREBk$&#^tN*l8RLndp}xSgz6KSJt3%z>N9b9%>>m#S1! z&|Hsv!rG@6cH5adkrp(}oM%$`0W}t;t;g2Ut4zrl!_QVNgy8i`dB5Pw6-m?IAUElAP=|fZ>iA+gu5`omk;mV&s z)VB&9k(x8MRzd%AmnRhK0C_#r&#MWVo*A)g*b7Q3k$2fWJ?cqk#>_n5wn&N!aG$pd zO-(Tf8pjB*8?^wxr}3=vx9CHr``a4!oR7A=G|&P4hgOqYP_4*7D=kU8h4BK$J{>pCqb zlU$#6+8}W-3E5z7j);lgDC13{%DH}#)pHt%o;zOXSpR{{Qb%ao6LJ5Z{(R2B^e@Gl zBFBO9?oHB>iv1zJ0A*95f_Is`Y;K_=W$_!=DhYZxTz-genL-Jvj-cZyt(E?`FhQlF zZ(*f60i*WnPb^4O!6y05b6-2XRy7OT26Iuu>Mk`QYbQt2*1-+1PL*SXeLF_9rovf7x?_wc6(0!;jw z-|p2q*+~SJIZ;|P9=AZ`mh7cf$=FcEYrSz4j3{>%36#i@)yToJxMPdz&qD7H+sBPv4ix@&CreY8zHX2$Vbf!BM>HvE2wP& zuy6-63?Y%S%(PyE#K)Q;x&y7&mlF~q{FLK!%$b^8{n{<_*FLXiFK{M`A*K0;3hq4Y zOIp`WeCyB?W@3ZL_A(ProGV|W2%BYBh}`*rhDK#t70Y<~T$5@ylrKu+9UkpX8klUP z$&KRfuDF)9tE19o6|#|@)|dKrEkgxams)q6HYHUEg4W)a*5uhz5@9fkRA!~(;np2c zJ zQ`?-Ue$)vt-~@k*CosU<^af(q0sP6gKTCJdFjU2s@|ft!T;YPJR71)?qk@UZ#`Pm6 zk@Lg{_gKHhy%q!IINR$7fUY^yZRi|3wr!G>%|f1lA^`~Cij!72ng9vsdiBUnsH4c? z!FpTVpB%hvmx(3E`19hqoeN;JZs%=I_x`@mA`8k4r*V1WYf@2@N|d9w5ZP)uJL-Ss*?}tmd z<{xYSQWTc%o}+JB-t>}vbygAd$VkHoirib~EM501r-|7oK0d&2ewKYqR%v!X!W{kk zl0A_Z!_Rufj#sE}^}O`AAf(Z{jnY=okj;Z|xNvFGI**y^V~+7^9tVxhQQsMekXd8_ zKSNF0X@gpL3x~WN`xr>RlG|0;UgK4rf!IC@l2S zX+#G?qS1Wr#?S@Ogiy!hz+kLHuG89Idbdbgh`O70X>Jybkv;LML#|pU>MsX=Ewp^g zvzTcIJ#%xOGDOp13begb!olV{dPq$j`H)~zi3wdBeNr|)o(3|QzE$VdNHHx8OOo$^ zF^WVppPle|J6cG?x&EbH!@ci(=RJPutP@~Z=!uNIyuT^iPvySi1xfV0r9`qIg{Mo% z*8Sh<1RW;{s1O_;y$ca|*||+;;J(9mf}g~jVe0jyae&|ZFoOPREzC~eElFeqfk6!U zy`kkaQH;4q>$!4qltJki&Lf`ij^?8oxxAMz7}hc@`jVHd$UAYNRJ=gZ z(w6qy=$Ya{6;t?}cO{?=+DMaAm`Pqc)Vghmi7Fa$g)}oF>M5EGsKZPq#4)3&3}6iw zx2mFT$jy;W!F?}myk?oM*=vY+AjQZwykmo2Kzt5mJ(V9zxeuv@>=aDk^I5w6(HBYj zamC#=P)q;$I_iA&Pd%{h&kx}75ed*{JJHd&E2C>cyWgSV6ZGZ!(4jHa*edp8=P_i7 zR~culhso*!NU^9v-TkPL z_chKPA9qX7_3_I4{rB=9pbmtp%+dk?dqT*L->Vpj4n@A?3PA{-8zc$nBS^)oJ$W5Ds7El~aNAw}SQ;%m~YkwG*f< zO)Vo+Z*E)1H|8lcaq-vjy<9-HOvhO3E_Ig~BccEl{4GFHm94dQ!n~#&L*(aA->U^^ z^YE}vB{miW`C>^jPSED*o-7$=FzjdIFM@#b5U!#)Szh#%oV&bb0WmK48_@f{ z#@}5Z#b-Hd#k>)br+NndpK%jk^{TVGn*locexMvg6lbUc1O~NnIx%((tL-oJ4B_gU zE`~lOxR41!F$m1i_h}H*-D-J{%IaDp66ET5=zY~g%*W9Zp7DH8)pQUx5>UM8($eL z@XutbX6AhHKrc||#XmNDRS*us%TP#PM^I{rT>=i{JK~(Ad|_WLPYoWIUQ1O4OdU7t zal;@wtHj^CTK*_ysA5>*4y!8_Ht!8FJ!z>Af`1im4BH7-SXMmW#L<+!nR?2!BT9r^ zvLm*S?&Q7jZ+5jt=W#Mb2C$e-)Dv~+3wwP?UY}`Gm~+lzmjv%P4O#8Yxu9SkQ zbNG9T${8uRb08JfcxgF2sujZ?(q2l@P688IV8?b`Ska9VmRnhG&f!Tc#MS-^v_qzZ zsV&Zcp`KLT%a-01%r=eIn@Azg1BdTDYCj%5YrIRV-h;~53iJrenJqi2a5G7h!8yhHlcgnJOc1lMj(@9*zW0_!Mo*{i-8>&u6k5G3sk8~Y+Cl4-dqKUbbtpi}PZ?go&bTD{LIoa2{ddA-72l#STXdO;n z*`eueKCS&e?L1!WU8GIDc=(>UE0Aj|0K*Id@k(`{kxl2!EN~!RH+m0WBn|z@gyuR8qovDZpi`O&zJn5 zT6dEVKHe|ban-XR)k;UHUG{^XcfNYOkxwfB?z4|xPsU!xIE%%%KT+C{b|g?_E8vsb z#^rE1vBKQF7n!Pdi>>)g>2oJ122C8TP52~08nH+E6i}XXHL(FC+D`qg5?s8>r8x~n z?!jkKS*|?#@*y_AYzzkOvl6~0xfi8GqB@ZV76bJQC|_wlA~xC=u`7mfjK)vMW3cpe zKu^XZcy#+0giT29shp0)P$5y&KgJa@qwib)=99u^xyu(@?rpGTH?~Ibzyh7g2Nf993|1)D`|N* zg^rD=Qzy<84&MRnQf7s!dg^Ts9)_t8g1_vNBr;&D7?7MZ>ka|6MynBKg(2D#$toXN z*CcNnR);3!*|DxNo4C*tWXW9BKlYp2-=oy{=SvOu#a;SA4DU>7w`MTPDx$g3l#DGQ zl`ee_(xYu8kT+o^76Bn7m3ZVr3A&3#;te6QL1IWH$~pQdUoH*YfwWpvYSC0OhblP7 z6Ez)r+fdkQcU03PmA&LcB>M7@KE>ho3fM7)vNl>8d3o{ATv$SytCN!-|xdg_X z&4ixsA}X6OM-Xa;B#M&{lM~NIkPYJI>xv0aTPJl3otuR0pejTl5sOk%W97#E zan~6h8e>c-8k=yvj8HEYiXjtD-fpNZL$a2qm%Mq%#ZV{n6;3gWCd-&E`(~!BH#-ua zPrf}|htl0yFFOR6EkWfBJ`)%+T+ZiH5un#%E-0iJdnL~ZSf+gJrG$z~qkwwg)Kt`) z9d5(I!w8o{c|(1glSfY=lOf$E@TpGu#Xbx=;k7T#Yv=$C#JRa$Oq@SUaAe zw8D}~X{t~=;1*v9vS^Y1BblKU!2S<=v{+M1YA91jr1S*^EHjOPxIid(nx-j4v{glN-J$$q#pb-3ev39FQzPDwwPlQB20lnXR=?l8}j| z)V1@xqELj4D+-f~rPmEt%ODTT0(v`OoPv}iS@;sxzNkmvh%G`U78^vjRDih$E0% zIae;1H!cW`GYtLfa_LZh37~lCzNyo5@ld6A&CI;6|9nfzi?lHfkH1(>CkZO!>h=r6mha`Fi22jCsSsaBy%k$65b^X zmv9M_jiM;b)xK_vLroc%QrplZK=l+tr&>F#i7tYOw*8acNv=7QzG8l(bq~|Z^Ql@t zbjQzZ(jxDwb~i*KVrHqxZJ}Va z-l&|c74Bb!$S_HB*_(x-J|KO-ak=YYd0>RuLSb|4>27S8%4?d6FCC>mAl#62dtimT zvB^X(l0x5D4NK4zsGp+E%gRs@lx=Mt$gO;Ov@HAu{L&^nkDP+eu_a0NWk5dR|mgF4C2H8?CoK*}F`PApm*{gLtm_*9VVOBgdgw|3?I*vAf%ofvr z_HmMR9CCrw%4HT8CPY`|j$AaAr{6#0AZ6Dd^1Y#C0OTA=rSo(GZji3BpG+*3ezQ6~ zIhD?`Un;JK5D#6!zB#9UQ^X<5n#fJSngfw*zh!Q}^`RTDHSBy+y;uWlch?co*}T?I zd766nmSf?{%-@-naG{87_SK67q|&o!Bnv@XAW@S`Nh~@4@{dyS+|df-^g{Co2bU5- zy6P^mBOD;M;5|CL@svi1`oI?Cej+0MuZXOM;tk`Z?Z~DK3O?KB|9;k&ZC<_nOTZ6+ zbMGWuljv%_jCD6>X6wj#;IL}$>r9duzoglwc_UQuQ5Yga=-fx8rpT?)A#=-_&%~9{ zw>snqnNFQ={zWTQ2=F*OYg@kr<+6XgL*O#3v}wF>10^N1f$6}JVkf%?QO>h?&|!)g zY_oU5vT}J5wytr7%Z$#$Y1Yu5)>>Kgz+~|a%ZN2VmJIgvwI*&`ykOp8ut&kYqC-2! zV?Na3vjRO|d;a8V)Vy9XuhU_z!xxdr{E#v=3t1;Y;a#j#QNF?Z$}({j5C0K^Plhku%Il3F6OB9@||kb zJtc)shdOg~R&x#6nRQ`gAp-j@|IKQVf``%9LK)z>qnudd6&dcU*ctAph&7;WsWH}a zaH_-0a^7?Odl9p#mcj2ud-iR&ShLFB;NCh1IFQEx39u_DekKp;S3r!Y6|c>T>DQqofdxgKiosXMy5Xo>1SZQMdFN-md}0lA@rwQJ3fS zm7=S`RUrh}6uDT#fhbE5bxsQAenOMDnH8_|NOe3YWI}@bH<+#C`V8tdcHV&XQ+mvT zWNp*3pg6iv&B*Otr4;1%tyjwj`k}@yesYEcl&}rJ0U0|lF*#Nj>RC$~Z1C3=q2Xz4 z3XB5q0IO_yeZ}NJjG``@ zOBU3k#gtA6eUt{QIbAdcWV*&YvzQL*%u+#;jIrlj+!L5J0o5*~+P6H#1=?8NP ze_T_V0yz&1kW$1juKM^Y*Nq<_BUp&5A3itO%E~u;UCYg=e{;1nj@qWyKXCmYp!W~Z zxR6+xIKRmKn5Z1Z2H9Q~`QrI#G)3RJ&gaD;jOg*nrAH%fxV$6zJrnYwi?ieE z%=%@01Evn+E2B3!7Je_OX_XzjO&l~rNt>pQ?;=dguVVtdx7_9Nb}PCoKB^1ba94u8 z0#fz>vXJ$uPeHWlY#i@po|_e(WM^zdXXe1CIz8U#qv^v1zA4k68(s}5 zMnoNfn5E_tE=Tbn$r=()D_xE3If!R=qWef-2Xw{$mpMOM{u}g}+gqS;pCAYU&Y=h} z;%M};HZRS9EMjB^SwqHrOX<{eD=SGX%Y}qFjrhPgKIE=094Aa#y3p9;3LQ(}{comp z?L5k#a9M2XE1L`{iUJo~dS#jNOAw97aZKiN`DD`@j+srCCzjwh%jdvWOTBiH3SV+L zv{cbmm{FsraouTUDy2ic82FN@$r-KI&qr~W5^^DP-#_7>&m-6do`bm`T`|j_QzS%W2Aak|1R!Qv9 z@D{HAWJ>Pu^=u~I>fY~t`a<%C4&P7gJL+n0$&#CEZ^6RL`t}3A+H%|XUWb1!lfVHI zBhnr{bYHa@BxFt=;=8?_POgfVN`5xtx&I`Es{YO=-u6BeJ;xEnggCu_?D?!Ff|Ex! z#GVHz%=59BXe{oQF8oZTnU5CFg1^jSqr)F+S=>E+&eO0>7^E*!@lczuqP^ z5ZaBj+!d}1?0o}6qP@-wPE5(R&5EDB@|b%+xK0z(#e9HlN5SXP&7{6?@pOIQg>piE z$CcXgdg;C-!Kxo!<dFaliS@CBDG_lf8<3S~y!e=rbi4$#jCOGX152 zBxGWm#F9$Hf3Pf=3hT<0zjXX*nbX#L6#h6rfT;0u-~W9~jj-eWd@q^v@w|`CHly0x z<3)~mS~!f(X0z_a9Gm&VM|fzJ`~`w!ddR(;pRyJOd@9Lhvo+d?x%XW{H&e*v&G|yq z^ocerXYYO+_&ERSne%a1{M``B3-8X

$--+9HZyYA)ObX-13=ROfqmX-B! z_x^y(Hj^qkEx60~Fn1dG8H&fQi`Ua8n&b7`1D;!J1)kHycOtI&+V^hua=f3I$^+kn z|9W&e=z1mo*~)Fscj=FvO2?a#iRZ<4F}^DL`0BY3qp5>WLyqU=Ybd4R_2#n_SbN@o zYAMR=C6-|s$agbt_|Iln{-2h3?D)CfO}P;e3eGBOUhAGgV5|tDLfg3^G{42Vr{kot^s{y>3Ra`jAm>&Aj~S&1 z0)1N7d6gDFMeV_rT;x5|j#kS!$XZp=y)CRjY|v2_Hw(v2LrYX2>wzii9$N+@;|BJ` z^c-1`LvnE>{B>^S#;cs_*+9Ik%r>ssFGNh-Q<$ z)`hX-;l%F1Yj{NQ1@+9NRH|?Z^#hH(NDn%?c8ixT(rvky?tp0g?Zlmxv3j`6z6)oj zKuT1=ColD8!BmQ0`G?jxN(+IS6y*_@I&YZ>2e!@R2SO;q+H%wsy>hW?yuS7FcFW8M zb=BAhwMhiUB^~j=I#TJIM73$;SfEHS0wQhlsRHXPsjB)^5yhJr){yB7O(yPF|DcIw zW7UfC(p2lAX{9TOutH#hainaDVF!=b(4Q5Dgr0{2b1#%hqBJ|Ew&sQ~Nt4LdZQN!p zg1}7OLJ>N@pZZUBzMwT@9gEI}0ZnUF9B%9eb$~?#Y`#CR&|O1lbFC>j?30Z;9f8j- zHi;DR=x&GCK(<}O&F!@yBYg^UudV@Lu8vp->X6WqezXFN_Wd^vz@|*6NULZ~3@^p3 zoY$-qgizgT)Fj4gUB^fXT+Jx1DXD;NmN`d~0lc7pO)bx&>yuTSaGE{03EJcZV#6{6 z^bfaks5Yk4cH?CfI|RkHy}dXoXfp~TXy>EJG1P~Cmj=gzm0)x*+RKQuK_|yZannc4 zQ=m!+rA?DVm?OU^5_SuB+U?yQ1)yp05&5d{CA$L$B+SO^{l2YSRv4 zM@bH7_ZauDpxD?JMJ@~Trk$igj@9`%>sdpN8g_U8axjA!x93rdG!iToN%@3L0ktj1 zjGHzeH92Y6^1u^#79JnL}eWbU^jnh2bU_G7t^>(K)pZgdAsn|q^X zB4eUvQl&?*2sfgf;nulW22#=MU&DHzE1*aVN-tW9W*^P`f&&2j{<;AwC2&a&%At(P zTIg**PfK*(0H#qi=r$b%YTJgJ?rR!NI|y z;y5AR1Glxg*(7L=+uh>dyl3;B+6yBPzyM4;*#LtQxxuJ6z-auzCi4Wu&jH{*?4GNb zfytBE)0eBRF&{EhBa2tENG>MLa8<~F5ZXh#J*FyW` zbf_ajMpj=Y!BW9hV;==C0B_6S9S@ry(}t;(SVpaw{zxJJ|U#oI`7x zIih1hG!a2KvwJjKJL#tgXX#oOVgPxhArzd3k8(wy?r$m8j!%fS6-U})34(Y>n&I)4 z=-nU>?c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*EbM0RR910034z00000 z0071U00000008|x00000004La?0svDWJz{bwXuP18<^eM_1f5mSkKN(&+b%rWmZ*p z)yQi*J1e_8cj`5n)!oxxOgSs-R&{!^GHdhEJ+-XYV6gvSOCV%nS;$x*|H1+!3_|>{ zEQ63h0`Y@~co=Lj1`7#4@pIS1_r>GJx%Wn9-m2=#p6<=7uFkk|Pn3v)CtmNp z_uhMdfWI%{Zw}9;OLHH>Pq}+^+-f%lt!_uvqT_CF@Rf*ttMYb*K=Qft$ME(=r2c*U z{a)(tck%u^_V2gx%RlDyoA15%z4-ZFzfkLR&IaH-iqkZzZsHZcn z@04VQ3}51Ze;9waYb&+V#vekcKWO0pZwJP&!S8x>*l4TG?x59-@S1rp{rXq1kjE#z z*5Si}x>9~k6;@XZ^Tmb2qAIlqQLmxO-5v_Ad2snbci2SMBa$tEmo#ohCWhSC<=|ebpU2jC!iyJs3Q0^diM53(jC`&{w66?QM13=nXmm zG&nBDNM6?|8l4l>9S)9%19i}9M}2kB>mK0?VhmFJEbOn(g5}!~4-A za6%pTx`(~Sk>+t1w6u_JVt8-|0oH2)9SC=2R z1`oknfE~3=SzkvP8UuCWD!&DG12Ng$?*ifR5E5d9;<99|sXD{+zB+1jh6j!2VAumM z_fA|nSn0r`qb^%skhhS7#t@YBTAw5DTm3<=wKp7ed;P0QZMUNaGVDbMkPyq`%c6*J z(KMk4!=9G8{bvrJU$gOtwv8oS`jvBp_Oanp0SL5#zn#tU6p{erk>A!#= zQl-_@AIHxQbOy!yc4K%L_4Sw3f0zC|BA{-la^k-f%ByWQPGk5nI%Gkd~IUxoi%dy~Jj4_XDySfUm2lamsN z8y<@72l1<0N2T33?xX9WRi?kD{-fRX{uD<;C=2r^5Y&a)s*mg9$j7Hz6i@LVbri36 z4^3?H|4Sc&(fipUjKg7n_o#8K=I47+V}G}AHOj8G;64R=eSZFNuQiA=Uk(nGF_+bR z(}pfPga@s`D1=CM7L1Ml(G$i93qM-`%_P?NhY`}lhCZycS5*C^1Jeg#GlY1sndBy_ zoyHKyEF7QwM(Qs;X8C0^R-xqIY7Jo2YOJ@aI~lC3Mq+gsIpT3SxDn7V7;JHOv;o_> zF~WYi#w=;5IUq%YyVD&g7}T)pI%ue^j%uj4HtM(akl-qX8V{NBb@(+s-tbtP=zw;J zG*IflGvvks<6&+ez;CJFkB(q$8xED~8~UsGFEDh2sE|d#@QuX4v0thZ$F*VRdX^6R z+-Z>S%or6lI}7IGfYl{zH_jRysiW9fs7d~}(HPWm+l^kM-HzIDPsA=7=KBNkTho72 zj~nn#wE7$Y@4v1vlH_<+J#0LR6h{Ap)-eaNy>1gq2xCmuc!Z%Mfv>7cM-Q9fkT5w) ztQdP@I6H(-ArT9c;VP+d4?QzE8=6T%J@N{IVJ(1xIC8wD9UnFHexn%2QS0gZbx*-3 zM^R9V`i6$P)YwifjUt2UENAIwU{Aom;45%(as>`r?bhdv4+~D2N@vg-oXCn?L*s)3 zF?vJYqR&S+1^A(QEjZoiDpG^TUDX*L?L}xcZMbRJyyzNoap;!ObdUsg2-39R|7yaY zCSd5ZgX2s~RNp!94qJ~RI1*2eBRU+ldx%*3$5FF&0Iwntf>PCJcROgKJ0e3v1l@!E z*1mRCj?9119bhzi=Dgv$f=VF8bXURYH?OH8Yfx&E`>+KUYOne5BvuEyP15F(W4OFw z?PbmjEaALJ&|g%CUoTP{jpjobPJJq;`o^{a@&7{u8Lj)jjMjY(uR(RR1sCO!lObpZ zXw%W=CX4UP%KvE)f72+$&P0>q2-x`H=*F1xS1}T}`q`uYp)mTc;A@cHde#U$MGow+ zz!@rNveMYWP6Hw$^Sm$phclBcQ%|#q92}t7Yo~%9{>6Sg-F^b&z)t|>CF&Qci;{hO z=IbmX$*mbb-VgjRZG+*v}7<(Jt9Bd^G|6a&@)76+k`z7s0(2a!I7D^hyJ85?kEc= zA5QXt5848qhcLZ%dwOkzj!pfil|8zLZ|B;GpPOLtO>zX>WUd)~n5dG>*IC5-L49Ux zZB{R{dNJ!MXdAv?RF{cyvYJ<)H+|XXt942*#?16=RXWYCUR<;TU&R|r zZL~VABX|{V!Y6>w@xxB;5)EZ(3+u8!)ksohO8g>%wdjy8oStIQ5o$F@59P@N+3o(k z(e7W1=nd;peK&y#A2JU(ZLs{3?;C8V9Gd|NCnIwW^iy<}CI=RYZejNFK3KN&Wb4O> z5}PWmkZOl(0b#I?vfk)rxI2+%v#l~RZY|?Ga)&p zkodllvk!3LOz0dx&d!mJtx3)GwBKh)XFNTn>`oG~nMln;XTFGg?&sx;1Ma^2& zXozM9~!qrU!s3^lKh|b`wV$-EIeb&j4k|~jKagM{d`}&9 z_xT;eV;8)No zcaq_Hx3RB}#4zUq!)dou-V$!dzldbU{l~qL3g^h;U|gQy%40KlXvCwa*W>vV`dkdS z`}6^cINX#+S!hR}J?a9OA2r%I7FhcS?co;ocnMFJIbGJz;F*&;`d3*BK6>*a$xBq! z(}~aoY2Ln!U}m>R=tL61*x7+3qYp!Q77rxhwxhME-8fO@hd9X19i<^^+{lah|1JEy zUp9-)=y+eKlUX&)szG?4X5w&eaWJZu#t)AaDC309UKfWp!+>eDNA0g8IG5H1r?a|(^s4ZBuJ$0*rdo0F_4EH^cKb|loDmulfK6pC+ zG=e;i+|qgnw_boybI8efT5n^Ya(4eJ$@n$==`XXFxw#n+W<20t`x%to4ZhclC4Hbj^WlV(H3*>L${Mn>Mn!Rym-cM?v>=go>WoVd!H0VkZK${T%n zl-sWc{(jLM%r?7DbatJn!d{ixb)uQ;L@&)@vXb8NZ$>k6Cn)w+Ejq#^|Gruq_H+|; zS8YY}C#|VnFZIUBu|x$*-4gD$@^F+WO|^Q4?pQ?+1y^MON7~ zUqC)v1OLc+ZgfO(<{xvp()ei-eaLO_u+=9*e~K_J9U-4BO{%W15ZWKHbcDqS>)0nk zTa0>|*isDnXqX`i>%>Mdg=Sq$Ipr*VnPxFYXPPRYtMN6SO^j>hl|J`%RMR3mV$|=$ z!o{?`zj)1q0C)7wSmp-z$SN>I&&~ZR{{9OCJTb&T;Rc63W9GgK@-x8S92KDX_NaxM zT4AHaFn=H4`KQz0y|tnC+TG?mCOs97e#TOO#P9ugf(0mGbcfi-P(YaTQSd9kaN#^4 znR9b&pXTaPm>WaGCVewtec0q(g$Khn4koV;JF0ZtQ-u|^u>8g{{uNbmp;*u;^ik$- znJaTuwW_W!&Esrxbq_ao;I<0`vVfn$;?;$#1$A@SYVWJ!Vo@zzzg8$-D=rikRef6( zRQ=QHezd15`z>CRVG?rdeGOaHiArrY+*Qf!2h3sV28@Ts>~xRk+tDN3*;p}mw~Yp8 z@>`9gR{O*x=le$KZBXyu&7B?Qo%g9#wl|7P3p<5{h4QkAC2hF9na0`%HqEy!_c++S z)xuI11I24VMoRnKi}+eBE~sk?$ki3KT2wD9YuB;oPPG6ncPj+Pe^(TU@!&)?qEXDT z%VZtkA=Xs8&^L_{X#qF|MzY1+S;B;7k*~c&!$hzZ;3W6nK<)F!w^tNyYU7asc-X`i zmaY|6P{GB56`Q3M{Y|&54KBtUH2UwDC<E$)gqc%XGDfp#9abgAVtN1<~bj?@N5z3B(rqcR`&t|(+ev{c$Zr$3d z?U=+w#Z@fkO*fNhzcoBE?_*Kl-PzhD%F0@tMST~I%(XFAhQ7<7^|?ruaLKm8nU8q3 z_Y!lcRq9)JYvl@fR;qz|PuQt=PkiOAjqU0>_+78w-Yo6htyOlbYX%<=cP}hnFRrc> zmR44midPHQ3oADkS8pucSXeGB7k#cFPD?fs)2-@eX?=Hly;QDjR5rC3RX3{NQQE0) zZ5mAJ8YQn-qenQZqlqgat=f`^WtiZ&!+^3QE0bCbt+lh?=wWL?Yhd!?c#*h$j6@~F zOkdr)S=xMa_g=YN-7@J}VT)H6@0m9VFT+$ow!1hl8p~~RQi~ItVa&hXol5!6X7#PR z6+@2}r&zdH)|-uXqtlFRla@5fFiksP-z{%J3_g8#v&_l?a(9m~7p$->Gh|L(^!ib5vl( ztJF|<_)>5$k}4T~1wh+d_bGyJLrdP>-d=yOdv9xfr*ylrs~hg^yX&Q$Et>&poh(H% z13IF&Yg?bLl+l9j?rh)P*pz+aDZB}+sRpm;(9;;|pPWCFBe6(>bum(TO-nipIEoD^fV}75e{+Xx3@uA z;7Yr3%=4FEHAV*a*WsrG&!s-#$zKnSJze_-fOgb&)ObhTvm>1ixP5yk=HpBFF(zMm z`>w{SuE0*_rNRELacfP|4h`K`YcNqWE-bvke^yPi%Hvkf4rvKy+{VpO;Dj(+tuhc+ zVP_C7FD-`fIkjE%S zEM8v;(QUzLbLu+D(7a?~q@>8Or~(Tal%o#K*1+0;zmKc7yN~R<`_4hzM+C*v8ivUH}9M~Gw;}TJHWAg(D=~GN9I0s z1H*=2u$t}#gf|-RwvL8JRNdXjPWOv0>|=o8HL1FFV~6kHAr2ypdh-~!Mm^x)ZVc=o zjo8?I@QV1&Xuu7lj^tcmuqMpZs^aCNqj%cylDeUEyZ%QuFFb8}oV! zIij(u@XXIE_#pP+yrBmQZbi-LPFMBpy>$k&?#Y~;!E9{Wc@ zjZ_{KkX0+@zR*7OI=-9vAL~BFrfwP$dnc-+Wl?nx)cV~Whye^>TQfd64Kz#jk^xZ% zLpZ!qYzwOkS+M$BfG>u@N$--SS3nm>Locr)2_6Am2t$*JwnYozg^9qvxIRSTOz^Ew zmhsR_(qbm1Oz1`YSQd3K-3n>&P?|Zb6l6R^X#pEOw9KOjqjUjG)+}0B_TCouvj=^{bmF6W1a?);3c1+f6{3_>~%ZcVP7@dQKNUQ&65OtGF?%&T0id7 zHF70fwAJAG=|faJMj1Pzr6es_27Gf2Wwd)UYPb8SNffZxJ5f#U(YEO{-)!SyYv&QH zVLi__=rrg7_FG}pr#f+!eigo!i_07M!9y}rusYrhYZq(*=|VAuvG@!OQ5E2@Hg81b zjQ0!}Mzhu!Vt*i9o9a#r_I|JV@I-CKG}6>FVp%3b41Nw7*2~JN6&uSghz{JFeqw`{ zit;vXkKZKMngVZO=DiU0CpM4@0ysYcX?5U5j>FyEd)uQ`} z<30@FTSE*au$_@L^{9ivU=35KI8K`+`W2ppv!lxZ8UNKX|kk&fNda z+fMV_cguz6+P>3Pv_5^<@JSDhXOk_r+<8p2+g>-5$poseEPxMhROBj8wPyWME&wJEA{fE7yvWmh{v{~2(0 zqhYrq`*|+Jffae`wCoMwicqD&0AOZc6e`&#_L_E-HQ&I&fWN5`%9sFVpbZz5r((}1%_9XGJ*u#I`#*!`o|8jp0` zsC~0yE|VK2(>cRsn6hc}VwwildGw-V3h00Y;47X4b%~dc;j5KR>q3%st=2gQtx?SB zk)gI7!|&Q5pl9wdXRXU_?is#0JUGDgxk;4BJMlt6w^>K<1z}p<&|}hZGkSGPkK@eg zO->)|Y_FNv)4|ayjdRm2c^;YpOGFd7CJ0Qt(2~22WdaQhStH%XIK=F$JB>$?`i`jA zjf)Ve#=vawHyIc@Wev(O>DtDixrat_qI5{f3Nfi)#LuQN<1=zH?i)>=xYUxp;}VVg zM$MK&sGuBGrM%TQq$aqQc)11uG)uiM7ejO`&S-d)vSxn2_Ri5D93T5pTPt}Jh4YmwpkP!cVS?&Jy% zq>M`P|w}%SkyZC)_J$#KU--j5D)9f+vAE@j|LyE}=e3 z7vL25J`uuK!x&!A4xEy>R|T??98#M#q`1W6IBK^#n0EjmoBm6@;<|VQ|gTM zPbs&$U`R)Ae|QWl4CCrP*IUgGkKx?`=hc<+`kQy_H9I6tNYKYU3Uk|wSz!%@8V%Hy zwaUHS@_MZkO35Z+GnXl$4S3OWWK0!}VXG2K&sj~IzPee(#QfG~#okAQFL)Ak(u{#9 z9JCIHyo&~s*>66?xIsheBcir>ye0a8I%?Xjt79HetWgi~obViWz^8rTAYw;b>bq-j z_Is^Iob5a8b%&jOgPLyNn+;g2@G5Da(E***%pd-VgC72~*W?)R1kn>KkUh^ChHoY- zb|HQ}BTn^Xujgm_n7Lg3=-=7y?5a+^zA=?ZE^;J+ za$~mW-PjbjM2j4oR?7BV_gZ7LzLn3H(o~hajbgl_H z7J#S3=^Rym*g6=XEQhcHptv@o-XTS?(d>2muz#&Pu;r{Z)}|aLCb>h=u;a77-j4wE za67vWWh7g)$>T^zOOnILRWC2N`G({h$9W-q9h@yU4ky`KT&Ci|lX$s; zh|)giiTnNe9ZV1naWtJV|6oT|J8(B}8o5^5n80kxx(3&<>Y&#>!uaX2!NqKoYY?TZ zzld+!&bJc}3v`miy2W&w&8;1En{9M^*nc>G6VnUh)6kQr-2fbWt#v!E4pbQpX?!A1 z(%4SN*~1ufT9rM4Cp2KJ43$f@+5_xy$mPFbPZg)DmrHAvyeZiU*p#=|u_SjrZ$e#t zM%-&#_tohVi*l}W9c122Ss|9%`qr(TWHMRXGEA*tya*+>=_rv9E^>oqW$=|{`5NHVNIfKHV% z4shJN8^iztPqOzxk?2vFvL<&1h0Irmsr#&jB4&+Yip%p@NyF$i{VKkhQ5n6y zSaN`N9nU|PIsfc-Piiz{dVwVX5QkPu>m1sE5a?g96E1w~+Ja$fY#y_UJ81RD5*C5f zN**wE`tU_ZyWN8W?NE*lIUQqf(0t^qu!JZjUf71fWBQA!&M@7N4&P4QOpq0k)NCfD zkGo|L?l&Bgf0Sln#n&=)Wi!7V^_U) zVk6d&fhNX@7V;mD2wtqWmd%&i;s{Pdu7=V3!88fl7q7i0mL^)+@}0_{jzKo;IG(Lw zZS}-JqK0GSxtMFiV7GOh;8Egb6)5dOFtiMJUW3EUTv(kz2#pc%7&-6sphS<49;g|1pxw~PAlh1gZS{gA< zO)@R}=GVS+>{+c}?Q>MHyVn}*+BTSpw<~5c@m7>bHt@t&Gxdu76b{A-EaET}V=aJ9 z5DTyP6iyo_5IKmTpe~g|L3|RyY+9E?A^Rysodx&+isL#;1o3d;O74}BF9LYfmQ;2D zc4b=9lRsvmWWg@sV$-*2V-ZU(l(h7-fsD>1y-?Dq7b{SHO5TSk+h(LQTPc}X;VA^# zbIXS~I!W;D>?w4mYLxRdb_>?Jf0WuN4l5NWK$*;{_uz(!R_P`yMNpgp$K7MtSFE_ zIrp{G^KH@TNfgUX_B!M}iMp}uIZy5RY3y6HU`!p@EdMH^OOMP>E(}?s9vn5a((StJ zD+8W*aqZ5vdv?M^vta3E=C{iK7jIDS;i0JM9bf0dLiEnoPpnNf`f`Ce&FN#lIM>VV zP;@RGw!3@q%qJ4ic3EE5;CfBt$Yrrb4&m@HYsZNMCYlI~SC_1s=bJYX$YhL~6|EXP zPSS2RI@a4m;d~n&wQg^p>+>TGruTS0$i#jPK60wSE`>Ls^qC|(EjtD>pI^j}>z81X zC%&-@HUeW{Swg!Qzz(|i%?FZ=se8SW#l(>8crk#wd+cMgtIXI#V&rSQh@A2|?0}NJ z6O)Gg^2?Z6D{glcTT0OYF}UJ`wrw!hR!*Y&8E++l@$n80BJXtZA08PdP`q@3OwO!{ zBas-z_wa*OjMupEpaCLJhL9p!sQUu!` zEk&iMh3ODXes5IkTb{uM!Ae1c2nr%aPyk#YgGul9ovO&ese=@Mt-?w1R}h&6xG)i} zl|HlZorc5ABQZD-927x8oQM?-Q!pUZ(4;({R)(ppKyks5Ok8dsPm0Ncoq|kD8)1e1 zm;%KG%Vbi#X!TW!;w(WafNVJ=FGq#X%HyJ>SX8=TY?xxfV*xt_9xqCY!>U4XUXUpm zJ6(#GB*jAQ3M#@B3jh(E0*{v^#iG#*D#8>Cb_>`Gq?jEvb%h{!RS+!dTm*%j3xY+> zTs*JQ6|th27fH43&&nOe^&*)LIDeML{QKxgh0ezAiW&nVy^Sn{n#XCZMmK5 zsdgkt5;{WAEW~3fG$%T`FiByW1^1^zGZzGRutv9DSw{#D*}Nq378Hqs2mrxmp+GK> z%^Y}is#^()7sI3HFvWuPB6xumYbJx@o!U*Z*fLo#ZX%Pz{JubvIe_lq*f&kC5eiYe zf?lDe!$b<$3#6C&yK}!H$Q4y9NC=ZFU`6l($t8QI>6Cl{`E8kH_0IWdSVd=aJ+MQG z34*Ri`oFmXN$C|x1_@o&JGH7`zHFk;=cW80kd%j5E{JuF^#Ok3MO7cg7kw|UEs zwzE-%Ic@yYDZJ=2-6@?Z(?s+@BKU0AJb8Vq{HCvXcfu6QF63JTg;qUd#WT}*;vn-f zdA8t*$vvAreD{fFU$hlEngN0rwh^3G!bibRS@O-m2dfxG^@oiwQRHlJRB28dKjqR> z>D6%9v@iU1VNnS6CY0X!Wq%Y?rd)Vk^=rwh7b-tn$thiU#+LtnD*gRLvv;R$rJB8{ zaG@8T{bpbH^HZ#o8=^xKk}o7e06g109(6uSpP1{qA*xvvUo`yefN7K{pEiEV4c`@C zx;5OchEy957vFN?w8b0+|CEX^X9KQ_f`)5fh=-CeU=D_dkE`7Mi3zz=6X!mY% zPnSNd^#o4@z_V@a+8)nM73$IpaTmdG>7VU(uWj9%$&t==;ot}tU+BeWyYgM}rL}h> zZ0&_>|JiQ&^zU@ar8<7_Q1q`)EWD72r`+MQi;R7wEXpZe`ergXHKpOMUraeU1Y1P# zIjH?xWn23!u;|c5DMe6d{WF$5QE8MoX)L*8MMo}ze`0QJGe^C7C*j~Kir!rmT1fnJ zLW!I~^PAE;&8Pc4(ey<*Md@vQ3kTq+syuD{l&fBger@eRQuIYhCyKrWIBhvc z!9SJqSFrG5fbItm>2e!OQ{BF(bfNm6gQj1Xi-(p)<%fk|#M+I86%qKbIBMv?X)TiJCQMAfTyfwo}k3Y8L3rKSP>NJpXr?Sx}BTg3OhQoX1GOiuG*KH4Q0yEz6@DQ|nwaTqztmu$F1c1dAo`ScMJ`s@C z0*)EGhaWt&*XPBaH_K?IUn=q}y<-0KEREZah#(&Bq1?u8+2+t@9Thls+H z?%Dy%4IOz&65J6@)dnp|PCZVEq_Cz*lH|;uDUl>bWm$$%@H_GH40>=eV}+Z-Ohrr( zr@R$MxpJ#6ShvCg7W_&w$?5MYF-aIyf?r9J+}gz{ktB>6!LTGrZb9LcNU|OPuTWT< zmLkfI?mq;D$GqDW@pyci(Y=C)k$puv>`veI&^|;y+}>QPT17%7cId8*^H4q{aff3i z1jFtqZx8K5WC3&E+(7`(MPx73u#j2Hb7mOtB7TC6nRw$xaD0jP25XjBwc;7!Lry9j zOISfcso<4V#jDHru7wQ-k1<^#&ZN+k2z4TwkC0G#y5bZXjV4Mc6yZX%M6osaDMOO9 z*NV4;ojqxD*)r%{PT zD^HrPY#+~7_|ncs9Y1(dtMc!N`$5$te{`oS+f>JT4X(&eTaxoSq(xRyEY_LpB7NLjxRix72%W_7fdH5^hF zFI=1^X;!{`QxZHQ9a9-!ELyGXsqi5xpo<+}xbrV`^yv`HPoWe-%eYl*+{I(<=C+Qn>-=LmE2P*dcX z(CAK21*-CFZpL$QOz88)Y%fTT$>TGp$1!)TDAk2iT!Lf9v=v8@PLVRpCQVs(ghIp4 z-zG6dvV_eYxTrugOdcybMaqON?sS@{N)G7b(>SL{l~DVOS+&n<^k9xwR9e3>Fx>AbY=TJ5+ZIE;_JoF zV3C$3sl>D09tjZ_2u+VJw|-31n03aH5V7KnuhXeVxAsKSmF@H_UD8UJ5?w-tFWE3# zzYSWtq>+%HF6#eN&qb0!Zs~<)mgw%1M9JC6X%Hop_mUXvC5e(#ZPOr1*y~GTgp(vn zTE){KO4#a7t7m0Ojv=N&l(5sCvKK_L@Aanzv(vkPx!cTakK4zm1zl)&TYcIfh&TRC zjVP@6(&V;4A22!&}bg_ax{@zH6P<~74%stuljA@iCLX_4g)SJiSQ2C`Pf9JieRa%yA=H%Z{If5)wS#J5*)Tk16wzCbgRGpDm=kX7?^FAqC&OXQz=Ejw$ zWY@U}6I%ZIh%sF0Gj0qwO~QnxcP2xYV{RNbO~NvEx3?88-r`-!*xQ0Bw$ZNtbY&I0H+xL5f(-Z>da5j>%`oQj>WDs=dbY_G>HV&-;Msh0Bl zd(NXS-5s#HnPvMuPnsJou+FALn$+ako{xlJb&u4TQ=&^~a%YDMsj_rEg{l;q+*zh+ zy4F79h6*I};(KJyAruy@u}&FtmT8(We?<6n1T4JKlh%~K&GMso+lhKdtxjXm>ULBu z>bLrXMyDC!1D@c@Hj*!Hd*t5Q&F(;z9yMC+#$MYdW%ihFn7^mi@0GC$1h07Vr6m;h z%cy%g%_$NUuCAOV(J6EK29U+66jg6NJZcRFYNyvaJjBk}YA4=Zn6IG3#En5u1`|$6 zJVq7hn!MABdW~N5;fdPp?u*)7?;f_AsvNc3eZySV(|Q>-e~6lodN!5{_wKC?b+g@V zzGLGkI@n(<(%ozI2E#^MC1cm`?#yp>+9ztgY+*lylrDqRrw=mwyF1t1XP@W=d?`12 zy%SY_*ub{t7#nHZZZzMC_I=!k5qE3YZYxo4Y=cHD9$m!N9{2%n#Ic-1`26kKO0Be^ zq2}~1t>S{ZQYf9p zYI(8wSk&ZBa)n2R+O{-gTsk66&WNQ!W27VwrhznB(y%dF3p=jj(y-Fxn1ojj zoF>7_!OSFBIl!0%D~;P< zV+Wi|C>(Gusi43o7g&z05Q1(bc5RLX)k-40%flS|3nX}GrMW#!rt%V-2}gmlXz3k( z<6zUf?nc3CdQSzM-Yzv3b9yVzSm5-QqOri~tx=v$~H^PnrWp&h3bFGtL zT}xuxQLv;I9$MEzbAX-#t7}6!u(}qM1Jt$o9H6en=Kys|H3z8EsX0KMO3eZ4H0n4| ze&a%bJk{l3^VFAv%~N4UjmZ@fqh!lKx=SO*l}ke=Ry2*pnOKxI4ianXgeQFhso6b zSl3+hz`FLD2i7&%Jg~0CPJ~UZ&K}FN)QbE`u(|mQyt(-c*xdXDY;OJnHaC9(n~T4c z(B%0TBUwh!P^TTaC^@_2W z)4lff$2pG5?br2IClaIPPV8qU#_j6nuA8$rV`V$MWbS494h%kii_ci$aNHsnAWU() zy#A&gZ2#*xANruy%e!wc?><=C-4woM>!c()jYmZt?|!0<=gz&5_MQy?%lF=U4`6s) z9yfL$6n8g;_p@LYO7JD>V%5BLhQl{Npms-JY4S5>neHG1x)-ECN*&La~4 zZA9*3dc*Ax{yOA-?)?5HkeJ7b;B_s6V*1od<2fqsUuD`7SX$WK6f+Js6S~$+nNG*H zID@z)nH+@Y%x3naa}fIAoH@Llse02;vpJljD*nL;ZD1Ki5p{cmsd7qvFVIFzPN7My z44t~?IBVBnJ08&K^h2;~$ff?hKsj6iT{9k&)hB;>M756K_~?!)$j(f+`=8_NtlWSd zKOe{aavVay_C3EBhkTr=YySUBanQpZ#oX~JzAMH83A31&;!uoa?iXSK{9^p|r>Vd7 zgD9K!KYhuC+_$+o9x!SipT}Gvt;gcv=jM7bW*_%cfw&)w>GN3b$9ed)AC2LBoY!+c z?#~6_z9o>aFPqQzoj|_TK-!-T#QncG&f~ij*PEyBF9rDgR|9bWBY^ME1j_bGfad=i zr}g>%i$L7Z#c>E&Iq)H$_DRPTrh~TMFW+x&IKT%n;lgPgq_8+0K2vCo2v;4#@LY1lsj40hrTC}ZwOzAe<50sZAO=b^u{Xz`o0jLC6w>~3FMJC-yaR+ zdnM+E53KuO9Qu_wO+@yDRveOhqW0=)W_K-~8Q;zBfYG?sosaDO2Ug!fP#{am2V zL-_K>g=8(1?=<2_*8};6XxhkXf@_j3i?>`N|h5Ra^JianO-|wak8oYZ?x&Ig{ z$G=A=9>Rn;4VQjGv^?p!?+=tMz$))<7eqcUaKD(72R{_BX*eer?;+^Ki~L{I(TzU# zwj6YOSn6=pedLxvaH_gm|AM=6M^C_Z`vY~*=ycsG3QjTabO+euVD4U1-2;OJn-5%e zef242C!6T)tq-sRqd#ah5yrz@?ls!ONWaa!fFFafm)vn-mQUDOsn-GJGmIhCu;1z& z>RIlGy)LNit1{=h4QdWo-3>mU%#FZr2GWy{mVo)O>Giba2nyWv<@h2bFnAIu$#wR3q-R?#G$WB&@3_pZK)y|`+HxPA;I6QWiPsL)vdghr(UqIDZ zdWlI$K?etajrod|ePh02HQ(s3T){W?>z&bGxl%9umFzZh*%=<~VJ{N|z2&&!1@KeK zPC&3>Z4BFk)^R&}*KK|3BN7j}!wUiLxAq4Qb<7-_=gmexYI8MFsTVa&G^d*Rrdn)P z{XuWo91MGI71BrXwcdC{kq>_7T7sHwMJ^3@R8`(>9Dyt)=`#y!@DUH2+Tt6wvN*rI zr1n|^OFY}_idwIXeqHciiRnRPL0Lw-QRnm9_4vAI!Ys+$7E`%h_xPCk;>g!TZrt(Y za^nKn!gq>_<(z+UL1ubgWXdAn5M|_hami=P_hs>($oEBj6#1@<&sLU|Xct$L*%Z=b z6#Vyb*%oEC1WeTDN~*90!D3P&-^ub(HOfh0SJwO3i|-coF~dZaUPOkqHGkze>$9*T z>$8|DqO8A^2&YS&;48JBDpE)g8}YL>cnC#OgOX*7k5?u7t!ylpSkg%P%1gHKQ7ns#OSo$F#>x`zT3uXFFRRxO ziHGY%Z~1N-1WMLXG6B@K$>FzBc)-Hcew)k#rx>FeRoGSxv9|-&q`P%bP7X2< z&`Ua@DZ|pL&xhQpCAhPyxwAm>aUE^UousK?_J>y5(NWYH^p(0&LXU_Gb7AAGUX6D( zeiM*;`viq2r0G6BrHV_I@1jfe<~JjY_`~DPI7Tk&I5DBX$R!i$|7WCKjF(JqmpUdh zvYk~D(ynz&LfScba?L#2nzDU61;{)v;RnT?f85%SRK0cBX|$6}DqdZ1n@968iBeU- zox16TUcVI^!?ZpMF!bWpv`k_ilh_zTa`o%~jT2Lr3)*6|>mp4;md-pZn*;ER{ycLy z*;zEXTdDJ|m86a5*n#VHu$p*3B|A%QziU3Wu%0dV{ZNaMw%bO?K8$?U1j?@p(PC!H z^qX<5=~!DRUwj>Fn~5vE+09n4?ZgW@dFNYbx@uqzC93E8)n(UcPhCA;R7CZ7LPYf> zA#FkU-8>9s%E8ES?cMt<2x;59mu^Pd_MU{a?cGCa=30qEBKsuAL2De>bLjI=!TbsgrR zj?I)w$7b5CjY#na$(onQz zW8d-r0RRC1|9AmZlDkdO+VGb9uzlbQMcf6ir+pgvpt3}!1BmDt3gIyI>2y2kK+oF|gZ-sS_in-mIa6sf9G zRrq_vRB4@9_DHfy6lU@A{`29jjmgRc+2=_ z)>2_hUI}ro_xSbmf_cl1xm?q>DyB9>6a3-R*P~-}8+OV?Mg7VcEa7wc{(0Aj4CcW* zWzzO&Jrf+;94i*bvga`LN;GD!%c T$>sYXg2?cjikkib00960;M_5l diff --git a/cpld/db/GR8RAM.cmp0.ddb b/cpld/db/GR8RAM.cmp0.ddb index f7d8629fb8585a479b3590243ba951c2463059d3..411b0b68831ee91724aa94968e560e552c067f8c 100755 GIT binary patch literal 103145 zcmV)CK*GNf000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DjK3IG5A0023V0RR91 z003(R00000008Nd0RR91004La#Jy#B6j}2J+6^JNFCJW{1F6B?-C=QeSbTBc1W7`G z;O_3O!QI{6-CYCptzVs-nMwBD|NU@3+$V|Dc^jPZ@lGmGC4nyZxmEfh%VoM zm+w8LP@^br9}85;uD(&wH}ZWFp`gA|XT^iUie?~8)QCzOif2y^DE@y6wHQK8U86Wx zQmF6MXGKkY^Fgo@3YLN@J;al5;>-DqT=P}Vp88jG-pluKlJ0imC{%Oxki1jcvIA3%lAtE zN_$D=n-@Yy;i?gpt(A>DJxA$BNu(&KYt-n7@jeuBL_z(W% z#rhVZjq@j1|L_-b1oGL=j*rnljitJEuTb50x zYPoNDHxRkKA@^^Xq$e2oI;jseOcx^9a25s{#%X-$58|P@hf|jI3fzv7en2e^F?M;D z!`8%`+fIvd{JlW@KJ>Yo88GsEZb!urG!o){SgAjO?G0bN5RDnoO1;x@y(7N%%!xd# zIfAb!TZ3~#u%7&v9sl)E3M{^z;A@w)Ny@>IhyUc0(J(W8pE*M~4`pAA55abM zGt(<^@&s>*VFY}HVCB9uVtt(czBZEvz5GR6e$TWpnjBYR8Uf~BVCUSol*pTsXg_~(2{q_JIsl>qU#|0!6g_Q^=t zF2O3ZHI!5S&#~7-CI_n}5^F!$@x@zi!K3fN`Yu1;HQyS7*uilh;JM77eWd)m#j%hxeS0DxOW;Q^wVt4palON2! zPT0E&m3QpZuDF^*)ffZL2m2PJdf}-kLE#KmTCI%+Q1>>lHlS~1D(~HfeEykh-S`xd znXWL4_E;F`@aTDTb7>MMc)rLCOJ<76BAA5ipp(bqV*VDBRLNrx%WdeEH`j?~jQ%4GGE}hF| z8JV^nvc8~S{aZ@sf3YZ{^P52Y8)nlwztVD*BTSE-5QsyN6~7#7L3aw|p*aJuSd+C$ z1^{t5w6<@+4ayOm%n5f$p;?1S>PT>_Bt4(CBr29AuHwW2JS@e`gm^GYddaUwmfP1nVmr&+5C!Agd+V1>$zq^^GJ zgtdd3wF|MBZeQ3XXPa!a=Py->T)qanc5@!e9J8XJU%yt0wSj!S_*!CaS&SrzB(1e& z(FZz4>#-|yM`nl?Ci+&QZt1d9wXluWIt{+|g<0d2>u&@n+)T-SeV`AOqWf0S;Fgg4 zAXuxjC$_Uh)|^smj%v=a6l4^F7EU}ZPM?cJ>DS~kIdxu@$uHkP<%+#D=VnZn$qVU8 zyW*FliyasA*g&-NOYbng0p0mV6m+tSC0&x3nIqJ=1P1b#9Z0?Utfi$V-&sdA_FU-v z>u)|iy!e%Vc1|Ol*VLQ~1vwq7SCU5d4UX)oZt#b}1^t$;R`I=6b6x>laUyaDQQz+s z9V4_mG=Z;e31^dx%~*)C*G^3(YR2((r`&JM^aC#zzSg*e&IalIgf*8tYy#&__~W2L z#V9P+f3o&ucR|078x(6vw5KM8vHpPBv{LKlSn8OsS*y#OnI+(^cISrlsic!yUHWXD z&{`9nOP&hL_={3MOfRg}&|13%#QQj1e3hF{SW$u~1=QvbyY!x4(64v=a-!52nzcCa z;i-^Lvj(j#)~wBf$TbiPT5F{%NB2FcS!=|CnH#_IHIrw?cNTo0T(OpBZ5h*5@qcbt z8S0v6!had`T91N$6H*iva6-)*7&o5tU#|GBQ6bpTMUTA;?c6f{%U&_K8kI}pOMS*R zaL2bVM1GDqT=%Rt{qtf56)YX@29ITh*{$I0%|3_IxxAL-^HiGi)I7AgfPKO~ceen| zSrA33>?el(5*Lq}ThQ;)Ic4v?+7;)(8r#6AR_e@&V;u#wQvU*^3`dDs?OruXP|!hZ zJ2$oZ>mvCVx{3wJObrtHQ>ELRsC3PqZq6Au;wZkjFJ(Qwl?n`s<~v8LMFS0^>+@f< zYq~=O^U;D$gDZB1QfqKN&1FR~$zyPSnOUYezx1A4=@h>@}&?%_ur| z3$}fBLBAUX$_i_nw9d~)=dnj|IdU~7C{%}Zg~<)2_T`StRj6JCcC^-Oox6#D>OO%M zf7wP4la8|j^w+B)({uE6FJ=#?ya~5Zp1g^ymRhj7@beT%nxC6wq>>@s>BIA{)-(;) zR1D*8*KlgvKZnRIO%y;SVUptP%|?v*1!&Ev(8y6zh%Z4_=6Q)DvtREs%GVBRxkE7a zE=*3eueCpv)v;BN9U7ZC2eL8vlOHYei$>GZ_Oe{w(u+%tiqOlu11WC2RdnpYF)Ki0 zkA>XBz~8$mJ7|CE*ur^M?Mys@^|$|l*(NrsPTg5^7|2y5@rOFLPcP_~E{n2QS?x?K zLH8b%>dE}OmDpMzB34W=(KL#Jfy{|>)5Ee(KU|wg6L%ox9`+>u#HWR0 zvldL~zGrN+&8gz_VM#g~cUpG zePsp)J-kFo>!}vHkY-H;lRt8_$xDytL}{U?yDT<(PC>tma};Z%HESy%az2iBSFu3H zRZow-7p!H*giCi^WkwXUDgz0}J}+&t2``${zVwedPDx5lpQC0Frj#OIatq|`M^ z|IXX`LxTxj?m{MET&S0q29D_}tz=Q{ssBKz=4j_~du5|wpD0tsK5P;@1El+q*Ye9! zTSW<`fYM4{2Iu#1(mGH5yN#o^*7-i}dd#yh`sJb}DMQQjG-ie2>uM!SaXPhgBQt%< zWjG)Hp{hZiNIDnUE{`unm4f~=fGdwL=y$K03WJiGH9?eutzxBP@gTE$r|v` z`b#HlZx+F>jq;u#;VbI4%|~XEr?gtuqRD)OQ$XRyl9iDbN?7vA$!fGTh@k+VRib@fhMPf^CMgY!ZS1Y7+6mRt>&z@l{Q_A=`7r!i?x&2 zGzEJyOmdtnrQP7wC^{JJsbGh&Jc7+jy#dBR)Y&8yMoqfJat8b!V^!2abO0Y7Fau`b zq3IOOI7mkROI8nEfnTx3%$+QUeR;_y8ZauR)0!1(?dP#&(K06>VIa}B@=|(x>y3x`m!no`t(ISWox!OjzzFa;Nhd4Db~>}qwT5c7>|uSH z{o(bUL)VkDNDNsLk)jHX1n{snH>cx_xy$5uj^cYStOP&zH?y^#4Eq5J8ImRY& zc}sG~3M&Qdh=bQtc{vl!;ONwAXm#B;bZGh=HyT(ma;2A&wkD7$pZjzG@ak8UIk=VC^jj7+@y?SdZO%>V3;*;vyKQ zD8t;15NuceBK5A2N0iEgspACv2>q8?ecnaN-9mx2!PGVRk&#dByG*mfqkv1opw$pb zKEao1%UuOVsuaxqJm)Yr!yRh-U8I1L3anjC5wFVF=HacEX~&l+#Lqx$jlfFRHkYZ# z83q2t--=1Dg3dsgU+1+9ez7e-&H7KsT^*`R`(zBoZPSsW`Bb)c>cI=Lqu4{iXq;wE zD0A?1n$oU?m6#hX@$;3?{(E5Os~b!cb1Qc^&KX?%{2z33nUU@_@qCjnTRz$yI-|_%aRdm)x1|&0On=c84jbGG7qIP1a8*-&qeRZb(z>lwKL^hGbk7QL@~3D77x9 z#`a}LmX*QGUd-ASIGo1*@VtU=@((RpZ{8b^`Xhe6B>mx3s5sA^1_Gp~|*+5`JCuGT5H z)anFQU#)Yw3Dp|xk9j_xR_~}or^X((cv(#yA<+3bb{_L^v|SXZR%~a2z@ye@T}!&6 zJ{E%x`@Y&k7o*2>j+{Hi`bR6ZF}(0Ivi7-Y3n_HsReCj~sr5svWhuNc432#7=TO?V zQ7N?_8%oqN85-#b5}LLB56)XdBRDe#gRVb&i+MEBPU=RnofAususUhIR05BCptXE& z0;y%v{gmfYXDgise`k@+4ou6=*EN*pzBxzh?W)!aW=(u;9k}`kgNFJJrp@V8d?TvF z*EA1?(e%xg7Z$TCmTl2U@Nru)h%B*D&en9d9HR?0t#${%&G@V&ZalZ93vR z2V&u}?=Z@oLMb&hBZ;T}oAa_OPg_;BLEYfgkI&H)Ht36*rL6W^FgJKncy%g%cRKZM z&G(7)*u{`e<(itq=F^yFD&4bdD(@eGPkaZC@8_(aPw6_lYsoKMPztfT9C9z8GLMp{ zRNy!qW1^Nj=roH1TeF4;J+8<81yhSx{~j1jV;3p83+V{W%EawGAg;0NRV-i~Wo*gF z+%H9No;`VgioQujDBndyazob0HI$bfxzmL3X~tio>;)9qrM0}wggF|Ct4rrgc`&8a z)0p)1LE<{s=`z({qQKhCjp4u+lQ{caq8OF}GcUGWG6dMg*g4FG6E4$??F#&kB^7Vs zn@|D9SS;q~5Tua#R!q*wl9~9Pq`y&?m2M8KyNdd6jV6M@2%?!Xh~36eOWqSJ$?mSe z>}rbhJqZ69%(?w4-zv(uRDoymkTN!}^#m9rK|*K6_J5bK7+Z}!4la9$1u=#k??N3H z1xOGrVgHb{7aOc?hP`zq3YFh|xhwxX74xu!5ivkyAR}|Kc zf-7F?<+}qpuT#a<@tple23YGfaUmh6Y$GSprB8+^l_!6Sczmd38*^?R$UK>z2B^$* zR}=q;)f%3i`P-U!JfHDaP3`Ay;@6ALq6~2rYcR=gkUs>v4-sSK_s*if>npI!plP9z zop79WiDuEnx2n@@%hyZ7e$8a;HQd&?W|HaF$G2R|j$u$s5?;W!|LilK(pRWMvvaXh zwX5c2;^rb`uap6OXg z8dtD(YkLPkWG4c6Wy$u`D}$PNH0Eq4-f{)j=!?{&v6s)hV)fEm!_6&o6mEOWKe3I5 zo{#AaTsg{`s=@tuSi2JZJX*|oR4DybO4NF-wV212GFOI0FfTBFhF_M=ly**1@ikak zjn8GVqrj7xHOa^oFv?V1t>r#BW~UX0aRj>*MBd9J%mtkn(|c~IZu~jY z+N#xZfuZIrgn!%;Ln&|hFsWlPSQN1sPwxHgj2!;voi|i8}Jt`_n?0d zh{TcC!QNtYE!d&hEv*h(Yr7z!+)7S)Ya$sR9!dBI^L>9&OFfAEnd95#=gUurT4}W_ zf-`$E1S>vyJWZtMf~^@hc&Utc-9Yg>qAeh6`7;4;;(R4?*N3BYgd^)9D$^juN~G=0 z_bdX8qd0y19ZK=dt5hh_ax0&%L>$_NDU-66X*bZetx3e*2!A2K|MQFrr@QCZ)A2b9 z3^PiBzRcKm31-bW^>sZJU8%r=#FrR(z|~3Q-7*K)Q}yWzTuFP&3pjFT1e)f2_LZ&f zMUCW0h*LnKdguyzKK&B4tG?2@uk~`4vCS}$aC(Q*!h&~cN{Ok~ARR=V!3F1h+sJTZ z3Kbb1Lj-fN#&?JflPe4N(ScLwS|=e8wV7)AuCl_ zO9i?6p+nHbOYhEaEoI`89fX9OavdB%J^HBt&ZbS`25Zj$$hFiuroAO5M8?{zaVWte zYIZDPWN$eL^x-(=O|yvRpHkp$9N&_W3&0xu=zzbWiT?~7V5QSB_J|$cj1IXE{+dKR zbKZ*_-#%E8_=PC0l64r_Pwons??EfMF{(Or-!kORPsduV^Sw;hT#NYLe|aSBpI?!t z=S^*KO;yJ#Hk~~RuJvRO2ImFlCLa_TmjR zeUJi&#g8z_ZU)=GxU!g&PR^#?#T2+Y-#18xwY^6m;SFN-V?6S!{&<||+!g-3U}~7Z z!+9uAaAL0hFEcZ!rqn1nyVm(M|L2x#(Xtm(IqpFi=T?0r-S?XX+TJ^; zW#@$PbpCJEuf^ADNzc{Q#+`UG{i2)Hp$+Hpd-e;=*}37TK)NBy3Im%IW1+Yt33=K$x^)blJO&(lk=JWi2aJ zs-QrQA;H8i5=K8l6u5>STfl-oc)(VecW@Z@$oM1^({Tm`{twzZPsC z_Fu7gD%YxRoCh1I;qniZ@^y%Nk-8J>+%5pM%xpe|0+;QlQ-dy8ya!Sp8=*dIZZwnj zI7n%?f2Jb-rLAAuBoo=xW?KHso_S;l%{rqd*cJet?8t#2V2!+%X-i`8d8o-4|Fedt&$~@_t>3;_W$Z?bW$OU4-+pJQt~p zPi9H?nsMVdWSYoYFuqm1K$jM060mE*bO~hY%KRBYWiHatEDHOmPCd<#&-vjpeccg)9lhKMX-I0ZDe8aNL2sWm@;`{m(uo@_0&@ce@|@m z4)0*lm00Gv2)U0&yGS*?6l*(mp~?lpwqb0omN=^dtV~>}WEPu=DHzq^YqvLzr&ASF zp>oN+0W$G!Eq}v%<^gQLi)IZ-V7Z+%17wiHh9pH(a`o*PId zDjv?n!{HM{PIslxFH}}cz|4pv|76bX>tJ7xJ@(vs>wzBoJ4~GtReE){!&EH6Z#s5z zl9fO&YJ6Z3%u`}W z3zVdGd}o%mjjVsPV3(oKT$u44>NSLtT-;8lP6S#3Y!VUdI#yzSLbJxzDHJwUMJNlZ zrsqdtdD-pK$Cyexb}H};&L)yHd%#l{K};?82g~2;uE(Cu5Sv$54P#S`nRG7eA$r&F zjCGmEmX<0va&-!Wn#xk!s>u3cja^ z&t#p;oaiB}k%%uboe3{cwe3lrkb9Ko?H`QY0G;sk`5{!U-!<|+G1MY0H{a3?Fz&NE z7>^pwq3k1uoJIrE5hPPFp zQnH1yo!+kxSxa;UZU$Fu2WS72_R)gp$wjHIv0t$EjiGS3o|X4e`Y#F$ClFuen!`6U z`7h(5_kL=dTTLAR63({+u`~w1{%9Y)%BH~Oxj7JT$p$Cg0kGGavs8emYoE@{vo`3Y z*^!4ae*!!(+9ujQUxk6oB$-&L_Be)`?|e5=>kSI5Y{=g(hf;^)>J(}DmgOo1Mu8E| z<;K1|+w!o-MjF&!fm5>)#GpG+EzhuYF4i_)+-h-`q>g^r39+AxnQ?#jYRaAU2W>2L z%X+C3`U>QhF|b&`{PR?%c1q`Xqm|W(1(P+8yKFkeXT!N66lePr$;iR%U80srsHRhK z&EZeysa5BcPOp{i-Osj+#gwEIoGJYIaPmsKlMbD(Y&B)#5=7YVWlU#mqsg{r(_hHin)vXu&#dRjm64Cny>8Lzv8kOWYx`KdE*=%!`A!}RKR$u7OSz0J2}(hdlBjw3*svv{3X77UOBlU zj=6z!9tRlFYy|9=LlaY+GipDRi#1+>GVJkCu_st}bH-Fe=p-afPp}L7G)I8RtFe*6 z+F(W)=oAHOp4fc3QVSm6G6q39Pq2%4NwCJ7v2D{6>-cV7(Pgki&Aw;$Od=TF^h*)<>nY^*ZtSRi%Q0iDTCbyf6 zpdUQNE`=4@KFwa@q$nGha+vMO!EBnt?(vm zgq9l>&P9@-(vJ0>Qz~RnKeT)esm-cF2e!lzJR^dbDaXa ztMvlhg$Es2$&Ed}kkL*&6SyUOEoa zAz^O-_U`Lfsrl#>&V_OM$W{zV*jEq%wQ(x=&p3MZNmbx}x{hsyL8q~f&8aI7(6A;c zoRRkR^hUx?$s^e9R`sPgm5z(A9bs(g*Vr96X(IeJYlSuqwOVPx5KU$ZFFprUpF*!f z)eRAhD927DrO_DSt=|;N`L_ax!@`k|qH`wGhzDvOnqH4R2=OD=>9K#l+hJ|xu|@7c z#E*bavNK&Ol2KgIwbqjcy#to=%*jRflbuM-py7bRUO`|UfLF%owVy_1RA3|zX%5zc z>Dhd;kH6bX&zdXZflN+9P==M0o^bv7+|S~NeAMw1-E%Osw$NNiv9^cMoiyjIFFN;l zas1c=;HfjO9HdxHV>+iy`)K{Co1*mKJQUa!UVfxb>C!pt#%t-`=W!pb^#b;gyQAr) zpR#uo9R{V)aV3J;^w{Gothf9%@qOsH-XX8WSDzDx!%O2UmQX%Qo{D?MJz7HNoDiu?HZ_WM)Qf&3Vx1epV^Q7PSmx zY_mD)P3DTBbRmyQ_fUqIyn8sqMZ@pnhEhBq1#ZNSEGf4YjP(_QX|3&DcE!4&bE-=& zW9Fg@H2R}z-?h?=`Vj0hdZwGsOH^t>l(|7mpz{NZwz;R$CAzyxfsv7gZWWBZ8N@E; zx_Ldo&eUgU^ABY zvU0N4q!QgkooEj1`!lA|hy6+|F2RI9InDY#k{0`_J9}t2L~Bhzw=DS6F*YSySw~Kd zz65l4gTEv}MQPohG<5&vCM$*Zb2ste&ig3o%g>ZEQ7_+X zd+YSrZ{UjeI8#|wR)>ewvCX38^x*a;*O&8g%O`kc-scMjy#g$qz$cuAob;vQ->nlwk?Qq_$-qpRo zr5Xa*51MU%B#1h2p~QMBZefYe*Dx6sz*U=!q&`V5h+seYTT$vAnARH%49@e8g z+=cKxT79LK>m1HXeNI~Mb#|D@Dat$qml=Ki1})$`Cv~hBcJ^fxU>$|qtMy6|*Lt%K)qt@gqMGV>_>fTYrEV946=vXBu{% zc^~OkpESk;tG?()ahIsOYwUokjctvN<_0Q}#@?D#CX#Af zpRg~dU1?fVmjOLxY<}EG`PxWUfSDQ2q_e|-_)8rNwMqITN^*FyV5e8Nq#mvFk$;&* z7M`n=BLO0y5ZI5Ocsj*!+$Q5rB`dFXhwMPy4e6&>>O+;{sHSKh4=L`j3Wy8fYnpi1 z#m}s0dh9=8>U_Yy`JFq>#c7-%9$UAPXAmGSA@|iMUFlTB&GkCk*RDgR80aUpS_0yg zvUswmy8G-1kZ?G1=f?wSS0dHjV_q%pP>ZiKTH&PC5;FIl-4UW(yf_Zcm{YZPm?n_&uZXYq$^w^Y|~j>({7# z@zp8u;4yUhy9!|FQq+Q7G)6*CwdA{DTeGz@u}N$b^JJsvAK$3lG=~#=M6nNBvO@yC z&X(pbAZ~wZ_)8K0#syl4-)04vOQ2be{hLdZmMi?@k98|DX!SNHc-%gTSnujY?c#`ZqM+H+OeBI8b$5 z-`F>WwKs5-5zLxvFLaUB;f`QU+^x11`$y7V?}{|6-Xp6Mf(}P|xWg8l{9=EgjKzGM zN2Bev3TUOb;$h9I2$gG@HdEhycVsq~Oot%vs#9c)Rx<{Z&vwOH6}E)PebI~6!jBX3 z{?v%E(_VjXjnO8V%i(X_0T-E@ex?*t91`D$bUXJIa&Lv=Kb#mrIg6|E-hiD+i2K7) zvhvBUzV18iE@L@il8qX>5i1|5gYWG1529VyRq8P`cI9v;eiMq*X*Z?FYLTHGaULe3QX2f5i%+$2rx5?YJW^*s;KLene8WEoc1pzD*!wS71d* zQ*;Vi+W`K+o<3%BXbE;MFgXz?ofWf9s4Z{3k;Y^y47!a;m~Wc(qRMT9g?Kw%EILBx zygl7;WyQhuLmb1jw>$xB@xj0it0s+~Z&AMEF?=6rCpnC~h8eVdAjfY?RW-jePx2F% zFY+Fa@yKg?L91q!1GFJ7-^$?{>RL}djIqng>^<24niH|%c#6&~LPAa&`{$=TRyQ`N z2<8Uo{??Pec2n-arAAdjeyK=+`2*}1N*mYt;d*{)sbXk@r)P9K12b-Htv!me58W*r zt+R3%NP&I;jeOvf`Q}v*TK2aJ18i!Qv^Nal{|OPQb-p^0pOklrZ(*%5l1Hwt?WjuY zKB9B%QkM9x1juSnZ+X6^yXD2iW$ce&EeMP*=k=`)Nu%sJ*v85?d!rmx?GZxf-;5RF z(b%BE+GJ$x)re5Nh?OPB0>?}3$+FdfZ{OR7*{VKy5A>r$=?_}`x>7{-iU-*e z!dfLRVRkPVJ?!0X8r0=0;fsBeeQ1LY#rpWjRU)nQ-UjbWI2W@DxJ%1x!SlU@WgRCenWv_2z+PU<$v}W{FNc* zEwa5z3$_kW<2FK@Hy-d7vqi_L!6}6;WgB1CN8S)VcXYZ%fewYO88;H)nls4Sqx^5v z)0I(JI}Kkejj_fJI!;S>EAV7p4PrxKKOwiaSdP^JRz__x&^GcS4%govMV~6}kUJi2 zwORy>yk@L8)}MU#sC%)obUqib4@&Ix^Xx4RztWw=&8;Jh?T#_ICUc`8+L2Y&5^mU4 z4UNxUXgc6bwTA7YkV9V1(FqP&L7KIX?1lDKI8*KHyD7);c+UFQhgXgkCoh$d!u$XZ6yiXQWuS^O&PuFj`;yavOcbfO|U3LuDo#r@r!YWpa;ooF9Ep1p# z9v9};LLFDw8YVy0Zy4`(6d~sg^)jG32w5E1s%Rex=W9H{nzk_!9oI_G@&sq+w|V`( z$zPsh9u1zo^ELnv;%^#n_3VQk*m%D2by$rJ2i4Lf#C?)Lseso2$PZ-?-n z*e=d7{WW;z^B>qeso=Sm*MDKJflNo3SR`k15nqY;3H(pi@GzpmIX|-2L{bJA{5){P zOHw>Jm$zL2+>Lc^oW#i!>>4{3nC2Zu{~_TrSdp}bohLGEPNX!OwHAr^GLCwQZ@K0(Sksv)SIAq3>!le>k(pNG)TQA_ z>ixDHT{;qG$=e=**%;nZ7pK9GHq*YHUns$vCKhiZiF$1R-i;dEo<+UtjIaX!ov>@K znM4U6ssbGuc^9&FiujYMb~-g(BVqS>dj_ zl^l_+wCux9j$L4Ui^w+ONd{RtgqF2XiyDX6pf55rn71p&@ZBc;-IFKs*sn)OyaE!^VJKm7!Z^S?&+Idn;CuJ?<#4{eQr^io&_(PnUc;b8a?E6ilt! zD=>7`6iD;I^dUYeH3%Jb693H)&hJ7kp2ut+doJ8zC*nsS+8w-B)V6rmtJD%*tIrr( zaTq!3skxvwn6VsPZd#5wqh)9x`kYyT;Q*qwneerT7+ZUb^J_0h`N*3sFnF?26=~Yw ztaNK+cgqz9%iu?N&yKmXY~m=10|By*zcEVuaiD0XBsI|S2e!JY$FhO!v%t+8$1C1M*_ zP7I*23qk~&15e$#=M9Oxe#NwmW@Y=+$MOmcH8+E_c@QPHSq`Omd=+uX7iYkEW>#G! zgJ#nfI}XHK@HNd^zIwIXPjF9VChZYWOR2TJsL=2rVU0QS7n3aDjAzV81Fo?XSLrO+ zQPdJG{YWZ2Q%x1a8C^K6jUu#y88B`w3#PiI6nH-~BU&2_jWmGKJ(nfQvf~T!0OZH! zm=k#(ASc+H5FiV|nl|X9@=YDd^kxb-&WnY`>F|NHbS-$O)jpCzpTnEm-w2|fkJW0; z4$Ycir{EE6Pu41G*7(ML0QOZxHpwH_xv(aC$SSjSTOJ0?*g8nzM+21MtTQYqyhMn#*+QQhWECe%E7Ckr=|C zSU!vv58p12rpMFM^O9_N!v|uvC>lV^;;IUKmYqqa=X~Rj-|P&dYiAmEewh0W$NVA# zzJm=;FNTexB)w-w<~$+ut=_JDeBvm&+{_LB0&T4V;wg;4|GN&?AIC6k5Io8S;}0%y zLO9bYFOP3`yRb6Jx!I1Xk35mhBgWAjNnB?+@voHq}FFt zR&=F*dGJ-fq$&Z|B|Ahx!L7@FAUB<}zKg z2a>v{I~3>ZCGE9l1=!=@EuKero%Zg6wIN_lr@dG=wmMwzwV1+cF@`e2~{D+q6l-;DxP`u-hAx>Cd{VmBcn=sRsPw3V(wq zgl<>F%UKiX#C3q(#^gPp?1~XDXEm2b_noM{r398*8lk&)#x$LdMh@q+f+MZZ8rv=H z&FJIl$nC?@LN{mDq=n7{7w-Vp)|6gDnNLM&q0hjUGr(H)yz}Ujp|(;kVLeIp-vf2? zaBTl0h==2ggXF>VN5Nv_tB!&w};FL)n<`Fin1nf?x-J+oBx2dmQ7@Jf+kq7mi) zBOjuyg^01@3{a|zxB?F&N+T+N^L=3VEi9DSut+A6YjlY{^r(ZCOZ(besGEN)fp>zQ z>p-VFt&pWZ*DE&?!;fZzc>6McQ=wXF>F=UeO9U_cpRQx?ruPuFL@CfKZ-&s1XHz6Q zKrL=W`84tGp>$`70z*ovW7nbc&93QW1d2-uvW&Z1hmD{L;I21Q%!4h5F{iHF(mbrGBZY3MQZv|0j~tL;W^u~@J}r3< zZf&MoWL(646V8Om;=0Ev0 zFua!vL9XWpAJ!dpOm*j5jnZpIS8BSY4;@M#Xvt=M4KN<^#K`P%bSU|L-9qyRHL~Jx z5J@E(&F-M?mxP_`O3f>&wAY#M!|q_$Wk)tO@ki|ftnGR(h`a3KrS|zX!>Mp; z)k;Df8TtlFjSo(-PYU^FKTH}>nekWhG<+M6fB~35pD~jU!UtLCH zS}CxLbC>3f7E6|qPYneQ;xG`CxWPIM(9W@Isy_8Q=Arzn6#>TN+~cU5%FZr8VbkYYX}#Q(_zlPGT6TV~sZ_G- zJ$mHWYyCh}xsE!hlru*kr_^6#IUju7ZDoq&`D;)q_l{jp9h?4;o~f%g=p7&mnaS-( zQuIB_Q-|_9IK-JU0r3dxjb^P`!r}59mDJFzjBT`L)7wSrO{XG>o{LFb_P&Y9R2rsk zMxPQyhleXq&BkhxY9Pbz#t7Qpks7iENL-y!{ZHiWM1l39G-M}PeI_rucxWL)9U{+U z?hEWSFq`L*gFV}w?ggTw1nK^gHc1tfcYfDNfT`2{-vv%vSG1EaW2ZEm@yXsfZa~TP(ger;bz+|8p4*ok!v0zEJT*BdqMY z0v`e0qwtnZJH}A#k*bD1*Y^c?LaBuzSd7v!ob__#bS4X~=HB#s8(M1z>=H$L(6Je+ zevfBnq<&{c0M7!p)_I;5qb)C_do13$kF)W{(YN<=#GovfS_&Em;w@m3eR;>y_n`_5 zeM_n70pbhLka-@;y*$5(^!fhu+kfUz ztD7#+y)fo=!=WpEf(y-PBdAH3@_47+bj3T0<4& zFVyz7>zo*r<*!ZXN&9LAiPpH!Q9*PWS_?oBY3vb68ws|QcV7$}fWGQitp1d+hU)Hz zvE0gHu;oQCpyl@dw9&z99_sjo68jrjJ77sGD*JbSs&sIz`#pnqz_u4o6ReeV?aX!R z(zCI(R+pNx2qI|C$U0>b{gF#eu<=m6$h{ZA>4&tZi7(IF%-XCAbOa0h-=4|AH0Rl2 zk^452YwQO7uTP@Or;uqh0?qTt%vzHOO$DX)L8qy;2Az8`SW4_r6kkC+d2=WgE2eb5 zhgB_{V}G|j3<2z!uw6?*8KHB2i&=!(A{YR6luGnJu|4^9e~!%-i-N6N3#9we+Ea5t z#{B|8R63FBG;4C_A#@iF+01Ij?jRl4Zg>^mM_|M)F@U0- zRt5#*vJyOz6>eh9!^m`-7m8adFsvX`;)X5qVrn7<^?hL1bg~{vhEm@=NRy^5VMido%|-N+X^*w zj5P;qYoSyfW5>cyJ5Fnh$zTUjDHmtxLVg{KQ|sC@tlN>m#StnEjInged6FJ%Sz_(f z)u59rdDP0chrZ6bM{5%&bYT=&SRZn`A5Ry5!w27j@ge*8K{GXvnF&w~zNFe3(UN%3Y-9iDp_3?a04j zP+m>qUkRu`ok|8Ik?j!SEQ!n{yPmk?ab&KVrlLxPE z+eS)chxG@SQsVD7Xl?XRD@1FpG?cyyAuS*sl@{!|D1jrk{#vGe_FQqE_iz-zJ zutu_AQY_?}3~<*aUuIMB4ezB;&C^{jcd-264AfF9T_We=YL#W9R&w2tWkNz^Z#K+M zF#;*seH8{Jxe`eT^21-e9Re<+3stwKV|cALVsqSQWJP$Mxzc|eWo$oB#>SVz6+bwr zc8S<+L&nn3cXI^{X=U1S0kfKu%!yp=dBP>e1aSTyI`=%{>Kb|t#Q8xt_rk)`*>&V@ zi*$R_ptWvbKXv*(w4kx-JYF%jyFd4V)^t{k^{|YCU;ONG96*XF|D*uTt(i(*G9IdR zeiaaTP2$Cd!BnlIy2I6#wJZg?4J*K|3`*Kt0?w{;Ow&E`5x7|_oX&-|qpj--(6B`Z zts&Z(oODnTF2>cz`L1K>az6F;7YrsfRDipoI(?b`-~B~dCa#<(0#=HZDmk?x5Mv;N zXr-Qtzt}NWYppkPVV7myn3WH;ptP6s($>xM-EY(?4_}jz%93XqovVF^M%LM6@#BZ; zILvg-DeQ`NxgJZY*~jbhmf=B~cv0jvxiZn9Vf0t!Fv63Lcpy-e8bO5rqq`%hkgsY? zHZ#K#L~gKV4cj>HX|?s_P&Hmduur4-)y>IIQdqrlRwiaf9GPD=V)KR(Ci1rM5?VY^ z#Wyr2eTzTrJrAe%x0cYk~%kwrfdt9-I=MtIw2dGq0~X(ytP*dCHGcfzK7|N zTSR2-sb6aR>3+7wt&Ej(OVYBibW*5XuQ+vshXswHY&Tzu+}ab32kccwCob3Kl2T*n z&@u(i#*&I!+AzA=hB_#`EY`HpRJ1 zsppwzdo{u!&f2-Ox|zZ*&qGRHiw0}>!EByNeWdT~@q5VlHDDN9EA`Us-c}$-sL0LT zeSpymr~FAL(UB$U)}2eKTqpPq;W*_lID!TrQ(*p00uO^$gd=;l?}fQjk(q_awH=yu zNeD&oXkwB-V6hCam@YN(N{w@z)#3jNOyA>bWtYfZoz`}D(A4J9d|huEngFK5VUpU( zepBcBg`^q`)YYInn2y0D?9OX?Q_~iz8t@|^N^3QP{1Za{ zMh&lpRQHd=bYx#6D>DbYyR{es&i{BYoaUEOd5u?D6>*VvI5_t-Nd_H)Ze?hb;E92y znsWg+gJ7qs_T??&%f(x`^r&SC0WX4JIy;1=3UE()2#KpS5?AU5A#`K5dWZvYE3L(w zaCQ{D`o_&f#3u>uhiojPJ{0eHusf(y;{z{T3$y95(OR(5)I!oDV7FyVbKtgN6kJ$M z&+#4%X)UrNaRqb2cDEL*raDGxwOmJo+X0H-*046cZ0t)Y??bd&azL<87`tWWe&iT& zSkwa7l`yyr!DI`&cBU_J=Q`qOgH}bImV zxuEVzNVGXU4#CTlRxm^zSuIE33jvAu`jFn88 z>>c0$F}+~)sE2q<2@Lxaf_WbJ6;5eP#rZS=IQXi1$$3nMs4rro2XNvZ_;Uw)oRIt3Y-I{fV%-y`nw9jgDk1I!vXkOA&jkw zKW{X{;u{I-C=PeP69e|TvSTU6@Qak`nffIT$%-aqItO>S7jp!??5>^=(a1(Y(A|dk zj*)yg`TSI4*V2Ao9ZYw_HQFSRS_^rc2Xk$^ZO;C5CfMCtNQ5>;7+{;1s5pXO`Op2u zJLWG^1oIk>(z%Je_7L{|pBdRkc%_XR-}%{Ml97{xX&Flg5t{$mIzsb9YZ*E18M^sL z;;N}lvW1D;cUdilm1Y1 zt+#VPjQmbKXMx{N5sL}(Coo{%A5ERtt8~AM^Pr@jV#w7USvsR|;keEe+wwc{gB3X# zTcYF;Y?+ZcF;nbnPnmBXmoTWt29*GQ3w`o0Ah~;oNYAbi(tr>8LU*5lOMu2hqT0WvHp|M5~9E8`V;oWYoB^)M>>P+Erka z#jS`s&T(#0p74CZW~yE48$B-D)beGMNCmC{kt@Nf{rrRIPQ>FzctA|-otTN3VQ?mG zZ~Ua|eNB9Y%gqKv{G{vF5{InpIx7kXtD)J}XSdOVj$TgeZq1_Iffpe7#qP+kTW^n~ z@V9CatG0HBM{vbE7(u(kDaSwuuiknb{0mV5=9#}M(yQg!>B`3S7QZY?9e(hHanM@1 zpDQU%;TPn6WVQR1czo}jU)0A#8N1hRqF!0TX?gcvq873Dehk|NX*nQh9xc14SbNVU zR_x8sG4SgZpgJAE2adP3IBBZtUlH+x?M~*EDnYcor)t0>X~kHC5yE~AqQE2yoQe7V z?21{u&cPkd408Rk8^hw^xd=hkf9PA~Q(2~KRMT3}*SN5G#U#KO=ejxq?gHnBc6m-4 z`N+&j-dXs&#u#N8RHxnHLD+fs>Kyiun6(kFhhui3tY!LB>MP5wGdh4}Z)|mB=+7aIt<<__3So$nVdY_eDtb`m)OakeWQQP5_li$VJg~$DtFe}JpbI;A({%bH z!wo7PIM?bB$y-FKh83pL+PZfsXQp13L&tX;R6zsX-n1UURDYPt4!qe<#rGUkK?9oA zu80lh!Ca<;0 zrK2PcxLyf+NAn#(?M|!J*hjkCy9}60XLBctg}1&x7yXs#=l{0n86oy2AidCT3No+*1hP<2ZGg)t9cOQDb9+nxtGe zNzY1DoJW()6vt(6_l1VR8#h&E;?%=G=+2sTCCxh>*E?KKaI;|kMk;3C&Ni$a^1^%-lrhSJVX5s*HXrgVsD*1 zf;R7W*An-Lfjv4<$ybG`!|}Bizg;xyk!Zj)`s>;YLThnHn}pv<9bnADsaL};l=H`nxl?4y2JhfzmUb`RMWcBJl@xHB4!n<2Zx{t_L|d#odIR| z?8pG>9gTmTkdOSFE}{sgv9mp#Xw8b`YpJ2Ss_|z~@wD6MuiCHNzqA8V#uKRS@qkbC za8@dL*8qMw%{@hyYt|naK^-zHU*q?|{@3o9v5kGDyLZn+1$%7aR@Apt{mAaH73H%X z(o@t!%v=TKa~8WpBxqJvs=Iv`kX9=Cwbib44{OYZBfI*wY9p*5WE>pT5TR}1$YD)* zPtoX0boJCk>#N2NLCz`y*7nQ~q|;@u(WRpKWIKci){jkM@Z+QW;A`@pRH}ti%L~3< z>^%X9vygIM*AJv}e_W&fUyrzdOCuc^z(fDW&NrLr>HRPA)cjS}xrAVC(4CLdP@jRc zc%LG^n{_TBSQ^CJvvjWZy;rsY7Hdr1gKmrP+Qlb(%Rv4m%unjc82(eq4b9p$y!afe z@+c~GPJwv^NO8U#ZsWx{G}4MmX`K~gfy_4sM~YA$TxCUm*w5dXjJ`$3Slfor9jA2a zdBQvzUHD|?=HLHb-|im@tKG=**5K60OjW_!5Ak`zkX2B#%;5NvL0frtse)I)a4IL#LKz9WX zW%jQzk&>4`FFT4y>9HqpsG7~$TkIpj?`h28)K0vK~u>%`S~rpHc=BN(Nb7oV1X=}B9vt7QooN|NStIO(A9|1)V0h1|kG#Hj#} zEm4vjT?N0v+&wnlvA_%|t-U~6InP=!)3<0(553hp7I+a>alVF`Hrs%>j=VSLSX{#P^Y)WqG=>gBiD9rn5NT015#S;VM6XAdnO62}>GZMYQj^W z^~7ZT72P+HcF=)vWPa@h|6opV9Ov|*`JEM}Ew&Emk-ETQ*U)jL{5hAZ`8=cqg)>=3yA2wX`cr#S=zu7VNeCK~LOg2zvts5dk;U|uD!UG`#~^Qxr3y|83B%_*MKxy(9h{iRLDtNON` z8*zB9=XSbx?iZocJk9o&5S$JPWfxfQQzNO`X4Prp*X5yRA(k{GI^=D=YM+`l(czbNvKh5QslP5NT(^Ev)W(g={FJoATZo zy|j-ymRi@b@94OaLiiXLhtk7DD@3sV>|$bXx308cHxm0hj%ZJHgHy&TM{(90 z%>A|g^yimVv~d1AD!O){`^}IVXc*JpjHfDnw$ZuuTiw;)4KDnB3!RVlotlgqA=O`; z$qlYvVLa{dSJ{DoI860SZm>3Jq`i{ckd#89x-4gUQvGvLoGBdoT*Uv}WFkI^Gu1#1 zJqNRS9`Cd>jRRdQfV$iPi&!_cJM;h|_5d1s5$i^Sy?72f>anF%7;U`tg~oS(WEIoc z3*ZU-lvsc<$?F)+{S?RPbZ5cc@*x&-h!(OqCJI{DxNB&d6`A``h`J(%m~_xpsLzLU>(A=FB%`6SZjglY)A_ zvs`;?-2AsW_=#FqOeYh~t2+D#AQ_KD3y@&>7%Fe5@W*feNcg8mx}VCLcZJ|`>hG?= zxJ_d|K%Fgoa}*W6Jxx5-Re_VTVeFX54j13^(de-(wO|2?H(-%fMt_YpA)_4JHMr{pO6GTs zT64;MtV6IKdhcC`;tPwmQPC-}oPVFOtwq`$CZSHmWmh!M=J-LMU;8@od+*tF_%Gvx zZ4Bf@WZx(>hLRjqCP~2FCE?!=qhM#C@w@C6dff6Wc~>s%-YnG$Zsx>kdq63=dF>xs zQ6!1)U_G{$z%UXOOyG>~Q%GJNm5zN#0(d!Gu@O$$&9PKys~Wqlc83u_=l$MpcZjr= zlG}X4IEEA&kg_|iKB2Z!!rpSv;yN>J?t(K#JtTk=AWBj)NdD571hcB$TxIUV z=?QY*U$FUyM7g{pd9-Leo>GpPFMh6vHWs2~LHlkz%sqi>y;oq=U7^d*1N+R_ zo!T_PFBIHAgz$0<*^bv#Prhf?ZL> z54PV%GsCXakxRd=&v3rTM{rti=j*4w&gJdOSf-X+E@_1l8*_IJDtl@kE%~v>k}bwA z?0G1$xzcZ>Lbt#DKkWT=loh%2K92W=!QI{U_P|s(u(-P}vbZe{i@U=N?l27Q?(Xg^ z?u+|k%i?YW+;2U}r2Ebt_Qmg<_wSs~Ki%gLdTw=+O4UaXMj>v#biKg4`E{$E!G)V(ybOqGi;_h=M#Eg~yoxE4A1^kFdeo0EUB4po&~G zm|Et2BosR5zXMgc`BXkah_MFG>Ama+G8M~yT0m;6;E}yAIB@U3eeT17-iOA2Hf4oI z&CECT0F)MBK*6E>y8-r+!cqK)Yc?2owr>=jJSHa=zH@Hj&(m51F4Zx4lVgG1cUTCV zFXqIx?pq@o+$1-Z`8G+vqj-CQCSC?w;vXDMgHr9Kl)q&67b7qxXcYmbeE>(Vtyzq9 z-D?_iAHC4os${#bFxe$`TRe2&F~y#q-l$z-v%W#~xcCDNp8W#n^@lE^9xXz}hS!Oe zS40K!n)YZUao;2fE`FPB9(R zA8s!IF5*bBmNaCHoDzwzVu{U=D!jz(y?R9z$NE5ElTpKxksGL2%y+c)luUB^OyU{XTn>oJx33NF|Cy2nbeV~L=64;70u_{4PU1LjfVZdZ_ZW{q1Tr(09= zjkXPiE6#7etRZH=1=j3LYrYJo%|(X^-vS8vT>B0TrpHMnnT<$BBHpi(eG3cTnpX_H zkwX+NSbL6YS0M^EU(kX+yzM3m$C@I0a4)J+?j9@9UR#mM;y|rHJGW`I*pybFWd!QR zIy^1f)|K>VWqc7NEzax0v}5{l8FM?XqE2@t*)snOKmwn8&bz}#T}ku$Nb(n!rLfTM zuuyJ@J;26SwZRHZW1%tLE|AZ>2>YtG@Y{*{US$SP1Z%86m!54poo%^?^7iek!@h+- zPl}BEJ~YrXnwRx8+#&ldVAbb3uyQO#dML?_dC{-%irE;XuJfot)qSbWdi|K{BV)UH zkC5~B{d?(JcKbLR!Td3FQ9tk_t~K3OQ1#ELh18Ho)Xh6zhm8dnCt6Nl!^8riiUp|R z9kkF-+yX0T@)Mam3rkI~=0f4A&^m>+D1(E=)V|P|-MY)G#?!x1W#0kq_OXnVQG)5t z21&MFuG_g4TB2q8%Uxf22mG5RpDCsrTRarZkzlh!({qa{3_u)XzQdMo2+M?L%mAL zA(JqDn}oaF3Fgp00g@b#U5ee?6VG*gKZ!c6IZtCdcC$~5w@a9DC4{a&+)fu$Hqo1_ z;aUP1$S~Hb>n88V6?5)+0S$#??Ip~hA8X8Wc=j-QHBgd^u|kD~76KfYQM*GnC#k0M zw-NIC6RNHa)c>`46ls5zrOT0K=>3&giXn`Q4Q1sX37kmFN?sA$(nVG7oQ6y}Ot)K~aQA z;s>{)zsKjLq_5D7{YfL>HrS)QderT{FO6 zr*?bj!sH}IOg>&TRGGmQ9&>p3gMuK}G1ml|(NW4=sXL56F2eJz$2e;HM7FzstT3de zgYYx5zFiCV?4>U2qZ{izmGsZ58~Zn`FCh!b6YKau`ujH-VkdFb6wV_QZNiIsO|dq3 z;xPR)vnFCm6vQ#!!jiv@XYVjI?0jGg$Y`8a9C~TO3+qc%mtYDn(EVt4xoyF8udO6w z>lA_W1i*P2`1~J+*q6ZhF8F*=O;|Eo#j&>Nh?axvQJx9Q)2%orKIhqi#;fJ&ILmUK z8tg5H^@$=2HJVPRGW=^Tl3ML) z=o2}}?Z{*SrtE$U2Y%%UhKSjC9ksCClw4q=IRYyxg&w za^8O=_S(l`@;>f9dx_qZuINe8u&W%$MBUX`SsEYu!JiEj-AvCSUlQBlM^gG;kACVt zNbSndW)u`pq9v-N&m-Tq^abbbz26sL+DFMBb@h4;I1WWY!>SFOk~* z-Sy?lpYMXhUcv2jMeY$ocV^xd4O0q}b+HY5v{|7;DAILF#!RBkd3+43ygC0#yBjN+ z{{er#5;9ku7f89*Eyh`>cp98>ZOGhpr75kdor|(JAEJjd8OdKJ&tSTsZ=+}a+4S}* zSV$7m9a(>&<)=c#wsdV&yD?j>8K`?q(IHg1x^z7U6>GCa#~yX7Xs~U=LpP5+Vu^mmMY_Ti*;Utel9ZKPFWk>w zYmo(YcYz63cr%Ks#hNI<1SAT_SqjlIDVYD>hK~?R#;@-9!h~r9+{{D|8}F&{N4QJm z{*KPzIwal~;AS*u;2iwX}sqV zFUs&bxB=U6iC}RS)$-Tl;V))fj)_ijF)m2fnm}6}TtfF_)@mbj4KZ|hh!N@#i0-LhD;gHBo?s0#gHkNqCf5LPu5!aGa^2D^@<$SZQd^HIw4<`; z2a4RxjP!~*5XTOBs|4@;(QaV|?O{z^1VO4+7ej9phCk(d6sv;yZ1n4 zV_m^jCfJyI_x@|o{rU_g7hYAtCq<)Sx0dduy!`GRhgISP5+T%$@GbwKh}C-rQPoH? zmSB;$kJOa;W2b^)s_+q*B+3UHQ@aIx%Fs$Ls?fn-<#;^3fR<>9yHc#9TPSCq&i2Q~ z<5;>{4KVPzT6g;E!WOag25;AdO1`1oJMb-(uP40=+o@oU8m{ruH9k8K?N)W;tQ^dr zi(Q8Qf@fL;tyXW|i~5ZHQ>X-M=fp0um)XJc%TV_$dfOSu^3C;^}-S0ConZNGho#TK!6{!5L?056tVe(3g z{|a&8u6;TFpb;_h)8rW+b)Z1d3!_c>5upF>{e_A(&2Hp)T3Hv%2xNYhZco>h&Yhb_ zMN1{{{{~*eUr`RmG2V8{Z{!`O>DIPY;*sSnR9E`(l_+=mWrFi@+^{%k*cwpkG6NoO zGT`tQ4f_ogQN$00DkviH7V0i#1~%DSs8-!SCTCQ23vyCuRn^_=^G^3*rO>0mFq?|U zlXqoXS{hP7DAbCA)tP{E-}sZCKYpQdgM1YF7&vbZ!7rPiFCbU^LP9M3re7*_D4fzT z=EMr`iHELrM^nC~Ke~ziV5WWjThc#9UaC3#m>#6s{RkM|1pY=;KShsTMmMy?WUs7E ziE69B#>)KmJKf(bryWf`Y+8d#2q5MCKG{8ue;W{zW4vI^iYo*A~6=MQsZS0InuH@8(cZd~2rTfB4o;dxxvbK%GgMSf?^SE^2wE=1y`0iQ2CUaGIp@MTyNih1KWY=Es_e1hSyg6gk|3_}# zfJxKJ{AX@acL4mkci~%=)LMb_3y`_O+NY{}bna2eYa~zdN!6w)y`Cn_d_f6Ygy{Tf zo4j^dUU7Wm5ULXI3I#uUqVv!}<|&76!#zlL+RWD!-ArQ~c~?v4r3UiiZyoqeF4T5o zPB+Tbb{qBoP+5D^J0})Zn}fl1Ui&qI1AIECp)AP=jJ^{O0|7c*nI4 zj&(_lvwT=&EW;fzME|75V}gU~Fw^~aL#R2)bv??&w9_!oqUcY?VXR^?}@(XoRp6N7n znGUg}Ptlo_aKSlR+@h1dO0_#T%uBTH-)`6j~OR{~dL!+~0YpA-B?)U3cuE;z7lcEXv8Scz?PUfWqNDE6IdiC5 zQ8|$WqzbVl;X93&gnG1Ae~hK&ZzQ=K&yb0_kD~6_fQ+gehwx&Xa3L0+e++VUhLM+# z(Tf(xmYiG5Krm6`X@YuGw`O0iS?=*lABj<}f#hYf>J+Jd09}l^!)L2c(Ym$9TH5;R zxmYBYh|wawOLx3M&Hj) z3vV_OHfE2B#4{b9_oce;WiJ;Zhqu*kpxUoUa#rb2X{$)2Vu`qrS{796=UX?Qh2?c! z;fGzSZmfPwtr{jCaM^igO*c;t00n^NT3n`!XGQTE=gHotxurqi_C5{lRm*LIpQV5W-m8N+jmp`z`P zKf+AQRcJ{&cXk(?bD5HJUIfb9l)=7LZk(OMZcq*t#fRfjq1)i{9chFiNAE|K%s+Fv zS}wHihce&8#b~^MILK}S-yyejTDLa7USk%J($Y2EshYo!Eoc4k zg9m`jdj7b)_+vR1+{1+n&(wwGqpbz|s&GuGs?5hctXWasItZBEemf$?r zm(dh5>zw$C8sBKz4HJvwQg#|lmBV)O#%}Av#zYab;ailI;6o{P7xG#Fd9DL%>O-l` zS&r&cRNcQrp4GwH%i8-XRoUpqjOE>Qmuh!)=1Qvq=TWcH1gg65sfHo5ylPIJNbfUVpvm_>=uuVoT@iV8 z(bSJLe1^X?IUZAN33YVaHF|d!I^o`}8a5vmT8;7O zIXt{0MVZi(dT&0WA5&s!Vp-^@iSy{|y7!cMZ9V(&VY}UjwJx-{;dX&@U`==?e-xe< zoIlyxg(B6FU5lCggTVPE6keV=ajCi!UVUsIPAUo?%tG>Xn)`wB&rL19qrw*DqVOv) zuX2Fmt}e~#>hWX3LhEt6Md5BJbO)fQJX7>TUR%rXCV}i7kc_FyJN4I;Tg#x?LNc|` z>v@f&KA%i4r%D!(wSt+KQ#h!NaxoSsVg^FA=a7hvr{`}wr~FS(OgcoQ6rvOcGrPj6RBGR~tC z-eEX{NgIhod8Q}nm%4#KaqWdlVu8Pu{p->b!=UmlKIj38wUST?FU#cf7bbqCfl=K? z)=U-jD2x`{Gl_EU-)c{}a>-FF|L|Wj$K1UYY9t3p&oAvjwHnBt2ewWToHqebW~1HS zeFy8+-FyJ0h3~jzoN70(7RCV}oG7n|z5W&|`!34zb7_!*+Kz8zyw7?*)$T7`xMwDm zKH$t7dRaZCai?`&y-CD&&sx`tQv9)ApkdBba{)Sh zn_nw%e+^w9@7xwz>~Chg$rf6!vBo?zp)qgrKP*(ClDtrLD zGPrSf$}>TdQ!AB3vT~1l;3B=l5@97)!)%rOkStIr`1>Jw8B`JsmHd$0nFZkC&*Zcp zlVh+@wbK7dPR_YquOU?L!Yz%>wCvlPoLX5)Y|HhiAc@Z~xCa)t7!n2|8kTlwAk7Lp zD6=dpRE(&&PvY_L!CAJ;9eHVu`yZvyEpU6c5KykY<*%q;{XzwrZPTMGg+648bX*g@ z?4oV`KZ;j(i&&vzGRL&){`c7cN^yCuP>HTy-E~LZ84)p5;Zu({5GyT3plSe#+=lr- zecpq1_LpAqE;r2XLW_o}cB|t>MdyHb>f)0b*vMVmc?oH@KpCj`dD(B-C(4BfQMut; zIKX%X$$Vh{LX__G_L6+@mpYtpIqvOSzI=FPFOEBbYC~Z}?=y^|bsI}l`9dlErz@%D zMYU1Tx^X#^7&%;p#J*H<7~X>OFW`Itidfe@n9lw3NJxzrAxO@7zZ1>%CpRSA8WX%z z7gE~{mF$Jaysx|AI2ROUz?ky16la zdW&2gx@?4`?%sR>`LCA{XerCJiMPlhh`?7M7A5m#k^9<5HqS!2yeX|m3-K>c9n)r` zlWn)#J3Ie{avMVFQEqObDb;UK+pXv9POxBbA&h9vyi0U+PizC67gn4LGVgK}=($oT z53MdF35y{2ZkDvuzx6|I| ztL=|C?A%w=Y^L3XKMI)_RGiO&)G9D1uJcDqQR`)L&|`7l9AeqVZp>3JIA6e`jyVPA z*c?X4ydT7!l3j@<^Q^O?>T4Biar_uek1(p~{AC=y<5|h&TaW2g6uGw*feU5WLiN6W zpuy+Y>sb`p=KTKTt<-wJSHbxP#rZC1Lp;-VWOka@#)orWZV)Ij8+~lPfYe!e4H;kW z6z6u~O6F~={^Pbd7v!<%0*qeH1-CCrLtkywZ>zfbEgXMQhj??b`bMhs{2gsPy3;S z`rn){kGjUaK^ZU)Z+Nv4J0k5|-IjE}*e_J$;Zr>mfRBq0C<)Z~wFeLB_TQx}3sM<7 z3g6ZFur_%Wg8>f&YiW<3qg6>_8SkHca9cax9)NG*4F`B_Y!ZJe)^)H@$y${=tPuY1 zww8nP*7H$`O&+l?U%;l!`9-^V8#@Um{uq%zmLMzQx&qAIbbOL zyZLuIl`N9~%!p{|lldF%d7=xMr;AAL(5NW&JMy0vy7XYifJxb;%LESwwe)W-1N%L~>fE3#eq#eVtd!FRcHy9I|8 zP-q&cq~YR>0oSe<6jD2^SgQgXIOfNR>Uq52j~V#SAhBR6CIprWel08ShkfQ3`*^Y! z0K{Vig7{GS!P2AX6>rGHKWcj!KB7Ap3r_PfytYzF>!V}bRmDJypNoUSqa#W1xe~RH znqB0s#$9N!><2|@7htB5j%1~4y#vI1b$mW5oJTV>tRHMa6^@gY_|3h%<^rjkP`El- z>CNeSdigM_HV~n^FpAjyM`Nl!FN9K_N~(`mP<+ZgR!?L6mH1Xxntyu~#m@UuxB3-Z zU=Ni<>uP?c?V+iJN*+mnBD){17a+gtQJtRiv974r3b98Rlb&AOqYg*Lj*24062j*? z;I?PJ#!8gQ(U@iBzj@ zn2RSn#Ntiv%HO7ny2A?L|Gddo?pnanQP@~GGEZ8H++x^r77dFzC$=$oZC=syc%cgI z$8B=8Wd^jsL5*l?tfk?_g4~`PDBPReU9t9rn}qLoJ>kd}YlV>;^8?|?R_+(*gT6!J zUAUF|8mhhZpLGKbS~fK7hq-^@TKQ`zpTavS&cC1&49TTtF`-7fFKv5}yylDMof zYvw>4e5~F&6o&PEU^H826IYzpQy;6=D-LDWwV~jAaF(HTr?Xrl4l#-$XmNmPI6~~& z*F)&HMv{Dip(qw43f4rT4nF?lej0nHK%1Q4dkxB5qoeTWxs?&w)7WW4guX4$ zWRok*%@@$5wd@?U<#r4E7GxK5VojK0PfMPN@VY#ZtlHCZcd^9!N#*YChpMJ6O1D3a z5ew7`D=YZ}K#qmNcUQ?v&wiDYSQcvt&Dups>GQmN0kf+~e?CV!%~?=&1{jfYnt1WY z&6W#q?YaYuf%HB^njpIj54NlIx;kYZK(FdZ@^)^wkIa={>wBcRyPHCY`XAHvp4I~(-HhldhE|1D@mvMn-c06WQ@V>wt8F`aP3lH+Q}m?*GE{18gOBc z;?WEy5e;@(k!Zl{v2;J>5m7fcNOE2i?lcaBs>HJDR2{L#M2x?u0T%`*p0?$OQnj~o z6nltSQwx?nE`GCT2MeR{O?R-RP-J`sy`0s%QCY1Ip=)6c9g_1{OAAE1msie4=`-+$ zTHM3luk>vT{mW)IO&k87U5mt<@&)|!HJ@l$YW8aaiaa3YEy!SKs&0JAY>6cq>h6NR zjsKDk^mLSbvuBCLu3L!(dj*9uuVO6N4V}TbP)Y0P&8X3Hxdq~%EEX{q90%ik1C=N^ zc_KT0Nhk2K`e!2X#%8UazO5b`k>PnS5T#eXBUV{yvnVeyykvQVM+y zrh`$#gO+V+$VmCwP13j#pr{H*Tfzru(Ykmq3~F245CNJeh-8QCIozoSiE20Cz`X%E z9V24c@4&>;3%zOf82Peww}L}ta4yc8SM6@zV46EyOi>?i5d!N&yIiMNRi~06yhjo* zyyY)xPt|>lk0Zm3s_~yp2sxBxu7KS26E@JZR}1_S(dr$ zIf}@@_FbdEIDwXZ%(*b&otBj-&eyhG>dvY-595&k>pH3~#jj-u&Up&V_lgs@0#)hi z!@2#?AIy3BbJ;}QGs1B$o>4Ln$GM%m#)APAzp~_fl;YebtA1+SgvH#Qp(9-9ArW!+ zN6CV;EJZ5X-D;E0$C=5CETJYbwovF>u zMy%mMZr_tK8nou;g$Y(gpyGo>Y%{>?@d66xVJz@}>h<`!a;NQ?!8EXv27qGL)CcMB z*|Cg8X&UKkcp^#6aq*6_9#;o;`dYgNBS}yCNU+BI$nR?MasStoA6BA-Ixu@3`zsB* z?vwd?)22xh$i)?q6Jf?AGs3%q!{pl=33B{BAqn}Z@BQ;teE<{$#Brd z0TZpe^rn+>mJ80aGv{K$bRG&%$%R{fE!WY8?qF5;41kU|BI0)oBa6_iNNL44x!t&M z5ldchGomV!@_uB9>go%p2GX_u`Lts*$Ym1bG;c#WP_^Q^i^^hKk}x zCDiWC*1LHTl)SRR{MUf}oWU)re$;$aa&9#L5r7Y_YcT)cppuhCq8XP;Wj9(y4$&Jb zr1k|Inn9~$`6!*^$@fr=7r*Iyls_L1IDFxH53U3ZIpOoh!}f39WUfrI2C`=m;NVR@ zfeGNuOlr>mT>QB(Z~h)8^WDn_={z?rFBeA@PbW@X)xHd(7N6JB$c#DlB8u~R7z@6K zRy(h&Oyft$HUD1}=cO?g6a|&p_coyOV(Ar8r1){S1txDYHpCUIg|Wc@dB0?~u+|Sk z&4Qkh_w^?uRhWU8k4hzQ?)7P#mHQ&(xgUx5b%{Nb$Zh|qJkz{p@}xXrg5$ws0SNWW z_wKZ5uIzC!5@p?D!@)%u8>rktcdFSPlgX$yGhD9!U)|}q$TBw@o?J^c6t2813Rk&N zH^`#y{>Zo!MU>}lC;yElz2XVgZozqHK+#)jrn1nd;QRz@zzevV#_R4S=Q{yXytn*u z?gpqEpZtWoczb`DTm0G&W~%HP%bh+cFa=t0P|KYnO**0HQ5HJLg2UT67pUCJ#A1bt zb^aJ5i8pWL#HCN}OF8061CGjd3x_QZ8^ENCHEXf5+g3L_E_rQ)z#s+fj;9%A8=05# zq}ZX?R(0F$2`I9fPF;9Ilke}a*BroeYt^8VF@3jCp1;0QtWgd13*6fiD#;G9tVa!h zx`$JZMGuAZU{U@Tn7YO&qx*50!sXg^*Bl;5S-Q(tSm~K8T&_)4aJXBdxX3N)w#lI} z`;%W9xn2>gV+4>VgYyt5U4@#SpUb)DDyPXO@@U#gwn%M!hWwPRdP>@t;DjDSiNznC z1Acp=xmv%tLN6;uH(FF3uOH`ji}2nJ<=)^DJTVH-pca?p`WE(P5?p*5T%fiD$+D&^ z>0@{Ky#cq|_bR<>I<;9P$$vA$LZS9UigO&veonE5c&B~E_(2`Xe)YmC{j$Oue_+Xr zof%Qu&Z~2&>fHzQKJHMxpbB$#;pwu^qq8HP3yoFaafy%22iMp_#WVdyN8-)VvD>bX z#;&f~Kz}rrC!Z?Lv@fteQA6?`8>nGLNk%tJ{1}yiBJVc0T~8k#OR4cE$p5ur=B@FK z?7?0(%ZOST!zj-yIZ18#)N7Uz4ed0Ho@bGr!QHCxK#Mg6ro+Q0i_e7xmmpmmA?C04V&5eo(c3cvl z{MjMz=Ye)7t~!NWH)Za4it~r)Qtg6`DKJ$$u*yCK#4h~Cf)!*QJYa#z{u)UvBA{CLQDTgO=)bTTy%TDlppo(hgVogMukY?Gb^iZ zyWJ1cE+jp#BwJ{)$uBoAq~^UP*+Pp=u6%zXy&5aYw^`<5=wOo-S{m$||0A@NY21YT zdYM#a!%7=16CjZ%@Y>hXuA@HZUJ~Y8aCo6W%MC>T<_s;@w{M*&Ww7kd-Q;ep@W@l4 z?@f@6$~~!2H<8;X63whI?0w^NZ%^>%H_1(MW81cE+qP}nwr$(CZQHrAoqWPg{dQmM zzpz#N;#5u5nKM_tln;sI5z;k%%njJUj7OIRieB34tK^1Tr%_^$S} z>KPjC+S%bzw=SH3N*9}BmTd-q{-@O2dLQGs(0AeOSW0{=(2)a=$Xfj=Zd%$rT3=%J ztX6HB;Dv&(1Htvt`m`lMq3cU>twXY-ITt(>r)>gsRJnq=aL>Cm^551I6jG}VEeJ1x4_sqk6jF%q<&t(WVmT|wnjG}9e-HoyA(jD1x<^4Ak( zGNi@Jw`(EDl_puI66~{z9)$Np+9@RrsA{kaF1#j+h+4r2)xDblUDnl8HPrPuPjnCP zkJ-wE#;+Ek7)9U!j;#8Ze1R9zuqSb$9(+<&mynco5tqw1vxbCtY+3-jC?L;lPucB-Kjw<`rPJ z&?f%SrH_&H&ny1GsU3JCGKfhn@}}$HDLAk zwy76VG=yKNEVz$sZq_zDpJ2oOG5N3Q1X2LK_JhaYZ7V}MW{!1{%F)WCC*E94eQ|cv z#bUAyMtRV$Xjkf<^^dF)H~PFMgbl8HNUn@$MIY+D$_boQ7n-M_T4XUwlG{ed&S6RL z*KnSOn9_@@qvoNTZDe|kPBg2{@i3z0&*z@=)iHH#i+&9uHFN)C=BM68sK;#)ZZT%- zsv(V94!AeDBWKZTd%`;s=t#ZbTVI0A*nHVj*D!b=MmkHLJW{hMdr2jo2G>x)jM-D} zrxf|ZMYHGtmDSS)0@Uq~D{Bmi|ft!Nbhf>UeQ82<+sdnvGG8;$3S5xOB zsoP-=1vff{ifCLZe{)n$j9 zcJK%t3aRYG3+|n-spt#*rE!LmzEe4$bGEV3EV|uJ@+2vl|4QW%zHQGT6az>`-J;Ix zIqev>pMW#^WndSRX#L8D<^J*+g_aNK(yH&F{QbV+)1t6Ql}CWlty1HlPOh(#?;~I) z2)SxwHca`;L_W#Gbj|)$7#vylrJNg-jyVp_bVvGFsq4|hKN?2wX!jsdG9Nsi9%*9h z5*aU(;imq3jl;#ZeCFhlw5K)Li2Fb)<_voPc{*)Sdm7fUFxU9^VYJJ>0ZP@qlMO%y z^N$4er^>$SM)U6gFF%kvtWS`0lppnu%<@s-qv1t{*#> zpFgMFUpGIAn?E%-KWQB_A+$sKmh7I|yYH>LrK>`6wRFE@hJhm&cj*)M?@eQnp>1!%wDWf|1Sn??? zqtv0;umOcX4-O@X3iG29dMU(Tdz63(gs))hh8Lp-btZ5=(w{%Dd=Vsk_mQZl90nxn zuD_km)G=S6R0k`X2Q`1lrZ=Rcxa?BYJFd;TC-}~fzlAMRA;3V$N4ysjgAaY z3rcHc3k~rfhISF%mdIO>L@dk#OtG)|%2RO>6#=H7Rp=wL!{RdW|K^T)#+&%^I}^S> zr<&$R;s_^V?%n2<;dZ1du?o6gE_nu5d@vsg|3$d5m)<@VPuk;{QH3j5OSCWX(N}uB zoATCdP?Z(X7o#2PHcHbo?*LamDBOIp3b%adL3@@O&KF(4+V-jaK#CwWjCrPRXGnbEfS8DZJV*Y^x%Cg|}*aKOhcfqTL$0hTvZrr(DlH ztIBhgn5n=I-4X6coh4K4@-Rvz=9*>KL>Bd8vs_2|x;C>TOiQOD%#t%R z2G(^f0&x1eBh5uQA5#IK&19L`5MZ-Dx_LUC4gRnt$;R2+1dw%*Iya!k%3V?4iKBR0 zI}wg-{Ap$wleHGTrRqtCoV1^ng7^$`9VwF|0XS`XTN&<>=aCMWAf~W z&!DTWcegD&!V5Ier82pF@=i4E(k63vZ!&*{VsgXC7oJ3jG>l|$8o~8$`8?k*G~4GAykMP!55!`kB~(S zj3(*@5Te;~>uaVoOuRm+JWR1xK9!rl;bWqTmjBE+0n;{rtYn^_A;$^)-?)ggF5-#| zh)Yz73FEtZzoapAOIbcM<5riLhJytUa9a|@X-hLj@_0YGgJ!`>>l*Z1nymRpj3!qQ zQ+wh34@a3S@TQAf;f!s&qY>U|!6ET54jTkzh9JUrWbPGV)X2(>DH1ul7w`AE;by4m zwdE*XruB9VEb|GZj~Aa4l3S*MG&d}YcomJ0j|%ay#tqXa*Pk!VZ`ZP%Ka&d{!+;++ zhmbX70-#08rCuo5Mbw~}VdRCq};KGhsys`|>G zQDbf?CoVPg+Ay_4?-f!b)9rauLZN85oNRjnUMh`9f6yndH?qynGiKL^ZEq#+Fn8WX zACZX_QPR;94Jj0c#F+0N4#}yn8-(i)47f+%3@Pvy2DOz{5iaggk9|AX!JgrMUOrnkK=vvGYm*DPwgW$ zj-WdEsMaFq-6`3@1CGg_VRHu>cGhgkPmf&M(C+0eiU?A{Q4sR;hmWRZdlAKdf@|j8 z1f{PlsESY$$Sde7TzL*hs{}mC$Fi}$7pTke(XZ72UC!EtR~fUfUSK6USNmGY@`F5$ ze`nJy=k;Ih)(Qe>$yAh<3O3v{a7t(3B&b=SB&h>bsU2?Q4eLkxZiJPa2Qb&@eL{HE z>P-_1W7heCTzLwDwy$B~M_x(0O95pTBfcGJ=}viT;#MEhCE8>qqxyZk;xbRS$dx}? z#C-;{K7uia*pSC|s>W7+2G|{{0+x~m3#ZbH9%a-XIl&=^H3?KNGZ0UJPZQ32K=3X_ z_9HpaLJoy{fF^S|(Ti`_WHuDmR{wElpu*cR0@C8d_0g+L0hhTIHXm8K8%p zBkxu_1L2(Ca{qrcm3NKTR%hL#!n)nBp<^rlVOF`8W?b@J|ClHg?)7~tBaI^Tt5Ou& zyj}?{*-x=(NQOP5R#nTRE0q=}S{ zP*pRdtHavx#-x@cnCfTvd59MiBCgo>X@u=CD0hLX5k|05!^)#a5Ox)@@*kOrT%B}>8zZiB}|chN_2b|+Q`s5qd2s*S|A zug;bZB$1?7SM*Vy<>iUq^n#cwye2;M{BV`b%bexi9tTp#WnT<)u=xq%j}Tg(1&DVT zONvhR$T9V*46$-1-y=3%`SQsW zu5+OeUd0xP?p-;PSXv#}MUi@uuH!5!B&C$uDM!X>AOsB6X7@r@}*oDM91c}pvZ36O5y}v7Jyu5TiXAr9f1j^%YT$KmyAa0v2Il*Z@ zxP96~N&f?Y?SESS3QztYj9Xr!pU zvIBGckY@M7Sl8G>2+(rj^Ou|*I_AZG&7h1bH;kM6%XX7*7%X#n(^yj$UXz3ZH4OQC`4P8GK&-%1E7azb=C>XP~z zS(t;%GHK3h&~wUWUCQa=%*AW@OKPDf7FSxQl}91!bregMq$po$nu=+Dc&6&bJgcWO zZD_y%?h6H?l~pZaG`HB|C4ioiUWbwy5=4WV@(t@@JJ`P}_~KOaB)2Y5ghSmINxT)% z6}()sjm3%KQ*{%0_M0~B$}(*7mT}KWUaGI1JK1P$1k(Ct$u>nkt$3sky;|~`ptrEw z%VOViBYn`aY9OEG?kVsI9 zGQF2l=@WZ7skgmcv^d4tHO9CD8s3WQSc^u?1{C%BzMaoQgem>-C_^kyaeZB}>JV0j z9m`@6oYbyZf-}z)Nvb-!2$c;_RkO=n$DU8W=8j!pRTY79mFRHB?zmL@+c_GOU_nr; zG2~ZSS9@PtUy&od1ZmYH=Mq+EyW!_Qf6KQ<{Dpy|b#-HxYASJ;IHlOOO!Pcjj3M(e zRBIuU^(X=I64ufjpFx9Wt+>LcP*biSV%dlqpX{mkS9^`45#}h*FO#F1M6$|9n9Zy) zV~cC+jXQ41F)*NouZ0=AkUC{oU6h%0@BEU~V|G0YfNcVZK%IJ0-GiHj+T<_6Jzps+ zY^*lv(=YrZ6daJI`|^Vfh9Jo5E&5ykk&%43=%YJT(qu)1nNZoavU~R3gRFVws#cA- z^+seMP;{fXyF*c^Zu!#`^rq}#x!2Nb>p{xp*0eiB@h)g##6p-k(A%L9b1nmk&c2sl zdAL2B6D@Zro+V5xkCp$lg9!$F)bVLM!sz)!agD`w0`ZXxRIDrd>HEun7`c)HLW{oe zBQHT>B3yXW8DEVEb%Fvgx2h9w#!ggujBGl*U9b~zUY`NGExk?XyXOGeads1ah@VQL zu$$Hy^oUlT9kOlf^@XqbrgX>+(Yjz7SE&|}!`t#x6>iS%L6}Jg)cP;j+=%i7EUL@e zCZR$}go$ENC~SxU)4XwOi(k{dRlkbcn&F8q1Qn0QQf6#bR}3Ds-zg4`?ZEA>q$uX5 zs9T{ixHVcd#8@UkM$SQii%j$6UDA3>a>_^IPIJX>gdwd)nhd(xfn_p9uwFsK9VTkosX6B>}fWk@;&Be z`6E$I)+ig7hwr#4x@`pW0sel>M#{wJYxR7ManotW=u%=u;(e(a6WMdA5Q~vQmXxmv zr^h1zVL~@=;eoICnbmk%XSTlPhPEFYl6Vgd5+^L!$sMtZ55d0DVZ$W7R4)OS(=rAy zzP&QMCO=iTfJZjP{gJrlA4|j?6k7FgvexQnGM;PV$-aX^+CV&CpICeh6qpZWKdxuZ z@6bC=oe1kSixWW`0lJr{g24enE*La!KMyztVMXtgG8dAVa%Z>!BwHoS?m%#%J~mBeuz3K?n*w{T!3%H=OG7pe*qPOO=}y2MSPWgIjY zv>Ajgb@VoW2OE8wuWCkpHpkcf*}f{vSyAPyRqjF8ETxpk_P}i;_p3t-t`?%0O{yF* zDAn?~M|sg;@nx<;!4$g=EvA@nWtWO8S2;}W=uNdOlI$^55~r-}9znIv(h1)wy2>3^ z4U}CzO~S2k>xUI+ikNv{k(m9ON1yq{Jgi$xEEC!iJ+GKt@7aRKlLOr~dE0KY`9M93 zjE%4tA3OY)&0Q$r%Id5O;!W=iWFgw5REGMaS z=R?`K;GyLE4_#)t;XJT?Q6RS^p?HQbs8zR*V%M+jC^RDW% zn6*lneda^|okII2T7w~a*W*iMt<$@rpG{e_!e(Cc``Yo9NSCs!JSOo9e45XGd*geD@(m#NdujHdNSri^NJ$a2)h9tEM5g48f`E6$X`Rjjw<=|6FwI=G*k z{LL;NW_lemFVs!nGnuLdXZosa+YvWq-lqA;mYJ@)t~CSbkV^<%xdVRSYd{_6&JNZX zKQ>kEp}JyzJ*28s@r9;8tVpJy1`^)<4uS^N^A>66p@-bT%VDdA*DYK2Vz5t9hCoAT ze&PM7fooYgPafW+*P!?+XW{KUhU#Y-83c{`TPkd=Ue#m9VWKtKH>)v9ijIBGq<2>F zo-(O-`)zqg%?NXj?1cGAr^>nEBTa|yMs)PJZfh6^mW2b1|*H^4&W4^5Tu)SHhvY&S%-hGGBKONsgl8!Asc49+$5qb zF)~4Q-hZTZ2>N;+e)R4|gn^~?0hMB`lD*~9XR#a;_&Bw|#ruXri2>{IDpY8{OW27l`P;e>pOR1m`}?#itv?t!?ApN%lQ7xkgbRQpt-JJA+N z7Z{$0_Py;(u8=E=+!FD0+Q(oun73s-qSO3Z8o(0WJo);PU(^9kuB z+hpC`CGKQ!oN(nyT5QYdlE^e!ETr%a-v#&zt%m;MN4Q= z2Srq1@zv4%x%beCVrODsYYFtMjbMyu^8;8`_2VDHU0OIv1(7FK}?CxqKUfh|7Q*oaSr~u z2`DT!Haok$y=daB_~I5McHNn~h#fb(5V+adeh4@??EkzLScFK_RsElpLBP$3&!dU| zuSK2hLt+2_qW|0O|Igd>?FX$cojhB*#ind2i=v5`?eSqwk0(2Mr5XPkjI1`Y#}oZH z%9XXS0y~TwH;y$ANv<`jX8LJGD^u?t+|lRcM|+LvKIe1=p2#!7ca$Q7<1OZ# z0uGL))elD>`6R55XibYd3GYdkDhl0VT5uGJbfmv7sNLL#6!LbjDjr~U&s_?|_s=ub zvN!06ttK7Alcz6Y6O@8Utq5>mQ#D-?CK}Lz2E$ENyXH;`bQU?xH?9qLKkrjtAg)U5 zK$Q4{C$1VWp%5dkT>Bx7Btwgpk>PcD|HpCwhG%qUZ7%vkyCeRSNSq0r*st<|?Vom$ z+bBP&4@g`LAP+U=$0PS=VXeHmw=N+n4<&K~^al4%Z!?NLWZIzxT1)=2*O7&KfxpJL z4cWBzz`+Kw2wz+(aJbHr*-0p`E|g^Vbo>r^A~5cLx|WD=s{@fp$dOo~ON?R9_Fezf zGZZ>5;fY*f_34Pt`cyI$nj(IBD`BmBH^X|dZ~P7}G0rS8eW0wHT{;{ZgTtYxGI_n4 zl|DA-5|nZKWY`Jc%aG|w);cR0vSShdJfkT+qf5v(>j}O?9WFpK?1g69)9iAyczHY? zH=;@n(dldnoi&04t9(af@%#e6$t+Hnl>&#+n4j?)D?_T)v_-;?Xb2~vD#1e5I%S5m zL?pC2yWO;}&Nz6w+G^?!mr?t4xXJz5jc9bn&svf6Xfm*P;mP=9XI)pO_ZQa@|LIX$ z$x&$Q&{aDURpwa<_KxP&3Uy zlbKcGucqA=Pk{7Y`PGoZVeaPCl=+sEWcWGfl8PjkR+^;rI^=VBN04r@VFlHeAX5Z#>c5G;Sw&?ox#KQgU$ zmYBZK&bOzi0gQrTc&4648^TtfGuAx}=}TcnYPZ;@^|}HzsYQO8QgM_03Hg~Mpm6H- z->A3deT4lX5VsoGmLhiA28kx5^1>MW$xk=hujeI_{J6a0Cz6=v9p#!OGEUE9a!+L} zY-Z-r%p!_vVJ>n48z69;w32S*Jb4>znNDdWmH7w2F%0j9v#gHfVFNwI_- znB)YR8Y?A^R+=9MBh%(xQRz$oG6I;aBU$zB?JqL}Cdwv)%@(xEchK%?9_%q}6@u6f zMIIf*-dEy_z>S(`C5rO zlUgh7eE8(?F7zjw*Zvw+bZ@-iH>DLWTWufr zZFp1AMO$K!e!FILvgjct+3$7t==YNxR(qsC(SL{XiBAuKvT07(axKbPwe#aQPUJ5fEPCGNW4tVY_a^MP+|mIPuZDB@qbk;*R%uEwke&+#D%;}ToA zQRpGZXD7-Al4HOiWz$u-U7_p1fgZlk!LSpV4Ku~PAp0mei@fJqgttOlK$Y3|B?ZSQ}Y5JZ)(M{-5>b3~$~ z-y+&h99?F>n6Fb?Ltx`qDcpmKHwOXu-%^)KWC7t**yEb^h&yJEH)N1#LE|jh z@_*|tX=SH7+NOErr8t|0^>5AO(ylFYd0X5)n+Y?)@Wv`Iaa z1BZC&*Wcnlhb}yX_uq;yC};aRgRSV^DC0CdJ#imHDd~x%R*4Mtb4vg-1#!L%3#J4B z>Ue80W%pVIw<6hd+fdM~Tx~SvLJPM`5;n4J8N)&lGFtJWCA`+&OIOCF^o(Kb!9J*o z*gy~&{0Ed6x=x-T6&*q=wil{gSEg9>7*ZTY+VR8grHn2T32_+3ZE#R5f0>lbuINlP z*RB7^CrP?63EDW!%YYowzD36EnfKgl?`x<$Cn`_k9Z`ar&`@%~o#Io?9t-tminykr z+NhaZv8UIvTA7Yh)XAs2ec(&+d*Z~&!G1ZREdU4fqzW@;)u z`wZODUANeA<2VXG4qDR}0*FGRL-)(&u(~?b6H>s} zJc8iYDRIg{O$hgkPsADzw14J@LXPlw=@zUdmscUWQ*7M1_Ro zab$SKSf!VbcQK|>Kk{F=TIB^P1&3`uy(_$W4r+Fh!piz>;$|$f*U@e%O#ud%c^gHk za1eN0N)05QL4zNh@ptU_ff6<$z0S>c=9ZW7PTBb^o zZaPL?!XAf%GN2KB(aX9~(*v~M17FhdnGG9Ml0-WV$Gug{X~SuAA0G`bfu zjTJd;q#PGTFntj2rQ3vg#H!?|QK(~quc5#!9_Mv}*aR+!xk0d@DNc$aV_?dgBRx!) zKm~$p4wLp^C2sh*UP)|f{Vm|0Wm8_t%t;?-S4!{ICPfQeIKBcOj`3f~4z!Fl?_B1x za6F=mO=!rizk41}oZSsC!rsw+MfU|i{B&q3%>6K%)o!%igTHEHH%edam|moDLjq8r zqX%xy{rsEh2sgy^(r4ITZ18~t1!9FQR>Yz#0_|vdlF6jC>`8%>6{4VNgKVkgRkZ1k zp*gh9j?vF$)0%6b(4l79f{7^EYK8@o`ga8$f?n2n$EvoX87#n9CWZBFT78f3~83V|9CeXu|Q8L$Ne zyK_*jxJ(E30o(gy%%A?m+nAX>EfyBM0!Zzsg#4s4Ozo~dgY65W>{GnpR1p36Dg(b? zA!_ z$5-Ct>n_*v0R#p9FrG1vixvV6Mxr^8Vlma9)#D`0OA%OQCE|YT>Z}*_X+b7F?X37# z$xdN0s1iTSZ@Q@Jkg6IfQ>ZtWbKC%HqQgLsck$+^uHmzE0T1o)(jK7479f9sf>Z_@ zA2OPgXQ7S*K}^cu^9IFK`m|Sa!%;zwgF3^i4 z`SvTjnTj?_^)rk;?Se8fY@<+Hcj8Ah)OBrGjPucTMv;i zBwb~CMZ9o?Cm*_hkqx+JiTX$fr5MKU6>fx0l0EJ(%VVN10=XUfy=x0pq6JiIzQ}22 z#T~ZpxI6V_al$Nw9ID!h)beqHEGh}n60RfY>i3xrvOGIbEA;EYEpjt;SU?PRk>Z5g zj9v;aSmK$lotFD}oMeR@C0{h_zbGq-M9Ujy=k4X79fPx zgpt?(yI|Gy-ELX=Y=hU5XzYNPX{b;SQV5p+sDqN zd8t`qJlNeo3=lO9Gga2dSy{iw+G>fV(ZY3!w@d!g!_jj!5#c<+W-5wG2{-|l4!yXWwn{=e!pNf+A(%AwTvBya3rOwGBX0|BR1h)0_F91aoKt`kSAca|!jVvOR@DcqBT`LAz&X~l~ZuMil z#@v8O2N9HXEJjcN;dZqV^2XXk9QHthC%ydRw5l|O?*TSQYx_KzCHlI5g6dfvHB|us zJlZ_)OMHX;(RFf5)nY+H%vQEA7ejv5r4jeM8Ne{116VDNJ{_a>~(GK$*ivOZ+w`H;vp8 z3akH}jI=1A6DN9{FJ`_9E~c}n(B{voAZb{Lcsz_(gM7WRWdd1b<_IyZ^+{s^8~@cVU1Bvz+CVD|M2&tPf2&~M4ySc>(;jUR)*7wqSn zrflq3BWh;{y9ciDNI$l#)vm)g>W6m`oJ48rcJvnV6U<--@XRp#bY^_0B=O;o_62lA zLKc_K9;Jx-Hro7wk@5q4N;vIjD$8k)D=DBn=IYVk5{lzNo@gS&iEr-yqQ zco|_lF%VhdI-fB-fJ9!DFJ6ya1_q7f;1h`~5Vvzs`lVhZCdm7V>tT!gwtWA7yPfIs z$Ty$ohEuL{5{g)?PNu;8n^HkuEH1uV2Q~vTQzF1r5lomUZj4I^fg)j?8m{=-hWFt* z>+@V2_pG(o=WF$KqjTxgRp)iHYnFHV`}n+YypHaW(PP0oxO8ls!3P(SH!Is74(*u# zZ4klKaB%yt%ySWWY+eBec$db}5t>cw4@*`9PHXBxTk9DSdZnVZg3yh9cND~nFh%S5 zipzfoP7w__bfaMGNJg9t*eY=CvD3&xFdBVoVB__THA z(OM4Q!u}V`OPy1ZJaBi=9`9=LWKwZxE7H-}CL%kG$-i_>qcP#ZxYgms_Uc`vz>_Rb3Yi%bPh_FsolTvJ^wj(1~?=ltmI4G|)F zux^0!jQ(XpYVrU4ZC<3S~+&Ir8>cz=7phqRBUH?;$8mf ze87nh#Ui$X&0oy}?#9a!&m%5+ljYEsDNDUz08I>DA@AO`3+K^UA%N#ZM*^=@)F$#(zu zCxfK0EsEU5CTq!}vE0h-8az44y4ja2jixGmZY5F})M;bJoXqPr{no>WVdTe?6 z|M@AX%Y6@$&ITW+ISFeK_(@SFXDuLn!1(sj_{o}{hA%HfClHFAU@Nd?KJ~=2Qxa1{ z)BOTYb*LZerf5d$>G@Uone>izj66RdvK9IZ;!7syyD(?AcimzN+9S6WZs3$=#3`*= zs%7tF>ox_9YJ_Cz0uFTa$0x7X%RbEA=D$~B&3sWpW!-JbhbZnchbC~NQ#SjE_oY8T z6-ZWv$q3U5(M9^ByEo9q{?)zFN7$4)BsVQ!n`5;2Y8X>`I*p48Ii7nS? zJM@!8&#q}xAbYK}#iEkeTyJ~_B4~)2z0$VT@SY>JgS{-qMx{u>YUFZ}x5?)!g82?y z_wwuFw%t_d+sw-J8@WqmQkT1p`#4sp5^OTPAN|iMlq->spV86rs{QIJx}R~Mu{P8A z5Z%8{$cE`@_=EY34a4I!-J#bN)y!S#euny`L(=@>aI?yG%f9V-W4K@Xm*SHKJy+^` zs1v-9TaDsoUk z^s3HJs{Q-yRdV%vQ|e(5pGvZt7!{BBjafG@Sn%mE!mZ<29=&>Jkg8E`Dkl}>dBEhu z-k}*uw7AVt@sY-UJAFn8mPjUm54rr+TB?u7a{im@!ivI}o4}p>J0wz{+)uT2Ic9O2 zH3IF&UC6&f&7(chqxb3tHORB}XBg4bEG0gyj|W~tGdY4KGQ1(3t^S{CO}O+rxmd*%I4!$P z2ixMCC<27g*+D)KG?8(WvGDPPr}}ZK$@g$j7?$ge*JRf@WMmmbxXe{zKLru0K8}CQ zyMcsC+fHAv%UJ8sN3(sIzd|l(mn3XCG71e@wPbd4x$rA+*Z@*b5n8r)vrdvF8#WQC zOW%+RnMk;}lXtY%@3_Vd;Ls}rlH_?WCY8CV(HkP6#_-6RYdzy%1mjz^Xi@rrc0$|o znJ&b->7D;sLZ7(mvpFQDt?*5h&BUsyQq}h?TXacm^v7GdW$OPs^&`;=U&G z!`m_W9Cx#h+k7n-<5r6_x}{rqUdtd+s3dtT`8CR5Sc088?>UJ#d(jHqFX7 zAW79bZoEfprvbZB6F6f8j%$fpMN)6PF9LI6kk4G*Ts?wWW$&n9#`%(-t}bQBQEz$OG&Ged6Z31E>|VbPwSH9^2^bL%EDdDwCIQ-O zo19(t@!S>qT!-;S*;cj?B;4ft2j8l?fxms=lv^|BQx_t12N*igktRHm-P6g&NCaeF z^^V0xCLqY4nA%}7H{=jUtQR6HoV$VpF#*L3{TV0yA4<_!&#Hp;0Z3f|vOm@O^Q!Dr zD_~TiKQVy#g~N8V?$Pms`i#m1t(Xv7LU|$cwW`$^y=WSnQ zFhbe#YhW6d>yuKe*TmULd^?ph(I5~j1G+&|<-sH8Nsf-X%BFM{WZ9{m?Q9|Tsr)}9 z!YqM1l2(4F!_9|fPPB@r`SL5kLrEMk?ynL~V-!(`+9eOG=kqi-)3Q<{Y35A^Kd# z6`em;I~sz=adG0F)D36b&l!d;`WyVY;${RZEjJd0vE+Z+o6Dsw6evpG2ja5vQZZki^NUGUD>C```*RTo`FAaLbc4{d1h)59kmSQ=5w~j* z(smYYzab@(V4@BP)C!hJb^d4H{ z3M_#3X)+IElM$}w(n^_PDYls^g9_ROy~e*Gr5Mx|U55znm>z3GTfb5V5KOSI8X6n- z>ine$gvv6lsKdX8gh6aYIECQEil&lQsH{aFRfT|?WMD1o{;Y7@?*LLZ;FgEs?O-$G zZ-r7s)T}1xx#a~ueV6N39+Z-o`-&^Wi*m4``Z^s(ia$8txw*L6$9}IkUepH@j`Tez zAn%W41SwjtvH4uKlv^JTwPwj#-3BAJ^u_96 zMmiE53)-bRxS)7Mcc2E{$>R$yx*V@sPo>gzfAEMn59nB@z(`5dykxnS&AjRy9fxL&)Gt%!fV}n*S3|lFE&PxvodVQJWKRlK!M}75F zrCgelryPXECQt7o$%En1BpxVPsQEP195B(w2G;9@YmU;gPhq$6fV+$^Npic@k}oy$ zHXc%Sh$r&8wwu9;e;79guyMgRO#((!Y6C!}0plNkYI+B`yp7_DIQN z82*2*AHE@M@gL|<~0E0vsxyr@?GOTNG!E(gN|XZ^O8zM&E5X`&jz)o)Y?sqwM|>UR=`G$Lan8i0uUEVcY=i0@F7S)}c|l2$uw)p_~V$ zihUZP(bTtTjf=p7oV~iv?PtdJ1m-Fx8>P^hFYcegq>6-9{ zJwTLRjHJHDYlXLn~8nGb-NBRRDEfqU97OXFo2Xa2ykOAHUG^r=wHaa+Ud$(SAFwBG!N{QssJK zr8wsx-ThVj{`c!BXq+hX!+&G04)q1gDAId70%6uYT;Rrh`Bs|*9~cFTfHfFr-woun zYyVDd30Zf?a2&nKzgqk2ThieZtwJR-u~C=YOuXvquHBXzS=4q}r9?M?Z)@Bu>Lp@* zfNm7}%AldKzIFO!Q04I@!gm_dC>GvA%dqEC?P9~A>{qw7+1yKH00WlJ#Vsx7e|4)X zU<{c-tIe%3z`JZ9x-^N|L1?xCStIcZawF_PWT=r;rOP;*+3(9FS_+Lj*RYNyI|U4b zF=7<0T>g2M0G7Y+1;{6`#?N!jPjpMQYa9snlcF$6+L6e+jZeX(jt^aS0hOm+)OC&)c?Wu@T?3E*PL+9u5QXZw=D`0j_B)-CQ-~pQfmmVP4fd!0$BIw z0RqxjfQ1vpbGwl0OG#bb1lFCSxSQLS4l<=Wu|CRx#F=FG_YX4ci}Pd}5qQcv1dUw@C@KLj@EbjR@xZ>8o-n{s1N zb5iBqldmDj$N7eCoOQ5=;ZD+#9&9E3xk*m??XlluU>v&BOAs`Jmjznp8_lj#*Gj-` z2a-{nhgmjcl%#FQG2zjVUOA{4U0u^m>E^Io_z=rI{oYJh?gxB;Bgi3A5RWC&Q-)e8 zRr$iOy1~=__>>YXa4(?eBX}dP3qhi;C1(eMFmzS-wlwzI1<|R@?MOQ(5zewpv8Jb) zRU;|xR)}UC?k!`jlf#LW&L7}*jtybo6zK&f|@^Ch0Df9*HB>Z)E$U0^Q`I8u2{!XS;~=eUYDSn|0UA?|WFY)Qx#rHZArb*ZP;> zL5GZXGgi&<)uWLmc;A7gx1WG&(JmSl&1C6mS+A=|%@CVUbAcbWO4JcC4PAP-<7q48 z?kzrVoKgXa2>K&SZ}^3ip|Y06O8a4fLyU6k%66FO!RbU+nWl&qynj!azSKYDLZll2 zy+1q|l~#!-q!9*NiPM@T{OpYpn;iu1P_+jZWa8|wSZ+y%97MVM@JCSl;Z_&W=tqjy zz?to7$1F46Z2Qi8I6@b@NHDX%C3RZvs78we^@q)l&!!M6d1&17$C0ZBQQWiACT4NiTP8W zlPI+v=rXSoAaw4he7#!-WR{^v*spF)jxg`MZcnh``7s_KW*g@t9<%7h!8=V{cgJO3 z+3GIC2rgvorzi>YM}|^ENL7?phU(BNO0By;Jn{{+qB7aI{c^uZ;du$;KV_TnlysZ= zQH%Y^pMH@jkc$WAT@{Sgwd4ZoI4^Y-2@W0vlX7Rdk2nfQneZ*@f32LfJ>s_>8-uHk zN<8LlT9pK;rFbW#bwt&zqaO2}7f#u=+4oIE83${CpIXe$xotyY;&wFR`u7>q;IiyP z8_I8zb^fazQ!k2B^%Gd#t9zN;-Z<(ewEh#gyv=Q*b@Vx_l5AaUBe_f?s%xSjZs4L7 z!mI{UTc$K*s?%^C72JbMxR0c1#NBS3P27c)d(POd8|f$$xhmGD&*s4`-Z8`6(Tb0ps!9+y4r|<*vce_h@%}RHq z>X$AU;(VP4@mLy!sU_zT;UU+STdPDlw<1f7-i3bwjmoEI?C*IcrZtdcLWU?e8iY(k z7eA&6v6NH1_f}4gm*3OP@4eU6ZLG?I;A{OPQB~cPes#XS^cROx56H;q=v(~Sry5V+ z{%#dZ;SSi$F>AB@8unLYndtKc7>I>nMbkY`=~z8}Y8!@6|LXO@x6bb>AzSRK5xs4& zQU};Gf3eZ2tNk5O*KVa!KoDMxK(PjSpVe^@aT6L$(XSsd5bnOkHxU}DVQs?^NH;A! zQTLGh?1bEBsOmfDOFRPa)X#6BDmZ#w+!;HMZ)8cLmFfg_-gMh-zXsKB!Q`sYvQTX1 zF%1LU+nA5#iyc=#Br@%}4b|65-zS>*BvpaM;xPBKJMTuS~H4FIsSxekyh-8ART=A z(r=MQ=P2uoQZY$UL=3)T-9eYaLQ3vq2n_}lRei~aEUAkcS}m9^3eBy5)p4%&%vORe zyi(yTt}3vm@>pC42AVgUfb;FAVe4Sv-V2fP?nyLqq_BBjh)pnb8qH|7bV|Y`Pxqsq z!2EPzKp%uFM$VdkM7K9mXQVM_RVrU99E8P$v&o*aB3i3viM>!hw<98| zYS+r%`c)cBLH+MdF?W*Qv!EdBcR9LqnJWDX9WfVdEEpNyjX_v%=E9;p-#thSugTBV zpAoqXbQWd3e1U_wq$nf!cm3l$Cy06Y6tL6;>$@G0<8P+t3yV8yD6qAx)F|<8BS6eQ z!Aq>Yrcp%|BXnQm7G27|FI(xr*Dh3XRj3N=TZ}bg@sJQtFP3s0B%EU6b)bqfXNrgy zJ;m^~_KSmm-xlvqVK+5sE{ExtUbSN6)B`?53}_yc#R1LX-klz+X^3I0>PgGmAV|}k@h6Zup)3hZUkXjG;xnR;shb=m4-_9xF_P4T)8yBS71l(;p`NNsp zB$nkQ3ihl7#&((>XnC#M~eXojD^%SHJcM;(KuP4iXSgUQ;@G zQtfFwU5R!pOhD1#*v;BWv}^4kGVPs{@lH~v^cX97s+iy`2RoBgejm^Fa#Hz9 zvP;3f_Eub1E}sRK$kk+Ov*VZyQ>&a8i+9z4;(Km7eP-YG@^!yVIhqB6-vj<*%D=lP zr>Q#MiUw*4dxYkSms>`j$qmh0yC8;!(VEag_4rhLbP~YcS9jmaGM^CAUB!f;Yh&d4 z_9A^#<&N3FV)i2GQS^4nXI5^O;O|b`r~k<{jxsfhLRLSpqqg<4Wyrc3g_GtihdM@u z(qu{{QA4M6xBcx5Css9O$ZSMm4G)K?j=ojoO75Fse{2hvs`S7MRW-CY5~-nI4ZQT= zDcY2y0(4vbh-szKhq~!&-Zll-&EcssE>@Bfq07=l3ep9em@cOnCWRGF>g|^x#q|LX zG%qlI2wviT1|F%M0l{;^4yI~cIDIXT)q?G&%4f!X{~C2lXUKuVy*O*%%ToM|YVV^A zoBUcjr?@*xznauR60Y<>B>W6ETnF*Xyf8nHB5?u-!pMJEe6p@~_^J2gs|ew}-u8;F zGLgO+2BU^%@!RvLE0fIINnit?8a1OLOgdul$C=s4}=-cM1Zfe5? zIPH;;;RUyTg7RRR^~m*43pas=j!#`0gxeuTZ_nOYYr{|O1 zcVeZeA4ketwjG?_QU4>duZdgs=Kj4rC?T;Yq~sG5C4j@=Zj5JKFSAM^`+|@* zfN9n7(D)Qe0f!^(k@YJf1-efWa{Y5hANcb#YpGZigUH+Z{JKKWFUvvTJkC~l4sNxx zis@ClQl_IDr3VrcF7>2v7X%i1~iV#{ER~3`;pk z3|1U+GT6{&MeE)*&9WD^Lk!&Rq}EvOC^ZZHT$^7iWLeWyhgvdZC&Jop1|ijoTek!> zvFF#~`2gWyOzndDtUyTBpONDpr`>+Ry)#cpJi5XP);4~lHDZphb|gKHA4POVl|LHb z?byv&Abmc4CsBI!JIuf%ALzd_e*%Yin! ze2h9Cc+6&H_zURbUs@GkWoLuNRL`d6jw_;Ovwn>4UlNMCQQjN;>-3OUH=3KZ6<%&*H!sTcpHG^SCJluId7U`fD`*u5zj`t*`q_dyc4qT-Bp2*J^^@$Tr z`S7z;{33ey<6Qa5vW;JubXXxr_}u>Wv90n#aPitxek)*8SYfLImwannqI9}aU`tu- zUZO<*zAgKS@Kg*97-xN5HqzK#S$_wLe$7sP74o#=+Dxo4zxNnE6ZCzBb<@XIwy3K` zfBiTWh@}769_dK=*Mr$UC9wOf>MtnuNIaM?0ZF6I1C=wz>Yvzb!3K800++YXWC;1%A%|azz=c%%<*4T>Lzq-}x2sv==dr^S49XOkyjO zNjp$lz>i}ECJ*;0z>tP3q!j**UIJk=KNq-AAbPx~D#tVIi_wVFL84(&UITJZo;_9j zU=;tfe(-uTgqw38F~kz|(h>)E3auK;b-so-ANa8x3oTbic3!PU6G&`|ZjnTSsDdfC z&8COHq3)&^OKyF0SDXf^pZ2Fzc1p0Az?XoZ!MAtm=B{%ZAECn8SEWZfTeuHezZ3i2 z>F};MjkuF}BO2Y!{x$Ei(O}_KvG*-C;k*j|VH4(R7Ggdy)-E(8+6(9rd;?2WP@aB}yo+W-SqI-^qgXv$mk_c8mg2c*q6k$xLNn>D=mOr{Kdns_YA-|we2Zrztu7S zU_GRz^&?PT(PbAh2lltOLB?-6HCEatZ)Fm%-}YAJlCQa(`!md2O$r_x307B@RomKvZy)V~cU{dolOQ61Z zEOhw2X@*_jcl~-dZ}^{eS_Gbo&V)QKm%vYpH@=Nizx9R{=htP zCi1zWjcjiBanMz%0$qmGU$r^dOl+K`bCe%C-mwKACuF@FR4hSh#3?4Jsh+mo=Rtpp z2qGtlypOEpA(rX03a5A>6_(HcAiuksi2Q|Ekoh*8tbT~zNy!=pBRSxP6kbI}zsI{( zu`g9PFjzT`EVi=sh^A8$?mCQ-?K5- z)ZDEhgD+=G&5OU$YN7VmOeDnZ=Lr5jskX#|=QdxrE{mAhw@tI{hWZ(wN1SojrzLGsS@BuQY$z8ZX<=T|G|io@fC!NSci-RG0mo$NcvQ4B{G zw?^vaU9=^LBnDk1S`6y6n#fa7O0fdt`6;cTFY~~M9KwhClehZb6%tU;W$yCEtJeGx0={Swf7|wx@dRJo_?Mm@oR`IQL>7J_ z0YP$!@>76KZe%Lt>|AVDfDCK6*5y8{6#; zz0kzVzPSgYC|-0P{K>n_d7z+v{md~Bm{CC(|Su`R@gaM5WjV&qfz#i`m z2`TyympNZz=KIJNE`cMp_&ySX8Ul)VI4Spu1xW-+9N?!Q5-)f1zSqf7|AUNh8fgpw zivf!vb+rG#5+OSO2b=0${WXV&i~7AD{6Ay{dwgC(UV_N~O2kL~2R6XPi6hY-6h!O* zpP}$yB+)zsGAlA`;>iC>IDUij5;!5vK_%Vn6-cLuP$K_}G+FxAJ&{J#=H^#6e& zAMMx>p1{LL4se+W{TCS~gY$paMEt)JBclI-{lm?MBi-y3OyCTYq5Lm0-V*fx*x3JX z37EG3Qt>|qkdNY_|A%C@CHxN?5&tXkUlJpn{>#AsBk}){`2X`e@k<~-;kL`UyP|mz z;qYeeb4q(l@}`COX?FdEm*JxNl>*Qowsn@+zT83YGA}6}rF2_Ma9emtvni8X95X4R zy`VqRkAHo9r=qBQax*WxC(w5us6Q6-uRA*wO8Dw6%}+u$`$h9~pK;=|A#&s6Eq>!e zx#AZ8_(?ZXpeAn zuB@+N<9eqOq9?12FowW~pXj6!G(O)=X?)pQ8o!z#4a<9Fg_#4h3JhhN9Ar>qH`fUL zHsOHxWothYy0c0tDVfVPY?jgxjeI{1Z@U`;A?N$unn81+1nq?j-_S1|T$#IC!`pZU zb^Tb_r*55NwuPU${63Bl`_$Ts5em7*@cYtE@$bgBIHqV+T~VDTfrIw`b_dn(*2Wbb z&;wa$s+8a2aVk*0(DzaP`E#L1AtX?-l`Bm}qeHJ2)i303#5OkKyLS>@8LAnhRLyUd z-mbI1)50Nf3PVFIc8Q>!$-!S^MBiWm*ttZNu%mWr_por%P1;6Z6npl)rP0x74yR)8 z30^hYwWTWX6#hz?7qykj5cYvD9g46;6?;w_0P8BwKCkC)N&5ud)&3*_`@{K>)DlhA zwT0PwR?k<9dFffU22XE(d8sto2o!Z21KkCSuKxX%cvvi`Ikfn24zl|I0InD-1{Aui z;^dgS>KgfeH8-!uY;jc-);^WN`p{jvTTRpv7`UdkpEu}z35jFH?p~%Tsk`GY8W6!S z1Xc3AYF1byU0TWwD^3aqhGhIg1NI@H?0?W4OR7EBpuhBpMAzZJa>wpcEOcWOul7Ux zfdKsY@~`)*J~W7@q5TrdsEu58bZHVgQdw6)Toj^}n%>FOp3v4BTsfh<4xcj%xQL@x zI_+-R_>-kfMai~E8QZa=);JqFEw^dp&u#JZYebDid~-#;lta{Pr6Uw9AJ}~uORV7| z)0a-Vj3&)8oU!a^kt6Gi2RxMzY@~TWx9`FgJ9@{q_;i1jK|ZStKo5gHt_zE& z7yE76@uT-HfbOFyexP>EdHyg&aal<#)-}{EpYgLY#aG_{g|93&GXu|)@VE#@cD9PG z#q@}a&eS|cwZUHHd%FH;UV1u;kn>d2$56Fb@jRNAuFGSc!9lAkKk!5NDiUkE=+=hH z)C4L#R(55`?e<8%OnFsh>s5Ws!^Wp5krzF1SNO^&r(JYF70~0qBe&CnSyS(_>(&1~ z=H!+uz!8$1MA!Kw$cV}c-?=yeIMG{7ZZyJ85&O)~n#R=RRWsF5>DWT37}HaW7Q4^I zIzHoMN_K#r3ZJQd;wrzZ!X(>q@1X4Sx^MZ8VkLVjt*JA*uJsGZ7V=&wCF|0NekvX% zD*7yN6)2H{+sec?8(b0Wz)w}Scvu`OP((mMEsy}*yOf|6B7{~$lFXvP!enw(V^&w) zcnLfgPZWh<86~@Zz%n(Xe$p;ZL^|~7xYtJQc7W6;qV0JnY`$SguX=cepbqgZN6y0U zX5k|5(rmmdpH4Gvm+Cbbc}r5XL%MV5__brroouhLKlawqoFnb6edLy0rX4M?M~>O= zq4l@ZVHNQ6m9Pt_Q9UHndEPJ}32&%3yFF*HEc7-viEdghTbFsRuHsEt z<~FluRRt4i@nSG-eKnM6GIy&~G5Cnc58(W8NlTfTrqP<{gVShOwK)qUzUBqSNwHJ}YsO?_RVJZ!1n~*9?_B5J#M(8Ie_MDP( zCqkAejf3+_S2b0)F@7KlSbn8E>dtxA4qi_oi+S7KMn6mxu)-`IGf$W?-~luH!$5}u ziY6`b)m}B!tioiiSo-tT@~>Th=S1YJ;K(~{-Osb|t!4|#&{G63;k$P)w8>)h7zU!} zOy#pAdA7c7NQWkdvYb;w z%F&WsSA41GMo-0TQP+LMZ?{7ZF!P$T7!1NbZN?#b>-DVE`Dyl2ZD?s}(@&XMbBSf? zYDyeXve$<`0+0BqNNqj4Ni+5l{x}8nvRCvn?bdajs%3_Ip+5^7>r)b&){wE;DwF0IY6G)%^7Wt7*57))(ZvT|#1lelo@68cbvTb!@`h`_n&# zedMBLud)Byv-tXHDtCX5)??ORTeNwkF(^%I0R%WDPqFEKufuiYRCB=pIK}_|@|&z} z#2f-NnEkP8*2`Hhx~MiC>B3N#TDDIALY?1)*#_aEjUd5Z-Ctjr!a*o1StXXf@c0NUEy7k+G0igt^#33BZ+TW5=G*L&4I1vcMgSD(GS6BCK# zJeMuJ>PHo2r!pY7;65a~<*xpzco~~gSyPHB)fJ9ED5p5f(7&v(sn7(CkC1hJCcGxW zn{-9CV)OjzQPmQ{=3jjM3X@kA>|~=Wo!1JXstt`0G4{~UC{&sTPl>2D@N)Yz+_N(i;Viq-~{}0^PoHU}zU7HD80X)A5YLN>>Y% zBf1Y^%f(kf4_=lE@I|g`f{9}wQrQQ(<(@x(+G!-9uN+5F1%>iy$6wRPq4z(c_p6(* zJ_-D?4CXbmaafsG*-*yZ0U=$Q>DIZz1gQFk`WwrrT%C@3&XTJ|w~j>C_cfoH2Es(N z&U1tL?^(S$J9X}}Jh%Nk$vg~zlw-HktcWUZ;uq+1r9mhuNu{*-T`$KF7mnR*Zjm$* zkl|7n=&{W10p2_FTdu8UD~Y?-<7UNYm79;@`CC=Q65Bd5#Yt)q?kM7r5JRo5rOG~} zDF8ZT{Z6Cw-exoXqftjU7^bBecETxXJpGPtQGP`phnU(?UZj!~@I;5j z*VjScBX1sFC}LKe*r>7Ak;^n(>l%xtQy6Gz3+Dxj(NQGy)h7>&!>y#xgZx1{@@6f% zRi~~YZmv`-rJD&=F}cYW&;D`0BuS66`10F83X?RqDWA?YYH$(3=!g2gP4pf%grY`31WFL`CnRET+ z7c}At2y>F9kHTo3R$%S3@(9`h$mfqNp}`2F>FER<)fV#H=djE>Q}ZuE-5tB*Y@&#V zW5!8s@GNTV6y7>r+X(#OSl?e|=A&;{Kt0lpc5(Z#NNsC*a)#cCV}B6^YE&wi76!1$ zKKLj{v&DoP^5owdKA+5E0k$FGV!)JX%rc_ZWH{aqV*5JKUbo7oUt`+U1_FP$K*xKh z`UqEO$DJu#+nTb8_U;-46AurGG?jq?tiq%P@7&6OZcl8RM~{ql5s)f8-tm-0Fg0_` zwAQMtIB_~Z#8v4zx9@S)2hZy(vIE6GqjK&AHxolO|8=l~Izh@+<1J-rxwo9C-~M(g z!OSu@ zdMHEyO);hMI|#{+TWu{_=2Dwhb&gGN+NDP^R;vmjSJvw;q&n4a+n?pzmv? zRxJ05L1q4I`N&v$XwaRyL$7NV4~X8O7e|8ymV}}4({uM+b)(Kt`8L;xwNlVAI2yE` z+-?w(kfUlIGS-luG2Hl`Ael|}1T8$_m()>x9678tz3w2Ynu64O*xteu7Yr$AGHn4} z@WfQDJpO2Jneu<`2s+hVP%Q=l#8~<_Jn18f*Dftm>rM<4hD=|c1`u;XKxjyJWoSCY>NhhYliwL*aAXArT6yCx_ zDRf9xIgRV(dRMXuG?^GOq0K~;cpBzX@!B=KyOcKG)8j&=4r%fJ2$wpATaV-!3AnFqMoh?Lw0IYuj-fX|9YwXpOt72MaQr`{FGye;CNK_+(X?HSgCx zW3mAqD=$N$bTIDRg+*-G`Vs95ac?e#rj_Qt-^hWibY>e&U19yRq)8F%(VjxYAWEe6 zROwrEMSX9|_vmbGvure9Z3&{7Gr)1vse~bF<`1d-m{FU`z9~AsR)fuINP4@q@rWtBqwpHuGH~t z_qzi+yVgYc-aJGyF}*I9k+sj|;wcctyX%Mr+>Guoc3zsbC37RPM?i?(pLM!GJ9bl?CIf!o@sgEp4IKJ2Go< z3WP#EM~bySJ55S*9=+i4EXbQpc=8i$^xDa97rTvPu$8KC(MsTGL-`EjlB~7ifK=nwZZ{(k<$)1qH)Nx_*(* zwM2`^l$Nd)fGEkXK=6?AjT27_l}m^D9}-vea7iDpKVjF5msm)~-LU#1$&NZb37g$M zhE0$n3G=Oo*yoHTHS2=YD#%*Sc@jNnZd>T)MR-iHdo(0J3(P*`Y-uYv)wPN0i0Y9$ zuNsn_cz*7ky=Do@ZG`pUIyG=XKd^ zI7LttB=24VTUO{zXSP-TIYqR*SET;gOQ-06DTvuaev7IYdb(2D7J+eFW zF)>PVu6x=j{pf~xV1UCm^Yyk#cjY5XmLg?78X$bg_7cj8tV)CZR&nip(J1Y~A{5wqkeXaQgG(WK|Kq0}I z_>6M~+ttnr%@517V;qjHXKFfjFn*EbWE?8kuR@)e2Y!tx65Sgo=!@(>!wu}2YOl!L6PVm?b1`MB|yNJ0EVdg&*SWJ%=UK`OZXrB9cRT7aZ% zzi$sfp&68p1aM#CxPN3l`1Tn7XrwN_|UNdBW#ctOxgJW*+T$TE8PJP-A5mfE<7 zB{COX9MWUxwCAvvFB~vGd+G`YjgR3&norv&{()07^q_k33O(pvzCbsQ&o$;%I4Vg! zb@kBDQ>ivcJ?l`n#!!~p3ZqFR3@=Sx1F#Is;0OL;{d-yt7`nnmwkPc@;W+{;ZVW%2 ztes|c`w>|tRnsD9!rv9jeE;j;RPH?~AhBt>o1#iIZ5SePS^?qcdj5>M`iw8_KV? z!rm4b%}PXL_bE!JWtI92LNm+^HKO9)LtmLM>?%$3u7I}}GWYiQ@3MSMe_GNOHI&*= z+A?ulQsVn*20`LG4@QQO?$LegZKqwpp z`&%6K2T1p5@=L}mS0de0X0~>rULn{ReJ4Z)@q6|G+U>#_OMp!!R+|kWzjG>DMfo$`f6_qL0Mr64kWrM8r8&0yPOC0!VdZ5A4XDpp}L5R?q z`rG9LSuUF0U;9gCe*Y#al}Zu(m{aS}el85u*&?Ce@cbi4FneAmfenqRWuRd%dF2+* z_HbUP)DWH=BDF<^Z zH33#5Swx-_?@=HB1`CH&Vy{oFAC>T9TzXYXDBA7wLzT_Z7H_0dMJJ=bF24|9@Kcjk@({0Ux7~@c z_-VV%%bY8ShfQH>N63V#7YfGG{iuiE-tbd$>F00YQcKC*$vI_I0~#mj+AnLNYzD_w z!~~Ive3)pvB$3sZd7cl21@98wTQ+Z-Un`3i8GV~6iuT##7_GItuC{*)sup9Gk06Zx ztD!QYsIZy4b~BF<{qyx;5Tmru%?)9wnD$d(3Z(xxrHf^feqn%B-Pe}7?D8=|W1k&J zq0$6$=C*UsNxN;!tMS; z6PBe3Q~=x1R-nB{@d`!A%g*Wizrhg5@-wLdmc-yj8q;+$X#vYCYsF&mZQ=(RxX9f% zDD?mUrKpJ^yrvJ|=`GIEA%-@<&djwCs8?4JXozN(fEQ8IZ}WQy6T>Z=)Tw~VL014O zbISk_jKw}F7GP!fFPHC&^yNU8+W~0#>6!db4O-tn4=V*p#ow0(3sH6aR7bBUJU-oW zaDEBtr0=2!wdq4HyK>=Zr<(Rx2ujg_xkJA0;H-o1r-MKCL{c-9c@^^Mzdx5`HC}6s zL6pVd3aVpfV)0MK;Bo-0hr&Xf%JkaEh9?aYBc@~Vv-;dThB$B!$2z;F3Tw}ZYwoa3 z66$c9y_DM)tivOc0qn+6W2~D*a{*!5qS6 z&Of)zXRAwU7*%$U41e(5c&F~_y-(K<#rptQ39x;%C^h!m2 z<1&`!Tta7J;&9h(c$lke_?U`2J>R?Rd<6X_D=xrhie=1u!=&@XbnqCme~PunH3$~q z;J>e4Xv_=9&2#t|Yi45Dv}Q$LL~;#6d{!4Gn^m{ce=%1|Z%CAM{R>+Pl0^qh2I*TbXu;ca%D78C;DWdg}1#^tk$)->K&L-55d1Rg2-^UxZ zN4RCG|Z9uxUaPZzhaKllO0I!Bb z^z4PA(}q6#d$eMFM0Ww$-K!mOIPWzZdUJ#Pn`b3V; za6P>=%reH9c&KIa!Gy>sK@~o%QYRE7sm+xv)fVDEjIm%L3Rtp9#SjD1ctDis{y|Ku>H5oOQ@dnx*U5SZ_OBnrDH2-e@aDZSDS&b-9 zs=A}?ABvZ5=eyUOCzq0JO&7=|{>JT5K+o(pvO2we3hBR@wcQ!fVwuggGr3Xbvfxt{6S4IYCC zx>fD8)Yi;psKAv=KP<+}0oCG{A5cq}%q5)`p5P~t30$CW>3cq`Vh}c8ZwH+V+Vci4 zq0E$v=F$2~LwHX`_C*nvdY1DX!B3qQlEPrfayZQOb=NsrU+2?^?lcPy$RdgR6uhK_ zdHsXinU|Ahb(SNFicbwV1e=nE7BOcBS+7!=d)D>1)O7-SkW?G`RrHcslrL6XyWGF( zkos8U6P6(Wuz9B-TFhouLb-NLcm=w(sqYF13jQ_4pe5C31X1rSeKa;gZt0zTEr#7s z)gkIy`yQ3?;O3u&Cw7k`D@E68rJ2xuY>j3O8*4-C@vSP-m!ZHU#`|f`^15z|znw}c1uz*wVMGr%yDn~7H-Y)oWVstAVhlX_u}d!4 zXim@9*wl?gNua0JW^~jHE{jBG0d_44otRCnM})QlKBy znEoMd-62nURcTzn=_uY#nEWfRzok%1uy|~_I4WE=DxYupOQT{UY;}!L=;V7 zW84tN#9_d`c13fdQ9U@gjdtUs;Ca3TlK3#74xg{4amXq?jOk=#T0FQA8NJh5cN^M1kqKlk}~(`+|VQ zb~~@ELiwHHu(?#xTJG`*CCQh3Aw_m>*+)-Bu(q0_O@HCh5dP^myQ9r%3mkiOIzE;pQA-k2%a`joV=K)5%T6lC}#AX)vh{U^FJPt5J89a zZX6}k5a8!(7;UHm$(9T=oeH*1=Q3BF_gD5ZMe-nD_zTt}efnvKRg}EzinOH~e8z(bv>ztz9lMPA8J>DOZ}+F8#Sj|>>XthH z{Fj`U_JG_b>}=p~Rucjds==GCGGK2QIH1x_Dg|M804DY)m5Ux_%tFAY?uEO1EVVQ~xq6IOvEy^p5k zgGu=%VzI?OBE|>TA^PSi`EM?4ynV8bMn6QRr$1Y&`U+#VXljBZeUZd@VNRwTW^6e4 z=LJn~`}+;khKBX{p_3}7N})3kkMqjRVeA+B)M6Oiv|iLB_;vlVZ|XRg^y3STsf-IC zH-tt2aY`km_gUcw4Jw0Ix4q^Fj#K$@TgM0`mFQ7-K1s&mtR>&ZrBr$M7oZGA&oa4P zf=H-i3?o1uZU5tn9F;u{yxY=XmNEiiOuWN=IbS^N)+`8*a|z1(lk?PwQRmwf<}Nd@ z!l)4mgJ3IU&YfXKfTZW98WZ`pxT}jZEEe2PlIhFJHj4Br?INW}o^cw7mS;#jf*LL6 z3PcKLdc*s9iRh5q`p46c-18KPx8N9kh8n99tqLmVM40GD%pWWl6>5DB3Zu;-jotOI zi-XOh;wCfqae4Bt&nSQ78#gnTEQ4d2zfcrJ)y z8S#eLvIYs@)bwOAPRTUuu?qZpm+qjwO`*NLzMCqLzcz)q)#q3xx1PneiQ5OTTzD@L zSSdP$;vioubP=i4Pg--`Eq@l`vxb0KH@);3G5OK~@{X%TCw;&jv%VdN1O|-ysxf~s zU^n)(<#35d(?JR!CP$|va9Q9vjzuv6Z-NWj<{}#D={_Kh8yBLyss*3u~OX1Z@o*Tt)BJJee zN<0b~%AMqBT4TZg)8gECTxXV`Ebjpt>y`0+fsbmsEp$RG8t3|Wm?`;>Og_W9FE7Q1 z=XXm*g$4G>G~m=M>z(5=>SH7|x0HeOTAE!YMA4`(m5XTw?ht{SNZ}kER=@5Myci|r zB%Wfn5rvUHacA+adjyDPszfLLTM}&;fhSbD{sdB<5`sa&{U3ud>n}M3kKE(qsQ$;; zZppDY9x%8oJh?}EmjmHeYKn!l{eiDEkZVO$`F|fM$7eQRiM1&M$nEXy0q;L;J~^58 zWxs4{waFFas9w*}v4DT>n~yx`K%_!H8jYV@n_^xY)k?FM*n!oyBeAlp$>i_VsQ8tC zU%xHoN#dZcFzFNUOFyK?{R9C#aM@kD61%y;{@t z|6Un7P->`YW|?to0*RKx88cR6cTPS#M?Q~@M{SVszbMrP>noyko0;o zQlyP;pmA@A*2j+8f(*TlAzYm!reZ?3JdK*PMz6$J%E~g3L~nC$>YEhX4%+3&PS32j zd}fb&*F+p~EwKsfj3%8eEmo1?Lz=pIh3QhdD|ooBUXBRn_d)p}9&k3-{IY4Do zK8brQbBed8kZm5OEw_fR(20^g)2)=s02h^||81BMgYp8{Zms|8_1$V7lSGI|7~fC% zV9ycsh#Zo!*~2*n;x#YUM-{3Jxk0(_Eo2-cJNq$HkZTUy;~j)yHxguy8HKI(WY_2qdPv&vhvC6zZOrDS+s@H9_0JmF_`(IZurt8L#HTf#GbS&tD{$Nx z_0B;TpmDFNkaQr2gq`z`6^FvvEYD_oYFxP{aQsZvc07)IN~nwc^)FdzllTiAOy39x z-Giy#Vu?J0iUC(F$<8`ky=5d4brt5y`G$PU7%U(|g)1|1Pq4JzT`|sadAL!y%-5*Uh-_bIn7gr23Y6jYgEJlDnt=RjRKo)co@1O|U_7FN-m_Goi>y-ni8V5BHwYdNeB7ImA^Gm2T?!3VdNbj=yeYC z`8Ec#R9J9nIBSkg06TkCD2i|pg~jn}{Y*0Bc)4~yt<0<5iBrm|zx{&z_@leecL6_c zuYLT15Z5Ja{s|*=1euAtj^YAMElZ(PY&T)R_k>hCq#|d&11DI9Rb9Q*G&ZVLf=PbM zBld>_><{<}7nd5gcNY{@>RWB5pk<_aW*u7`O-LWKV$JjBy@zq?30O`T!me(zzmLS- zo5RO>-?O9tdhRIAxmy2acbmmu9M-}L6QH5F%G3??Cn_6mmi!;dJ7 z$-(P!DxAmsg*UCV1lX||mI?ng4@~fDes`o7ViSO{VJ-jjiVpCy_i;VBB& zb;GgM&mrl2@8%TsVog2z&WI$;?uWKmtpLWkQ1KucBN}O>-R51?ITep?DG;U59mgCr zAjc@p+j0;HITtV1HMFm#G)>&0>t)=J*$2)WSAw5~+ZZb|P&0&?c`*lsLzWXa*Kmk# zcAtwTdK}CmD9M7U#yVwC>&vRN^*Dk0NPoyn7aw>MYXXZkZ0A)6X6$S5$yZRARvHF= zmMPD?9UDU!Rkhh>(>K#6KAx35F<+0;z!MM6A{azw76?DQd>a=&*+E&&&H_xx!0}(b z8DeB#Zqg@F?gXO@B4&4WxS_<2%cV0;g?5t*;3jx9xWrXoPbGrQmmx)Y%F_#3F@TU_ zuF=xe>2UoiHaQn)yA#^eKbHAJrq{Wst97A||?S&)B=u6N!)-2OGMVq)mEw zKvS`+7cl8tJ8w#;yZVj zr;0o#uRqI+sB|(rI!ujymu18*Za&8PqBU$S;9W+aWkKz0+D&Xj&}n7&eiksj3N)FdIN=C(sJ${~ zn0cjUnhg-Iz|bCe3hkA$Ay_7KFtmrW4SOTnq`_o=leG<5{ZIRnxr`TECs`5m3Q!^6 z8|HPA6idS8vSLmWEJ+JOwofz5K8TgO<4Q)47n_`^y9g@V7(k1cGkUOFfu+;itx~>) zK+D)Btqm^)n*<=|D!{xwlkD6k6v8&X()_Om& z@U~@WllOqx;2nL`OLp!>#}Y9h|2oD;_F>`OSP;>&>3}(2?8|HN{pE&*Nm&bsj&eu; z^(3o*x(-q`>_{{hUYpP|(2~;GYu6pt0#rkU=gY|wSi~F2wu8paP|0_(bP@SssaVIa zDs-Ypp|Tie35GdR+$5ZM!&+pIrtK48*bR8;kz^{sPu+4~7|tW8ut#JL_SZ50{znmC z8c~m34i_&UU5@?62NwCaLE}m}dG^a)ci2HnK0)bu)1_FyE_ibF-Kh=ML>JTAI*7>t z#ap{i3L0vnHKSBP$z2g+1wdJwf6w-1mGvz~ zkAHHtmahx8NNqo+r~xL-`WGK>Tx-2(FDEzOog+kW@q9bH)pZOkd_k5@`<_)wJAwxJ z+LnCLMfRCbDRiT`Qd*))*+`RQTT$AxVmO8hBr5k$AAY93u2sRtQRf3xTbjUk2+%YN z)b3nH82TMac;?NLk8H`VR{0jWr~T_&fH;#OAoI{_ZpXJ4$?RW035MFgCgEH6(Z6g$ z@*e9qVm5Y0uWy%eI~P7m@*8RQd6Qb!*yPnq@rN)Zq08GrheTSPaTInPmSt0R29v5( zz3@_A$j9ULi)4JJV6mX4wox8l?eI2M41IwgF-D36{?&+a)u6vqZ7%pNwc9G?UZDz? zKsvL=5KUEK&JcP1Ah?1Su&bJw4R4{X9uL6^TCo4xG4h#$hfFbfSi^&U5_m*C!PZsQ zcG$rZ@_MD{mCW@pn^6{xW>okjys3+Am*|e0-YU2?M%8S#;@d2|da6y9GPgzZ?D za;ml7!9wNBYKFXCH>ZKTPEs$WJ{*R8xhtexb|B|5NO=xUhT|;m-XeWldM~d+3V3o8YX2teSzOgXZMqd(Lr9Jj#U^cn|wS)~SD&uIx;yjQbBk42H6)pZ}a9`tKM-K~a=44K(gROx8Gy@6Te z+!stPT`)k39X zN+D^y|2$~SuG`-5enlN=vg+#r2)1KHR$ro_$TlR2A)s?&-oREZE4$FxgKzhuPMGVM zq6O=K#6H5m@sAe5FtS*=-Bf^5eYJ)lH@(&ZHQu5z9J6SF+0Y%>>}nI7-dWg2Ys{Se zFYLxRMf>s|kGhg#U9w>pBn6 z_v5InQiLe#L^Z|1dM&At^(dAXVW@%hXQ1&?W>1MxmuHSWTu~nejuxP0AE+R8<*6#a z9%0~E2U@lhiL_C?aRyyaTuxg1@}5rlOn>>Io(&(xe$luS?XsO{r7#UY7xq4Wo-gr! zB+gucx(dUVSzWYAeq@uIW9OiP4VS8Y=6Xa#*0Fh20BRr-+PR*rg=F+)ZIT`J++Jea zP;ogO%BSeh?sHFZ5nua}4=Tpf_FZBLUN0H4d_H8yUgGM~5^eI0LgwEn5;~xyLq+Xc zi*RQlCR>c?DSeNTkf_SH-o^pd3uHG4*U*0Jev1I59{VKBSSD849umq|8e^c!I_deU z5K)Q)>LZaYT!x8)AN!qYw@Ty7x^S#zLQ{y*%U2fS6q(ZKg2 z8hh*-MSb?pyY?;+3!SXot>SX6?5ExjrAA~zd=gTT$p9}=YEERoQg(r zn9ZO5SV{(4F^wJ#gotz4!HR||6zH=a4}{9unshkS%9Srg@Bb-;K57quXR*(jSSZT( zmyfy<;1lMs7R;d^%mML$Gt7(~KaIXMPCB@kOdmeGzWmU7O_1((1d;kkR|dA+1&a9t z=vNLP$OzNu>*N|BIV#*0fDtd}OZ_w|-s;5HfH1v$8a+*${0xHEejpMKK%X70O%}G^ z;y$M?3~QOBATQr!*+kCV>OiLiz`X=Wrt)YdyEA z05?0TV(1b)YHB98Hf7zy_~O7T$5;C*9rgjZA;c%>_2$v6x4fD~r%^vrc85eUf$(Un z!4?TH9}6t`D3Wz|z+nZx@f!W5PtMsg$bC`zOF7$`f_Xo2EC6smgA%ql@(a(JU}Fhk zDc-aLfpcp`@6A$R_L4N&z5JWL)tkrkta1@ZK~cT{CDHylrfLO?rF_k%eet?po*n9C zPm1$jIp%$)?S(4(uIY`IPNiy!72!0!f03*5O+?BPw&mzVzXgXmuRV+*Od(&e*$ z)jscxuJ)Er@q_3iaCD%cw~ppL7rhcObQ6hjur71~FX%||3ewLdzM_-VZx#3p;Kk6z}kDvtXZPeUp8E z-Ay(9VQ(Ck$Kk*yGTr$865CS|8bFB-B4I>f*6RsPV=7R=M2mLSwHTAj(SAV(3tLj) z`ywAVGYIp@$5;O2cB=6rv$hkN%KzS2%5vLjD+$VT$@YJXlg*%7$~bCG+AeFI;#o=( z&$P7s-<9;g10_22a;*q^x|MwxH~5`Hv-B?=M50J^ZUZLUyq7FHQxw1fs&IZ(zK z=Gmn=epH^Fw^j?>Ra-JN&&3i3L&N>FzY3r^xp=HBV^+}d)t}ixCNa@(d_Ua#?GYoE zFupEjc5q-z$!1Jipc)x=(x@gde+%3OU&|;Y^O555>@Pi}x!j?$ic+uN()5Nd*i<4G zzphfse}G^)2t>(7niG~VD>88$P+r1#-n$tiVIx`I7HyYb9)hzdaA$b4KC;IXc<&kv zB02C;NGZZz!o(rRIK{m`FBbbKkulQn>`RL&zH=iDJlX~FQjRS~MS%lcF;3{_Ex}ek zSLP?V`q0{+37FpT1uOa|w3mGyCzI>p+h+G8C0B6ysKd#1?|EBzrzo$esJ|Ial5==+ z>5Uwe!*SEq65=UJ{L70ER;Usa2*s^a%?N$8wJ?+w{Rkgo1ma;+` zl`5qnL8=s|U9}9zYj`IAKZz>!SHgR>2J!wb``Ewj+pSqVFO?toAydGMieB+?*Wxbb z#XdGRX8om?J$g5X11tcHI*2}0NpAu0>@lF*+y@JKy_E8A8J<}a(-D5mICH+H4ABzs zn(N{^+E^Hr;8>2`;@rU7H{nfC^6z~%_xpPP72u2Il8@)?E$YR$rQiJ|B=IC zGg6qx&_>^t;*z(eG7vTpKfar`U^=;2x-yShMi5nqjy_ls^?PNNXinU<5Sz*ytl@py z-i(wTAvT=ZqJsNMbKL}ASPw8(7K?HG&ajo~>2NFg;pFJbXm1m^_Wk=*-<5QM!II%p z*cUyF)qhwwf*lSVBJ2iOuYxH9hP(XTcyqETaM*Ym*3 z1~P|--xX|l>fMOC4w6@fa~{lbJ`mtN_0ru=E)8h$zK^JDE2Z8WDeG1#UaquQv?>== z74n974RDpMrI)$tx5_0tkLgJHURo>Q$%97$1b58ud|eMoS7pDWu7rC1*3V&`B^Ovn zKFq22RfuWtaji0F{_E;UVBsn|{~KS$#|ykzo>2l=LJQQ^IBx^PvNPu!17#%mk?U@JeQoc@45sI4{$eC^pS5LC*;$een)j9F z_W^>x#h8~43^ac~a+2THK!b%bnXHmSF;6p=`v9SC(dL~}Kp6k>fUQn7xAD^FL+zAD zd|AAdrR<^1VPQ$*?u%KKq7bS55B!&y#zw9TtHycGy~ z^Ww%Uti@gvMEOys%S0^+-NYZEhnS3z@shfOHW%6A| zN)26IYi{vppa36`BT);>ST1qwS_YRhA`K{Zz`F_^`X-N{U61n8P7ygOJZFHTRe530 zhBoyCEogCu*4hj*Zj|ft*(=Rr8XX?*w@1vhoWqkA!t@dv^@X4;^ERi~j@!x`x_l&^ z;&~Jd)V%-~#Ulfv&QM^qxZfL6=~ z7`P2s@~K0r+y>{TfG6mWd!e1AQx=B=yE0QHjOY~@(c{ci@#8y1W_&s00}l0(I{`zd z41_wD0f#CP3B-Y-?vV_Y8_0!02v>Dnqhzxo9o&~(DiOV?I9!?x*9wFcRAOk_FHxF* zo(wx8s{G={kD9q+?FB`zhfCZrwp3UGqPxkjV>pQd--NK00EVij;#kQR&Sk3_b7AH4 z-yk{6OXKGFV9)A;n{Qh^Oi04_m*Sw%>D{jsRYY;}9xi%o5v0FxNCg*NP@Aj~&Rg7Z zzs@3Q6!x|_NN3jb{IJNy{a^T4cuV*FHZnlF{eBR z`t|u(31AFmp-js8{BsKY!DPU~BER>x&Z;gHg|QXY)<+LO?s7U< z<^gf}j6Nmb%hrZ^$-MfjEjFk=r9w$MU*(e^sBa?YY^*qCvK5iDj1SO*l*}?*a7+PHbxST0C5s=rFP{n;jMBBn5e3b z>Qz1XwVzj))uH!0VS4!Db{V_+^B*D8jZlLhdIKrBJMj!6>dOrb`au>|USoIqr1`2Y zb5@Vix)f3;<}v-Nvd3IqO#0mqqN^dBU3kf@5dOl50os)(kWFj=i>{RaEk2j%`ki|N zwxk}D=r6Aa5ZBmQ=p(9XS~JZc>1-2uYZ?fWN% z=KYjCBk0`+0zpxYDe2?F0fO{J@x3IzZeP#mI-l0A&49(n5|+% ze<;eyd7{zT*RTOJJuGjD@*RB=5Z=#lpg^|O*$(zY?^uQ&+kE-#AV>@An@J2K%}?HS)h{5F`sb1_ zwyZw#@rKokw#!E!&vf(rC+|07{pror@?1wV-Ee;;hrC|bc{z`kyZpXsX34ayQk0Ln zoC2Abp{h;w0ELg*n*y1;sO#vInZv$tNpor2%-qxACG_GBa3kGP2N`}#eE`_F4$h_z z3{ZcUzH@>sh0EW5^w-kzV;4Gj02S&)FLj0CaF#?@E}=pe#GEM_FHxQ!E|?4LI{D^v zLyj8^3blQB&y=Q z-E{eS%_?U{Z&b-a3};7YZvf%9a+eg*^C`EX(D2|ik7dOn(s`Z^HxSGQkkD0f5Op5u zJU@tjO89KhDeg^hd@)Fx?1$d}2^g7JAGv%Ake>ljtJ1Dso#ll@RJV8YB7}Rb6fcp* z<7@vlNZ*B*J_D6+cx5b!@{#h1lIY9~t-C=0n+viR+nn=W&MCM%pa)Uv`V&vwPU6MX zqhKk^F*H%9kCp3b=2G6Pl@|qWp?v;u5OFT0qN!k{e5z66*~C=_Q|jH~@D(S{t0)fX zs_bmY3eQY<7aXQI91a9SdBp;Zr}?FjVE30U%4weVd)~VW?Ca`rB{KIoya83z$FMJb zUKNBEyjMg0H}~gyq2hIc$Ms^SQf;b098^_ZhI%Wsfc^HPWM_(-He9nDu$XlggY~|X6-C_u?b`oIUjmU(2H`fnar?47hG@otw88U%1B1aij=Cu{46Da zUx0aW>mtg4+G(9Tltkb4U`RiBPlo;^tcCCSQ!MmJw`trdOfBMQA}xy&m%caNg`zpfLm0C5lCVh_*f7 zP%Cojgt)76w#DH7=kXF#i)K)qn~}T<_dk%=_Rr7db$T-kHaz<(3gqSlRO;d^x~8|u z3AsGKFt+|gN(IW-(UWq}cbdyf;BV~QMQh+LKmc)iNJonL6J3^Hw zKC-J^V!mthQQG8guw8M~pJC`C7ZA)-ok>}x9EEW1n9lOThct$~P?RqbZ>uR-s%?2haqB^IIn7ZNNNrh0$x^>K*9kFKzB^k z;~N3P^WGWZ^Wqk0wD&52Q{bG~d=q+tV+;&%f?1EGztS$;OCnfsl-SeZ+|c2sxnv=Q zO!tf;K$+nv>@rEqvbBwX-1I^#B{VL*O2|PTF5rBS+l{Hhytq z@Ws8XwoA-$TPo)PytYe5d7$|tfbc*d)EiN6k%3?0Q3~lMDjsM+p>@1Sa+T&~O_cYt z5119Q(M^+IXfOMyFCiQ4<Y{ zISMgY87w)&gMtv{4EHblcA>#@V!4fRa*N;O8mnMjLX#h(E|oWAWfGqAVMOmEkYZ5t z_{DviM?^r>9iXG7a{4fXT_iV@wvt$!2B`R zEHQt1X?ZmLaVvG{Ad(Vl3Q5|<_F{#V&CDB)YsQU(XRtCc__O$VH4ZlpzLy`Rnq{dr zk+H?INlOK6JbjDhT^_fiM@O#|eam*t0PVmb1%1nPa|y z4A-Nu6sb1SrTBPlvOpUabnmlzIUba7_6sN2XkdJkuM@cOj8`berLNFlgXl_M;KKKI zu98#!1a7l3e12?k6du=QbJfd!5EWbroyg(p1VE9+!hdT65R3x6vVt#FCUQhXLL_IuW?VM$Y z(#t5Ea5y0LW8pbNFy^+ULe@lRrP1p9RlxU@CEFumm5o8(^(yL%>4w~;PP?=&^oQR2 z*|Tr}PH4$U+0Xld!B)h3KU)?Kuq*3I?MmsTK!@DH=ia75<`kTw2zY^_UI!5iQK9A% z*h5#=+8|%-nL{>sn)8U-lLAHe+>)os8SE7lco8q9`H@=JToA6$q3CT94YGd@1&88| zUrsKZuvRmRx}SEgMI8?M@J=lkRe#sK`{(~{-cWq^&Gx(m{0wYtiU>CJx9^BU`xlzm zmAbxIN}|NlT|9))54x-n9~0qOj4!szE3BgU(1Sj11K?hUNoxPQx38{w&Z^bNsJQ-_ z@t+?zbD87!X%y!VxSTb2iQscyEr_c0+I%7JX6Fn6ehz?_yLDPBJ4=N*lcFm>19&+_ zTMJDPRe++1`n!^75v7RA>nfu3*OFS74_JVn(YlV)PI&}66B5ocxg@1oE<0$>XNN5% z5I_Ez?-ZPe#IH%suX{g0HKZOcz4`no7j zp55c9aWs-=Wg(K_`zXof)p;w#xdNF>2tO(#8OxfhGwFEpG>kuh1*nR>o7cG zn<_g#pnu>NU!sJsc2d2~zsSCWB&={(3ob0gTY8WIZ5P2{_zEw=4qn0K z3zUOJEtR_fLnlP(@h~BN3ywS($h~arQo1(HM+6%)EOq}W*5#{!kF{O%pdju=yV0fK31T1Z6j>B!?yt}(2fS$4H$u<8Q^bgO zv>Q)dnk&D=yr_$9G31wSD7lOXYqT6Yy3}cY(`uAk{AT(esof^aqrv ztBV5f6RaS7C1aoMZ|m(;eP5d6(HrHh{mdz;FC}cjZdh?F3ve^? zVtbMGgUkVe4nHQtY!HBU$}8F_bCKb0c(a~&sPB|D;B3hAEJku)RRx5o6}dAAr(Ojk znuXz_5DKFR5c*tSFD06reV z=CE9Toc~}Xo@2pApAWaI%AGgW4UfYDc#L>aA>~W6B1+r!tF&Eu@@{73y+y9eFW;^DpE-7} z3LIsor|p7zJ>OUeMEI;|ZqWmLrn%k*5k5*u^d_Cneduj6je7Mgu0ZkwN47A@Im8odEb`c0%9|kPu%!sPYDZWJC!v|3Y+f~`e$5&faFY39@t}N8F zz+JV!ydCWAH+~U)>JO=AZCFFyrzUiHWG! z!=fx@oOHPdLp-sxxMiV3taRw*;X1xv0O>!I@^kcl5}1!K$+^2}HwP$~vU3%I7$LM& z76(E^5gcFiR&4A+f4l;Ow~g4nI$(iKtBWW7&`I4hVjd@mSIQTP37$-kF zc1#t2=6+NjB?RD(;iW94MMvempm#Q4K}Ep4(pACO0Q8FKka)+vZ}sYuuPj!bQF^)H z0KR#8tyLR1%-M1cAM%B|58W3>i9LjS)4`X}E`9QCg3IaP0kPbBhPLZLI%Qwjn{t~g zbbM_jY@*_5rK$+l)^>d>1!fn~X&j(9Zttb5d#CMMC)>{-*ZRAzq_(229gwEAz@0SL za`gRm(nSs-8cFX@3gPJtJ>MjS@C%*w7L&39o#?D5I?wRD%1H1(@i>8v)QYkI_g85j zmgkbA=*_eAys|krOE_B@Bd64TR8EGXQxKf2 z$BNzu6upPgU)zB#H5WWX(R&(we?2%x;M{B9SDF`4^e&&HR|M(i_t(3$&~MD^E8~?! zai%ytNt8I7$lTt{+ey@>Wa-T-iIU9)=eB1`*QmUgFUfmZqw-$9Q!t-h1m-pBEkV>f zOG#O4(N+8U1zk<5yh=w30E7o8tm53ed0|DAMmWbMX*2z2q3R(@^GD@~;=l_N@C%|o z@?-ggoO+o!uutux=v_3=B_3qOEc`c((3?d4G>0RJIt}!m1$vXHG19 z43bmo4WrhTT$N8il;+AY|H~Zn8r4Oq_tU(|IunT$5f;UZLb$#GSYo0W-%L8iOXec8 zWtlixFH8mA(oVSy2+9_{HXkZSD51r4uqq$N##m{={B78x zCuP0IsEusuMnafg(y2i$1Sw!pbJVZ6)AZ)Iuk&Al^-|1^n&5X2wySX8@ zIixTAl>IFb9!=J3zdS;mH=bU7R;C*-ImlUHSGi9L4~Uc>?4x-I{|tKX0Q0&iez^`p z50PrW-%)OJGbFe>5UToUsCJ6@BpmIo0z!@&A(1TZmjc;7!ns^-M>G=(o}G8jSI{; zV(&#q-`ct+Z>pVAL}AUsXCZ}fL80jY63!^NuKsb2I$a1m6W$|-Z{(H%k%+4KzQdjZ zkK3Kb>9f0F!|!n%N4vNNIahE!reJJLSIQ28*csJ)-HUl^=+^b6tZXFORzy@5St96! zXQVJ9_kk4ecZ#t<&Jndd*6 zVxzUH8z_W6YEQ}PuS&!OJ%_N?2KnNk9J?^W90PA{2L7q9%D5MlLfw^IA zkqfmmr*+m-2rmU2y`<&}=CSN_<`Bx+Ke2Tb1|zfiyUpiZ^mH=^qCF0e1bqw3@Xc}f z2L)rT&O!ihA}xz2t!DA})!av;w$9ly$7Ip!FQZWfgjnh_QQfEz%0=%r>ct}tO0FD2 z**+W{XzRCIzUO=SbA_-dP;r<;=%fC^)Ti!EUevlo^Di73-rQr^75yUX0)_Ba`B6C? zlwecAAo{)Ll1j7eN~jmiBNwiU6aMh*#UMHcFg|%>FuY%DB?)qS7d8xc1KU@5!|EwTl5%>nC ziJayp?T2*cM=;Qp=`;js7R7f+mwQp9GmPkA&-rTT-P#N5l4}kMoSB!nz->l*+gHRn zzojm*qT29`NcKkM4$x+fuJ{4F55OM@ooau{4qbSD4MqKl0>!7JKf1DcEykV7)U^Rw zxn|)n2p!XG*ZkH^b}`rrFAeM8U|p2sWQ? z?ic#W4i)K6D|g=se*ARP%yjZc=xkUGx{!C9nS(*D?76tWkqXAU0ybxX#3e~NmslFe2{bL9?>yIs$Vs2`N^(6z zH7_)*i=K4L0eq5cO(|Wh#v?=xRS3UDF!N9(xqRrSQkQmx!`VxL-Z=&H`Jvapt2T8v zM#5aYu*O6|^9!}N87LsS1Avgd8`RFA#_texhX77uxAmt5)T=`KX+i*=i(Z^_Y=3)#+^!|y1_-x@Jc> zpd=1EgpsX|i8CoWUUZ6!dCW4pb5y00igJGkUgt7sbW{AVM^ka^1;2cQiL& z^I-F_+A045xU(n~&*WTxzQCLb*=T|Flaz0PbY*j={%872=RGz)fIVfrv|Ta-hNbjR zfS`r`q#Myy-lkpo9n+0~QFP^UdNI&kj0AG#-f_iJIXrViF&{H3xj=83t^QMtua5}z zsW5{2^k*+N|4P6phWWfPu}Qix(eEobtb9OL#8eDk?-U6AAi78p6$(_$FRKvNpph@t z_li2A`~cx)`$e{MMFNHJ!a4|jyy8&)1PF^<-000PKUElTXfb(IclRmzucjpZ7c^`PF}Ae*@dY*@YI+r_Kb%VOW_9OPQKtb(q^owfUD@19HQ z4tC3Hi%gcS1NEv_L|_Vhqo_+gg?3kBo0paE(oAity1z*0uMZINP9U$|LDNUU;N+&m zuTOUS@B8_&Oo3kYaT+rny3A7%Pr+C(qZt!3X6J{QWO|?D!6pXW2 zR`@K6C@~%gkK6{^Qn?;5)pgs+bUDx88G74+UcCOYwX?fY)Dd*$3G@uBUVJRvuKe&M zx>DTmh*v6E=b@FJRb*@@+Mw{rwFN78j2XmtpVYv(k7G;!qSNHc)8=j;Tvcdp z1O6yNqonWv22t6ubfrc;graO|2|iFh6WP3ey^FMQ-;C&n~PT zzMyAtaf%M{TZM2-)($?EC_kGwIP#)qDItJyb4~ynHG_gtD>wSeY2!!`wG6Yp(#Cy4 zQJzG-u3!=6SY*y~JqeEP;-$I%BOJizDk@P9ASDEol{0~7T@b~oafBtIno!q^5XqIu zqMA#3DU8Z~1p()LcY)nm>vRe(5N|9Jtr#c|b=clx^2Tt`)BlDa(8^Ugn6H0RpX+;`tiAc9tU z^E2#4chtHR2XbIjoT8v6$oCN8ey%U*B??-6T%;6_-sNfX4U~$#5?}~=S|fnYQjTX| zMO_#`;Z37SqCER5qO>c?;J|ML~D9RInTxv5q0E7`4clqT77GU7;6=qJi z`{#HFcO70R#?e;D8QwrPM?<&|^3rED;O*bWE6J86M-WiA7VN1)on znE*b2)BZXl2OgLEoHffauX*J3y?C79#-`2^HERb?K6mtUScBGjc zUhDSSQ3W=9+tHgk4xw@w^;M~4j2I#AdLx91(G{UXK zgs4yWHH3EKeHsTMVlEjV{7h=CoI|@40vMI|GD0Aq22v#(Pb}#2x{7vj6$74K^Ik5Z zIFp69p9VBP?8PCnDBiF7qTtYrsYR|fdKs%K&J*RmJcicF$xh*-HLt&17~y;QIq5mq zU&z6qzQ;J}d0|+dvzxAM^3mpuKM16cfO_>AC79CRweL>5yxA;^pRQ1w54wkHhQeiK57Bq!$E<33gpd0> z)WpBGP?YpnH8&Mt`m3w~9il$M!_}&teI5y}eT#BX)JJ2M`nFi!7Ex%iQ0j_q*{{Dk z?FEkHf+o2DuH3H9aR0h-I9%KHK57(i6fpYrPdIGYRj$Ac!2c3#ek=6gPU-drO>TZ& z+jTUZau)xd+H%z@%5gcq8$Hhn&1W3RWE;LJ>3;;JuC(H^LkaSy{NKUz+`q45(Da8Q@Uw z^mR80@ig!EMmMG|E$~CFYfjkHbzmN!j<|fvO~S5%IV?wkvgPOfnLjUed7AfKxh=9N z&IULfvVf2%G~da4i+Y8%PSWJ;A1clJN=v!TzYFSar9xW2qJ*{gyzLXN$E zceKB4a4%_z*R%ajepLQWyA(pa+WALZ5<9mk?Sg~CJ6aU@GjcFi9KTMr*T$Pv#s5$F z;S?>`2`nLClqL?G%CL|4FBvW*ktGd$j|4iWJA~ zeN|Odrq%h1ApM1~*=f(eH4l|Dsu}Bo-pCU>Ks);Q!?XPPGsJcsw4={{LK~H(<=?BI zPj>mlX-ciYWwgNWB)W2l5L*t_ax%Wi)#+-9%39#E3AZ@{M$-~=8}T<7N;riKUjd== z!y!6x97cv&fN+PoeqP=E8?jnYz0LQ~*&pa?%vJC`yMT0x=e_ew$zF+(;kk=bPn@~- zECq#0)&if?x^f-S)dY12&ijtw=-?iLc~89?iD={=1^xPT)*oXI)F@PjIOH^-t}u*#JZKIEr4@k*ArX@4)Axfa2#DITDJn+OKrH=1C+|PA7R_ z_<=-I3evycC4~N||84_#+0SjQz`U*4lKr+{;w_3+x$C}&*ZTKB$ zt+@VMRm=6E{@uSz{AIv0l0fR`N{)%1=kS&QC(>p=YTSV!v zHu|fLD0)dtD1En)tBt76rBb55>E==%`A+L#)L|{p;D59Md>j4MMla``QeO}MSktv2T>iEpeYJs&d^ns%2X~8md1YOv{7mw@L#Q!X zr|bmqTgJ1)YbaKDE=P1c3>jM$cTXxh{=tuzWm!?-ls^tIR~9+auMqzO+2C?M<6fAV zuRqOeQ@^Lkv5fG0cyU@6{ql1n(v)^aaG3Y`PHR_pN;`}lX)a`0OBX&vbNP^4^6pw} zaCILE@e7}`WdRVH!Nvj*ZZ7zxS>f3hrPBjH*`bPZVN_jRe~_kR_=9C(jE`2o@cB8M zYdF}bzHqp|WgD%AFYG_8iG0W6P8E-<$7{RZLB>9V#faARrOMw+FlFzDWUh7f)4E<_ z%DxcEoU1eN35y|X2~pCO9#Ma;1KtC~o)l*}`|0jd3tcJaGsG#8Xb)H+=D^G1aI{;D z?JTb9U<;(X40G!0)SuQK2%-|Qxs)cI2cl~DbaKmN{<{{YOHQt&(qy%7&OBlwdsiL~ zB9?}ui#cxfss-1pE}OTDx}JxlbJhU^<%hIgd2a7Um~*}u7MBoJeKn}6x(c{neN^Nc zyy3}G;8~tTOS=^uU)^ZCUsQ4C>kAwPgm*v%+Ah4c__EHTtFRWUuu#3|w#}+yJrX_p zL5%EgaEca{X=%$3wLO>MH>pgV^?nHrXe_+L(2{uaRG3eRYUCMj8 z00!@!X!TDKU8Nr>MbxiXU#5y;cUyt5geZub+qLcz+VILWpX)6kOladhLgA@-r@y4M zaW~M!ES^Hh1}K|%r%Mm{?T?~e zT9@|&Tmv({Ivx)C>@>yb$-qZb6oVW8>VzP zcK1!aPOnL*#rM~NjIUqvLLv<*#-3e8nPh`Pe1lA>Yv}Q@nm!M=5t;Bg@r`z$&&ME2p@0IXai5t9G`U- zaz7rz=j<}x62vk#Jb#qXP&6AEc#`d_IMm!S83;DWd7I*}h~mBlwz@x-$;=PETY+GE zrZzDL^NJujoE~aeeVnvbTu?j5*y4OePB!z)$~U{1cHeu^V%3vpTcf&=kd4Q@_7jOPh{y7v^g`eN`IAii9rJhZ3I5zbDHrq)o~NhcNN>O}o62k$r1HwUFa#HS z2pKx!MpioNt2FTFb1Rz(^tC3p z?O2dnRDkoGRwjo2Cv%YEexTjAA>`1C)she0TZ*P4M$a2ngQbDH^F&>Q;b#H&aK4hU z6J6w)NsIR39wykOd}jr<8CTmGu#NF`5DpVXlh-EI-W2x}z3yAnkPfvfQ(FC5+3%bD zn92fV21U-@ft#)w1v85TpT;Pm_X<1*eDJC3O}VVp>it4Uo?+dHw zqh-_@eai6b{<*CY=5NeN`kT>61W=_*J?Ug)U+6#Y&|OTT9~9|_T;ipiMem1WSa>uS z3=94yyt%|Ne%Ak&pb>QbS3)DYhO_blzFL=}Q@h3js~ac6QnMZHDZU-C2n~w)=h_5{ zh{lpG_q4xnsY>M&^MkphLIShZbtt!$mOn$`F}*)2%(3y3H=;TjirOId zTzCcTyZk*<>at|ia3`vAIXIP7K5cXzFoioMzmnEY^|@1(k>})^1)X|2X<}CFqHqY2 z+KVN*Z!a3uNNi(#DR1SMLU+46FREx9p%_XvDEm-eB4!;?&NN79sF{F+va0s{6^(Wm zU|M|yFaxNA$j$UeGo1`RC2mH7(i{d-TVa(}U~W6DyH5;_%@zA?ry7#RPrLUW zX$cKK8CvUsEBcNFjyA<(TKQMjYU!>Pw@G;7xIo<#XHJ`EwN98NTOU81lxk!SSJpz0 zALk~Q!mzrVoe!eko=UXkYo{2vZ;|d5(PoL5F^&1Kf33seKHKVs4fsLWp2-L0hAS&0 z2f&gjGoP$PWE{RsS=}cg-zCgS2bxI|Xx!SsKd{mBpG3LUp~OAliV$ISUV7UH%Jy}#5xi#QwSa7bUYh9smXT1Gf|VsoDStn3vH9KaGpHIviPEetUCu~0R!aBy z?^l@f086|v)UNpBLs%o)T$1AMQDOk;|&+>le}-CfPpm(%Z{xCkRe+C?MR z7;P{m(XU;X8J;e<;zgN%`hIQgao&A<#_2TJz+mJ{f!jpRdm?RYCjb=F*2zxj$sLWLURsb+1wy# zL*f3eGi1>1QRgn5J3r28T%*@bU4p!z>Y1}bH5p=TRBKeGzKq7w1KGV;ahR!=rMnQ4 zLTgTeQvyd;@I5XzP5z3|&`SHXkt*ousZGc?G57epa>`Wf@%R2^0pAn%10~Vx4#DR) z=Xc&N8?1hp>kjVm(cLeIS;|SxHKHk>BB`Rb9Q-3Tqi8uD=>qWCENI0bn|Ejb+Gk|;qg`aTDo;%XIm8IOG0Ff&PkmUYq;vr1|n?`c9{OAxm5`J*M`3+ z_gDv?yv|XSPzFxTiWBsCRDRLo;*`N&irkoQ>N?t=Co@=`J9lwIMH*pHQwkK?mo`sd zinI|mE}$<$4D@1p+TWj_FfPPqJBrtlT?{i33FP_r2(u1FdH@&Kn33{Y*xNPn>ZLi? zG=1lI3b)-&DlJsYC)Z<7KxVOvn0@bG`gk4ESR+Bo%=t7^aP-yeANaoSV5fj(OQ!K0 zN-Z-eFEj4&-Q5u$HP(+*?C$j&ZV(;9YJU6Aj7@6xW6`7ARvlu^bKj|eMFv{GM=pT1vqQ^_JsP{vwU=Nn^|dx{YhQZca(BH**If(sR4PklMZ5`bOePD zRIF#{MILNo4#JsjnM5 z^Mf+B_`)3kAYM1 zA#Vgy?G5zJ86STkxfwHFOJHH*>W(h!I;_|+n?*S17J1E`cK!h3RQRj;l4tXz zl#!MAZI$zNFIBB(`{1nJpYszz*meI2@zDHFsLd!B2bj1=w6WK33>rH6Wa$st=U%^k zPyylTWB*J(nnopm(P&>)C?;~@{%qZ9Gk0sn@?0R^98lB#UisuF#5Y;lCR9U0dh{zI zQBB9oMi%{UoEPIR1}u$8^GHZk$5A}8+-gIletndQmXJEhz9Fn5I-aT>HX_; z>#aI;e~xgmpug$U-y6m?PVQ%HoHizoaokgoURf60_rHSl&1R7O#{YS!*2as3!+i4Q zc^D|?;O>176s9jga~3XpfjLt6&JVxcGC9i>!e2RlU-)zVPgTnZrrtqEAFKBcL3Ws* zewcm&;tO)kz$!Np3gU;|!;i>*s`3>k;warCu~we#;u9crSDp$Z^rHzup@+e5l1Z67 z@{~_gB`UGxY1XMN%Fwv7WrU)Pzv!3hHVvY`q4!+fg&_UL`TdML>|{4++`do75B`~x zv*RnPxNg%B-(my5@I;i2I-B4_$u;keT|$+!TLcjGw&>H zGhZ~-=}orsLi>J4z;gae?Kg)V|9{@)&_e0DeqKA4Hz#YomZ>)-2VaJ9(udh)Iua>A zYb@wpO>8cn!-}MPl?f?Na&%$b+KY1VLA+PRLKI>4^^frY2*Athy50>F!)|j7{ez1aiaZc{ z6%+V5TJ2jKvpU{hf1S|^Gdf)%lt~IYt88p!0wijiuBtWjyM7EBhe~3ojoB8LQ??@7 zvQN`27%8d9*c6u&iGWJ&8@pjuLtn_K5(^^|K`1ihreu5wJko*v|GN>X6gXtuN_s~l zXVqxLfl#A?;<0c@tKuWfJU_%C(K;h#GhrCu4@BK`LhS$TMmg*M-;MuIM`KSSGA0A| zUu`43&251c;y9QtB9D;d#Acd}aa1&PJ8`zl$5G|*+u5*Ny*Lzt12xQKa(++f6@3XUA&`(vCrE~ zZBn#L@F`*Gs7KBwo3y-M`LoC;rZh@nsTkOW)_NzPa}4rWg=%nOVgr8PVm|O5&QI;f z=Dd+#42G&xqm)BzS^}w3+FkX>*aPq7z%!a!F)ct z+*_tNv7oKu2OucxGZNwYg<}LEhw0Dx8B#fN$`T3!?k+3M-W~F+(0`|*(pkG|4` zfiEb!y;dWA0WhCY;t2=*7J7ojV+px+Pew={5zqiX@)Y)Af=R~1>hLJ!@zp;xKMy1( zh_g`H^1n(Bi;}++-dF<$5O%^Hff*&!nD&+-vSit>(?-qtt`Zk=S)8Y{ogTH--kdRn zu4s)1!lGu8^q;A(lU;agQ+!&D;;cVou+aiBcmIe}e3FZ|WFyNMY$ai_mh27s%wacY z(O4?_8HI#J()^^PmB@4CJD_^GX`w<+hU<=yrdPevz1m%`*+%VpLEv<>cTD>Fgw68T zM?lb*2Le{dqd(}NUl}JaMC^*CSLgukEkGwx%gJmR4xBHA;^kyxkMEDLF`Km*bd+7f zuv-Gb@axaW2_ny^M69NU9|>~gC`9ZHAMdc8g0!P zA%lU8@VU@Qet@X>J%Q8<8Z!z$uWsO%*fTE6%kY}MOCwkXu5HqI>d&rvPk9oSDEltw z)q1a)7-wyXYpeefYmETA~WQ-Ar@Y?hy96_8h+j&ld;X% z+ik7rr>f;3l5MZWFM2T!k%VKWzi4sCOmhBK&*QEVS@lMzPC9X-Uy_U<2i=CoG!q^0 zX`K$^MISK30zQZS^ZXB3m#da|w7{7}reQ!=@! zLP5qlE&AEZ(#vj6#ch?8r4YsziJV;SpD)Ycw;<^^qu2sfB7keFx6kqSTk{BSqScCG zy^g+FF-_~ElIBG0`qn8sMS_2+l#h<~;X#wwLD&cir7rBaKGN?~#hoi9Z!Z?d1Vw4O z{xB}GCEH7h{iV=1-m+h0D<=^M&Fl83S^eP-sa+{g`z6McY4(h^HhYRNxxrdRfq>*&IZE}@Cy?#UN8F;*V1~mF7*90R;wPC z{IZ@8sa}gC%|y16Zfs%&S4vwGKy;1Q3u`{)mq0Tv=uWjJc3m|ZRsv3Savg?-PWFgj z?-2y+dLsDZ?684n0@~xVCz)lof94%& zv9?KyWFU9s7@4_hLGA>!Db_jA3zZo#Lx$meXaYLg5{La7V`VU^zQ)cP7DkPm+zW)w z8YT^qYWrO8MF@NIdU<8uhLp~(%bOGtjD^qYkQ9MSL4}Ec5p5vym7ntg9s|E2idL9h zrBGhy;W2<&2^hFD=Sb^g{=Gm=ASmW4LW2B%TWk4;{)-*U)OG_VVx>bO-tZMedHZSS zG&dBJAEr)iIU0X;#`71s4hNsrqJYM*3-G>44w{E@QNSsXl7dQV=BlH-Y$Ipp#|GzF zu#_&uOa%}%THa`?=BpmZ^%x&W62J8EL%|zKZ7iAaL&p^O`!gPn_R> z7v7ca2~$Eg!o69$Oky<=x-9qDa*GEW3(;$DU*aj)VgQZ^kN=SRjK-DT?_+vzbD$XI z(Ru?j%`tqiDdTq(E=ZR7)t?ggsu#ocEZ!Jz7+GUM+07*6i=6eHtYEvb^yL=^DssxE z8&BQ!IB|p!kH8jMBWTpx4+Rmclr&kC!&UolTcVP@B*1gy-fH=;Duq+(3G3FsDl2Ov z{(Noa-A;C6m8jHV4y7AVV6Ul;?yKy=3*`=cm`3ceRzue z>86q(!rZ);$|>3tSiQ;?huNMIx)L8N{%8HeR#Rfwr(usr2d;E7e3V6;T7n3nr*EM>FVWRQ|_lskW%pixO+ODH*EpIS0e7#4yiR938bDC(pBu^UTKn9~0+o zYvtvuy~3GTQ9g#*`{L4w(U3~30;2E~-_85s<$KHpKbqdh;CKn*!>2ACzYat{>&r7; zNsrkO{;h?Q$~I!M%VC~ji@b5PaM2(3Hy1FlU&V$t=t_WA^l3)qGexuFvIzh2#<7TP zH0!w0eF=2-_Flt}czMXRPIhwhqd6Ilpoo-1pWg{(e{4cwbMH$dbY@52O4KV-*LzlX z#y0O8mwL2={emDvx^0D@qDDlv$e!1fBu3rCdR)>X00ig>!as-6?EhOm`X+)ERfCP zv<4by#c`(tU{-LW>y4VwO$IEjW$8FL zq{scOSYCm^usjTWT0-a6o~;82=^IF9rSuaeazlzM##5;+vS+|)zJcvt>K5o>5?;~U zJ(0;}n3epXnw8WrJ5&6jU=q{h0wc3WH~y`{s+c2fUygmPEcxb#3DIso2xoM~`H2_8$8V##vlNZ*hY8_C>=xeM3zkzH=NAZ0lbu6*Z zp$Yg;)*kF3%F)2Eg+@1j&r}1by)uM$aK*7kg$yQIa;_^iSd-`KjM?c@#Ytco?qoqp zaV;<)VSHZmeYD{tsXf0(LFM>V0WoDhn}%`;%zJq7taMDrP_oK0N(r}Ia=gz-qt)*r zTQSj~MARq#JEL_+5jF&6hk2Zl_I$!U*}=BcP{5A+Aq=CLn`>OhtinfbyQXZS79;Wm z_alS*Qgz+FQzx8OlHV2}Ke&LRB@xvfo z&)udan*WfVi;jZ;MZ>WmGPpquC={=ZQs0X(@Lm z$nRqIBLHJx1JM?jBD@cm%EgRD8Gmw|N^kIy zR_1$XeR^v;zxuR}Y+%f=e1|hjh7d4Lst%6RR!?hM!;X@8KJ=K;SRewDWcOb=F348u zIIN^$5HT%P+|VP7S5;r=sL7r0;(mQPrz~-l1zytdR}iyTRjxxi|F^j02L4pDmxw?P zpk{r&yyZ#)la3Zv=d)I&Sg8je7cj%%=>%zu+ z=wY&c%z3$eU5l!yHw$s#tjO3JrHvYAWs1_^@;J^?j^^{ zQFw)IfCI(C3ugT}0&Q{>ibB;gXh4h)=yL@uQ`l~zqr4=%(Q%rfQJAeM&GXae+U=KP zy0h|41<^Hio8>eN_YiRYA!!#H)UL{fx%H#ejKXI2SVyVu*>ARSw-g(7S#T^Ootj)M z2iT7_#1v&PZ9hFF%B8tTz}pSFsbzDsR}D0}yiie=*%bODCVuDy*6ku4ifD84FRSFt zLdfN|5|HbwH1j*53j#PALNcM#n7zou+o_f_=(R6llb-gT3KKZmu8{W#E@g{io)e5a z0@I`9D_zEF3caFU^#1*oY%1TPvg$~Eg%HDg${58V0j;?Y)77zaNf)i%JBwck#NZmH zSH5BFXO>5pB2x^< z^*Nnw3+|^;-q9s~e>YPFp(;;mqh`Bxw*{1|C*Rq_-e{7U3(;lhidT;E5PxHRIGCg zZT?DI_k21jI+g^4-$7KVRl!(~MOA&2ye;6UZaD!IRE2$#5RKEZhK4tV1mho}PyG?C zF4gDA=?*;bKM*~U-Z<*-2dDk2&YB;Rf1&g?HL1`G@&*f@yxgwM{pjN<&3R_8q&&El z99w0mH)i!TmbZC~sAu7rizE4S{gqs!n;sKiguO?w7*Jd#XybL}Y8xtGndQurC7?*$ zE@7uC&lW}Bce3;3w_Dxbt*qk5qaayyDjKW6-4`U4*&~%1C#`FIU7O#Gb39K^kS&RV zKkcFOR&xxJR*7RNib;o&-YHs9bIx5~so zUB4K4EN22E<6+&A?#Xydf0gAbx7+#Xff~+MUOE>a{;2NnkSBKxe5H_A8MMiS zU++Q=3yBu|(Ny3|lI>y)e?r1gwyU1{;iR|M=!5z7MUPf)h(T>09_QdpMO!O^`d+*9 z4|5;6{qYTT@GHkhtyQk1vlg8(qk|v1B#>qd7!rdh9^j;%^9x#`&dF%69|+OH4bd=X za302U8bB6_sl)$1zQrk`jzK!18E?EX){5`dULMm@UxLdyLXpnLm4K5d<4aeS!<*AY zUH!L(c52KA z8+!F$GP2G<=$(3g@cj{2v;*#zWsF05mr)ZWxI|9UMhDWf<#-7rXmK9jt4uNENK7_# zn}5yLygQj%1xVY%u-ToJHA!qTgJ^*H=ViYU4-i_GztM<3G?eA}Y3>m~=5BY3r#U-_ z8DwdPyhgl{HcCL{0Q)R;-V@DPssQQa|Flwy6Vtvm2(oG9p#+}XHikCy3>_vf9b)MW z;M={Tf$DB(=fT-?#63n$ECo$hp!EQPd1;6#~2xmal@#dDjb5Dcdua7Gt(}?a?rhbu;gXOmi-TjF2 zsrJ(9F;dK%btgYPtMjCqnyh`1lxNVzpPWKUtZ23M`x2Kbt$Q=)>xT(^iDliXjoj?l zjiM#&%+jP6Kj&+Yvcc9D!Djs>-5B@2IN9xl-^!@O8GSBJ(9?flbN!>XOs$tdIh}j~ z7S6k2SxP56e+Sx>WshoAK^d-(UaZGVMR|eR4HK6tj_>kQKn>i==y`e@)DM1{8& z#OhuU8{{VPA>Hll>fW!mO%88nw$_9gl4_UEb8Wf6GxCh(87@ovGw2*l`$_SArYcLp7Tnv;u(V^ z6K~$*sGvl*gMh@69uHy#^>F_`$51o^$*)$*Q*I>!-r!t@>Z_bAQmwtMgoPq=jgm(V;(#@}o1qYg(NdEniHqaVs|h?QlH&28 zxH%5BNFLX^2yy0#qd1-Sw_3a=vd-hlQ1Pbj?#iE#yCe6|W%Wmvm)2*ewGh|I^QMQJ zC_D8i%KP~XN@!*}tQnOQ+hgC?|LAE9UBfL(wDBw<%uCP#3*3;xEYcj8V zls0}LXnuyGvmCMn{~AhuDso_d`zg3GvS$a(Bf&w724!XZE5b=WkLc+Ppt#764X>hf}|XTMU#N z{_5VAyM%)OUx=6gPx5C>gc!j9OHQ?b@QdqT?`H#!t&@wS%lJ~>itNMdr}1Z&xdod6 zK>%;@taF3yqugEl4|}1As%bYvsT*}Cg9Uc;V#LdEP`5mvhUG;QBX|>yNa%xIW5_K@ zH~3O9c7>WMv-MtaqtQ9EiuiCS=`Gl<%o*U=DH{SuCETbqV7J*Sn4EQEkN~MQI zIJe({E7Xz+k>4vLa0z(bRi6`YG*wpdmpt`~-pMAG>XPJLj8!dEhaa8oolj1qPoht# zgcdVWSN=@>nYyPkp7d|cL`h9R-=DBDk(e;o+;ckzyZNJzM7j@druivAN8MfTQ2PI9 zLDdn>1QXchWZJGoH4o5l?)ie=6^sB`q#-0npvD47V_DbY`*B@6THCe-Sgew(vvX zAXqdp9srT8CZodQ6TAOxd*q_kx*n|;-%%Ge!`XNB>c;4iuv*zz*d9M(tyEUcx>8eFB=uB zvEl5HB>6YHQkz^uG5EDw454qxB8IbUl2YZvFA|^+1EgfiZ1YMx`?4rJb2*<~g9h^^ z%Kta5#U%t+FHKX8_^A7)=|YIdY& z-yAPY`=~I)j7knYvf?2yDm!8%C42=rPI)@W5~!?cn?LV#x1>fKJC4<_U1h~HpYJ3& zm>-??vTtPZ{+K>=BE5KtnQJVL(U|NijqfiFGvogLrUZ@?&)7*1?u7}Hc zepxv4F^OfjTM3kp@zYibT9`7OOw8WLuSVGCllLG ze~$i#y&Z=M{F9CxwMub@04+q@v!CvC;4COD{jUJ~pxGfS_$+&2Gr5?D0s${GxUD$5 zQHGCW3NIH1B+|=8$<59`{K(=RTW{nGQM6q_s34Hb*jCo7N6A6&HDDs>j#TA7I=vc^ zx6lF+t5kWNNNDi`-?(feiY49bg<|eFTZq=gwk2^g(dEHUH`R(HPL~C?{5a}Yg)dJ6 z*de*`Tw7;^gPsTTxtS{dBL48CxCSOscbK|;O2N51Yvg1A)0RK23K2;Ns=0s(NeH6e z0~p(`JVw?-7DOO$aCcgQ>eXxP#NE3VO?#Bjapa0FO>{}8lJNRAK+q~pP$Z0#W z1RYF812azn+R8cLCh4$7O68i-N*2oXX6?UN(018%(mbX$cjKgVdAf&%r^W9Hw-uj9 z38evEjm|td;;G~9EIZR%SQ*v_NAk$%1^W_7ck-%U43plYCx?j?`;1rc#fu?{e3Vwv z0O(L?Ks4Z_j`a4%$W931)pS)w1LZ%BQtpvB4T%U}J3078bXp^}t%6bY_+Q^YC(soh zEqKj3sbeNm$GsD;mfxb=-*sNMfa{ykRkxkJfcaWS(-gzgTUwER&;C|PlL-(||N4&) znZ88eU{Eiw?Yucm#!3VHH2-g-Tuw*H=}khYac755NOL)o9wvCmezR2dUrRTmotFFA zo12xMD8H$e$(g0)`fjAp_f@_H&mI`{()ajkax|C;8;YCM%|-2|Q`-W{NnB?w9w-th zg3vt3QVID~w!T9v%smhl?W1^f%yF2*`U-VZmPfkqp>_@7_0{|V&%*)1IH?#hg9Xr( zQ;0zt>%^OMcBm?EUt3R|{En|dg4#+~SzcAG5@S|k^y^7R@qSq%y8{&n$dm*^jjKRq z=;oJVGawuNB{PIS;Xr+vom@4k|5CG#(@4=SFKgY)U?rwPrsgg`#v@?0>>)KO14)+i zcATY{jF#e2Qmek-&XKC|NP1`Gh1i*R*?FUBNr?=I_QEzW~;11qiua0ygCx_muZJ%rNF#8$fqAIe9=SU6<`qwCQD!=yk|6I%#~D9J7IY9L)()&bL`NT8_P>@L#F&ozar?zXoB33D_-ZHV(&7Fz6dcqYdZH=fm^3H-_m;0Nbz^NS|`ns!nYpNA8=P`J1vz;BUO!$c|^Wy4Rs;{4 zUck9W=+^fjyi(dKufusIv{CRb7 z<#rrBnrR_-nv_#frtG2C^tnE*RNAS&pitgr_+IQ~8apNEcI@7q>!#rAU=V)FDSjz~ zA^cN{$l+^~?_raMagvvLp+hgF6dS*k$pNfvSiLDklV@~`X)*xRfN*X9nVcL3SJDBG zp;KoCcf2}WC<6bHVZF2Hpw6^8vILG)pAJ-p620peCW&s}(OwznxH0MyXPbuIedL1` zo;fcwD%54t>DDiG>y5R?mf@U^(M8g(WBD0p4)!<8(;jeG`*`i=fEQXUHY)GTcPr!=TdY2>A~e` zSa#fBnV+#%{+*G%9-MMcC z6T-<93C)UI+z6z0Gs9^87P+8S-_atDE;=ER7pZyTaCWeSGC264__IyaoeY0q0Rl}$ z?nw*~mJ+Z4@~poy-YfBAOPXZjyl9P6wguEb!6B_ck}>wH?yGzuaY%t7VAQeEcCfE( z8N1ynqpX}td)h0bYZxLJ|L2@+8>bd{KBI&Xn4E65*p#09AYV;YIq!&AK+CW+ zuVsQC2zabhW3E2>vpPy!HzNg@7ZHi_i@(3vpb4!xl&G;9txxI6)Q1O$ zHVuxD=>^x&7Yv4m89c{Df_fhcd8X5@sp!jEYo5jt<2Y=2ZXs&(wG1v3imYh^ZQ9=o~nTKt-KwW?dZW#F3%B{=P)vFJh7G zKZMi}6pV!d@$DH=TG>}P<=Otuwdlyov&vhp@q!|0z)Vw9_ zm2c{Q1WE1-9%opZer zi%1QKBHZO}3uZ+#z{2=mCRl0aXu6tchICNhTe4T-tH;!i^stSY;>^P`0l{IZ5uM-BO6Zxh)!knMCFGg^M(Nd*?z({}#fKgx@2! zHGD6n2(iecB!&Yej}wDbv+ET0Tz`ZCZ@P8j+}}}*YlLc2SL0KBtEfDqgEebJHY)B6 z$4bqCeKV1IxDxmEo)u=RbR^e>RO}9=i2uUm2IpR|iZ&FgqZqMiyQB8-fAf4V2}m8I zfS+fIwen+2{b>Z2iWZA-w#ix;afMjNJBixA>zV>|l6Elgzq zd4@LQJ_;X6VcY}i!Wx>|QAi!9!cYEcTpgSj)uhhXpE8Hk9w${ya>6k0rj7Jkdr)@AM>n4?&Ho-7wtcjGZam^ih~aNdll?eQWx4z! z-0vg~`~KgYxZ$vNMSi)I5d$1tufVEx(FJ1?>XwRiwfM&Q0ZXd;+?a8w!GJ^6_|uLH zFP2-g6YjJTHFnxwuG%F(WpmKE-oZ~Lhh|X>#d0HMtHWrjfhq@fJoN;jXsVd;)h@j0 zEFmhMubNNv)Qyt$`ntj*BHT_m%Wp5#!tYJcJ#FBs9HlNr0Onr-`JC$&3mdasy{LmK z@q~vG?K38PU~J_OO6^cX1FD8lNSg82cg4s#&%M|5of;>Dv zCVB~bOtX}zRRkJC3+wj~&YFu&n+-o4fr~4^`pahbg1Rtz&rdg|e4pdfgsL;E;TJZka zoYB~fbVM087P(Z0ewg$A`Sus`7-j^Zi(E+=o(>PP@&gG@zAy!)be3 z`o)KBrnopfHaZU&zG+%h$-N(b&-TG!ciyxSixfe9yQ-MgVqev(LHRugd!~z__eTMN;(Lz`u^>fwn#WgaDS!~^VY4>N|j5? zYS}vV=M3joe&@!H27iY4%JGaHTt%7cQ*=to)#u#^&d9~jnNYbH5`8MWu}?A_{FMKM z6(Ue8dKrk7?RHY3a|6q+eFga`Gul|4(et(yA~zEA>l8fd2RUjm{;wpn5++HOCl&EDRpXc9; zJRi6>da3h+lr>nc2UMJvu4X3PV8M-ejpfEz-=XlsDa3$8gUhyQJtOaql8D{%%Vt#m z2U=veW@D`(d7M14-@^ZNQ+!h88a${m>?d?Z_0m~A=C|~aVEv&JBzQg(gHTaBTVA$? z$nJTU(uC8TKgRfLM?rJ8_Mg@Rb0ttf49-%=OSI`|kMysaphJnCRE<77W7}88%nWw)-?v}jKJ)#5z!zn;TB z+VHm_J!))=^CsJ09-#o5ybhRNqMPwt`_*D!p4ho=VV$GZ zy^DQJ9o(9o!QDgq1a$Z58#2}5+?nzocG3|+fEala!M`%&z2@I3O>53x;M@j&rsMfI zt4!-j&-ZseI)r@-61|X`G2nJ>et5~A-+_CvyM1e$l~xr>&2QzsWkT@I68%WXf3}YG z);xd9WvS@v)#GCH3i7Yaj*;2i-jX(W^yu>a{1KZry>0Ngyj~yhMSu~I-U7HH0n*_- zqV6r8US2#tYBW-&*^=YDICa?T8Vqz>UOC0YV^c2sXI84&QJyWwc!6uzZo=c5;%X;_ z8SF{&9&h?_pRv#Vt;BjO1^n4++JXVYWvxT4GdRzor6DBR>tVXzaYjPep>NdGF!Du7 zh(>f&E28Q0BsQV>*eBiLA)}OT{!WSV^P|6a5K1p?`GU5r3pnOIJ?6lS5h48|BT%P} zLvtid_bi~;016P;S;^zOSM1MqJ^QDBMmsDBiV`?qIgb^vz3Wg{GZ8#pA&Om0C*YLd z?-VlP@NV9)UDjuuE(Yn--HobtvMk;vBbOloDi>AO3a4wavdurK>Ni5cyVOLp=G!2X z9fhzi{q9*V$aE`*sN(O^>}vM8pi^3&i(*d3GQI6I4z>MS+8l;)F{@eC*bbbLdHdrM zC*vvhJFK(mDceIQzO#)W-FG}o%ppGt4CLZJqrZg%ehC$l48i<(jNAV-2=m~XyIV$^ zb{+3B8k;QhlpyLseS}Kn?Po;$R_46ft9a?yaZe36pMu>;^@c}ztG;7ai4{K;(jlf= zPPWKINNYq}PN6dy)L`H?DI#9D@we^5A8!r=}Z}h>eg>XRPVg%FmQFLlkcE(&8j(|qAxu@&! zOBgz$OPDZ9nwRk1q(dRjT5l%+451_i>x72P1y^5y<99+_<)575$%>@kP;zH#eFLhf zVUi(;Yp$d_*NI@ER5hjBmDpMG85H#&{aBs}DEvZ)86F}!f26^mz>xC&$6)_pe?bHeBimn_Uu6FU z(_)-jrI9a*sO%5HzWK91=h)MTIy86A_7Y?8Qonn>$mK%7;*+wNn)Dkd#USJw=8dEz zT+LZ5U&36$gq?pQ9OXe+}o`feEHGu@XuUpVYOS+2;9Hl7YkackLFP}67zeVk1Q~6FHkm;^QU=lWe}bv z!T@~2aoovQOI)yi5Hb)pdo8N@C(0ctb*_ch<;v%=dNk`?on5YM5||oAaxkT;RsK>H z{s8*HESz@JRdD&C-;9tsN|14lpkrqmnaQK^N7`CIL-ImyfyV{|$*qHNnnomN^-!k( zWue?6kK=_(uaIo>H?N{Z7xPKuMup>u_SQH(LFE(u#hMCFm^< zJ({4mIaEEhk$u9UtG(ZIMit&$*G(Hg@ZPEh;JsBkpeM)656Ihi={qht=DkhddsB>a zwfQB=`f$!j^;tP*q|)K-av<_$pLgG$leP4Zud(ue z&-dM7tS#=|SL%WNs$23G)whipw-nOT_?atn|f!*!cOpVjt_L1JUFFN+o-uu4p*av%i zR$g4x*xHMY%&pd#>#8~`{iS!b&e~ostyR0(O1(<8BdK;xs;Sp@rDkhdO>J8(<7R57 z@!V=-sqIwLI-gd1QlK(^{n2_+?PmL$M8nZeG=VNg1o*{hfIJ`%T{j8(Q(9Z)Vbj-o zC)JsapYlnU_T^mrnx7M$`Ew%fAl?MHtrz?>m2762}_!Y1DXsG2h5~*7pH0Av%EeE zK7-GO*ZfCh$^L-K7vyQ{-V)+~ne+s40Q;T7s|a70{Z5fNVcoVUz`nZS{eSGMV_#j3 zbem0GTvQj@hWUV+MPWT{Rr|q4`F_Ec~npwU!n6{?LgGEuYGX43#?49HLZ> zP=aP>tSUcMr&vIX7x0hrtPJxM}5K!d3sH>ouw-i1Urs*&4fMAy?uT z8;Jvm1Be5N1Dck@24Dk*tseX8DnGEVuF_%QUx&umz}LXnz}LXnAm>QVv0Br)oX#n` zDevQ$|LRPVDno+i(?_IT)>!$ypXu-oyF^#*FDHovcC()=@@dEJvw9tm+J4QkpBif0 zuty#Hgk7ngbK7H%-S5~#spr5R?rnSA+wODh!PGXe2OO&>YNOJevPQGVv4?xHkN37c z>1}uQwhioKy=_l<+n@Ee4Qz}m)9SRh-QjIBtpXc8AF!+2^S=0&`(|oLx%~?aH0uxfhQ^#AP>mHko||i z9&+uNEoOKZdmWqohp@#v9h>c1SldT>dp_${FR;07*y8X3GFG`aQDIx}eZv-qrOs_- z71efYZ(Bna?EMM*e*gdg|NnRae3ZXy6hRcn-^4_7xm*&@#KgZavb3)0uB=`~nI)Wvd)hWWR8PC3vNSb*Bf zm$kt4p)*g3x_SM$`NGC$i3QlAT+xB6J$D&lox008JOJhyH$JZ}=YQgJgeB!;%Z9a* z!Fy`tAkjlXxh?k*Tr2+sJCmTw36o^&UGWBd%UR#fy_o>u%ri-2yta4k zKlto8mQ;PlOH5HeQ$KEhLVc8H!EStslNQr7#0t-U{|rXF?;9icUYcX=rPh}r-mRGo z5W!ODSWTsstHVG=vvY#&IaAJu`(g(JfjLUk4QXg`fHv8({YK28uY-Hk%7U+Lc~R9Q zE22)!m=IIfr=LQ@18l+}qbSl0e?I^Es{kCC?11a?rPTF?YX#c20*kdoS59N#ab&8n z-CE_NF7kUqD<@(_*+kiSTY3zyfi#0yVvKrOxBhw^p|UYx$LPag@{JO1#pGS<+bXZ) zEXe1b@$`J?D{03IrjLz8XZduGW}J|v!^sVUs3{{E5)&Ge%1MV7!wGneq4<L!-f8cy@roNCheLg$F9~v!O=uU)O;z*VsGNKPpr05w0Ddj97BW^`dRU_-1=c(d zg~>9MVBZ;uv!s9{34m)E_mBrU#q=jkrjorB1Bn&;jq9w}qXH_XzvY+5TWmCck*HHT hVN);rmgmX+|Gq90=;}thJc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D983IG5A0088Z0RR91 z003(R00000005Jg0RR91004La#JzQV6v^{HJOja9KDhf%Ahl?4cXv3P!#UjHfGie5 z2*H9|a5>!F-R*EV+&NqW%&*?n>D^84?)&`t^y`($?$mU3c~$rH%-XhXn?L#RG^WAL zRR(`q_!G|k|M{2K1U-h4(ZGivw)%>rkDM|7ab!l&;UDMK8S@|K|L^PniRYgf{_7fV z%HcP|4*9#iQoH-H_rbWMO}YiMoH%-fhTe%t=AcKCI^jfqG+8npHYgcoa@Wj~Yc?qcDG#anlQ)7xG#0?u}XD zPbSxROH5t!Sb8ZQ)fvq@?8lpTbzb3Dy=)t9;!UlhN>S*|uQy-cWJGo4SNW_cR7b^Q z0x!8K34D<&l}zFaG@%@o1QZ^HSPkhG!mN5J3g5~# z3WLJ0cvmAT*{W;Q8E^b*6eW$AGP)X3QKBeUV=0?a7-AUk1vR3&Mp=uJiISn}rOqqN zpM*|j2}%NLTyG!orbJz%uqiH-1da*&rw5G=O zwtodxtm%i!(Cs|`#7^;e&!GE-p#5GvzUKZZ1f%jygea~{>YSZD3WS+rmr7V@9jsPJw&+vq;|Js)R{z*)4jJhoY$KiHQ`1XJurm#BL0vyQj| zX?`;?nbbQzFUgXwO149`Ku(p<-so6MaX=H4}bb}B9Zgaw35y{ zHPc&F^w^Dg7&|s_jrr1m4xb-Hn-*NL>S^SyzHskOz}5QN30j*mj&uL6TvmhzH{pJE z8hq=Xq7`LHF;ndPk_JCQ$6K(d(T9stI4M({z;>Ppwzd~UT*AQ{oHf4TdJkh-0SP2! zu|z@si$>nyGh5+%4hE)oZ#VKyu~{P1;A7t4{s|+IcLP;@{*$!%+|lIV5D9d90r2TK3~2_*K95m_($}3TGXERak2ZnLO2wZK1M*O@IMHMtAJ>R!d4t`I0gIU( z@y8qNW-I{mTnov1gWbIwp=163?45%pVmcre@7@FBMv7%V80*05H7`&a=l?EMa26S3 zSb2OvF}KoR70GioXAV{qhnyN<6VPV>GlxtZOp|2yAfj6b6f@ zJHQ7nxRU+bjt{L5NHdzM|9M;(gW-SA&L@*1uEhqSI@RQwT+fPNa4hsr2EK=~3mSeI zM^dh=%GNS6KN0A?gQaHT;O0BLz&pny&G$P-ZZF~Mj9qoc64fhDM)TvAq-vZz$+*qE zV17=;^|UJ18!AvRsr5#?|2p8k8iSdC)HoY;`7()|?|)jyH1aYK&~kW5zbPLmeZh2M zOq{4xJIS7Jqd+jzO>082VuuRfZev=Cu@~`B_T#1gewEJW6EJ^Q#au<9_K+jW%o}xIubN`9w&hCt_cm>_iLhMx#6u3I97i*WYhdP+p;&bFQMC#pg1P z;h>XbVC}_@g4&ukaX+&d()*4)Z75dCg4F)uUJI#78nev#F%I{3eZ5TIs>KyAsijGq z4$5b-qnVx36{7C9f}#rb7vQJ>!^{!t?{_+p^3Y&S+G0f8%&>D!TG4(@9OX1=EFYH| zX#e4|wEA{tTAQhxWogphadfadv*69em4axKbDc!RW16&A939M=P+PKgxoCf9Ro1xm zy%)71tHfo5tn~Nm-PT_yFQQ4?fl*@NpdEO?*D8*gnzX7B%yMSfT4>gyl)GL!t^g)m z`7~+6LBw;&=H!KS^rHV>A?<`FEe{*Aoq#VfXSnjx#v#gy+@!6ASeAhDR;&E|uKiCH zgHM=NiEG?1vT4#j)f(v-s7Y%HWu*pV72;H(iGDeyPF9S;>N99Ru~)>lt7y zNAPG?uohJuZ_)9fQC5IvCqEG9hPO|#1F3EK9b{BZVl~&jTfznqk(S**<`Bi$9L?Bw zR9piPa};m@+92fZ$hXt+7P^3X4uasl^5^wJ*Mr{;LaRz3FS zcnq10==JBU8r1P~Zpxpuf|XQfgUejb*&oomwWAKLNHj&VK}s#u$#BkTNa8IsRiGKW zqLKDHBmzq`PHgq}`}MZUW0iDV`xWjbp+b{3>C-{SVL8K)%={-aW#jRTE>t*SF^W?y zhVL9r`5<;}Q%b6|pW-$mzEPt%%}cX9YBrFLFk+ST=iDBIHi%%Sy{`}^g*prlq?lcxK6NNaZMuw$<#O~7}#s5RHU=t3P|6(iTQ zc)klXX#&myC)$xIp);su5h2Z;-Z}ocjJZ6N@mp|9N)&5pRH>mTGV(DW=D=53{MKbr zk*SI%Z5vqjM~$qdw$^XCW4|V?GY2jugXs^O()3AA@-G)*P0*xOMhcU5yXklOG%tgY zmRn1$KDEX0Lyop{)Y8a%fiYozNTo8Azj9{Lw;MT78SQb+)Th4mu!f%?@1T(j zSPq)xsQSdQ zR9njrlol5_3mS3P2hxz1RBIT78|0$v6*MP7ZYgu zJt#*{cTbU!TL=LOZ7#=Zvk3S;(;+A2x*e_Z=m?>%z>KdIIs7_IRUsF3j!>Q+D0;Ba z-IQ0EblfpTkKKJ9?0i=T>InU9!z7cfm;TgvX-TM`&dV|A^yGiN%fs#Twf=#s6s$o^yv2{Y!$mF@^ z@|0&8#{$zCjQKw=KoLozd7gk-yL7tbGdJg<@c1??&PPIv(JUf53H?M6+uN|`} z>C}Bz86Ei`8$Kh5R<(RAly~DHWs>WI zs8|~{U5Yf@sC>*X^s?7H>u-(x9n)xE!ncXBX49egSLof_B35ReLCb<^ZI-7|2FBjI zP!F1WVXYv)oFH;VGzqBU%E+)?Q)knK23M$Gz#ePJhsZTetXCT2A%*OzwooAXx%UPd{{AC{m!E2N z*QQtz+AA$$p8Tyh;07zp_Hb9Ln(+rYxfG zYaL4G6Fd>p;%ala2J!TU5Px$npuI^C()Tfgts~r9ELEmVu^^jl$Af9jCbh&3p$h58 zfLzw@KYa|QJEs)*B@-ijJ7K8{aplZ&>&fWyk-ooQ?@8~nsN2Yg!PO3Jqvx*g6!(wr zR!uf!Sld_ zU)439?=M zl@n>9d7wtSI-!*0t1`uvTyhKFyinsZm%!FE-(M>|wXk+2Jx_@Z!`3z@yia{nBy*ms zm%%EBvuKt1&rT1Sug+D71`Pk5M$O)1?auc-$ zcQ}q|#vXyRVobO(YC#uTT&I`>kNe;h%?CvD`kG-5+P02XH-AI#dRMpNYcIhfk+I%~ zMc4N62%1yir1BEY*ju0&i`b%(=Y3Jr3fIWr!TTDsBbYm4wWE2CDKz_XOyAyG;Q=UM zPP5?cfm?sj@t6*0qaF*bZ!B{Oxf>w0$>^Q3+fqvP!AC5WQ7VOEXk!lSd_lUtV6P;^{`A=ljzK^ylLcCM{E#!ASH3G&RMQ21z!*)wTGN>h4+7IA-YV2AQo zvv`%6H1CJbOI`Ij2cfz8>`?^kF8&#Ll+oR087>` z-t0V?hvGU9UwQ5LMU(at^4x-;Uh9`aWFHzO{yjqb*cAwD2t4UtoC)+}qDoX45!@Kf z@o6jz$*h)s0u_kNV|h7fiVN1|OU&}PMhn$9JlN`>^H>PPE#YZo$1rNM>Y4D(q^c%U zt9r1W7eR>b4W@A^$*je~`aiYD@Mh_fx@0ly7SY5OO z^UY|EOe|t^(Jh|n)wo7q1_oFwG~X{cOc?h;{<}iKbS{~S=a+SYmh}lg$VT_Rv+fTo z4wEKMlnQmr6&Pl}5$h>Us}yc0644aE@dwOdQ^^ffca8!h42snHz+cwmu`N|oC~yTK>uvMN(*-VP6=*9BEPU&1mMH+ap`HLoDgrm4Gu zavc6bmpApZ;%S+$VwUYQ7~$3KFv?SHC%s(}VkOmyY95e3f>?U@TSoDYsZ7+JEn6&g zA#zD=R-5gYbv?B^`GNc=<+rM8sV#zQk42t6TcRRa$7j)A^QyH>2aifV%z9k@W;^z8 zr!=i2s8N+7Rxcf})55)aBh78C)SU)8lz&gwHS!=3Jpjh^Yl7|6^ZPftldP4so5dm# zxeJK+#*`a>+#X3unyFN?Pxmef$aQFJZkug1Z~iw5c7|DlE9 z&^3{|EhpeJltE3%Cj#D3+}k~tdGO9 zJ7x&*;vS>XX5nnI{s^Lbf7i6q>nzFfoTt&CFaO__Xv*TX6g=m#<-V2k60rrBm~E@x zrf!2WIMc>%ZKcssaXmD<2k=vKgX!AO3lbH7=+fdM?0f~HgC2YIy>3!7N#J_IRGG;^ zTBgi_^klzs177)8iRuVwyaSc!-qYXivHELcy1-ClA`CaE-Y$A@BEIwRnQGQ*?cbw# zY$ovzgQ^or+8jUJ?BtwgpTBV_VqZ?1i81`NfXAOvEyR$9|EW!M=!pmm?U3 zW~?P|Il8Z-Vp&Y4_zJGLnrx#SkK#yiaGvd4rpN-3!;70E=yb}{g8Z$Hin&n9okTL5 z-C-MzdmhIbR}Amlev$MJ35VRcvsVym<&+8KxK` zT|3CI)%lyLkvIBS&uXP97cdjREFNvoyRc@_+cj;h(VFrj+|if>v3wlMx2Rhx{~o~p zE%Certc4vsz}-8`DqY_uyrZ< ze*Rg<4K4F~VC*uyxTP!v5YHN7^G0mTv$2nkLNsD(oDkBKz z7-Sznp6xX4SK8HS0XcWCxB6;J)mRLpPy0XUNsiZqndD|&TGYdRm|Nhk@S0%ivs5Wm zQ)WEm>}t%zP&-owQ|7)3T!zIWjGY3@W1*5F4L;N8b-A5EjXGO*wZI1e?=nbjcAgNr z*IU&sq}@Q4d46Z)c7}t0`6b+?+`vsZ2Tk68!+)kX7y(;&;x#VYOvOV*>CHk_PLvV3 zISZm<)_ou89Tj%oGM8B8HD{;5x8PaPW*WWTf1N7M>0!M_5VqH!C?VJK@5SiQl&GQkoZio#G{VuYdbzEJYVxt*hr4YJ$|#e!T8S4xei zE&cY=y+YHhn7Xt`ijXGYWWUX%UUOA~K9MN0UTeWr*v$|f=6{_@6)q`o5lwkd@VyMB z?xR|-{VF9{EtJD!-$HKK5Tu%2YZe`Tr+RnOPSlTuYJ7lAoY?w^&KJnzd{MBm)lYXC zg2H4t)Vs7xZ~75O*;;8m_CY4wZpi4(#oey>wSBup#y-f%MJ&8fV;gfBh23uTWBPkU z9w#EQY`p|YIp84karjbb0#)9rOtGFu{taTe1Htdfc+2n09c7AIpE9pEvO)0P;G8^d zk+HAx0QO{9i+14DYld1Sb@$@n&|>=R@&!Vv*V4N}c^)1+HL}43$TKe|Dsxrmc$7V@ z8v7d4`YbzxGlDAK&EeV2?E0&&#otv#=9^Hqag%3!cG~*=C}lczBM1eDcxVg8VD^S> z=~+$fZ(|+P^sFW`LUS*aT|INwp*wpVw7OmuS=*CvzaP^8t~^}ku1P(qea*u{`5m?o zLC!O^03!`zfbJbTXp_Y&+tHi$NB?G-*eZ%(I#>Y0(n&xtFNg`O55YoZQK$$_^3WZr0cA=>nIGIg6%$$F!632*CDJCf{nIXlvoX==GO4vR&s zr3~cBI}UlXW+d`EyGL0+wOBf_cnyx{E@NuC1(d&n^3dn(Rg(ROLIMOga8uqa*ov>k z7K(tl3-8)C`MOgCflAxYjT6fyfERUNd}YQSU5%HVXE_&KE5`vW)7 zj^=6>t8vSu!Z?MmNyegI*6CFb|>i35yA9Vmy0rXQ7#dM@6U)#ub?(<=lk2F@ZAgg(0FnmV9WyN z{r7yQ4Q-1$8&56e*&H{5&CI+B?qV0|LIXF;ZRM5nHF`>Lw;8tZtDM@x*P_%9qVb`&ty z9V8PqW`H>i4xA=_7kadKHpM+2XtmJ=xStLaMzz<|D0sJ8+Sl>g=!%NwHAL5-$TT`z zPJtb44x&)r_-5EiAma6NO=p!-MVz^^SF;+sJrrY$!R3!Vj<)qs#lbs{4&p@J5g0N; z=|`8ubLL%F)On)j8q2+HHxPD?#|w;Z4`x&DiH~T&p;cBKMlNYC6qVdNOl=%T=JHBS zN{Pr?-9{2*c1B1c45HDn%BV5m{rL;hs4Cj}U9>(8YV ze<|=E9H)iyw`_&R3shVg?tY`%lYN~Vp6#*ZZXCK_hLN6T(U~Kf9iXg(eo&8~ves)& z+i~XGOoyVabdO;)I+mKn7SyJA1fk~)WYf&`ryrG_D5hADok$eg5y<7Pr$)Zxx3*SJ zZEP19Y8HmUmH#r3%J!K@7XrpvXSKdFFm78m>Gy~?o$}6#Hlu3C$j!yzZe*$Hl>2}J zYr>6|tP(pXAIv;~(<%FB1#ZZ;Cgvat%?qz6<@`>WOB8j!oZH&kq{p7mCT+_6VgGM~ z$W=k{T~YVul_JANXG8aHmwtw|i+fABPX!w;4Qm;FZ2`RvS8F|dxw>aD2bKF|C^W5a zIL+Lpz_4ziz8Mgw1@iG{7f{Bz3d~QPD24WgxQ9W8TA|29?)qE|iO06hQprPT$Gz)R zXw`bl){LzKd@l4-tn(J?yi8dO#+Fcx$Ma0)EWpV9bTeglDKP37zQQngo1|@b0{Ll{ zY@yQIJ-vV60tWJp0o00nTWzM0#tJ-|Bdy4MKLgAZxJEnC0RIaXbR_LE3(Ovcs90+8 zZAzFik#kz<>DD-=T%70vTpJIYnaw}VrLqlF@z0DZ(uD6fAmU4^c@(>)0=pwqB?#;` zUt}gcGmqX+RABC`eC!$WV;-y&-M+`Yrz`Lb*0(s(XN=tv>kjXp+@wSE6FU2DJa6^W zq{V}(Kd{=2IlB*0U~&@w7K*^xR}hVFcEuToXhhs-;5guWEl`y1c!+95_(^Z52%WuJ zkJpE&RuT zK5<~?M2RIkND~xS`pu>hKNZ-=gH(Tth&ziij9)IjAym0Hr-8h{mthvwnXbTvct|ma zIH<+4AXDlB{KN1})&tGeS2)pKWXc1r!>H}PA@umsYHPDb${RLaMmF@W9JYvhIB!td z?#Ha}uw*n|Sr0Jopm*x6t10)zPt-hkwAGR$a<~zotEt{!^!<{=u;JJ^=-yk>jkaQPLT zBucnI-FySRVosGHbDk0NvSWtHw7L3I(U{wb#CFDll#A6TQ@<_>{EYLHRM_L8lKlYd zu~X)lXZa!tpuK}i-m~!-$#O2Fl*=y>7O{TQUXmCpsSk@9)xkxn3aLudjXVeeF(He^ zXp=FVV%@t)gAPx%sw&icPahfX1Iy6ZUOkwWuDndQHdeOU>0F{O<2#ybn$ymrvj~q_ zb>Ok1={xARQIsl&(pVlH&po}zJf1}}zbMK#Ysx*~J3%AJXQ-mQ9mh2h%U8~>W@?U# z#_S@&lz_M8_g#P0vlPUeXZVphOpNlJen=1UrgpY0IN0j1N#m6?m+>b=m!`@TdNNXx z<~E0gtZLI&MCY7BuE7f2OH=*;aUu~=WGi)r!j>rH2%5t810)OF|2C`8WU4<|-7aMn zDX(4(J8A$FRf~9ng9R*{0k1|{9dlT;oevI-DEg=G8IB$`$_ubn`HJ$OC z-QUl#vT1YpfEDm;aDyVRrqZ#zcM11P2LDs2zyG$HmX%O9Na0o=dSTx*_`{ zYEeziOE9im?nW+fw?FA5Dp^v2A*)Ev`BN$WGYTJ{NHyar@ByY(%mDyn9+=llBHNp7 zbPUjuiJz)i39GwLp!x?Yl+b_*eQ{cuglA{G$o0$^Q-LsVh-R-HQl>F&AHZS?SlM3mCMWxjU_%cmCo$EOqK6fw!Ukb z`@{3E!yGE7>Pxw6s#y&luDM|~C{Nazr)-)|O8Mis6bdwi#rT0fR)4(D}Woba{ zJUcufEeNRlk)Qp(kweVEJvX=wfu-|dBz33kr5V}PK24N0P^xNYps`6OFVUJqs&|y3 z)io`y?4b>xvj}pOIg;jvK->b)@aPg9xuAMCVPZsTfh<(zFWMZ2HXi2~q_O8l@EFDy z8#k;vG%4JoixW?Jo<&~EnC;=9{BEBE^sH-KXTR;etkbM9$tB&e6{8D7%^tfa(2@aa z7R!_=(wc)T&IY{g=hOt6F#5VuuQV{oWgXY1$ijCSx!{7G(k`MH-8}9uBj!ikc)%Y`SKLK(q>%!5)>%-t z_0@r!>akbMzT#Pxtjz*5WsaV7{083s64!a~$!Ke=4w@xk4!ku!z*v=i4(0y*CtV-j z$Qq)ZC?)EGw4m?C{B~3|&1Sk>qL8&xQ_hcPs`zLQUD=yP4^kewM=Mm`Dlip#(J~M9 zzw0=oZ7mSPa=7P`s$V}^+;Rv#PyE&MHb-~+cENL$zGOUS`DP=mL%N(RfQTo&qBWhZIim3y@BXLIY4j!g0z5#HHvnBQvkpcUN}<-CNe zWc~^QLxOgPp0k%1T70?M&~Or#%C~Y1b7h9v)M?vZ(HQr!Wup0f6c~~atvqJ|7~^pGNV93vJ_T;%HU~KHK=jt;P~+PN#}v)? zB6#v^mW{EFpY|)gpekK1KhoN%rG`ZfI|jsZIF5_->EO{*we*F!i9Z zlO7Ro=e@J)890Q-BV zvGn^wWi35eEJC?#Y`uX@xia2ndRgxqRh+)x+MvaPr68j_tfOC*9W?WK0_Wv)ZLI5z zT$1D$1TKCJ0#67p1<}+nCG!Zdg7z48E}te=3!t(&^{ zuW$po>6kM0K{|CYk+bNjK^EWVRP7@=UdFfU{$nY{^~<#Df0aEu4*9Vp+a88XU(Ov! zN4`H4zVCDLlVb1%_^yRn>@Mj={)DSp^PMu0mA1IAs>LAg<11>is zjEqid4m_4+F3BPcX^s~_T~Xet!JS;P&NRV?KQ@NU|8Cirs_}j*;H%6k5z_ubJRga9 z=)ao7bOZ*jP9iM|UAeK_D03iIiD~i(?yy(rc^BDgC26iWe34(^5MWrXgQ(yPm8fDf zI-%TjX9H~x*7+xn)Y`{nC^<~~b2Kg7R)%gx^s^8qf~GX+ca?&c)Lqmuqjn zCjdfwJU>Ojn_@YiUp{8l)#+XG7;j7BD!14_NM)J)`~It>e+h)=2}Y;_B8U1?W$V2v{EzY_DVZ3ZRejugmt^#&P4%iY?*J(#Lu2tJk z=gKE{HrqVTnxkXtA?DELSvFUMenI57?lLv@8Es|J;D#8R3*`VK(c0-Wzwag5Snr|d zQHTVHsoCKRCvRP&{c+35lu36s8bfODq0qGhuF>x6W!*C>p?3`+J8%e}ca`?_S77v! zhBRVe%orXtyYVVUZbf$Rd3dyGN#Uap)Km8Mo+1AeFfI~dwl+{J&F%YF%`iArAL?soOSkh{2 z4di!Bb)Qee8Y^&lc1%&pQ`VS)Ex_)|<8Glp9bA8^wjJ86%YSA-^HH>{sd_#%hW4=r z3^psUo7!)77ANNo^=h~VTFrr2Qv3+Lc!M==gLk(E7#%T?H`s??74{Q%>}Ihgn2ErM zH&~e$gE`6Ab|3i6zk++fP$n~>QO0)no(eO|i~Rksy|2M;{>0&5!M+&w7gl-{kpL0&cXTDCQF z78K+ScDuoB1{kAp@CM)Dmz>Gi$sv*U(ZMzJ*r^y|ZiTUX_jU_aRD!pl{5!ZU#DeYA zQKaVXjb}NH&Ht$qc|*<6|5Qm{3>y$#C2r&`F}6Gi=}oy?W0ep$ibrS6ZK)`0%wMCM z;#MuS7L43ZgOuQne1Vo4v+Oc5qj2&DLzdEfFl=`oAqx1RR;a%ZUv-ziMUk4D@(;iP z)&IL8HwNXrN*-0;Zn5Bljn&vF$lc%ukXrxf#^eUigvLVtQ)4w5xhealz4>0Rlav?aUC+Q}hT z>a_qMZvlNLI~_*fpQ$9-n$;}zT61Jq8RoAFE?U=jC#_AE!um}k7f4+$2F)L4IqLJa z3uSxS+G?xgIWNn)%=JL=vSbh~3swQ!?Z98%$kQAiOW`FH7=@O^b3rZ#U9WYz8rMo6 zWo!X=I}$?M@^+!nPTj4C%#SplCAf@KD5-LGpGa3~?4akh09J#EsAlbgb)gkO@9pS) zr%H4BGv?vD@pDQpvkk2IUn}hA9E|O7gk|q`i-(ly+MnD}S+yR! zP@}pQU#hBmF8&R>5bCNNXZuj&^J?sO3>Iq%gB3RDx9=%5lS7kI&^AS(K3fsJ{=m`@nb$-d zaN?kadNNLRs|ee?Ng}5E@5&Ky@?fcfmn*di@m|y z+1BR6)&?Nj9*0e65B*+hgJZwGagY_cgo~R1qgvRnG{d+K<;iS+KpIK_$NCOlp~=U#Vcf9I%!Ut6eJF4&~JD&}&!E_7s5396WTpB1jn z;U*;T71q+O)&{Dc_Pxygv$L&muhA3)hQswI)t+K|UVB65>iJ5k&Rze(TrXR4U#Ik@+U3RT$e0h2qtIrtbZ7-ad<8 z2cueI1g@b7b4SaqraDK9Sb^Pd+~1lCdxZjffKi@KLlw~09z@)kDk^%P?V^9NApZtgFqkVbAJxVd5c!43WdGn>PF zyU*Y<_m?)T8@^xhU%kW8+vG^&?ttF@+$nYr{_qv17#lA4ua+7IV&RAKq6Uu*+64o^ zayt;9v%#Hy4IIUpU1=7Gs=*)4^$Xek9hs?rzo}McO^FoXvZ7P@#uS7mrysVQ32W}P^6HSg1R3}VdT;)+kiO23ked<-*bPLXoxsy+nBuI)qv%@X zQtu^RP>~v0*7ju2Nb|?v>1$z2p70!~&EYVQZDxb5)m+U_42)IP{W$hK>5X})y%uc# z=)Z_E#JNK^Vh33*G-*>HA9<_ZxgTLPYx_3B`&%ApOLf7CNfq78P$^F-Ss5jC7L<}P5_Y8xK5FeABg)#;PL$Ah*kh}=d;WEw)q|F8MotM?5;0Jl6W;&|%%`dm)y@&|+?XL`?tZR=5 z@^VbMAm`gi0Y*a{wA4PdeBgMirKSkPF;$$=v}a6fO1$ET$JTBm8{jz^BRK9Mid*|V z)v4avDy1vzF$i33&^v3HASzs2MPz>aze+Xp;Y4eZ5(<_*L=}I3PwUUz_q_B9{Z#9b z_zh**lT<=3-W4Q5ea&c;zGs#SqY1CoWG|~Wwc-{sela2=gs z99>DJc5y=yayz9fRLvshEoQx3w0BOZNDafa1WBp*Lh~c^9lZ%fF4%BY1}XX?uNkTB zT)qe38tr4FQ~V;NNrLvEY|~&g73>itWA|ZmkOb`kYmN2T+Q+e5Ym6%{F3ou;V}8~O z)ctf;dYrGlXG>vxX4!5A=1VniM{gFarW2=~)?*!V1^Fx((;1%<`;gUdJ#t3<^stpP??Z<#0dZGr^jwbx=g zEGplifpj)N$$Ui=nRmrpRm=QX(Ta}Ly4nkd3(i7tt60*X@=i`mk1DjVx@oZtfah;# z7c`5Xoj@su9u>x-R(tC}+P~(|-9X+odeA)0j7dp_9Z6;c{9BXurc;RHtk&2cm^I9S zzK6%EL4A@t=-c9Xp7+j`0p4S1@&S)^y#Q-`f~q7env#sV|am@g&Yg z@%vltn6YSR{plB^haFbf*Pd`qRk+bFAh% zB8M=*{)B5v|2mf*zd0|=@C1%@B>^q5?99A{cVYV*ul2K6B5h&eWJ(sSh zzCewA`^noGC7xe{Q17Gscou&=HO{3h)kmn|Lmp@hZ|yMC9t@@qcI5h+HsrGCT?{hU zlz%+2%)+K2iA)hJKC>2Zl|H(Rx|WRghNZJ0dNKbQxoa(>lqnQA2TM&N@@FLI`yfC^ zhmb2Fp7I@NRV%Y2l=kuCjQGYz5Iq)syD*8Kd{toRQv#Rj`EN(jL;{!tZ=G^QxuO)G zmC4>@K5bXx>g3<&CGFW-FtZ4V(wo;vqR(oyIbgGt+txgt^k%8}%MV&*wJGjET$5|o zx73~1)03TVn+l^X?B_rI!S6z@MgYV zN~#N}Te=||gq=A{IYQ$&Gj;f6W!GbSNUQ7nm3}{x$%$8eqFxg>h=*b2n&XZTy4g-y z%RROhF~!d?MF9`5xSe_wjpJ;Xu#NRl_hy5486`R78WWC;p?$Md@0ILy(mNv)EpOv= zPgA25War>6h52BgEZCLCG>TS`5u!s`l#~H|Oi|AMphtNXJY0RR6)b&+w>y94jF4D*z^j;a(my-ExqkP4eSuPD84Q(vI z)GsZ+M4?Xn8?>y$BtDy#(wzw+Azc-PZ z_IqRbvrh_w#;8FT;*dPiXZl|O%ek}qE9)_OMQty#U!oI5(ulR}VU>urcrKZKAdrj& zszx5l{D`$QWcN4uCFm|ARqsm_qgooL-;w>E-^!`a6HTKhytrM_3siYseCL#p^Q@BE z6c=z|V&sQdD*n2j=&^e2rYQ$5LB0zC8&B?-FB9~o{P(s>`Cf=wk=P=n1tUoo2Crtk@J>xQT^9h7@FW!m0n zqI~}U0Aq7i>>N>g=tMPpN~pt6tE3w0-+gd{ns;kbVt)sn&-lXg6!kXLzvWOcR=xQ* z-SW@i{GO_U#jj0O`rd@zr&*}xhnBS|_Q1LHvcyqqfF8CMdKY3#wKrIsX~MSm{McSY ztB@Z1F2sU|KNyzg-6B_9)opB64ihYrS=v7(a+) z8)KMqcOFBP%U#q{SRshE(@~9fE<1?cEL0U2xRpe84T*mS=!@RO-tu5ovI|0wcgwE> zS5EZ)0Ih13U?$1*s+SNC<4?RXo(cvFtGE9osKA3|-~OE8Od zmvf#zdKQ~wUkA_K7T#JMnTa;{?LcRq{z1R5jAOa)B6oo{q)nZbnYJDbq9nyFYo#v8 zmP4olZknhVttmc{`du7t`FY0FpXzL%K&56JpxC3Hd3MJvbZ5bkU*6J?onKeZHgz(jHj@fDg*za(_9M(^$`wQW2kx%*CfUAZVAIo4}DKqIf<&BP-TseCg`?U zs-U&0@zbR$3reSK#KZw^XYv0 zt?EIGQ?hD=u?vHem{m8^oHZY*D?c{t(%{o9UNa5cAm_nN6u0Dex)jvHI-r9`U$;Wr zju=COGODN;rh`W&7J!ieb2iOb?Y_M%KOH=pAxRFvP>ouSpon;C?H+MUBqP7?HHqmn zw_F@SuA&Nz7rTp>B!pOA!(6qs1a2o!Y~km}cv$GAS*{LL@oCej=Fl2EO=c94&cK64 zHZg~tu%nMSc(-NDj}%3fF?IqRbih8Gak68hmYS!}slEK066^C)V7E)wetnv^^zc3p zq`B_1_R{l!&y=!4nDtn%fRAG|<`w9r^tV9T)`x%X=JQ-Dx0YH{R=iyf5;~Y{0oB>9 zZdtx#bP{sc!42fakwcH{r4chf)048Vt(2Oyc_1PSRxRfCd`Hy^Wpv`FE|W2GiA+Bc znQ9_a{yuOtrKqB^L4Pgt08pM33e_?%(0aTlriKBzJd2Sc)LwP7{PZsBY@nJC5 zk&Q;lL+QzcXdW7dkh=o)TJ$C=Ifk)EMOR4|t&-;8I}NVXq~RtMv#subpM-R=9AxZH z|0{JH+(*oz1^bRjtvV!93Sg~J%uC!q8c~2P)qDi<^}OUqgVk1PonmKV>AX2m+$y`C zLKD59lPh~#F|<&3fgiqMW0;Nk?df9|D=*=dP8IGeLFPq}1$A_2oBgiiwKj)N5I5hV zaG92WKWaK=2)#U-z}M}-v(P&m4B3$)zB6+#f2Yws)Jn}`OXabZM=>p+XcV%5x&*4H zY9;T1DyG}E%kT#?;hp*XreC#yCh`Wj1}tF)NQ*yn0bQG381LM@AjIeHj;2ji3VkGggdHHn! zH5FJ(Ma_0k;_3zkxSVq-u$wVq0rLmLsM>&1WC_Q<=Y2aZsLRDSY!QYj`I$E7YyAxHq;s-fG%<@(1$*N@yC?o@T5lEJ@P6 zI(HP6yRf(`{1)xMmClI`$8bTZ?l-U}o3}8++lt*O>AmA(sX4TzzKUS7N^y7hy}2>r zBOLC1xx<0@fDe;)bYo>o`XL8B-+9<#zg6uatR)v5ZS%bIbhAPN=g7(9WIKe6&1=3c zBPImDvgvgC@V9z-1n((TGm9jMct3zb``D^ULnY*T<|Q)D`uo9jtC6~^=vF9iWpWu` zkp*|%8c#261%}2%Sq_A>*a&+K^Vhju)i({Wx4 z71ydZ^n)KQ_KQJD%dWB9k67Xj76#`AeoVSRIQ6`Jk&3i==6S=C52*6N*xib~qQ9O|rgg zku8Cd^217o-F$aA)y{N{YV)(H*win_xwnzZwoCR!E!F!l%Ur_VISxHW7d*1yE%P#3 z=3Cj>j1PQJ$RY>q&ia;mtvC2Cff00yeOP9))NT6mlyzlG3p3&^lBE<9POG-#&IFN^wo=7Df(bjUMW|Bt}?=BCelz&C5 znJzNl<{$sLws!#L@EVMHxACkJiHbKdEGG-k_7`M9HZC>OU%zlOI1qvGPrRUX?2JDLq2%QU1dl}@DAJhHG0iUZe1)WHr3_s&|}_ve)&ueKB9 z>-+u-iUwNOHE<^UrzXPv;d=uqXPq@P@%siVk=9secmVI>4louyUQg@Zzadxn4QrSN z3zjk9yF<$tbTNNgCmuFV$krmEXB$G#R3_XWm3kOGjZj7KIc7{Ke~s{vhyUEGv8U^g z@=QEX7nrwF^{wdoc4t9PA02X|WWnn2vF7lx_IU@KDyT-Cc@+$ zDgj0e{>8W{i;f6m*I4Ev)L}41;@%=d-3k9aCoaGgy+NGby_N<9@~=_RyN~B{R~;2S zr*u?ozAxOd_MZ{EQmZ!PGcZ5J@14iDAW8Vc?PFB7<8=$~x{}tE1z`4P9_<_ZH_)C+vBeD*X*c-5f!!VisSPUEjXr%< zch^Sokm3fTG4?GudD>c8oLh(0cK$kciB(h&{u(JPHYP@$hlNwy3J(Y`#lib}#K$H8 zc_t|R^QDpG6QqLX92Scp=hW>oiojZQfjiZ&`@6gAGb#oHd^1*j3t-Mf9ZnmCd zqe(dNzl8)V0TW4>w14||fK~Uno+MZ`qJN7E=DPvbLa)WDqE&wlfrC)cd z+bL`*inQAiEL46Ykf)S>=yUd`smKc@_WjyETHwtWp}o zPn$-Yu4$om{?f+LS%=*B2&ep$elFvG<4V%~Tv_w61?nqpOjxtmaxmz31Q4TSum+i92}S$g!OL z+Z+U&xHmD77Iae2hhlCZ?H7ceAPBW;)uD9nZHUM`8^dJRK;%`?{<(eo4Dh~WcXpq_ zm9P=%ArHVmVw~ORhsA!=bVMX9v;ZwZmx9t(I0kt&*B> zudz6EJ2!&Nk}4`96EQ-=xW^JOoP02U={tfNC0F3#e7$(+QYaoX1zRJ}HO%(>3Wy(^ zlOK%ZpOnrWK_!l7aGsu3RBB|YnYeFc%T#F$Eo`=4G{(PyqarfD7KGpV4825eB2Nx! z0XCsCPVf_s$M5w*9zO3@rR&MAf?y z!XAFI)BO^p-K)kp_(yJ3>%mTB^Ut>PR_^7s$SUffravB7gCXjutx@=WI?yD&b8nMl zo~Khhmju7xLPgH=tKk#3u-0gW27;%4P-xno{JO3rYC}UGJ|XTu1XXSb^b%2W3)O7$ znJNaqv-WBSeghvH0-4_#_?q_Y$|M>~%g9Azc(4?khWNK~inph^>r@Gygu|W`2iWd! z=7vnPA?KQ0&l6L-!GGkgNWk(wbZXHU(KjxaJ%a%-(qt_2C_)9ho)c49YEh(wUksHp z7T2k!$3_{;GF4HrFL;`OLzSRWv?GG==5nj9qheR^#6Ke7dDj5$NxGNcvcrZuFxb@h zBm+O*vAEz+%>leN(%ja%FX>IPB(k95ego=NsQLj8=l|s2s%fVd&^|J0;zYbNk+-0* z<+-I6+RWD@HNKS{U}WMyx=?qBbW3Zh>n81b=Yv~-Bo2GtiOuB<+s^~VT9;SI$7|NQ5eCy;mwE9 z^sV{c<~zkvT!+18To0+SXUA+vPtxY2p`U76;9Cs&gF9C5>eGh~^;X3}A?8~QncuX@ z``X#84c$9&w-~;B3q;AP5nOc{tmRm!;r#L-i?hY1FzYWh9{-#T#2tgZ-MqGIAx$oH zoh~d*?pxhGB={MR^}myW@twyyR&+Klx7pgIql1Ur%ujby?iddV6HWKSN*-|aRpZFu zcm3l`eHNoozS>2`{fb%$w0Bxdp<0YC9oFnnCf0R7~(d? zNLuCJGS;_5WY0;R<`VLc4=>eHD*z|r+eo}gEb_;PXXXFfs-|P=SvXO0TwJEk7aG_m zle7H-+j1|~Zs1Xj(rlP^k>@ukyiErAZKO8ZOX{FREsn@}nwrtvm?}|a(~CJmS||)| zZHpTen=;709{ehV*qR?ya*b`rPDMfV+Y|K!SbQziizw%2BO>d-6=h#fcEs}xjNJIw zjn=n8)kDn3qBpTd{&g7MuI%>1$ZO8)pt%SkHzQP{$8KdiJsVJ$qT_Ppl2JoD(&{n4 zh^?`L)uIM3^VoQ)ou_U=5zKC(ieP@{JpWWR82j<(7>Zj=)oTeEEao7zoWcltZ1C+a zp-VuatUlYGN!{pL{Qw#JH&&GNJ_3a@CANFz0Pf#KyFdRFN#t8+wFBd)7jm#lBr@&g z-ga@&_rr&?!9ulTVH9)fAx-Ghy`MF@VolJ-6pCou7h2sp_cjIAOys;VcBwT^n<68( zjR9QM+8H;6@hh|55GFm%^w{kYH_q_IX77V8ic?W7EU=HMELay*RsT0%5QXx+SeNO9 zO?Wq~uSrxa4de?zfcBEvD^gj!$x*dWz`KDzo7q22rlN+6e|5E*6-QGp7fnG{n>f58 z)yy_aw!b*py2OxY!oNX<9bV}-YENo{jdy=abhv>a!w-n@Z)Ha!Usm$H)kg=-P*^JK z&oJ|M`9{f~=60rfP{XPtW9mas(6=*918Q7r0hQ?W&~ta~1;j0vHQ#iBZidElE;;IT*Ka&{=S1!zB)E1C-VW#*_nxYSr4%%y|VV`NtT(?w3w`2%6f{ zre68-IQwOuCQD$^W|EC7gp=QB^%hbe?j?emhM?3L(P7SoaPryi21hzk1Yb1-+V}Qv z?yRE2M98x$kp1g^#S)O`bfAddM5qgqsLFyd@5ZHtDh5+SBABJP$Hq=9L?&TOOuP=) zYsR&T9cXv&{t{c5AXOtbVR0M0yyA(-Skq)qRPgYO=)ByPj5n$vbKgbwfVE2Io;m4> zOdjyL^F8U~gZ@&GVefl1nGavmm)5#eLFV3ZxCo3rg8S>WD5H)hv zCdr-Q&CBxJf@fG;v>SxDqr;DHGwJWTcjS$jct}g!-!t~hljG^uI5lN*yZ=OYDVaOr zc-mD;f$@@YNfy0P$q5Q==Lb)CEd_ayckB^J$^TNz637k$=>^947ioENGX8hU%uiC| zG$bamWfF9`IpY6}ExDr$wV94BPAIE9)aG4rmDRE3Jgj9e)Fz}v9%k#<;yI~RlA{Z6 zNYp8o*MwZ=SBy}=uLq5*KR|vz)L%#BF-Wm-FoNBGEDbECRt}s@j3f)b(aT%z;i0mCX6wFkaun-y&3wnL*LZ-38KkEwmla-*t8AYn6YC?J>kw zVQF)YqRKNX(4t4H^qtB}nqc68rnHl(SJCrmZ^f>ZdsrnRm++B_yp0iBShzcwns<;L z(Tq&6XuAd5q$fKJQ&nDR>_@#A`+?vvB}-R3jHvkb%}2V|JBzW;IBKtF68AiZO?-X5 zh4S|Zqxx$@^;1fr=OGsE>w7#U`%R#*X8Y(!%3yt(T0@o%VmXJ(7n}2_QX#nn#d1MW zxhM{mokuHcNHA)%A>z}xL`XLmhkW9F!2?9vz1~?3|io}raX`Pu_e^zLL0rI2~8fEla4>?q)$6`qUL%Vo4193Bew$V#{CvCTN4NV^AOQXRgPCU^Q*ws z6#mm2#hNC)sNiy$EZj`1pqzIa;z!gdRAh=UuhV)aaS4ulS&1%e^dP-CdQP;}A4iRhNU+Y5l@%^mtA)Td2QS zRP{R8g!F|I#o&HqxQ_eJEYD!@?YR_SS1` zkjUGZA_z4L;&~-M4j$f*&%ld#%5()no&TT1wHWaHuP`|8YYIzE#1@e?ny^BxWj`(J z{_9?+q{cfQ1Zuo4A<$zk>_KZ=4WNc2lj>qA9;)NzLEIlg3sWDJq^56%QTO!Mbnd>( zt0!Bk_5nrV{+ns&ljsJXp5yFs5ppZCMZ4C)LnkljL~}C8se!CatBB_hm@#Q6s_o9% zFX+~DL3WTJts*Rywr`=48-FseoY8vYuNpg}YZJnK-qp+K+kM%?&Z1;qFH(w)AoHw{ zc_-vh?+J2C=9eM!Ma);+>1t~%CeJjI2 zv0_2U{C8NwcBF(lY59HNrE&!tTaPd0Sq=>6(`e+Qd5F^Inv`QW72GVb_c1>{lUUxJ zgA%H95S{OHjR0xhS6!B+z!q!MIKA8Pd~$TT@=j3x7e~Jqm*9^qHIYkVz=8Q3O*;#se=c?o1+5Cla zSBxirQSn+oM>OOQ4ACk;flyZmS+&1?*K2FK=`1XZ(894}v*iq0BAU*;6nT_6V6Y1X={1uAc! zsy;Ohok)|`Z_)4h381D;w31}oa%)H%cvWAl}l85`bM2Mr0|N0Wid`JaNr<4zWas}4Vr3?OXfr7%V44z~LX?gmaBL_;deWO0_Qle-oXc1AoLY_Fhh^7gHLurFM8q7D~;VAm^*RO1GX_q-L?W z?xOPf-6m79q*ufdzSyuskajGL>uKu8mBj4boz8}DXum-{b>Jsqe3%{Iquu9|2}`$* zVeDEqRh+COuwCe?VG|6k<-g15kA|{K1sriDgVe;Q7qpyubnyW%Mpv^6Yd{NWvz#^z zmf(wAoe)bY)Vm%ns2p13NIQGWEI;J$tWf%$79r$!TF(4iw)6!f)XPx%$+saizo6Vx zVd9Iy zgev?Y`97n<-gRK}kx|?!^4d(_ni{Yv_x==(#UjF92MBdNT2LL)-2IQb`OQdqO@L6x z@MqV^Y8~lw{36uw@e18#DI9n0fw?xVxPh9-e@F37Ro3S#Cz5rbeDr5qN_2E1)jqaF z@1Z)PHn`xsxx|MnibRF&#{_9B&}HEm88Mz?Z!;m59U#KbHz(prkHDS|u{?uVlre=} z%O-aF5!iOy-@Y}cmwV+qT-X#xU=M_{4ttB`b(+EMk}4Yn!cq&Mg*E*u(Vi+<#bI{G znK2Q1mNTMjC9pf}8$_MQ%h$`Yah)Rc@Sbvko|?c`q`_L)HDpXp%1)$Rf|pdv-i8jm zlk>>im9?bi8(n-vj6KNJmj=5ztIO+G7)l#x;LXCy)P^~`>9Dyp z`@MV^V_Bj&&?zqJ_;ctyDn3!hmh4Ju`*CB0S&KAj+Stn>3bRnf`KH>17r_*>l$>#aL^JUM$!Mg{_k5>C4d}pS|Iiakmp{r9VNZ+&CvmSw-lxm~ zZ!3f`SQyhEtP+WsXVM?Ee6pM%pUu(`##9y#oC#i{9Jo!wl5Xpbzin{f>*#0FXyG%!zpeWEfZo8B1ddQIa5A|%#v%=d;_3vI3~4Zy zx^9(V$Xq0gHkPuKO5)6{X3y=}V!7FH5HC0m7V$h(`FAt4Jp+Zp#-brqE=ZdL&u@j| zM6JOT?XXcGGn26s#5-8Za(UAq3$06+#PNjoM<-n zDSDpmZJRxRE{GlpH%&h2D6L!aNlcLMS7~l0ECC7EbuVWK1-N{MEiGXQVchG9uzg{%HS$WxQge;sn>F>WL#dj)$DvZmV&>4Z3xoT7 zQzuZFEk`I%u%^fH3&$>x$_HR-V94X_bn?YWVdsT;-}l(p6*c*hEG8|@8_@GWb^*@7 zGJgk+*_R@%*@6e|L7bt*#V`Kzu`U>wq~YzVN6jxT+CHgd-kpQ5>m)CR)LwNiK=%{L zSNcM^?YL-r6sQ^sKD@z4SXgh+_8DlSEXY@tYfTpGFO^sX0ixJBXdV4I^{r^zT6t%K zbMGxr7w$>Et+v-eB}YNIH+T_CS+vcMfO&5gj z{%Lhj=d*2Pke`dnZ$o1}s-L2FZ<87I%PrUWeH3{$Mdes>Kc!e`|K9g&0kY>IFDo};&2)W7^f zAr^~o6EUkKDE}^4+=r#T5x`+E09*om8=w9gn>*D11MF%7#=Nopa6c}g5?-bkk+d|T zC&l372&eI3YbtOdrnXRGNede;$wIaEja@nKsNPl?lb}2bm!4D|cTm={Q4Q?g1~(Ah zxqr~D*@4Of_RpZgfpU)pi!?!BQdsk>TdQb5+$3U5^oYeMdwXa$8sOjTA7qG0Pro3F5l?s0}T>vWZSF3er<>inaS|{lUl1$zv$P2RSYAFVC&{Cgr5dMqE8Dz?R|z}gekF+2Z6D$-SgE3tnI%K4OC zp3i`fDgW-BwuacrTad=@A@XLJu+%Q8yHVTt@^u8>nnaQYdAe>wp-Ls#*!`i(C44-% z$8!`_sEyrgmCd7DFR#RaxOqqYKrOIz2WmZVnXpuAnKmDr!XtBFtICHb9qitzD(6Mi z{Ps8)+ZK0raVIDfDdx37t7pdu&2b*HRo7&YUKttcASURY0<=NH0k4ba;YZSRLRM#BwWj@dzR7x{^YP`gwv=?j5%L=p7?57+*ZhP;Kb zh13o})lnfeMH)`=>Z-790$)sMO#_}R73NTx`4-q7w9SW*1zC$gE1wzS-log}yRvKj zx$xAkP?`2U$Vxf#Z`CiT%9Ek(p~#e1}fm^XE~)lOW(l`~B4Xk&iiSMcjDC z1h`tTISlzI!QGTfN^}0!5`!_N5^QL{Ly=}PrZ%)6HF=DFl}WSRU))pb$virlB8o7@ zFz|ybFZdn<`qHeMMk@0X_!CI>UjRbBb$r^NElegQTssU_^}xu?Iaxw3cED zOoY8TsOBz=oKrNSlDi9z}{4pnMBbiT&=gsDOP4 zck#LekCwPaA6imc1}@BD3;)IzqFQY*rYgrQ)mT;eHH03#5Ms?zVZi0DC)3cfdk?@_oXn1l7rNpi*{VsPk&dp`XeLM!@6FxK)5 zA3Fxb2Z+1g`p%*BU6Ogifm0D5uH)!D!S`D*7KIs$5EU(KL3A^#R~F9X8-*R6+t#Lq zaSPsUTekKYl}Cp{y)S;1QMS!%aDrS{pf)9QbPif7#@mABEU+jw;L1FCt=&1Wt9+Dy zE@irQm-?LOqMu+76;ZJkQtZ#5FJN~FO&BBN8f!sD#TDq7+yZ?{B~L%}5q)IgV|L}A zx`xm{N#&3Uj4JBF@7Q-VggTXxU^XuK9Jm^qO$_c-<$02pvS%gNpxI~OZrjQ~$vi6O z9pFSFV7CHdB~+orf+N|jH$*BRj0kH|N3tJI8qc5K7?56F4dTAX)VUsZj$y>hmD?DV zw7dRU%~I{dsOAErdphSGMN{hTq4$T2>PHn1xvKu~X@%1quAE$HXVm zZ8*oBc~|tIagFu+^BGNMw%4Pu8wja=hWD}BJf1as$I|-n1Kr1PE)o5f=m@#~7;x#W z;uIZo-?v1EYDoZ&`VAvDayp#A6j3i?Ow=S8h1#7gO$|8j#@$~ zYS?nO<0gCb{ujh8z?Y^sr_Fiu(A!H(b+OGAejScSW9#5V8>fz^l7}u6)}L==(gb5Y znL|%iFkf=*czQcbPMBJx@z$8!T>|+pL&sBx-z6BU`2^o*q3=+{U8PXi`DTT_7VfnQ zZ7VykJAJxdO@RmEeJq}L;GM+EO{R-Qk5adivFs({*ziC*f)*yM^fTJ!&n7meNyP;F zbPno`9#3DANzx85!NS(cfo~y}$0cJLQ*Pupp8nOxeqDGg_!i)y8$)T{vAyB}*liYz z2wb9@Ukl@sXm$HgnzGWz*m~sD-#w)6mVT^n_Ha+Ck~!TQAr;670L?aPCyhq09o z?Mm*S03FPTSE47ejZ}5Y83E=AJxGCmf>_QWf~*bOPkB1THu5Z7Vm}8y2_p@Gm(;zt znsU7Rhvp7gq~}(H+-xA4Ppjjpi?a*q<%e_BXwdFsg~Do{Li%v zmb&*~Fd)#|FMyc@i)D9lyuYBjIva(#g!jW5O8s>d=8BGF3{H^ls|=? zdxNE*_=W*td}DjWweNzn@+~f2waJ?Is@%dJ?8lI{2)W+{UmVYxcNI+776lE;~vepq#&qYiP;tZ@|r&4Wv(q2?Ki5%?l{W7M`gKm{#nzU!tNL1VTZ5*o+3|x zhw@uPyk}S4YV@SOKkZ1{Mh|2k6Z!iY`b=UOZq6eEXz9I^LTY#7h9~Iz9LiloA+@l& zfixk*DFIHQ+yEmg&mOKpYq8-{z=mw&Bg(ug3&b@QHt}8XDa60q5X*PLYcVUi1C)Ok z%x}JFu7X^AAIvlVnkV0Xf=#I8ETraLxuud(;7MHGU}9o~%wJd<^X}c3QX>nA>01V? zz-0qeHt3V6k9)ofTsAm&s&eF}Eaa&^Q$MEy*EOV()Ew8eFEv(B?eRAVTk+#;B;kU* zxdw0b$@FPsY6nx9neySfrd+TgE7X%8y;0Tn^4;UzJQ*ObL6FE1^hTRSugQCmXQ$&y z?%F4a*`z)DdWZ66Nnsp3SzceR0=5mleycsX!V~mJmYUB@k*YlN;E_6yl;p*ml=74( z80#^zH>KORM(i5@3$r3D^%SVu1;)PI=}70J$O&@Ru z1R0muwCvU3mGtTBTVYIt6={VTPdfvd&s#j04xg6YSnG9!U#%FWu(1X_RGGtQMwC<} zO5dJptdjk;a%zw}0~!-VDyconJNyQOkF3m8aO5IJP{*&42aHO6}?lqAGin+DE$f0aIcJmShDBQoeU>DP7|$dTPiL z*M0a{W*A)9t*tbSUnpp}B~b6H6e{i!MS=`{>B6cl;^Es_MVbu`>rk3POUVZ!)?>r( zgLz|P`Pi@ltWeye+#jLGdI@s_Lhc5bj0!!UlZ~@C#d!^>RfahvzBq;+-#ACF>X?E&RB$V!@S?|uPx>Q@>oOPHISF2ZU%Jp`zNEX&(!}2g>-ghq06Z3e6fa1;N zV?!31d~CQflC=!0yve;D{S{oiM~O#Ug%%FyZArZ!5n25kpkIJ96bp=6mM` zyOS$Bx3M2>s!SiUxT(;GCwkt>V536)!xk9D8goIdb`O3!mw_1{(7KO@qMDz-N{K{3k3 zFy^;#`Oi>7wJTqeD2#S}%_b;M8yTD;qe->T{wL+F7UvCk(oM%ggT3ETn4SDij`}|6h7r0BD@LY1;3O(Ln3!9Hu4!^v?X_+yx zfaRIx21?)P*4$V6PKG3u6@}{7G=3JMTN~Po;{|@@`d#tHO`r#vjtj z3q-U4o8Djx`w{};Efn5h3;QmJeu%;wY+(!O{R2L{!4@{&9dYILt-Pb6{DGy=?_#4` z?I*Z_H~1V2LdaZzKcNa`=PgPta~D$KUVue0DsMe1H_xPu6r_J=RqwK8BdPxJy}}#_ zE*tUc`t}!U)>)dvcOuiQ30VW?2Im!#iH{rh3YwxC)pqI{rSu{ZE5^5U)PR<498U{Z zR@56s4%jyWT2imIYv{$}qdFh{AuY_VajeoRJ+HDg8yxl3B0ApUwir58 zRD-?eFvVerocpQ`ratTCYw=B(auGZdAxZW^r%kn8V%%E&m&igL*L?+*jB%Bcv?;Iu z%-wgNwUVnjpsjIi3MQKQnTQFw8IqRYqemr-78rDIY1L}McQ7J_a@HBI% z1fS!;C7xOEZQ+-))EEE8(yJ=I6_B4n-w34}Gdfc9obmn3+CQsLV;d(o&ZNq3B%O6e z=ZOV*4T3K7A?#)O`!-$6AJ2H&zoFh-r5au)$*YZ!4PLezMt@I~bGZjOQ3;iZIkk_Av)uHGRV23>5!k6gn!-jF!+4Ur|v!9s+BOd8UxbF^t8Ta~ngB^wPgG-*UX`XzIUj z52cLWM6ao2u4>_pOb-sG{86MEl!+j7aZk2+{OGQANzwDb#F)=@`{&X$we^37p6I=J zb?fJnLgsj%$nLL+dX%lv=MV0~YGMJaLA9n}{%hf3)Nw{>g0)y3lLi>y&IR)`8V#ZX zov(|^v1HD+7E!svUN|s{|984`DfWD$dUM>un2IUJ!jMKvApZ1m=cTZRa=O)usnOtL zyIH+jj2G^Jy+P8Lw*7BoVhzf<9$R#MGyOrw7s>e+PVdqMGr^7`hy^nTmJ42oi3_lr zIe2p?iXD3F%FBnuGU9H@Dj4H!!hNrWl^Ri-uRfv2zUkG|3<2jCO2)P*#@HdkNmF({ zBy(}`3Wx|j7cJ6~JZVpVw~%&zl^OFT&hWBTwi2N_vQ z>wXIS+Kq6=&=m=@P9Bzj!DMUdCU)bc~-?FGoVD&POMOz|Lif_6Qlmgfyv z89wkB%lAtkLmKId@U7ZLY-yolOCcC?BNQsO{C4o2{#11>`eUXjC-icr)n^*KHH-1O za|Ipy&WUGpgE{JWPQnEfbOiWTQj737B@bqxDskBJoXFdYHcXI@ zQ$920BE9qJL4g{-@?nn+Fzwh-MF(3rYT6OU$)4o&E}rZSVxpzZH)-FS-Y=5Vjy>7B z_1j znbC{N-qcvK>2-E zifc=kG30kmo~6NEA-N60R3ym^NRl}i!dv~ zT9%^nYA{8`cdtB~?Zq>^{{^QJ@cnmK3)-I_jmZo`E*?T*N)5ZUS`Ca5x@h?!+k)ir$HbwEw!Uk`ixP)e> zlIyU)!&pe`C6qLp1S?b1b}|v#308@=@YrICwnM&cxK%@^1um>4^7 ziF=eWZQt0>ZB0^Fx7xnbs5JexEF;CAwM6GPKjhUSjH!5m;5H{{HoXizOUn|y(Br7a zAF)-r3gOnWCErovk136hzg^UGsK5oY@$k!tyw#_9h3e&y`i7T?+S_yGVxI%o>K6xT z%5({~^c@BV?hEEyr#wVwS4prn-m1$ocg2C=tJl3k8B0j8WvNS9=AO3@eBu)aX~%G% z$^`+U$Q0ucU0x)?*!4!l)EY3wr6|&Unnlm^pQV>A=i1h?3bs}k#mrucpEBp7}s8^)iMtz`{e>fWi0X#$cjp3G`JKdrYn>36qAG82N;U@{l_+x< z@U)oyo`s@;clPMqf%+DZy*=2PFs45sp97%W8=GHrmYsu1u;Idx+T{@)sd7?@%`4)) z`b?q)g$+aIhYb?D87iL-n@IY!1(m4TLud?Zz(w0Q-#~lJ3XHHOxG;~ag&R~rv)xd5 zUq9@fV{>KEwuU>lZ9D1MHaoWM9d^gIZQEw2W81dv?3gznPTe1I>U>$V)nDk*yf7ht(fF%C@6QZ94=ksY5y^iblZL+hw z#KNNi2Ah+cIFw9Zq~rX=q0owjmA}dQs?z67cF#r+&3ixMsjG5ILA2$^=(U!fgiBRV zJlWF`X6<=0$*xP zlaMhVh0(y>(Gq+KKWx+;&r|5gh^=r-TUZ;G!*sT|p;x91WeI=9@R}X!!d>}$M#7x( zXA6as$b^K^=R8IMAbPuCslbVNP&$h-d^(N(OntY~P0b^SFMQSFtJIndbs^d8a)`BM zn}0fPj0-o%F;|VCJyrk~GkCVPSs{9Qvh)^EAD~`vw=aLBU(;V)C#hjyq@$zI6hfgo zG;<`smA}AJofWdDx!(@SC;5`Uz*7l6tO(mIt>TsYC6N#<|1~&jc)c+Pm{Qw z859k+8h&V~_d{)+M&s8)sKaY(IchGXS-Kd7LT>RH6vyeid|1b4gOszLt{C059>&{) zs>%oER^!tCYCubFDMG6hR^8sgn;X6xx#bex@gOfGCRz4 z1h>xW4bB#P-8$sDWSUpN6|n@SKnPza$9F4f}K;Vz|d_Ys&a)F5oaYlQFqNe)iuepiiQT{@c!fY?)Q@C63 z=M}8WpeYrl+!N$)`-&$=XT^*Ey%okovCM9y!!|TJ_a1xk1_4JzdESQ4Zd1v=dN8*o zM&?n0#<6#a&h;&zrjcoo0PYTQfq;f6R^w1o)0h_8(8S zgoXl#iFQmjkNuxx&r4s9*cb+cLRvlE)0Ipucqe-i!@a4pClSjl4xB5ah(7$baXjk7 zRu6AzJ$-yc^&wNv-Cr-XE66{69tn$*MKM*Ao&aZe*LkJ_@Iy@)S~sHWnnPDptI?-s zh-YNUL{*|v3U&QMWHQwsV-s-mQx4rB?Ydi-p}ehoM)9Qt>)2p*K-cN94FZwIk+Op z^U__j1%~vPP#Tx^VOPs)B&vK~kt%`|nEF4d4=o-rc1`Nj)%_Vr355Y;!{+i~$J2PJ zvJPq&xW7^DJVr4F!_xD^;;*(hU!95-x14i0*C8LP-hk!igugDPO)pugwUNbZZ3r_fOw3R*#{aJ{p&sbt+7hVg<5MD@{Vg}m!Bq7QK3Ur$lruXUZDFNOPwjWJ#YWdYes=k{Mp_e}<0=Hv z5*ATOlIoLZ`jo(&#C)H8lMqcyIvhabBYgORHpHB0O)+JSYfymm8jaD6aEj>5=2^jGtj3H<-8H0X43 z2Lwg)N1dvpLCM4~>Tk$8?y6yJjyR4J3Z?c^;`yh?n!y7Cj>YyV62^)hvBc}s{rTDN zp(Fb2hoX&W3-&@^v_aKnlAQYa7f!`!#-C0;s{2DCT^ncGrpL=cys_vAp(W*VH;Jg4cI^?;V)s&y zuY;NNWUlECaSxtX;KSwEKpe8{(bmopEZ)f79i)Q#%IqwCcOu5Y9b~EciYLm>v!>MK zJ_5(;hxod2 zh<1;h>Yq(2#~QVV57B=L)k5by$v35_KKd=!=KVeBKNl_dT)g+`VK1oK+4BZ1oR=yY z%#||lQTZZQn~7pW>pb)#nxGQ*PF^sq>J1|pG<|d89N*n$7S=_na~?{=y8whc^=!zIC@Tc(qwE=u4BYoA4&gr78C}QayEc51fDQd9LJq zPMS;e&g8^4zHRI14eS2`!*~Cr7g-m~%ffi?rq$?2t$pm2PMjTr!;ZJ-D-Zh-1#N!v z1xnTkdod-o>7}c$2tHKRGEDrZRy^VTOE@DKFn=6Pz)dYtmZgxGQe-3^>H_t65`9@p zd)OZe)_i}1YG1ebNb&o#Fo4&d30_BaXJ}zf!{Hd=XMO=#Ed|n?orBQ>n}4&|>Ka9w zo5TQ-{YBVP0=mHWqci;p?bdgN5Ap_OY}EIaD&lKsv-Cr!i2>Grj=uaO7p(O={Rm`EG4JVGDKbghHjRUC1@FD zZ@}bT!njb@QD$DX-K2MuK5lSpv!=c>s?jyp_WK8z%DZss3DgST+m)w#;!y9E?_vG= z7oP1cfOA*xg_{C+9XL;&?n^Y`z4Il$hVo#hq=W;cqQ}4%`w#meAHR<;)c3%X8?d>C%6L=G-)O-r$rH@+zf*0n1Svy~h6~9m zC=(F9WiJGS07`!DZ|Jcccqusc?2Nw@bbBnbIdgyRf$W^4=*XL7xI6X^Ep+y?WxP3* zZ;>^WcjeS)C<}B*i1U4&J?1MEz$htHTcGpP2dBkYO$PGko~EUh^Un8}--Qo*91UCW zNW{C;11(92;pkh|D5G26(O18}~%_gU`!XbY5m=cibtN2PgOKeZ-=FZr=~y zMp25wV&h@PELBg6AO;@xg?V2tP3DtA6^28|Vy>@qm;CE8vfaVu2z9B6HyjV2a%j)t zR~#ElbGB5Vg1PDgzzx+DR1V>(cw$X!jD_Cr!GjzlH+HUNoVD4aH_gq8t`P{Rz}KKrYoAL z{}%l7BHwMqh!vY7LfXEJcIR2^%uq$x5E~|;uiEy~^tJx; zM86ghYFCKT%4baY66W#SLbzF^IW+M_Vu}t^#W@2ku3Od!Uz=}}gJ*kE^yR#1d7W

HC+AKc7}xz<=~~z{ddVG*x3q0gJc{og6nOk3wvOZ8AR^HCL+yRd@5oxYAHojJF3#HU#FL_)9}H8z4FdsqLfK(Qoj1yyn|h1sF@pl`U3iUBSb zcILS9Bo4}PpO5;Qx0G*lt2Lb``|c!-6l2*DnjLSX_T`Eox+ZR_XEu~eMPvonz6iHw zW*4V@K4CfGdb>@Y1V|QlEF@-%p|kc}d@~&>6j~`btg27lpG?KNk4sdk%9i81Q4CDb zbY}>1&e_{7ayji6&~`Y2dl*$ws4ZHv3k%B%3{717ybvuHm8VIG9utifCS~myMD;pq zYOiQ$F2{Fb$Ii03sxvrw@R=!xDnsc!(r>6LI`$oQ! z!qA#UO`)1Q1`k&PtoSC~+RvIbb)jE2f*&ZI2FXK;*Rd~u4dtK|g;Y;~G+ro2NacS~nWy*Tfv@(~pBptKWqsQVFRF6;jwy#8`y<{b zz8VaLx7}MMzuQ6o*ve9Mn4*N`#PrQmCf(hRT9sXc@Mhm6JTnz)Qlnc@ph2E(Crvo} z1+UWa_H6-GU@D798+-@od%L9ci@6b!2&v2$MV<*tO@${g&IPV`nQpOy>_E zL`dFQ9FqkRti= zxuuojZ*M-A)BUKtc~^LUCqqAp93Lx0KiU2>AMCiOYgPqSCKD{@PBj0DBC>o5ZPgxx zfu819JNz8@%O^(ajs6O%XSOkYD% z@^G;(9E8bh)g9Wvnz<~wY?Pnr^?jxlt-K?dO@`Z0JNxkgdD z*&oYRoh`~IhCv(K$QY4&2BPT+U8TZsh$o^+G>?)ahk9wC&2ZTYv)8iSO2IVz_qg=z zL|=#>nq&e5f6IW~7-MgRRH!GTBeWPo1#pdU7}lUB!j7?Pp-< z&-A2sTEDYo6krSXuv)?z5K<(+yZ>#vyBWp|*EIP{Bg^|<|y>N_Sgb#D~CQ3Ee?NpB1_aE>g*pz~) z^&fLZHl1>ch4(Gac}aP1N*N-{Kem@G|MU%be9urb(^N|BIfrNSAN1}YX*<`GpUTl? zz~;y8enmiw=s^vkADTQNE~34zT4tzYoOV9k;M`xGi2nnc1`_hJ93JZGlpQT7{5E++ z?gW8bqbz~vKQVzUG3BT>v6K6S1h&l z7o7&!a2F=cqjK8yj`?xCYRdvslyeWZpAA!FJf=rjh*n6#P^pp>>du)=3S=KJy?ljt zCpGm4HFb-gc9?!H{NNpmN`1vR4B)feN7y&2@eJ82N;R9q^>%idyQU+0^Yf6*(|mG* z-^?L?Ac%x|5-DRQX(psrT|arpQUUlv>|HZKExtT?-Yp8y($ON9_Tpn6KT8=r6{C#{ z)g`vha1v!sxPz(%;S&n?%Z?UfEByuZK2iSlA8!Q+MCtOxGiWb9?jte355BZ=KU}D>_cyIwcN!k<2{{rI4B}H-qAg)``MEn2#6V2I6wC44{ znthXS`D$l0!3DOPu^J<+7GlL_b?3&eiX^> zubbngfSHanleI)L0g$6!gU^BxrNst6JuHpJ#d++d8EYwbzt}IQ@^0_XoAHuH8r7z& zNL3^w>w)%I^Gz5Ur?plu_qJo&cOp4n7ou@3g05KF4>FIBEi{Z;el7LvA!g+>n%Wf2 z6DNTJK|9F{YmYKl+d9SEkt~;Lglmp-SK`}!QpMd}72Yz9-==fx%rfRz7@CDh zl8m}2y>AZ|YAT*sVEgC{YnTpDDXYkgE-5qKMDlQ6J?zBhDMDLx5r+ z;oFt7P!TQ#6nt`kn}W@G|O=+1UgAnrm5*#eJK^bi zOJuw%x*L98zRk0>U`yWz%UD11d$OwMDG@-<{Bu=$rxHt9t9077G=$Z&yl9zAi@sdl z2t*7elF(7Hnh0ue)6m^gWbC-yJk|Y_P4b2Ngb`>l8n!vRW9orE;Zyr67;r*+(!1a1 z2x-a{8RyZ>f7CmRB)|=t$R&1D4eFw5A&@nom9FR8p$wjwFT51`eM_bHB}2pmfz8DN z%bN$AzXh`QeX@P{QoOPMI31IE4DkK4E5CEQUycvvDcaNc7e~?4b9HJ@HVnK%C{-F3 zZXGO{=kn-PFSFbQd=NG{mcVDcB#Ri3IwV8B$mFLfu2#+I0)~EH{&=xgCY$dA|6HLI zRV~L{0m{@@GDit)!s2N_F3jc9efIj{gv&xauVQ;A!ep3WIRosiZBSBq;( zEImJhi&g)uP@p{iq!T5y2&Xt}>rJYz!skIZhkCrN?_4#;lX$;?oN9=w2+Dw&qzuZg z^<@jP-rD}D|LqF=2iDs_@{kd^?hWBec648+i@_VNenAXFW?L!&FS!fCjiN$P`p!DC z=yO}kx9dd?ws3y7Vd!}u>!NuER0mzn{<0vz*DK#GH})+{eHj*D;o*`aj}dJ`tc0PM z1UGRQH`ukvzg=4#3pliCJbv-{hE@3GsX|lk zYw&b2+qfFt5-z%#jfm%uixLCQueug!vzmO#$fbq5l&KTQiEvIZ;^6}AlZWqYZ-KRV z`L%98l3i`^)>kxnGvga)$#YFfXpzoXQe2MO>1{7Hy0)oWI*4XMjpgGSXTXwTJ4J0z zL+iV(J-17kveA+|j0?Yb!A-vER+*#I2;K*!)3&7(Jmp=AjF9>ZMNN~bFM?eW&yA4; zRSn?Rs6jh}!0n2ghPxEU%MTyuWVSuiApn8_ues|`GuK3Bhwf>HMBc7xP05scA0jHH z-m|XMWBoWgzIb-NB@7-MCRIyvwWaq2L|(&U>sCDX1x=Af%*pB>3mQ9bU~B5Qbh+HA zQ@miFR&q^DoEn}Pw||S`4+m^M{uD+{t>Zp21k2oX0GAJi^Qn~Ifm$^$k^hAy1mSOEm zmfZU+N3I_p%;_<6ea5$t0xgZmXfSi6?+~6UE;H5z&b}lpb(+wMM=WHt*g8>)7k91! z@#+VU4iy~Nq-IkcT3@UULuceV3fB!A1*Ljm4^8pCk*P6xOBhe#Cx#CgfXA3Ed@<=l z8Jpgps{%^})RK}-A_rr5*&lMrjip$d8S!J?mt&sxc+x~rBQYn%Uyt_S7gzDs;t&_Y z&U0S&iF39{bGXZ`YUtD5&SEZxCYscL_V`tWCIdzUO6tDO+6}s^A=_|v*4X32IezgA zsUb{`be#oeliT+X(k6tKhEEz8};|L@3jW z8p=@_1Sz%Be-2G-KdmLEv@AHWb&9;Cj$eF3Wj#6SPfG|!7s2Jc03(+OvDjqLRK=|rOs!J>ccj!;$E1))~sF;L0+M+q2RaF$bz*wGA`Ry5J`x&geV_U@%AZ@2kP|lHc z(@puC_8QL-NAZWI0^e=jOHB->JOQ2 z8%gD+2F78wCZ4t)dMKat%fl>ZQtch>=GyK`&3mouc(}4&@ldSASuiP{Q+&d_rBl{` zG0fQLmpZjMBoVbvBb`R0^XQV~?QjeqhnN@7;sKf!-8({Dib(h%QhhO$t?@Ra&FOvH zx(Iy{`YS{QAqdvS5Z=q+E43s$XR@YPCy%tPajv5`_@&M1TS7z z>D6iN>8R>DfTS*k8WXT7d#XLimWOJKxNjnt{u7~q=h_Uhvv@5hYV6pp($uQh=;}1B za4$!c6I8}KcaufCd|O2`v>>kT z1KN|I_1v7DuLe;drGAvfM6ST#@xpJ%>59nxvePD6bjIhf&u_NtlXiCg0<#GYD_!i& z(@JuMf>mj3!7VeR;=kp|ht092y}%RBk^EbCqC{mR&TtYZvqcLJh=P66&>?&MSo4ik zmz=D|-pnw&ro5-b?uA_Ine%SY-R|Y-vqCVJfdX6qFW#e_v_KQY7(A}gzHvBwXNQSi zW~fr>==sl)M8cHR+&WxV*@>9A zy{AJ6;?zveXlp*j zFS@XnxgWCrV*NipB0b-q1A_+zrK&3bo>IaFKm76^fKtl3bn0Kw(C1*Fza^ntivZ7n z|6RAMlo$Fw&e`PR|HU>UAhI0?1srIa3 zgK%_rUjwlFqsIAmha{p%t^1YN8wK-P3C#K7&yH61Ay8HE(jdp&UTqq+BLh>lrw2?EEolQF z>xkrqQs98q>8r&6u^W35_}>jj}mc)tY>3mRRPaYK^>QOE6($W%#ghh*o4$U5bp4XpP)+#~ z1RMCbGQdB7<1J+Bdb3^wUX$>R0PT-h;HW08DEFpC6zv^F$=I-q9YGp5-a3xtH=@o-2O>v~aXpLt+KLnf=J(FQ zcc8O!Py$iYBW)-+TKBMY(@Dy@m5Q#@2KaQy%C)rBG#P0dv*73rkYtTx+OijHhoJ*% zpYn+Dl9bK7P#az5Opj!TP`x%ySah3(;NbBvw7s%}1k9OJ&V?_rkItiJe?3yu=*JaQ ze~vjU*S1Zzgga-}{uPON+gg5aXP!X+mTTannd)%dD1NRSJuEWI(K$jZ>LUwsYuPp~ zRAEzw!b!i|E1D4@cmF#Ua$e3P)(M$UW7Jq=q>r|vgUV1yL|_x&5HlMU?kH>a-7h(c z&zSUDLnQ|9ghl!Ya?=9Mg4Ekc0deK#=<;hbQPtP!NbPoHEhTvw=!eU|$~<5_kK`dm zAI~^sjzt==qTDeEc8kofd8g*#V3`CT{nf}57zDTw*OOF~`*sVkWZU&a5iNJ(SH<~J zwT(!`5^=q0|6Y)RQs$K_3HSaKH~VtsW0DT~ZEU%m_qynai052L#ALlMaWlv~Qb-c+ zE>C1>n4!9jANA(P9oh`d3%?NaWM}L_$j0Oe1oYXe2ZBEO5no=;cO4z`bzbO>&Kk`7 zNHU*gf~)OJ2ONq%HzP)%e7NFG?_V)d?!eX9=Q*HRTKSm7GCu?53n9C9w4;%n(aVmC zvn5DA)f86{Zh$}3U^Z>!%IoHz6Md20zV>po{Wx_nr{9^FO48wWIiQc81&L6QH-(u||7W7&YpY^;+m`^YxOgy}c&-{)1YCF4&*1j2i zH6LYRpY%q}vs%?6%Bh5Re3-*cAKzQ%7zbkc2fsB7*hb}~*x;o{5-p>ch8b}0L0QRa z8q}bCMo*?woMjNH1@GVHqii2?bGOHbt)#dWf^eeEpN0{)BGkP{6c*E24JqJARVl}BDLL=-R3FnK7Gkrz+VrV!9!QYIQ2IEN95$U+%R)S^4(|`Wds<0%myO=6HTjS;)(z zE#vohcL|Ae&c>DT{gwu8jG^mt&+QIh!s-v_@SFI!1!fYx9%8bfo1#nT4J&3%XU)qs z4QC2ju~3R-u*6@{3N6hPf+1n_O$dE`f>Zm3luOu;*$H~LVo)Qt zTi64GA4@VT@1Z7xlk?JW>7NrCULls{aRhUIZ8R9L>0nQX?4dMOcuX8q_Wn5$0GYIW zARNpnT-A_QY$>m7|3gE;LF9FEfVO{&L<1Y&Gz%3gTFb*3j|+Hkwow7>!SDlgi+I#t zPMf5sjzy&7Q1htJr$-F!v9My|b0D_#BF*9=a&MyV3}&HQ06Ev!kwl?u(;vuhMe%Ri z1$LCdEgQk~{D+T;A6~uU)Yhc`CcIqxs2BF@Kv2PN@b>?q3WuQG5wUPAZP_y=4B&zZ zCxxaIW(!y@$kc5MNudBH1GIFZ41$-lnD&;qY@-b0!ffjtR@JQ5f9Liz&{o0U)5a}T zID1AHMn0|fdPS6_>|&0b@x%n=(~lU4 zp%3mzi3*N`p=I;7`U5zg(%qM7Z;}gtp|Lt{K~g^bqZr5bb${&_nk%-nKGNA1`pu=3 ztd3v9iLJlxWs2tP-Udk;;RCTXopR1VLpYqJ%~UdKM+P;RNnFTBy#|HF-u|wKyw4pS zDw^SvyFQm8vpcot+^jJrZT$2OrV){%H)XNm7}0%&4}w6xf3m zMV`1)C8(B2C;T%Ddc07dF{NX1yfDCT=B!SrabHYlVbI7(bt+Qdw^UqG1LvKCJ;0eDP({&Jiz`$6;3CC-?tLcPfyu5 zI@d~PK18RzfWB|7x`H>cpLq@h7MMqbipvrHlUaWAGatL*Q~=_MPohypWY}ZiIOoNN zJ|D@ePJm@6aI|1gRF@Ld z!?xYMPyYhH)kQmYP#oEp=ScRBo+vBM3=@mJUc;?>r<&iJiy&uGD3u|;P?Rbp`3o3( zati=buuB~=={DvO>wmw1b0>q%{|wv03IcSAH$ci*un5Iw`w3vvZ|szIZJ)7*bA+nj*5A!j z=pe+1K>-;jlI)>#xWA~a9hqM zg!>|X_5c&ES9bFu)2DGhADSc0gtPh~eLezcOSxpUKN?l5m(6xIv>k)R(AJ? zbp53QFPhjnL}7Afw$graH0n-@E&`J1XzPkTazhhLq({%naW9h;}+!F2R@} zrqqx!!COOBgD>Nr;Ziq>JL!R@cL^1;`oIa4z`cYE#TGfM;C~?kTeQ9m!9{M~i6mf= z!ixe$v$}kT#2G{%f>)d&5?@*LGLI^DAGnXV{6Im5?LnMHNN?v&8C4N&n9l))&V2s4 zELe<|QHeCfK3)zx_!R_gkKrV(Xz>}2f#Smt?hHjmza}|(Z4W|9u*~Nj46|)d}ho6n?#!8DDjr4Osb1#+6Qo`@E z?T{mOz%dg`7{bRI+HN`U8m;;)qrKGQkKvyGI_(Z#=Q2IX!z5F9p;a5n$wbtdhkJX? z>eDhLr6#6^$A${z&7A*(nEYr@Hyn#h-cQ70f9?9XKJ)IJ@BFJ*<_~rdoM^@0(MlT^ ze>>^`N}yQOl(WW0= zb`q0_=W(=9NMC8s&eok;Ycgi@0AmXf&q)htkH3Iv6pHlN?eos*=DOK>>PG`jN}7U1 z_TksppI(~5YXZVtmn^aSEpCicB3^d-(M)YFUFNU>w2GOvqYu*dW$7xv(`XpJ z)jKf3-BJ)U1ufdkg<@T}vx*@%nM(1@Rf5Ds+Fb^q?o`p z)2kD9YHn2tG%@ILWqwa$xj6#|vXe z;~TSleao#6f*#I&LE%3x&-*Y7)c>GrcZ-S#-@3C*2s`uo(~{YGDP}QRJd!<3-D{qr zK+iQZvAQx$+>6y*OBO}jj^>{1K!1iBVgtP`EJ35{mm5!WH7!xVb)@;=P8iD_BmI{O z71C^!@ymoT>-8Ia>C_4%8qdy`JbENMtHj`uxyYn;F-Iyma_-SYfMP;(C}GF2C74~F zBUUFS>R>zMqGzOmIHf*O+1mP-6Aiw&Ma_0OGssurqkEEd>Q{PZZp5yRKVyXUET-$|?5($1^ zXfW019xeQN!?R;kgvioQ3+LLocXMGSa{=_>J5>hl5n6ZvjvVm@!;jen+Sg0Z!4CNG z2ykf;_zajS?6}uW0lFiGb;W^9xC}vQb_n0AX)f-`N5MUQckcz}O#Siv>xupj8I91<(rG17T8#T#Ccxy@{Aw71aYw z_EkZm&w-N86(>xjwW{|@PFV%Ay;YM>%_AYNSY>$2qiT`*Dk1PPn2c zR`h0(nV6d(`gHQd&_2PObW#}#tfij;!L9n}ZRp#n0va${wfOvQYH)+Op5Lj4#?(u@uaHZ=wD-G$J{EFnu#y!Jgedec4A;><45__ zh?8U`fSQ_M73^Rg=L;tVJ)YQQ#c^=rUA(lvo4f{??;8n=j&Y0LXVOa_JAMkeiNdA;lOex|5+s9 znI8CJ)6%JiY$$_^g_he=D|{s)H39dEnFB;{qZ6pZg(6bQ4U4ED*1hX*UJGe7-kc ze~&=ge+{az;S=dQ|3vtnqk!!GbN#RS2~IBpTvdUaf!6Qv1DbGv_>H>OmvN8GWtt(H z7NPQ^-3$+Mur|*mjneKBZjjo2P|I6JozBBeR=z^vId3jayx$@XSePYBdT*QW8 zn0gmxa>w?NDr~`+ZBXnxGS5(EMK>bL0^jTw5=}l{DB2RzFaM8^L2hE~fF~+OA`~>= z711KwAj-DO)t~$syT;7tnv(EXsG_e3cClJ9|1RRtyt_7Y*0zQLHqs~5LNs`$LfgK> z@a}gEDEqWHh(_$9iAxmVPm0(fo}}pZ45E5g?j)m4haGzdhByDLL2JY6)B3!HrLLHO zq_$Y$7sx#}AZN(yg9cMop<|whrEWQH>|4|dyVkS!xJxn&HX!Vd8fR;h{{&1GmF#|b zT(6#%2QW3m(GPxv9QC0KuL_j&!3!xh`YiM&3U`&?j` zEsj!}jD9V2|3LXF;syqDgGgZkb_})7rb$3aMGKrPO*polwx;-88dQ?=4Wr5w8Ef$) zwh?qLx9V)|3RkmPM)Zo4MFq8b1X>#<3rdA2Nh>dzx?2p4@%S+@r}CHK*G6&j)_!M- z=STA^47p~w!Kd@Si`(b$I{7*E+8ZTJU&LB&MsN6bj0{;!S;jL*ti+pIW{dZhBMQtSz} zC4w17>~6}q3i3finbIj{vNwD&x9VQUE$*(_thY~ZGDpGS;SJ*6Xp8-+44oB51PP{Bov$|&nd0eaR~M?7orzV!s& zY8GmqlkTgJyJMRMGN~)l`I;8^Yy~Cm-VVIWZ*~|w`)im3T2`SwJPXk~yC#Q%OnZYv z8a;Mhw0?Myw`Cl^N#=x~$^?mS=n1O5@qMor3Uu0oX${J2+%5)i8aqChz%vtw4uf=MqW7};^Ofo!I^4o_6&$F^V;~?d z_#gIluqLKFmqQD-&-3(efhvp}S}}dK2g8~5h36KPrZz+ zib6p$x*{qu7bwINtI|6~iY~7ZzpIv0VzFhJF|loF+8Tf<`Bm-u1)1n^2&tuu4AU*n zK1B#i>NSj~ zzweM8E91||2g}%TBjq)ILS z=C_@d9hP0D6tUcWJDJ!5f#mZ8!IRmv6Ks`|KNhoE=X70hpjv z%Dk=0R>KnUed&}=-hZOs+NwjF*+B9{M#PJHAZUisjh@6hrZDwVY(b89b}5MIWnTbs;vLF;jgKJcW3b%LgEVrBMwPPg~#HkTA!b8q}VhB)1La-Bz@h53xu*cv)MAfSG#SS!(&pOYOYM z))QT<+I$vznF^7)tNOOi;!P)r-b-QSa2~O(sY`FcpQ)|je_%S4U13;MnV)N=o++6B z#ZvK8`pZmY?Kiyf>g2)B5V(qg2uSED>9+$9fC;tZ6uVjI7H0F(a?j3i&M>i`5 zeH|2|zK4eduuKVkr3W;R$T0ItTxI$J4C&Az#^Z<2KlJiEe=CuxRA@vKJFN)oL(lJd zmu%M&jmsT7r-bTyEqO=c{4*(hL4g`_6>ofH-hrxO>MvA{ta$$0+1i9PZ-zDZl237Mzx|Izm_Cp}h@73VU z7*>nAQvE@5d`>_^%iCbcz==I}*Vzls+i9jq2{IuKtAX70!cliPECL&P7{4>(KQ7dl zK(7n~NTXHY+-rMv9_<8-wCM!|fq+(*_ieJc+-R5DVWs6LiYvVExTQL^z)@-m&w6*R zc>)c*waY+5l&3a^05N4u7rxfW zKY(}%zj;LL@347)A@PKMISmA)9H4|iCH#iHvcPxW?ASVNSdG#V3IBBmgV=&WA_+&g z%M)6(SuP$eJyf!Ck3v&K$0^d7E%A+v@`UcMrG6UIWX<(E9!P&`um(<6LL3JFa>Am8 z>1I`l%CNWs&gFDSrd$X6a^4w05!Qe{Ao_%1!=vhY>y6q*_mqRyZ zC)j>RPgIl+^!`8gzNt%-U|D;5dZumL_S?2?+qTVV+qP}nwr$(?>HY2V8@{Wv@}@HC zCaYFtR;`E!pw6O5WN#c3%3i}*7P?W6l_od{xwaG%t?l~<1}Zgr1D4IPj3P|@Q(8*= zM(6zh_TDYXg47|PXu>2h=?5%KXaCTXa!==`5q;J4p1SsfQH^OE#rmY$AI+_p8=)oK zUCLN9h_6Aq?p2Q{{VVAfFqtN_ZEmm``yM6r|PI*Z=a&3#fg zN;TQV_V3v(1irGd2Qs`fRR{%Sz2SEd)BDuj-Bt>^;5b0YH8R=_RGRXD#+hM1kX}Ec zWtn3+U$3SY^IlW3X7#EFwaz1u#Rx*1+-sKNsm8-$W%?Vi^=4>YPQaQc!q%^V7$7^ceGgkG#1;5|6ezRr%ZkncnN~0GBNTtzw>1eTqRY{iRs+=u^kQNAT|+3^T4S z?^V^om$*M#rFbFQojN+DI8gG(gdC0 zm6-7JT=iezY~RP?7Nkf8sVeqD{9z2crmejbys&fO1ZXC)Cobp8PqbgIBm|-VmC=Eb zIb>qJ1dZMDq#tm09RM>s+taE)VXs(Nj_RwGKfZ2ON3*`gqUp9B=1I6redfx}`|3B8%5z(3TY0ej!P z4dO6nSDnU@3jyuAGpTPkVqVnd~lZti=|q6X_&>%VT)xmiW2 znH!Wf-)WYuNGDqa{nNe5C>m_DX?`4czu(cDoo!Qj2y^i@@RDIKg0(Uq81)GXf_a#_UE;lC#t=^!7>CG zD0qdI3Wb#Wn&nd8g{YLYcY_oZm|rca5|It4g(fg0jKW3#^68&ZR6&Uyw$&#=CyD6UWrsXHZ9b@6F>x{RknQ}JVnLfEQknmM50 z9G7*KYx=`nN{fC~_Sn?wx+m3d#|AD?L?^M0$S@9bw)Qsl9yDr~2I3pZwjlr0u+6U) z`0avbG>bQ5zQ9}d4mU<$o7{n)-hP=r?1)(Ai9;N z%}TOTwWi?iCIa*DW3OjXwT3~~?1NsB`wJHKA*TBeWmwJJKOh80D?;i2t=?E{w5cnqb+M>hO#9e@R9rV zr*{@ZTi-(GNNnARTo>kciY%)k#3^L00#BAw?1vwDZ#=)jK+qxEl*h&?R95lK7&Gfz zirahh3moHaU?gLecxp0G4}jn};7_CN5)Tv`C5l!>2;{K)^-$E$Nwq66BspLsSeD3n z+vz-%r~J|^=7be?N#7x!O=AFeI@;0+>+~A@M*M7^)CM0AwM_15OlQY-&*gR}v&u>C z)Oa+b6iavkX%g-l9E@@+Zq}Z3PvgxhJt!^X4jXL-x9~_A#Ecr+eiFy716#ZXCJ=P; z#rlCy-Lw?G-o?Cvq8%f!oIKYePuOub4V@gLy4IA{afaaChGcvkCO*kJ`ZBm_B|SSj z+Ht%e7m6nD&Xew#8fkH>O&@)&Vyh%DumkCx5h*OtAusm**q`&pfhwc%fQ0)`CwfM`P%~z%bcI{Fq&(#8ABl5*TmR?(t)^ zTWm7PIG!#84Kh&7_QD^IU>S=Ir$-d^jdz+jhBCNY)E)t&98T0YX2KG)JE0ncA`qoK zdwC^p)L$M)<(_n75nDJmm>17!CI_uK9=-*-dXNwh@Gi9O^&X_hIlYkMPenH*UIwkT zjP9ZgSEzbz5^mXEB=^#Vq+MEp_F2N~n#`_i8jP7F7|l^a)oNr~DqUBVU+aV{%beH$ zs(-!~<}B8eI*U;;MalLOMu@@7fQqtRC`pK@WNa<8E382=#rzd2aXbku@bja*Loar{ z`jzZfa>Aql+gw4zjxMVHs7M%E+x<&PmZ-q9H+)q23l4BlmBG%EZc_xCLaR~i*KY6h zHJP_XYJ>X3DgzIm?cdg}g8wE!_&CcPRdzYga|ROdJ;7p# zVY~nGMkuY(AbpvD*&2;@VV;|~FC;&->U!Ya(FImJ>)xI@SleK}$>W1RhHm!ap(rXD z@5I?wl=1t6%Ue7`64{PKJ@Wp~Q|*LCNTYt?_9f|2E3Ad&kW{lZJz%hY`?!%Nu9w5l z-3kmR(SGJ1EVKbRKV{M#)#ep-)|=tA#a{F?Gwd&cS&z|7ebngd2`jq+%gH(kZeRjW z))~SYfouzSN9Hy1V^==6PciJL)MSops6Lzu&Nu!;B(|t`ncPHWyJYI_la@XpgMU;puFKQaJCNV(mGd>TL$nuPx5FscV@*dA-KYw z)OVIwH6C$OY@G(z`_?w?>2s$JPnV^~hfu$*AhYN280=Cn}C;pU8dn9GTWLE-nn-Y_Oj7 zeFv$nD+m2rfVH)j8=t<>(WbKi@}^HwI0PNSyUCJZqq|BvtP*b^$lS5MsE?OvCop{IiNy3Mmx8$k^x*i4V&@NCeqYdFbEX z8LobkXw8>mH=`+~)HHCQey(qVaml5>fR5N&ij1gwo%lgfR4OpxAMC!c-@o&22zv`Gwl>-v7;mY)I_LT zYnrZl#f{q{r|8}rD)cP;eo*fFTCOAYoV-&p*%Y+Bb$F0X@-5*GQy<2j&semim*Tu$ z&^JFmbFgdi_l6OQbqab*88y~8pW2g*i-klJA<3guOL(AUg0fnLjATuQYgR_%O;7M} zl6yxHc=Wb7?za3B^t-a29R6@?-@rd6os~DY#TKcn{kV{8i{VqbtQt9b(U%F$u8&x) zOQmx2bOy%Z-o$kT;Zwp^a@KcgGP~DgYd|AAm9_&YyIK241sk9vkCj)IhFufHL~JAu zOUhZA^WDqh#;YCFk9zN39o^}QEVys;4Q4o*uOy+XS?o$n>kADLDiDt#MWhu>V4j-Z zyARZ5p-qNsD}6YqH)ygU0d^g(k*15=nmzB8K1&C+%*Zw@^Y!_$BM4L8X@Vd@mi9n&0tZAx9ykl{5!T&PQzz>%8+-Dff>M*n-F zP0*}R5rw2_c5LdroxU2td0%ooVg>`KvZ$6yf-d!;cXIJ~x8+k^mKLebU~Jn#Y_S?@ zQh7%8AgT&ObajKSK+%M#qWYv~QkTkZW6xOLq)I|ACareFw9<1MfM+13F5p;lQT`d!Sx8=>D9PzE@ zBUas#ABh#syO|%kkcYWemFbvs7lWe4h!A=^{asa3w&XQr{Sw+872K8NdqVsk>^KAwGU0C&<8t~1p#4Fd6uIbuJvZQ3sB?Qw! z8Vw~;QC{(}ooOMOsM|A zJxGSIUgZN6mcE`qcdLW^rBh=5_2YRV*0Nhn|AESw^?9vm_uX0Y=!4q-q{3UN{{Uuv znuua|EE^{f&N})=EA%}_GkUx!T?F+Vc%~EZo$YqvbBihFCpA`v@D<)m0?zymTgv?N z15jo|$1Sw}y%pEF72%xiirvG1h36lCGO=!xc~$A<8sUbr~&_R$BXZ;!m ztZkK*Mw@b}CBeciI+Y{6J$M0XBN&+-dFi+BA1XsXMm_vkZ0r&Hx$k$0VpM?!>XWj& z+n;b(=>guIoqv06tQBZ3dH;lqL7d%#tZg&%GG1S`Mo8j*e@5yWUB2dNlfIF&D0mSa z_FR;24Bu5yc|K=R-$@}gylG{zcY;1kz)UJ<`Wf7}OvWzFJVH#4?hTOODbo}&$*(pG}JmO**b9r-A#bbMZ6B6G1beI&^nnP=)Je^k%%lme#(9 z(@ih;*oRx`iHf)s@*COz**Xn+%MDrEqL2P1UZSH~VmhJiPo#n6oLLr5M)L%RoO#l4 zaA6hbO2GwZZI(+GI`MTe(WqD9mvifJgqOgUIed^$%raXR3Up@JB!4xefY~yJbPpSk zOZq1xI3*ZifoNMDWbkN3$+%4iplk(+F!Xl?7VGMHgIfR#6cv3vC+nPuvWLUjl_KxNSI&pTZMkXAwx$320wj_96k#fgWv=E*as#@1`f#`O_7*gUhM<84hn$sQQjm zJ=Emkz-FRxC8VruUEMkA&;VQ&%|kC)gRBlYv=M3*Mf7>yhUdvlr^^qk#^&ZXPa94g zN%`ds2!>8?u3=GcLNTpn=FU?k_BK2*agnpO730Kb=OeZ|0&|2QGel)~qo>JO>SQsr zE)RWX<(^@2e)`axMDfnkLLO$B7@5AC%0`LK*zU;(RwjwQn5?4X^Y9M+pS^qh^_wH6 z&1buwHV-74?pdkkP*W@w#O1JTq!n8`SQFF#bA`_>e3_?DL}wfM=Oc5A<+RUk&;*jK z;(ALveLuv@FZYk7UXdduFwfqd7p&^hxLTL_$oxuUHy%zFX-?;#6M1Dw2P8F$ zKKw=^;F^E878<{|wCO14`2})&G|TxGv!0yuE>Lb)hrCl1#GM?XSDcq_K5eXx+I z6mq-#Da+F+-sSJs?cJro)2Ivk%LnAkN_ou)zi&8PBwXZ-d<9Ivk!~(nrT`TZJ_bHU zu0zP8?|<&l+1IecrTGW`M*VO2zcT_ym|EEY)1NVguMEo1$j{g}(tE~h3WEZn`QPw= zXJIpJ1N`uLKZ8A36&q~!XApvx1Lv}-lG*+@{J%5)V>n2m5aAHv6D)lvJV8Q1!U(MY zE~)2#!~eIy|9gY~mxKQwHp#y<0p(>eQ7y3MZM%#=CZ6IMQI4C~yW6`+p)$?SUfZWW z{m+|J_hlk4%S3Maasl7mg9_IQs}mxab1C5J4XnghOT(iwui02dXVpGJIGq>6#qZ!Q zxGlTG9IbY{S#Kwj1(%7q1f!ljU*>!puR;2XLRwQf+}%Pi!Rg?oqE4~;oDq;b`;Q4n zkDsHG;7FTzi>Ppmol%eyhk0bgrNS{PG9Qk2)e~lMP7|g*L&y13#ENIl@0fM6eZxK@ zx&*xYBs%Ih~J*=*ZPi31x<<$AljixlkOrG{x|xLsljD zBgyzKIMMe9v1c=&T9Wmj(J7Z5{RU7n;Wx)WttE^x4$h}Mnr3#I4{5}At_s`{@GO<;#F=b^8^IZec%r?9Ko3}t7bA%$^wcE1bDbgZ_=#ah!AAE_8SA{ce&5 zMyg*jvQGHXv8Q9HY#jEf8tMxO)9-9Ab5PKGz#rwfg`Rb zdLU;*A^|qpOA(mTUk%cIMif0BmU<+NtMrzIP}waok*y!7EnB@eT5HpeXPjV%C&}Eg zyWt5r(^`Wmu)m){$?_4{yb{VlsEEhTquTD^R*5-JV*xa^Rq-A5e=qHkx3n$6qh)T7 zizI--gFAe(TaSCggfT|*AWLnZ;rle|CQ?P)A?%J|%}JuIW&es;W?~5CH=-38y;_~= z29h>v#58$>Dsckb(@jtHO4H(VMzu;IavQC0S4dz*Ggl7&B6T6QjZAvA`nS&2`H`a} zkG#$D^wz*mY*Z_71mAj(+f)$xMT^@u%`SP+aA2d~F6M&ygb;>p2*y_{uq5dD>ANOw z7120uoo=<~nSJURC}@S#l)ACId2E@uS4~<{$^Ke;mZ<%^X84ptronaR9w=Ph)f`4& zA&AQui}dho978FRv-%+W8@Oy!G3E#TnC5c*llG+L7NHAH z>x_yuK1BTc^qujTU!3u{5)XIO(GAGOxQxW@i9C1e!nQ2=H$@0$s_n9rSndM#HoqK% zypeG`NH11KJhgoKPbEB+Gv*bglo<#*nRLAhaji;1_k?zkVJiJFO$f!&4Bodn5=X}` z`yC!rKv~$vMau>#b9EFKa_QFFFj!SSL`_zHzqKnjGzogkWp|Emny%tF>x{o98gDXFxmdz!`a`+$&GF+!q7yqof$j06p5Mo@4)@YL}=2nV{gg?G#87ogQ1gGpCbh)L(lFBxB z>u#7FCI>ZkR4h>2uFnrsZ|ZdSE3$d@pfDlE^Z>1m=PtbrI!lIAF#?EUX#NiuN1(}q z?4xGxh(7W@6AYAoo=)wLuaFEq*$E_r;l=>QBTPAYO?qtynf6=N!XW7Y=xPPAkJJK?MlJ0 zYhJH4I+jUVn5?csEU(pmC_akUf)-MGAHz{fdEfWoNG{Ljk2f7%B_+Dnn1EzFWw7j%PV8N`= zrsF&>O3WEe^7^TYO6=+J4^xyZdL$G?5PVx1{9LwAT#;*#PWyaK5b|0yG9L$_Kf}&7 zO2j$~CDw6#TzJ4$wloN?3k0k5se%Vb56s#lRdCiHo>-_B&D@s|M56n)Se#6V>lA-M zp4n6@cz)-~&^;uhnuiSFO!Aml4j=ZyBBu1Xz=Fo=9kNl(D^(OLd4R`Us|XbTHml)_ z_9Mr-fWa}dEZ==gwWuYjIJiRIZOcOY<+&@(Gu_=vu{%yjtC`vBYt~?{0e{1JC=q zlA<=YUnZh}cyArZ1718Ia!`%%yppB$bnGO}O7zL3@PPAkvfQe0dQ2n1=&9nBDyOb@c z44X|iW!w`RG)>96+f$vawP!}xcF&PC9B?TljHDr+s-vvuj4HMwWfzHa%7Al|Mz6|c zo6%Aaf=q2WoW8E$R?-FFSI>U`U9aT!1_-UR+fN9YpA-xEh|b3e?Y* z;K3v_?RbR;W#ii(u${TOhQj%yH&oP#1&Zw0HF&a<(AsTw0NgBA5QSpof7_nlJK$C! z3f_NfpMg>+nEs&+H)MpxM6Y135EV4N5Bqrj#!eIL2L__^S<{&jj$y% zhx2PzoK@)jEF7|%Cb3rLYAqINdjZOC{efsiME(pUaR*RX#-|~@1LkoXVX(K=vRtv8 zhZTWU1Xp5TDV_#Bf5oyWq|kGO^jHDv8`hDjkta^cX%UK3CWqe8J!e0~5PCWHrJnQj zh_<^9qH{{$UAr@g5Zh<2tRrjSJQHc$q9`mJtR?Ogg`l}Z?)3P>MDj3O5sTu{rqIpe zvSqd%=W7LN{sw~dWg(LXuP4J0{izz`5?&=%fE^3c{W}4KBA^U3W+Eyb>JH_z$Pj~n zzp{j5OnF}q+EO8Ae_$<6A%0QSyQfGyy{Dtf1xkAEomgSe*E-}#XBOT-K(>x`#w zQ^GLQ8q3EynuA4T@GB0rqJp5&e{yT-8=vBc|C`;qMK`$4xc`UlN(>Mgz=~l=s`Na5 zP`%e0n;qhJP3^NWn0`3nnc|$MRDXcwQYVpZ9VYV(J=mWdk<5qkr%ClW>=#-%J*|MI zz?BN$b@p>e;C-^n+_u$+YR#L*iS}nZ(9>cmKaAII(mw!_^|rTlV)jm)ro-|z7d(wa z%(prapEOEI#2O>fS3E>SG_^7xFNVVzwevR>6+agIe1dleS|`u06MIx!t`(S+nvJcT zbYJOk6ej?D$x$d`aWTvKq1xH!w#Mz8RhcU!cND?LkzTbfd#)2Vrxpqwi#8@7#W3fl z6o6$36^p8HgLG99!Ru`EOfDf|b4e((U*VA2Wnp?~1m$pN}Hn~q9k_gxk?)i@W8oH2bZh?ht}^u$=|JuH>Z zsUg=nl&wQZL%M$^R;q|?L5^#HFf_O=!GG=G7K*h{)+B_OaYqxX0IPr-Aw#QC98>t1 zYj)gkXdkZMCrqhXXJ(b?0A1IeS4haUi8+mkGmB6^1#7w*s+qpIF{u{%b2nLFEG=2l zO7J%u;C;^K0geIqCd|5kv~DKykBPzI2FL-03LdtB>blmMcnNJ+^- z5^&HDflyD{Cw9;?uMbp6aaPMISnfRzM{$}>C^%IK&7n{$MVGWiq7-wD<_IyslI5|2 z+Q}ax#z&0$9_{+Z70c)AbD-wqC7aM7$Ow?W5DsHZt3nbq9EF4mo^ICeET1FCnVPKw zIBpvxdty?4LtJ%1|0>(v2FIh7@=hi^*`JuDhYXqRU8xGFBW7JrrQ7Azanv-M|7!{s zq(yVteFF@!1OD26Fd#Yb=@n*QYE;kQs|aAJuJ&w!6;)6quH@E zyYuU?wH8LG)KLJZ_#Jc;j7^Zf-TShu?$}p)NLnU%boR`$d?ze8RV$k@z*lV2gv!d1?B8E0$rBa$ zn}fijv5sMyo9~wrM{iSCMUC$NqUDlxVv`DRc3PB47y=dH`j=a`NXu5*Lv~@Z=+^0| zF}G}_!8|f3eiNeJkg!^ZG9Go|UbFC+2`VCrIh&B;8rCehd+6Q!r@c}zn?a+)oXro; zd_X}d|7)A-l95JMDyv@9C9S({2csoS_z&eHGB;H}akJ#tE${fS;lK=EgFL_+HG-%| zt(MllR9Boq(di5HHy~#1vscF-T1XE%Va2S!JoZ=5q_#l_QeprhfJvm@XDTBc# zIX{y78-)HCVSld=I$b11aH@==EgXiKaDVUglp_sZr;+*fCWGurM6d}|Mto5!5-eOn z)ZjOBa=fDSq_TPYR3B%iKT`c!wZG&KV`}o5T~vzH&8$hIRK8T-J~}g zhm%};#Pe`tOFnJT5R&XC`$lf1cbj;ji)X)gGj#QnqG5MKyR!r@ z;$W0vG>7-H5$L&gh|$lhY09fjDPoEFTW_1rX^kM zqzwMmh7_b0&t&Y8+V@!eTasf39R^rq#_-m1Q}t9z^dv(E%jU_8Yrs;WOvLpWFV;jo z!dFeDam)k!2Ebf(M=LPNq65vsJ|&V2WJohPV>hnbB4MQ>H}*;5-FnJ{Vg43@Wj%HV z#t{Vt-}-)IIZJ}7#;mWXQ0K zkT0_wxItE4F&tH$*IWG4j|UTN;4VJ+86or)xU0H~OHDagvCc;`=n|9LD+vh6BktsrXWLJ{+EFaTyDrLKQJ4r%e7R zQh0gJ#rqncnveBXNEQjotE&Girx@3D_^yGcv>RR8TsoG6RXDo<`Z>fhBalins>Nov zQ?k(>-CD9$1lG3x8aI{ISL~LTqgG;i5e5uyB@Uuv>_PG`w_IzB5igDQ)WMvoE$Yxo<_h??_#2S+k zl=G<|hb{ia=5{Y2IF*>-JI*{oo&&~&1?q8oUhjdth4q=V>7N3_)UzVQu}K8uREJNqr!yjh?zI0=$?uiyjwD3rBae13BK-Z6`_tL5)f|4HP@LkkI$)FChLX}ds9@z(??L+TGAJ8c|d7_*`rma507%|^J% zktDTAqUCFl!J+1_cPehfIi&NAJv#-pJBnYZlCmM_w{#Wsm#O*InZnqLVH#b6!Y!>r z>NPA1VAKh1QZN}`D1wRA@_H-=&89(!@?)uCYVNSK81crsSO#k_>>=g17X)&E>aeCL=4KGZWz>3g?=i3&A`tmT`v<*no zRbj>@0ipzTlqXcsoS|fm*D-X=o5B&NQ4AJK9aqRAYTn+|v6&Cov}ab=5AO`hrfxJC zoX9C(vJ2T6T<*wT8t8YaP#`>lgw}iSLiU8VGNHR*`<-4>-XFtQ-O%=(u-vMPhrInz zIb>FcWu8?M`IghN5ug#rHoUMqSwDBn=ASJV<<3krvrt|8mj zS*n9UEwgOe3Z|t=8wA^yGo%^TGA0GZ1?VGbS-fkeD=p_SgUQzk#LxS1B?Y~dDUCUl zaF=yRy>0~7zxkDa=zb28hO z>S8rcJ0LkzK-sl5r@Vm2GOUdVn8Baog()~4Vmkr=zOMtjBShU*pMH@#pd0eHHP5tR zAaI)-hS#Z!bErZKRp60hpNJ^N>wBYj)_852P!fkxjUaFDVrP=mjuihG#>OHZn4C?_ zDCu4=#h;OlY%MIrv@dakgfD&Lw--g(p<UeA?Up-PfPeO!ZeXOIu znI+{y%0O-Rxwy9`rIw5=*s#4)I|YifG=2Sl-CVE%O9b{JzJv-$#kAQA1bV%Bgq*cB z8Ut>Ht`{gRr!YnEb{zoF@Oei7rzx`}x!n`SX?D`t}nLdWFJ5-aBy*w*lgm7xJTZ6S|{7n>>3V5fjR&%xc<8 z)e;k~`LTG#7~pXFs$Wv7urFfkR%}4AM%D|A!Y*;O#6)Rj9M>mHR~;3dHj{vDr8NSB zv|b_WAt+5RJ2KI-OmsDE!aiDD;fc}ya}hPifDy7o4=q4U?S$yLladly7^ zl93IZ!5PRVJ(E^OkB{vk|C_6c(=p&^P((yrAE>a#(>0W%jHmFRXtlP|!jQ1|S z78={FDziFud8hGD|7FYR*0{Zrr9SoW^RGT!X`6mxa7n!hQ&F<8Pim=f%*)N={h-m4 ze?fwinm>t|Xz#Uu)3xl6%-+?o^AQ26d1Tdv#Z5o+YJkNMW+(jpHK`YunI8IuFxWNK zmUYw-r$%K7!Q`bB!FW+d`49KYHg0s?K|M!~g$&E+mgk&dRI>zCAtCJ2J-t9nLYkf< zOo|~uJq;@(?UQkoF@s(zjzJkUe=z<@lFkM@#klP^Ml4;Bz~yC6Y9@ezvIb zm?hMpzvMwN_Ei&F&vrnBoqP6ll?Z}eC%GuPsW8==bTwqb6a|zDfUJ?>SpokZ9i=-= z#fy9_u9$%y4lpi)YjQ$MGI3jon6UKrl%bDs_kLitLDyrt(v;VuhI=#DCL+N4fFCe) zteQ=qQ}PaEMgHSw$j3N~TYTJcz7797XcKf{JG zCulY*dRl}fFNbSF;Z^Z<_!No4-digmbLjX4Sj$D7V<$To#;1~-2 zu*@@TPE4q4`5$`pLx|LwcNz8N+%tN%2)Y}9Upu3iX~E99-L(k1(&o=&cd7P&EJK!c zqJ!HYngb28{t#h%Y>}{~;2BqUhs3TvtFVoNi3!}l1$u^dSD1eII7Rty+FpOIp>?Bi z=DjjyRpP$%QOf&FrqlG#V1X&Bns_`ewC}UT(U9c&vT3?Z+52on<85>c?CSg1S=*}X z+TJ1+|1I-O@_Ohd21BXfzL%nn82<>;;S(8(((1OyX&rKlik^J6;^riazaU;R0E@i} zL#l+Ih7@RZ&-L1Wj!&|5H|tHI%8FE)gTHr?i>aZa+v`NBj@eT1l1O@LURK9Lsks&u z=c7jznq`NgUsTZlZJ?~CLsb1oA->Rh^DvglYZt?lWzy1uWQO_lN!pTLdaslgg;;FT zIk_A&;}dkzx*-znMVm%7PaMjB(1_DF&h)mauHvNM5OG?VeGJ7k;Zutfp05x$aN6YTrh-T=_OL8i{<#<$U$KH8ajq}UqoT!D(3=yoGaD9psORTWdGX&>b zGtg!(ZNt1~gLSt^1)S}VZXxU01lz_7x#{>=O)>q2FkTqFW4qm-l$<`5h z6wG%0d=t-2-URkj|3d*KxyOTS+42Hb%`RszwfMoRj#Kavz=u2t+0+SiHBmFrjbIQm zNFyJZG06^1DQF%Uy0jR&`1djDdo0f9{5YfRLk(Ud11aT(ijyDJ?AgcqYbx(S81QWt z_5MVmU-fv*WK<}Q4*cufr!j`*2V|0IUx}22B9$o= zkgOcnlFF90@^1K?F6chrYUUL5SSQl!qb!aO7;%EjTG$bj$G?u3ttw6C%OHeRIQ~d| z&@ePBGJ=khYr4@fe7t0HMP$zX1Cd(T@Q52&4ERW_9H&ss+}1@un`kLFrBA5iwbaTr@;j0pMg0mi@4~iQ_Hy6ygQ^|(IKsNqR%5Ktq`Io(xsVG6K(oM}hW7UtEGd(1qEG5`u@(#XO>;3MWONg+7R;IbMNK=)#TgZ5k{_ftH z5+WSlMCi#dnn=BONaKGOMsK7P>tv#2fc4^ql*0?7MBA7=K-AMCWhohcqdA9W6WCAZ z*VA&TA0`2Nl4$$=UlS#@n_ax$I2XC=JE7~~zJ_}||4kJ4FintSwka7xE(`n;)ctEP zu9$=S8qENk9HY8Ce{R~71BBYLhhnUbP-85?<)Ok_6+6{5W8Y2o;zwy=k&n-G9g1n+Fdz+xkOIB ERuh1)$Ud~W>Xh&X%le%Spu1trJom)mB z&KR=Ez2uCd5TTIk#oW`UwPhX7p>A=XEz`O9R8hwNlPGg#WdEk%XEgRr2>+tr*r_eS z;TOX?=2pDC*`x)TYi+2~Myf(XKXW|wQc7oJM38?wzvsl@TLSb!qr6>wy$QBEfULKA`Ox7ScxT1O8lWK&{bL(?p z_-!CpJC+ktk7*nx@>5Q%nP>fjmzto9Ds^M)cK}p0xGTTaPuPL`@#u13*F&j~mBVw< z;}(X~L}@aE2l!3evX&@&XsROotNPrpJQ8c><3#Zdu=ZCwZ$R6Cm8&a14S`CqFWrT; z^P=oty>(xaYf)6&Jm);N9oDyg9-b3U-)OKYn{i0ky&2C}Ax`Et5opQC<;+_O+#S*2 z$fLD)rv>K0b(^#IGZ3n=if1t?nm_$RWhHT=LtyiyBD2nqyM!@t@6A4J44kj;0C$$J zY;i~?PiUTr@{Zy19679)CFX_y!I`PEr~%jTC2xJYr6Wan6LpVg_%(*#&H|JHEYi_5 zewwnNz}W$j5%nfZ9_qVj?%Ds`@msQ@a%twwEH`nORj|z2vHug1=C_ zx8OcKM2WH|G4JBd_>uW1cnXE?ki;v zmj1QAWM8!rU;8jETE}S;E1M!@=v^lB!;=1~|8-H;=WRiMfJCR(J{imEsl10<1v4Q` z+qU9~0EVRmiUhcLd@rHd4{EXbI)Bgwo%WM`((?c$n#-l^Cv%3zQ?v6Dzebxwgj@YE z^@@<$C%5!2JqO&foH`LnmuKU>jG+O7I5b5xRBxn|0mEtYYBEJr7V)@CBnGTvwm5|; z?mK}wrdrqhEE{eR%;A*{P{&v=*E_5MusWhz+y6Gc(>NQd+|^YGf9)V~s`(n6Q;eFo+^=z4(qNr*t9I(RK{mZv(qs|?WN6yK- z)lw_1HFxKUY7L)KQ4-)%`*v!UJ8n`3+b*LxR}xHD)F5qEO^o4R;a!BfxU)4}R1UfY z+dmF-5S}>kRU^uv&-g(QKW>wB9yHiF5(hoGTYd|5btNndhoc>|5Q?rDdjE~5*atRd z_qllq2#GANv+E}NzEEM7R)}yu=uLE{8L$a@k8>r=f?BsfX?G>*{f`17CopiVYd*9H zhTdc~@62?!t{1Tl3;(bEI}4(X&sDxp{5du7EA}}1YBEGVigt&7`h&PX`2-+Pd$5HV zY^Ox$U+ZUa1YM4U-TN_WQ3zN!bpXztgMSQj5w;8T5lcG^t{f_|Ns5AzHh<~a`F;v?Duk9pB+QbqjS zFCSjGMU_g(h1rrggXZlJfS+(;vMf<26^6qB%7XB*`*k^}q%>$+D#h4;+HQK9c?4`z z&SQNQU(16QDXt#btw^r`xK|MCzzOVPBj&P$FEV4`<^lJf69rA*U5rm2^=0{|JEFW^ z724M5lISyG4e^z5Q@Y&ozqzcD`K;^qX}1Y)vntTf%6&EYy_aBN%b`-rfEpeucck25 z?O_XVcHP_R(!)s7+JuOf)91oiyP@9Z;Z|HNx(XT0gd721cd#~m{H5W8jOY-Uiie5| z<6PKS$!+T)#CNohA)Mb@EX=J0)MDY>|Ewp9tsjby-~2vHlj^h(^Ivl_Uu?n9=&L8h zmK@9z`;KJF$d1XSyI_2kk_4Ef5r2xu+V^=4=f9}LEAG4-#y5G7bGXZrmL6dmrm_XW zW4*)iHljPKX^cQew7eq+jv=9a9<3~6&_lY8UJ)zpfdGoge>rE}jI`fMHWTVk4h(~C zrD$*92a|-FP&KhdM^wL&Pswc%^MA}Wp} zf9^q6Gp>!xBAtp`N;!Ve$i?QP)*g)Z5pIcSb&1^-M)UjL-$<(MN88BD{*)Fe(w4F?Of-(g&++fggHZFOb6HsgP*Js6h!S zQ?}ANN5bsQfb0S&Ra{*w4S0$9&7H`PT)EX2*-J?r1RK6aX5ZT_v!iFW9E?PWN~}oB z{--0*9OYJ~H@=LFCjb7>_(9YMPSkrSEIlwQ;D+87&o$DeX5n-taqkCe^Q-M}yLYD8 zo&FO5=1!(BI>m>wabxwX{!Llnp2iRXuw_tu<3LpL58I3O zz}dNJBT10jqJ^YN4a>H7D&XOih49P`s*O+NwJxhssn}$^Xb|u4)m8rSGnLYn@oZ8@ zR;VNF!)T5iCCI8CE?s_giprf=Yn32SX5lNhUXAd>L;oZaIo>T$Ar$d_Zc1X-@fq!u zI?y`4y@(;;#K{O3$aBy`^1%C(*i-|doab*wH_6Kz5G-2Ck?1#4F(vlS@d_p#R8%^p z3+3KJwV^g~i|js3ie+?=TuOQ94&P8SOl1zxuH1>b+ik{@bjT zpOl~%ZL3~%3fnGUM&TDO`Q3wGRim(RHr}bsPT_7=?sr6HAUdXym9qmausL)5j)pxA z7|vB@*u!nVb1z(vum;H)yvuOzQ`ilu?IGazqkZ8UT?z7wWjVl5d~bQ&a-Tpi+hiKL zA_`OsN+67w?B{x0d-ZG!Ft|i{sb|}z{nf!z=_g07C>mBMC;s@w2tP*A7HEjy7)w38 z%Es{xKfX$hhoj2Ykz_wWvF+%9s-kG*hXZlTQ&0IlC(Z;^3g=NY!(Yc<=I2H@czl5$ zVzBQ~ryJ3hb>NWKMOjS~itz>;$8T>SoQr&>{e_PO;RPkS%D1|=k`E6)1~G&oQrz)Q zGoExbzi8zkS2;f2`(%VEKdT!*(~ELHvdk+Pm_4eIo}_fzlZ-n)_&RzEKqGE>J{9|I zx>Dxz7-P@6v#!j~J!&|c;=AqQzLMDpma7#H%^YF!tFmD^*!Qb&@YT@)phg3j1lkW? z+r=skzNg0*012w3f_t;8I#3VkMY+UCc%G)n_uwJ-0Q)QU5N%1Ip4G-}`NiU4s7v%v zo{eXDtQayGks>)Uj-r$8gRT@bf+9tJi=uIj@Gb{?hpSlBMOG|FS~SUT&Bm==emHU| z=i$gnbzf3Gn+ovVj2n@O1#d=DD?=&jLha`MUVd?6muhd6Ezg{8a$$F=<|?HqKjBv~ zN@&a`MMq$;e%e=?nT=o67Ywfww7tfd$#yd6`vkm2)mL>i^+f$%b>aAn`UMf=jO+^fj1VT3xD{iR?1wciz~N?xC{ zm-!0tJtn=Gp;Y)*PP|`KSY! z*-PP;;7@i{aqdtEXozRK&Oz!JD^#llgJ|eTR?&koFIPs;fwspJixDi>DZOnZjfLLAH^MU4wG@quZ0oV7FmsY4!z6>Hpm`et{c@T`>>5ttZoEFy1}5D zs?8?)4Jqf2Ru0*Avny}=ztf0X)_KBQ-}RX6;+CL7(v&N20Rbk(`2q#EMM-$@CCB9# z=|%az12<|G)VcyO&|hXp!DBw8S)v$J8D^J$K`)EiT%g(rDOX^)ym(v{8w#1= zJOcOSu!lLTUj+YRPtfgdB^6ip`K`qSEs7_yA91?C z_>fC+UuaQR$nDO>;-GvslhK}i-I#%#-<7i#e&&=hKn2)_5SC(`G>oTCPu7*!&`uJB z+mGtcM9i4MRm@hvE4>^Pb8ioKvkZdF(#y?YdM-YKm>_cpz9ODUdwC$`=t)cB>xumI z*dwq?VK@MTuj8@Fe4Cp)#-D<75QZPp>2+q~7&Sgv^+6zQ=C7Mm%1mh0mabdPFTif8F-)zZ7vJLdrt7J+02Xw8C45G1+#i zsD9$izFoDEFCS0PxX^A}~|x+pcO zGJZ&Z<+ue=ud&Z{;7F%pVB?MBq@yJ<1H-@J>dRWhp@WlCcq$v;1MjO;o;7m{&K2BE zs)zV&U4nyY2foCHEp^-@ZQvY4jIBiM8J@JR-Z}(Gptyq-@}pk-bT+?;Eq)#wxP4~? zB)qN3;)ur4bIoAHM2qBnnXM6Let-ksOv(~_h<+4fwx=7-Tn|s3Lt#=~Vb5rk0??wl z6l`gBuyGJ#(C>0g0d=%pP3c85veT4`JDp^#e1#G)o9I%pIYZ=^MkJ`{Kw*$R3D#3; zfQ3*MVY}Yp;H;qt^9e#FBL7VIReNnHqOHLPXcIrEXj_OaYKb2E#j-~t{MM3PUFt?; z7Wk1;@(t--*#2{O*=Z^e}pjq4}0eUXGM`T@E%-q z&Yp^{%9&2tCuS4@MG-|s%vl6fP7o!in81KpF`kjrQ%vU>&zy6{{2iE1JjI-|qRdzS z?pHHC({K0IJwSw>-*4Z(H=U}htE#K3tEJREolXI}gQ$sosBl1T z*in{UPLnLfcrj(O3tp#mH?Kdt{r^>kW($5s()mL zEh(D~%B_+ok$BAFJzI6)d0PkN38^;y5cNO@Wj)2An1|%Np45}bqNjn7pxspVo&f~- zu4YbazvvV|pc}K#Bq_S;G2b*0S68Mb_cYMf+O&)-6Jb?H zXp-z!m}Ch^bidPAuzLXyDZ~Cb8x%}OY|@;o47-eU(9Dg#ufVrehTWBk;}ELHNpp!~ zcCtJBw%13}i!%k51o4jwPVh!cz4OrJ&mk)vWvRgFY=496#F^SfFt8y`B+U-xUch~p z6s;1fyqCug<=u?^w|wYxl~iaa`psx-Za!4#-J;mgyb|MN)-@WC^$+SR*)ktt+A{?} z*sNjS1K~U;OY!7i;276m7FjDPHg=XSr=96NZVBw|5rN2xuNxsziOk?UXE@lnh=MVi zS{GwAioV9ectNSqN`T2ofbPs5#HkXoQW>f^91esFFer*csn5x%bE(k!$+D$GyhZ55 z8YK6wlcaj&H|7Yy03?gv(-B`Mqi^_+Meh@|b)1jhev01R=+_eDQlSSJRtI@>2z}#M!>BpDhpK`KzfKWYPsE(G=2x19Gh^D&A2bk&J=@u)qvfqu-> ziFzR5(tPFUgCjbLiL{qR6Zw*P=DrZlGdubOm;>6m_$3Q}PD2Vvxix z+D0kr(@6qC2YR8hH}m^Cn#x|P6EJWXe*EPX8iaS;^Dd*jD1^_EZCth3%Cv2XeQbo8 z1w_Kf8}~V`BEC&dgPk8~@(V?k^`tgO^VNFgA1iDo`)p9e6+k>^m>tlTS&@Qyi}Y0x z8M7f$IBU+KXvC`Bt{Mh<6C|Ji*i!WX_dFHq^OZnoh28~Hv)Pd;-G|UlqvBH`$KDwS zbVHP-O$CQmtGo$Bj6wn^4qs42X$#hO4mGtQ1!kV-k&+@)M;LolOUQICEtLQPF}Mt2 zkt7~>WobdL1w*{ehMNvF$Qk;DJ0DlMQx}i-VY7RZ4`M7a1+1f`HUT(1F>Dw{_EUgD zAu;Bk*uIFQ#h8r~B-o7%pe#NXf5lh&D@z*2I^Fr?wKiP$H5ID( z+C_zf1?&<@%1$Butf&|RF^Pk>i#zxJDCekY#h1iO8E&>@2}6WNeCJy0=EA;=;vI}9 zrYcI!A(*$N_6Od%!Tja#oMexoy`}2GMUs+yCM~CW7-|tMbpi6O2W=6PkmKkOL+N1{ z*&r9e?)d%)2>S|no&6|EJt{b~BIS8ubJGu3Q++xr4sRFYH{ZxdrkD+oL9zPA!SqYe zn{NimmY;h@!OM@q%UoQ>R$ND|c{D4jvCtuL;Ls|?L%==D1I8bii8yV_f`1hy(c|hQ zi#@Xa{>Z*I49HQ^6U$%sL+;no7E%pAqB9hXcYttlKH7KaTp^$>r|&JNvb~Bqns5O$EkaMUY1z{0dEq+^^D5@|hdOUX8gWhvf8wJw z$(9~TznC4Ce4tX9M+U{t4tK!j=Lmo)4#R{I6lX*?JA4jXt}K5AE0!Hf=E6kGU1{3C z!|ZbGeRjKBVVnB{!y``D##)v*l*bFZk?{v`xDF7ahqB0G)n;|Jtr+DteE5%*3;$%O z-tfmOn;r7JN;dMwkp_U^C%Sxh`<*IlZNGEA417cy zO~m(mxZN8iP}F$Ap+(eDkc|Wk$%Q#hSVY;jUW9DyH~EmM&07ZQTl+tgHu+m!2Fjr- zZ*6YVb;WT*DD_xLaXH1BOp!b%(xscg{K^mRRS{pJ+(&wK@(>HeUK%9|Q{_>uaA)aY zGF1AJ;twGDP33YW(QiaBriX!z)~oGjp`qhSM_T7bdvn#~cg^8@hJ`Ls8f1hW82_3i z70N00Tul{Dar1I-XoJm#6vfOQg;0^w6WX{3MCoGWLZw~_kX5A^R7Y;SkR25F93aBn zjT~NZsB{CQUsmW|nkGXLiqlLfENX zzc$?9%)nh^!$4i}VdB7wm3al{$xPWeyI!w@G77kdFeq%968N1siI(*aM*BJq951%W zayG91{fhZ7p!vhWA@OZid2G$fAEZKyc@DI&5ih>q5_y^{5;7w^?wiR}(E2H{D z)7G-f`EOPMWV1dn!y%>x1&4Nsv@7|Ka|9b12EGu&wknq9%U2wNCns$N1NZ5Cs1M8* zDsBD@+!ELm$69wyZD#sd0XiEYN!c|nGO@a673zAIDd5{PH?;BDvPe+l7^-*pz%hvf zHs1;umaw0KY$Uw>h!7jHr8V3vBeRDMrnJGqUp3$zVM`rETMr`nbgsGy+pe#XHcs{iAjg(XRNYqg|@5&;2#m{09Qh1htU;vt>@YXOHpsv zNpHt6$_i_yvmKt1jmoI2WW215(!8>JTfN@;O2tMy2wP0tvbw2Wc+^d0)N>HF-O6LU zh<%V5xNer3&7Xvb(lLv-uUvg{m&)Esy%IxVxcL*(2tNlrB$n3&xCP^82t>A>E2|&0 ziH){uX=82Bdz&CKWkJjoATBTtQO}@?T!dr`1ktLB?YcV_HuCe;+*RA^1Fw#SEAa|O+6c|N*`WszY?0AvwP`yj&w_|`8Bcw%Z&+!w5fxrQ zgG#;Poa3JFs7t(Jtq*5|EVLSCR|su}e;^&Pv!NALgc>ZdT>yX4s@=YqzrG4T?UXoYZvsBPV@=#@YuBa+ML0YSD12?{mXYDC92={aLj=A^j$Fj{%|FxqtO?hMv^1! zH)M`ChckN0UsA|%rFBE1;a*`iv}*^DT9O)=k;kg45@*5@)+hd6J?xlK^=V?q?Vm z@O3QV@W!k5tQ>#t4!P&dFt~lK88D@X%**6qU& zw&?0ow6FncRgrN_cvsP2AhB0L->{Y?TfX{{QJ!f>g_@KJ2Yq2OV!K`E^3FQ<1?-#qz}^@j_Vfqye+(s3I_mh$SimGEk-b5dHH0<a7f{%3 z-%9v1Sy6Ik6h#vjHFcoR9^B^@Pe-Z8%EKkl9CpZ# z#-+@qYJs^)`RiRKG8iV?}Y)T%eU zh!X5Hz1~7U_8MrT6?PJV2BhW>f-&4Uk~C|_ z8?lW4{OQ`SMSyM@8KQ(4mL<~=-3*!snx24!(D`e96%Knt)5G|XLk5*c&)cH1ioj$c zloRo?UB!n=0BOLH=s{3|+|VTp)#`pFFRV}C%dq)n%sKMLJ~hy*Nf}e?i~Rq&f~eWr zyd}v({RbR&oqeY=$=D`qRjVvU}E7E z5_gSR@zqnPF1Z;_A*d&w!7B?)R^fb0fOi9|ZDsooLb$TnfTFhT+s;}lvXcwLgfWua z5S84ybj|7`D=R4u*9fK?0nZVe_`}JmXXX?owhV_ag2Ryle1VYiA0NYd)sC7Ar9z9t zF7n0YQOTD1IovBu?FzstT+e(|hF-15Ed9gU_N>_zVG^cb=H;sdhgRrqmXRpIqS

^u_ti*=SW+(bR5X*}J zruz0QuF_^@p&N5Q!b}$<)~AHLUm{??Kq%@`T4Hr&NF#?lmH%vILkL=5YF<2o9PbJ6 zL`>pVqs3(et2ZVK-0!bk1FC!U^YNxZ|J(md6ajv2emq}st5H;i-c7X5^8;-D3Pn{v?5A{iEI728WGF3(rYgRU&NzW-4R--mh-`lM zfqN0_uL%l9o~C3YXSd3aZXprYFIU& zlh7)eN(myGE4M$>Z-S#wnCG;d*uIyy22XIo{Am@gnqVe^IL(}p;N5%>IG6PoXtU0E zd0Qk=>Nc4j?4aaHIJ)8qe@Fogo6b2HMCGx<=C;&FjN+ldvc1HPA~Xk4>#cBDj8Qxp zFt`#IY&@VOdL0D_yq7hak?0N-7{`Ymu)R^n%aZ6^$obFZS4K9A=y-hxhc5`PBeA(O zfpjbICI-5zvoSbH*=2v2qbCJfZ19;qzL7?8uJN-$7 z`Ia=u`U~kcJnKgGg~MdrV=c=H_gn%5qxo3qg3lT_>zmLp&lfUkiEOsAc-d-jvjDg0JAOU#I=57M6bs;W65;Jc+nHm`vs1rza2lvS#AW zC9F%C-g`MXJPQIN(lsGs2>ED9bWetAE{6JQxzvVh$Z+l|QIzsmd)4&blUXm>T;R30 z$`ZojWQA}^Cf_>|1H=`>Lf5mvdbS5R;z3bQN)?vBHWth#uR|ML=Sqc7YFF=vA^!+% z;L?nLYA40KW|3&)oOcvok<13Wlyo_HhYDp6*7|;P=+#PqrzT1Hz3ZefHc^6Z|5Mn( zf+5y;xqv{@4VER^B7(OqC7x-+4F^nREAyn{UFxmDhJ__r^<*2v-~EzTm!cAFEf^I~ zjFYWp>0eTXZL14)-9oK8r${Mh->75$TA4xPAQY}0ie2-w%mrpVgNBG66fT&UOJs~<13*#gk6>>aa$w~qbBOESQ!ggi(L;`R|z*{!|yA(+NK`C784}D^%%4$-NW%C`SK)OAp6bvC* zC!>}Y!ZzceUIM+~PkgtI@*BLot5J*j z4uU9qmy0zzu_$J^$Z!5llHHVJvl?DTT39FEGnh`klUz0%uU`{VcB?WdXzOA=v=kLuFfN7b`tm_|7_={u zLuFyErNmRhmCD;9Dk(~Pe}ynl(o&-Kmm$Or$4YD^fV`CQ*LoqRurYpRkB$vP^4$6j zv-9%fzH8Vh#60nqx!rgX!F(Q0%@$<-C*Ie7qQQQ&g1nM&D{|0V;7xRGo<$3P96EeL zdMOb#kFZgi-wP3?wDF%1c(&mX5PvEp4TW96AHm8p`=JHyRM-XQIPS52Sf_wX7)2(Z z1b;Rhp)-}Z52H9qX7h|IGnM!vO09$_)hS?gCG34E^(s?9qj{dY^p1SH-bfZEJd70(kUdCF#J4jr|x!E8%~$D z=B2GOer?V4?YeLr6&7k*5Ak^nd z!J2JLwur>dvwMl@E z!!`AF)m9&cm~6BkAmmg_hWQQYau13s=4X-GE#}2oEhac{tkf%Z0QW2n&7UVUpWW{u zJuN>(fM6x?nCjTeqDU_VHZUF&*Xc5;-HNYWrGx1%^iqX`e0O5`M1VVu4~#QxjuT7B z>KjW_p#+c5A^e*{_%6gGXNBeNIN5ask2e+|oSSsf0j%uZQpqOo0%x)~y0VPiyk@U2 z?3yXk+?4m@>=#=nC|dyeobJISRWpe@)FiT3xzr;}C)d_2)looAc51xT3aoCl!g*zZ zVA==>Us2#qRySPl!wLsy#L^?u#@;8jn)WMCX9r=I6EDmAwH|U|6Cezd?39GdSe7)2 z!Q-(>6ZlrUlqh$NBqe(Ryq2mXACE<^xT54>EN!&{NqPkFBtfEbXV>1^<<`*ICW5Fe zE>=G!01II&`Aj)Yh|U3tT2lWrb`B+qQMFbS~9W^XsDc&kV|Hf{lb@ zMua=prp%Sd){iO6oiKPGbr=4!5X$X8V)cOLmA?d92ZmflAwi&^sHcyc-ELU_L-`9F zCI4nnRQq~gWv^TfmZncqRO9Jo4#RVRzV-Ncde~l-V|VLO$z1q$pqZa2r4`<*6^gT+ zoEigoT_B8C_4`dU^Y+kJhrb_MVR{p>>FiN*RsC=?RthD1u6w+7Xk-+q#XW2D3q*a| z?{F?y^c0v!1;Q+6dl6{)pxCn6geW-72gN4&X3UboL@n)y2@XpO1f9Q@QWCAgDF1ZR zM7GPz4@*F-2d#wwN^No89K$$Tic|H$>=}?KIvXc4?o-)&1c(^O2gHV9{0=E)Wv>XL z;bs=FpR^$br|O zl&=XGrBTLDPb6t>XkC|njRF>KHYQGmaFwHzrvUz7n22Q{!C0jUM;pDRfRToCMY>LY zSdnrm#ou9I)mL9ak22+>97m)vVE&`C_g+pJQWC)m!)!NPM1T<67-b@ zX^V+|S>@#wXl4s)R79Pjh&qwFzJaF{QL75E*;T+WdYdw_u-(TYl@=~@0F#FE(&;I+atwZhk7bDVQC;lMQk z7D5WSXZ{uYRPaz2P0o?%7WB)e`G~TmZl_t=Qe690Yos!p00G$UPq4e z#Heg%1o4HtT*CRv_fJ{Ba;0LvD`0T3K#F@2TP+HO*+^cRL7*RV1p7B56&p4(gYAYY z?b)hLE*l>1dZ>16*=lmnb(rSmiUg5-asm`~xK+Tg!0#ZvNVlPLHynD5onbRDB*f4N zGZ-+rpNQiLc&b=^<0Z!HbYz&);q6-NKFIxg+EO~)R+EO{r!MPC2~)V^&vGN`XSur| z2NKN_)GAk6R3zj`Wk~_7G$EdL^FVw3?jr)2rHy^*m+c~=i_lV;ugFF8%O;`rFv=68 z%T_p8^>PRFUTgq(Ajyb3Gt0Y7U480gyi^Ckh+*fHm+6<7olVMlBF;;TX~=Qq>S%HY zT6U@WW1pp6wh){E31b6bt@p1&(%}pmwiJkM0TiL)Lm*bMEaj2A>gn>kP#kx{eDw1s z`92X|aOojXFUvsIv1{wXXDNV_TLy+3EFv7{y+o7p_PCpykWV*;_IU&Y8z*NTbbA}S9YdK%wFnE(F=Ajt9Hm|E1k!CT0>0s3z&S)a$|r%@J^3I?=(%r>%(axm zz~SY5a7d1j8E>m(t2QbBeBev-7o*ISy_^7VyL>ccVT&p+cT$EuHiJ+$39V!8a0NbZ z3m1MOTya6L1^yGMIr&&V>=i%URQida*UH{SFb^%tj*qtWnpP-BPDYsBAuEBg{=}lhi~Jg~GOYtKDE7$k{@zezTbk1$CroF-kb zW|FuNndBv~p%rH66@siz6PnZ@4O<-kUxs-q<>{gttozBQdPj^@;gBck8uoG(4tbKE zDSlan!|RCMb&&IGyuX}1Q%o#wJ7vq5$}wtz#a{Kxb%~-jId<4V1|?lzl#0ykEDJyP z97}JXKx5LQD~p9-AZ-wOe^;TGLx|TR4ydOJHmuOgle9w7y4}+b2=)eeoF<5!zPx~I zDe5^OxD4Q_@Vt3?S7d~o+9Po&MkMw0TP1+diBl?Gk#0E+nC(7xVWc4^=Ox^yTCw#kepb928}TeUu$+ssEsu=`9}j3pOm~_drWo2E~knavbL6))}iT z4MoswFV$z5e_t`*7T^vPv1E;D%$3KWM)0fxj(&m3K-9OVe$XHmUiZM%$!Sao92mmr zf1HA0qt@RB4)M3;F7V8ibfZibXsOe6C=ZkZuGJ6j|FcyOHrIvE#bDkxtJt_x!RX6e z`6cN@s$-;a_RXL9Nxy#3>NfT)m$TcsQs9<5;+ZQkv2bGNQTbuXW(ZPpUgk<=hrP7I z%Y?L&jbL+)bE~pk2wZsieKN8uf@5uVJGlKK!07*yf{`Z?`iAQgvn`1{Nxz*dLvFVuc7tNat3aD&Lq8r3U7~K@M`@ea| zHwY@+mc&1@QPxe9TbPe|S$4L@szt}LHg z;cZ%Bod`$(z4*tos%mRzuB{@>z9#&>XtjMXo zCyRi<85k17Zn)V~#>)W4HY>f_@Qi|SuzWEcd|@sIboY#+z$1uKU7KfMRCCC`ahvU7 zPu|_EVB|@7i{YA(f#D@d%+Iqg8s9KZ!N`+zl(R+gyBW>vqIf@TYiXpUEy{HkI9y$< zh{`MESThlgTZc(N$ZDzvgdhqC!B4)+xjC>X**sKW^E-h>5%r})n1g}pO{H0^k30$8 zrodl$62Vd7FR`yWFvJ6Sg!usiQ83<8FdkyyUWW>FuFQl(ADDl2r~tA-&f5rJw6jo# zUanWeDxG2Daq5yt*dr|207h@w$+Fn^i*zs@OfR*qT}3S0J^Hh0>kdA!zY?NTa=AVF zIiR!UX;9bZb&_Ofy}6<6m8o^DJ&j$baJC*450}|JDM$ zE%j+eqB>p^b-cutN6wP*ftdwX&w^6ki}JdX)RsUt=)M0|;1>b7^8_0y;R8Wd2K;9k zgbK%rB19}03rQ)*DFm?DVeZcwW|eijePn?kJ3fpuQyXClzSH93wkiRRXpjJ6obk!p zT14`s=SxwA%M4LO$tffOUV51Zr4kzLofVNES*dXdnz#4}O1%Sc@AIKgR?1fOF0X@9 z`0plbQ=vWs6~gzjK?zFPyt6~1TIyx#q{X3q@gqf*4mVU$sd?S8CgLpB@D?K&6_Fqs zLgBzr4n28tKEvh}Ub*GR4NDv|uHj-C%3KiHbaj&7D9^3mMy>o@!~PGw(y&J;^oGqf zt94nCptz1Aw2U#tfy2DGuF^)H#3mQV-nP^Q!e@}pNk}6uWMMOCFM-f9>_SW&hoN+6 zTg!)t!o?Vgvz(}oCEp$nY;sb)v(*043IaoG*mxd36!T(;b`Qgpe8VUsdzrQ`(k_#f zUIU5tS!~lvzsFaubksrZ0f~-~IxG_rpCuD|Hp@c@2Q+0)SluJtv6$bRMjwDRReZ_s z5f*qckq_sRbJ3Q?=av&0)bn`}!MLrmtaw?3gSEj*mg=MZ+D7}O32p(7A){;lO26Ta zN%&S-Ji6yt#$yLW%HOVRsLVd+7L|QeEFaByT!$3pItGqIJSxK6qSuyP37TK^$37K_ zNyv7v_q+&pCX*Mr!sgMl<5prFg3*Ck{ zMve8dz5H#p)hpX84z;u~nA5SSZdSmdO(#Gfn+q5yO3#A*7PPj?8aPya1Apuin=5TR zu8T)Jl+18Cr^iXM$#*x_Jjc+vF$?WmAx8EZg1UT^EkIhThAM)w8Y$38fuDrf-56eH zWrI~Z1AbO${(c#vj8pDvoB(7L+}b=xiMo=?)aKZs4P$T9waXbhya5T?BwZn9Y@S!h z=|wISkr0CAhbg-Ne4ats{0;?v)eH=!L&@ye{9hRuxJ_|9{51i-gkGKK#V^M_uP{{0 zGiaECIeAy7NiTmV(!^?TtPnXAE$dVF>;h&}Y0x^7;VqVNspkXLk&6McLHUUS z6Zk-N#7{5lSG8XG7xXJn(tfp;F57;sg%$WTP@?@BsSD<3S@aG8C3=Z@(HBR&`bSvr zzKwT%J)hbh18zo0Hl9ARmjawA`7F)Lv9i=y$3Wc+=&iiGA;h)^AF5-2qSNS6tmwA^ z$Dd!lT?L;};)+-k!EwUj>CLbMu}m?iBW-fa(kb*yO*#UT(?!?8I>2?WDgJDjf`5`E zJGDt9YdJ}RoL3`;nBB{dnsmm>)Mj@Ot`OiHO}=*LewDRP-=lJ72l({8-6|I;@HvDE{6BS2=7(&E<(WY5jG07Ma?h8L&E4!~ zV0GjK4}=p1!3pbf?i`ir-5pW9 zoQY#&CJwf_3uj?&huBsFB%H39o5il>M)?{lTp6;_eq|fha<){AY4i(^aYp)ICMz)M=JXVwOz$%fR}aP8)m^S9Wr(oTf~h0-=;JotmZR3_eWv87(ycvnfY=Ma-_n_nQ-o=fua zngkOaLFcYM;{vfqjn2bn?kqS=<^hqH&3(R{QolH-D7lVnc=`>9{3y9V3?^QMwn6)G z*Z~(*?pu7cJXd%GX01JM*#apHGmD-Qz4B+Oc>AFm|96o)Mgv zRsmpE1B~k^#i`Z~OP*Nyy9}jm%R+DxIMz`{b+n`GfTPRov~xGi){;~jFD>;1CozhT z98Z+3%w-zZ6sx0k7|xUBI7!1Blg>pKbz~DJod;32$=}O2rHy-)0PbKCVkd_KfL4lT zhswJlOWiv}fUgsX|Dpq_gr4Wk#Bd!|=J@vWGczG_6JU8%a(s$sxjl8`Y z939FiD;V=B9p-IeF+-o9EllFZVf=0Ws9f-AAsv@6`J%18OjoRbtt7m$L89m+@B(A2k!rZHY@=gK;It$LMKjHs?uFDiVB@x&s+iUXfO+tv;Ue0;+*csj`1%97|7G&6(` z_4{h#V18E0*25KunUC31+Lle)Fw+s_XR(w`*S4N%ykFq3rPC*7aD=R;btz zx^^?{xnN@yA8g#=eI&;rodN^-BnN|IWrs|;mALuUQD)+R7BgF=ZN`4EASUAa($dMC z&219Lr#N6M(d`}PMaYjZB4LETs_{kVSLz+X#P9-ZT;l3;)aWfYBjha^bIeNamVp|c z7_#qReI40DEcq-Eo{Rf9xFFanfq9jRD4~cldUX%+BPo?jHd|DI|3ZP6LuV3=pAQW` z{;4KCiJbqCkB;yBY;Rx9wsmqaxR#mY5ZNK+t|);xD%z`=@h9Fu7OGB|*NHOppp;Ft zRCdgBUq*2&RA#pOIHoA9T=oeh39sNebWn0Iv|kUaR+%SZC1!(WE}8W#dRdmb0m4em zLCS1)$j6O9fCL~$owIcv13&{|^YO#?vHrbi@ODtP0{F9FJ#Fjw(7=U0Qz&2`Tk z85np}p+0V_^YVj}q^&z>i-X>T&Rj`xD2^`ThQ)_gI9b#l+pjwXp5#$V;hg-&m;Eba zg*GgNo5KzpQcB522HCARazr87_(RU?v{FrF0lv&S^XI$Lc`2<>aOmdBEr8%U7#5+& zBB7^_*X=;C1K85>dO*kPMW(K|_)wpvg;X=QuD=gMl~SsLuX#3J^}xZPC73=gf7KhW zpK0qX(s9!!&^)SIK4p((9?-UaF7;PRaW>E%9#$|?fZLSm2;Go7K z?eboOnBm&CRhoYjQFt)u|7nBn6^^jJ$9jinBbvDZ9AeZJBXZ>$9m~_mGn@~w&Mh8m z*Hv3xS_smPvbp4^W$h8*%;xZ(WJz8EOjh(G$G+CEjab@Q(YM-3=ps#ME9lpobSV|g zR~s-!VtF|Tvp4ou^g)Y%({?ZISDy6nPG2@~^W`%NVV*>EVb+!#hDls_gc&XaJ}-qK z2!lyC1^y!7zMqi^fZrFmH>S(_EU3Uwpuj9rG-2Nc;4?u~Pk~<`w&ma0qJoK5Vxg2D zwlNRiXdN3|&aR~1kqVtm{gPk`};Pf^#a zHggyhwK+490zW`8e-adRCo|Ea^KVt*b`rUv-aYrz+b6*mTZ<=mS-+CSF`Fo4lZr-^ zCd8`bz~KwRl~z>VCn?FJu;$egJcQtlef5}3KdCppfZ1LY_6m_ZZd6`g7tHU^Y>#^< zhlXgu!Xe&$60ieoEkDjB)hRZ_)yAPL4Tf?Nu(28+`phlh+V7ubm{B*8sTiEJ@)7=O6EU5lGFvT!uof-wv1)z1d9J4Cp*q*Oo~EE2>t z=-T=e4!@A0Bp4t*(h@+!J(C!MgJD>>l2ZRx^ezDe-+`^MANR9o&FU4so3pGqi}7N& zXW-vE*iu$T-3p~0PRs1V5ViJJ6|i+uVfrl-=q0)6(Ul^-?i;mdPTg@za68GwGzYc+r?AsTiL;DTU zh2d@`CD8`B&L$xX@q>9^lky5TJY+MwpR%a%hTYbAAI!4>nAFdAOobclo|T?hyXYT~ zt*gsWrz{YFkfR5AUG^Jsxba)cBB18!=o-Y-C6rs(zzFf&gr%a(IuhQoAF4uDAP%!vgC@g?WT z-18oF^<+FP4uzNJk~gI(n_V-aPXbaYniiLra<*c$^fcesyH>zR6pZy1jJcqwt};aF z(qF%APv7sYU<|91s{aW6a@OJ2-4?5i6JEA-cobv*F<{aTa{d`XZ)xAbb;cN~DLqIV z-1wK)_scUVpYx%E@|+IJziDehKJ=l>jXX%fMv_7UOqQ_*gqkKJ*;s|DvujrGzhipu zMgCR9Mq?F9N@GbMXwt#Vg*}*w^vT*9#awt3Gm$=7lD^3QH<1*|p{$r(q2(ZC%hQr91Z`w1#8MIYnZYyNCiPX!Zz2roz*Vk1UNL`P zCVRCTDmL`D_rD2j%LflC-yndMK0`CFjQ zwmz|CNqKJlmVu!!^8ZbgY9gsFub})bgZ4kn@rBgSv|r0G#}|^Cs4(NNLIL|D6JJ|{ z*&}@Po~Q(H3(C+)K9m6VRRRbrw1-*3*Su*$=zXO93QHCdP)MJaNg3t~5ZE8n5{#C$ z)dcJ5wt3hsbDa{vMd)O|KpRQ`>nj25i%vF+uPtZP`vwIr^e3ro%Kn_zHuamV(;sUy z$1m^CUrmrr6BB(CrNm^~*dv0Z$W?j935_RZ{mOIex0e-%`XZmdmR20*N%~Fnvc|w@ zqF)*2wVM2YhTi;@erp1U0!3qBG=ZolNOVzcH2mMh#L)ycnrQ2-#({65)U-@$f-9Q< zV|87O+`VN~9ZeH1n&1*3cyJF8EVysn-QC@S2X}(IZ5)ETy9Rf6cL?sXH+J^>z27RtF!$bblI(zS}50}l}B+f+UD@ZEbF$)7 zLKFQJQ{FGh58Z4^+x9633FkKhKN%ojn$0A@^}oaqP|3356PBRJa3e6(UGs)f)K@B- z{)me@WIH5@F1nGSc3p-LR{q7Ovd#W83-6yE_5fUud^B%S?*OAzJt z`ffeaP$*?|CYm+gUwBVwSW=TH)fS=YgD-@BWz18Yyv6|F3sSl^-lzz9*^% z@vMMkY8MMi8D^M|q{hP3jS@r?6-&PsP##1onqRS>&?V`-ic-STNl#$$nEqJaD@aM{ z=dJqFHKVu85gZ2q>}fQJC4dSCA`F?;v>L=>0l&Q+Zcqtq9rG1`923TGmrZPPPpX3I zedb`+PRfETb2I5IzSylqdt!dR^{~#%8Xt zBtj8JM!nvG*_~AKC5%#>T)BM#2mLaSb$dQqFoUxIb7ae_Y)8ZAN^a*b`;W5Zzn{Gq20 z%C~pn8%!@inyx|biC~Xvi1BRUTQ$PfB8&Meky<;>)~{_;`=clLO}5*POz%x>4KHU1 zG}>%*+9|}=Moxw{2@p;<$|^WNit?#r65Nv-Lz58nMxjRO4{w$qe_W$?3adL0;DOhT zzz2UN-6ObF5$D4>tJEM1mLP5uGTW?PRr`UCATuxXnWLlZk3uP_x(~Uc!sqSt$$Yz0 zH8?8Lvqi~~>SV)_mKvycRAnayhYFF_`b6xewiEav%+@iBYm83`vbyL{tB;E9minkT z-8N0wbY3Bz-zKRExxb=DnT2Kug1-O|ijl&*s0qzheoyvJga$He&CsFN?($I8QGeu# zIdD?TOYSs~3^3JM{jh2H05wDnYFHRsiWpttJN>gkO9CPGZk(Mgr4{FZI1|iS_lKZp$07nYd|pQ2Y@yo6 zpwk?#*B()O+G~+NHLtx}aD1B;uf{zI(l6ckui7bXZBMW18qfFWu-SV-m?pOV=Ebd? zMmSyZKbbr&rB0(kY4RVLSTTtte%WG-Is)?WfFv9hjxH-op*LIwmS_$%8k8%q)qB`V z5@cfbP@x2u3x+6fYlwN$9iQ@=+~1$H)qUvTrI01;Nn-DZxZDcP3)~_Yo_necAeF4*t-(GMX4m7sIEbX-B_P9jZ8)(Pi1$i6n*6J6b1RQ$TdiQQ|B3JN`yY2$0R_4~sJ^VbYDgEu(NpL^(Hqo^)pyN2>^+c)p4 z@^HeC&bDI5F%{s?1_hmHj<@2p4Lf<>&s1K+^ADW{K`T1|&n5ct!&~SM#=jA#)l46= z%XPK<&KW_kSE~CA!yJ{y z{CB$PEwmnZ{Bo&nmB|}4&OBZEaH@7to#f%c56pb7_5Td(J`6%^K=KH9Dl2H~@*ZwzNc<~rNJ<{Q{)0@6Q#v!sTG>J45TsvIu zE|yq-Ba7uD`n41m@6h$)I)8TN9Ql3Vm|av`FqimfTPrYH#c`kEyB2?c0};Zs*~ro zS#+QHnV1wgesdPXHqe8Svx7G6|)m%g>*!n^E&%qYt@&^Z*8B9+p>6|5P%X( zSh+X9Z8x}3Lys$fA1%e3Hx|ETHh&9-xahEs-mY%RACN!pz##ZPaX^C~OZk37J$T{2 z#+_WZ^l9v)Y47;5@Ql<~AaaHCJw&1=W4bC%!VtiJ7prJILU61U$aAWg*IzX-hfX`N z(;2Z1y`j{+6a>Vz)5zW;<=K{YLl1J*6u!3U`8QL72@|LJ8(@QnV|>&s#@fd#y;EZu zX=FcU2=}^6)cL%psHUpS^WXWaq*cHSs`gkm;&7eFmKt_so0SmfCN-r;FOkqL5O|bS z5k1pXB#S(s4tHLq6^;6AqNOg8bjYtRQK?TR-C9+*U+fy$QRncC}6p zA1TlCth2ZTMG6|p?Y^K zw#wK9;NCATDB(u4-^J0BsnLDlO;)+d@>-G>i_CbPOHpnkHC9ven(#-2xHO$r5x%6TfJ*Zj3p(CPb? zmw-NIZ-usu@jAYH<%mQW>pQ$Y*~>T4`9{c3Ljaju!F51b9Lk8g)L398 zHrkJv<;vpGc{|Hj{E#u;o zIB}YfC6D$ou^;%|WcaDM-NDx*GfGiDkAsLp9EiMFHm*(T&-|y?=OgY$yOvo}-V)Ao z7M$be&X?76GEeNMC1GQcZO4ZPk8_}A_^%qPNXk}+D&eG0&$i!KHR}L&=&ODN+}r^D z6a?&#WlUfl_+&P9a)?(0d-S0Do z!g10FI1z4b=`>arO_&oMSDJF4IKMLc`Kwl+y1A-{?A`HOR;FJzyOq2@bV0otgH*OW zh7M$r>(`1_)qmEMB|gAm!XH7mvW^(bpV)}M)fjPv}WY9iqmZkEOk;YtO24_&gTP3o09cRFL4DqwNF4d0z$JV!Mc#Rl! z`7~sNSkvT6yOI8#>`Q;eb;PV3?#I5x)PhvJ6z{tyq~8=WsYAS3%0Sw~$K1;3KX69e zaoyD?iuyu2XhA40DBtuXuzoB5T5p$Z+3>>Z{g!)!)_t&mwpvgZiF#oCSIK!6N17M- z*|scQ-%lK53kVeA#{Uimuhxme-N6lTAh0`f-3=%fX$$@SG%SklxjCod8CTaBcI(H~BQC|iU4vZTSN8jCp{XL` zSdG?zd1Fi~MmpMo&8tes0w@C?64d)GLN9002qQ#+&y^LM&K?b5om9HiCAhBvqK6#M}1-_=p#O@NQ#FFk|LJjaDi3E%ncydWFn zbZ74EWTaTN3V~ZpMo#gg4w}f1sk0An#G5{I-U;a+MBbp|Md*LDcGb)l`bW<|vTlFk zZPB;(^PJ;OY2Ff8XidPoU{e$RE1X@Ib5rYNmhCc`ivBYstE7zvb=;f^fWRwW=tX-P zEv)K`-1E>;(~MGBm$P55d)RDR`8`CpAg3 zjCd_k*vE%RV)cWwOC{i)+ChMU#R@ zFU^{ZZSuINiE9!GOq7lFZVjg4+1cJzXB_H6gqg6YYgT!F^y5I5=|ibV0U=btX8{Zz z+i9h@v|0h!^dn)MrmOeLur>n14e1$wR8DA#4cf9Pcd_|Smt!M9%G*v;2y(1f$+XfF`YJjZZ|3m_p0yzE_nY^u$W)u|{vb8r3QYWOM98Wt;;rQ^=kPqR`%&JI= z48l%#>oEynp6%YTpr(GnUf-S3Cjhqzp7TVR-E*JP4QyeZPmX=5nm_^1HbqKpk?{_6 z3t?R_7anQiYt@{8h&}amzs6KYe(!Q~u1@N%p~Xz}E)cbako<@xX`M(25(uLfy%znK zh&XgS5sRwm&UQYzF@-S&5_}FEIR`mM-eKH~plRqQ>>H~8utTHZ$azgm!g_lo#QMei z#j#KUDE}#Mf-FB^7!~i^zRbUDJQUHN!;0v?f?^}WqQjzT2)*&cmLZh>UrEEjf7vYf zVP|o_$&uhmu+a(736!{=*gpS*i2c8k;+Xzro3cSZONfX;xO#hv1O7o&!u_wLU*6&W z!0r8SwelRUlpv@eEfu5y{U1cm|4RD5q5)rgmyI|AK%s1MHm@^TR0o${QaLz&4NI98 z%IT=^jE@Zoey-kGkT7=Z(_muJgMqOuHK-imJ$4JW2W@6{=;KvyLVZf7dfpQ4)FOVJ z&Fr`fJhJQQTdT^r7$7WU99|=tHIBadhUi394_iGxWz?f?RAtbsThB?Sfe?&%Dm|ME zF36N=(+H8#hh4bM3qu5{I(IUhS8Oj;|b3Dmp_zW$}GtEX{WWC{r!qe4ffj_ zxwJpHBmh0PB{ji`Y{&qbwz}+z(36w15lHEf;HrjhWk}N;_gUN!x4;#5}f4Y30@6IWx;8qHJ zo>A~--QpVJ`G+w{U!>o4kH%lKeJ?or3lU7nkQ~EhDa1%L6R{Io4p3Bb* zEAE!ubim zL5%1t%L(wi_;L6R%czVb`REu86SC;%`>_%Oa~Sk<;0#WtXuIcnzMxtO)u&zGDMN$E zvR`?Y-7q42Gro9`>%MpwmVE?{{KQLp(>-jOvGrX>CUz1ak_uOEzj(kq1N0k*+@iyk z-R*5ip!ta2To=$CKdBr%|{e4=KFn{$zi@`XFOFYKc#{=(I+IE4I z6##RRCSIw?PdrV3up|YPTl523nzzqZW^AbXp+sycUKkz)3*v8vhB-zuwGN%dy;jPJ zu&h{w+%*?ks4Lho7>K&j?DTp(?0(P{{52qP+*cML)2fZH1gOBQ#SsDIH7^n_fhf@j z)D>h)j()1Vmqy*e(Pq$-lavi|91g#Cvk7Z7ppUUfv*&Hts-8A2!zU3nZ90~NiO)Uf z-F76iJBG7a4tj_UhXiC5F{9@VSD+>Abl%N3+V3@e>Mz#rN-pDDuM)?W5YHtU%cz=q zIXlDG7gLiDHaB?!ma5uumZS3u56gt(wd(8Bmo1aC3S>;{Vz+kWZ;H%??Usk)vcm#oSGmQY*%h<8&Y7Tu3-`i0oHs3tadu7s4TE3&gNH zYs9iUE1D%K`Mc;Uh6i01!UFDFMUO(qpY*?o7xs>4yz!uWD7B5>@xt?+ioJ z_DZuPSDrm4-?x234y?^Ax#v|pmW#-1#Ue`D#Ka@~!w$l+&}sA17=ez9pMAsY#TRUY zufqOe(eU9f`0;8m!>(ZQb!1eY&r@<(n;?x5Z+Q@U2|qj`K80Dt=J?xv^?C{3$}@;= zvQld5_)}`ZlkO%D+b6up4N?Z|XgLc>e2fGcxM8g>b-kZDUIrDQ>|5_#+i;00r;q;; z`y^-n_D{*$sJ(PLYpb@Vo*+hc&#yVk0IKC6&bc^@bPv8sk|9Y%50}6q z+8qsI{rBqN*%JCRoZk8s@~-+gm%+gajP7W8BuFbqlef+Ui)$FDOk)wR2`b~b#E3T= zl2?&eu@KSTKyn$z3qU1_YGLH=FOl>_yI_+t&#L(+NNRkP-X+A&r5sK8K&6xdLla&{ zDJYdh^-(&H9#Ij)Ov*ojM&{q=Otps-#3z1tYXxc-+5BL%GM3Y6`T7P<$bDOKbGMF; zr3yY7YOvf}di1siT7o2-I!p^bI#`hSQJ7hyv7;>7<{wb3+$2Op&6)N#Y|mKDh))<< z)*Y#|i;hXu9Z=~k?pQGc=JKLT`SZGo+ZjkkQh+b_ips{?QBw_;6tf@lM{ZAo@rET?a~}2pNFf-PH+QiRzuXIDe62bBws9tXM=|{l*mQ z;mR@>sb>pDNg|dzFp3(t(}g4!SX+8}016@fF53XN2=@J)?H&#(SpB#l3l5-I9i?3eMl0p1R z5fBt@1RETM)=Pw4{?tl|F1S~`_~ajVCRegjLhhRs5H z1}CrXOea^dB-Ppksy|-vjcc0J$~C1!Y7r*StMVTs-#APZQatS>3cE5_JplT$lPYc;yHKz8^_Uj6I1(k11E{qi1^7baEt22U2rO zWaTY_ zn`T{hW>Gip?5Eh^yov-y!?l{{BM;a5A~Wk$Mt0LvZJ2VZ4KZ=RtM_ApS&Kc&!AFoJ z-M^EV>8f{4QuvZ~K|qY)EXqpF+w}l3uzi478+nIF zC0`@M?BE^4wMIIlQlq2|EiHj&lft*1mDKVePjWs`1@5&;`1kxiNr4zvg0-2jr|>7o z2K0maL_){6h+C^ng*|Wd1EZu+2cdLv53bkIzW#Nc8=C}d7pnp5@jBtr0X2q(^X{}v zM(iZ8f>oi___+X_&8;$jxQC{+)ww)y8wiNWdpc;YD!s6CmZ2(=`QUuku2Al{?qi!& z`eStFCEFNwVU_6q0aR*TWq_;slRCAK!{dD*92(b2-Ge#AS?;4Q zHu);c=&nxS9E|`=LNM5XC!LD$&`e9%Kwf9)VC>zl#lr2{(D2fY9 z?6(+~?3|1=Z#_dY0Y5I&YzQ-FQprFn_ZE}N1}~IbJWDqkO_|w^#ps{J)D7ud;GK;7 zw7_FpkqPR__oWs(S?YH?y1mWK`I*bD{RRswyZxulDU)&akEj>OS6DZ9&O+HeH$}rF zK;R&yoB^8jK$EuziQ``rirJ9ZXh{M92f5wTa#+L8|IUDgQPUas&VeZ#SX$o zWD)^06iVZ9AcGPK-DpZl54Z!fN;hxva*x`&hMQxzt&T=xPkOyL+OqxXoKh)h!iwU= zM64B^Ap%e=>QiAQ9}Z59%_)gJSPlbgDpiU5j2B0j#DeN&=ZBnD|6%B_IO)=xLus%AD7{bXX50?8>;`a0U+>u)~0u`xaDV;)0=c`xvU~z0>gCEj1H&_~RoJL`@8Vt$o zu+8N*C&L`ep#19KLsF~HZM-E%fW!Uhca1|Q?N4maYhO!vm;%|*YNOwL5}LBaXOGPZ z9!!PR#y%=y+vv{#5oT}EfL!pLTc$&{)Qu@~jXq8do)OFwR)rHITEA%^_V$aWI@@vY zwo1CjLwXt)9`=E_JfafdS-Ce=5~4yglfu9uD$e=(xUMt0Uq!RFa+s_=f+Ske58zl> zXT{@H@+$uT^H@(Z>&i7ZUmSs6-=SFx$Z6l)1^w*hYEK4%dq*PMs$VNr^ND0th>H=!*gJOKqGrYSujOdc;53 z?i}qW8CGheTU+KIxp2gyewp-arT|dO@v9@7FQSW%?5%+}t)wwx=mhahFRg~2iAvR~ zZq`mn_8Jj=*0MDDU5L^|UooUwsYXjuCU70;o#dGho3q;cY7H-2BzJba(QgJo>@wI? z`?~=#Z2T7nSkG^Q`JTu=B2eyY{mMz4+;1ggQsF7*pj~^F{+$}ZcP016N;Zb)_kHdn zlT@ZmC*Gx1gHRAUbS_J%1gE}=wZh9f?x>QN0@B^pOktLB_zc;d65(8qbomF9)SnR^ ztFZSjs3$87SH=-nPYE2mLqmgik^(782YrrJ;#!B5UirrZoMQ4?&Q&az4YnafHFmAp z6WKJ*7x4tix8tuSnVw@yv2_ zZvlt7$1nEQ>+A+99uZ$68+K&;@j^Kw@;inz&E!FLM?JS*DLbtafgxB%#H+2D7O(K5 z9w$Kv>m*3;Sf^<;vP#~pt)`)D=7v&`gZYqxQo?8Ujwf-y>n*8_qfU(rIbJ+ufshvF zt;&w)lF)9Vt=gxDJYi&4d?2lzS(?w zx9;2KK3wD24N_HT2hZD4=n{(0mi*yba=y=Ya`Gf7G-oHu+5HuYjR;5gXDZrSQj`zY z-Imim6h_DOL!GU3&y^nfsL})aPs)IpUleJQO7TqO27h3cW=|-0O@;R(hjHVX@`#h2 zh32h%5b=(XAvU5PM1F*2vI{1!f?UD2Bjv{J2kkxz7*vRn9-Zv9==fdxjdr!(Wz==v zKQi}$)fG)*{^~bGj;`D!6HYp193dCCq^WEbSeaSIXoN0wx{aZM+c_y(nUp)LG88{(ba3Zm1JId(I5orN-0)}t;cO*2&>nm{_N ztz-&U3D>pW%mm^)h$j(5&xp@+lG}-{hCQJ8!G$+5{p(|~g>vL|p&um;9wz;xG05%u zxy`J{X^&DSzZD%ak%v%q0>T?uY&wo5H8hhb{;M;RJ)an!W=`*Mt;-;FUb(Bw2 zey)KWxsQ@s(0JJoliYCVFFEZ9)=eButQ4dtIg|4`IdQ03-Q`aTewpaBRpUBp7DUU5 zm4NJb@pvHpVO;l!ZsSw&k)~UDw#a_vTs|AWU;X0)h!=QNcN$?~e|y(~wH4uBwbk}w zE}hNG*o55#xL<9%<|qz=4(OW_={cS}mpRg$yKCRxzr^clU40Hr?Dm>w_GqY7KO`xv zFSHt+AQ7|uEVRa?b}G7q{*mt{k1bFF=1Nz#@n-+(6Uw#+RT#UU-+fYTiu8Cx{}d!u zR2RLHQe7^h>geIUVL`j--Ix9`&rk+*9}+=JnoPTgtIO zyl;9@4vinV?;Gx0#NBQ8+!R4T^Gg8LSOK$&wa;qi{Zi_OY&YMzd#iqhuHElntG1kN z+3a7jkEw?=8Ng|2*K>rg4%)lL+z)*;IX<4K=b>{L{}j3iDH2jB@LsGSr|7tt`?T7M z+wYZl372*04Y@|>Us5=9_9dMiA^PQ3i`L#4Ag)^=Y?Wu>1^G?>HOZY6Sl zXu(6a zp8~KWBnnTjQsk7`T=VL%NqH;Uqo9pdMnQM$AYoVe6ey!3=5A^t;H693D&4|{6AN1z z>7`nFNT$WG3g#E$kA=5ih0P~Crk;)cj~iS_$dOJrP5t8Eo+KTh9+|NfTi%1A@kd+YsSDr0vKiJlKN+hSj*R<+PYCy^Ol z0K~JD8b%@mi1(G&$aZj4ye$r32dvgQb920|kWu(?|8z`%X}65eAot@2LHS~^zFx9M{9%kX)HT?@ z8A|oipr68(fW1AACtq~MaP+?J=^oSc0hAoQ83N87JRwTUKIIgTph6*MuIQlK#wW&5 z{+KxGW|xH%o7+wKyWc&U^$((XRvX#5+`wK3bS{+4#fJlD%ELym&2iDiXN(u35H{s-#w4A)H!- zoAkhmF(-o&X-5Q^V>4%!0X#jJ#DO>ad%1Raw~!Sz+TWN@6E%I--CdV*Znl(!_x8lp zHKa4CkxbA_QP=m~f8Z_Hk>=|b1e-|D8_O2(NO(*e6|_mZerwFD2*SZ4$d|s+>KNGj zWMe_kIW&N`Se%k3Q60%zA#m}HO7%y9)%>aVOaDS}Y&2INcv*2%$iU5c^p2xXTuZ~I z!yR|2X2vO@nmqrY|2n*i2*3GVw!4mT^)#=-x!$1xa#n0~sRZOQ$UR2&$i(DCX+ygzO*T?y zeEW|R#(kSN{VbcO>)|u+V8b}jU3#Rgg)?5I$X!%}oqY4Ri9`-&DA9&bWZh(O%D?pk z>9!13DikM8NhP@gvMClo%VDa(Q;h2d)H;a+h02Zn<1HZ9C{Ip%e#LDqx|!bOhSH*# zR&jYk3x&Fj^c7>3QE@htV?i6sLhIIOG97$8eA~otZnmjgr{t(EXPZIlK+Ci-SbO|x z30Hy3)Na+wmTluXVNh$^4}0;&d5<^?8zf2(P}Z|2yrWRa)q~ zRsi*K`ot9s^5c&-)pL?>m{BV%VbJyJpWzQTm0f;ZkE301>+<|P_7>8SQT6IUXuUqc z6z%Y!{(DSMR4HbFRdG% zKicG^AZxGaI7h)En>o5}Ns3A$Ena~lhetYf^KfpsI9`Fs?2Y(-lPd>2&uj9xlXXh_ z>!^N?r^P2C+L+@z)}kHS46}i6fnU?tIMYoe(%nZ|M^I~wtXyWDhv^Xu-)?}=zHAOF5E3lf3&Y#&?ER!EFu)PjUS>BrOZ)A@n;b;m+6 z3mVQ=KdW(^f>c zn4^>p-wy9NcEvtcIOzJVyLG$7iNC!=%lCF|HC_CWRO6bJ%iY=h%G`DVGZ`jyK`)Sx z6W;y;dDE6;zFs%CaQsVCwPfgzE273=jw!rk)FVh5$C7Gr(v@MmE)CZzm+$>e1}q0n zx7K-o9hM$bRBVCJZDCEhN3Z#Tr#1@hlCv{uj^8-4+UZOdK8EhZ6#OYs^|f{OL(j=! z!uCWE2D)U1GkY`AjVRdhixb45hjS7v-jknSm?a}DLItqc!hsk^|oE!Z57p5(g zEQgWE!j636x1R?aZYMgUUbDk`23?O?7BU`7`=FzXEK51B_|(llgY)mUN7Trjk}86l zhfQ`e_YeP_+UXBNmamY>*_cRdf%)afF?%+D7u{|dnSuT4qv7*{JSq)0XLVR_eY2<< z3Sjk0HDmii`^5WWAq2+c`lZv`LUqmpO5(b2K+ldM{Dm+8nz~{&9D6MCO$V+f zK{v8C$szltr>9n&MZ93*bxMRQjpTch-app{* zkF9K}hll2OHvzmeF)^MyW|HISp~0lQz7i6!X7ni*34vqQt_ekzinne5eO+vHi~d9H z_1dZ(2NFGWA9SQd!`jmx_BjWDWBsvc>z_MvTI8>5(U)ie9J@RNLm|%>-Dzp(%S1sQ z9xgSkyS7UZ&5Uppe42C`#5V-fPVFc#Fmy658`?eO(`hMiSIW6+=WQE&<8X4$B=KJ~ z;*y~jaJM%GdmXk)ho{^C)V+=~6BFqbJ8~khrt4OQFDvVuk#6x*1Nv*1x9#|sw@@Ww zoWc3mnjA-c)K|meY`#o}X*3N~ThW;xdvhn_d`t@b?Q%(>fM}21?_iS*8Z@8Pup_9K zFCMHXpd`pydh9=KLxTp9vqxP8X`=ts++BZaXfVoA-(DL`GU%~VO!C-y%<0$(x#gl5 zGF*LY{%wPCKHnPF*qlCBp|hDoMd7iV&!jRkJTlKwzoBKv*k|T%&(l06;U*XKN)=5~ z8|ZPQUl&!1vdx0@V!L5y7zW`y?y6V}9oFxhQ04C@OnQz}fAnO_NGiF(DDgg^z5MJ# zl4o;6mi~q1>N6cF7v%XB#wSM^Cj{{$2kNKq4|3Cyhi8JF`17}rg@n|BU2dPi#6ufo zvop?wZjjmTmX9qP^^Nkr8;OaE!ell2aIGoF5=%}D&_b2l&u;qhd!0nmEr4hcv@s+O z@nLKm#)YvDI%4}usgLpjmwT<;;Fs7QZF9>Sq*SH*wyGW^OZVx=;Y)znzLh@6L;K(kavc*Nf)HB{|yI>$t5gRPbh9 z;@gb=(XG60_w#b9$Ks{qdhwAXTBi@3tc8>B$;uH4%D(rQe9SxXfj6OB4qb7xW2|SE z08c(Nz;)4AK;cUd?TIVEm;66ZKk@Uuf?px2;}kkw*TDhnnpB!hQzgE*@mmz#?OH51 z?FxwhGy)gE<>M_;c+WJ^Rbk3)Psb8wJbBo z^ZC-zV6ZqR%|d_?yad`K1}}B;17(ipnpvLBibNsc}k zkLGxJ^^uq6XdsfF&E~WOy!1I*QATN6ZQJ0* zHu}r|vVhw6)3+{Z=`ihkLz3GkQe8)t$__NtZwHNWb~+cem7K%vyFcso9e+L-OW*6e z{N__QS1eoV>U5A_GqHxYuqxw%MguRVYCp-zR(5!xB&okNjo#d(3^l5k>#MNZqXyAc zW3g;$9IzH|K;?|HLbaa~31tfjWka-E!(L$+#O}oVLcTw}-PEEVKmz$RoRE>l=OkkB zbIB{K(x#gTblv2}=nU@3lJ=Mxwm#+0f=jt!d>?ZYq1L@Imbz4WEl{;r)5vVH=l(gl zCHkB*p~#5fr_n&a8B=E;&8^_uTnCbT74?-%*{a%4Oo;Drj@a@xb|2f?wnoVr^#jUh zlk5kjuV;vrze|nCOj@5Ze@$WgYK*WNmh_pnXCz+|aSoa453rx`;9UV0ue<$a)a+%e z($>ubgI7V(7(CIpeh1ljd?1hN?0i@yput>6x?tK_nRv-9UXs>*Zm0ixUpbII*}XN) zWUlR-xJL!DH1oTDP*ASROm804BIe|U?l)Eo+a}~3Qr_xFC}3y@rKfmG>l=2;-W=Me z1(ir)l-t`K?W1^P@rQ7}FsQyVz3F`^bOoKmy`@mr)`6=tkQR+#icmwioQQz>N zUnt4^W{TKM))>E-cs^M#D7ON=r|d#y%y9&7UOa6e9=Nk4|5Z|pnObG){G(B0;j(!@ zLvT@pW-1;U(_3=v1Z$|O24ccw)_b&I2O6`;x6{;$Mvx6)&#>UPm}K7D@xx(VCxoCn6#OYvly# zjSCQz1oQpT2E**X$R&M1-;IuxCcz@{_7A)xFVrAI4+{UAh)4+z=KCy|Kio(=|Miz} zYd9$`W`Uw^cN)9b`7vnnnA_{}GP6_RojUE-r+#tV`?k`4!+gTbnV+>2&LD9;i&*A_ zMB2IGF10b~9-(y)J`lr0+wyz?-61zrGw%{tXVh)~Dc=^LQ{?c??Abn`*?k>jiIriX zJ={DEXS$%M%`%0S6;mF2LX`EmKK#KL%l3m0*H+_tb^8O#-@lWQFV%G!mbkOOQjCi# zvYF`3iqdKOij*&;Y_e>!0~k{th;w*H>lX?;f97~Z-9w%Bb?92g^&B2N)lD@|Gh%@{ zsjB+!5-siX_7T!SMlQ!6a!?MpZhl0blPo#zloac^Wm@^fFu5EFD$;Mj3uFM2b)qmRX&6PhB>4VgIC*3CrV$H3RfHzM1(X>5sQezU(yXQ)l`#YaUN zQZpYfHjghc-U6~|SAX*Cl2J<5VXR7dN`lpYit+sJN8hI&R*1`~DOQMHsu}aK=6zV= z_sd15$Hm##4GJF8&(og|V+Y-K!r||86pz@(cy#S;Srqc~zGRp!5_HWiAdV7+&zbL) z?_dvUP{hcjIjeY?y$m5#KN1$hS4tB9`uLibd;o^0yMI_KMBVafbCsTosn7U}hrZzs z?0ZEi&igiBTnT*^Lgy3iRuA{^g(1*yf=8OogITQI=2XDuQP94L=i@<^``|M@>>Zu& zl-rcz=#>QWy_7@sXwSNc`=U6QoDhinm$#A?Jo$e?4Sll}u>i4s2!Uk+Lu>}nD#O^Xl!|EnA zZ|v~l(;Rb_>_q)vP_yN;<(8NeHI|zZX$&qGn}hVl zE}V7yRwK#GslJ9kJHKq0_Kx|K37lMQDsI&Hd|^o2is@d4qY=Np^;Y zFcMLqme8jsrLApYKxWVNviN(R+=eKfzA0%7?r)7rbcak`&Ci9ox`E)YtOnS0(wnw~ z1evSvU}Giaq;YSyg>Np7;Vq)NnphV$$R8R!J<&8^m>DRcbYW{Oi`RvITqxep9qS9uD`^FJk z5b!!KNY{UjBZSY9im3u&CxvUYvddZS3wkFNT6e193m1l>7j=uZ_p}B}r&hr8j_1!u=S0W6<%NO8tC|e3@B+Lx z3VUlCw&AC`(F^VmF0N6dO{bCJU3vEQ^cD^os1mR8_+PD-n;Hna>yfgKSa`TLlu!xp z$JzJ-cG(-S(=Zjx%bBvr^M`xfm9^!6)-U~tNhA?7kQ&Os4!_>T2r}hr$tYXj`cSxm zlsVv&da3kMxDmANmbp1I@Z+9ii7TZOU&*cAM1#ZFjr$=q#>_M)r^k7!N%uu~z7h^` z{iE8&RZ?GGT#9G;RIyC}OG^GDb1$@Wfrx>;0;8E#Y@LUBIPa|U1Jhqz{trXT%s_HC z)zKOJR?ZFqZi8Ig!>DvvaEeH69?0&`*`W z&o=4|y^ai-AUn8&6y!P_%ofBJF{Wy8zFrpgOAX&@1z| z_ieNL3|2Kv!aLHBB5q3w@<3^VTWa}dyVyC#>fHu`>qlKZA(?S6vI5Dw?L(@3RyM8V zQ5>XdPE|z#HA(ic1g1s{Cs_RMDk+y%!M>c<_1u}s97}-D#~-NVa}x)DIqVWp&%*_V zT3cV&eywZp-j4rp=K$TnT@#plC9;WMP2qLYxRIh1{Pc$+W2nQLo?7OgZjXZd*g5+f zSABYkL83aQ3Ck>v>I42Q3g0;)lcU!{FH$I@jN)d(ouka{9&!>V52E_1HQY58Az5}H zuQ}twE^Uj1ClX-zje}3L%1?$Y8$)5?7PL!iYP>rP3y2qhwKFG%##RU~7Pn8LT%(3i zDAxCjDGH2Z+GARG!!qogARTAzI01Gby6_6%Kh&j^GZL4b@Lw9;W92(Ku8>HH&9)F9 z^cYlYQutp~JvRd`mAA;OY&FhS6l7L7Td7gM3@h$e>3jG*pt4JQowS%A3oa4yl>VRO zRa>OrQv^vn^0%)E3v$DJjR%q+YS-%Sb2xC-r((2o>oLCWogvqa_pShGw0dS{77tO| z{T^h^WG!5%7q;E3y*tW!OKk4s`Bn9^9jm=y#fA^hdd_8D}0*->-@^(%Po9e>s8eRbxP(RZEd6@mDtF`{s=~VNrt_ zPMacc&!{J%7gFC;etVUhuO5jVQk%vuiaCd0UrGN<-#Lq|q~HG^l0UjLTS5MRQKUCZ z)?qs_Zs^Q5{G!B$Mfhp)li)^jrr-U7OtIRu7m=qr>}$OUQ&}!2w~K-O zBUy1D=1&wbBZs!o{RH+MR_I%2VD`!M&^MaybP?od&vpeowf^obd7YcDZxc?7DIMW{ ztUd$X=Qn9j&3XXL_XxiUqEpXlyX(G|M!NUkdaZHq(^dMo?@dG9<0&0x1g#Au#dB7t zOAcE#*N((wtkRQy;|mEHXwR-=9k;mWKHC%z*8_y}hR;viQySWqxU27@7)w6Mx)703*Nlg`EP#r@hruU}k=YqekeMmNj5csjLhCw9Gt@y9>JO z9czPRjiz_h%gI}XZT}xtoe4A) zTpNHzl4J{mLHr>V6Jo5{vhOKlUy{KH6S9s$RMtimLY5h1-^N;I8cB#r#28CS80&=W z#`;g+`M>l1-+9it_q^{t=bpR0@4fe&_uM;-ZS()tbq3dmtpsAfi9|Syhs3+TR1bME zyWs%Y!w+HUo=TIYIrmej_mkHW!MM%%fzt-<3iUK695Zt93EuJnadq}#4PEz>^Ccj=9~BKrq~og^N6c9`QPZV zIu66u)t7DO^$gd<>+L0s1M|QZAjx2hYvS2ypFg>H9iN^MYbzbO{UR#;e>`R}S{LPG zddPBhU8QpvA~S0;|J$@OEs>`=ct-@^bb37}FGw zftl5E)JC4scSoD23G}h)vJQT>wIU-S^TkYKb-SkMP^@CvR>2fWa8trzFznA z>Ry3cs^}#xfB2zxn84NVb0aSnERw_+BHwRMJR zfH9R`IONcH7yDyo;J(d(qj1I1oUFv2r&@w&9Fep0#ydB!TuLVWLzb2YFHz^YeTK8I zgqv|;cimRZI!&DKvi?Yh+|iz2$780C-$8j4i$)ZtSzp@s3xKIk7@2aK(|-s;q%rSv zGD|^fTTcti6o!$NW#UVehW-x(jH%J@IJ)qjtwWQ|5@GmUvgbLpGvPsq&5qIP-)tJ> z|BQcoUC`d)AHtnH^Pvs@&-&A^%t|THQ;6#$&p#Cs|HV#CeBQJD&$FwaCezx)qYaNz z4n%0`>!FlYE?h+0nqV@}PDpqzsVSiigt(cgbub@hPCj~0{wV#Dvx$2YCwk`${s#OOAK)d z{LkA|C$n@m6q_Xf%q9MhQ~Y*_mhSy8^5i(&NC~n%e|c0g#o3F7hof4n28@D#092njuqcy zAav&?(Ev^>f>Z8&hi)zOUnakFdL~RoUIl{V0AQ@x!n|~S7Aus$$Jq76OTa4FPBbqRxr(Wrw00Hb7D_!HW@5j z=+GOJ!ZSxLg3$+=3?O7y;Y8_FG{XcWLt|1*yI}{i7g4F(=8^%rNpNgTZqa|Y<8Wpn zTG(M|iZ6bhZON*6U@(<@RrOp1!I0_`5@ACVnpRk|pPT(T(cT!hYqlp7=6yw4T$4@u z0`4*{QA$Chr~L37&1=juBU(vt=WL00vMWfFr(k(en!N3d1OS|8%v%AGuE(;=J=ddeJKuJfGin0>}arMu$tu-1T##I;Gs|f%pD}0aPzwyoaK**6{OO zXaBXA!sy-XX|LOR_K&>TX~@H`_Mw+PzzFOyhoG!6p}hJL{-F39V#9+1HS|rgt48 zbq%_NRz+K%$MEK8c-S9UTVT2R)bh&zWS-}B0DXE5NT4|^B5145GK;$)vHzo&5t){0 z;KN6N-mbqqmhRiNdlz41J?Ql}`zwhh^(X!zM>qSZs`OXMzwlh;kmzIeipnD9*{%0e z3VO6&L|;5MJIX##_%o)BnH57{5vVHGUYbF&FUr~O6Kyvc_16RiJnTAwnT0YznGn_a z+ru7F1B6zy_@ihM2SOZ@od#S7Q+zJXm1Vg%mkg=q@!%47uw%8k#?L)Y!y@-ik9)+t zRzEO{mv!VesWz2L84UscMz}w0F zVCV;zLAw@z+K%um>N=o!=UdxExsqzHr;2JyPhzhBfL;){C6xZws?m7^S3^KQ<>Zc| zMcuQm(2g^B@w`0}HCtw}Jf;YTfvjXZvVh;I*~=D$XK@q2R850C&4)l?BrSU7E3 zCcJD{0~Tkh7H(_5QmB+UqxgBJJh}Ht$tOmVO-$7j7lypICZu+1cfYJJKGW`DUyr^B zVtfa{MXrv>?O?f6!#%UHbZ^8G&ruIW`i|8B9)zhw1jBE+)}R-@UeCA0l#ZH^z655$ zbW^=XWOW`SNxmo^HpS(Gg8h^N=e1w4tH1`M1Z$4ELe65LjKz?p%K-@s81#qCozpcL z$FbGMH)qRe`PHF^%2SSC_KzA&{O5oQ?Dtm2qkoUrgR=L`9ONw`%?{seux?rLvk@+) zj(W?xJ&pQ(B>0qJ2ZfDb>8s!F9rqNC2C@I*oza1&rE-&Pw7Qs|lEOYz?Y87UB9PJX`{%U-*|<29@Z*v8>Cn zV^&S?lZlHaCpH@*I8WchHf1$T4|Hh2Z^6trZf40uUf#}2lQ2D{lEbKyY0Md(s$dq{ zOSv*;`t^l_Zb~Z2zP6j$`g4Ez!TLv_P@n9%U=!uQ%^P=Ugzv{YP1)Kb$~k>k=G&bZ M!3Aslf0pZi0sZTdb^rhX diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info index d0885e9..6154700 100755 --- a/cpld/db/GR8RAM.db_info +++ b/cpld/db/GR8RAM.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Version_Index = 302049280 -Creation_Time = Sun Apr 18 03:04:53 2021 +Creation_Time = Sun Apr 18 06:17:35 2021 diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg index bfbad3b..6bd934d 100755 --- a/cpld/db/GR8RAM.fit.qmsg +++ b/cpld/db/GR8RAM.fit.qmsg @@ -1,39 +1,39 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1618739749924 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618739749924 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739749974 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618739749974 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618739750014 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618739750024 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618739750114 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618739750114 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618739750204 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618739750204 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618739750204 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739750214 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618739750214 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739750214 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739750224 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618739750224 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin64/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin64/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 0 { 0 ""} 0 367 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618739750224 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618739750234 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618739750264 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618739750264 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618739750264 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618739750264 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739750314 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618739750384 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739750554 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618739750564 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618739751074 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751074 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618739751104 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "32 " "Router estimated average interconnect usage is 32% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "32 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618739751304 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618739751304 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751664 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.22 " "Total time spent on timing analysis during the Fitter is 0.22 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618739751674 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618739751674 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618739751714 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618739751754 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "545 " "Peak virtual memory: 545 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:51 2021 " "Processing ended: Sun Apr 18 05:55:51 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739751784 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618739751784 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618741628453 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618741628468 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741628671 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741628671 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618741628984 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618741629015 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741629343 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618741629343 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618741629531 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618741629531 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618741629562 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618741629562 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741629562 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618741629562 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741629562 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741629578 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741629578 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741629625 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741629625 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 368 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741629625 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618741629640 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618741629703 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618741629781 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618741629781 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618741629781 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618741629781 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741629828 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618741630000 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741630359 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618741630375 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618741632140 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741632140 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618741632203 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "33 " "Router estimated average interconnect usage is 33% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "33 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618741632718 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618741632718 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741633390 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.75 " "Total time spent on timing analysis during the Fitter is 0.75 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618741633406 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741633406 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618741633453 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618741633843 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "382 " "Peak virtual memory: 382 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741634140 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:14 2021 " "Processing ended: Sun Apr 18 06:27:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741634140 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741634140 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741634140 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618741634140 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info index 3894490..a69869f 100755 --- a/cpld/db/GR8RAM.hier_info +++ b/cpld/db/GR8RAM.hier_info @@ -125,7 +125,7 @@ nDEVSEL => comb.IN1 nIOSTRB => comb.IN1 nIOSTRB => always5.IN1 RA[0] => DQML.DATAA -RA[0] => Equal6.IN3 +RA[0] => Equal8.IN3 RA[0] => Equal9.IN1 RA[0] => Equal10.IN3 RA[0] => Equal11.IN0 @@ -133,21 +133,21 @@ RA[0] => Equal12.IN3 RA[0] => Equal13.IN10 RA[0] => DQMH.DATAA RA[1] => SA.DATAA -RA[1] => Equal6.IN2 +RA[1] => Equal8.IN2 RA[1] => Equal9.IN0 RA[1] => Equal10.IN0 RA[1] => Equal11.IN3 RA[1] => Equal12.IN2 RA[1] => Equal13.IN9 RA[2] => SA.DATAA -RA[2] => Equal6.IN1 +RA[2] => Equal8.IN1 RA[2] => Equal9.IN3 RA[2] => Equal10.IN2 RA[2] => Equal11.IN2 RA[2] => Equal12.IN1 RA[2] => Equal13.IN8 RA[3] => SA.DATAA -RA[3] => Equal6.IN0 +RA[3] => Equal8.IN0 RA[3] => Equal9.IN2 RA[3] => Equal10.IN1 RA[3] => Equal11.IN1 @@ -163,21 +163,23 @@ RA[7] => comb.IN1 RA[7] => SA.DATAA RA[7] => Equal13.IN3 RA[8] => SA.DATAA -RA[8] => Equal8.IN3 +RA[8] => Equal7.IN3 RA[8] => Equal13.IN2 RA[9] => SA.DATAA -RA[9] => Equal8.IN2 +RA[9] => Equal7.IN2 RA[9] => Equal13.IN1 RA[10] => SA.DATAA -RA[10] => Equal8.IN1 +RA[10] => Equal7.IN1 RA[10] => Equal13.IN0 +RA[11] => comb.IN1 RA[11] => SA.DATAA -RA[11] => Equal8.IN0 -RA[12] => Equal7.IN3 -RA[13] => Equal7.IN2 -RA[14] => Equal7.IN1 -RA[15] => Equal7.IN0 -nWE => ROMSpecRD.IN1 +RA[11] => comb.IN1 +RA[11] => Equal7.IN0 +RA[12] => Equal6.IN3 +RA[13] => Equal6.IN2 +RA[14] => Equal6.IN1 +RA[15] => Equal6.IN0 +nWE => comb.IN1 nWE => comb.IN1 nWE => nWEr.DATAIN RD[0] <> RD[0] diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 84ea20bfa2c75a21969383a733ced560b1b9871d..0b0d4e50ed8235de14b7eeec32067403cc85a898 100755 GIT binary patch literal 439 zcmV;o0Z9I=4*>uG0001ZoNZCjZksR^eYdoKu+*3BA=H=#*dxmbVIsH#!X7PRE{Qb) zoqak4FS&s3{_s zD^Job*A@9@J_%YZaN28gIFfr+sAnzKA3MBQjEvuuH}Sb6-+YfQw1kf>*Tfx$rkDLQ z3KBQ*w)(s4TU8tYmHXEjGd`0qhG?oA$Q`cRgA}}d8VAQO$lGO%>k6LuD;C6I7S4nJ z)up27fOpVzI;As=O6{No@JiNz4$4)<$v7j+bnT*Y9r^%;LmXi{m8hz+aw@v~N*zG1 z?vzarswwJsVLccRM?Ej%YcFQIj7Q-%%%;d^SANQN$;#*H#!JFD_`qEIopE-Bhe6B| zpXYuOMl5(!T(LOKlHDpxr@#KEC)kU%6~-PfewKugw}P0(H;d?!OpzTW=v9g1xU3iS hI$@_ms8;9rXMjcknl@OD@wTU@8hSvV{sB$l(%}6|)64(> literal 438 zcmV;n0ZIO>4*>uG0001ZoNZCTZlf?1yj$9Tu++=$0cs$j?vbX1up+n%gdQzoUJ`2w zbPYtR+Wq%6kZmg^0%VwZkC`{`S6`^EZ*dr6cV;}u4&|U)+0@u_Ok!I2p_f&OZO6vM zy|wIHn^+D`L7k)k{8WhF*uv>g{0v*{m$K8HXg)*Kb#26!%g-ksZ~eB+`EW#Vg33nZ zeC0{H=DH$ZO(#KyfzwW#!;##oLcMCS?$Bbx7#P1Uui{fjzIu$#w1lrMm&6T*x|7{2 z3KE)lYyI7JjVktl%I*7vncv9|Q`EaF$PKQVy%fB883xC1$lGL$>k6Lu3l_v-7EXiz z)up0nfwxe%I;9f~N=>f>@SUsx?G;tU(L5obl-}J@hYF|I*)DN_S}+steYq>|1)+%Q zPFZ)L>Z1A-)`RhI(DNd`^kTNjcoeR~Y>a$z<)>_uEPS4>yd;c+FU+Oi31=607{n~` zdG04+#DWjS1&h-x*(|bj{Of;uguPf>VeIMbXHE$DD2Q2nwaA{6F|vaMy()1Smi30- gN9k diff --git a/cpld/db/GR8RAM.ipinfo b/cpld/db/GR8RAM.ipinfo index fa2304dd52e67aba538ff1d8d0aba1434af3953a..6ff9cf3b07faba4bd9cf4474e826bcaf9509ce4b 100755 GIT binary patch delta 11 ScmdnUxRG%}2cy8mP7MGULj%wN delta 11 ScmdnUxRG%}2V=m*P7MGVLj({2 diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb index adf85893697a16a10def32b9c3988ffe5b83d41d..f46ce48737afd49e50bf75f2fe0eadf783b87681 100755 GIT binary patch delta 80 zcmbQsJePTcE+eDBWIaY*rw(^b delta 80 zcmV-W0I&a@1Dyk~Edc>glP&=*LP!9~nv*5vAQ2%TMreVmWn^S9U}RtjW3%)HQVfD9 mYW$tNT%94tF##o57&w4xCfz*B1LQDpLzO}lF#-Sp0RR6|I~+>@ diff --git a/cpld/db/GR8RAM.map.cdb b/cpld/db/GR8RAM.map.cdb index 55cc1fe3bb3b558c940d7766c4c35d75110bcf05..cb8354c9fc7d6ecd3696f0dc7331785a6bf80bdb 100755 GIT binary patch literal 21014 zcmeFX=T{R>v;`_y0BHi!OX#SSh)8czl%^C>dPkackP;w?(h(&z2`EKG+K=8lp@)u0 zuOajvY61kpi}!wd>-_=m%T3nGtd&V7Gv}PW&pCTScjLy55=s){t1t1XN*s+Ge0*Iz zJ-Eds#2$-@bF2EfxV__kBJqU#u@q4J3Gm5daS3ii2OobIdk1cPTYFb-ac)CxZes^K z?&t4ZJ`le-W^|Ld%#9lndjB)rSh@ATBh%~u9Ro>rGsv0+o`1VWDNuw`=+iMe++ozG z`gm*Q@5f)orKET7mWn<48A|e|gDurS#dHDjnfr5r%`>{&zVDb{7E|26XOQstGs`nN z#(S)(xNC6J&U~;{fN{XkJbs@_;%(NJIk*T4?kLmE_>TrNJV<;r7Zw)gX18X1kDRNP zkSyU8)*1IIs)fF9dOqhbcL38z70-ID%@`U6RA3AZ)v049?yGZrHQqrU4Y7#bMJ6BSNax^zA|6v9j>j}`G z%ygyul?dupSd~egmnpYMmd)bWkVUR>z>(&@&1)9ptNa_E(}jIXQ!nd#8=Q~QH!R@3 zmcxES-f&-oq@;f{y$yCpE4&-O`g}A0&B#tkA}xoXzta6T!@Kdh4;GsqD>wrBH?w9j z#StLOEGgUfZzf$>P+81J?7PCozZv4PzVrY0ga2pJ{|lP`KVb1+!H-8=*%^qD!NK{_ zT6g0oO$Zr@D*c9H7Kb?_q3c)Rgt|eMxKH2s?ueO~echpc!7-P!8o}tdFXLmD>hz|M za;=t?ZU3pHqBCO5rfjx?4@zxf=H&R{XnbPLN&tOF{o$I=2SxV~C)C}*S`)2NGp&Qy zp(!1|#bA?&-q%v^mV_Ze*7(B{dK54%71Q(IFYcDdpjKY(YmWi?{$uFuUT zzq1O`{LR^FZ&Nd!$l0=x7Bu#=250uQnuTvDD7>>Xt?c?7lP4`>kEH9=wRmTQ9LeDr zbZya};R^w9r^2pg?Y+2nt4nOYyT-Jdf<3C2cN&LnFv3H=IgHkD|5bFu*f!qfN$X#{ z1#gF-!xOW^q>0*Zea3k9H^u$(P*UqUFG#>R$1p3uw^S&*DCt@v z7rg)Lp+q`st!)2@p;#YP=9uY^l0L_>m$LF2V-^~QQ)7`01N4(Cfzl1gRM$)-q2*!PAl4Po`7k-`B9pZ)E9lLa;O$1Dh+>LBis*>YB`RMyZ}=pJoIy$!$ElK(T4*0GYoY6V*ZJr%=A{mzW`(kuz$tLfB`$VlH|DPp|S#rvo8*CZF$&v)cmIjID~%4{|oXNc_jA-nkgu z9dpCsoyneq{~k``W~Uv@hAA;9+C;-YPJ%6uDZBb}WZ`pf`a$p58~EXd)~}dT`q;?q zsa?+RT-4Ug^BSFr@$C8{2BY)b)DAS_7LR{^jy!fLiJr!fx~Q{I_>t}g3wWQg^4UeV z@tVrAyi**#@l?hj@0&R?o_~ZE6Zu%Aw&meha*oGO+)z`y_{10C2+=XMTl#ukGQ7(n za6s)|FYS8$X(JeEi(02Bzk161B0BnIc!8s!iGjMw({aA`R&#z6#SJ>~IF5>a_iqiW z(LXTBDSS`pmMLb7H^AoBw(6yXJE!+YhClyIcFXA^O5P%RBDThb zWCy;|*55QOefe81Y|FQ$q%o!->9G~>pv3ML4{1TWw-?7wbnaA6{dcAODQg4u^2gJf z{W^vxqiVPQcr$qSsC@I`wZq{28AvL*7$;^HvRu?U71WGq=}x1Uk`1DI&h?&YGt$jL z@_$6jQLJ#XQ9e@ZAK~Kt$mY4i#)duzcDk+q`W&V5@w-E9S1l&#lH2KIw#V5cJB!peZ8nEIu+=vNBUHj=0dYgCag|&BY2U+ zrPI5(OYA@W_&=xjIg$Z)3qhZbFZf7w28D+=V{UQZ@$@bIKou|SE<-cc8qLY5N$yFy zs%awL`N^ZEG*?HEgM#TUxU)TyRM1R|lio$5ON(cWrzI-f{C0zA{0o_)jsF*zWKu3Z z|CwhvG;^|}rF$kCXmp?MMfAk*#ioaudPv%RPHp15igb6K%x~U*4-B4GH>I{cE&Qv| zHU8`FjP%oIX~#y!PFN^*b>=>SNq2&LD+>lRkbc5 z-LOc1-e+k!M!|}f_zGv1Cvne}X&reAnW)!LkL9VO`g?17Sg2$7EhwVGpI?6r^`IZT zA4`s3e2dg%$qc)W3zMU`!F-JV+`i>7)BJ~~Q1Ltaw#+d4@}ShDA#j%c&YPE+6Q>T< zHTjbaJR}=K+#E&Bd;=?Oe;_SQTa_xvSIkK+YPPwbZ+#itWEs3KPQNYF8b)2m+OK)$ z!AM?`U^DESNoP}21EO*_ioSK%IEAqNm$#AaE=*T5?)X2IV-<>~=T3Y#gk}@%wb?fY z@*;X=_MU>necA|&4A_P3i}+|+x3eHlc6zm*icM7|)ffR}THz7LZu3BDA|IRQMPM#` zRmKx0L*X8WcYdbL9*M1X4ty2#)IuOzI#$9tN67SE;WEbY?)JFUFnqw_>GYevbJy?P zA>O%M)cg8>JbV2Mz9O(PAxvC)VV{T|jnMp7sp&vTY^gCY<9dxz>YeuSP2c+enGQVb7Fj zjFDYf>2FPHbEM?-A!6lLb!@4eICu0(SD{Z)xHCCr{+Rv)y_2#pk;%{LiMvh*!r`v} zjThd`pV50*aZC0cM=YdY<3Yv0Pj2*G1UESU*;gG-4j*b@kxrRclxDxvSi7E5G|rs zH2soO=%9%oql_y%vfH}u^U!%*5g*9Wjw+)Uv9usDQb%R1L8QR!NYLacz{vg|N#?|($lxN=<5uq0GT+{&Osi}JBf-?;2A@AYmO*zT?CtW8YpYknpFmHj2!%lme6 z(#)VrxEO}?F6%(jgV6>N0Z{|U`(7REU-RnfZp-w!t#Q>K`*A!I86Xk?dZWzS<6~p_ zgVwzV-E4|0gOvFhQXFVYiQ5l!J{r-E>@MmIP+Gqlp)`L$r_j3=aX}R?XP7#JqLLSe zkT}#OJ}z)dIZNd>Zgkc(6;XM~Nw&v7Y2X-h@#bN|Xd)B!yhZhEzF(~#fvv^R=-4}Z zG>#NnF{MPJFvLB@Rlmo?<-dinu#gz>4aDK4#z-iVFCO`9N(Q@(JX1Tn)CP zs^EfY@uDkk7-)E?krv|YReVbuCh5y6sJ7iY23^WJSsk`)dn6d zxmI@nAL~5PL~Jzvd~xeuZ#gLu0<%3K@7XtW-e@iFH~sj)>c&L-qrau$`@_iWsOPSE z8YPT7tqJp8XQXi6Dg8zdSSJB-z$ho1ysFKMfK^eIx9i@I$w$I2ctJAB%p=2)H<3^PqOLXQ2%!k&%&WOAM*;{ zIkuJZN7BJw$HPQH9UgoKm_a_4tKwV)m*QZVHN1v&(Eo&6>P5P<9He+Ode*yTC>dUA zt3vsixJiY2#0{t84kc_yGzF-$@{dx!ni)w>o^NfF-FH@58Vr+
  • SA4p?WGRhEudwTcl1+~HW`^a zYz-Rr?JS@;D!l|(-Q}OJckELUrdY3^NMGqh)%gy>r{lSKi#irDiFpG@=?u58GqGoO z*`-&+?5_I5;$fM%s$on~owt(v5*%mgl9crt`OCIfhDnjzR59%Ufc&; zKb~#_yV-HD>NLA!Vw&k(wlPIi#YP%9eZEck zXy^DP4;Qy>_wfDWF{jVM?+#==G~<~!2MioPJG7J^jr{_!wQ~LliFuW#Miq8xzJ1p< zX5_vA>4W_8nbawW0T-2fD1RBRtmj_M-Y(-6a{#SwFSRY>IWMT8Xn;Cr@P0jF)bJ5K z3sX}4ZD#1hljW1N zY*4*W*&3as7`^BC89s24-{{QZm)A?{IxZkQLdk_CGwjk5Rq>fBY(Bovq-VU1pPG+n zLB33oVX8a@7<5Ql?wq@zF$jH2`XKlH+!%CXVvm5`W6 z`Q}Y|`i2`VnsLESa~yZZjQ;v`@{Rd+#yYgL=*NcT4Btj(kahukF3-G0SXm7d=0nDRCzmO8kp_XB)(wpw;^Y{Mh4;dE)I0B_e+MrgH~W z629flzO2an&g43_H|_HTlv|7%qmD6PSUtKNPI~@nBGoBjiI_ouKkt;?8udyKhxiYU z#((D?GT@MKDyfx%We;h8A(yx6t_za!Tey)N&ox!v7C){L;I13Q8>uUaQ=lVkwqgGA z-D&xjX-jgS{((cHuh!q${;>U<>DmU6NEmmHoBZkce-+K=e-j?4fRI-Z0UiPc9c1W_ zy4~p1kmTM}^ip_tNVrd~PuP`KKnA&%>G}-sX(Q<=6P?kIS71LjinuT(dVOavO%?$y z9|G$>Top&7SN;c0xpN0~{36uz`0N7Wrb*=;_(5BNXv9H{2W7_Y(EnIfTNLal`B07< zXHvN-N5gNpE5D?(cWg)VR9G!vE7(*T?htEFgOGmpQM>#-7FN84w8MA%JBPaXTDdrT z8<=9Ps*36q41G?1fd8MaYt+l*;I6462pzWl0zmy_F{tiOwzE|MEhm6_s?qv<#tU4L z{W@SEWvm(~Op%_%L@iHNC6g0H4iO*HxS2m4zsdV6Zbg3dXVa_$51YD?sp{CzC-e#= zyR?MY*0m2 zP0C|O9pYOc^o@viA+-m8l2&>tV?$}FVxBY7e$~$!j1LUE-5|2vM=2Cr1-|J?46;Im zB%uCLkweTa-PGe|o1Q|3ff?Qu=6~gXjg=t1$@*(5*BaYFP|;qXwc-Z5*hi+OjX|{{Vn|Ihbgtmu8g()_Ua6^yc%f~)Ba2Nm7JV?Q<-lCE^lhI7Q8*8L# zGpeEz1*9TlCpGd-2X~(PyH?GP+#~e=m8;sGO#UItb3=)zFMr$Z)-%$&#cFHkI+5zW z+C`~hGBOAV6>-9!+%K60a1WE*PD+V z%>>QAAJ%&)P9M4PSJcgjJ~F&rL*UafjC#jOQzns?QKI|(51w7Twniwc;2dUY>^D30 zLy7QqBprouM3w>{ITJsjj`Bswdt&7^DEwQHiY!bm^!Z0tb@P^LblacIAWxEJ>YGI_ zIuBj=r@1xkNGa|hV+^M5#{MZ(^he$6ED9we9hJBui3anF2mm^PUnOLIeET_^eg4(dDLrJYFI`%7JG=%g zME?LXV^K3LblygQbIu0&bW|T;C}g{YUg*B{RWWb*lcy8b?!#tr&tSPMK+P^Jj>k66 z{3{n$CCp=0(5`bbv)QC@5ZeUPn!kcm>kp9vxNQ_QJU95Qj`k2@BU_fyJ6l0NX} zB;q!n9W2D|!$u>)@n-0vldcv2HZb>x3`C9ymi!EDx#(=Vz>nI`092PNOT>&P@Ox2B~<=R z^71H8N0%FN(YQck5XEXFaT^Ns+hZt;S4lGVw@hA{Yp40rzZjxGw$}QDp}kh+|2xzV zD0cTZ$@S?tnTz5VQFN0O;5k*)D5$ix@l&+3Lm6M7y^3PPYl3*Qb=3#Ag7E1{A62tB z>|-j3c%M$=oGB^=tb-+#IA(*CC4tXKbMgLjRUL9H@AfV}Xr5c0Yts+; zfi7OCRF=5a^8BHm4PyX6&G*mYu&)I z0m>Yjn8N3O3ss*M*GgOZTIt^s;iY;L9X^3gxcZeCI*}eej@H-vj8*iSHq9NncdVMH z_D0WcUNz<<*FKX+m-gjj{>-^!4g2-xGI>^Vvax9W?|K=JKHcH!XGF{7^}pdg=p!$` zT5|IICiT|;^1Tcjle{G2^+8D6t8cTSQ&i8?_1oos5qq?MiiU{%BlXUN*#~b24t)3F ze?FA>0fT}gZ{G`B=_=TX2!WCekAzM>qL-S^QKo;?P^_zc| z)MtJaG10YB5@G#4M*p`XZ->{AdNGswgB9w(AN1mGeE03r&g63g(}e~YF`8?buepgrcO~ z%sKh&mZV*m3ndl`iGt{xQ;p$9G`ZV5-Y0aQKE2H#zdV+0 zPt2RBa?u>3^Zby1`ZDX;)rkv^Fa9TXGqk@_Utmx9i1U$*M>j)-2u7_Y!S+eh`{-!I{hl;4jIMj9#sfw5 zc`?Dbu{&(}JfU3c@&Yf^Ii04*-wFiswZETzt*uP)A=VE_AFO%9&WocI74?P4O+5Ks zVs>RgaTT+zm*O=At2Swi9}9;yuV2RAl4g6&+rD)2PI2%biJ?iS6*z3{=l~#@Vt)SV z5g$8URlBD$XbZi-3bZ~l`|j?t>vR#XE#c~^^FMcPyyH5Z+-^e!67 zzF`nE6%B;YO$rIx6mG7Ihk|MSDk4_JPX`d8wW-b4g8g#}28#|cM^ZY;xFU5BtyXfr ziunGe+MRWh90bH9Xoz@z=ho9fyLrItw(5cO<1#e@amP}(PX?r#7on0n4w$iJz+B$ z=GGD*foe_=LrC<~+qzxl5I-k4VmJP-`@L7z-6K1e+4jE7UzGOsN`wDJ&IuhHosz)p zij0-<5ia1h0)0cFHQ0-RQ=$NX#ZwyUgI)^7ROMcJF)me%US zsgwXe-S*~@hQX=v-uFrQPP3G0?2{9B_C2-9psuFK{k)Y8S|6R$C-l-3?{jTDe(o*n z4>%sFw@@9$&#-n*=rupb9tvN#a$4!8xDQ5*P)hZzUb&X*DBrf^z6qGUOa;X4D5>#i zZmInMV5lx?w?=~lQODbZWxp|2vzc70E?45+M#9}r zp_UqCAn}&5#28w3+%y>tryjM>+^Q{LC!68-F%Jo9(v#kh;1MB z&#u68Q|hTaW7W@Ont&%L&XfMQhqY!8-=i3Vht3%CI1pv8!6ESh0LjV;Z3DcLUj`u< zyJL2-$KQ9}RBI@ZHPJ4~Lz90dtz=Fx4hKLzC z;mBjT8O$En2Kn?}NBHAtI$pydYsa z3&PJH9h8DIq3bL-X%(bwSD%9%MBz805sEjJE6%ERtX3S}5aNl*ukRWtCvE4 zUz}jJ%1ls9Vsq!yve~Nz?^VeYONQMqhe+S@*tH#_b`%Tr1b8&L+fcgj=mdWDpbZ%a znm-5>qG2UMBT6hYY)j9VY0>XN-T2Z)n!3c}HlK6hLO7I5 zuxUy~_JmeFxdx;CW1Rlhs#$+&ogKjrj_kh7MZNa=YiN;|I@|2Tk(OnIyvzUsJSKhN zQCectso=ALDyJroy(1hVVy+&kblLJ^*ev0c5!@Q7RfGX`5wehX3^;kUhcou;XMd9xn9P2*38Js<>4mP zp)F;bus*|J^j<95N^oxR!S2dUrO4yTY1sL|=@Rh>RT_&Vas&}{DP{fi@r~tUtJ%`M zgn$<^9{zFLWVqy!Z;N3yJQ9K!S{2NIszvwmhhug8@BGJr#uGMOMADmOdo0Lw7kRM< zJi^(P@ab+P1pJJX$SPy@5{FiJQo657o%HP9tgESwrS}?a*?GT{h!iKfsZxwkB^A8L zQUwD=2Iu%g`Iz$0+8f_RapBuC1m+EcycWrbhD=Q7GUO@d`{xn?70)kDZbt&^z$v%_Gv>i1Qxz9@Tr$tDX}YKzZGI3CeQDH*n|7LSm9Up$2}hoooz9x&tgnRgqd zED)zNb>3}teaG0`w4TvG3bl7 z?vu|^%lRGNB~b(x8a!f}Pj4dy!zVr|O>ty*i2Lq!>1aF3zC&vcuwpyd&YIki86?wt zLnLHnEC+J30!nkVFAQ?4c|G1*r<6sPcV=6TI$#4F&n}LjTc4x`hnwvb|H}tRS=_18 zID@f*6YxG6>@KA1;;RxG;x<)(OYJ(es+n%U)dFZyGIZ23`J{>h>+b9R`)cA#h{UI+g@&d69^NYZkZix}$cn56v z@;OR?a-M-yiD^V(O3`#0dB;K|Q`vG%{=LGrXE`nV!-_x%%xlA?%Fj|HGo}dT8XQnw z_0_5HAs(lXUYrTzE3MxsMA$wmFMGvxsJd^@VVd4^v3IIMb50McfUKkf-H(69F?){H zwn~{tjUtH0U$;O_3eqaa!7Z ziwYUbqPxM=zehPTiT%rkR}vZI=^xSC?_0r>;cIs*U?8@p;_CBHV9&%wPuHqb50QP; z{nZ4+1lCym&71qy@)xi2nR^e`vOYiP&QWsu)*k#f{|=Ou-K}*AY0xg_YJ8aty>>&X zS*{27%pC{suAJ{k{679@_2mt~1{_JIhQ zo@0qn1R$Wfx~1%hr?2U4>R$R{E$*!nL)-}MX~A&^FbsUA9Wz8(#u#^a*!xpDrOc$t z7d4p)sOkPRo{Y1E@NwYuN9QIzPmnF0-a9apMH3NI1!0JOl)}jq7*wMkcd`^%+QwEx z83mrF4UUznl3)TN?|^F|Td{B?nZjjF_kflhr18Y8Rm0P~xjatBuzuqyWa zAixAXxs5xOq6#9W8AXn3jd=D>V$PXcf6FOsQnv8c9Hns8mqQJQvN#8l`J&90(vy(p%GNkFkeGN}Sh`<*mxIfjlT{ zk$EeX#e(MMN7GL8U4gQyG8dieSE&fED3JkA@%ii9cof?~MIa$94#96jv}*?I>fS_^ z2Q==T;_Ji9_>ZuKGI`zlqUxDuz?crgcB+28E=&M6U!fJR(_B8GeP|uW5Z8V5hp{7p z)}Q*w4zy_?HypI*#|hHpxNoszo%I;O$$XNwAWl#Ma$Jo@*+bNz%yBEl8q@nI2}I1; z^2kw+PG%fqv0uZTtE3_QvhsQ@N%W>Xuy6bg{6NuXV=poO1 z^57R8m$hN5hZX?~OJwskL!LrfTnK}zwD&4<8`7m}d!X(D(4}UdYSuRsE}<-f2|I@S zQV$hfrEo#V$lF2!BDP zf)8-A#1uOsLl&j9|Mhj<)?42`Um2_L#;!`w+jwlEyM?hE{c2B7SxFUsnP89@aXA#G zFf;c(3pRD=dDr!jJ~p^CxZX-MwAo;#)!}OX;u7AFh>vNy)lyL}q@2Y!PkB>p63_)0 zu@u|ew7v+#dyRPQjF~_LuPqCxuYT2sBxx8pDy^tlXx5eo*bEK4(yGc!F78z19he0#mChdfP)Gd2hL9(KgjI8 zwIPliX$YbTj?*HniHv~rIx5H;f8kbtu?WZCmwOmEulUV$VMSa6G z>sFu}a~y;ZaR!o1v2LY55vAj5sUR$^r>6(OgJ)A;qa@L@9 z<3(0YVup(5cUH|D8uL-n`L816f9Io2Tsd+fRWe^s*+-zP8hTWOTJ)y$^3v4oZi9~7 z;zjslW9_nY_9UD%+9SoHu_iDvIK3?qX$t2E9d-uPjn0H z|Bm zAVhCIEV#x^E$*8XXmkE~{q=nzLeObS%Elo!04@k*G6qlvxpTRV3A1)zba(^LTxT@| zWl9*gb7h_*(I7}$_cDfx^SUXp!E+O3uuN-}DV%W$z75s;Y~b3f?tc2ZG)$M-BkN=A z`G8;dw~mE|4LK04Eu>^;b>XI1ElqIgDtNNnWy(^DJ)@);LPOw+HZVtq*B@TfP>I)6(#mYg_GHR8{L1prTn){fdcDx;D#A4!y+FTHXQCkS!UXA$ zZY!s;=c>ku5{t7I8>ls6;d$j|Q`6}`EgW`;|Hxe@?D^Pp@19odwR>IV&|1N$K0|IuxVNU!(8qj3OQ@ z^ElobOW_l9v)0T7-xN1_Sy}SV8&%T^aN}6_2a6eFax$6&r2wbj^ALS20H=!G3 z|CkTXKj6z4J67`!u!9BOLfCshy6#69UaS5?t?VS15GYTcX{76sIWa%2GJn1P<#}~9 zz`gTHB|rqR3B3m_GvFFcver#(wvRXsnw!j6WuC-gL;S`euBNcMQhB}PVd>u1998K2 zb9JIrl3nPJhRmkig4kVUA6mbp40ui!M=*Irg+tPk*O%^W)sfdZwfU{VeIt$)>MXCn zTh>v;!YT-syt*d#3uXCGlu*-R!Zcz^4*y~gMP$s9EW4FN!qVc*btK{I*8%$o)8D77 z%Wb~J(3r4S)mOD-$R>7$sX#ljIGI4^%4%_`LjiwFTmJ=Xi?G;Jc1%A;&>DURYJZ-L zKT-gk1lg}725UvK*P!YrJ0QZu(8}C_G>(5T}_^B5k*0 zc=XNM^Gp8#jsetw@bZL%^Y0MVm;zL6fN&-)^@?<46{OtkYE%w`cySb{;T=3-BZ;aZ z&?w5p&0Pqjlr8d`enGz?RZ6*LidgCW-PNWGYjAcnUnvE`&V2mM`0ZY zarj6QW_CDY${VtAcAl-g8nA;=EpH`Mu7V|dUB`+HDc!5IcNGViwU~}cL6Ho0SD%2= zn1*uLub`=T#ZaH;lp!*~d%vGUggb7PmvF z{xz+aih4eAUW`0<-BgKiTb$<=MGP>^{4Cza-9tJMeBUmp|EjzHZ6y z=^alL%R=stsl<7YMXF62{ME7wHfuxf%0J?$JDd}wZTSo%&9H3#sD>Gx4P3m{`icwN zPBFsXu5Y(O4{e8YgS(c;2};LvoI!p;=VEz%zdWjF=fAc~jS+#T0WXHVzdFj{2KqyNptk6P?*9(u*D*gnq$@PMqTL_rC?BryQ~#l` zyP}weqQJFq6VpTExHS-HK9`j`rZFMH4Pk96MUX zx@PNk=jpj}jjhm;9bxt~cP&f`B-dio%CDy+(Ho04LHT-~WN^yt+Jg^*(7TutJM1S1 z-AbG&F^Jkx%I~W}7+3VdN@Ubz5`IAs&zhWMXd?gS84q;}^T(d;^sh{lA%dMlk~>c5 zj&U~V*55;3;w@3yLb8pt8tIqM?JJ9*6?#5*S7*Q{so>RMD2CTD>nl-o97=23J+>tU1TQ7Q92t%}DWZK;9O_~aDgN%88)I*9H0v}J3h2yhpOF{>yn@=@3oj7YP+q&0Fq;G*DW6xDyW>0yI`9u>F*(`1| zD3JqHR9hODP#g-1yu?j&DZdM7m*3Z;sZRwI^VOGbc1~P5udrs|5{i9mW-uGwCy7GL zluqXlCIPs6ad`IYY&Legqv5;Az4I4TxOaVaL!*9KD(|%|H>>EIXt-%0xqE%K;PMn* zo1$-|9)Iu|T*@pfu(8_b@yy3z=X+#{xKtVrIo7(%(p55IZh`c{$m$ii#wdxlKWFZw zLmmfvP2(ibg96+IfsO$0azB_t-vj@@{?AF{{9;Qa|17MNVZj=;igN9(aQO^z&~((x zIP;jTwez{y2`727klg^c$-7tDRJ;;WaWAtbD)5?hS~OE$80w&WKT={lrjT{$?tXM| z3h21{5pwQaZs=`5_?oF~j9)u0E4%BoQt*}8%Yv3*Q6F_1Bu;FU>Pk9iy`}^H+wFW& zCBy{XyzN;p1)6r6(z66{$bPYYSBU7B!)6Z7y<^9n$3PFnTSg|l_wAK($?9U_d`16A zml4cZCi5GwjkdoYb_7RBQ#$SZN(GOpHOXDw2>88<7S4Ueb=mTkW#7_-3r4KJEC6W$ z_oLr=CO^M!upPhM34Vil+fmL{haahcgfX9BEsd9eQ-_u^mkyb-I_$Luc0r<~__!FqIo%&FQ50ZVKcc(d879`CC{Zd!-Eh}r1hZfJ|j8FV` z$RXO3MPAdXyG|Ibx)OQ6po&7NRTEYRE_L7t1i-&A6|wPQ8}Uz7%8OXg+R5|0V=+iO zQ0EURh9)?%Y)gUOi}l2GTJP@>dP=SvcX!OC)4)@)${{~LoF9^JS=U7=FYE|s>3E2V z9NBr>j8Laaw0AEr&Bzfz=dPG`B z9kqLRlq*dfe<58E#}AKr6EashRXhh#eDqf>+H%r=B6YQ2Vgi02t0lTLJcwL5;~}a* z6sz5riU!Cllw8*l;E>lcS7f#OM68Dvz0VOi3L;*`ag%|h`{AmRNIP#tL>ypqeIV7U zNlcYVAkx02-7|fcQDHhLH(+fpG@4||+wFn#s477u)F zXz_E;qWf4n_~;Xd=rydR6wlO)FRG$ep548vQ5^DeCvPEW9sKU>Z%DM+A!~kyjf-$K7M%zk)8 zJ@Z^i5~{JbrrC$D-jzo zU_R=1z=rr?N6^Z&_)(?Fk;ltuxG{Nvs%rD^BUQ1p%D|bBm(9&;SZ`{D(m-@j{Pomk zg5sfSx<_FN(5fDuK@Zd*$JrnHz=>;|c2L-_M&PP&a4?MO*g*tgAvjMxykVmBYRw_x z-JyJBkL}A$;$H=%09X0DRWBrE9312){zWP;mU6m zfc`s)r+dF`Eq4Vo@Gkgg@v#aeV8_UKpRxilYH%3eXwzraUTlrCnM<6=su`8B!S{xgjFu0yR(ig56KU4bzFpc z8WYAde8lAe!ijYSBfGqhVmP0(xLo+#iApk}BZwsd=Ci8nKOffB)z1kfAZ{;vx)9ed z=DoBOQ;ySFf}tMPpu|4ylpY2{51p<^O5MTbRVP-!ILzibM*I9w+kM?rgpespSlnD+ z5+m$qw4rqWg6yLWKJa;tpTp-}F@0a`2{uEHM|Q_$3nAFy`=U5NQVFuILy?YZcI&y%5F>N+kYe%VIq}GOmuHzSk+B;^bFVqJH7Lqp=S6;$=v;m0U6mvvb z$w8j|VNJ@%E>pSBXN5r9dM?kreu05^u`~0GRT%ZH=GgUqwkdy@2j3vkqn!6cD}8rH9TH{HJrVf?<`v8{bnX59#GmqxsI88J z%+TwW1D9J(zkCN!V(VW>oH#uE>|dA-o)RI5@3ntQ`1U0#D4(5b6F zF%Ik@VHw$BM(+j(FEy=hnVb{Yjx|tMCV@)U=FB51)}R&}BGG3j?a+312imsOT9WQF z*+OPlfLO4m%%XA)>Ra4Q{`7-V@A_15GcB^IcPIRiz4H(ni{D^$m)TXryqf(27sI!) zm%bv(!qf23CSB}8L)1o*z&%CaXw;VZVi4c${wAj7@l38JXSOaW(w6X*vp>`(tYyBwz1$ev-3;o zjP~_e2B{?VUH?LTl@fi45aWbz&l|=r+enO`c|2?|k4XQh%lN3##sA@@@#45~dwa<# znuaIodgbIGZ;&#{!DB4>+^$cdi{?hCpQiJjiOmo0JT!V%a1PXHec;PUQ# z-?l09EXmxrDf5D4ncFpG{!B6--IRHLvdrz9GXE->_i4)1Pg2xM%=dkoGI<7iRa55a z$ujqC%H*9$SyWo#;`5WqGB;|<4EL?-GWBzDwNfIi&Gq|zn=;pExAPClGVhlx^Y_Uz z@1HF5cgZq!L#jTGBEDafEb{@$GJl&aQ$K2&Xy?_*GB;_;L07pT9|#sf*3J zolmWOPzu|j?w0vT$@^hbre61~mBN4T zmdUz!<8Tk(*(s7dOOm$?GOrb%_Rgr2+32EWk@>%pX}`DVWU>tL&MuRk{x=+*OqMmX zOX0tE^Le#$@?lLrStfZQ%Vg(Y<@-yTGFf&lx6BVn=8Kv#N9v|G9(6e3;hr_IHot^8NqRM;h1# zgWJjEvT1fH{MYWhq@V#^p5bJU6iX4AT-JHRf>vvqyq)~kPK)of%`S!i+HL25xAVzm z?CetbuiY{|((Q9u?7jxpUCUrMT%_9f4rB;{u_9}+)S-RVLvl;Pl@8yzagm0st-(5E zaudXr4rIE_j2tk!3h>D-FIPG|nv2Y^Vr6n$FU@yu{-q&BpfB+GIIVs+3v*qVs;OS? zan;>IC5z(eVeRdXeyOSbo02I+0VvfN|)XIuqd8x_V zBb-IE3i2LUX)eNds}60-1g*ntzO>|!2(l%EMUd$-Gjh-uaCrykb5;hMSH@?=qn2!Q z2S>JKFfH|p&DLm)xB~`Vt{we3iBP}NUvfXViPRxqK<=+>^O0s=;_;7zf?O(@H#di$Z?8$>*1w zeEvY%brZ2bhU2rCZ74E%Gi|%3o%&{&sfT&RHO+am_Vh~U7ki0MYGmD9xqGv?tUVEfrC*DseF9SNI#Q@ww7*Zt zpSq{f0ri^Me5$p0Vf8$+(7xCHuGvD-{&UIX43OR0r-d>*L5DJ1WXb%eolJwNZb9mO z-a<#%d}%@Q_QujC^ZPe_-=>}U56>2=Z^fmKrEcFr|F9X!TQ#*a;^az!JFed^Zfb{l z`%RW^?F(h6{jX@q4P~~-l6l@``$?0fO`CT9d%K;BXA8w|{@&taA?m`z1F8d>GXLB0 z>3siCQ|2!uQ!)xX>GnN6Lei1M(#1`dp40T``Ry#}zkK%vmiPr8wH35?dj$Hs%~)E{ z)c)Rf?Z0knzf>N*EqLVm=-y44XSU1yb5rIelBtIqrAJPt{`7DLCqu!Tn}mE_bC%I% zgGcHFdr!LHWEUYVbMae~`AqNkbR-e7e>2lQeYWp-6#nv{?$KA8d}?}Lh6wpuGeYjw z)Xv}iV}&-)=k-nPKa}=w29LVqb3gh1=H}>FyQ%$??b??#wWs$c@g!&1e3>n>%ys;2 zA%CL@EF7lVrD^9+9P=*f&Ydk(--;{cyZt}CF6P&5%KSyU@3%B%^7~E41&_L!Kg1#N zDwNqGOW$Xlc7v&ILGr)RZ{O_ACA#hIPSkF3os;QUT6=mH4whc+`0VxwG_q%jXtnc5 z*|}Y_Hy_(%p7+^IrnB=0vzw)?(D)AtuU?cKh=Ro?t-lX<<` z(e9jsRTU$F=Y>UT{$_4-kp?;AJme3C3nOZszw2zC=Z^+IIf=ABI`At6eZ0ED~UJ$;M zz5lPt=T%ML^?KaEBj@{rn!al}K0tQ+{(Wh_B4~FWDPhd`PLiEIKAm>GJn9P`@i&X~ z746;HK?}s@OEAQmK=B)?UkJ!>n5y=6pIVAXIPK2PYiA2Z=4O(K|LAd%tk*5;zOUo* zr<1Aw5Zf1IzQFZ+x9^ur^HxE7u#M{bP3^yK_vmp=?Rw#F@T;@k+P~^Naz4x!S&nz2 ztyGZIs?r?CDpl2k2Y)fNPm{(3)=Mns@OTm zbjM}`OOMpSgWl{$^0o_dK?Oln$29FM?@?U z!q|Lik@;-LQaDU?muAc}GSmvEz9?jUK{K1$*nGKY_B|F*4^hNDykg3)>(-g}=ar-5 zL}#Zvh^?-4Xm3IC*JgV)?QB7QMB4S69R;ewVXE5vuBP&TuX$!by3C+UJ6}L@=XriW zx?@4SwSeR=_uia@#G~*Iy$PUhk;z}G=}5t0S6YyV33);iGBV;?WPTz!lKo!Lex2E3)0`!42P+-Jeiq&V);L)JhK_eS{(SIZ^iE7^CL-i@(UKHCLwtb zF#IiwbvBB1>!ohzxnkbl&~WiNJ?+gbM;y;4bYWf0I?x(RXAAkNB;?1mvU+S1^Vdse z$f4eV*>sk6e#))Z!eOd;%|7Cm(O9zQhAqr5Ar8S!@J=7sVhtguFnhG6d z^Q8sJFTo4K=F7DIQg%eKp-|6g&e}_x{r*R>#IFw`!+EsUY@yhAnR~(*jHA+jGhAm$ z=OT~Imn)lmMvwv~%3QHXE%QgS(|*s>(bVD*zl_FTraKh7XU_gkAMx8y_8XG!=;NAp zZY-JhYl#loqJ2Xl?RNpYk?RR*zkt_`Tvtf@t+#IE8baO_o+ET4?<_I zv8x{!@dZBF)W+tEQb@hu?@>LaFMx%EaJkL2Kd;P75t(ZC1=O>WbI4cP?VPQA8MQw% zS$p_jiVJVQ_O&qd1&>%O+TZBAV=Z?6G+8^>T$07+ON-1^54l^r?=8%yM_oGdU)sl! z1o(oyd75F;-n;mb=A$!{)(re6_NL8#*FvT~HWw?Fc<;nprTv{}hsgg200960cmae| zO=uHQ5T5N%thGsh?XO5dL8%e^p-^iOHp$E8)wjFp?n`S<523y3p$9RSRuKfLAc9Bn zRuJkz@N7>#N)bGWh(`}9B1901w8fcevQ22f2g7?i^Ub&4%)H$(=!bCtxU1iv!3|EK z%{pp&I_C!>)G0m}W%lx=e1>i@>v$ z$=R+{B{L_*ype7}Q3|x69c310X?2l2%~_=PKbCgbu>ev}+WLrP2<@(p{M$S2r3dsCHY5Faov$X?(cw>lwLf{m4rZ*g+;=s-={ogcj(+bNFuI z9J#2Ahian$kL(x><7s#O!y5IjrXmo=6I#ho6OLC8tLO~3XAAf|f<~ma_FZnQ(P7cU zdtu~$kEf6>4v!G_BQ}18QU>Z%nxGT#4H4h(Y_EL9y}uq^ass0=LMdy2RV=OD+`~AW zuYw%mnY489o)%q`Q?`?ew56pE*`J)8Jh<+ia0^o_iJ1yj-CL#MV(RN|$3P#?`Q`d- ziex3~p>(0FHXh@~&Zi7fHOP)eWF6=CJ(yT7Bc_qW^RvS0=8t9m-o)~KWT{Po_4xR? zxReDSG1LoZ@$_`H{CWjH*ao1CfLCJxgOEjF{B7kqrPhGiT*2V)pr{V!Is_LG@FKYV zn1FFK>K%5$u(DykADe?4Jl0zI6lP)82%NwazdgA{>Gg5eV~s)&`oH`s|D`zHOQKgr g?b_jWa`75tmW!WX(O9A;M*TBsI~3MG00030|4;uZJOBUy literal 20788 zcmeFW_dDC&A3xqIZB?zPRV21*5u^618Lgt|jT*74_NK(FO^r~yR#B_=ULiI`jZ)O! zu_K8c2j5>lSFS7Pm7MFGbG^=aKA(?qsBhi6RZe!B@a<0cC=yydD>rvr zXD3!65dlE~Ay!3CTZi|o!Xm<~f?{AHVX&~EkO-@;mD@*KODonl=9c!XLae&4SoN(e zSXJKJdJsm|>)atsbL&=)=6}ttHRAuZ&$a*8df(nNAx+~{Nj|W3A|`o6qRenN!RUpP z_Dh);%1KHP5?acRJL9xq;~-m%;`AStH`H&&Y2&H2DCm=DsWx1U*Y7spjW?oBR=-<# zdLyz_>XXa}=J5H^;*%M5#{H~D_{Ogv1coZBewdDd!{J&?jEw2vhJ+UJLX!y< z#HFe#-JiO(_m8yCrgQMdIL6gj#tqV}|I#}?FWwIJk;~z7#Gk*%9rx<<)T`iRcEbnB zEv$w&57e8r@A?wc)A`*tik`ZNRDJVkF3~l^OV2c^d6?Wcsy;GYF4jfIQ5nB z1M_#tgga?!O)+Gm@^y~!q<=k(8~=kk8|RW574<%0PuSgk~-VyA$)1+ee&#b|)77X_#>Fv)aW? z#XHnIggm=icQ6`qsjIl{DP>u>xng7T_^X^4*F#GAuf?veyj1V4 z5{wH>rB8RV?$l=;Y5SiEA*38w+NVOk{izYo+>;lTjWEnHe%;4hLBSlPG2}8!JJiJS ztn}4n$e109d)S|^?U~QCxuH+xL`A07FHw|ty=u zuGmj|+HTIqyZu9XO!?0p$@gBa7TzUahITByg%DXkeYz)R8x#d$jIO_U&AsjXei@eb zWg~0`A6kcd#?-7Oy%f$udHB3Wy>AoIg=Xd3*5q%~%-%1K5dUo(tqYr)bqwx2&g_j^ ziQqPGC_Jqiv=kBll@2HuD-uu}eb-rLAWI$uk>Bq#c)Qf<%D6bu3@TYX;=W!kDTVG za&PvI=P9XkzfV@@qFvdWmR$?S9iphB++*J>))#CO$Dk!5*9t7}LJy`$IYs~&j^)EvabQOqgRqXjbcIHTjiJFE@u@bZt<%B^`L8%kr4L|ADWua?-en??=z@aY5X% z9JMkpqoGl{BOYU8);OayocH}c^-$-Cf@=2wL$cnupzvnmw>W#^Eib4&9OK*OJwA5) z)i>fhq+9aSoJ|@}bI@nD!C&NKZ#JoVqi+B6e0XL2b7ZNnVI5#CyLAi%H z5!7J!lX9o2p(UWG`y`F)#py)k76-d9^|!Aaoo}gg$KSw-uPPG09e;=Pu)6hNwcc(D zLu6`{4dVusKk@u7cwa^=T_BrzoI8MKl|Q9{D201?n$9Nm81nP>?T^$0(hK1 z$&ztd|H`LeXmJwA7CfdvBh*4ftG`Q)J8z|oenzb>FwM0Z1);NK*ITSr?=cOmW1tbL z@k)(M011pJ8=FVYI6RH28U=Cu{4%D%GZej{VHo##PJT+0m^TBlg8TGCWpMO9b*Le` zcdUQYgd5W$u1V{CQt1pO6l%9QQG-bqbLPp@>@q`9?=bF1Q7II6Z6C32QsY41R_)H1 zO<+GFmwU`SpMW(wA))sb?`ibxo$3uTQi~vGHHj0Bi;F9qZeC}+`(d3#%a#YEMtonY z5JIUW>8SMRA)Wr4xV29znQg?u&{_K%e9M@C2^#UlfwEIMlM zo7{ZKf6w^-KXKCMZYFvB?*P$5>X`$@U%1+oc@w#oI2B6bJmg7gUMvLbs43%jZ`EzR z^(4nrvwsXpQ17s39V(ET<7X}Kh(S59NqRDm;+hsWEkkpA}!%a}*4cRC%g zlwMMAW{o}X_4ks>`xL2 zwVqF3>ikbul4+@nGWTc{Vm!sx1%+}~e=)U~L!v8aYoFxOk#8&sGW`UFW(`pYKJH5? z4^72|L)JKpc|%wgtp53BCsCaEVtHPmWnNbz|MmwjRWfFHH>t=o ziWYKC)DBF6f=3_9=|M8aar_x#pU|P?es2D`E16iTvItfNRg|#szPw$#RWmq9OLn}Q zObl!^$&16aYe|v5jakg~igx0hbiLFByid=~R5hIhknYG3aN=7%u$BQhp$ITYV|EOl z>?_MND-B(jDF2*F!?s6ltZ~~TFZ)Obj`5j!9(|Um)fbU;=foL)*YmA?n}DA0_}IR0 z-IiN`T8U?rrW-Sew=y^D8O0Mxowe?z(j#H;>$wRYwsi@3)E_I_u0v(R=YA5hkDbEC zB03mtE!EwCpFRhQ>sD#X_U*1HF_KHY#a(ESdSj6RKD%sqJ?~*gbMQuUfMw-cHE9 z1;)oSm&S>>@h9ivtL_P9iVpu{UVIY~hw(Xk!`**}a&I{|b^Y4d$#f!xAKw*n{krw$C2G?4py&};;Ui-aL?5g4nVwwOb(Ws| z)Y|c2ewKM|>=B2r`^B;XBs zg06f>W&)6W>CS>znhisbOM1&up>_w|wAz><{M|#it@-n+N$0X3`Iqlm55pW~b(pxk zXDCubu>hx#H1Rjrq?s{lwoC$lUV1{2yY-IsTwSYD0ja=!XU#v6#a?8JFNw&a#+*9Q z63|0~wnGMs3Xw7ZkMIKvuuN3WpTr+Y@*gV4{uF)5>z8rkqmFsdTMACr_ev8HWoIC_ zQXcq4n~O-x04U^=Nd@J_ki1NqluY=N@&viG!Iko4`Y!cunsQWSR!X5cRC&^MM;RJH zOamh#0nlZWP9AJ4Z5|6=(j8?hY=%v;C7P(we4CO<(fN(-`nwG?zvFRu{2DrzKm80%q5{zS(D42Ym@x|#ilsg4VTx!t`);QJpm zm#ed&IXb?a9+g&p?h`s3K@q4O$r9QTr`(Z*TiGX@4?Qz2`umzvuQ!dt4)!U0hD&*} zh>837{?TNY0qjUXmC9sV_)HIMe1GvwqION#Lvw*(u#Kgj_MuxH%{X7ZTCrBX^R0EM ze+x$NU(la7h2v8%xn9`MenagG0j)8S#Ut26pvlv}QA_s9hi_o=oh*+OVNrl_w3)iYZ2RuWKFwekC8WHHY`WAJ@{PaO^-efk#ILRW@(CTHc9>!Kh{(9gU= z8`*cG;D7#0_&3jo_w$Bi!%o$SD&@DauOwc28+9!~0p;eE^*|hZ&n{pGqSBRUQ#6>O znp%tsU;EVhKwtM()>fnXo4#Pp0#{qNm%9LUTvTk5TB^6Wp`-iH5)Y!*jm+CUkCHkp zj{#l0oB5#f69#h2+QT41xoI#_g#T3=vxM#^jP=&OYql*(=;(Go&YXNuyd8 zl6o>%vVB}(6!3~KEU*#=KV9Bl{BmDPC~`%(UVSE@GU1!(YsL;2SanqQW9qkN z5$cLBg8}2tPTwwNPnNC!(LwX@@%lI#?R!Q$-Q+{mzoWvhUWB&4E~UOr9p(gWJPaSo zx-J(EG~fv1imuQP^Sx1H;3dBHoJ97EB4Q{a@)W*hJq@L~GiaRG_?Oed?k2#ZAk>Dc zaq*62qY#HmTQU!TyeICa9`G7aSRP85L=jSB33-q~xg&vYo#txs7K?ta0TYa8+Wa`a zk=>=v^SHjwjuKIe+Ss(AIDLesdvnw{UZ7@_?hki4RbSl$(JwT47o1Zhg zBDfpaYitw{UMV}w4#FVMZ15f__+V&z?ME0_8Acqhz1RIYMgYxp1U@S|1k zoEa}88)}uT{z@gl>k=&5LT%!mT&c2K$+{$F_#PwQ91ao>;Q6M?O6u-dDuA!(?LOX2`K2#_LZ< z!wgFO-mB)9?Uk#`w+7bEoM{wOQ?6SGnucUcn3 z47U5RVD+I)**KEc0yhbGf0eyT_aeZlrH57Z^Q1uTLeK}h)c*?rgh>LzB&MI)DIdDP zwAfIjR#1kwPTvgLik7b@<;wnY%8Dasl($;H@8PcE9(4y8tRW=>-x0#_A+!91M|6@l z+jAemuN|mRG_T8FqF6dxj^2~)aTmd|z;Z@jn=}>@1fl${d8MGUh=ZPFihq+F)XCZo zps-e$a(Scm<++v9bhew;%GF0wsQfiD+2xC%BTo)-#^rM%I}K8wMPMl>e)I`L!apmE zDg@Mh_UYz*hl#}sBk#=pF7+q>#dq@>-43pVu&>I=r;kcF!Wtwr{^(8r58Yle32$dX zsTqYyS)NmuRNe{CtWz!~%bf+7k9u7SWSrQz|4{9DB_WzxWF@8XB+85z-&kko`CY0I zZ%7lNlazyH6CSkW$$HE3J}&YKJ?IAG0d1Wc?8nkA?AlNlMHmt`?a){@;zI8sxpQ$W zoeVi>(8$4c)_7b!ZuLH^9)##ihig^=$|7Vua;$~G6N?heB<^oSG>dLOD5AM~{muJo zulkiYBWdj`ieS!}Lwx|@=c}`cU4Y4R*^3H!Ln?_>kj`14Q5HAfjNWu}h-~5XjHOF$ z3Xot6@`HG%XIZEq1|&VOBK=qUlPy%czxXCGzosS)4>5Q? z_C74a5SGVzX>l$*S9{YGoXiCrh-NQo4cCLCWWvTuTsWna_&X3~`8kx|6216g+28!PWi@Swh28X@nK`7_%a`9-eNhzX6wwv=SU~;!9sIe_`+ljWmzE4 z*A%=*OZNGH8l#t)6G=BHP`#Qo!uZ|1zXTMa~LSdoQ*UBd#6C^_toTfEzp)M zuy_(&o6LGb>~>MmKK_;*f7SVWf}`$Eu+(S~?71M%+R>6~_wLAfa4IZ@pd zu3Cy8F!}72`WD3l5HTs44+pLLe6|)xF8tt4&V5+IoBnaNe|d`jMraa!`|}3|`uctH zJXxUuH2Ig#d{!1oHxC+D=3QEpKnY`DQp&Fx#mRKj8O6{68_|cy&ux1tz!|2 ztttqSqmjqm-m0Cigz;?bUl<@k@_Ow3+^W#e-70)MPc)U2&h8D%_B`c3rP^tlN=p99 zltynL;a_pGlT&A->n=!;*sIFv^A4%sk{GBtqb1aPF3)9jxCX{;tzJBjDTjo95i($} zc>Y}FUtJpHa^Q>cyyP`uFT@0L{twGu*7$Nef*rpb=vd#TddwDlBfq=yJ8|Zss@YZP zA94Orj_`in)YYg)?fA!}2!U8)M<|q?f^?4G1+j`^O#Ti)_tX^m zn;;9P-UF^Z)y#YgQeL7dj!Rq_S4DTd%i=gf`w6>7pQC6mS3LH|S{58CsO+O;)p*AR zefMbZl~cZST5=)4Ra&m!g&dquGfXOD9>|ljG^C=jmDc7v%j;#ahyZr03Rl=#V zyN`E|TItD6RO)Ov0z(C#X%r0Co2?fO-ye5&d;5#?w#86hpFIn^!f3%HkaWTRWiWwC zxCH;K2JSd4_^eb*#W4UuOlwetI#oXPH&J*&(n}umAM8QO->F^?>UE)maL0y zwgbZ5y4Yz+;TdWMQUKELVI#X!1ttE@za!LsG}5p+*Szh=NLQ~(yH$SW(~w?5Q?T{x z<_B?B)q(3VUTV*b*RKEL#*^soJ#4pdH(ypf{$5yJ{F^7?^^s4|pbr_M{WJK{SK(87 zALasN9!D;NWNo5eXTeFVO{o{RJdm}0mwZH=Pp@9b8P>_xeQ=wcs+|68TRPFw1K2jP zkSYs68jPrY!uW$*t_M7#+6+@xwzq=gJ9bx1f;E3li**KkS05&^?&l*m2_7j(c@B7Z zPY6(Kuo83ka6Ia6HV*KfrD&#F=s;sH<*Ti#l)rSk8i5T*!{6s8G#jk|Gl#QIHnN1~+tPovIQ%CU*Mcs=<~;7~@C_yTA{c3o#czJtiRBm9-SKX7D*7gs zp-TLP)lCkFG0XU#q2z5JK`!+ zHC!e;0(EB7U$5x>%KB$VCfF{L=FY3jJ;`LZ5snW|FD+X(i{IsLi;lu@ciS}W;gNAm z8zvLm4q9xkuF#beVIqNWsDc5+kl+i7Pt}-Gv%q}zDBXjk7XEtN+b!4R&C?^6lYf;=(En*eM{W{wJ0hK zXWE&tSe}xID*Qg6FOr(yO2uKP>}umuHcQ9UsOw3p(zThCa#E+`78Mvc5?u z=-Qf5ENjq9MjoLZ#J?h$?j=BnxiRfI;I*?_6meKB*RJ`23c<|bi2Ah<^g0H^;S~`U z8k&*N@JjbEA)bMD#HE5qrc!#+^(|zN!Rt-anQ(aQzaUhGBomd4!oX&SawUR@!@!1| zTWJt1joKT6DXDff{@`oj|E)YAmK}3ViybayIw6_trHs3zk^o`Sf4l|~;yKtLib1ST zAQAH{bXC&vFGgq$ijvM`f)Z8E*#>pYy57HBVMbNA|9;13Yot}YRvbMI9>{P>6nXcX zmz7_gRbeIfB^CUS`hCblI6VEdpxHs2DVIo#Wws8;vFDls`Zn&SaJ~)^OzDjamApi5YO8hW z?WQpE1#p)2EWHE(fPS-tVt7B0LcNd3a9ft?=&A2{m6CUpq z!P?hvSI5`L5R1k$(I}41_^RCmeFq}R*XwHYT#Jcb8hMCVnhleTC(ilGuxYNrIYI5k z<0!0{T9TJi9^#m0<4Q<-5vQEp7d+iA{uzNwDPHY}rthP(+P_df7W|!9)MN@-XXCWq zzxA&AEF@b8T|fdKBS(hbUcuNk}SYZz4CZ@r?!Y)CB0sEnrUBJWY~t4 z@uo^%KHY<84sgHdg;8wMj{RS-sd-iY+0FYjUq@05{a|ZT;d0Zcy(F}@uq+j7HrULfVLrC0B{WY)aS)!74jQoDlXnGQ?+SL7M#JUa!65tEvf`p83}nf{xd z4j>Phr$a0*4F5Sc8=)U%a;AM4EWD*O0N-{4?mi@8EudtRZ|A&#QjO!a6KZ2(P}%-R zVHbxzZ4Ql)6<+!l@7H8P;)+W@@)G-nZk~wus+nX8q@{uK<6nZZ0o8Dc!9|nx@XK%h znUqt+Iw{|R*7i(SOjmjU@qd893ZgSh@$DEcvWd@o_lM2Jg4I3`C$eNsA zVW=gMIg>!}VY%RR^Zs4k!H)*-4uOHjN@w?G_x-6e|NOiXyKcXmjt0O&meKNTXi4_b zHMFi9bpPngvJ8L=C5-jSi9q%Vz=+Wt^L7Qr{$8;3xny0a$bINxj@+=%kFym_@C|)i ze;)%_#PCAWj~^I5Qc4B>;YEcokPq)2YUCam8li?B+y=|1WDcb<5QF2j&B~DuNQJ{L zneSMH_xU0*EVq&mDz5{Z&5@axHZ$TXxj1s6>-GmzUIDOM;1o@=)w`XbO*jh-7>A5fp!=6h6v0L}{z>&w^lJ^;s|Af~v9Qa;l-G&AB6=H<%U+b)*5z5y)0Wqr` zPme=H-^u)fkzPEN^L;grBR5?}6L@8^g_uMqqQ0B#NHhPykk@Vra?pFZy!aJbXO)Y) zv+*~&6ldRl#H8=N2d(nAboFEqoT3L`^M&J~#{Tr!!aP%`u-SDV>*&P_2Ah5xSA-GX zLNufvuJta_-q}Q3#-HlCxW9dG{0ILg77VwRt*oaHydlZT>5wSjZQWbT4XALdbIMqv zaWAT??FZ$M{R*nw{fva(6!>SXU4Oxm4jx}FD9nb8lFYiyJpyZk(aXp>AV=%$&ds`RbMW>A&<#*FBGCR9VObmUm16Z(5 zYKNx_V?TX)+m^7GR;??iLiqtz3uQ8+lydSdfcbL96|JkuS)A8h2O|nhBlS99ce?;N zeY>?`dG@l6rO6tymyh(yHEoy5OLg^o_-rSWmB|a&R zL|EEmWdfUlA45BRn%)L7j;5OXmJb}QvwXt&-to#=MxbuTXvt|ci?gHON>+aK@i|6J zL0e^G0zS$e?X-mQI0tA{(igQX7W>G;B%n{iJs+OV54f{Ei^gXo10#@LW3Z?u z{3bO%vX!U_rRqY132Bzxyw`6tI8WR1&UgPK%}6bO9DMyJ__jLuwHtcht~S-B!suXSsaVg_FHGwjn|?* ztI(qzTX>>u|MjnKda;K^5*F_ieO#N=2y3OG@b@m{KA&b@*>*XXJOV-6f8z{&(V$E6 z%qr);K8^~AYpmKd8U7Dh>!5FcApFREJ!+L|#=Cl1rY$Cwo~UT8avJz7D)S#63Hkf= z_vZHbmqVGCo}ue}V6pat!$PfVk5^^!%cicPF4dlo8~W3!VRdjcqY&Cop7Q**`)A51 z69g*HA2=$7o{+eO?nH?|lop4^fH#ktCz*WY+&^a`Av=HA;Qy8LPLx2$5dzn1PjxyG zKatyJG!VUrlvld!y)3~6E_fvTbF<;I5UAYez6jp?r>P-#KU(tDsHy*N`oXm;rt1S|Iy?;=hK=0FRCYas_C2i}SA2Lpi^xUUd8Xe; zEXDrnPNBgc`DlT2m|UP`=vZ%zU-4N9>{m2OT#3%JUF{Gmlk*9;r#?qE5^deKq|R#fetpkTzB3uFn z1HQZN)X(uKQ{cEZVEcXU4WWJFnP9otSo_nANaF@@qakkvwZD%HCp>_eY#v-K1dTfO z<_5(~EY-z0C-uuUlVjHl+2QFA=GSVAlCJi-&61H+qfL3=OzNDi6HqIIez)36Rrm11 z?_D$hs$z3e#pMJpChfG)XyV4(9yGfv4MCccrrr|9MlS8wWDX)6C;ntPoq-N1RIW{04_)!wk&<)5ZcpzT4pB8AFL z-yQN^G8DBuv6E@Sv@-%hQ)8x6OAJs%Bf+8FniU}d*y z-|R|7(g#L}UoC$nH`t?V051hekNeh*Fo5$uml|=u z{DW73({JKSKh5c`9}kh?Gu*mn*TQgjuz9Zg=lFXGUf*X>D*?|?j1iN&y|sgE;bE7q zrOB)0@$T{ssL#ge^ZxM;7lGVUWHz+np65fmc^~ohgw}eM3e-K6#FRJ~IscFue{Qdm zooXu94QmIxxTpu1Q(UkLYH`OHL89gA$JfyP9ge{W>TcKhylXM$QTOal$L4`6CU1U; z>xQ4*&B5*l{?>^GqwwtxEFy&}?u6w&41tP^HRAb

    3}`hsun2S>o9G+Aca~qn~9V zpH4+TyBK!eCFge~VI*0yI(xoq2Hw;C5GlW!1_^w)7r>7#PCQ>_ADBSw#u_aZB0^p5 zjRsojFg0)A&#(IVahM%ncMpv+HwxU-oc`zH*J!5J7jb@QfE+{9TvhMp+ES2z(sAg( zF&1~?w%GTNjm*u{^((p=>u6&*eVmc;l6UO##fDYN4E@>$_p*ewr3}?>ugEmDY2^Md zeoVyBkZ1Ibs`0+%s#Q|3hIyuif13diD@N6}DC6s!S#+?#zN;~&eR*p%uEDMv?z2Sl zPNb?ta&d`p4`Og#{>#Imr)zN;cdMcwE2q`3UWv?NcY7Y_?W$tZ5Q?Q5p?B`;!#|3p zcQZz%OH@qvt|!nhPP+}im6yTK1oDDYa>OIbaxC%D%eF?vfiA#K-{K^MD~pSCBq9Lc zk7A1su)$#a3Of8BWypa~F7BZODA^B?Y$rhz!l|WxB}1Y|cVe6<`z2>e*>=VGu`^C2 z4b!*rucCY_K|wdGGBc<6lKiSy6yrM5y5!ZPh{mrXoc@`^x&j0wx80kuzmUA~J!|nC5ROu|Lmv-%nZh4XK z1h$_$`b@yl*0h0uX8RwmQ5*6*t)xsShi^@yHw*XEjZ3*lh63dia6@YQ8}x%!y+eVS z(;^^*!MYFyL5g7f&GxF}Ww2Q8dM@(|7i{=dhClCme`Qe zXNueJyL7wLFyr6*F3%ZQHMv`l4wpa*G;H)x_Ps4$@XqPK#4;!B)-$0zjo}h%E>{h6 z(`?Zv_Lx3?w|?KCIhD$3Cz26&$DL1Vty#B+QR7aJi=eGSlv(%o>hr`yEn8(DJj{u% zHQNWD?*Iw6~T@D=_o;7_2Hu8|5@Wo zix@K<^8CuvBL~i2F|B5PAMgw?dP6dQ5c%ww zdFxbj#>;&Ds)h2;8QIVLk<>e#_ZO{&qS5VxDd9scaq|re!V^Y;0nJ;1|B65fdIsO& zp}^K#{hnWEWcJv{i!i9(?Z@%G)rTeka2-G4__J>SGIQ6iTFY`OgykZy16C;uA1#GA zib8|W;rsQVD)H#WhRep=E^`pGssYbV`ez+U z1`NsOY7#!;xz{nkD@pcgrp7dJ2`9&AO#yR`V($y;=4?A$+jQ}SGam-W;P-pvDrK8A zy8_yM)$uj5Q1W5zfzylZlvL9XMA0|*8Z1qb=NrP+fp5;O><8AaBCx9)^l>cpC->V& z4=t8;utSf#&{_p2*yxd6C^<#Rl6@mr?&(cc7WX9;DmH|9LKKSdmZNoRr=0gyfy!fs zy(MXCn&`@4f0*ud2EveI4I>YxKXX)FF=yJe!VrSUs+)!t8&kW0W@dvec!ib#NmhpW z1ZJ9vUh@-zV+G=1Ja(BEa5Mp2*IN7BUgdoXTMDA2`3X*bF0Uybbns8L3Fs%d^3&p6 z^CB=EiJ+)Clk0vsIk}KxDglrDWm*A{3>gF;rJiq)v!}Xtln`qvLmn<{IO> z^>gB^=u*+PTo@0I4BxMshg|xISFvdIXK50e@hYynmu(tL$RQ%#jd#NvOVoJ8$%~uH z*+!E7ldqKTa8>(HCC~w1eQx@fXO94l8qv_Rw-*?i<&VytAF9e!Jpk<88h%}^S#~FRCU&W%LW!So%Az$5d^y)p@r&cEr*THIDbCu z@@#WfoYG`(j7`PGg0HY$qO=>K_5DNcpYRX%CQid;p<@TVq)j=JZ?Gj1wNfh1E|~#D zKJXxf<9mO{cb}U7ymEyfHYA?W41$O6`9)a39K1*uhxCnk=I=G-kP2{8iam-LfQ{g6 z6C?1P?^*6&zjeM3!8eWgNQ?R3^bYBJ5&3ejZ!cw;Wz$}o+_`QeLrKbio`K9cViu)k z05u=O7AH8fQ~Oj1DLDhWh`aYOny&5W^l!&ufW1;D+x27DTYs~b!gOV!#@dAz1`*zU zD_DP6w)~4jpeD*6-le)K%RKsb6(g>{{$y%R>*@?0x!CQRjU&HmcXgm2{amz))BOm`4fI`?tIstCi0e)n zWk1rc2zqd-8uLw!3RnO=ppzai-&L;ndDiRH>tj>)FYCXhQHu={P1DPYh!@u9#%qSl z9t*?W{>DcoS!~SynI!KTEhg|BV2U&z)4TH^WhXV@zBB{VG|#c@6Us(oeN6=>C5hSo zSX90D3CrCqzxK*=E>23}SFk`LO`OwxNLD8L`qrFW-jY$JB_ijuBe)ZGI*}9sGe`OJ zj#BOvg65)Sz}Im-=k7tiVaqb|diTQZU$&Bt>_RTQs4jdjpaestW`>S_0Ie8$^aa(- zAWfl^BdvD9;<|V-d)#4%Jla$;MxoG-PCVF)bjpM^JlpQWVet_f*y6?Ge(?sT0}NRc zRg5mPz>8O%82%}+Jo)efK^0QE=d21W3n*)}K@rQ@-g>Y~K8Z@%nLtT_J%-LJa)yeb zjO%w7ubJw`dD(@!Ipf(!+Mn|Y3 z{+fjeo!`!LHNO_Ne@M3;`l__jOJ(^{H-Vho-&%LaIIB)I1BnkrI(|_#XZu5Vb~dCr z#LXuHw*Q_c6T{Y>83$Iq>q?f}Fl$bksF`o4w-?V8plLj`sK5OTRZZG1zy6C6FJK?O zyuaE!s}|8WG2}OTUAVt@uHbY{F+ahMjq8CmU<9a*7vNN*lg(1^vm#}=x}~dnUH0fFI3#AhDE$1T#F9}P&-#*2TrFhr50)$7TfG|_Xr#?+7}jPPiMUEdjYF;W3Ci-;-1TREF@fRG%-W#2ck5wC ziYDjn@~AV%Q&1h;K8n)3`@+mNXBsSN>O(BSvLw@%&mK`@4vD8{7KrQ)EuR3g!ICgA zGr3?xls&<72|JbDfv5qGHT(O{MeO}3XCZCI5o;}<7xlgORa=X_PU&E8bjM5=wHjB7 zQ*rc*`eR~rT9tjeVGr;@?pA!YIX65f1f~C%p5dA$dUKJWOE9tz7AZ%lU{K$!D`NEM z>`^-jN)q{bAvKHr;z@LGEgYTaE{hM2^v~=MxZm{3&;U3>>ma@eBAf|R&yS^S|D>N{ zi`1f4K9?BivF?mFNYPjgNRfJ@-=7*J{X95dQ%>A5LrW2I?kgfse9-*GtL@A(u$A^4 zHXXUREivk+0y0nxc!QQ1SZ(<$Gp=rBPWOhGR zB9MBss2WLg4RGLyA~xdriicS~G9PT(A$uBC9Cdu~>@0hVq)>L=`!-Kz zs|!>UmhDfeF!fk9JHisb)7H!bmphc5E3%NLVseEdsCpj7Zav!wb4lu5`tEz1 zz@XkW9%B0hEa-6w1N_Y^?YtY(5q>G&67O*mmtgS&`&{T=>iOG#rO_pm+|;$0RlQ*Qv1GN|6G3yGWR&?Zyu^Qm zfSzS8{(W_MZ+Ihn)p}@ev^+tS9LQU6j6@@~RxaIR5%GkC+09eWoBBvr?)*YSD1zxy zg5!4}<=wcCn1F0gH82HzelSOPZ+8}nWTm3aE?xsz@#Xi`Lrg?%Z>b7;#R9a)u=0Tn zw&G0bdi55DnUW49+k4lsa|}h;K-UcEtFu8Z&^)Znyg=2y&Y@dF3<#*XVY83H${&V| zzL;DJfUPw70QaQDh1*ST%|mkaQ3u(e<@z@u1K*};g(=hARQJCG?|?uKgpKx?9)YKo z7JpZ15n>xlv3w9t8M3)&el1jtw z^$xYN?UIbO9)I%A1wqK;Q;i1H6%h!=rdGfzS8^(4*S%|#uE%#)H^{2sr)43ZQzzJ* zeP);YN{XTe*ipDUTIKL~*nXxTCNwRCrfwr#u~o7tA>Rh-tg#-= z!}nXbJ%sQ5@F!?YrB1xBug7$g}1_ov#+jZSQ)r5!s_6NI(;>u^fv`20WM*B>}fm2K77%zUm z6%j6H5Nyb%rvS%-{971ZtSVwVG(8+LH8B3_pnVocrEbw zvR_oB0#uA#JgdIfv?g8i8P`X7vP2FzTg$|WMQIN*_nav#NaYZkPEXEcR4fuKE~Fwa zbG>ZZi8GYB-jO(a{y#G4wJ3QJlZSPc8WFM<@Otb4>P+KwltZ{eg9DHUaO7x8S)>JHX}{>22d ztP(965W3!(iEW%Z_q~WvK4{G$D%*EvgVi}xc({?95^`o^iHL7W9AD65P9T@Q&%}~@ zXS=n%9zuq}=5rZ~adh>d?M37BV!D1tDf3@s0*u^)G6KHK^K%iMc(s+RW1o$)M4LIX{mk2eZK@ zf1=)_a`j{#PpB)K;$AdN8vbu(QozAq$ev^s=9s$854rC#FoCS4AXIMz zNJg4~btfiqWIjmr-+mOV0R;0ojX?ZUT_Qv2Wyl_Q87R0_8yAx8Z+hp0CC9{sFO>tH z<^2yCO7Xb?3z`TQ3BBRhb!gElxV;5HKmq2^m}9YK0IWqvM4e!+`NQPfEB#y?uOT`1 z1r!!l8H^ z)%E$;q|pO>vNLi1m;%D(+gDhm@Ohx!!BP?oRx`|>AuLan-v8X{+O%f4{HrjMEd65c zpFXckFPVCs8Fb%id4}+4#IU~Der6({dq&}~G^=}C;p^A+_Pw?4bA`j_@g+#4jUSTl z-KLyIy7Y@pbjHW*uYB%Hg~Pw7wGL~JhG$@}YKH%02wMf1q{6gnUL)2`;pbf49~ZOu zaDRUaqhF;of2etV8KYR9w6`ThgByLBa$fX4-JWX*!SQZ$_NTD3R*GaBFOK7ngkg=< zDz4#uI?r>f1EYoANvqXS9=ihNEujfjA2quf9hYu>CyqYNzZ=@TVFy)CsXsl3hrS9+ z@|~u11vsXj6OEX!Ge%a^aQ+3}gVDrGQu37Km&WpdiJz%u#wU#m7{_SZ&` zssE?F;j=H9=fd~Nka>QyAN6JDPUuIs4p=7dZJ!vh%%vgI{@yzRh)va(?-!zt^f%B4 z)$YIl7m@iw+$UTt_ke@){hw$fv`K-jY#gb0+tgbM`?WnUp@E4muNah_j!fRxS;IuD z9vZ%#vW8IiowuF6rLbSy?K~>X=gL+>xjzA!jccm|ye&4TgmrVIvnw~L`n?4yAuv!R z)Y;OaebGa5Ny3yC-??y+hOEuOT4Zt&#FQ3fy3CC1FuDlv$t5pST0EMJ%rLbwxvZDw zI~V`bkRZ?&^0_-^zxw8q4Ardgkk%F=xsK1x9>@NfP3=hNL~+BCBR|WP$Mt*FQzp0L zWi3d~vcjXmx<}h#X2oBjsf6*fl!=$?Y@xihD$NCt8<~eRWfBb#H(LTZ#6bo!n1f80 znUS5gAeaB*eD-9pX^cnDqtTAr<<2623}i57hG)wfi}CjWvp<4A#{udttemXgMB}#?nV9u@H%uCAwsc&~1WI!h0BRH`sa~*RPcB%b(UGd0d#oD?z zW&R8@_1AEW#(|dr(=7aEP8ZG?*p z3NSLSZOUXMf46C8FR+Y|x53VNNysIERPD4tN3|gLg%>3TQtL$lpk7%4t>~?&T9liVsxJBMS;M+P~B*6yM7KA&XZK z*{*#QD7BMlDZL^~=1+&6I9n*hN8+7mqPW=-kjb|<9%?dwK-2dZg_-|muh7zW!&1BN zME|@Q$y+qF9~RbrML;_|Iz)VL*Un#`=G!%vBJB5yESbkg@tY<~_%EZX5bQ`O4h-9Q zYp+oHeG}}||7%Dd93W!X|nXjrbiA- zvzs2BVSI1*h@Aek8B6%@&gy)g5^kfpP3_mgqmPJ3rj4H2l=+LW%s)3}UJsf2wEuz0q!>?tgoQQuFO#sc5qFl%~u(!z?}8 zl*!+#!Vi`fbf=xAXC===-Ur_|6q%xt)st9Erpz|Ku1LgX~79h!Xd*BNo9;MJy$@UX-d%DfYNuG4HIzV2k9Ku!zG9Bumk z9)oQ6eGJ~bqRIR}dYPx4*BR!;G$h3Fm73a#hUwgF>2{3E>{K}>-cEJ8BzLzK2qCS#sg@OV3){Ga#~NwgGk&U`H} zfh(41GJj9gPP_!uk!fNHKQLnp?R=F%w%bWGuI6S-z|NCk=goqY)2udX#^*~7OUBOY zdxhd#`McMjfn2IKZFGOH??hg2a)^n#)tbKl2=esr+eIU*TY6>UNO84c$=LbarcAsZ z*I|B8e4YtAFKY4`m@n-c=5wxiNAgg}5=X;xnta~Y^!;7NBjfuzP2c4=F$?Nw_x(a> zMkALIE+5X0;#H)XukLH)?ACc&|`u=l!P2q+BVzz*0M= zq=`tpNLN4(H(OwoE!2(&Wd(o9)*i=xKsyppv5U03oMwerkSy~!QoP>SY2tII(HR|O5K*I8lb_4A-vy|!F?FNuft>V2f^0=F> zJ%ALy6J-9~FmEgf$b6mgT~4!FsTs+P5F8~_w%||1Y{A6^Hyq<;%azC@<~vbm7^LZ& zOZE~<DLJH9i1}8w5m$6^tgy$i4=vjg_?|zG6y2lcUcgSGagLiU0ptvz_*uRX`h|7%Utx3yH>0h#&7QOjY(73M%-|Sn=OGhN=NP)?wbLbXwt-Y zBz})E?%rl*2*~^-W*0{%d2|<0@%zpH2LJ&7|9Am}R8448K@gtTG_}^Y>7V@t5fqdf zp(=%1gZPqcUbk-EOWxbG&1n(Zn;wdYxwL{HNCgo*inoGL4}vET_SB;k#e;}=5O0bI z5rk5-I5W*l6B6*jWOir1`F3V@He=8a698~qzdeNtPN3u+H9ej2d=cmbuM0AL;e7mH zd6|&>wL>fFxsyvSZR04Zbkd||WX_-#uJ(&YENJ1owlWzzD^=0VNilC^Hm4{AT2Kx% zi?h78M3&|(()}Mx6ec17iHEIy#4?1^m`mNUov?tQ$lmr33-xFvAUE$DEtN2V&|12V zk#5FA-~M+9+HtY9Vn_kCtnrX$1rwTUZDRuO<*{F6X-?3rRzfp@1y^VlE6S9A}$Mo@^<)?StyYjjX>aW0J9@9`AUb-@>eeQ!H{ zkwOM)Lz6Mthch5Fp5=htZ) RHnG}2le7b2{R031|NklBLm&VE diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index b951885f0f3868d5d3729d1d663c9be7cd30ae4b..ba5d00f5a3ff19b9f28058780be1d00478ac7a15 100755 GIT binary patch literal 18562 zcmZ^J1yCG8*B~yzLx2$6oyCH~V!@pd+}+*X-Q5Z98ho(?cXwUfWpO>e|F8e*uIjF4 z=1F(Yn`iyT8Vd>vsu~6Q13GOVJ2MM2E2)T!g|#s$8#@~*3nze; z4Zz02%1)|c;^=B&WJ0QFU}QzgN~$7Fs%BzH`oq}5`QyxJc$g0{P*APH|An9wF#j6| zO8z%?hd%W|PAC4+beA@y3itEZvVl5$ZLkJ=gq4CR^{|lXXH#nAuis5YVaNjFY3V=1 z-Qw+4(1QKeZ{6C|v=wVa5SJ3dl(AR+>HW zsg`ay9nX~)S5|tpbJ=Zx9vukLf=j{a?EFTpW|#Qk0tmM2L7I01S6>L@MBcooBn;1# zlD+}BUZ+km2yMoF6&p!jU>~}7(mx#tppL*!4}2k99=pYC^+0bZB>Kk3NlOErFQ*_% zo_jV_>>AUPZB7LtBYGdK zJ!&!fE4#tcWVGS-B(3u<{CnkMjip82h7aX@YeNTLWdk1{Z$+cgky%>Wx@ra=`!6}X zYQ5VEME#v)Ak9RvmtY%_FXlPog#tJDC&Z17Ux?%+$mGyjRlDw<%7%lO7guA%8V&I^ z|LlI*+0O7jwM4LknlH~s>M5G2_SlS9VRV%@oksXt+hW^_elMQJA?s6@Z1=vD_Gn{g zMvZ7OKVFI>rQS#qNBO>#H|Xb06mfY{5ly1B2DY$8so(rL(;o>GH1kjkE}YJZupB`0ih(e!hf$l#HRm7MI`b=TqQ4C z|9dQd3Du;0nn4H+8+q+xW~od2Lm(CrXG%lnpQC^h#7tsyPtq~i4zBZo)2`jC@vQIz zc~w|GRkwh939ALOU+l8kh=+PUijDGW{qUo%hTi$V=@|8-m%48JJ|dY*DRp9X!YZ`zj{g)pw$tfVa+R;>*q< zP&er6oOL}QI6&Y2F#fiA@%wdKijxqUYD4{WcQG!9x_ zj7+>bKb@tz4&(nPo8r{s6m&04Q>PXG!Nc(sU9B5j)-Fd>cJLsFJ09;q0Dv5Z&y2sMoLH~tX6$)v)=pXc z^h&}uAxSndp zGXkgLOXNW{YOc~%@}BiJ>Y-nG3LN=66dhC9aAg*kXDt|I)b;p}rgp^CIcm~RA@us} z@lo?T5Te{O5$BWGl0@^f>0$<%f8C}e2Q8sk@CU#z? zj51_ozQW1GBm6`BmXU-$ftp}Jr8%kYKF8=QNzqe=@H5VY@~s4>6)t*YAnwqWZ#Qo zJop-aF{Un#8Od1lbhoa=;fkS6blh4Go7TCX(`AUdGRzM1?CNQ_1gA@n)RE5y&734u zrI9Z3*_oWI9Yb1eD(QI2yL0g3qL#Q^iM!>=UH(9*MQcVTs_AM+07(XBWwkpE<8~a7 zrGd-?@{wgoPzh0nv}m8Yuh{OhVPSnI&AWlq#rLEULq(#qkUXdJ&1lxY>Y%^k!M~>_ zjhcZMBSZu-lduC`a1Iu-#bk!jFJp;}2(H2Zs$@yKJc6#II7g`Tc6TzmXuF$sNk&G> zG8gLe-12H!ZZ|zoxH8_%UMC$N0L(4Z=?2$SCZDnV`i)++B~nKYP)ymbEwFC8d%kG= zi4)*u=mz;g7*t+7Q^d)!ki!&o`ttOKIgY%~6IhamXUS7n%&w#Elqlj{37I|4d(RB7 zNB1=DvWTwd#s!{|tSf7&?{v&Cel~ z_XO^d-HbGil>VMr`>*RoK*vL~W&X5#?BoJDaxma~gJ1SwFgMrlw^iOaEQYmK#J5NQ1l_2V&i_>o^Ik*TpTHs?kQM4FBN$zm^HsXw!V)}QL1yN7zpScLF!6 zCIyW~%>JW#0qY&82$gz#vQ+~$Jm33xyl7-K?UmxrIuvy#&dxvy2Al;%t&kBR2S=!I z)NtOWB|}TR;Gi$sYtRoX+%ztx9xLqrkPsFeK6v6DFqSm_!7cmvVFdhMp|5twhMjEXPbJqX|o!x3Sv3+BiTtROa1yUncG;q+U;1>NWAsXdP&(o59N2Bh#$6_P0};kGS1HNg zq+^We%e{RE#tntU#1j4~`EX;Gb0&oc|2z5T{*pGDl=WH*Q!E?)Fv?#ZRQ)bDBsxD; zdrhYH=~O#ol9O(vzuMw=0zrS05_La1TgScn3Raq%cWPQ6dDcIzN11lcVujXnX2z}3_ZGzUH6ThuDDFW6Grs76ftF~+6_ z;f}9y4ElsJ_H*nghe#ry$s-QIxVuqCR$Slo{*wJ@V!=(w3YH|-9Jj}~a`2x|&&IO- zM`n3En{W8+*ne%Oj!)_%0l4i$S!RMYEl4(xOj36dg`;mC!|14H(qr`m!g| z_Y=7lO@Ap111pJY>yCFTmUnA{cdLeX>&T^NU7p1bQ|h@Mag2b~m)VVIohD#wyH)9r zgq&-i-nd-Pb_~q;$6@bY2t~L-3)MjoBKUdHfheG+yYI|AH^+u!Rk0(&HY!XNKQlGB zP-EDh;}tK~bT+tf`FPL#oH1O%z0}|AmFmo(+4zCQiVd_%e0GaE=~24&rtG~smid7H z{p!@nt=GiLlLKlBR#vA?uh>pL?Ma5lxr{uD( zS#H}vF6-|2IWHu5tj17_?9&d^KX5dH{NO&Vtc(8< z6LE}m4sr=VUh-+)?h3SNdX_CYV6Eq^I)f)#xCunJ@(}JI(9H`tN`$8{CCe8uStGbo zQ)7b;TTbv_H&yI#<;1<0`8x%A#VMTB?LJnmCWG*HieS0L&F@P>R|9Gp{p+;R6ro$3 zKEZ!=Hi2GwEJ465-zE>L(Gfz!`wbNr<&3sOA?}&lItjNT>YfNdKwQl$bIaqAo|`PQ z-THj8ZkGA^cD-r7gQns0?W|R$Ti&qroZI}bi`>@_yzqgUW#-)GevxoGC&e!7YWXbn z$j!CPfAH4Wx4BA{>vRW6EU&{=7YGnW3McB`R!3L961Y>_qR(|5|C0UJb6Vg|i6yD@ z@AH|VJ805B*LgKCd=R>5re2Loe=`-Q3~Xo*>%_nO>ADck zG}npuKr=I<8ciYdJ>;e8HaP9GFQcd}3ep^E_tr8_E|bkY^8%|d9}J52dLxs)%Ooz# zGq&c`Y@>~{Izi<5hhS%QhEKdz`0!`85*q@^bW3YpX(y} zBU{JgoXG1C8^Yx!66XTB9ak!F{`ru>SP*qo$|mIY6))Jo6IPHM<>3`_Tf9jgl4m8*(hH_| zW09Pt=jV;{uW9(n;wtj@Gp_P3{0E*NpT#fkJb79()w z;&W_&=3Db(JiUCLidNTWxQ8Z2Yg!_EYTvF^RHb!d5^fV(0VE_Lnci z0}={X_hQr0@AznllU2~R7`nzznl(G^cf3p+x~2WAL7Hn-5ylD4f_+p+Pt-#+fwpLl z(t)-%A-3-pNf2a!C5&2|_88UW7F`rV#!1Q6NG3yT{)nUUkzfaWXV9MjP~A z$6eI>Ds7^OMzf@O}qDRcc*MVC!|g;q;{Sk)|DWo$u?ubxN7e=tx<)CSYH zyReUY5JUG7{>oV~qr+v@2(SPzSLp5x-wSx{X-SB3ab`5!5eAASkmly<0d<3pw3iuR z`hNd(q0^qBW$v)kTzlou#r2el`V0zWr+%cHa?>)54P&qb24^_rHfERAjwV3N8K#v2z;Jw-u{J>oR-HZz14c9 zBEe@X%EO>pK*ZIbU3&PL+jg2qVTQ_njm4Sy&&L3tBW=r+9tZaMwk0qmeMb7G!{a=~ zchM7-6kNMceaY(^cE{_B2#Vc=uHA9#Ie8%ZXhH;yTwDR`@dq>05bPBu&+fkBylZ0`b*qMMrKDSAULdyQR zrl%U*r3!enc8e3M77SW+^y^rC^%P}dB{nm6Gr8@?FkRptO#PMTYKps+jlk;a6vRc$ zG;u~QFll<$|2cd89l8>(5##6NyUlqDT`dG#1i6yU?(K=yawbgGJX)A zbAV`&Ve9iZ8sAlz@d!JQ{&Rp6!(y}7TI#rhIof36L z7A|Dd=Y)Ve9QZUF_I371X41w@9?{c+OO5y6!V}OvZl5EPlUWKEplq|Y&w+EaWDc|o zujd=qwX27@_|6I*kaPNW;l`(eV01ITj@qKG_1ojsvl_q&Em=9B1l#QbkI`Z!fOL90 zdq&1Ix!KkYaYZgA-XolfyMuNUhFW=sqGS;=<=hf>lSz6tCF?$NT@Av~(<-|9Qxo0E?m}4)j<2(^lpvT*OUMV7NJR4cvn$Sc^Yn4fCjM^dW3CyUOtE4mX z=+o1wbVh3AGD1poBfQD_vvVl2@^^J~?RS_|`{fuVwv6vJJY1V{m%|DiXZHl`7^(j2(HvMc5c`usG?8rHVNQ)oAaaTMT+M2Mz(}5W*%8(dOUz0Oq zC0>sHLb62jrE9Jb=P4*Ob_U}<+09BvJQ8D#QSpKF*-hA>EsN6S^=Eyas%BizQH{P@ zrI(g`nhJRsB-YOvZj{|(AbCw_3WG~%ho&BZ$=_| z`TT7;>uln?a%BeMh{9Yu5|7dj&s-a0??rI7nBwRGWniYR6l&-^AC1ytMejB1W&u%% zySH7}#p&JYjaM{$FaDU|>kOO6VYJJ1mX0{DWqq3dE#<&K%@BbvSm&Ih6Rb+%ToIryJ{r*ad89a z*m<*a!6)b+aO2g+X~O?0IBBb1sb{>)apzVSw^Y3=I^e!z_SK}#O|0K3)NJb}G#uG$ zo6Dly93SlM#4kBlBnRZrcS1xP^xmio zepm?%>rGC?nU~zfh3jgZ=gT^^a*Dj*Mvv~p`_hEM8(QDdzZYwMEb&S zfJs6GgRkuw*e#Qk9%ej5F+yAtZK)kU6bQyrF{Of?86-iP%h)*ybNx%rr4v%7e*f4? zMTVpMn#8Ho!n?h{z>fdWre{|*%vWOmotGbXgO+_rZnIjh*$dAbMj-Y1x*0i*L(hC7 zkgutkB3V&4%U70LxlFvM8S55rMyX8Ds&3mMUJngF?~f z451+Qq|kJD3*9W?Q)ch3y%F>H@877@VH;9Tx)EZT*kxx#;%WtQIRyk*$;D*SM&V+M zl*LK-KFQru;gllnXOzrSklwR)$MdJ~JUKb1>K+<4Dy|uft^G7&o_Wi`Hmsxf>+m86 zIi4N)ItrZdxz<81#cII~k3c|D#9nSiDaVM-}Ty0YLa?{#az;{C5JmxP3 zis!5ONBY1@>V@9$&V`*oXJGn5@d+Zn7EZ-kL+bH4AE0|Kpf=8ip1-lV{>XH_K>d`; zb7fkC+xCUh^h5j!>isn<(*6K-XK!}w8E{m6HDs?Fn-zp@cA* zyv7?u@GYvR8`=8_Z+f8ca*_tqAp5b1KNfLcM2y1@*1f~u4S0y2e*=VE4Acv_6JQhj zG=Fn=!OsH`92L{Q3K!v3+?2)(iWk$l(YYspePE9!D92w%tr7&urLYyWd=WOfa4+1p z&X98Ff?6ed{%!6<9I~U0VBK5%r4OOqnO?3vE@fxS619dJw0`vfn=SMT#)c9O+91;37%AS(k_5O0ykR=?(mva99byRSeR{H( zqRP*M5%^}}{RNSPY!h~bCsa4oap@w||B(WnSwEgb@9@ir@2Cp>g+O8pS8SyNi1@lH za?AV16e_%p5Ygv6sS~a}EMVRf0Dqx-c?Z{tjsM)U&nI*bzxPs4a!4X{kJyQp4B5F5 z=JDl@)fD2fdn3B(%(oH-AQacCQM_G*=OO=lXyXtguoLD1!0hpFf5M{x!lb+NbiwL4 zM;C>>p||TLUXnnCzUUHWLpCFK82gIXA4ybD)xe~&Z%@YL46nfuq`k*Y@;>ZAmvQ`j zE=r4iKSGCiB*dV$f$j$f2sBG3ae`W!GFIR;{4*D;u3Ff~%fLUliRxO7mbYX|i-F2M zQMv=Krv%~m(LSAe5>!1szDph)I!pAk6I?k-$~zu&vXqs&43C#}qCJ536I?3$`#tu&fWmhB&B_qeK62Ags; zODmcFR58@JSfV~R!RY|b5XaNrWbnrq9bEh-$H)rwMnBa?T4pu*G8M9B*6z5x)|%)z zqzN#;G*2wrR6G~O7RF{dyQcf0&?JOW;DvAFy!N6Exa-4-lTuIUvh5|c*Rq%5 zHKm;<-+VDGAWSX5wwBW&T#me≤J^&~sh9e55mLd7R(@xb5= zp7c;O=YRb3{!eQ71{OH>%5r#@-wiLYY6PW2@$h_U$8 zn{V6%FN~pl0fJKWn7KH)y+vB-qz%pQl9P65?9GUnHe%Ovqe zR~r-5ujem0+L0HfPL*lV`F>!?qK-j|O){WWWPJT2bykSV|Cmry! z)*8U)mR}O54j|E`_SP+aN3?i4g&`ya&i&)CA>SirqZ>q{dxk$D@w*{Ta0opRIhy?K z#cxR*bgA+)M{IF;N-oRdf`7Lg3lYH69ln!HIqn?`JM(%a>POa=peT;Lx7Qp7hFRp~|P^qi%?Do-HTQ3pPcCmt<{hwrEKT_+hYGV~fJa}*C6(oW(VqWtVa zK%WCYm^3DChjf2W(uv>#M$z_1r@%Hq_(>1TjM$-8Eb{<+!s=tdwtD1)QvO;dwJH3J zS)k*_D#ndAA;`m%qpXTZUhK(5(uv^^YKm)1J;a^hFo4@V*N5cLQnC4!o{)wNeP+w) zV3Fp^wy##y8~&5&vNcNc5qmuM=Nsxv$&5iGA}}d62ny9Ui0ulMP#YrmLlA$>(vx*Y zEhsmR!=!XazbzWF)yy)~DoVl;E)p)IF;E!*6Pc$q4;sjajsS^JGt=kx9;>D&- z4n=g|w?}qy+YfdRF%wBqG?S2!r|k@`!5vBKvwE(gJReG)D`x=wbE-!!Aq^WF138p$ z#-M)RGFp$wbpOGcVNp)?n)xQ<)F(jH%x$MYgz#il)Y44hf+Eet{0@RGbWDHlb_MBLIZN-U96dr=`rXiU&<-y(L|2{cQvrWc2L?uq^& zrHfNOdW$PjzTJf|8OqiSMFInq=yCkc3pg0w{`|1`^@5MWH|idcS5?QZzT7l?KzHpD z$)q6oLn)CeFK5V1?uTQm1fMOoIi62!y#URswf`YjgRvh<(xaqAq zr|TG}k}u^TRk}~qrtqvet>l9Mk6=D4i5|)9LvkEOSjyGoH~`Vl7C(<9yzz11DI3E+ zMPD4DyPkD0~r52$3 zhJ<2d+uM%I%gCMt&4?N!&}k*3w1#Jn-;v55BS!s1?^|W}Kbvs5Pqo+4b_F)yFZ|?Q z&kd*uU6z8>vSLBih38yZyveJd7caQV0xQwO>eO(L@kU>pMb5VZ*M8wyI!&wZy5+MAkp~s}BW$_x=koE!UK|D##b|1 zDKkoBB~ZCr@#K@D&0f3oW^`71%5`&K{J>$Wml}UzMP~BrHl_m9N(@S^qHi=;;cOn8 z7ZZb*V%cHNeXe03B5=_4$ojb#GkE|aORY6hC)rZ9QJVPvu56~Nt4j28_Vb)QO}_aX zWFT{LrouY%WEf?zzz@uJv1xb)T=0cBIi1tqTdA(=2o{%AfQF|YfhFjLaS$d4>uAb! zhpz|qG#rpj--t!AalvUqjA3;a2+Tp1X`cCAglQfNv3V}nxToQ0Oqe^7hVF(s1pHmy zC+CW{LAyr!zb;>~JCKQ2%Q||Zn8LMi&De&tZiX$Mh%e!=A>geUca+GV>(iXW&o0^r ztndZyF#Rdx2h`}XPr3^|Rvo2X3p((<_fyhOu4a0>zU-U}POi>jtA$lq?bA?SRLnf} zH;WZ}5i3OJ?7f;;HBbox=UN)2ZP~6Xyg3)HE1WqY&2NINU9JbffYyCULG=9*hWk-< z8~nVrxleqR*T;*$E&2OSP8@SoJ$5R+Uau>xmuEbZl^KVl0F{gG)%S6Q9dp9csyR<9w(*w75x;P-8>iu+^vG% zuDxTm7MF@a0AORS_PaZT{aqpUZLAC6wPI$j{F0XfrQFW zYxNt+dmP^#{Q%d4T5n6cgR9-%kews}KhWFy!rs5<`?g%jWQoo73-*Suw}HZclDpvL zX^$yM*>Cd!wIy%i*Rq}<(B$b6oJ0TU=5?&+ZJLJr_>@=swlh9?%*FZsa5{OD_UzWM zH(0r8=EtsAQ#-P{ctE1}EUW!iFmsi4)LrEKV*0pu3)y=<25!92w7=M_`{un5WC3;G zA9mTF9|Ff-9wP)eH`I6v>bMg3a1LG>Yb^m458boLg`Fnvz|+y^Wy+y`UO$avyeG6x zTDoYD75oF%UpHpj-FZ0Ihy7JdvGk`#tecCe<1be^GE0j)Z*PS&cf;3FHlugL3(7M~Fv}EM~)W%U=I>Wn_?*%>j@5Yi*Or&G)DwblsGqW)W#X)u*hLS0`Vh@pk&@W15 zQJZxz;Bn zvCm|3F6ot%lr++1WOO2yS!_j)bUR1nafF3I7wjgISnvr_29i26hH)|DNOk|zv+~iT zT*8aBPzv;ifBraAVW-5()eIwE~5yGlm{B+s{(Y>UlgB;AAV5dDWwPQ zsrtansDx_FHt=EozFC6!a7I&J! zdlK9W_se~C&}eVR5;zA{x23-W9Yh@E2Jha;xY5#k(&WAgQ#%Rv!3Oz8-J@NaYJqBl zxc-5CNI)657hYQen_wS25S}{7s@Lmxe+L2xPXlz}e{UG&_T3#&@2(c`!87zecjI1A zUdq?IU%+1+JdmcJ119@VD0~gBV&N{Rquk)!xwJqB{`ViF>@R&SuFzpG9HO{zK;yV} zpWO4Btp%Yu{no&pFd$K;joO~oW$-%220POR?ADp_)!V0yUfc_=ttNGlRu5kfc>U9c zFYX2B^RvEM z>FwwRHwD~p;@0AT2$(jew_f|eaK3UKs8q zP42aD7e2Ul-`(*vK`vW423K!rAO#IjT40!ynCHlLn%r0AP@aE3*<58v%Owqbl+*(V z%|;Z9wT;=)oAKfKTp{FRrr3(*2Jl1ys6N*n@p(8rx^&-7z2Q2P6>+IJGn#ZYs|?uG zFiVzL47o)-cOZLo5-doEl1BJd+qcuwJDoM zPv1z@|0(CNDf|1j80-=z<#w$uAu90i6JBDH@@WoBB$-W`6h0tifLU8TZot&o)tYbJ zfS}n~xqXiFG%oNcBvwo4%h!-I)b6Cs#xqx66`lvu9AKC7J4bh;j?cSq{d-C7a>+s{ zk$IY-raT3?*O|T2jI+gFG|~+}7OKtB^6>q`wX1pGIA_6Dy-169))}eqdIA|!aow!m z5-G<;;JoWtoT@QzG|KnD%_(`I7)kOXi+aOygH&=}94_T6*)TfJVGHsGz8B|V_1A5? zw35Z6O{R5}tg~w|_s-?TJfzxDm~m8gBcW@RozrRSHkyLH=IF)8@A}9IVv@6O^(Lq3 z;mXaa9zJe+gOdFx4?kVGXmx8u7gBBe#2)5qMVsl@T!*k(ZG*eN0RLWKbQixVH_MVF zS?$uS82S1=VEM*9PAa`kZ{4SN<2^Cuj3P*hP-4w|YusZ<(JlhJI6pkMck58YY~L@5 zCC|(mv`fVZHlMj$E5`WO$0GRIC|stJ-zOjwRua8wNOOj-6+fK0ql6@3N)R7AziNV0Af#k7gV` zW_O)L-lzOub+a0stf|-~ftB@9iV24%iG(U6v?x46-CDXs@xN-R1)Xo6w2=y%bRSyz zZ=;Gtf=cuc6NL{KS+P=|(gb|@-ZC)NDkgH91TE9=@+YZ`fF`F>SUa#{zL{$p7BlXl z)=%5RCy32H5c8+}WyDOM{{hWsg89tRnbZHhEIR)PdK8vRR)?dhp6I^e7c~6e?LPY}Lqx z`OfV+(kQ%gO_!O?NK?dJhcrva|;dXbcX=o0}Sw4k(zxod?Vnlnt_WTdx!uhJ9g0EtR zLyr_|Qn{{4;qxO{m7t@E)Np>lmWi}#xy1~w4^^{k zRNqGLy&&Pu*)&`uWZ3xZbOQ_j34x7;120EdoG+)zRllnmF?)JjqiqHuG)^UFsO;M} zLPbWS9eY!w?DO$e{xvrd;iApJo4BR;g#IJ$9k^CbdA9cLx!JpYkXeY+&nMXM#!M81 z&{TN^lBbfXHo&m{w|TnvNn`IEgKuatmM9`FE>R$xl7;Vu3~=+*?}J(vY6oMOI%m~n zWz9#zWuzy3s};`mqV*vwn*UHozZcO`&)c3MiNa@WV(mu8=I@^)9rLpPQ%s?9Y$(-Y zAR@~jLHMj_Lh?m1?2n{IDV}1nDEyb79i$RX@G((GDZhsK*(gv|ExaojhMw?+7ba1^ z@v}1!Xr5+jEYHx<57ji2DmediC7`S4GjDYbJNk6JcvFY_>H0XDw05G5u(q7$uctve zO`v8O-w^h?!2+U(p{L^eG5WQSnxd5(f=2w#m-nh zuRKPDk(nY&liG12yF|RknQ+WH)*Lcl(6=%HNj0zdlTySKZh^AcGhxvV_OcykxbH@4 z>Jby@~LZERlOY~A$TbMjMDU~t+INkJmg&r!xm0x)fJtbTXa z2Frg4Fa@PHS*v%-elS6BV`4K;*a!wVbaCglROBU!bC$%nRm~7s{e~tIZZN;NGxDNg z@|K!ym%Z>+OP1a^cV}`z2X=?9rgA-}5Ta@c>=T2-2#>=E_rnO$C0#<~#a*E3Iqs|G z>|#_1G3T!qxJfe-2g-ei@O_66gen-EOZ1R|4nM1RwYw7`ozf4RV3Qhnt-loE?xY?1 zJ*i&_A|l0&7LDiBqL(P3w;$3w?R9<2Dmbm3%9N;F_(-Kk+t9;j9EnM(zAH<29V#Zy zw>jjHTo+zDCQ|{KCCUtKX(ed2?BHNj)F}jbB5q=`v$1?(dTjGWAz_GK`%O0!B-$)2 zwPwyena1RXJN7_I{!TCeJ)G^7Oh|f-F(m%4tpbnAOZ+SL5a=X)70aM=ugV(_g=p6{1XTYf)#brth?%6NeRId9Twru#j{m;t`3SoX)KwO zRXK54h+qkBrrYLU0!QMh++0?qA$jwYfZqYr#jRdkeno1x!P?G4wJ~l_{P5_{WFU*J zX|_*88>a;nOc1KLjwi~uw)Y{^!G-PW1_ zQTN{5q25<6li~?rf9)EIQmYP9$KpNcU4$7rD!0jWQiAXbRS<073c*#0ri7SL_5adV z2mNlIX?&IDdL%o50_!p5o~Yfp3XP43uH>6-fUuJ>9H;qSo-R3UHr*c!NdoWfp8u?! zg>A*G3o_k5k+}ofO3iHU7=9y6BD+cmaaU++EjUu-bDY9OcQ5B9m{+Wzd8TgW=e)-2 zyu`1+#QQ*R^RujNvfJqw84@o|)>`=iccFm0LcpSa44xqjo+S*PJ`A2YjNa9EA0kW= zv`gX3YG@L;JlYS!1a>k!^GuCx5JLlQX!}r2yb#X(!u?N@}0q(B*4tc%CV?2|QKtu)i!d}KPJTNg| zRIR;%yK2`gq-!QU-*w(E8f7-YS6um%xM-5Ufvp&ihqWPd$O#U5`lB+^X|T*quo68h`LaRx2YroGTiD z=(t@4m#{80X+m*@004V;lWks#cI}0EuVS?Q#b}$sX!{g@Jnhh=H|+KjZ}qXi#$UiF z+nn9MNY}sy;|U!h6wQ))di*c>;)uM@A&=5)QGr$8|9jHj`0<>HnS81lIqf` zH|+Eh?*|`@8<$(BpTF2?%Rgc@@ds}D+`E0x98iFR6sK3>l>!>A-TezUbG$M8>?U*< zrsuv$>?Z9Q_Kf%(ChoP*gw`7N;@b^RVlOl&uxrOlid7~3w*{*FJbv>(H86Id+ENz_ z^^S0pjfFH5&Bq}%(jND2Eux**3%7z{n#ar?;XK|{6Emt|4x&%+_CPyZ5FNGD!Y)@@ zxCYz%Eq${g-^}@362-Qlc`Nm#XX|fo>NLC$D;G`m=M%ECBL%)5zZXWRbDQ+l#;+}f z(xS{y1J@l-N(j8Pbam^;>LZ(oeE%u>t560>H)C3BPJhEkhJFCiMvuDMV4e2&*?xiz z)MXL>G`Z2**5EK>dhuSvs%5;$Chs~J(fmnnE~an(7XqypsDkkpBgsPMnF_1T#zsCp zZC)kxr%JhEgMkRMc#l%Z{Z89u-{NG!PQ5*G&s6wG6U|n`0l&2#*WsAgqF?z%YsTWL z+p^+?!;{yLSf>^-`2OO-{$I8S#B6&zE#YliX^qY0t`r5z413}j{|J!|Ef3m_i;zMf zX#aOaZwRE$#8ag9`KKH56cyU?K&OiZB8Cn}CqJrZX;S_FKE=I@&^3nT4C4+P#O(5kR8s+vG>?JdHsI4*Xg- zA)sCSY+@NpCt>VAzz+zlCA@TZ9fDT+o_pa>tAhJLHuy;P&;Nmt3r1EdOr<&c2}N@^ zjPWkj354emhv^ClPbH7u zll|Gh*Ghi`K_wZ-MUzKl9KDn#{Iq+I{fN6+P}uoPE*#!2zHT3a9UTF-nA=-0kraN+ zK;NQ;K2*%w{(9-MC2(6IL5OW+!PTxQMKlM>JstEib50T`pGd^+36RLNXAvD zV=(>R>3;wW0K5qbcXP+OfcwjJq<1)fO-wp6;XFcxm%^aL>`^NkaXs9R9!`J2zTwDx z#qbyiTTt~1KorZk#z7QQJ!Av!Rw5UH^A5UTC2utzpJN?=hWKvzmwnQ?_yDghumzu< z=t#8zlP7v}Kmc$dr9cm=pI44glhujgSdS-C`9TjBe6rpx#}d+SlT6p%A2LiDvq`o8 z^Utgea?x(0*q3!^Nf(ukBgKN1qd5PE3ZGihn2K8;Xv;S^*@(`ObRet&r_%E?0xmC} zxSvZ(@#&+NhX$BevCC(a9YX-eEd6s(O5#}ntx5qY%1ivtOFZC-OwUoMU@UzUimp7~ zGN6_>*_ij69z>M+UbG7u$u6Cd_>dr{gQNSiVu;Ud?U9!MgXbj-bjRf>$4h=YW7oJem9P z6p*Voto0gy|3F4^FDi%_ZZs+7GBSzyy9+b8odfB%pL8xQP*D$E?gR>Nf$7zX41#^&l75pppLrBLAAH|TIdt08_3duy!*Iva zlf^tIf&i$H?w#1vU<2rS+y9PcmOG$P`Qk`;oVT%7VF)fDKFzu z?o(0jLv};|bgAAi$%(JXiEqh?VgK$l;u!&IJk5!t$ohph7FesBOpJx!IK$gB#-rfh ztgN&KA$+U3o69RRY)kBGd@aS*Cmu{^)yvOeP{Z(Vd@U;7BDP}L1T9xYd&M+0x{b%E zDKS|z8pp0;JWhLfO~-2vh-?~Xr&NiOyMH4bBaG~r#PLtVVo}mjr`_vG92rTe@%-E2a+qxQ<$S7<3IE%z0Y=)O< zqbA{8T$?8jkB@D$Hl|rSJFGNUZ<_Jfb8Kc;S2g+C8x~i5uz$S`G$b$l3gHVs_=5Qr zRS;8V35c>`?U8;kFo11@=WY+#m-F&xixvEQhOL5a_OwhNME#eZKZ%;Gvr@4F(=n>Gl~i`zlJOdyfl?d2UI^Q6)d zhgS)Ex{>TsC>@Z}E|XbEyGV4pDpxpoocTuZnWVP!kUGZ7!C7H^Mb%lJ^L28N3)0;> z`*vd-`F>qrbsi=gcmYs*y84@Ws3q0jBCe4pWXgIeqH_k(P)*gZDd z!=pLY#$USSumG`9?fDTcwMoum+=MOB-B@jrz`oso&N(2Rs5d~29v*NDAd?#~v++dP zf4v&Im|<~>yI71t z`6RO2%I)>_A+5mre+7sKcla!TBBplkmTs@bNU5!OcqO4GI75go^$63~jERqXdt2L9Tq-Pka8J;BQSR){cfR{^yt? z4wqAg{v0^g`}d&Y2lS1`U9mhdV?MaXNy-_sjM<8vBQ@Z`t_=JhA;NwfX-800960 zcmbqWX>1i$6uu*C*$c8mEr^w+$Rbj@P?$Gw-s_Y%3p4YO65^=r5DNqwAwdZtNNP+3 zg&5)zOt3UT|EMU55=>A)i2;`%8h((d!3C9=_`|S7&v$0-yf=vX(MJrWf$fhPxwNLb>A+MiHLJUziF;_=EA}cvT>Wn5&-4*vXaKJA zVS(cZhDC8^kv9#~$q_Yts>B@n5o{XA)4}w{E=x5DXJzcb%!Vg`JV!Y6-rM-fUd)Ek z02aYPf#>K}TDLSq_dw*Qnt7iz=jJgTL<4xk(;9SBO>pimmJ;>V^MCdSQc9tESt}_8 z^sEu(^!}sIdCn>+MFH#iZs4a18a^cY5&qKEciZSAhSOlX#Jqt|tG)`VSVH3-7WMGd zS_GOHNCO*e2VM&n=^9o=D~<6i$>bI7Cls1V&R&D<`k@7(ZLzUyIYcU2hL?ba4^ryc^O^thF98T$ za6lw~&Pchc+Y~seQHZU1?4`$+Y<(L$21GlwoUJVgG`rx<-eNb=*6-Z#DScwg6xAs# ziykye#N+gSt!?J7#hQdN5Ft#O`#Geqf7u`Yj4k1i2Qe2FH0Fz0^q#wZ$Th4;U!--E znjmi(zA{8iB+A%--#q>WePu*?O$gp7=ip*xkeEPpdu3DYQ}mVbV%e_Q0XUc$x|z$z z))8qQ$l}SNDIevF3@BRHbI*=lXVk`yVKYw&uCDyIwvriBoUGyf@g_gBFftE(-fDRfQ)o%cRpB{X| zstX^!57}jjEbL(rm`~#i)f0W+YsAtJ8lfnZl(J=qoe1S^3fG_?TdGGnG?R9t;-Yd< z4{JM7!tywY(espNXFvv-@xDPlF+?hrF`^qGBtL{Z$5(`UFh;c(@!`8)gs6xSb%ceuff#UzxJZ>!Hsx7_$S~s4(MnDO znJ$j%Qswe>SIM%P%~!sLE^%XsHjm_pYqz$*4~6sTLf{)2Bf6x(5#9J~<>(I|0Vw5& zu0(O6hXTFCVtQAJpQd4k77qVLNTq>SPVD#_m0px4sb0(o#L=8yy$?Nq88ca(3lSCg z6fe3DBKHl0Ss!WD&S6E`J5)5nDvhk~^3o?a10(&RH*5r{PegK6D;pM0teTA3HK}S2W@#D=eF_sT@N!gN>%c3n zqLmIiB^%z5b-L`RzFvpL&|BFcrFwdOdEu{&#g%c=_RTB79f{A}Q;l>j4m6eShIe~{ zosb{p;RgAOypM`?=UO-kEi8FPM=kF9oN`f4ysIbg?v2oq?cJotXJ-m^pWUGuga|`; z4DV`vW_3yDyF6tfm+8~L?^}lbvj5!d48`S?-MnY|ct8_HSFOj3gg|fz4vV`aNN{&|hs7;8!QEYgySux)EN;8#qBqZdzxSN` z&v)ibRd-K!pYHxuRZmaVV8Ot^RH4HDqt5>>(SQ7xsgtv%y&VM`2Qw=(8-=K=rHu&% zI|n-jD;EnJI}1B28wZ7osgs+fu_?t5Lt|?SHVPG43UyN>3JDWSmwzo~5#auH0|VnJ z@_!kO66XJKDe3>=9IJ}o%5!v>xn{xMfHRdNFA;9xX0`v1vO*{z+3GoA@cnMJGOZWCEaI>bO zy{+7woiae*x7e@dbn&6n>+baU^fdeO?rgcn4@nAsSAyp-YJ%W|(2LhdDMuPsPNXo@+d* zflS@i4yU5j<|QiBJI)MOctCzQM{|NX+i-vn7y%FFB#z}!enPU`*t=eKmo^6G734o$ z=^sdA8BMuDf`Bvk&o)mgMh`>9LhWDpb9`l7*r}A;LnP z2-HTXTRIOCBqZ6;COd1X&eU-?o?Y6LkC{(*;lmd|AXau^$kXlb4e_$XlCt9k0eu}4 z&QD3RJWSI(H^Jun=M6g&l8_Mnu#dl75`P+{=bZ!;Bd3#Dcu{P?uXA4xSH4Y|FVz&F zxt9>FWVK;*crV&0xpCNNV9azs<+^26|3*!|7aNmt5)i!$d(y9*Tu3KcRw1wVSY1bxQ^!~BYS|TIO_))0I+ic zJr_{dx*;@=bE0KlI9#{fBN)Ng3a#~Y(;81643Qe60G+fPyZoe1efc2ik$`tP|IG=f zNIAPWU**QfF@Ba)RdT;UV1EK9JGy>8@aSnR29+i8cL#HSOr);D+HH^7egKmYN60hG zp_?yG({9joEcP;B*!UbM}E!qH=el;7?sO zNE=yK%hG;7*Gr1S-!}p2AXhu)WFU2tETX=uDZYpV&EnXz z2SzC{HS|aOc_Z1!=IIoGnMv9{aj=-xP6}?Ta=x+o9`-D7g9#Sz3e@f7*BOBG=~L4k z34_@eFXc~;FBO=BRf>vu>zOz2#fN}}eO`6IB3BgGSqk4l>#X1W=|KG2#rR_2M?}vq z_bP@QPp`MSBS3h`RSm+zL{4p&&0FOB;qt*5bkV9P&{sBjHafdzS!thX`+nQ?^ab4K zgII9M8$D#YGh36A-XZ7wR-#_ho@x8*Qh!WNQU2!0T{|1}6-OTGdXU6epa4yOvwvba z3Q(wf|B6b@!Bssqlp_eNgEGcI4>58&7&2y~h290p$C{6h$V;JVDR6GU^FNhE6%bEg z)mTZj8PE%z;bJ=@!%xQ>CX>IeQEADqhwCneXk(fRkR#Pvu~Y-tZj9Yc7;nIJeS+*k zjCj}ISD%W!a*)jASntlbmKX1ABa#xwCD3!Qs?I1v{nL40%ITJj)#I|ibF`Td^H1-6 z(UIZ*LAPR_1fsR4kt8#g`N)P)KaBJgAsM8;E-;7L$r&RwW5JqSXp~)xh}!FF3Q7|) zbV**O{Ppv)9(*Wqc2e(@rB0~*+cS;sH;~PaYltjLH8`$j4mwrc?($nNKE=~jiXynuNbuOf?q@s}y54QZ7`o*{v+`_lQ*40+X<=Nyy3l`4W#gy1nJ|39GIp#$jV=6#R&|DH%TXid^tHXrfd{c`6Ht(nrP=Aq=N9k9@IEr%&1->9{b6%WRf22 zfr7vFi{_+hsCKVMe?QhUqjdSZs1puIQ`EHR0Jmi=euJ-9o1kDR&Unn^*#6oqZ zb&f_#?53ufa!sGol-^%5sB@lp-6u+Crk8>GXK^J!{ntm7@_EuHO(9?RD(ajz&9|i7 zHX0f#C!YIN0O1~P>lg8tPqncaRh`Qt^XZ)8QStp%?c_X=vK*Ewr&WAqA$1?Mw<8=! z9PoS7O!t%_;B{EZuoI(U!mskZny38yxgX(To$P7*=x;2@*=GkE^FrH({3!+0{-P8i z=%Bj#-j1?1O@}n?xH1e`eeXUSDS2h=9((ikFROcBj*GoYQPC!%8qyGIt-gc3dFbDr zn|>2P`~8(vzsqNA3t<&iSocC|)683Ek_JX9F^7dSmi z5#jg`nJ_L0R9(GGNzaDyPl;}3j?wd;esUxxSjuEItnU9J>4!1k__y{y@s9fWCV8Mc zAznU7Lp8ZO4%UG#>@wtZ1z0$}j;Q_{P;mcu;beA#KT4a*Ql#+S1qb_qYn8EftoLmH zAy#{V_lJc(XcRP@%`!dxPeh57p-~xwG&x=zcAn2dpVo~4=F;(Wbo*v(e_|sUoG7xR z0N=>zgmy{J%HEAlp%K9QQxBg=E>^fRC6t&84JRMSKQ-e36##X#XZ+|0p7+&=G|;g8 z#PiF2PrQL=>|z<>G$gZN8_>L;&VF*zwAbw0JJ((FllmMm@tu*?6jGT{54>inQK0C0 zqmu;uC;We~_ivgD(S{DxPmw25rrR8Wro>7^FJh5p?*)?Gwtg=F{06Y~7r+g!29}`M zb6n$_eXhi_k*C#WovKiBZVZnJTOl>%|5L!>`pj;i;MvgCUp*z`xh(^1EWZ=BC%XI0 z3xMCVN??JPK1o{%)jtTHiLcxqQy>C$@A$ciC|G{9+Ya&!F}vJGQP51{6m~;UH#y1D zq)8e1SScoxI!c5xvz-F!C*c9uZ^FuoJ@wugMK$!x79B|&I^jKFa$yzB#6dhB6_XU`Pus z#>~v{gR}3pMF;Y*5y{;I9A98uuryU$3lzGyvX<)LApMgmO5)(lzv{m@=EQtR48GTR zf!}3$#1(vrRBiODS3L6k_4a^v9f=gz2WIR&v9XS;uzJL& zcG44i7qP^HxI)JhOPdS{>M{1H^_EFMFv%tvUl+Af9q+hZzjz!WmJ+1EH&T0h*5dE) zR!lZEI6HWP`$Mq-6O@)t^p*njmU{G-3Um)IQio=oiBIB|fP4SmpZiBn%d@`NAIKtz zCA=3*q+S*`5J_)@=ZU1>p?MS*zc>EN(855eLB88f6Te>OUJlJ23 zrXbfhTWP|R{)Q@5Z`n6%bLYhAPBsvT=jffvL?|?oj&{`~d~>fPt1skPno7nr=;@1+%5v)X0*eZ9HL?71nVkwwX**e~?E{YMPzOjoLi1jgOWQxKf>1z7Bb(O)2TeXbjTI2udm-yPVPo)cgA3mEsc*zlPkC{CX+1qao-v zW6T9!_(e`Wh`rpxs#D%MUoJQs>PyG}Q8sz2DE>TK3CIsD7? zEDEl}{VRa22jn3N3a(3rHRc_oTZ@Z?HRk8`r~jO}bUXO7&yJXpq16HaX^G7f2NoSd zosqTF_h+ph6iXx@a$F#DNmF;NOOvqmR)u~pu1t%zd1l0722Ernj6z#nCWQuWQXkSU zGa6kM9rHA{7Eya4*)W$%p~9;S{@D(YHB6UEo)IJlGSTJKW%B$~i+#`(Cg%fjDgTVs zRy(2p?4=&EKZ;G9DIJNQNjR1doQcWS%yGoG+y^g61xH z*n-!fC4e&y5jaf5M5C|gxS@&b)+RgGiE^wcE*C$gTEO(g(z;D_g3iZ)%xVLm1Cp&B z%px+T>^ z7Gf3P##Lm3*LKEG#CvMQ5%7zxmzZ_ijYi{# zD|N9|#YL=qOx3cR2So4L^q@H?=Tc))|I?eZ@lOGBvni-=9ZDk+HwN#C#_v zImG()q7w#S7VcH<2!py{e=4)Wtmk{yQX} zYIQT$-`kZ&6gT{#s;ys6nyc*;m1j6NRV+Pi0Yny}y5$O0j&Z;C~kc; z7{7{a^QpqVc#9XU(JR2crO~;TIl%D-lRW3Xr%**tcQZf(hU~6q#%WC~9N`yt*U<=J zy-w#Fmza^CcgZ^NVt<0@s1oO$TgOJJSg`cW#Np_+%38do_2lB7hFlD1*<>xNzH?~` zNWz_z*5jHyO8{kWJ+ za0d3CQ{m{^hF~B*DRv!}aAams=+!rDEc(2ywC2vm&ZQ%@wBUgPjl3}xkT3$Sh*V6c3c4AbqrhaxXDOgh(S$NED6`cH>c8waOBuSH`omonIlxc zDTAhfg{UKm%MG;B{OjDEEOOh&Y7uPIb3?3C@u87U8*EO`%Fm3Xmgg=6mY_}8PRa}P zwbI|B4TiV$cJ0%y8HKY@xWJck33>h$3~t@u4Vh)4q#ki1Y4^FIQ#%9$ZJtqs@l6^3 zVAx<@q>{<$f!DTy@y%x~l{O}%+nR6*7$3(aUm?X68cF*|exMNIJ{8e6MK5&yq_Z1z zzKs+Dx|CbEQyIilwiJXbX$#b?y1a1LRg54}qwgH#Cqy zzC@$xlP=TRLBd1{axnqn1{1|68|W0av6lrp+Lc{8%1l<$4t^(kiPjwjN6`BrEHE-v ztxT_`gpTsrCcS=o-{?c$@IKgmmZ#-R{+)MPy4 zQ+YjgqG8W)4CU{vp%VKYIzgBgAhk1CP$NQPxoSbb=K~OuUMN%m2;@BXUJxLw3pD~a zEAct0Tz$!U=~{&+gb3;O&e>*b;Xh+Zp7Rkl=97J?TK|RJbN&~0EWNZU50Yw3)#*94 z=<)uNcR#gM+qAT#Cm*6IeM%ZHMwnO|qf&i=@sz#X$?oUqhT16Q;A^3uY!KhEhsw-rfnzo5kr%> z;;L0(=K zMwc>!(Jb|pt$T}NyAIas_2dg9mcfRU-`V-O#3rvQAqd~x&BoqIeq0P+Fw3JYP?Dd0 zll^)XWb8VH(a3vrOu{TOP+>NSV6w4&oW&W2QN;0M!N#SS_x5YhXdcEK3DKB=)5=H7 zDJT_eE?NU{gn*>QEu8JbzQOP5E9b&A^e^RAllxF99+scTj@0_24t9$5GHS{8m!<_JmP4fa?!jgfZz6NkULRsy8~?D?;moRaxwhvke_&tBZc)pY~Vzk zD~4}JJZ|N7BW59aQ6HntSc_mFbrQMY9lHyg2kF6j!JRr&p{HR2(fGSQyhi4duO9X@ z*<8OP*nf9mL4J=7_ajcL@sH^as6#hM3$inde8GMp?eT+AeYuqm^!?~Ue9(#ArbYQk zH{&eKFM<(#KAqrXiGK$~v7D-u!verZ)d#fWxgZ_m&d7;eA-*CUTjoAm`+NZZ!HPeL z>cH@K*Gupd*gF^nQy02Rrf>VU!AyXpBgwlWZYk!}1MdUt&Vz*QVQi5*30?eV)`cHn zI+0zZW?}=YVPDl}KIh^Gc73|#_-f2_+ONRgl2;4!lF_@(3#KN0=e@p8;om|>b5#!Y zETQWBM1>L1g_hW5z9q=hgkb;0<9vf$b+2&$1OCB&> zSHl)vg2}Ez&L6Nzk-sGRp8pyEAOtCfY`gB92Je!`({&YX-p|7F#;DzIpNX^!`+eji zy`{_D%mpBs`gNkaupQ$IuV%l)%4!Qm24NV~zw4rJVIORU1<7I4Os24e)cHYfN82L~ zHlvJ5ZE~T3;Gg%aB|qRQFc z>&xM-4#=ic(|X(hy?t_Ve#?6kb98tU;OP}Y<2CYjbf^a4BRkIBzf6A#t#H7U%6Z|Z zO7P=3pdSxo38y_I7v>GyiYrWe_Z$$RB0)PmeN~xG#jucwix{bpEBnxU9C&d?P*Wjx zoj7O+cQmJ>sNt)Vz-g?ym)G!F_$hq%t>yAd^*dDf{Toe=aD0zjTuZUvFQ4e;3diUp zjbwi_hDCa4;VF7Iw6E%*SIW|#sD>Ou?hD?Lu^Hk)TRyy?8xxUw+@mF$H*UiqghNy6n0PocAGBcR!oRqv*;!YA`5$v%qaTf5UC!Btu znyNkG^N6?_qkd<-eV%uikjnh+S*G|Zz$`|16hxL26|F=8WZFXxfOOPsMG!J0dw2mFzw-OdmY*K-jQ!D zX3%HOL_~;md4LH6t3u0^KmuI5phsJaAVEw&c#m%3^hDFFxE(B8m!Mj@Is7jjDM7>E z$)=H&sDMl^B*C1`06-Kc=&n}lM0Ba8f1Pr)v3SYXGv6D=vqRi$>z-%j{=DEE`9Owj z|2=(#A{}YT7|lnyD#tOqzB{ljfD`@Sr!dO*940|~_$yQtrIcY?xYgpqOdH)7BFE}) zT5;RRUa&EnSly)m3|CBruE-V4CgQE)OqR$M+$PGc-3+OS$ON7);Okwz@5*p%;}qwz z>P8!qW$6F-`2RVK9X6hU^BGcy*!2or8yCV?&_!(3{U3miZS>DsY?rkmQy9KY~ zN!|W0MtjXRuYaO_ukATe{+a1wzWo9JGp=lZKjSLx!e$!IUQ!l{nrId&DR18GT&vbs z{ki1U1P?@A<&x?SwCT|=sevTy95+KnKyLO0|5z}A+u=kS;^xw9wB~oSIo@U#Ue(|VI$1Tvo#axtMsEFuMiB|zw`dw zV`6NLQxX^|!i4N+N0_6pUk@F6%EWMJG>}BW!3{ku<(4Gba&|jRiAEkiD(7vwH6CFemN3 zr_C`hi5Ho`@@>6yjCd1PMTOdptI?RpKM1tV<|1|s!sjfGIZ$c|sy7Z#^cn1voEz_Crlir#q)EH5?%G14y5oVqZaxYI=C%3jsm9x|EZY zqSY?&o?A5Rl1R=})rwiVWgkpH(Dm0pHkbceuwIZo+nMI+TAFW6zeQaCD+$-|OU6&} zv=QOTQBv^qbke!l`;&F9LvZSUro`jnvg`zMecIQp4&mAiCUUOMqO=VKE-&4 z$7Or9i_L(iIB0z0VC?40(vin}kw&&YU5!@=5+> zs-mN$$AkBZlLDQQw0K9^{-azdl&2ZC1Ga0x5(}>8J8V3HCp9)UqWQEYUfV zX}6^<-^%$^ZJpyxg5#nPIanO-t?whuaxCsq_5%(*=PT*Bwmia@<3XTkZWg_u#bv@8 z>Tyu{zuwdq^JMKhJi$cu9q4MF`%Kdbku$zahBRfqv&h9eB>gTjdL8#$I*@Z-_UdPa zju5=0qrp4tLqd#Fq1jtG&zzRXcP;FsBU@P^?=y}|q3QJn;%aoY<%wy|%&&q`q6?93@k%@e1>`xR1dv%2E>=jQ`M7I8ap`tDyho%A5JMzO`z>aq32 zQ~Y%!vYsd&!Y_HASsZ!KR_U|&m^6L+;0cL*<*Mlx$k|`| z?nZw#K7Iae88G`Y@&2a(P=9rneNUK;`)&?WQhepge*ZH37V$K!{%+PaVGp{%zs!M{ z1394oNc~GhGC&9#anG{-^5`?2Q|ia<4!wT!Z900Xx2qR;4{qKj%zpB7P(HmP&e^?p zZd?d|{1Y6W>EPMrQX>0CE_lZxR6h>!eVtZ+k2o~HEBUT=myrowSAU=A0w)1}zIH(} zpiaJOTS7wbk89@rAQr}p?X5RDWd} z@-|6Ed{uF-zCt_-`F#USR&T^td)c-eo878=C%*@6a-ulZPHiVYg#i1GN3s8DSvB*Utgc*?nfb!w&VAsOUk4n!1(Eh(Uc>= z_B!{CeXHM>^s5_tE+OdLfaE|9jd(*i#AlRqFW$sIy~9H>{DERJMd6Qo1W5`E3cF~r zRfH-<|9PGI@6=AW=&!6R077N{j~{N{6N!HQO|0=Vk#@bdRY#*Qpy*TgVJi$5)qM&5 zjOn9W{Li^rXi0ArW*CFR#uP7?G=}^`Ikd%rs_2m^=~Sr@w`)aBlm=_Af%)*1V>ANW zO8R%)S}_`%plOHdLAsLHBJL%8G5aYiU=;+4F^~7 z$(!=Vhm%qIJ^?4x3gu9q)kzH-E!wvT)sE7jw8d~ydSLvh1a7f`r<#86T%zHxyGnW; zbE`A=JPIyxT`SynN-cf)nxE&zU-s^wswYGp)a|+n;wUFLQu^deDeXu@IN0@#rs95& zlyb`dNqQ*^4^;BAqy8AJiy6=Tr&xB~mb9jUP^Blcy z^t7M(d5#DkIrScLJ^jNUom(D)9>^jeM6U6)I#>c9?D4LouZ4`k$$<~1k=!4*;s&4j zJaU>mzV&$554Iz9@Zw#4ygtyZ-R9zNKP+aboZ#obHX;IR#JWf1uwc)eu= zu0~?1^H2|1HU@X0ZZSr>(|UB`UGeYSX?EBLE!%^;5Vve1AM|&04Zz6(?)ihy1Rl*h z)CO(c=h4ejFH?ILh+9>7_Da`4d+np;wu7}-igf?x!Gw3k zb?sK~(HztqIoJ;0k;S;ByVE(ew+X+sgm*=Gt!D@(L%KTpg{MK+UrbT@g_AYO6f+3R0#S**Umue^MTeDJ;AvIPsFZTV?+NbLA- z?RDaGAmUw7U3VFPw~)5p>pkoduOjj6DLvlpz+32B?~NW;F!oi0{1_dJcvl5GuVI7Q zXj|P{9iScGu{}~$G9mO#;(0&hEeSk(SPvel!lPJ~KRA+OtmXv&w4_Onr%c+=_oqex ziCIct>>_I+-+k0PVSz>^z9Cme!(3pDaHtT}H#6r@qmc2tnGasO@((;(t~B?XVplf# z!;gKq{OF|mlEx7+O9w^*rUXS_%SXdA46WwyTwh^ZRfD&83epp6dow4@5{oymScayO zsIE*Dv4|^IWXi^GBrOf!t#2$-z%ij-w%RRmnTbYMy9OfCVZ(({DWb;s%$37N9PIpD zIhTJ4wfX3EtvK$bdT&LCnJ7L+^|^&^j~>}~Wo@gX3rQcv+Ajen?QPY!yY#tC7UIi|?O+>1h@I|4158QrJ5RU%OM${UW875QledbmM%ZJf(japJAgk^j= z#_((B?6lL#yg!KEk2Px-AlBRFv0Z1R-EOKArsihX997~wOfTs5pt&2qsXzwH^(nU^S0+&ZQ^Leu_>$Aw8`=gs6N*e^lvY}Uer-y0%L8% zmDBm;xyxpUxyWNbm0_`N&F<7(>~1L^2(bt3H}(VMMv>y%al1*$3myIqigPEZ!SCGk zYCa-JSv;UN=xZU_5NbG_*dax;GFa+&96gWeNM#oL1h?-GpRq@xq?xa5Hne1X1p#RB zPz9iJu!bx!(S)dOl(Mj?ftmfw7eHCjo(ZIYW-Toa+)82; zwxgKUaccR&P({CenIGnp>wnW7C+jAirX5f#uQ#uXB`Ov?9v@v%BCIJ62g6bUlrAed zEd|85Uaw#7;Mco6Iell&;U|Uumt?q;|G<}=wD{iuUVl&kaq(VGe$$TL5`-E7mK}6Wde}f4i@k;BY0}ceEx{0=mqO zUp%-c{5B&SDmb5|F=T}v+{_-x#;Ukxc~}M9CKv=TTC>rt5c=nMI9d6W#5MY6I%Z+x z7DoQ_=S#pxlbmR7JfXw>pe3-CP}GK<*V}Qy<;g-+R3DT1IE_meC2!w1vhXEJ-n#GW z*DSk~Ia?01m8dj4V<=n2;5V0j>8rfg1g@fc`es_R3I^ZEs`6W=rt-Pwm2%l_qyML% z1ns*UqcUk=)X(;S-oHetnG+sva88h=KYyID2oS})fWil7$dX+^ga2h2AEPSEqRQ$& zenuFsTePd1?V{={kKWY6thF+3#>4z&>tYQa6fO9+G^JO_r#LizZfdW+xX$s>2#IOi z^ly2M->!upAICstpGlpS8_V0KzRV}IX$kYVSw-_IqEz!USaTZ_JV@Ofk|erH)<`0k z0B5eo&`|uu5YJ{tvuEMeb)a-N;t6>pWkKYe;}D92TBeE11(@z~#B=(idD(3VmihN1 z3^bOsY@u6)y!!2gUe0orx17-hp?*#xqD8#m(^EXpb@?i5N%arq3U9H^bJbI6lx=I; zVn1x>gMNrCxi_hu7=;<3Ha3P5CRRwVnl^qfoC$bH7)0)77TLCuLF6Yjwxp@?4APhLG0rQo|h zRwabSAMSBYd2j=|`)J>Rh~ZLe=3k z5!XyI)#0gJJgb@oTJso+`n4dUcIr|bH>B@ByyL3xDVCKm7#zKRkgf-Xx|#nNKKWoZ zRQ(q_i!}YJb5~+$#jyTp+-twWXA4w*ZrO4XuC>B5SE!G6*@Ra{@YSJHV)uVl}2eU5+GVRKU3&h#7 z8puUOi%S&L+OnU1lRSU(ckr3eV@)M5FaNYoVx=mxi5@=Z)C8a3kO?m>6P=hKM(Ylb1F1{=N4@ciL%nJIye@sSxOdH5ub>uD8we{ATSr^l*2Ux*IluP`K8K3{C35inBGG3!HsR&Yn$%A~917TH-$>v@Uybe8lA4Mhq)YB1OjYW#+3ADXyoL3&1bc}95htv}A)f#Q;> z$CFPHgW^Rg6e?Iy0FU~tE%_{kyeBZ?OSdnv+kv_{yKH%d&M*1xsQm zovq8rN<)MS@zUM5`-q(|EtIAS;7q(!9k9_bN9@8G4r!9#lhp{b*EK;}w_xT^$JP`2o_?6Z4N z#m-Q6Y@6;7uG8nd;5ZKjMt!ml@dvvCj??~c_)WM1^RQ;uC(mOT$Ywu%nfrN^YoJ2A!k>F)GTPO~ZjyOA9pi+sVK^PI^9J_$FetaBda9}4=ba`&AGBUFkdg_zR} z{?gItXl|P0c$Hm-Qkui==`&?tsNX*LrzV9ph)nP7?2aL~9&ZADA0898 z9^)nBAdZhiPcX})a1^!0rFINLc?0_G(lCG?)@c;<+hYyy$sIR`5KFB@Dy+`mq zalS8@9SB<`h*vTH-kZlf$r0?!AEHWEfwayqaUuTak-!kzicWtkO-@#>X49X3(|Jrc zwRA2$fi6m`WbSkx(Yj|6ndcIj$ie2o$5QoCfCm=&4gQTI;DG!!PU(sA{V>dD3IiHQ z4&eqIUa@mF-t8` zcdpf2KIK|L`U+XCx0NaN4%8t=CD^OXz9^VogLiW9tSqQKHlO`HJz)+%!=|bu88o5y z*ucw6ldo}MHtSN@JqvH3_w3e`({+A?o;O`)54@i~eD#bbuPY$0`$JyGL6I4rnWEPY z({tJ~o!1UuOO83%S&+S(jM!gr^X!dmhH?AQ7==Djmdd03GISZgvgdYT{VIF^Af|T| z)y3^`HWI>tE$#*{m=ZnU-5Kuu8tOBS0gWMdDk6vI0uC4e2Uf!6Qo|1~vejU* zuB)(@9tr(v3HS@s@CNc3?~qnP0YYO9 ztou}Mro|^TiS7Iv@HbkA4^fk(w!I1qcpFZn1X`>M>|EU}hlhn~M&AnsUr$W0$pNVT z-o%IZj6)Zxb8(SfH80h`#DIJ0k2cMG$&iO^bm`(ku%Yk@jbKou7dp8bVe4_o-^|Ml zepYikW-(f_pg|X5ZSSzXLoL{x0*<)*n3o>4FWqpoo*OGDOhvY3zb_mNds225g8Znl z<-@b7VVMaDWDFnQ$dF}>qe%0vKWrEB^PWX^E>h{E)@1Q~FkCu|{`aRWIKV%d8uOuawvF6tQ#k&1(~-2Vg|{8L#Jz=5T3dFOkS zc7#okLorx2{2gCiFXn3+l}gRJqYCWqhPnmWEEta5AhIwnp*=W%zsT;xZ?0Vsx^&_} z(6v6fr>xifHA=;=Qdd|FtAusbui5hWdjSQ#p3cd+^9RkC)FxjH$qjhdnKIY8TMBIt zCtgrw>3vcCX{%$zv8K#G;b=3*uzmCS^)S1)%ZsOWf49UH+MnCnrmf}tctsaI-2M@B zbWF~sQ|5Ky*5t1$&eFV8@SI4UAG(}+_E!y&#TPxY;q&FwuEIzT!=hmJoHxF*(vm8! zvLCWoDjAL23@z9Hyyffv<4A(BABjtUb6|uluKD~4Sv(D*fGn&9 ztA@M{!~R5kCBdvtL4L-+$2c5rQ@;I{t5IT!%wT3G;Tu`-HRPR?qHF8*89_y3LozLY zT_+W7?orH0QG$OnURah{MtpYj-;M|pM5is!x%|H#3nAq4aDT^qwe~rn0yuUF1%wKwiP^7d5DUTUN)R*bQ-5tdO_eSYg z=Rxkxuit-Oh6(MRd@-jYZ)3>D(mImJ1WCM*Vs;eqaQgcd9CRNrr*WSHU*3%)gdQ$H ze&FOsOP0L^gxxK+cdbAN0Jk$DW-4~&w~op*qV3_rX~BqH;7hw@_jC8M9ddOW;oGsq z#;(K$3Ib!b7v3&2;K0tF_rU|}!3*ob6YIen3&R7;4?;zDOZ9dxA#fn^QiBivix0-9 z5U6@quSw|k#ESL6ihcUzIZ<(d!m=mBvPaLdXGtL-#82SuxF~k>1C1UX(iCG^#Z+)} z(=4(uX`{z@t*^b#hkk0qUs~T-WLj!o=x!&cy-cjNrd|Jl0h@sO;%-+03=tr7wA&bR zPfQ_kof!LUt;%JWZBo{b37SaKUnqAT-W)M$tWS}qibs_irH$!qBryG(!^dpEF1~L7 zIQStfn=Y*R%tp}yECojGRe-z_J6V{ezB+HCA!ON*$@f;>Yr-2(t)Hv+^}m#G2a$RZ z+ZC)=E318NE#GB!2o@7X3AUTfLx2l%4v6dE#ux)}Pb{jC1t0_G%-KIMw|3PrQPR{5 za21M2*p8-sjf^#Gbd|Ty;Vr0g9-5fCx3ryKH*;~HIKMo%yRbTwb=T?oL~P%9URb5J zYq!S>i+~h_F@Sxq)0^=L<7Qz++~^!8wo<>_4*AE>tXO`Qk=34jw=Agk9W`cLYG~Hr zjOUGDc%v<*h9{0^IDNpfWT5%izwj2S7u!FNNlI1v&8FB_o+8w1$Y5v3jZZ>u@&m+M+I5uoX9kqqFv~B#-^WUO5e-uX)Wn#cI@Kp!nVELo1~N^cOiP34~}(K zi(!Gfp7cj_Ve&FhlEJ^(ekDfD4L{M2+Y&2c5q`RmA>t!Wp?xX|7;ad2o(+z@soB*} zFQk2s3x2-~jy3N^v->4#Fa7vHr+PjtW#N25LhDh9>hymCNe8z0<4J)wA- z-0;Q!98<*MV#?580>^s)5mfwuzR|cZmM3Q12iG`BIpfw*R(`T3D%E)s$}E#<^2Xne z&xY@Ku^&<{=H3*?=PN^Gh|zMqzvYwDc&>{R9n{l2nm#D)1H49K|M2xKJAb!lw|}KJ z|9=1g0RR7Z0i;)JY!yWmo-MDI@+$9VEvS`3v4BYFgTmasbN6n!`(Sr3Qes?{0tHNg zU}H&8Bm^tQL{NwlqrpT=6Era@N}>b}3PfVS#}5rZNYvm1m6-U$@Q9vsc4zP17HA2Z z%*>scZ_b?enVV&^S%1dZrr)-F1p~|&OD7Y)CQM(LS;J3dxK^(kw(ok}%eu5!+19#w z?V8qA&1Gv_A8){8^v#J;nh$F|Dd9>|EYnp{TZ^P`MsIG6Ufm7pxmMOug`d(heIR;Y zjOgOth^m%hTc$9*-r1cOqg;DK%G`Bz%{2N%^Zppk>g&?vYRc!1mPLxb*JO*E>2!V{ z2%?Q#p?bPy_Ad2Qwm@NQ-C1WFFvrG(96q3Dk}7wSzQIkd2?zIB0kqMq9fm94&HWip zvB}WzHcprMw&&|6_?ZdT)D1fWyTMn@mctK!^HiFSgdR_s+yI=JvV21eZousY>|yBl zHomkMXJeru7M%ke&lYA;k|4;;4fZzrxOM?4jSntqK)_@_c-pd z70?P;8T5x{)uE%Y;n47aWuw*nWkSVOwhP)c;$+6EuH&e2N!d+Xju&VUrOj7%9l1z( z+qfbmAt~#TwsDoddde09_h0S8sni^Jq3$)2Mgkc0aC1M#POh6IkcUaokXg(sJuOd% z${{CBWJlol8y%IW@endYhtj&Ol#(T;|MKlA+$s*Yg1n+EWMyE1Lo-_qSdRqmd6Ck< zYyhPG%f5g>)IVMj@}JQY4tJV-o9j8>T12RaR&0F>PYerBs2NLL;;UB9nuY8v>}}Y& z@lzNg&E(}NTxJ8H6){xatDSRy&6gzL0WlObce1D9*R?N)qCF!`*kD1NvvO#QNtT5h zMqR}fX^W)5RO4q&-BU)9A58i8^af9@D7h%76ZSwX4Sdr$V)PQM(aM%@-zCXB7+l=g%LPN3F@QlM`frOGQGZ~Gjbev~EiUa=d< zMgX>?v*bBcovbz8k0`Uqe~LOTSK}dhf3EBT!=bT{106wpoNrq<5YbWz-Ozs8<{r9x z@C_gd*PQ?GedJxH$lMu1A@M5G@FT_VHR94JXsBT-1F~cXl?c<@Ov82*{nh{};8;MC;*#y+xkk0f7gEdQPO@~)Y2)H`}90V#-q=W@=79vuF zxOk+J!a#Go^TY6!ld=`*C0b2Xp|{}$CHw#a&dge-&(ykr&2$DABj>DVi?MWcaCd1gX(AV#r_u!yB zV8+sPm4M0;O0vgVHeACqsCHBx-lt^f`eDTzH{sUcaLeJQMi~VcnL&2xsHk3mvm~^o z9=M6>yBWx@^++qqqLntxT%iRoWC4#I?umL_46LR33GRx9vfN)8lgv@Ey?r%O$G|7* ziAJ!juX1=B-tG-njDJiIJ^0VFK4z?2m%@%oVahwYOL5!xluN<1&?&-Lz5917p|J+r4FG?sK#%k;Qz zhOv&@Ztr&JR=IB74qo5vaNGAxA;Dk#Wapcofn=y7!_|CGEcg7ViUidcC1+=#Wl8+g kbM{9$0*`b{d&U6gLpwfhdS3 z${`6HA`W$d>`Jq{)4hl+oS3Xw1Y*#zvw3ieJU#ASCvLmt$3o|l{G|M-{DRyf2%FFC zo|)!(%mrGqT(;}^Rh8XmXJ=Qn@LC(UJb&oVgL7E@3#k`T`gcfO z#nxCc*;rxeI?Wp8PI#bazN(mM`tnQqB8XPkbLa`<|60K8r>zrk{Bsb;+&ZC3y2pIU z?b5yeJop2vf8b(&^cgM?RmVb^LMhDuU!sR72Xk+B+(Q;=20&J}bv)NI zGe5Vv5p`guacMXY#)nJXCrB+)!|AYtcP-gthL;gQpJ=nSnj+5mh)yAM@Txf zv|CAsMZgwyz&t!L;9>{J-%q3IQ#KLbyY|Nd}7 zbE)%Rqx=9{z3<)c5uo>-$?Fi9`8-E$6<*Rd9xGHtZ7AKR(aqc0x3@<(C>v#IRQxrj z#~$tDE#1FQd)f9}IQoGbi%N;kR=I%fdq?|6<4I(@g6UY-+mfGhNq3bKu6Yl85I2&%>1G1T2P7^;?$oxuPoZnkmPejvpl zfvU#EF~l+6)yLJ>)em7RD}w-&Py`rt3_L)x=444Zkb*k|q6KO^BPYWdpj;T6r7uX0 zAP|H6hwLv#7KQ^rVLnI4$sqs0l=!&1JNm%9!v$7y^xHE>pbD@!w#UDNjHyzoDGi{iWh6gL#l0s=80 z4zzHndUzKgkv7TBhD3oAOGFSAi?-SH>r{DXJrlPcd*qMM=>`5I{wDqeZm6Z<=ke_B zw1pmffsrgf+wb%D-m~ZI?Ck6>jJ5Xb_0|%QC98fmywb)k4u86H?;KX|AoXXIegUbk zu{Bam)>c@$P19Pr6CUWPFH2_XuKa?&45HEX2lRyTe=T74V&eoHzXsx%TPIXOkC`vH zUAWhsdoQti3m3bi%kY{0BoKIjin)qNpYno9dZwMo_=f`Ty+My0=&ClNIB~RoutDj) zd+FwIknYe(I)82$9cY6NV@_z5{G`1hZ#n7w1IKqP&CyJSBB!;qeUiEm8RcGOLE2Kn z)SNUr&XCo)d(I4}u+_2$QaDSa@nk|3Gfsgq>PVJK`i%rrHEHiE?LTWrN~V0yGUpAjoYjjsLein7 z*-Bb00=B3F=HZDEzX$AvbwFHYHrRQ6Vh%a zy|pjV4aeG{IiizXHt4P{)z_h2!W$F0Dxp?q3V#>u+V$nxsW8q%)8BYr1)d=P-Qk#K zQfI$L`5v}9-^br0K<`_Vw;?d~S%%umy`)V%R;Y-|P`Xcp-TS+{_wVl0a4<}x;;%4$ z>(M^m(vv5&cX#ulaP&Pl7L^oP2AI;Ft-)4G54`~R!<``w_O{dEcGLRP38ggshJN5P zdVdt_H427j=m<>|RM9h5wztY9Y(GBQKN^iA+f7Wzy4sZdluLS~oN)Djum|x&Rtnj^ zzhONd{Rr=)x*LtUk7eruPKU$2$a-bTx=P1+?Cpn;@qK|2Q~K|;h5vefZ}EwckKsK z3=%*LG8U@F#WBP&-qpv|*VPYUDl3BmP*v=Xhp#{;!W;r|KyXNqr=L5D7Lbt~V1sK; zmU5H>IdF$Sv_Oq#}Udwg?oCgvjXMXqV>4)|tiO|E8c=|IjwuBk|_Yi&U;WA)ARpQg?Ff5SmQ`0@M?K-{4H zFER-KFZw{92O{T?$fSAGH8A#IBxm$p!KJa!Di>AXO>bl$Ob8Ejr$(T&E2l_6nM3)~ zBVZ1xieZTAO5bVf$^dk|amfBMo|RKFcI=(*VaWtQOsvCqAQdGAdk)FxBwTe~*boa9fuR76yI4s_ykObq?h zIRC_zf2{6qaJL3BlCG{-@zdkO2g81-J2snl^_tD1=)2&y*&X=7Eb+q*e=K&}`W$E? z7SyT^OR%pcE1n2KSr&zgSE^Dg@%M}$q^hXIr5XM<{UbB31XRT*)fZKs_tfpVkByJs==@dF?W6V3b{(fRNw6;82yWVmXcilWXv=&mYgK#mJ zX4|UG>(eycH+8X+_VE8G|No=WJBJc=5ed6^izWbZ!lE0SM{NmIk@py&E?bFs^CENg zxTEr@mXw}W2^YKdRCX4i5{EINqvZur(65su)r#I{C{IZlC`Rf+hZZCEPSh};TlO~- zhY!{3^|v-P68zi^v3A9v;WaGt!3-}OJbD3tYOG{=&5@s^e=#Pvz6u{{CeuIet7@k} zj~|CeR2sZuQkcEX8yxhy&4P+(dedu5zjWu+Zaj337OL)GbTg++8s%J$>&SLaV6PfR z$bC09lU|FBPOPz#$!!t0H+uxD$SGRlUjWv&0bTm3;5 zLN9#0cYSY&sv6(jCVY+wg9H#P7 zRvSl0oa56wUscx;6*lAp<+`aQ*eYVOsphaOuTExuv-EuJvNMZ`DW*jen6`W%{48meO7Bs1S~ zwu!eBpF~t?HrBhG_e2!xd2gzTScIXGdV3gWduceuRVv*@#{Bzsd9kwLI?5epZ;zeR zQ>vrC$rQYMSsO}FLLT4IP*=WYdbzF1>%>G(`U|`5*m*Y1J0A|1YxG8mY`0lw{O1nO z!F8zl!N=1oG0@}hUqWtD;BcK7lgX`taaOJnNB&i>BpC)yySC?$k*9ReU%QbE;~d=l zra)io1=?hqvOd;Db<;BCNxh7f9_36k$*gM*j{S9Z#BCLabNnANpKf{9+#G#rwHPPA@Bzz0`5TE)+8w6_c))0fI(}$|vbmVz zP`CM=!RWp8*HPMDmdml9UJkk^TfK3+`sKES`rVlvh!Qw{CS}hR-Sp^-mkG{!V^e+Fjx(l7Y45;NDbMQ9 z6!GooJS{dtw=f6ac`l~#S`sm@>--6yEu{wQNJCs>_A0V`0uNCy`SsLk(WVmop~5=sI?O;=!t}pPJB;(XecDC!A(MsmC3?j}nGjw$!dR|Z z8z$(N%>DNxO7Uu=g*m<_9WgrwJ4?_DtHec0hKT5@QtgW>v}_p@O$jaW9l&v0l@-|t zzsgjFPLrzeAA*?$b(|z6OXDzJX(!~RDzp`oh2cNxQXigu|HjNjk_4q0dEa8ZA#H3@Y6 z`uiM-=I3KnjjP|F!%->|X=IK6pRbo*9iuQBfp^&R1Ph*6zA>My;im|33anj13T zp9{OTd`;u|5AEYPC*u57VtVD_LF}wu)^9?*m2Cj^$H_xhb*fb6_%+HM-$nOOqubSu~@gA+JuB^=vFlTtM+n#j%t;1 z&%|E~1D?HbnA-5xX48GWXoeo|sy5PU-d{^S5X+nm(_mML=IBybgM04ZWD; z-APl6R*jQ{V{NXI)yH)SaK2f*i1hsC;Vg+IXN)-Y9hS{tbt&cf8(bVww9xkcbf8cp zLF``?6W)lo_CdqWFd5ndR7!GNnS*2Wv?ve32^~605tj^-WD;R|T5%@t=6u@c(M2$H>8zy8UFz88S#H2hyO(SYF&n-X?4SbniAK}n>sP=cLv?vrvZDkrU@?~ zGZViGj#RT6M=K&)*c@;Pwkx2oB&0c<4>o5rS)&h>D+rq-@}C606WjGc%M^Zb+cPE` z8E0)8hy*^8j+}X*ym;FAeMf#Bqr4e8E7;$V%P8~YxGC_#Bpj|Stb9&s6xK|0mL>1n zqyB}b$ti_WK&%O6{5jbvnd!?f=~sN&#$8dD8~N&dC?dhV7yP0Na(%|D4V`TEMLx79TG%FL?v0;48exEqEF zBXlC(A6J(AJ$8qk+s}B;h_}#Du2yO{kagf0UxKSW8X`*iu@>a|V2@WB01fMHvVI&_ z|83bAU$XQVSMss5KtRcn2UndySp9GS!=7svb~-u2&A2S=nH47rZYhF?gs3kG!%{V4 zF=T*-sV<+DbuOX;sXSChTfGiPr8v3DqQQ(Q;&KDJ7*_RHVR+@-+)a9H>N4S*h1@RE zWmVh&n&5;jnO)nlr`KTz9(gi8ishN95a5HhT%8Vyw44imcN*gheY`oICQ>IK?(a~6 znq3LOat{W7!A-I_>fg-bG1vqn->fuE>{V(A+`lol%FRySCudzt%X$lf?W&kr3t}{N z?dh<&F!ZRvucM|GNhCCrusLhiNscB$a&M`L$vzdy=fk)uMsi5iEuPH;UcA4l2bkRLFYep=uVna*Sis zB#K&U3cqDWFv_1Rew=Z)9{hw3+$@WpS34=;+ErQuPg@e@*0ojes#vSAMsvG0McJ1w z+Ewc!ah!O`hHh{A}(Q{!&w5-uA&ntysZOUJfl9!mSKk+*1vr*d+|E9K37fU z$TQO`{V5LTMnA{!j*-uW{k2CqD18hixD`$%kI=AlaQukz(z zr5pl|*Bj2v%!3XBX;N-ihe56)5qd5=*5J0mvDEC9Qi?uB?0bIEXH3^X?CakkYam@Z z$;D9aK_}UI&wa?IaQ>jR4&_l)#oTe92uLpkA-^8I%A|Tos66oUcbEdpMhUsQREA zK)}l}u@x!$YP4+0VebZMR~6vzI>LYLvGluFhi;Uzd4@Ug5`vA5)#~Oqz~)Bf;R#*J zC1Levy#E%s;th5RW}L0)iLH+)pj47wb-ePn0b9n6VaK=qtK@usXof9e=9^M-W{nKf zM<$Pf)<@M_r1Pb!7csH<7d`u=XO0o#8ENaA-&umoUbf?tf{Sn1g6e3QZ{bPfDc91a zWU#@zjA1LbjSiWH=Gn*elLf+HX`e4X{cUOa;rh_b0Lkyc;ZsT5VtW2lo5A?^^pzj# zjB-D}-@K`VqqL=kEngr>s;!1#?XVDLkC&4adnR1PhT#)W;vK%>eC^3Y`&!BU_2+T@ zTs%HgCF%|30acc70E^32&BAnS0CvNQow5KF_YaB}y;^!X2(|_7n@sgwC1U!tDog=V z2JY4SMnO9-4i}hxjhlXDde5G$UDFAqiBqRl zAMn~}fC*HfR+r@aPE)=cB2yGm{ba7t*1{9o5CV+*cOo2oKR`37iH=oTbm2R=GmG!d z5#&>tR7(nOrJY&1Ih4K(fXq0fIv4R%n*2NRQ!OBfdFbg<@~7VEr;5!`Xi*IGN5z4| zqpPrK@cfGIwZh*6v{fao7*m`f&)EJJ?J?n%Q6G~M#{R9~Ou?PsbiY=Ffpn6edfXT4 zRz9qBLeoA7ZQTV^cn!w_5lYctf>HSr&Gya4uR}*K`*?G|L7#%qh|Wj`P2%;o2RPmb zD8l^(@u6CgL;x-A%wLK%^$?{Agoz=r(h)tFKGE)xB5JCdnBE1MF)U!5THHrlj`v} zt?b;?&6VrLJ7X0P@X3wzx zOtat}we>;@nX#FBB3UcB|T~$uQNb#DqLT5 z7Q)*rCDjLtV?J%|Ln}p*}zSnAv}B@wy5g z^TI`kjSXO89LMZhuxdg`^N0!K%hvcE*8Wo?HjFR*A8SvYqBMu?iMGORXzUkDjBQ1T zN8!$J!5>Bnr@ZG??}~zUs4iP<(4Zi##=XRnfrWU1GCHnTL^$ZP3@Dag!ST({Wqa5L z3MbCmrkH+y%I(>kj?9HTs8&e3q}>_Bp+Z8EY-`Ukp`1KXOA_Xqa*Y+RsTAKX;?Wx0 zku^j9Nsyl1!JGEv4xm>KsSo;VRcdu*f|F20O*otwdO|DPI19epSvvMLeE4<6jV`^{ z8f}m&vat?kXdY&y3zsfMwessYnkEqnGzs>;e9{ZH{mlCPUS2Qoe%D(5n7_5j*V`Fv zWX|UQ6i+72VxeuVioQ}Os)A(N{L&?GoZJ23>atF{?gIr-5H$?!oO^K?dVNb)V8Uv< z)`ooGsyZ((3hXtf;#_NZY&&lkfQz6oc3I{_nF;W5RoWFO?l+~gN*ZiJPp&f4x<-!SBhPTVY7o!Q3ToMZmI z=c?Mjm7}fAC(XQqwYE7C%4`xA3IF*jx_tXgm5Q6Fu$_$A;-X?tbaRTl_UNSZU*~Do z@t3_GgWL)(6X$(Zw)SB~69Jl|XO;%HrY&L7Himi?L*Kmf6UOG(cgBwO<3#0w%TVwi zx;I6UaDIQjUD05x8&J?6yd__oFg_C%1-BrLrZ9blb@$>(Jco9Q#+!0TP^{Zg zw+W=M-ehSa3?*#sG-fEbDqw9|=#L3T_PoYgxw>1MndcHQ0niwS-AcKM+&+~RGtb&s zpG~Ifr-5HMI0SkhOA;tTl|^ULiA^W|@C61md)!SiDLp#n<@vr-{4_0}{BL9H9raBD zJ2mt{4{3+%X=@+SbEP$80B+N~+S?aT6HtP4c(>>^MCEM1TG^=}Jl@N<*(0|fZLbf` zX#A!GSg#78#h$X%po_6v|E>3hCTj^(kdPM(MLij;HC@>nGrdyq#V9M+CM#ELeWs=A zh@DOjNvi!UIbiXa_u-c_dr9EOSjn*03qI!9K`gaGkFXd_V>T<-j=vN|XT$Ik&D?Q+ zgp=NkV7Q-YdV@pGCsFjn(DP^d1lf4K;Y6w%QAWPWOi=c+_qYpF;+;~480co~W06X1 z;gSpY^NVWid+|$od7#it&|>kY71+`tSi5ed-ShMJI^BEbVU*ZERuRy2pRu3s7yud> zrSpHb0t+oZZ|=WhPCnb7#R-4@dQHrCxe53fI~YA?bID78Sbr(+{8-{u{k*$oNSRfg zKfLZ8T==*<=61Tab@;TJEPhC9PW<{QAJh?8o*mqD2P)*~|O7`o`sTIH~dK zLj7r3`Oe3v>XKi(@#kYa{qp?9w&R2M^=n%|(?jF6+{^W1?DZ`c6|bJIfM6ppW*W`t zdj+!#3*++z-*bh_A3_Hc5L#I%TavGTm9ZV)tPtb;G3a|i-0u>RaNaAd!fQ?gj+^1e zXfNjU^#J`e)eEBmJ+!@dp~{cwiPWCb;G*AJs+6pIhrAzmH*}rBRIg?0woQk;ud?sA z@6k^MvImb{kJoT_)R!`2TA@mwio}Y8v)KU5(r(X~OSd2qf+}fN-T0%HmZ0g{; zkrXGd4^u>Sq_jXA%qp_4j2d=c*HhNw&0h>Dl=k9kJ{lFG)Vej6s3T*IqvYuypi9Fw zM0U?%Vqco<>3@7;hA>b^;mvx5**it?OS9WCpJJ6!P^vp2hBe7aR?O*Ckpp1SADX`* ze#K&r2K^Z?8^&bLto_Q(N=Y%vv+-N=Vy8 zK_Ehl<(IWY389ICO}Mr9#L+`A*ZQq0hwJB;jY>FhzPQk2fidhF+ZSJ;2cBUU(o+pe9UrC9v6QbGu`WgTS=qu_n2fCP1I7G=%6VAEo*L;ST);tU4t4+rL- z>v5So3VDDI2Qb@-aoe#$g5}`A!v&l6b0#ori70Cv1-0CcFKi7bp>SZ`f_S2?OX$mL zlr?{GL)*D6lr?=gFyq_|ubpmKTYrHux{l2-7ZDj|f^GE3AVQ(){ecXhAz*$fAUnG>~~`!3!&QxG5Wpb!qAv?JrQ zbD9&inM)6QaDj6Yup{HNs}_GPkRXC~S}HI`UgHdZP@hxbwEIL_8;1iA7UWvYeGs(h z60|so3r!aoqpaD7KS0j)IL-MFXOr654r+N^ zKM^)g;K1Dlx#n{pYWXU z%l5b*!S&h^JEA9?<+B83>3*&Y|1dXwZQCxuR=Vi0fG;Q1mwP(e*v(z=Dzrefy%Z0t zJCVuZry9mt#AwfqiWtT;f7V$PfBT$NHfM?M#El+Sxi&U2PD~b;rk2uEmU|PoXS7eT zYecTr&a$EM;}JETBwC(GLBiM!D^QqN8@#~Vb<_zxh&6r2dTEnHh*ho>VeD1z{#wny z$>UT-YqvCm$2gJSAgA3ep-0^^n#z=tUppL8e`vcmWu_Z_aO?nJcF=6?kWfG2T-x9y zYP6)(XVJDgE6lqXmsO4|1Ng7kysVHZt z^?gI4hJ7e~CvGRH3vKhiNQO^?1U?xs^UQNXoPttf)ey0S_y{WsMj~DUB$*~689^8( z6-L-9754P0SRqOskR@61L&NdiG`v)V@#I#n6upq!I<#JKI5efPnq12v(!DZ^k;;~; z%%Z9CEyXx?(_#XYn#^I?99n-!b-8}uKy{uw$7;#Q-Ky$;?kAj#&S&3G>PyD*#s6W{ zdK(S#HA!X_BJ*-mh-q0ApMpt9*cU9mt!ro<&Inh9Ut#e-Nf9=HFBP#AFK&!Cin6Ws z|C18Yht&_oH!Eu0)-JTt^rueL*>SO&&c^qp=!XGvz~a7RjUUrre|)v-+Ab73Io7_p z1?bH{sVR^B3Fp9yHfJb*i2_sc)duV4qNLKGy`*2|Wzsu7YH1!$w!07}&T-VdK)IE# zJHBJ!Ax%nz??Z()M3(}xiYW0d+5BJ9jGBIdDyh}Rb)2k^E0M&L$vtTpj1iT+!xmBt z?r7-)DP(j=5z`~&>!_tIXed$`5fKYLrVU=c4hW~RZ7uEl60~)guKv65Fci+zeIY13qd~G zK~-@|nw%Q_`T-Kdg$&{PAr$@p18*FJDF&Ovo>`I8LJt43TI5xJj?mA ze7MARbYx#TlI-hTVRR^^ud+7lu@Kawd7PPlW>>oMW~2(Fr|a&>VV+MV;;kA9D!*8} zq@5f$@z@kTuv+$2(aFtNH62n{@M2+h22pxwk9hZ*$l9S1RMXRY*@~(n@EDRqVZl1Ug3<5d3qAm%!B3 z>*IGGx%N3{OC!$Zb(?3{{30sO=N{D@Tm{dYL?%{odB0qvvs?lcJMqNVZbNsy!gy=7 z)LOTR&`l5{u8WYCNb~uyIAw8JwWvL|(Gc=WE;AQB&YKeYt&5BII;JA7eMkxdCQeq_ zqrNVErbZ>3H%pVCNkJH<70(NV%<;FD7N2?<43k{Uw#Gi2hV0Bv{yF>#pa4qh#&!Tf z6u@-~xm5}|sFdvju6d&n%#lc4EkWc=?kL95pl%ZPHj<`sRL~dspzxVy8Tphx6ngT{##I*7^tX$cgDlJCi^Y%k5z2aJVca`HDB@oa98!9+Rvh~nd` z+(UC^VlKG>jmZLpH2JB8nV3vkM}Y!P(|NYQDR}DbF1A)=Ujp*ka1*8V_ymK_;$^)S z&xSvzb~brKAEaMirN1Z{P{kUw78V{|6`?W*RN!X4W`rv-wa<+ zmP6xeA9D5eJ54K~^LNesymL!d>(gqn{csTETmV`tFS|WF8aAde8ztfPC3C_3fTUf( zP9KxELI&$dWi^;;=D)wNSzQP^bDV(Cz1pG)$tQyA9Vcfld7dmgo7 za;Z7+w9J#Ii=g2Ljm7HLO8vyJNeDlNmKXDy9?x0oLQQ((IDjILnU-Svv7Cj6Yd~dU zs`pn0P-qBFiiK>P#bs+m?)ZYvajPa;fr45$M~FYU<&fc>PvYK7auY&wQ$TVFA@`+qFwhSjy3#+Q0w{)F;gsL0!x4B!177l<7;x#LkaN5rbpTFExZ{>25! zl3(BF=K*G`?iN3bFsb03j9iZZ1wH3 zv89-VxPNy7P+!*u#U=%Ulitsh-oZ)G5WC_(d{6ErAy#wFJ^uEK6QfAGgDZG98a;n? zmvGD(tvW6Vtxd}aK-&V1K~g2GpfZsO)&qQ@0~x^BSqbC32YrJ$voSr!Jj$IO2dasS zjFG+W0Wx4L<2;GzJc(_cf^Ut&6q35vJ7AghzyUD!6)?5}PI7@rsRswIMQ(gSU<(<5 z73D%*x^6#ja&D9gf!b8Cg-;SJ6)p(J7!*l;Za$_N0as4-j zW;*oTcfV1`>quVO`)HA6R39T(T<@H7h4J9uYp5$PZ~Kt7LZyf$;E#8v7ZaNk6R7E)Zib?(q=_@5DS$)L6QFVmVuLdqju;EIH z-(V`DBC|E0%&n-GP2{>$$ov<0a|LpzgyoQtbi;J)CMI&S#8!-LqALjE-QSo}amxv& zFUjJy3%O#oLbn@bODO>bWiytb?c)rERuP<)ghfam_IqLbx>4Nxao+)2spykE{eHTi z==$c~05cMMU>6R0M+xt*uC^i)O$nhV1n%-@Ts}OOKVyyOrUe`*bIY4p#SZWpOo4u! zLKn^Isl##INhzmFwX|>0y`EqGGFngcJ4hq#Q`++MF^CN6atgbkG<}O-=onf15=DFX zMcn6sSnM(fg@~`-VA8L+WZVGW-oOiEHCsHdX-2OZsh%bmtE;Lq4G8i`ZXWA^K;P=! zA4G|Tlf&KF%>Y<>Vz(=E?Vq^!oeaRX0-!sW?$p&D2maKdL<4ERr=wbodk%{~R9;w$ zm-9=e2G!zRyD{xy=?u|@Hmsh@3LVf29nggw@ICQ%CjI*cg}_O#kcEuKnHj2RdKhRE z_8rCTrQ*@0KsPH6Fcn~|7%*lEP8x+AZ~tg>q_8K5po%Y*2w-FFCZ(}TC-iGZ;Uhv% z2t<6OC+Gx0bWSR2TwpR1_*+S-waU*4Q)EIOL&tIu?d2~QAehmjXC4E%8+C9H2Rns{n8Ouor{F~E2`2pMh~1dK(I zG!3B&%%gsTwBRx#`uQVdaZ1d--Y%1*X~SSvL1zewY0s*Yl8MI3_1n?XBewWz^Yxr% z;0XjNynV}e?(m_e^0nda2~>S+V2%wi4syT?MmfZO5E_KWRtp%absMVn8j2U%GeIH7 z<7hC-+>GKPj;w`N@r2sAOYl_@;$MXHNQZ{Y4^=o*4$@i{I6-g{7S^RKYCoj9Aa7Tv z^utsQV>+N^vS@BiXThA$=Qpo5FtL>B!bQlt<-!qBjfpae(j8d-2w*7E#h7|OD~URf z{EJ)@gdVes|_jov2l8RN-d;7|uc305xGzMJu+ zFbJ=oKrfQmvIYh$8O)BJONwSf&S$+{5}?&p}gdfxOYb!bO}H5h~LakY)@6l zIn1?lk{g77FhLjb{Otscp+W2h_%<}waNu8_WeJMG#W-boTGC2`1EcqhMus z#7*vb7;E-2MvgLFun1ck#P)kUiajZv_E!9_*Prc5zM%GuZHL)EP)#iwa21N zdqGTlkW70LOnZDfLoT&Z+xi&2?UbZVlpkg?Z}TxvWaP|S@2T2j`L$8N+9b88V=-v+qvYH2?!?an13 zk!t~*E5K+sZ6c^MUV zXBRO7p}z3XFhekMR&i!K$R#B5c_F)xS9Qecj##tQXxSBKb+k+aDZ*x66A1=s6qCZu zp@&=N!neyWhU%(M^+_47pJ7F}j8=i7jFLf8`75iWaR6;ad%K5;scG=aMqb|U_dt)1 zBf#|8B{*w+a~ZUV7x?EQcJ2mGLvxK}yU7WaTZg3vg$G`jIQDed%l~GVPTUtcO;sq4 znZOCPU%MJNp+zSe$LFS>bev5f`7o2due!Vzry34-C2LTfWKby;Z8bU6emAufy47zm zO=bfb-VZ+*++2tGJ0C_oi$HQ{_pS{P8 zV8eWhTRq;ZMDY&|$M*09_h3f8HgzY(Izg3nCgtSruL+Fp<4bOg^$+@8Z8yw4%z}p} z)@_y~XDRtLJ)E+E=Yb=|Yx`vW&hLU22s0zw37a2I>wq8+GvG=m(~|GxzWRD%Hr#r! zQEFnV->0B&9NHuQ`fK=3Kb}fJ>ul5dvVk#Dcyx3HeEySLo$7VR1zYO9MHN9cw8Pz= zPpi%&T?olA2Uy(yr)+OUzpHY(a&hrX6Hl=+^ZW(WARC zb5}2PQ0AApP4T(oMes_)W2mRsi ztJ@|pTVH-$2S@j#?;95}SrJJu*=YHg<=U|yLolkHu+D>z^a95=#@+0=rS^xS!e?BG zLXznuaE^HwM{;10F^d4`tocX&AV2XPH;o+X6%dL(l{#s1xc`uy~PEYWpv#MZ{{ zp{h@ZDkXQRfNj*E*FX;yrJtIaxljT5vUA1$K$k0(>@N`yK|oYQkOGz*J_ zdEbSmQ6GYVrR3Pz2Yx4`w!aEhRDLs$ftmHTxo~WUH5j0_E%TrCo)x+DIE&6KszU zf=xMdX4JnIrgF;cP7x>;C+e0kY4?0Nd9VHmHkC|S!1ySIqz5OU*|?ujJ;Fu=Ag1fd{vlV6F}GmGJM>_ zOqqFZWjdvet4zA1d9wcR(Qh93-HnqPUkCIVgxD8%5zSVRfLpIwCTl=bs^}0IceOo% zjAoW23FfB6nj=wJzqPNp`^W)>fHNn`04u>IZZe@QhdfJY9lqIccc%d}4*3u;Qie zy6yWKC`Aq4A_CE$t$eYS4gPW@<}SjrQ#2@6u?W$Ep?kagmmAx`7-p1^9C}$wllT8Rx4 zMJ5B%-_*DeD77_2gJeD%nM^_(Bvhg8+xcM6Y&7i>4HBx7m(8rkOPk^EQXG=>3wEun zgZ<@BsfQ~gaOtNQM{Z7+9Ze=mwR&x7pO_bxxj4<$E@^lVT%&iN_2cTlk9w4= znE|7(r^*_f?Ey5V1kVh4qpippWH$xxA1X-{E2l}O-r%O@ zX6FMdOmxwASkBs-?sI`(Dt{zbSSFxz#D+Ts*%ww__{GEs6w>Hd=@e8nurW^e%6Q&G z(^dY4p>igi+CuD<1oYYw_;nNbo$wSKr)eIJD4top6} z{;Lwe{5|fD=$<_7)p<228VfMbD9fgnmxoAlV0Vo|Xh@-vNlNvCE;%99;SnTL@oKrW ztK$CThfBd|DGg0wbumB3UehA%U>_ICi<#Qufk+sioWsBYXDQ=1T@Wh+^NS6Rn37?k zB;uA$_9n-I1ymR zL^MQ)n%$usB|bE!zfNer}f!OP%d zw4lI!{XuK6V_n(HYbLcJQ%!=ZDA+Z0>iMi0*bNzL-m)Med4D$&^A=2`e-Wp>&Q~Ad zi7l$6$~`~LGpE(jvw4DO6Z&C?wt07n9q3uJ-af$CFpy&F*}9i7n@P_o4*)NT$jFlj zY<(pR05EPp3J_;WM6pMX-qvlZw&Ff^O=>ziFWLre_d>lMzWtsjh#92m^mUo07Dvvi zvoY+k*Hf6_JY|Ctp*fR*1#-%7@dgs{xO3G(Ey r@J;8avxv}b+AldXCA=1m{#+}oNz-$6Y%Vof^WzdXx)1pOepmko9T87P literal 15399 zcmZ8|1yCJN@Fwmq0fIgVZUKVJ!#%iraDBMDySrO(hljgsg1fuB`{j54x~saY-LHH1 z+nSl(nVp{Ao*hgG2#6|V$bZuD-zNM|tC=`BTG)cfSlF1pGqI2fJ6l*Ald-a~l6~i3 zW?^M!{m#NhrflNiVqs)Lrtr(iij0L!S(;4U#E?wP*uv>w%Da{SvV(xIW&hs_;s*VH zG&SG z%0yh^mX5_$4JFh)?rjnQ5?(}ib7!@C^lAIIBh+>b}XDGJ9W5Ij7tWG~`ABr(s$41+i(kB=+$o}M0O%b1To&uy=jt+y1@ zPSeHL>L2l9AAwFx>y89g@W(<^KC#iStgDxPxf8{w4x_Yze-g!f#1><5|E!(j>puSF zD~|3?-ZyvMj6s>@+;i8z$nRNJ-x22!KuO_b^VgTbNoovgsy)# zvdDT>ock39ee2VGwaIqB6aW{y9=PQ*by3k|aVwPLduv|JLnhy-Do|>e7vZ0c2~EUS zuHv3|Be?HB2AvE~hps|W%J==2|LQ=~HV60YLj}ipJp+3oxA`IJx%Sxl|9>9;hz|H_)N0g#^=BFqH3TZPTz-LZHzf>tOqiODmScvq zfdZi$l>D52iG{R_UI(buFK$dJ_>!VC7Jgx&I0Z!Kp9}>)jFi8Z*yG&l75E6G$#b1L z4yVCMw6pwhW(~ZemKv9uhX|!t$fnA{L?o_>gnXZ zkykWV$XSxUEZ6b#hPg)-|sh~ePpUbPVDz7`fWoavf3~Y(^mV9q?%;Kh-{=Coj{3`yln{I6i&J zFte$(HLj8KM+f!Sy4zcF?!<968-H5n=mX7o({*O#9A0{cu~{1yla-t5VHrg+)?6n1 z!kctf-dtg6pN(clRtoNCFJWW<3800u@ruK=a)3;Pb*r3?tJurL!($-OHu4Z0YAI}w z57HrmA+&>NTy-bFhcPR9k#%WI)fd?ems2ML`v&Omi@q-7c9)857ulIT$=8scbYIX* zypcnjV$|RrmC+Y(Z@b!}7caOQRXhe7RwN&%uS$7o8 zNRs^S{x;g=;-c;9yzR>;oXy)?yAx#phnP^0#9dm!yE5BH_ck!$np=426Bg(_fpgw) zOP{@2>3hQ5PihhInYuvgC=QS7yZ@=n^Z~|46ocWk|NW3$fj<5K%yS8d`Z|Q&S zrVj9F@cjr`0N$>wPQ-d8%z+tmcN-XU>&xHV&=LqY+5P~a=R9=w+B9@M(^g$7Iax3>uP>wxI8ofBI-@X%^C(%@U-5R zt0$R+0-5V?6V@$$`VjkjN&U(hl#>QuE#ENJUGZ<+TKl9ExUal0qNquMEiesX?M)+3 zB_)NtA|`I!^9TQ)GT0Mq-B`Ph&`-!1w#&)VF^hnba#FbYTC>AmGn`COhvyh_RZ&S%Fw-Ud*DWb4o|!xI+C6n| zu!JF(QU2xI^#IP33C|twnA06>(+%zz4E9V^Y&d~_h}7IUIECNLYlL{1OQ6FqKM3c1 zKAkMwhdyjk@yupwE?p;gwFV$7kgqw^luliI0PFrn*IaC&8D$r8#cyITF7r7lp42@_IRzs-sR^x-f;xd|&pQy1-UyIUH z7(h-bhU6`pvzn&-gujoDJ>ewVGcgK2g88QUqgAIWtIqh?0aOw&Y{73O7aP}U(+Jf} z=p4EkhNliz<3^{T*s2o#rj3WCmo@7h-X$lBggalUSjp-Hw0IrLcR;Ijn}~zEn@Ekt zDEs1hKT-8ZQ_Df)Ap7Q@`#5}zJ1xCtpTv!>!pc40G$L_{Gp`J2YP1wXtanLWvvkUo zv_KI+v>yEmdG?L(i1*O2bFL40Xhrr>b&WN3+bR8O_{GbZOF?^A{r{!-3$)*npibq$ zzde@2uyw}AV=7Ua)I5%MVuxUQ?Uy3@s=}D?=ey(JO$8fQzE=0l|FsiqO4uG(jIk!U zt^mSHZYKU&Z`k7+5K%`{C$xe6(lo8sGcT?U`QM2Si4Em2p-mLbl&ZtGtskw8Wa}CQ zr_p#{^JA2`Z)a?9dmDQyVE5|WMN^xw$a363`>0gRDMLyU8{(_GI5(2b;z1tTfl?J4 zYS0!|-~FpyzDjBbB04qB3a)&W%^NTDPYaZ?^1AKYFS8KCX+n-}Gtrg6L-B~dCD)at z;SH3;mkD8_mdA!}OaZa}oK-H(y-H&%3V+$EUodEm+zhMf9KQ_@hPJ_9#@EzwNi$t! znZ~!Nur?sHVxqYm7r*^oZ;GTJgTgs>6OLq?Qh60tmW^b-pbj?MHXv+VC$erVs%-37 z8-$i9L4=d5~J^$FRXpi`U+KPpuKZnK=44>PWDWfp4s z$T^EWt7kWO%sveqj2L_+DOo~wmENqAY;->5pw!(vGg$b5`c!+PcK6_TlU-8Fr+UFF zH!{K3eo~-kK_-0Fl7g#%8{l(?# zS(f~ut(}6zNWV$if}6 zjyT$I6oLUy_peZ~j5MEETTl$zDS22#{!5*qQ8JV50q&76MQFiI6ZF%bRJq`pC)S|i zX5_KXVVMwyD&@B_oN{Or7)&THmK4~b#e%{aF+}jzbeTi(1}rQOH{|Vz!j!Y6JM6j% zj#G1fUP)9y4hPO%isIC2NI(}W(#wj%_vWa8RvVfl=EA(qB0t-!@)4snv_?^08Z>20 z@RNico8^x|1IqMhaX*=%A8v1i(GaQXTbt&2c}|6pvA%_vTQ`(fwm%dU+NS-6yQdLq z3t{kycmBpuK(;yQNaHz2hfQ(`;kPEz*bB4UmgHRqT*6=UXn_1RsER9<9{|wo6M?`P?+<)zV zFJ}+ITco37y>M2M*NLJMzE|WMYSakV%Q@QNDWIeqbq^!1M2WwRo0(-gMG%ugf&FWN zXNyilvQOMirflAg{|^}dfS`P|12HS%u`rvEV#4|x9SQ5qEEEPWGhZDedWgO85S(y% zB6bvcJ${-k@Sd%tu*T}-m=y3=xe5tkwjb7Yf??fL++R=|_vkMkB}8Pdh!`SF8#n-q zDF7-o@($}e`M}S?0;E~~0b6r~+U9p9uWN*43ZIVKasOoBr3+d7kk5)}e z9fk8J`>MO)xn9esc!BQ6`7g2ob@hs8X+(DRl>2^>&{Xg`L>=5)J0VHXOrW`c2WbD8 zwdH@8N?v%sV`%dj5LU+W!~0Y1cUXOXteLNayOI{}BEUk^c~l zq^lE)PF}8x$8Z7MCH5naopMDQ=ce4mvt#&n!tf)+7TNmbK~eB-t5sXgmL_ZFw0_^) zl$jxO35MjylFC?AwbGG;*x7abA95xQe)|;Wl*dQ!+76S@M@_H1N^HUFpb&H(tTPj6 zShfstcyE~D{OQxcgL*_0Pc35P%UjI9!w6p&$f3xvDs0b(8Wx`<_X%lQZdZ8BylfgS z`Y{Okn{nE@aXfO+GaSbbw#YML4r{!)3|9|d^McrmE4>er3V#8m1+a8@90mf!ZWTa_RaO!Iy#P(M=gcC>q_i`AKAsXL;3a_ zN~0IDs+p|rp}t`i{<;rQuj$(2t6}N(%i(x(=Q zp6N9-|8z4lPK~sFyq|=xEAC=OyPTb^SHFDJYJJoiGeB>L_(;;IsZqyzY=SXu$Ui?G zf42FANScd8nUdgL2VRCBKbWfA&iB%D6|r@@`^e$s^Vpn$XR=J(RJekSoceNU{E|n(9I>d}xjmhkDPYGWYn0v( zPd7GFBlG09Z2k0+gNhs`Il!TXr=6J=6w#dL*T~@rZAC1CQt6DqQoDdT(4$oX0k^;d z{k?Vp(Xa2OwMn&{d@l2&@{iabca0{c+IM?F8l{%6pJN{`Zozn*gZ*RZvCP0V|JA-n zzBcbu$aYvj`*?xqM7)&gNQ`HF9Rwy|6JDiCEj(08`%#Iy=ZqJ&jmoNm8TgVGcWF;~ zKrP`VX*An(^e!RS)gbjau%3Za-HXmdaB*=?bb4||Bp90cZ4^5}_|KDOU{!~9*|_0G zXK8O|oq8wPtK_B)~ZtCj(yq zq|MhBhd3L1L3S?WAX33KithwiK7RQ z5R}3yO+0-9-UXinjw6I@bitQN?1I8>P2{)Vk_&x>IrzPPTgzmd_eG7-h5WRU8w1cz zpJ&;rsGA@imQu7ubmvw6on?Q7=a&#_L2qQ=C!0&5i1N?1Wv1==jw@M4Zq7DJCQIN^ zxl=rolrv}i%O8zb9Qa%e>Lt7*qEl51b7zZFbTkbcX$7gGRLdUkk2ZDI?o`-dV~FHa6cFb^wLa`8-=bH`L5i14Tv0uJ{bmYP+_RS*Jy2 zG&FB{R7`U*tJs~lupMHTD!x^zVB%GL>?W+_89XT3Ri*DX+tX_Iv^j_LluMz^O4LN4g4JLBCiJLh`7>^7yZgB9$qTq{t;y9NtINQvH{zvYHk%-l4G17X=1El-bZ{lM3*K5o z{nEBKglCHX4^W4pp}PvH$k)mHXqmbWfTREje)Rp2>=cIR6T|Z+J23h+cK1!s+R83U zmrggZVU{vxspH(t9CA`j?|JgEz-wz2g;*!vgn;YE_I7UzUq{QI*)sETc{7waaRR!@ zro%qE_FGOPUhGwGu5J|17$rJyBzX0}zi!)uwAx#bwaa^LH+sn2`iZP^ah?nPHe4Lo zcx-7tQGY1aCxJvacekY5Z-H^w+SWu58uCQo%TQ>pR&uq$b*FP6TxZ9^g0uHm@TOlA z@S&~KB-SZkC_YFszsDAHc%o5hv)I zaqmVI9}~UE_GskKA_bDtPB*!ec|y+YB8cv&u|m0uca%FnAAaiHhAO36ZxxFZ{+?@H z%6C?c>2mM?6S9*{hv)J|;>vj^;#}v_AO01_m>f2Z*IXd0bZ=6RBh#bvKFl|MV2K|7 zTQhiXXWHMx{XHo+t>V+mKqVl?QLTpG%1d|n@`wJHf&ZPf@pRp+-^OXq&TVQas&l#gCISxm?PM2uXSXBKS zvdA%mOXZ&ox&D(NHwUc_)+A#Hd?dT-j)F9-xMTpnjIb;Uc4KTdlx8bR}MRN-uNV zvH4uS9i+fneqwU`bcHgPOacuKaHFUle;Lp`TbR#`5R>LTZ9x_`aEjRo=P6pdnrIrC z{1Yx*nwSeem(y0y_p>9%-O5ufcZ0vV!OTl<(d}}j^>#743-qxf$MhENbZTV#1OX09 zPU08RY(9XY^*YbEJN@g?nJ5yF4uL z>iz{l8mql*+Ud|OJmZXH-CM;Rv+rNtKkT4PGM%V(5?s>^Hx|Dy+unl2>6$2h5*Q8>I6pSxt(|6`viE0}fFtyp@hz+5lo)5RRdtzj(+1|==%4o%k^>XBke#%&i*(~S$Ij?T0 zrf>UABG2C&s{OrSqXnQMH|g51`%Tc=n@SY%^M2;Zi{10=7eX=j%KqFul(hf@`41k) z5u|up*+VlU?(9#y;;xzJ9pW?v#Nmy&Pipv25@Xb7c`BCBoj^{ZtDWJ8e!?Gg(4LI3 zYAWtCoXPs49V#qy<$$o2+stj)T0!q4h2zBq$WKcd@Pp7B2nCU2WO*hyxJ+dndGX4j%#W zvR#r1`wjCVb7uSFQBQj6W6TUJHsNRPWV?h1%4WYG#R$5KpS#m(Gt*N-eCE#&W_)W^?ldfqxsA% zE_$neIJO0v$q0@3vIhTty1kus!+;xU_X{0ZC2A5%-PFJPB$#POj&;5M6i6vCkDgC?EVS5)G~P%!#d~2y zi1`b4PXUbWDlX(I68L0|7UCtgaS1fU48DynI1k#AM&h3;cK9+k`y{+suh;t|w5rHc zG0psE7~ZHo-YGx+JWd+l>VEuOIecZk7K$7pg+XSsNp)Au#i$axNez4m%b`Y{OVw^Q zCs8V=`T9VKU?{dWM!vA(EE>}DWE?SXim~IZKpbDE@*XA45UBrT7=cLe<=&(TKb|&n zwH9T^Bz{4%(Iu-fF#T61!hIlm-SMl85Q|W2+?|4Hgl?3=2N^q#=8ZmL5S;+G$S9Kw zr7=uM7T1o89e=>rJ!biq`#I%kHWL*GA8pp>IVR9r&DyfxWPk7Go?u?|EYwkfEtr0pvt zih1|_5I3G{5>ogWK`44q$RKl}#JHFSqsp)`q%SYf&a6chvElofa(&n}@?TJvFiaHgQ=Rvoy*+YElY4DLeT z&ofx49Se*Kcb=WM4jMW2>rh5n3-y2P@;`;SID|W=nF6txO9Z%72=PLJ_3^>|LhXO@ zh~ZY4QCITw-h1-0Ay&{)SBR#3jHhDY&xhg8xu$#!r}Q9J1-6wj;~8=i$yprv$8~LWJ7K@}dJCXaila%yYk+GfoMZPNf7qFvFdzObHlIsrffe zZ&g?z8_LQjtWBfPD}?zY;mt!}hduP8-j<%l)CTH`N8kg~)WTQrqkq#-UMDHI zA7#ZR&lw-QDx_^T^+5nmhCAOB)V7=wfLl?7J3q|JwwU@r{DFdgt>GpBkNi6=j=+E| zsFRY?baWz_wV^DLoH9JcH6y5BR#>eH8}Q|?Uj*v-$kn%_z|H+99p@{apQYcSfi_>{ zzraAI3fE}~`IV=U%{2jxd|{~f6%0yrG{O*tX;|rm_wttSu#_}??Z`{ByqYzP=Mj_- zj4c(k(0U}slvBztjfTT$l~+T6bSW)gS*_gJO7vE2Q1>l?j*5JYmX{_*LJ_AXS}QWe z^=y$aM)3sU#B9_=rnN=0SW0Y5qy)2U{+U9?2E|S%W&Z6dji8p8~m^c`emwDszv^`j;|4Lf)I}QAyBHyi()#zGw znu4SPI4+VmEYWt2SFEyFj$x3@VgeIVu<)h9OM}76DG6UA7@nEM?=0uMf)py2et@_8 zkT758&RJYLTTE0CFdZm6E*>;gnUybssINj_cssAKb!wSl z3qJ?0799b(tn|Rr#Pw3`0@T0iy_l)Cvs8SHN3>obe^oNrd9$7jk~`e z)?HdKFT{^h{(Hm{eh@E-eAp@2K;Dv+GD`b_+ITexU4Gk~XNOw5$KmV9 z$;F+J^PhWRcg@XJlf*$kLsVzy7lM>>Ny;?O^(uZJ8s=Ni4xQ;;)pdToe6~$=llhUq zIiN-!VgE|p-+~MHDtRQT6zma*|_CT96Njec>9vq#J7cc1!>H}=Jvkd6+X$?=NY%5(OIAbp${ z_r8jdmrlOvOS8^Y>I08K_3qTm*AC0}#c^zJy{^mKhm_`U{LJITF}IKA@Kk*ri@mOCpIc0<#Wk`+@3lQfX6a{A*fb`aM0Ttogp5hu5s~0g z*2)aaJx|q6%;RC(;2X2x6&}v&jd*ihzd=R+TK{?+#abN2dR!qy9o~^F4qjfAqarv4Xjfh~rFcxHcNevJS0Hf41Fibi2eqB{mrOwThZ z=iV>DGf?q58h^uDT;MljbEj6iwpJiMhWeuy!uPBb2@oq~=`C6_|I<)$X`GviT8CvU zx?5WW|0HTUdf0j=vlFsACYfh%bx~Dsj8;VP4Le=s#4bi{{3Cg4te##FCJa()8 z{^)oyVvQ#S${&x+aH$&R#1+wVR)5;kYyRr*gnQE3#q^Xx_Hh!V%xP5()%V6M7&Iu# zJn~D^KOp-Zc$PN$vvA^*E;9hy^u&L3HERmr^(mQqHP3cOMaqN^9Rg+2-s_djH@t6a zMgV!l@&2}P5z9tKzge1)Trb77p|!sKMw3{ZaVwe3uRRXAD)tu{!zY9PE~-sjC^MYG zKzv%tNjScA^@Uv`HD*pZ#ESY!v|Q$nRq#v~u?wJGoy*nA0No|2E!R(LLU851>hRT$ z8Ms7!<7O0uMry?oV;em`1c#jc9&y9)^uva`L5_aBwyi_aYV1S6S@ zk$E8-bMs)ep1Ey)ko#jB;IS3(*bYE%0d(7o_?(8kA!2wM+qfdA{t#R$=i#Ml z!HCPuL5pf%$pug@s|Dula~v&$Msh$S8=w(K$X~!IqDoYDtrVXvcaSE0D*(P75ZVGD z294x{Mt*@twm~D9ppkgch$3iY8Z^QJ8maT!nyjB_nPN1KC#*+_E6jseR&#`!uj^oG zYB72?I3I|i)WGKPvFIqaZfcLl2mnUbWoCyl$_;4y+1;VrIwQGfVqd#7XN<4M`8tVI z+lg#khIoyjKGzc7m~rj_1h34>_CnW%KcEoQ3G9AyE)mgoE&0N5x$Nj>6Zc2X*W7IJ zWq%;y3?=7X4fSq9^yCg6NW`Bbln-YKH$nFPvH&Qp^zB*merMojk(G+Ehq3aqYv8kQ z@DDu6>sHtWg8q!Mx+A%x{f-8BZ3n=&0N~pI zldwU=irYntw-5&Q5yMj=eq3;2XDf;}zm1M1twy&5jeZ%`ZcJM;)H`JngN)FVn{e76 z%$wtk*5eM_0X6Z(-zM{Wpv#=%MZa-QDKB%mS7Fq-3w74^R#y%@uzcO6m{1fUt)gTm)=5q3r@n0TwESP_Rd5{xiDCx zpwb;5e311Rv{dB^%#@wdG{+UL$KgQ;M-HnoSfJoc62`h_ut|`&A?Jd)d&7@l`nFSB z$gMiUJdulc8>l1yCW|gtcI8F|9qrugj4L_70O*=BEOqr|{1;lnAxgPI`XMp{+UCpfD3(;A<yZukTczVu8qho`gFO3@hhpQ~>8EoNHgrmGpk*N`E1O3J;&253Se0?(;k+KNF~|_)FbSur>x8va+YZpO z!f0Q+Q&e~yS|~@245bQ5Mf)}CG$?qj@W_(qNGF{5VNbn-EjK`&9VQRCeYNZi8o39J z{PbHw_G-``IR}mW>*?NpQ%Ti*<0Y@zVer2*F7|9_6_kzvS=>6W|N3MY;f*@y9*f}F z#-3CQqOfUMb@P&a1LUvG)G`uEBThU6*RpSiO!*0Q5-c#~Vjkj< z-;$n}h#?R{e|M8EbFozaK^C0hY3=vlF=#_UCqj*8(hAO#Vg`haBppi{EUz+Z>yT>A zv-|pZ_{{`$;q(xxhe~4{;QTlE&H35Q9YF~$z27^3QD5@Inbq~u)pcEA{Wm5`cYG(! zPd3BE__5%UTD6^Y!%A&9623(ZPn1J!FCxAkM+q8{0!dC-#i@cuEI=cc zf-NzAhzd5wc~9F#>^UusIW5jPE%x$j^!~f#a4GbW$o3&6wf8;Y;(nPMWf`+_fA4y- z_WgU!3~s(Erj z_;01@n;$(ZiQWizU{ychNn&K}>f?1^@8kYZ9T=w!u8LiB29g?;Xd zcCeG?4^FQ7**U+Y9?DEh-=dM-i1YlM=DiIzhwUm541?>v1RtJElaV@~^v3qJ2YHo4 z6yQEP!eUg7sN)I#umWC&=uiMsQV+Y(!n{>JT>MF@1UG5@%wb<@pu*JhF53Y>sG!^Z z{9cnlk>jT7^jo$(jbd(U^BHBf6YceKAILEJHeXtnrqT0rk~H4m+$kPyGQtxwSXE1p z5DCi3T9j+rWTK9<-hM3+@7m32o9bO3te`V2z`YbB?p|0u;gSJI)7 z`yi~lAN&%9chaC&I*D7@H-M{-R|w9(#~%ozkYy4VFxJe$TsaZd*%5t%#^@;GTI;b& z@}hK|Ka#xO{j^QJiLhnv9xl7?lp9dRQtUANAPA@N0UaO<=E=}>^|g~^3oL#Uhr4b& zHn?83a)mOh3DM`qbp5wOLq^(6lE1B>whosa>QV0qQ1AFq??6)TaLNtXW`}KZV|Kfe zlQ@xoY>B>2hd+^$5jDP2m&5^iG10j((c4jTO)mExwaur&o!P|1{f!4bJ2BN=yF6{YiI?b*3DREK z?FwBXYXL?~YvQg+>e*dB{ZH;%9H5+UX5B*o&+5D1YJ|KOLR692UZPmkWln8v19c3H zK8xOvxBnVbRPapo%7u)}w-zXVeeA{kOR19jkm5&o2R;IiCSO{B_Brxbqu}P{ZWQ#} zB2Isstbo;{FY5a`^LDsg1I0>cL7-`6G#I!NEP#b=*Y{*nm<|tVimM*^H(9t|?nU)t zN=-m9fLmBrhAD(eAY*=>t=aRVqk6;r*0cT4>Cbt_;wD>ncXM|`O$D=_{WF$z$Ilh1 znq8TuX#ZYSWUKz@eB5D?))vc2ffpDW+q@gi&}mAe=wB*x<-vu2R1M#=y{^O`c;$@U zd04M@EF1^R{RbO|Bnym;Egr6USf?1g>|FEINbgKAU7?25P*9b4JSq%+RVBAN7oL$4 zl`-N69OYxHZ_iQ(Qa~51!4eatlTFgEi!kiFQTaa(U_FTTmW(~8t$xyWgaTciy=Gvj z9S6)1|E|}2%pNcvR_Ozev0Y@)Z8p1{_0Qa+4oFDw&j(p1A7^it$Z=!v*!je7m&O$R zhw-nQ>&+B~t?y3KiqwWD7h;y5<6`2L+EV$t9;eT>bE#r;8?-SfM@c1zt$&>>C$EjqlUcqRlCqM_lK@X! z?+L>IDjXj!XAhUFO}CNIygHZFdACEu3H7<(QM*2as7UGWw^eV5a?h_H9^rceFEfKI z_4k_5E!%M5u(9*uNuRD4O5D63Q>8l7%!{22g7va$rk#ATmRPc8(eFabc6al39R;`X zie&i+{xo5AU>=rNmGZBm)R+GVCJ`jX@4&eq>O4c2xwMt&lnwEfFUvLJ+_yvP>Eu!O zjOy)^bKbZh>BeQ=+kF)(bMRH4Vd0dVUcDbDE#f2f(F4E3J}KuB&c4PSHt)PCoWFT< z`L^>FCm$sEPxzAD zn&fqX=ZDV}SiU7|q~ynApJx?e{Rt6EpEz78uj)(mKr)ViR|+4)l?|?vB#)Jp_>x?2 zRg!G5Bcr<*A8zM6PY%c}w?doir5k#O&CK|c*F97Lg@Gz28YU5YewivX%F1uV+6Ji%{pUT;%Zfug7a3gO{E zSw?x>;5A^MYy`o>96 zOmv{Q3t}r~Zh|g>*)ozjECb|af5R!ulm_At9RsZ+c3T2{a{gS|<<#YJ!_5a;NV zmW#jmlnPTli^L|N1Yf$>*bqe5?V-aA{@7gCYt5vbf0;~Z9I1&8YV#dj;ItbIGYC## zPo~T<6i;C=J~RNW|Gm!@#CvIwi0S#ofuMzX8t^ESed}{k7S0T9NMlgaK)tm%KN)&C zg!v0`9IX3hiaS+Y+r0h$H~8mkoCJ~ocSkoL8mUOBeX&#Vcj!`uTAX3)t$ma~|6+er zvd^#cyYqe+Lc3rCFW6xyBRx9Gtk&|P5Bx3BTKwXwLr95w6o|9CeIsTOAmmpq%|nlb zRre{@owo|dDIt{|IQYbG=7z|S>|0A+97hFttf+$rOeAADJ1Moo5Xo5%`pe&T7 zDPVuuH@Uc0Tk12j8rcm!2#UUOCy(pj*l7BLdnu313ytK}L|-kxxN)=c!-S;%P-bii z%3)!Ed=FZopjQ0(3v-z~-rIr*WaLfGl@Lp<@7*}R6ec44`};En8hXZrY0v8)XuNiN z$x;GNv4k00#obv^W*4FP;mRk3715sp(z5LE7%69yV54Nx$I^NrX zj|vZ1=H@NtsQGan84Pa**X@6}e$c`6<>RQ%Gk+OT6vO*{$+;*ua7VcpLi9~}<9?QT z;z<*nFcn!ed{BT=G^GHi9$3FmQM3$za&Q_6-Ilw?&AUKR)a7?O^7ukVCoBq0CVD0` zJ%yrr#?Cg7_X8@C)lrHj8geoUBhW-l<7Z%`7(@#N3=!0qe5IKn$1h~2m^(AmtnSd4I}tE&&RSi@s+S*X@M{m&RZ5J{Bfu%2 zsJDu;5g^$1uEy2VB%zrI0%tM$b}c7V?~q57u4*t4-^rLwmoI}Q+C+e94ccpTq7xQ`Yx&q2339{6H7{XeWKS{ z410@kIvA$YbjV#q)i0?UXsN*EeN?U^Pnr9K`hG&}>F#KT)4##)2qso z5j*epdE&dYw=@!u(arwXv^tO;GDUGP;9+EOC*?6+`ncBRU_%&%SaHLd#>t!~!9jQg`r&jwV|`L(Htq z>w}2iew#aR>HW5!@lshX0{D9UckvVU&y;vup$C)xg~u=4>k4K|xz8#hrO$~qynZ5o gnVTu40M^l^1>i`5TN-B3Ae$K^%ICk;yPu!`3z61sr~m)} diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb index 89aa9b430d177793e191e5a385085595d88cff2d..61ca8da4304b6742ed6ab43c2fd0dc55e7764c60 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ diff --git a/cpld/db/GR8RAM.quiproj.1680.rdr.flock b/cpld/db/GR8RAM.quiproj.3044.rdr.flock similarity index 100% rename from cpld/db/GR8RAM.quiproj.1680.rdr.flock rename to cpld/db/GR8RAM.quiproj.3044.rdr.flock diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index 2594dc9b99d9a2b9ccf891580b7499c5d0a2da21..f54bb140bfc13c0127f2cc77d51665a2c6459949 100755 GIT binary patch delta 89 zcmcc2beU;FBO}|yCUwOchE9FHt)eRH8IEjjkdSRS!_3G41poh6FeW7?q-{u?cuJGW pkbmM=8zm1$ElZu4M|?qg{flf)T)l43<5^g+r(4)V^hPa24*+vIAUFU3 delta 89 zcmcc2beU;FBcs*CCUwO<@}2sOTSZmYGaNB)l#p#W!_3G41poh6FeW7?q-i8fJf+FB qk!9jn8>JbHAw>~8j>s;Fk#nt_uzG#H#H2?LD#X<%Xl?in(E|XY*CIgx diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index d150b822331c947c9b19927d866dff1d4c327827..42275c32f060ff4a20d28f9081a7b39c3a7444b3 100755 GIT binary patch delta 1344 zcmV-G1;6^83yKSnTYt&~00000000#M00000004>w00000004La?3ux9)Ib!*yBEQO z2Sq&y;_4qDNoFR=-h}od=%rXZms0COO-kKT@ZulsRgIHPL+ATRlcpf8?G(0~x5>== z=6m0J^O7`8)BN_IjkCYmY~!In+^$bn%j#hMae2Hyf4_V5wSPY!e$O6w>z5xq_4A`? zzI#Vc{qPU5uREgV}xm@2B@T_PJFT`gQvyw;_7tS$pok zJJvSq!;>#nByUg+o~^ULmb_>FxFc`7K8?&xVD5!yZs%yeA zdULBjts;S!E`QBR;45!#FAqMiB7;|Cu$ADu{ZEm==86P9X(edq-Tv{mTJ0b2)m7!o z;ki=JkM452(zDR+xM!qwsT)bVsB!Hn>voIB-t}eRL){7Rx|=b7ibe% z0%7P`!pMY4z%Z%M{udjve51<;&+rS=l;X%Js!VwX4C92@VH1kY3stP@8OniQm^UR; zlq&{Q(|_5flFp%tFYS zlsMNV(HrX5h*VN5@lAD01<$y6(gHCyOGShw-3bsI+B*_-!IP9on8k8%UVBu4n{?HdI+Dy$YH!G@pfDTxdTD6HJl~?Q*BVP``)* zr~|Qtp-+nL_*q0BOhOEZFUBIEP_V7iIZyiN^~q>L^IrR5K!=p+frl-Ll*Do*UWZr0YF{6qYqVg z3vv*p5A_+Dg4zxf`#yFJauvI;Aj`1hV6(=PzgnlZXN`1%G1^ z(Aa&2Q9uboE}(9ps*D6hJ1BGojKY7|ec`zZp620ckJSf*F!3x1CZYfnOoC^C#7izb z{4w#(W3VrP96WzU6kx_Tk71DkIXA_O?^7;TJL^V#pAv9|+8*DhgvkzahRxwp01?Lb zDIw2y;eE;<00030|9AmnXJBODV1Hm>h%&TH22u>%Kpf=a6d&Xo;2#tM5@BEhVrGU5 zKr-omDFZK%mIPvuA`e%`5MRfD_z*`YAJ=$)CoflLh!%dJ0u}}VAQ`*k;j4W>6JS~( zN`pg!JpJ6`U42}AUHu?h4g(djGVlPk)SN6S2T}||Kn$`4q6Mm!k&WRDP&qt|&C(a7 zMi4~}#088@3@3oX#y)P*j6fP@jGMoYi)#?nm5l5RJAiVNZXN~M2=_JA000000RR6H CT6ItW delta 1339 zcmV-B1;qM_3!Mv)TYuaH00000000#M00000005l@00000004La?3vGN6fqRXyBEQO z2Sq&y;_4qDGm~U~+=TWb=%rXZms0COohfxo!Ha*iS9Q(obZEXGY_?kjOE-n>&f84# z-uu3vNfwL6;^g^Mu?Q$i48$?VU__d_p+rLo`M0iZnF3-4L}e zJ-O4Jw+X;&r+;Pz@RcWbXGfps3Bem8*t_7n!%qpo#SH;`(z~FY4)x=0J3l-<=-Sq& z!%I)SeA?u8WkjK`xEG)ep_@Rvs&O458>+=)PkK*VMr*?CdYJW&wwtnnJnd$gcu-= z73e4i_*wx6`Z|5tslIXOY$gV!7=T@Ic8W8_&{126$zYVc79=4C{K{2%XwWhf->3^2 z<;zxlqkpW#W5E~XZHW|B!5C2iL06OltZ77jjOI?(XlMXuh=CkyA%>=0*cW_3-jYaxRR4=CjQEu;G>euJ3#Ai} zEj1&#O5z9>Tv1aRNl-|+SgO=K=^9bNSy>8Xynm*cT=aFh>Z^RKOkQ4rh6)JPJCtLS+S&Y*Y}q$f7-+_bGn>00960cmZN(U}O-J(F7fT1`9?8hNSzY z47@;|BoKoXdAK@;_&Nr}hd4UE|Br>f`F`>Iczs7^sMqK?10y=444ZkYW%5VvsEmEl{Y_i$dcCxYg#J0_i`NX#Ejcwch^4@#yAK#hzRh_AGs{8az zb#+a56)Fe_NEJNjH+A~HM8CP3siV^$J6mEFHb!Pf7GhDCKh`G1tZb~r%$!UttW2!T zENsNerjD+Ej7^CZ42`XbS%{Toh}BJvh$T$^IDcy*to_#<2nY|y|1gkoeE-Yc zLC?M6vj`;8ucQrB0c51ekRe>9K^|skx<&GbS>#fOs8i|WL4Jk_2xvbk<3a<76+1j7 zT7Y54s_GK1>TZ}s$FygvsF=DAE}C1UrNp<7{!A#r`=4J6sv8Rn8>$QDW(f$+AH^l@ z-LJl}ucsAK>Oxa71bnX6!)hX)XiXB69+RgtZ1(p!r4n2+JRoa?%Y=|$6w6->NrXvW zI|p;fru;RH$qow4NS|=;WXop#9=^Rfct~{hHG=|6{dtr$BS<+~9$LWwTF{1zQEpf- zJ|!AmsXTdhlo7-*6Qnn;bg;S6@GeKZr|~vwkCW^0ezNgUSWnM`^mURC#S9&jZlY)2 zDO_!*$LH0U+TWQ&Q$l7RZQ(aDm#rVeO0s&czSRuv%_YM*1dc*X+HScVZa&l*jH~RQ zU!~!s@S2Yg$CT|Sai7U>!j64!Gnsp=9$FH^d>0Sf{XPe2x4L4kM z_!pOJ@rJKv>e-p5t}BMSZi}tsz>_KSsJhB_RyDUGTh+(>H{Cz=)wZgX`T$gX(>2a9 zPul~~_pJu+Va^zQBaoGPrBb@79 z0m{s^ow17P|5r}>zt$X(b@W@ilvWaB_Y8v#jI8^g>G%GK@JlFoOb-1vH>Scu+&zMM zz!z*9az;);Z)xapsvQs}O^^c@=PyJ#wD>Ydb!kqDGguPdJrTWerVa|MHUFtI zrF{`Y7XN~8gw7S@Oo)nCxu?(h;3Dev?HHD5?^>}^>Z)zt1LURx>bjz89X;QThK4v< z)Xb;7`cV1!n3C99`RB3H97VaO;W*BGG_T(^x%LlEn$lQpJh*lTOZn5~v7-!MWYe?d zNhtWPGO^PCW?2i8uu2`~Ht@3Aw9XifBmT%;`RsZ-x|q#+RQOGe6c`;zm;AsD@`j`b zrABK`^(yPyn5r+e6)vYv+~6Cae-QMxLeX6czf)wO{w!ZjblSZ$?(lIl#)(O!h#zXl zc=jHJ{LrM|vQ<#ICB0aEsbBBY;*&>VoHgUv*N>a}b+qh8-y2oGRq8+YIYNSerc`z> zzn?H8)S9eFzLPM%dP!+-iRH=W{=L{jcrYSJvUei_}M>LeR8-XclDJ6^E&zYa;Eh) z@ymiu=w4c9z6lUB-2@n;y({4PX$F{P|JtuYcCdAE$KiLZo`O)kXSiu}!`C0d^nrzl zx_8bQLHj0Mi|D%pU(%!Z3+9Wu{7<#jh8eDp^(2Q$(`JzjOepCqpVmv})lz}e^>+^d z9Fl5;{M9-eRI|ey%`t^r0g;bzmXd7l`oVYS0VRXlJE&he&?)@!$@6N~2UhBb4afD* z5*Rkv$Nm*37T!iI;lu05R=JgfZ#mG8kLb#}Px<7I;7qbv_`DWrHM~=Fcz0=!PG`=_&NK&R9mIEt(6OW&(JH*P{Ta zpY53_`Ja&dGyT!3GnK$|eg?`RDF`;m_ma!=o3vRNAR{uTZidmBqt&?4nQd%Ui9pll z-H**cbGTq5Hs836H%|CraY6lqK&teeJsr560YaV+X@OnlI?}5`fO# z2^DM0YWU^qm}`D}Q(g8ku*#aM&5CaA|3q_SZ41@pHJ9Q83lWl8+gf_gCZ;uw31Zm3 zD#c13EKMEu;|1`N4@}$sxC&KGA$0bQY&!8(2G5R3WSLU$r}8j!PbN-J^tebjGs(RR zlG^^kHVv5ejk9V!-w&XvQre@}l6YjG&Y1YH+`nxZesZQ|I&sr<=f|^@20Mor-k86TL zKejCKZ{SE1PL%>@flgd}P6-}IpO0X+#{S_l-iE}4bN}N=qAgCr848sR3qe;dhZ3rs zVZ$V&xI|cJhs9h5z45h9t#f(MZiGvPf!7g^3>&Gz(8So?LDBRaobm^mO){c&!6f9M z_liZ1%0Jni@twbtih?`iX1#F8>8oXaW;PODSc_|=7iOIcDRqmtM%c*s*_8PF%~nes zev#>it5tZMS^8yJ6HWq<1h2R;$`#^MZyHfrzzwMETXi@^2W;LyzUrrw90u%&McF(H--TVJF6?z z_P_y*Ew8dFihm)gtJuN};A`tKDD0^ko_U(%32&(T3IzS3Ufz5NN489j33_l$^Mc57 z^D1wx@~F(?Mc#&@(F#UIx0=>rE=0=p{PrmZ(`?wv>Q>H?9#lsxxFH{C3Ffniphzlk z|NJA^Sz-yd=J0XnBv3F#9*hTvXmvLyXlK48kt9rQpsz<0#r>C`1|s^qu;*K+=4q2_ zXi5GX0yS9}%64Q8J#!ZnK{BIE9%QUsGTQ?q^~@+snp8*ta6W?Wm@z-E9T8GM0^`2n zZ(eg)z$PcgJ*MK!Qb<6n1jdAon20YbhRY$qPHje+$^-;vy``D!)dXvzKV$$f=;9)xa3V4Wo z0};ICNyWZ7QSU@uuXZJC(La#1?{16U_EE*_{jlT=cvH28c&b=}xm2%0X=HA=5?Q z2Gc~}g1;e=CVB*&COB80lhQz+NaC#!XCTB!&b@`u8DPNj&wZUEQBt zB?Y{v{LL)DaLzGP6;2~$Y%w-F*;IyuSS=TJ>!JqLc*m!eA)X1w#IQ%j;MOTj^+P4G zr9D(lyCm_^7xU}9NZq}YDn+=tJIBm2^!^M>At5A)vGbET`{0#5!FNuB96WL}!(H6t zZO?mt-((WwXY_!Jn7(3U8!*l;_!jvve2aMCBc7~U>MU!gR1`Y&>yvj0s??aOf5^Y@ zkLw8$P5eS$Fh`3@js^^y_}$UbfM*dg<8HriPl6nA6(ZRC6ik5}x&0@ZVg%0;T3G6> zv{Q@F$E0o0C-?>ht+NlqZjAgrF@N>QKi%gJ(2xTanxUh$sZaeK+{#@;BcdI&EGErn z@qi0CmWh!k=@1hy6TF0rcpJa}A5}e3CD*;SxwI-4-MrtB`G(dvELD!*Jpm|+Rc!_i zU$|Ew`yN4E${JHNFI|4dB#P#LSpeDw%FVH0`DaJA1lqZLMN6jRZxebNoVBq07ByvY zxVphJgAvPnWSJ5=%$yEQOes%K-ZdSjp--BCyh?Q8o1hS6UW{{7a7eZc$y33Af|=t7 z5vP@)I)SQ~pr;p@BV!0eD^yUF@P%fxy^sY#r57l;%Z{cgT>bgV7iMwEX@;Q7ClRNV zRQ1q43OZmeBcno)MzH#D zzDk2ST=nM9G8}phI7PQl|5@?h&wu;NW@c`S@C!nX<5ov;@;BGR!NOFF2-lv49GqGaAjAttv500cSQm?cy^Lluw zrCEeaSfI(_LjvEhI{$khragUk8jRbNmi7Fbq|l%`qU4w5o)ssMU~AtrEa^fuao~26 zD;EZ}9?YOBQX~$0a+U^=f5HV{Xm11$SFLpVlHxKRx&IP$V&K?zqT-yUp?i|b;Sk-l>2zo`T5BsJuU|tfboO+(= zz#y*&6u9#R3F;bkFXe5~-}yh8W-mCr;>?_}9dzB|e_Syt?QQ6BFam29JO?Rr9XQ(f zZ*Rjz-8^|HM6u&HG=I99m!w*AdIH%!83k_Obox4_Y_Qbm%{+0%8#DqQ9Le9fd>)2N zM8k_r^yzILd+T`EE8JtYu;~Ts_rS3XNxOi1fyD&7#*%^t5m3wZs}e~VL7~-%ugj!` zp>|ZB{r&2n9XmU88G`nTneXQT$QnUS?$Ptm1fGf=v!{s2`j7YiP7HYC_<{L z&=Y3g8rB-Z;-pQpZ6m7#R02hia*m*wDL|H*eG6$|B#lEI+ zQ-l7yG*7YBO?rz*6Xo(v>VSEU1)q9p8yv+| zRMNhapV-$v?9tEj8s$E23@ZYuQBnM=!gFIR`=X02~Y&hgcGB&!p;J&&^kJ$y#V4As7{L@?|xCP#H>wVn9D!wd&aZPfSDZNHui&< zWI1nyUwZ#|EAlrI5$qbfPl3;^As-#pPIl0otK4%Hr|POf3~&C{bNkpSzh-pzk`qSI zo3Qo|AjIlw&}XEZ-%TgQAU1hEts;btmL@D(2w_D_8T(%<7AK9o{+L<$uD{yXk^-SJ z$(TlHh$hQTxOR$2z9ymJl}3a7V}?%@&a08w zsOD~a{8b9@zE*PStEKAr#UBc8Xpax~1cSt#l9+AFkFh4md=|mRq?-7XgKfaL8)7q|Jr$zX7giB4s^Ls%*fpL)^TzaClvgNruwXF^| zDNm0h!xzM@UkD~UxFKV1MM!cYG}$7bf3e-q^Pt7kA$UH`rGX*@F?X{WnXBK(GE@n%@PrhWg6HDZvJXlFOlCQR5Z zJ?4!&g}dc(l8GkoGnO8C0q3{Exsu`&Va#~N<_m)N7T#>ojxt<+QHyNY28!n}7CTTO z7;@iC;>-5P^$Y*5dWgjpl#|jSa#we4(A)$38ln($Lm4F@4$`anrlk}in2L!retA=` z-eG>_wxRDaKW6-6;@;1Ph03_LDxiiT>CdS^PpuBc#}AF!G4KD|Xp@bE=C&)hw{C}Y z+wzIes*r#SpT%zKLr{94sDoidN~`z^GN0AC_!CcV6`XJ<1$XF3n40}9wJ^{Kh;bTP z%o6BoGPa&CuDy%Z?6x)PDDblNRWV|fkbZxrkIWwYx0PwIi?2v_S#aI{4aH=xzAk7$W=fn+BK{Q4P{4H+LV*3%qxedr{H#GyXBz| zxubhyYr9Q+qD9M85~FUgj}oChtaH^HY?%M@6?LHreCk!BxutSpvc9R(fWi#m}Gutd#92dMs! zgpN$0>21G>nnA(ieGX{ni&I-$v;vT+<8jT(+N95dXVVbA+eNwTO)(EB|@LR`3a2HiFn z7K4m!GW#;=kN>($&nu*0I2Zf?OJtD!vjM@!O;)M^DH#6R1}VET3SrBqPcuvW@AD|* z#^4B2OExN!ckDcGqu>4-QM1XL(8n`DaQ6+~w)g4sD4SpGndEB*U$X7ms$S6<^x1IGbM@UAYA? z9tV6`Hz+8?iKX3fSJ>o`35+pi6j9;*N`QJ(y)@sFp1WLG5!NpQXkS+k(Urm51kd>n z<#=ECQ$OvN2~Aj)zqQy0W&{Td^Cl0&E(wfyG=FuQXAAwlOy*Wk6wBI(^>aECN9z~# zah$daJV3uj3kM6T08Xsq2J_e{S8FQhKXrH0v~HghTpD?c*wS;GmZ-Kc>6!rQ8$p6{ zYo>bzlbqQ=K<4?$*my;^##VAZ@I{^y)!b0FQhtH%rO)-=wh#O>k>=;(i6+gai68~V zi}Yv(HujbC(L84+)7m8b$NQnI{=4{n0e0d0Pi@hz2ILdyFPu(xWjl=&b@Nl3<}A*x zjyl=YX`3c~#XFq~b5QRfZ ziu$h5PNqA|oyJ&nD9{4$+^x@5<+bQO@>+OBKItRq7;o&6x$}Y~3)=jt{o*kAu5*{Q z-@~3W_jfVFv>Ftie!IsGK2JMBQ-|vVE&#MG+9nKV);GkXJ16)i`3Tno-@{xk#{zao zs0tvo&Co;4$3(B6PQ{=1QhEQ&Rd&4-oPld(YAqY!di(gEh8+tcuZe5D^xjT+MOT@l z@*=7o@Q;Jv%4TUfoq(x5kzwaqVOlGE06-B>+uXbiRY$!3^OF`r+u&H24`nY3j4vU;$VJ@)BdQ?%i^yaM3V zUt4Wpurp8Xt&-;#{!zo0RMs(tY8ATTUDs}VRqn^8Fg4(#^yyrEVzTz9!fowi&h@UZ zsm|_DE1-%X*n`USm40H}=W>{VZHBUd(U#Xj#<@wfjaK+|@gAe!6jw<$h!p(|zh38usm4fGMGttK_y|qaS4k9YeNYlzOnQE;Bo8Ay%W>#a z-z~~^&)6l8&6aV>^Y!x+7JcBR#O8wkKAeqo(8W*H8aC>xU>tuRji!itVBYLb)D&{? zX|vT7y3r}8!o(g0VEej$qIdcjf0@0R*S#=)4PD%Qls)H3>{qK`6|XYh^$C*b1n$ej zTwn^yYbokG9OQclm*rb5V-tsAI?zRGSS_K_fbAvHC}oO4_3R4f#5?fexSVb~}vLXE!DP5YOisDxvXg8oJ{%w^p*_%ki!soz@?f=C1Ds(}N1HUjAa zWQ9CpF?}?Qks5L~YVU<;a9UK6j3j8Ivf`Uf>Bfe}ZRgZh97-!Q&$h_ez41?phz`b_ zR)jw>wsc0)(Icl)vZO(l$)brEjIOAprpr&sh-3FErX}CwRVDlT{w|~}j>4342BEqN z<4|faqw$YQmP}m61`pyOHc7#-ie2O!j(EM`4_->KhEjc%vTSUThU2eHS{-rje>5r< zBC_K2-GId-#sg##2!jJG%J=+!PGRtz#!K#VLcU#pt3`bqsg4Wv2l(@_lFH}V)Qhm# z&DY7yxiG}~-MS9j?NGN5x+(qetwe>zdI@^L-907HxD9+FcwFvV(3|SG4NrbeQ+b_u4fTkt$$2)+7V3UY zvw6BmtC&zW;1=pX8tO&(#%o@58&>i=!SX3Rn@!=tC2OL+r=L(z7UrPfscAKQ|uOB*bNI%7vOo( zxDChwuB9SxkQSjh4Sd4d#xtA9t29s-{dv(p8mxr*=JGb+R(S&-ZD*MI#f^77@af}7 z18^9sg1pJejie`&mFmk9gOGlY^Zo8Kq$DvN7L*Ip;0C8 zsI!okukk1Ij||i`7N!0IIRo^zL$t}y!U+jYmtAxfTm~W zYM){LgdM#%*R4E^Uzcr$p(ebVQV@shJK1%or2R_Co#?P_Mc59zQAzBwQtL*fN`$|H z543+n-!!MBlQNXGW0eic-RSCV#KbFz(~G&wN&)n>XxXJ3mL)8ci(bO?qM2r55F1%b z#0Q#*j}u%!c7WK5D=PjNVPCiUD$Nw4?j|xHii_j_-WsCjH|9$$10>JD4D;Wy8%ygr zU}D8T-Hjw}*&5Se8urq;*_L%lUs^MW)*i~VHu6fXaV>4rW0!g2D^qB1FBIgT_Q{I- zl$wRsQ`#<;d02{p@otU7>!0>y>x53RikFMqk7;~x8!3!t!6X0u(!)m)mRJG&U3J7m z_!c)FSTSLmhl@pj(TD{}`{QKt5aP{g3Ab1E>aJqSF`Npr`d_T>To(NUQ|!WOCa|Nd zm-Xi@?SWPV;M)S{X`m&-Fbwr6;vC(xL8I=(|u1%X_O*umvd}4l9pjeLg0rf<3)Y2ieJv zM2~SrL^&-!1{M$9(4V0dP6KU@;dw5zm;Tx z)|5uqha2NeeGZn2d?uN)#vU$#p}z9wfUZ!a#`V=F(2o&F98b@@cDu0Q^_DtqymDY> zj~&nWc_JXCitxfgzAWU3CXn6evXO!3c`$)Sqs1Rbi3I)MW z_{Iexl3Ival9G&?>&Gf+7AEy=b$9HLc|TFw=8}LI#e5Pc(u$tSNnK&2$-@B@Bq?;T zwHnf4MKYo?$2!Wtx~0?1(GKx~8qYXss%!Eyz^N7&T4%K8*C}Bo6)owa^Z%*+kSMES zk5JP7gHhBpM=fJhq?nXpR$61+iiJYnFaZbETWX+Ww1*2(NM1!nu|tZY6lU_`_cH}K z$mB)%|CkykjDsqyGIk*xOoU;DrgoLq55uIPN`@MoA{Hxnm;!cBn;SCesv8FQR4ae< zc_S}e8+7mmEZ6+m+jfNiIn5I|#T8X5XG_=M_ES&g01jOnK?GV}I4_DD473zh1YK#b;4W z(TVIqf0!_IUEVq?wslT_f}QNFQtg>5ouo(7vZSc1SK8$>C?D-PXF7SN%)_aY>KVIa zseT0@(%i@vECsgeQ8S%+wOiNWC{+Fh$_e$OVU|HSRkt?|BOjRh#HP}Dc9gdd(>Wi$ z{jL15vNB(#CPf|B7=SVIBM|Oifh9Rz7UsyQ0^}`ic4|Re$LgR?g=#rb`cY`z52DfA zI-i`>hr7-n(*P?8y7ohDD_&8Lm4$gW%>$;K`Dl+^CS*M+!L^&<}tmz>5< z$(-v*Q6YKv1%>WZs@IRor`rLY>gUs^Tkl+TnpBlFJG?$-{>_UVd{ zL$73qE_K`^&*a*rijcOdx_=`(rfSXVrcdsWhSlnjE?%RjkB%QYOwmrAW6Ku{4PKpK zCBqdz-rm^{q1d~<`Xi%e6R{W-@1o`ZJc0f6Vr1@+n<-9)D}Q_g>!b6h)>8>t-Mcdr z9UAEijxf1=1Kmly7jW{7(OzU}v36F`NljY3dkd*k@ioWqz{f+K1uV|H-vaEqo5i3qJ@+ zeW3F%LdJZL7(V~DR{tu*+Fp#aokSa?7W5_7 zKtw0+Yf5s!Z)|b}X3}O0G9a4bM;6ADo9WTJ0LUY#gL@&53c)!J4zAW5PGt<|Enhlx z?+#3sP#Xy{xRD#%h3j$pK?puMNg&y{>g?o4uU|{sk(l3(ijZ?6jYzgz%SCT9n?$g9 zLEWyZ7a(n3_1wdD1R#8V=^d^;@(a`h>0E2I+)YKBVDdG;C~(0{ip&k=&5QXQn~nZY z485gVXvmNLNZy+3zcLn=`cRCuGrU>^K-EkRHvR1Gb#yl{`kMDN(Sh!3#57eY%HgMWyG)Z}ey;_O{pnmwn%!86Y(CBC@W*l5x60a(m$n87n0 zZ-@Hvfx>*G;9C@!^}|E<#?F|Ro6!wW4L^V4BefUZ$mNO|&Li&p1`kbA6h<-#YvPqm ziDA^_T~)N=OsU;ru#BN608$_<$z89gq#m34Ew`fq%o{a?92^y)07Xq{A<7Y~+nLRS z?Av-i2d#gH@%o*gE$SU~tQvT=rd=NCBI~!E8V4tMJE{9M917I17iysp28V;$dJkaU z>eo>K%qyr}XW1}-uoctMAmtIW<~Ro15w(&Wb?}{xsSZgasPf6SMLcq(Y48xD+g;T& z6tke#kL?$bx}3JdeK|`#_^?joR**2GyBYW*t-C%^x`8t|_|6dq=S;j?PvQ0Y!N`pO zMo94qeiZ^0XlQi{NLl>Ny%e`>!larp7iVs|+1RIWHP20eni;(m0doP5a2;~uc($l48w(cIB$$p%71d(SM zk!Jw$#9j=^MGVPF49QI_#$GJOSuDm;EXGwV#z8Cw62dpdbST)CByv5@)DIt0AgwL~ zy)@<^dUlXt{A>Z8FU8>ZaZAx`M%~IKrzp%P{gAB#X%jDMD(KEJ(dHq>EwbFe+J+^g zo7m<~h|Wm}pa=0K4)4~GYk%5yM9gn{T4@xyJ@_3G1<1Oc0o=r&>R$GR;C9{B%_b0w zT&TX?=1>2G!x&1Ixr`3%2K5t)oQx%1Lsg083ATm~=${iUEDXL`K{C*AG)mjW--B6v z-Zt~tH3NrUNdDA`_Hvh5MdyAmvIVzz{K{5I>9&0#Z;qonf>RtXk_YYMXx$tKzf(b; zm=|E4zV6|l2fw37o~RdK_5$BS7n{BrxY!H#0#e!PZ(o~779Nr08fFqn11h#iOnkZa zLAdsXx%OZDYRJYq?>#|5=;c0fJYi6VLE`oJ%8}gY32Yh4klC>~Pa9*@jN^;;&h7EM zN*gG)8l~EU@y|j!W)MB9*eQIFAPIjK!c&lT`R9xbjhhW&Tg`XiV z4~N0d|rQ+01MVdzwJN|0)~N5x3-WaPRNdb>dzE@`0e1^DEvg137EZwu`ep(99*?3Ii5br*h^ ztJ7N~Pn>XBnMxN#m;iD0w4{Y)%y5;Z9x8&W{G|oE(F(Vx2LQ_e!*xCU)$*NNEH|mO zln2@Er!fsT+-^N~Kj-_6VzDU;rqt4K!c?%{C%dR|p|P}!vqJ?qmm;72cq2=LbE&bj zG(#a}OJm~iZP$T$PUKIN;pJ36`)fVxc&)>WtF{#YJVGwYdN9wrFqa%VsiG5vcGrPQ&g?Wxa2Y_ zUGmJzB72iz@s$_Tt<-iJ3!VDZIU3)OuXXTwOmsnDuC{J=LL>so;IFTLRS5D%XcxEM zIOA?t_CYSF5wuU-D=IwoE|w#Ohf;>5AQ_E14+?)OJh9}l(TXPeM5Et0Q0=124N&>p zKc9bFk0jSVmESTvAX0ne!ghqfcEsCnCJDGcUhtZ+7oOv7?f2g`XhT58M~tD@3eJ;eB!L=9I{9g^ zvc{mTgQv5=;p^k*Hy4xxVh~aRP66W-VJ(hOs^V||?-)#Y`QyRmP<`1CV?NtgSJ!D( z>DyQ+^wOed#_C~Uept1SFWSp^1f#PYi(e;#BTXZBf4Zupvw?^U9&`qi2BI1YeM_XLbrG(;7p{F4j`uXs3CGQ7WYEgmjbiQzrwyI;oe^}B zUCMK@|Ls0j2yp;Vloc$HwdLRYFj~IuK=A>)@!|EIS<3KZX#rSZTxNC{gB*i4MZOS+ z0UblNE2$nh#PGY0*mNT4F7|G+eWQ?m#IY)b)0Y+vsV~VZ7}c&Q)vn7*7;)2K{(mn= z=TQ8eh-%kn1%|=r6BI_h#Mh$G{D+hcw;(Y}_Of7G^m1Ide?BJla8q|m=xjVf;if*Rakyy+BlMXr`^VoMi?h3r` z<<`Jk)0=r^k;BOc0b`u~$o;pck)(H~_QEuXgTxaP`4DGo=LWb_DEqLIe0KuMU4l5> zqkx}@N|74u(;>|4x-$_m(CBN3;jo#|$Ol?Bq%(u18(Ne;f6*$ss1?0H$m7^^pe#~< zYwR?X-6ZY=W7>`^*p3W?j%qs1y|&4_{}nt5 zeoewY)nfWr(y5SpBl_VbNE@bmvjRxsY#~MSbEyed0q!=0@#yCH2`8e_srL z&PKnn+E=8otvGXGcNDX_U*^FqhRziw9cnMzq+Y&Zu-1r|Wv4EEeBq7`YtU34%+w zv8L90(;L{~f$d1R-*UzFg|Pjnfp65V@`__Xi-!D^q=k-+9Ue!QMWe*|UOhQ@LGAP~ zx~^MLN0%99HjoO~H!OeJ51zlyql=$TJWaPDMt|T=>Hjo<_9)&%Ep9{=Ai+Z>PppT$?iWfKi zIcr@pIHIO|c1N~fP2SMmJT>zVmq@&JKJ<)lH}l(Xu~xUWYD7A8(>FVWcD}wS{Lg*T zd5<1G?K1O4%xP&b0?z}n&4Pnx?cFM;gDPx)!L9Ch0gc6P=shvJSw49}vII+hG00$$ z`f;o`*6eFC2fw-1Z6q#M%;HpwY3@N@d&Xc(xh_q8HQasqPEA?gy?%Y*x6_0=fm|-M zt{Nj?xt8C#K0PKt^7&Iys7q_x1VgSB>R&Jo3VnF_5DJ(S)F z@2&{Cd^F@9#r}L}Iv`Y!3jn@PcSUg1mxq1C`Wd%g4d}gq)+)9N?Nb$BL_5ipmDu2F zzOJa7&PwwwC8Q23&#hJOo!k;&e*jF3tt0I_aBg=j$Fc$M0gI=UWwVD z+<#9z+YhFs`(6X1t49vMzU!I3zT80z)vc^CR57~9s#Cyy7b7^4qd4KjH*TKwt0cmyB^e_OupwZeC?aS_2C_F z#9FBFUfR=}DjID2osG9tc?rlDs4c!LQ(KqpUSW~GGpH&d*O3k{YNO4_P`Iz!p9~+h z>MG-38sjOyp7(4*7A1;;)%};Lu)u0Pj#0eS-sWVSkZDVs-VOw&OXivgVkEBEi>2%Q zep{b)q@I1cZE8uDKY9=$9vZ7OrSK%C@;SD)6&^>-Xd=a-=%ITi?&H zj~l)OYs7N4QdgiEkxeo1iVD*miqq<~m z1?6V5c?rSF@;iT-2s!F%N`2Z{vLGHXa{T_I%0mJ8K1k4_9hc>} zhSzEPpVpA}`mNVT3gR*hEfwhw3^P-dDSk&pflLaj(hP7KOHKeL&6;aaPKfK&>aI0N zCQhiZceGJSK!g{5t2NJzZsBQs`ed3#B^nHu2B+ktKCOz5>5(6XD~gV{=LG~P6DKH) zzs9UUCz9-tWgbzKIl=XkcKhknZm}crYSOGtQAP*zMXKF2aRsRfBu5o{y{Co%Yr(wV zLjbNoUqwUQ()^MW6RABt3Lyw?rI&|L1r@>NzIWzXa0??bvOpc+Y^}vuqeW~|>(ofU z5iW<`*vqfk_ULtb8*{L}RBD?Q4@6~(F!;zy*U5*WiF^hWN2E{guXEeu!OTVrseqw& zOz2i6RB7^L@KJyZ*;hYix(JQG>E)5^hO%VcpPuylt4ylX9ftbeN#ot1mzdE?1aoD7 zyQ(oRUpTvT$l?PWiXK$*8EJi_zzV!NhZiWUfGzeJ%Dk&O$ zLH$yY+7Q#oj2w*xZ41H{nmAphc*X-Cg~?;!LeJ5p!?9NUo^VgnvG$oNNDU6bfq|jd zr2`scrFKmjcn;F#7%rH7hL8*az`68!1AM6m%8axTSc=vh?;RXm%U2T?wyz5cJc9AMoR#1#BSzkxMm1M6NI~hF~NkTdZowQphhd zGGdG({O_s=VO+LS=#Q2%hYm#jIlCDhZjOI>)nHT>vGXvU3La*hr^Ixd^M-_wKZ_Ve z@4jVC;IH89%i}~#taFr3^Z1+fMusp2 zf5Jgvi$G#v3Be7aR46SCe@ZVdOEqv{?6SdKZqmBE?mu+^Ds$jIZjvL`IE=%^2_?GTD`SUmZw2OAaiw4B} z13Sf7>~cCbWD~$usE*`Xj2SfKIq`=L`uioUbrhFb-pqh}$Yy2GX5PziEFFaWq8I7Q zh(+<8t+2s=xK_<}dckwG*V3MKh`_?Gu^m0v5-JnpS7Wc^zrKq1wZ{*t)Ou!`}|x zTW4`2Bq-WF>^?AphBAPb>4Zy@HH+~!PlTZfqb_d_8gtFu>o+`!r6c;UCP%$z2Dq;y z_8eR26C&ZQHhO+qP}ne);|Js$RX??djT2&20C~ zj(WQX6$AvN0v_}`wfnw=zPXB_t(~bAkeG>uo{^r3SjfTD;vX?H3o|hz8v_$F12ZEN z3$dc1t)r=dA+h{l19M^~Vnr!pRYQGZ(SN4)-z8I5|MNN!kYB9-#X!c8|A%w`H+Kd- z_ke9D5KXb7V5Gh*2cy<*n81xWl8&bR;I1h2n{ zdGvYS#=z)4f}5H-GPk&S`e*!F20ZCu2aT#`x~DZ%xop%4^np{USm*?7wn@~Sborgj&sYc zFeb8vr80hexL+687fR!b^`>t1QDC1QR%8B=E6V(D$d#QqzaofzMcC{LK)>YtT8z{Q z7nnz`j{s2Or`>Yb2u7@&+eY{jUyV^`ieU7l(}2|^S@Vyz|K!8!VR z(AN=ft0j#%B9rquA;gofBcNd-8Ts}-P26q))TOlIRuB_h8R0w_XFFM;U=%z7ni^S& zu$V{QCHq$3z(;!v+$!~oM8>@r?b_5jfvh2j=LkJ!7Hd*fCo1VlB`uBX$|wDDY(?b5s}OPQ5~4*|@Iq+ia$hDB(wDm)c#solTmux^(skT#iMPj1mym40)CA@$Mbp~vB@^bV0!KQ zJbRTqZqE%3#xli*W)9SS9qv6vJv1NBv%ATRus=F#o!^B57L%A%Cc|~dmzSvrPv2bt zzx9ufL~RQbjaR`Gb?_(ZNGDo#v3T4T8$?}N=?Y~uHyxa*N+k{zAq|xH6pfzZBu1v* z5=D)EQe**wX`^2#Dk5bx>1&s5D+Y}lyRJT7W}M~Rf`4HI?F;!^K8tF@Fa&V-dbxhce>LdJokpoPraTAlak!eR9~rwB zvw!4%0F^)FYCIjjQXl#r!0B-J{1ed$E^S}DZe<^>4XrsLZ zEtP7FJ_lXtt=*;=pLO+Q?izo2G-KdgAOmiknE6f~Q$CVVAyaNm+j-V6UtV7=i(X~F zbgLq=yprhkIGQP8o`VS$>%D9Tzm~qlnfb(rpS!k;qEd1_96bx}(jEXGhR1+8 ziA(9Wu>KmMW)&b{ZW%0R;iz(jkZWp9iM0D;aaT3;15HNI)gdo>R66AhCxiLIbVX(y zUt^u=e{vNMW8y?PXE^)vggsK^A2Ov=P%NdW>wKAyhc4YGltP>jq?3eKiO+UQ zO*+S?$1re~-P(IyN_nnqdT8$#QR_5{x1X0gXd1aYciJn}s~Y=KzE*VCZ81CiS~_|( zhlvA3>9PQ1CJvI#4{yrG%_hA zPyFiilVvBB{7SkH-lsl68Bv}kiY?3M{+SfSexI7CWw*gMzVqWe*jz_k>uy5}*@R49 zsnX$${p5lh&U@c08DC8N++9PMf=!Ak#52FLZX8W|yoV%OtP0aIM(%}wpKouk6~G_U zw;*Z1r0R&a@sN-q;^bjSF{7=!@_!-1nF>!x9|sE3N*%Uch^5rvT8|r+;=&w46vc(+PbGf|@=7 z1ofEK4$~^#-w&Wk;+i8jV$fuu_6hi~9I`+L3HHQe*F8wF;ytA{IdtP^3SV;{Rru+! z;$@y(X-!xZ08i5$R2+5>#wf#Te2YHOZ5J>C<{=U)&aop8YIa5sOgrOubR-n|@oBz~ z{qJOfByn&i=(xxJ!+>mzet&#LN_dkwATchP2p<);l5wztpe+8LYZv0Cv zERyHnVKSDAdl;;B%v&F@>SzB$!)*&khK=N)_s`DWM&0ln{8yR*K?0)1UIpZV$BIRU z(xS}H*i4L(I^WKi$_QLkGFOSWiifB%78_Ubg-YH=Ozq>XEjBWK#xDY%CetNW@354^ zRyyM>4?&dlaXa1s?N{7LmNF3qirhS*5C5U^yo)AlmJ_o`qZiHv%mdx;wOkfGE{)93 z)Hz+B{axraQna)}vx(}2)EK(%5&OAmn}39*ZpXN{5sr1HOP+wHZ@D*UEkylUUh3Y@ zuu5o9%Hm)gj0+-8Du-)&flji_z#pQgsD?^en+HxZfl3LwHCZL%nX>29pDInuJ6(D-^1*0Z9J$li(Mb?$*Oats${?C#ngv~CTKchG z1e1I)+ew)tM#%(I*&C?##KbxUZVdNGYRBZzAl8)ZWJ?%luuKrm69$B_$^KJ}q?8}x za^HWrxExIHTZ~gmM8l?Xa;u`_^T}>YNo~dL4am%g>+hscz<=mSo^;6i0imEQ6#HER zc`+1{ZfpZ}J$*VZYVCLdc)|>7<5OPw>^OABCu=%HuNuK`&g$ z4+2UY$~?Vna7eo`%H%a4-yUW-C~dfBsH#w&z!PiDdcR#pOu;ZOh^AaIMFf@D6%&Z& ze=>eUT{AG&E|8xQP;gT0KdztIe}K~Kf_;gBLl)p6I=|yZfN)EB&yZZ6bD(8Q4;9!e zECoM@gDd5Pt*H^VF2C~F*Rb484>+U+(IYkR*xT&ifm@fF-pppkZ6|biHvV+xH%D`? z;cb0@&D=BfT#636O3_8*ull*kFsT3L_MS`I^EROF`xI6WpQ zLaIbGV4xM2aC{I#UrDBs8=D@q+%t;8iA=?NQ5z{=-!H$N?s)U)y{oW!1J4}r7{(Ov z2>(rCi~;mWj2`*MymY3Tzl2}2iF-U9C9G?3T_I;ov=rUsXkIFH{5x^F+BYxewx}Qn z=!DtDsgC&;O1&9*wXBE6XFH5BVCt29F5MNts-HQP;svs$X()F{DXp6&7(mH{)|F^W z88?LA0|PBM=s@V7t`7T+9_XE~1>{5#wsf+HgTXqa@$C%ZO%xTcbiphc)wgB&oxb zDLIL-bm}J#4c*{pEj<3~i)l=h7-a^{sM@ zE@~x{F0OBqQaaw%=qJc}7IfB$DQUYF1xFYw`QyvbnDNoo%c3^W*U#5W-mF#&41rk7 z&`(x4kHa5%9A^8uMz#j>|B|K;E?~xQgHO45+SB2i627V7n-+?v2OgaHb2S`#c0XA* zK`C!xJc_E*6>mL2hlFw`g$$k>y9;%QAVt_GH)>slcj9%D=&o4Y{;u@@y21pA}_+Wz%yyQs-XN-gV`7K*!xawF_>T0TN2_+kHAlj{%P|dFJ=xH7b6PSQm2Y z*su5tQwLi2ZgCDGG82t37;lO4&IY_GojtMytL*Jb^SAVnhKg2XVT=3qKgT_Pj$Qan zCaE+dM1K=Z>AqO^H%>hX!oRTY`~bX^d(Fh$J3k<4dF|>S@1luHC^pRUEdtEi+Am1tf;#_A!Ne#shWQb*>y$cIo8qb?o?JMN$xkEaEfZe&qQYzk%ZZ@CMCV9%cwwF-7cX1c$Km2woc(s zYX*a5{Z(|l>-!@ye!Jsa#|^7)NsAjZy;eFM!v)-Ssj}0NF?`6!P8rWNmHn=a)c+6^nZbakxoyo$rd?$mNJIfF>ZLf$s^$@fycYjiddp8DRwQ)ljB^7McCg^>Qs6>wTd3 z)s;(M-V>e2b}risA|z2YjOC47Au`u(t~2if9$`nz3HVX(??g`b?A-A8R)6H=iNLF? zm1^%`5sNyHnuZX`VrO_bHFFmB>P4phZjB%maB~7dgmMQsJ-rGR5ODJh5K7uCbIk&3 zp^lTORjv8dAVV6+H6mz#(txs?s&lI#Rc9MTp7QtW<=Z}jW;*w$zO}~7E=`o$Hd?8E z{Fr}%=gmbw;89R-Jp>la5}cFk9T*(I#yjV-%}X0!Z}XyHUkH+Q&?q|7BVhm< zyet|XO8J~BUjMlbKx?pk>}8nLO)1e~eIO&5_c@i zBHaJrkb4DV!iT6otmm;SNu3Qbbpxh}*n8Ngq9c3gorrnR+V$34xj!o)VYo@i-eY-) zy_~Bb>s_8f?xROB2r)16&=E2H_f~P0fAW+)psSR}qMFeP7RwU*Mym=_2b_Apnew@) zF=$CE{&wIcN>?#WttQsW;buxu%vdJf?@M(Px>(*;FKELalI+&WVN!=Mp%lKi(MS{p zVVG5hbIH~S;v3qrFee)=&Q9y5ieNh!Mii3@<_Iyd>)$7_YGTCyuvQYSf_ z5D=S4A;CJj7Pn0vrp6lC!w)2n8{V0=eH z{$CAPlEtHoo|IU)g;)JsBchANt}-q&C;J*awOO9~QszDq``V~O@gqTJR?fmehO(0p zQ~x~&>DOUkR|52|h{6EuR|$q0yOyuK*8rQ+jpXq>U|C8@N*5;ILb|6uKwNX7$T_np zUXQ3#KEt{>1c)%Tvy4p`*30YfXHXN90px*L<6}JJuQ{sjSr887Z^L^ZJY{oCJSTGg zbhKbeVG}~O(#{H(eNfp76k3FBgTGW)Kfm6L;vEzC!LGwp!&4eX5^?l8SfI8!N=DRl zH2Psoa^{ThMdWsy;TQ21wet?NFt-lZrq+(AnCh?Akd02 z#O3(CQ`6PV)80HWS7K5MFh+$jlRaq(S_j_`G?vA4O-P_(s^fq zN^{$(W@W$iRtJ$&H-R}a$!)Q(f`bhmnfYuj|*y|Jd4L7-i*;upsv6TMl24$u7~t(EFmzK`*rx z?|fR2-m<(5U2R!Qw-Iiz>8SUK!uE)oq?bpyaJlTos2vu;aUi~{{3Z0N8Pf&(hW9h_ zMRgme4FxZ*nd}A-6$&^F+=i8;X)7ZBNdo@}Jg`y6g#+kVkk)i`*zOh9?VEN#=0=u% zRGxr-tjZ4Ri$ng^ES|l0^EPOadL^&QRet;5KV`{m$kvq@);w*v?8}~+nOOr;!86#k zxd?wA$ZK%uk&?^4_KjAwE_|YYn+uE#8q38lIzzVefgQ}usMDN~&+J%hePGaQw!yrK^8U>;634$$|4 zs{YPZO-G}q_MkbLIg^1U5Z9kzb9JDSJ%S5ycc8({(aYiP4?3_%I@JqwZje0k=7tP% zkB~fq6?)}*b+F2S6zL(=1&r2;Tw$fq_WqMrxeryKSH0&_0IJ-d%6})R(JAEZ-KxIh z2v}^dl8s6s^2@`vFQou#meD^|5BYV=HH~Imh%~6XRHirjfLKsH%5H~GRahVV1svUr z8Os5i;lZR=*(~Diy~O<`FJ%d>i7}iXv!1Jx^(vB81;;2TGdDiq+NLr?O|ZAe@8trZ z9yWv-TiJ{!y|3}e)oedCpt{ft^Av)5A)ns^7WojPRf*5Lp8f3s0+Q)em~ekmJM8G`Z??Pm`Q5!9R$OR$xL&Pac80j{qJNkl zP3k(mw!WCRwG30t(nYm@eoT|9$UZ_CZlvxNTsN3cX4!qN;32^iZtN*+i9#`1Z;_UN z{ul^)r-)0Wa7QmiICm=Hp_hQ{sZtO2Wj=p1vDutdc+3iA-d{sYxKhE$DRvKHA`}Aq zhxUMd^O2q}KRdwGAi`v05@^*p9(L@o7DdE`CUcX6uWxv1W&$W$3 zX9<-<{rfR@y*w}1HMR6JU#`}WjJ);?D;485OGbm6mst^epQhG{+NJR|O#kxjH^V-E zO1*P^f|VQ)*Wxwz)+5MFs6-lHQ5sdZwQ}*Fec@f*j)^qKoK5(s7&CJnPrU&t2Nk5X2N$a^3mJO-birFXn&~E?$PST7@ceA<`jD$GsNcArfuB$EJ zgH>2b?rohrKUK0{+bA&ZJO9hvplbXO*E`c_HyzC5z7=H14_S@r~7k#;2}l0CtC#79*H z?$Y-^FQU%brxc~0LidQuZpkZ;C=-5nZyR!Vxi2~{DKk7ASyR8}5{+s6BC6)vO(N5E zp)_@hUJ*J`HU?Eh!jJj$+I6RTpGWV~c_Q1}VFo%pkKC4q)!KJg$?a9uuFMBv7O*T!*X0ca2F9N4=Q52V zd%`Z$OyCoVl?riNy1Y1;*Uc>w z=Ds*|%4lZF>K3`Md2lQ06djz!TNzWo8T2H|o&9yYTXDBEpKENt(1vQ_y8$sBo|G>< zJYLtPR{s2_Ba={l zzQ2#BM|=?x987u1&0%^~-U3+psl1FoZC0{|vr;~{3bqoiYO6+7mjEvh?8;xwr^c_h zNe7KvBA?=RYgVyYx}K_^-x}?1&Ae(qw%j_^f6#v@PBYBC^v{ovdn46@G5?A*UJ9zv1 zD@sS^bhmk&3+t{(V+pg%gI2#>{N{6G{Z;a|&SC6T^6-yGO0&dK+w9iUKfZ63P+`kf%t|7Q9}weL^Z$Q zA{EwiXC5$Pfw|!;jvQ7i^<*Yb?5T2K8t_L5du7%PAC4ceRD-&Sl`zlO;GSIV8Lt-h zf3*o(zW*r5PR`bnaHV7!pckX`ivAHw`%(oVgqf9|^AEcXjTve7`PMMF3=lFZUOa0w zKL~MCNc6AY|A^A(+7G4l9hJ<;KYJ9-oO5Ok2`r!>mW(Q_*4|jKKR_|C1$ORltEM!Q zkf?3AyzXiQ>}Bn>!Rdc(2E!2q>q)uvY~VBCNarBS0EkAk5wQ9y$QevM7eWEap#@T6 zunltxZv@2~D{7$~lUoreEsUJ|f`j*YlA@s<^qDQ;CXqlIqml68Q*mj1f3pOkI1GA6 zCQ<_&uUl^_v47OueYu_GKkDwqNYQY22kf+qsV&ECgGX}t{{A3iHsdCy^!n%j$Bj}J zr40?{$z;{105@^m;tazSI5?XuueOR4Gh-X9Sga=~)6abAJa|NZ5XJAWcYsCy9@?iO zAe`A?#eYxFz1taO+1wlHJjQ^By^0FZxY=V^LnUf?(Ofb{#WNx|ba|MLx_oDxRNZ0! z8UH}r11-osk?jFee}r34PQ?E%yL#bmwF{V|_S0J}N?bpbOCWHJfzdt~u*#4&05Q7Y^fB8#p})c98*P$!lVa%chHz z2Kdekb@9W`38wuEIGyPC_}8xytlo>oghueqSJ2%1(Z#P3roIgEM`!U59m8okhzk}d zONe?J#8n)VtluUSK94qji%=JNP?l6CU;5K>kQaVXmY61E2&>`(+$-51{L^wwCPZKt z1yC2df^I2-ZD93t2&>Qnng-LG(5qa2k5kznO@e2T7f^nS;Hx`g`0KtL9DUgzy3;Cn z^-q3|RU}sY!)c7xSD=>%P#4YD)feD(&MMv`C~V!Z>@MV6qZ z>GUUJ{kOEdYy#71Pq@{TZ&aY~8YL>=$gWx-6jr~!9_=wPI+>hBb#%N?4vp(K-4!Ej zP^y18fg3lf{tiO%a&6Q(#6R>%^X`BB{Z z4-%x~SU^BBu|H`ZsR&Z4ECWfx1N5_gBKH7knOHI#Mcof&Wx{L5BwJSBS3bw^WVVLZ zL@COQOeMcHqLc<6_OVId<9gP3JNd(0XR-NBx>MP*7F<(?l+j6AwD2^?bJ~QDWU!4!23vFM=<3*<+RpPosAJU{lZ6wS@ zOCR^Yg@M2pbFV{`)&gw1-SBue8S(Xhq|Ah4{s(C?&;)vD%uaO7VHvw^?X3g`g$O&u z1UKNOR6aQ(VcJ?8hEydv!?9obB3)t=;xG-Nvch=u%;gIkBtIZRa<><@>aUXT|Cpn|eF3Sr2{&2#8nkvS8p*-+bVb61_nEng}T_d|)s zMs~3~t{G50e3>t%Jdrx|LLTshK~~uJr-^?(vGrn^kEyUS#;Ja2{nMIkozN~y;c{{N zF_{}~Baz-HVE8|VwsbgQk*Rx*qn218_u|F_GXYG~P@%9ixv;-v2+o=V4_j`7x16S5 zTRly>#=OTrFz$xF4e1idTzxtq_ojqVijV_JH?)mAzER~I74$$b!e3qTXj|uW!GAEN z$kbT>nGKb&@zIs42+;elXaqfEo__~SQyMM@c8N*5{bt_hPtf+yq85+KliY#=&QR2}=0Q7G|Z8ek$D!4R_T8kV)+tr|3 zj$0Ln-AnwiS$Q<*ts5qF_x2+i=B8QTJ0+pv=kfgLZms$bK~P3eVv-*a_^()oRi&wz3XY@m(Fn)q!~^NL4^~?x13+bYR|l*cUG> zwjdvi(|1qL33q^#@f;2&Y`jWXe*Ap&?REwI`|X|#6-s=SB-_nQMf17)n=sXo5g_aw z!xXcOPoT>mazxUE3J4*_K@(54Y@bWUVP#$f?*3-8{q|Be#R`yVHY98mQGCN zlQS8x*m}q&$r$=a*A%0mbCy!dqd+14RVt72Awf*xlqMo~o>e%2V64HLILA_j5k?F$ zE*58sn3&v>mx>D8Ct5In3|geso%ovx{VyvOkSLW(ZY5R}kVnv*ST$R!gUS1CWa%?N z8KJHzfKxDI9!4Z-2C&d+)`Cy>Ph1`|Ny9H~s6mfN+%ilqXHRUvvzbDPVa^!Y?H_FZWN|@jgn410kYd}-O#6={vwq9B_T>TqC zBF|E2b!^XZ5XqXE>tN@wZmK22NMqJ5dPtw%G_o=kkN)KkpD+J)a~%2~i|ZD-feuQ1SoAEzgeEVRlo zQjAZnns4v&I)_g#ExA)=t#9o@#+^i?r7;=28)gpvVCpmyKIKfs0v@Gex4r5<8&I^uR zNfc1+?)=-BW#FERZZGEDWsT77W+t7gxJPaYHkGQlZyjdEOVrX4_&P=puF8&Cswj_c z%JNl7;87LbM5P>8? zy(&R1K`kUfZ6xB&B;sKD4AUu;^a8j?xhhIr@X#(O2~i(V?E4n%`#kLbSco6NGOn$>m7gHP?5Vx>`uwa(h-IHl!O}>pc8X=;xTct37D7xYB{8OTXCB-QfBu zahH)>NviCGb&!KQL{wV?w5|f(*>wTQ7{^_AsIbJ%Bt$ta7j6R8HT`~ZyPuio>0QX( zo5Yck4Ps_}+QJ58(y4t7H%2xTcKjUpK6m2m`+@@Jz`_kA-n#YZBdOu*Wm{!?J1-RC z%F7o$J&uK_^?y?GN7_KQ>j+r|lAGdk=LJHdYkN$$Ogv^Hlr}frZLC`%fk$6$>9vST zjkdA{wR-#J#ZxmZBz-UBKx1+QX$^xgjfR+*!{c0fTK8hK)HiG&Y1;b8C9$&xm5p)L zBMRqT9fWi;Ycua_*+B9J$F`R8nFU~dYJ>e&UcG)7h)HLV2Hp=Rznho|wA?d8kv+FG zauEjFfI%njkFn$)I=tF}k|Ruk z=0cXL_~aaovYZE~lD<2iouGE-1ukFQzkm6iLA}e1GC2d{QdL3quY~C#a@Tq8NlO;n ztEb^^PG^M}9N{-k1KXico-A%0fPzV2q(+3LNM?O~H1%MGNLRLFZEJ`vLx?R)h%Hly zFAaFNclK5l?J|k!*yK9E6eVgpr(tBdvua?S&(4g(Dq> zBS9g&5)B6ffFxn-(T3jmu)N8&sp!R(2NO2~+GBVP=xvF5vd7H@H>tHNmux~XpR|KO zN768dOP9I8N`-#M3*eQTS4}HVc>AqMl>`?PgX%Jkv;qi zJh7HxH>-9YtA=~c7mD?HzgugY7*?6?L5~a92PS1Wjo?m%Ul*u1f7k>v*&3=+6h{CL z97Iq-fP^W0T%Bci^?pAiIp-j1`AyHnZT|!mQmJ2$P(+)H)G7hTyCD$VXbVYIY~jzToNn+E`xud*?9+-X z94-y$HX&=#49z4<%_O?E!ky=VT4#aokSK0v&!w8fOY6~R>(NzhBwHZ;zzg;bp-O0f zY=IlFEL`Z*_cfq8dou|;STBY3)LJ!g0atU8a_8{#SNHN<p1<{l`{OeTl| zZ-*UJB;!Nz9k_?U6x8tL)e%U~Y(%63odptmyuIC*R<~YrMHXLg$(ck`bl$hF;6h!5X8@>3Tg%4)m_+eBL=UU?GWf0Z3ykc-QaHSR=3G1EyGbG-f`J<&Qo0FeD2 zYE7IU#BOS{MsI*`(n=$f7H=I)nkO?0)#P4*0E+YQ;(qQ;fo*RFHuHu#a#u=95OglO zsD7c8R5x){e|P&$2$-^bw)XbM+ze(x?iWVdqBksdY`VqalD@8PeXi`HCI-(VK+vxsyf+f^CVEy`4^ftSl~8gPl$E|D7-<%BUh>B0CU4 zaut#OJ|VIr<+~Jd?+tnWiGq}+eHN&76u1r(+|kY660m+4xPBS94h|!GLe=ixx)jn3 zC_RzgOavoOAnZ=*YxrJ{kXuN+9E1r$`!7Gl!vcy8Q67Isg57j9yYos7(=I$Rmu3G$@>KilyfCSZAT=1m+fDHKLP_?`fDc!N}~nO zy_znU|B%m*3e*Xbl0L@UIz#nZ?in%0c3`XoBoQ2{))SP+3!^j z2_KuOT1#Ckn1v^x0$T1j*!sQPLb{`EA@m_FG6ElX+){F{$O7lLy8Jw_sa&($M^%+_8Xy~e}Np)Z$hTesQr;2FO+z@4w0p9v4hxk>JfQe!&o3dA2 z;>zRCmj2~mO-(L(z@$U9(~~4LeVtg7flm17^wN3iol7v1)U->`e&zG6=7IM`;qfc) zks4K&!zY1Y(Rs0smU?UbdkSQ2WKM^hGfkI|XroDV#@=N_Pc#%H66y8mxbsS$c34_zq0FRWr$!y>RD2;D0t)Yltrl0_UD96WDaMbS%b z0q=fzw~p-laP0eg-WcVarXCvV=>}qc>E!Lxw3H2sg%N#urNyhdyS;44MO@jCR>lYK zV%`CyMQ-d^vY^4Vg>Eo69ggo?ele|fpA|;zHZ5I(OzI$ZS(AF6h^)gx&^GdhUDL4d z(-&p7WdysW2fJkizoiH3a-(+Hkb0hpyibNSZ-qQ}px;1K>_!Q2m^}gHNW2_L39U&9 z?eT80i=w-@P`&I(3BMuG-km!1YAj=`REk{5nwY2iiIYn+2FWd`8KBXfzE4%-<9$bV z*Ae8$hPF0Y!{YRAWu}ion`>L#6*6e7lzT>-d}rXguL1qKm{YuJMyF?Azl#PNF!QHz zS1*ZM<$b;i9`~itudobv5j2Vt`_|U}8d^HfArDYHUp!k<;zw#{Jd||)Wum-irOtFl zszvlW)Kpy^2#5??LYbb5N63kr-nDaG-=LS-v~D&)kEMNBnQf(6GaSCYA{Dey(=in^ zn4e-ubUnLn^e8fz4sQXf^EQR&FTd^dGn%>Ba+JAfe3C+PY+-DoiPMv`HEu5*z*qar zM~9v3;o~^oc^dyR|1$413rbb9J1W~I^+o>D4f)!kyum{6s)U{MfQ>wTNkM>A}-|$51 z1f>gjowU1h-Or&rPz8BSa9E40DVpq~pMxfrJ&YpASnk^s=;@=VS>zl}W2drdP3cXZAMt=?I^Jr_wMCDb zBc@b0XT5stA)YP3m_e8lhtB*p&V&JtI3%G#|JG=xAVJ+snL(lk0ly-*(NW&9+sIxU z(PrZV)$Ox-8{`fuM<>MEL^e2{+|eL&sqBdIk&9aZRh`p_!V7&0mmEQv2Wk~fS8!FZ zoQrGbnby!NK43uyH;?3zWKZGZrY=*nD-uV@aL?)u`1N_r=4qqXcD8|Qzj-b3=W)-t z`-y02-=Ki6{ZHmz9q;C+C%^NxN1Xgc*!v@>Y*MeDKI#27IREA=M%C5lpAu~JiFl;) z>99N2)Jp&ecck5`&nKgUJA%DC66L#Zdj4tdWhJ>U0sf9nE_|wjn?>E``2AyQbS#d|yU*xf$ zxROKtvfEh0ABeG@S?e@!E1$*U89``Mk0obCA3RzFt@;5nLwHB=e*iNgUeWZ&P@A# z!r_xcZR_-W1XE`yRDQPqIoAS2xK2&J*$Jg%`N}51)2*5KA_4*V`f>)%S9P<%5XH%& zBc_9ta^XJ%)u6(l3XbQa9KV>jux#`#V)Q`jR*HZk@6 zB_=8vujdOEgL8co6v!_zzYU&{{2WA;>$%m$?Y2QPjmDOel9saNe%H~(8MILC6J8V1 zW$^+AmCTeVN$w$=+{tJcm^Eozp}=af_0XJTXIkTG_g2_ozDi^!TW;x<4;wYZ&MsyO zTK-VzPeWCsr7G&d8{0|P;lkvKxRigS!6}aEsWJ8FcdX5nEB#7Zsu5_J(tH1EcmUiRx7+jIOe14)TLIn9Vle^dxbmXt~`de;MPW~w}^DjpiS7dZ#i2_@9%u+5dnc}_DI$|n#oju zOIxjN;ySrhO|3bg=3HvFJjn7e@$lpr(K(bgp*?P2$Ty_e@#k2({P>SkLwiSLs$rzF4n?2$(D49ts)viY&3IXIq%U; z9P6AQ2NfPQnN>7ODg~T~(18E$<484XpYg;{cF% z`Y)4#CwKhU7uCu_L<3x{2eC@$K4@P~O%ymm!ZSyg%LZNWw~ImG4L8m0__BvR_@|cL zr{7cb6SGNi^E<8($qi@z4z$#k!5 zKaLB3U6qD14oCFomusP!=|~(!!uUN1naKuW3&S+if#E|?|H;pXw80`ZLfhSqIlasg z5DE;NAQ;xlw2sFJkaT-WqLfy`>Fq-wp4C3Ysu%wBq#Vr5T z+QnZ8pTl5odH+bGpY*jY)CDKKB0(V5go@oZCh{-);G6)IzO;J?Soat(U-nt!DNoBv zC$k|7DDM;D6)FGV4sQB*I*2YIxqD|%@4rO$X&!Gt!wXUoOlJD(MsOc(apQ==j%%b= zqREfQ$MP;Ve!>yPh$3Do+P8W-p9DrUvqJpP*|k>83-=8xPdTqGE5$O0lor%H^hqo3 zObd8l4TjF8)v)Xv$JDsy!n`is%QWd9=+;Qh+OIT`+W!)xCAU+uyi$vpXSxn?t;MB1 zE5qM3WOoy08{{tx(s_TX<})hCOX>}l{5#4GSI~Uoh8B->W^_ph3FY`*GEb;m{BtI{z@U*XyDydouVT5VRDZf>s?caRIX z=CPKOZM)G-koYi##O^VLf%e`K<uK6Dk1NoVEa0^AN^c()QNEb i!}G^m1y~@%-1vYzO9{o2d+&Tm>wEC^ec<-%>;C``l@sa! diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb index a1997f015aa43e063ce16bb1c2079eb847bd609f..331a4dad376ca5a25666488e989429af677f06bc 100755 GIT binary patch literal 27780 zcmYhiby$<%|37XKiiik`$SAARTG7SlXI^%ez7#SAh(lr zY-Uk%yn9q0a@E}#bGJ~b#?lBTXZAy`>4Tpi67%nI>3XqL4gPZ;Mwm*yNs6hKp8GFj zk#k+~gSWJW$a}52s15;@PNVl_&VkTG^Mm#rPTo6rnHxHbD4$^QNP1F@y}?`Z349vI zT7AIy=a@6ZOdYtNt@It4oH(B6=L4 zM@p?zbIt5C7M`te4ZB);n`WyH3N7fh40v`eBCatk+s3cG*S~s>+VL-z=kp2-nltPJ zeL1v05tWFPE^Fb6^zSJPYB8;NlKb+CM-stNSt6zRobaZR&!gJ^DP3u9YyA@jVM?LK z@YCeJ$1U}4%-sohEwDhr*;0Y-`6_|#3+ZO3_=FAjn%x=4_Zu}5@xycj(vVS!A()>D z|0Y^mn}aH?pJZ|-z_lp)r4CBF^u%X+W+$AgBRA(NG z#sdCr^t>eccq1JxMf{0x!46|Y*1Y2zgIW=diD~!a(^Wq&?`P!nl=cJHS$fNrWmX@< z4OUKXN{3s`U*K+IRLj^+!htU|!M_$S-C1+F&F6Eu@QAdX$TOPDr=)~z*p8H)yJ@A0 zz14=wvc6Z~@)N>lq7HO>Fo6kTdmiL&|M5pE_2pID86uwyfrcYmppRf}+Ejn*I#exZ z4V<|)L|}SsCzi*($t@UYeuD}tFjqYB!A!}f)w%)0nHaHoTMYOrdQZvGjphu8)!w}g z8CI+mi{9J7j4JW;pGrSHbiNMlptKxz$j0K!{BI&p85UQKWr=mVWD`)I>!yz)nU_79 zEkmhFJ9qE@@VP#my_j&%NP~qI6e(P8SIc;*D@knk93TD* zfVrLY27I0%Rm%K1#pf?np@vdja{%r4q+Lx{=3;H;`;Tp>Q8jv99@J*Y$GHwK-&^pQ zm$+N5&A3C_$m?7lRtuZG>Yk9-gxsLmuytFEDL)A8tq@8OV*hZ{_LCAPd7{2A*Cbt} zDJ{~v`9}E-j0pK_@)Ax+_4@|-yGcFuvxdZ4yUEHvx{oa#>s^l-YGm98>I)KOT(i8m z|0|1h)H*6wF?>P1s|^dFBCzM)Q3US1k`TBsnJ^3Y@WA$zzR^_X^~j1wN-OQE!xuOzuD&G1A#2Hb*N*%lSKA(o+pB9;?@Ol{ z((fF<#%ClmyCvmMo(e-^yW-_vnkv|LT*DPK&%TM3NyzDbSy7j8O|VZ1{w9$-mzW{H zo2h5BvO*PLA=!l!Zc3{zztQ%(o~utf)XjtAPw{9{sJ)7?oP-+Jot39cndXdIe!rwW zzq1z1sBr~eu6`z2MLb;!eldTCY|$>wQIA#5=f=q`TrF@*+IM%`ZhZqeQnm~P#HM5w7hdPrF>wK`)TA!=jGWG zFYt1D+}&t11@-$+)AUECdKEb?D(IO2lDh^!QNpF~oUh%qr0ANmS zlD1c6>FkQ*o`urSw8W)a)jQ`b;INkUCo8fKbPcm@8q4u)8L`qr(V0m7&XRm{-|>qH z@eF<6@{*6o<_3hO{PW%l$eid5682POl+oZP;OnzJR<@1hodN!1Za`*Ie2XaV8xItF zV~>Hi)Q!iA`(4gToYFJp__5Fl-a!2=MQrcgy-a7n%F3O{M?d{0>~6n;KUcP(Bb49F zH-7eMKR*$`ZW?Lf!BXIhcm*GPRU_OMm{Mk8_D_^#lfT?IvUJdM$4~ogU+aVYx~how zLxd{8DW7gyMC+{^id$xPy+r&7YH3{N4S(|nL9Dj5d%Ix5KHaox1Z3y99)1*aX)|V1 zt2^xZh_gd1=T>IX_Y^{eO?}PSFV9Dg_CW8j147Jjw`#qr6&a|J@aX5xe@J1oO4)?K zy->R8N3+thiLLx?BZ2EE-hZL?-#`APh*kPuK4}VHnt3jR?%H|@82286(O=W=bIodd zygTuYp)H7@u{`mOrkz6g{2XUfi+={_+#KltBzfq-EyARxRdKb-eXJNCsG`^XVZi2Z zKX3Mr;M}x`$xq6Sxi<=gt%A?=PXr@xJ)%579WW$6rwn+T%CU-jY$KuSMG)018?$>t z<{B3i7RD58^Y*?5QXt!*@1k^)TVq_WJ!Vqmd6c)QWNWcuicou5vBwu{&OfhK_H1{V z?}P+JW~3%>8>RG-6@3)T`)bL4Z~M6eRrQ7)XZ>*4yT^WQe0rJmja-%?s^N-EoibIv|DK&BCN1I}%p z(*~`@Pu9azdr2nT)k7`o@9rt-k^OXkt6sejw@k+IsG(QwL*Qb;hG4zGPB~47%pG(G z9=IqKO)6?uye&c+zw%`uuE1dP;qc)-Q)Jm7jFs#|Q;Cp74@o)ZvOXh%5{={sYfFNfGNZ6e__UVLEusmX$M==XN>B(7?%ZJ^^FVlMO}Vq%Ayer zi;rAvsR%v5Pqv*fR>`8XOmh`wPKKqfz)zB-bNHeIvW7szrj1vt77NBiU~|U$yS%QE%ROkg@aMPBtiz7h(GYppapy`X;EU<5|QdY z)*lsbbU(`BHA%F<)-W=Lw*HlSk7h10AYu{Ye>OCxwp`l#Gmvd?Gnn~$_ib*UD8Uw&y zkaQch!_==T8bzZE3$CE57KUv}WfC4e0+nCTkPfT8h2Je){>(EH)mHvtXL zvF+wyVql0#3-wa)+}^7NcLsrmD?tkea;~PeyTn<`o{sfM&F{h2`d^3)MmiaiRW%qU z3ur+$e<)8nd~7qu;LBsg_nS(i^V4G*XaxqC=rw%EWS{~FQN%py zjr!M>f=|Fh2*m2DTTOEm%~Hwa}3 zyOedOk5S6L74q!bz=ye04wL?XgB@|uRt(k}$ATvzGV|v_+TYWE8io5C>OW_s3X_4? zrb)MPcV3eot}%7G*L>CeC`%Hwz~LB`r_wZuHIM1p)GVE5 z`HAL>1c)z7Ly#3Z8J%^Vtn7R~^oVH|&4qEI89lg9(=lF;xw8n>cZ;Bw65*c1lV3M@ zSN(U_i(55bV1ub5!S4%UPE)Zj&1Sp9Xn`&@nkO3d%%;ssQZmgtL#RhtE9MSr8C9@ z4dvhXzaRvMIIl5 zu&ULemVG#R`%(&(g)6RG|by6(9_u5X36Tt`L>tmJY1UGiQcg<8>|i zsTwqr<+T9lDj-qqGK?nPJN?_=MwPqN$7KxB(1r#ThHLnT9w&n1wO?_gtNPe~In}KW zX982|F3R=?LGdHhW2XI_4&)T+lcv$TKKLvtbRNexIw;!7hdSUPF`gD94WNa_ z(PCQMS2qBE2sO_VaCzIP7pU)+w38hd4hb0DS&lhJr(TcHK7}ai9@}^>ZgckRY(j5H z`AC-k(XAHj+6PRn7?y9{VP9qNrWxQC&BzX{N;iIR^&o-q&&KiF*`lvvGx#!W9%5*a zVru3$l9ZmOq70)KLHVpt<@KJmS2F{NlY6z7mhQE9QOTGc1sl)ez8!d* zB6Ni5Lo!wOaKd=K>G>{a`ss=k!0&$rU9T=a&j$2<^68as!?;g;-1{9m{~Sw(+=N*C zt4MbmF8KA2xrA5*zrH}uibr0%>SF2`=W2Arn4ukjAqMp=ctA9Xa|k&r`$z1Ii?`rE z9O|Alnbl+%tYja@r&M#30eJSHGwYzFC z!2NWAaYS6#hH9kqN8M=m=kvXP&EH?VZX*Th4xGOXhuG{>tn%Q*9lGlDsZhSEHhr)jWGFD^M5WuN{1px?Z*Ecg!1b$AdV>RlK~BQ+o#_DsS3CNU>pMdyB$ zzEJPb(05F^3)_;+`c740C3NEAB{PuPj|@ z-_B&W)7_d>lKLj~(gG{62atK`+<7Bp58Gz)IM<_c%+bmEY^Zp-yQm$pmTy=Kxu=9E zgSa|;Lv7aAyaW=m8^rKdlTD*;D>d6x4Dd_vZIVDcxhFP)!p8F_5FQS-A&2VxB)z;1 zKx$#2rawuxw@J*5;MqfT9;7IGixel0C$VAROFaxmJBibX)0>`zqPx-riry~LduZqD z`cd7v+tS3un%@taN#P^dqPD(aO0OgFv##PN*H;k@46cM^6ks-b$?c!Ca?s_5BR}c0 z{syoTNYrnYPWVKcqQx)@_*@2tm_pA`>%N4S*Ds-+TAf`aap+$_IM>vv6Ak6kZ#%{< zSz^V<)908r&dD&%9`&cFnm;`k=V6E;G(07|f%Kyf&fnKXjE<}M#rqQ9deTWjnF!zq z5yN0>G{11ys`S?s&^Nu%>~Q4MHA{e-h;zQ2NeE}U{~OGO=BD<%sM65g82{d(E}|n! z{@&4{^fd;Ky|U*P(#=P21R_N%FAzAKu@ zkd6#OvYY>48XlgI@^66^A72V_1B=7+Ew_yo=<0$)wJ(&h>q;U@&2OR2Fya0LUN+dqV@F*x);nX=%zoGat$eTEc6k$GzcqZ+e2q<^e>z+|wPbS7Zk zjSu(}5p$6T5ix(THVG!;r3{ac~7U*tYl&kdL#(0WYta9s~UaX zR5Eq71pIwI^v-oZ=Mvhp=DP~epC-N}F=VIK-1>>4W+$)X?AB&jlh}3b*{b2l7FcI3 zNezg9t*cs-td^Uj(;bBrFl`GQzCMw*=yCqo5odBLZ864{Tjn_thVm4#+KO9jDzn4lhcE@bXEM422~gv z+HtlzNhK~xldFC4rK_ZXkjDt_J^6esP1Zp5vfiPzILXTAEwo5{ByHJBYm1Lm9xF2;9OLyS z6IK0Ia?i$@&lu#(IjCT+qP^d7289}zWMw9c)I_o}gGbRz_;XAhN@9+4eXpH^Z1+cr z&4WcVcHOF#=R#fY+PM!co(^9Gr5a7$CfixlUYc2ZQK}Jj{+7j_Wt@ra`kJ2etV8os z*F^}eX!{;t`n)pRwGB=w%3x{^BjIyQYQ#4zX6N-55(sdwKYDzre-Fml~o zR(ibmivf#0>&TH%u(Nq(+b`XZ{p{QfjQPuarJH@P5^&`^J-@#Zy*^=zHFFq2v(^-l zVtyZyOt4>BfhRH8tn{R#{3J5|S?maVYm!u8Kll+uuGrp)1kVu8(mA^|v(@zIn`;qi z=dZ?TOyk#;^85V_rY^66N@dmV=se|_@zk#8&65q^EENh<*|;)hHN+`eCiCj65f6D6 z-lRgc2Lo%qVf>Bfdt>jbo<45TUoua&JNjwV=Y6!yL$3%kw6tl?^{%v>7d#3jV@EQ*Fh_o7x$gaALxUd*UeSqrBx>4hfa5u2d5zM z2{iKerB*t4h66)hZFrQNg{s8kK6PUpk0joAaXlI|GoEU|(4ZkL2<6^Na>OoCw2 z-n>}Z$j7i1ewcl@Ay%Dm&J*jX(0tGH ztZ=JgLT}gh>6A(yCC%I@RMN8VCY%CCRMA3WT>&Zs#lhxT!76FrmRO~rV&9oaim@k^ z^BqB-Ms_}|1q|31o3>_Elha0;)ApNmgwD7FY5U)2B@^E#PDteghNDi-#*b)kI@C zmk2Ah$7=_&U!C%PK?c97q}9wB2!<6VK09vof;UhDQ^h3eUY2`c?H@6>v;FXi5>mc`U?C`Md)FFYrvp%X!g zA%3@LD|g|*pWkzYw4o{VgI-kU=8dSni-l*D#Rii!axKQE6m)(?bXeB(7Jrp*=Pw6W zKbD3L#8}o{t%tVE1FXdbAKtKCG_d}#qHFh%#tyv6L8GT5dse)RNKajukDU%6CJzZJ zx^Md{+J6iqkObGB+_Ze8aY;hg?`Dbt3wPXt%IR-X-8ADrCp(aN-&6fkN46JXuaiN^ zP_abCi%+4C*Xa?V2ZYs8dNM!mV(0bv3gUYzRO`H=px6H^G5-DPJw02~oRYUxTS3KX z2`}$95u5d|ZC7F))CH})r==7Xe+k|eI`(K!ZZ%=#llJg6G`jG`zZBKobavP0)Lp^8 z;&oR2;rTVXM>fOSL)3Ne@MYHSHrMy253#-4=bp4BnFk8HemeQXmVzrY_Ki#YNN3Sc z2Gl$s5Z1LCgzjx8RqfOj{*>o;74g0rDhA^wt*=_B?}^?+xr5pTyD4@f zQhz^*&Gv$8ZNZ;Yvz2oeMjEN=O|r4|x?cE}__uwMLg)fO=`$`~6jt83MM z@|L>_O-?HT*y%ql_}cWsbjQMb&+#ZvcB0}sXh(?ox-uv?Iu1eZs!iqju;V}DoNzs> z?|H<6Bt{6s_@Xbde7DOu&TQ%xCBgL>D08s7OaJ>$e}1?}Yj1XumNuUS&XVWrHg&NO zP3FwU4ohKQY4GAl$#HR;>3!os6^l^SOpVAs&izowc13%%viM5wi^5v>&EzJtv#~!S z!A+yH!TD-c-D3Hya6YC5yNPS3;^Ub#Yi5SGG|E=~5#SZ+x%Hh$i8)k0`*Ovo)=Gt< zfn>y-r{eoT-_Y1u2Mt%f(iztQRNL_PB4>^GOnu|Su`hgg4|~7f5uy5xKco=ZNMx|| zwXog4brvSAlv}9YvY;LmIOI1RK60tbsySw}Cr%dY(Asix;LSZN8_#i&LJrgKHqV!4 zFr@jUL3W4Gk6s+^C1c~NpYE?U{qnKYY|smC|8?RKO)mKRVB1OY`N2cOXqe<28bpna zP+@$UymHt%bvlUt&3WvMN8Ow5q>$tL8lrzvskmF9NfUB0xnU`1w!vs4AXaFGw}HiW z5Ah|Jx1w|y+TKYlar&OGf3o`$Z71HT5w`t{^niD;p|En zWwj0T#3ayPhpmctJhS{0n%5sw6q>Tvv@uH25dyWJCkCeZ(bb-hTi+}xQ2f$m zu~zc3AV^~yN3uTHO&E47L+oB&va5R}jsJQr{Y0e@nUr*-_<{1`3FGddE#(FF?(Xbw z=PQm)GlxRvIF3!JBPIr>qs^nku?s7pl=xOwzm$P#Yd56j&aUdMHr3=gabe;!yFEl|887p;SYT(iaF|J_?Cjr9F@NPo1(vH3TD%Q!RmGymJ;=?da% z(6Z+K^4<0$tkQFrvZ4jr6-6kqN(_0C6}L@6456`5mQ!& zT-W>0^Fed??bG$ID}Od^&fd21xj)TcVqxJ4S#3feic(O~r%OL9>ZBg*5NKAP60q~j zlSg)ulbG0jvdu`Y(29?A=Jbv5&N7Bv{~wJZp?HBQky zO1KI|D0?<#xgz}qbhd3{Kex^hew3eYCcX*2Dm3d_GP4SD3)5TPJA2K%?3yQR<~cws z4A4qD{*B;~dl2u~ZW=n@W)$pQRWkRcrGCC+x7C07K+x1W#{c;;e`p^M>RtCcDT)l1 zeL)((CZ7T$XMRvw5=pCApMqO!mj34+6HhB=YJDA#jMu24bypHp_`OP3ZR9f~3HY4t zuUd&U<>3K6g^bC$d9g_aZ@Vd`oVCfe@(qpzcRaw|;vLqk1yAvfo$84BmTW#u@{Q%z znf0|;`5oL^k?I>ODpVSQMPFzYJ>mYI^-!Pxe?^8Z*)N^C-FmZaTONEpTd?1Pbkck4 z4*V^7nZ9>GH|~@F#W$$pX?$yz!6SuG-lA=~-Lb2d_=_jcTC?cIVYm-Dhu=$%<$Z^e z!Rxy^vn=V-LDPGBV_{>weF+RrRZ#-ssll!hZFlQ5w!ob64MMO$Q*BS^`CMLPA+m!X zQ?0&5mxuE||9tr4`7z*~*i(-M^ygNwl%w_*$I6x;boPHjW7&@%p%CVr%ld6L>z{RI z>C9LDfr03pfT%|<$lq;0?dbk!Q}RXl2-7Z*HiEVNIM z?X0y{$fDhjH4NU z*Wl&v@U4%M-`@mVQ@nv_O*Pw~zl)9+NoV=-DDv&yWPKHMyRQUzRcM?jdwV71m5Jkj zKLW-Mt_VB^6&-#_g(-jR8edeGdY6EDYTp(aAo{Gt^QCs!N0=Wfh2Abc-jk|$b2RSP zvOL>O>-iwhGELh0@*S)LDDp=Eo%Z1C(c1lADjlwr)Rq%3Rw=l74<^)tno(+G5MJ+x z*Jjy@^V|fH-uc9P#}8Qxl*QaL1~4se!zu3py10+-1>G-hpeHNf zGER@TO8H2eT6y2U+*@N5e$Ih(BMM%Dt8}2JPQ}t-pQFwXuS`~cOoeb6YcTT}k@=!f z0ZAV~sIm7YJ}9q%qyUsm_hoO8-Y&P|C=Xft>R9KL9`)E36Y9-Id zvNY*<=+qA=N7mVL(7AQM_HxuWd0@Uue@MWJJX$P32V}IhbfL^Oa&nZtGBe!usnwg} z4bJ$_Y`H-i~$9|ai@zes{+o~ju zo2jR4Rl!z(YuvJY24u`y#0BNa&>z?~r!w-C+A6WHSQ5MU!=2E%TNY2Xgt47I|8?Vc! ze8#)ecH2VcZwSFzo3c@j3PWxe#Q3y_52j88bbfbTnij`w7Ts>_eCB%bp=8UcGfi&w z8%q~EOYv5e&hPZICao)OGmEyP)0xt(sqD04w5bm=iOG(GrML*T)-AvtSls4(y4m87a#7`tp1gx~e@ZOLv* zXE9*d`QF;|Oo)}nx6CmUci4=(OL^K1lP+l~dPlv0eGrG--?IGl%eSKc?SYc?gi}2(ra@CZaw~<4cz%48S@eWP`6+hHE9i0(xt^x-|mg9UMq() z+X?zKNByW%dloa@cb%8su%JFSf}qI%lr(ogbm5cKguLWhf8OQv&!hSA>HKUOo`avX zQF>xb>|g{ZYPOxWnvCvzmNtsDBGW@q2Yg~=)~~!2xcF^nGxBrD@~8Dxx}UqZ8y4=4 z&j|DCptRKY0xZo*kncFiA~VCLV&60^fvuRf91Wv`AT+J&qXyj|qK z2z$)`EE;9ASb)%_H>+5hR!0Jz)+f&-1P~NHljq-CB^0lij26Usg|&4@)KcGRnJ1Lk{L|x&J8v+Bq8 zQ`z<>fB1#gRf8U_@bqmISODH-8f!OeN$tF|s5(~{TkRhy(bOtuJ}9c!t@vX$f;uhV zYVQqcH$BXHdHuDrw?(qWupDtQD4f)O{I0!X@-)@6*bvuXmwh87YBED3z@S{asm=;DnO%6Xt z{5#?;R^cAFRTQHA%N6{)IAtd1*(;?Yu;rkue8s`~o2CVBi>hYRPbkeU5Z|)-uDi(w zRsGUw~7`OM1XjH}aKj6zw-X^>rTRmRXH2u9iv&X&}xTU@2<*9 zjvZ z^|x76lNi&4V47vc*^@PA74~n)ayNsx4c>yua~nwz>&b$z0CCbpa+!x4;Rc>r@GbTkp0{o`oGkk; z#liyrAOpH)(73r5hj{D%4l#m-l7!* zC`a%8_xnzHgTsGTKO9$*7TFTR_e{O~G8zNJ<+>)YMS7RwsqDQPi{^~adKB(_dn-M> zYY`vwh7Fs4BR=*?rPtflx2b!audeCub?`*F^8ISx@#sNt)OBD|si_p3oK@W^&8IoO z{I=yh>^=PF$a7uhZ<_YvLX%jT>z!OfeU>6C&^(90?LVuD^w|n>({7C~kKX*w6{8vu zmJH5Tm}#AHJLC2K{<u&V z+DUwluD)B27RXvD`C{MHj!aFaQSOgPf93iG>+3BJnhn8*up!rN>NX$!8@>KC^hKLZ zgJCgL4|FV4M3KDIS#tm7Dp00Lp7vfBLGIgzt%jVl6wHawCLro*zqSU(4 zZ)r?M%lNks@*{#j4$AbFtr#v=mt`JnWb#1GTx!oZ)*><)GD_laO-;^ZF zgY9;9Pv_Vhn2b8aBwU_tegETbS6Hq(B&COSrDhrl{EaBxn~@9ZQyLUAs(8si`pzv= zVz)xc!uT4U=7ia#rf4{CO-Tl2kyjBzUz72woUpSM8*S;`=zBga+uQsY^tbKJ_;Kof zm5f&?=Haq&V-@~9`mu-^XdwKZV2Px5?>(AN-`8G}*cO4}`e4a4R-P+M2FsV!-R4h) z%Z3mRbJMo_>*e=}kyk%efs6YDo55C#*;R7Gg|PI?mbJ&Kw}I5io#;oxo|4y&UEekT zUHT#(6cHBvOXsV49%wlS44xMiBeV2ojSIB&woOTK$G^!d9|peN(df@VPguC`Ct5#% zum!sInRG~RNz|}v>wQW;0CvAc-BQSw((J`%<_?K}tz{li<*PVQAN7~EFN*qobzs3_ zdCtIC*u(Hg6!&@KZu5_dK->vV^ z@&T+?aL9>e94ebFR%G7Qj3Pwm9MzBHL;30Xa;*bi1R?=rUWcJqiIW?L3(dmyBA?e= zhK8DD%fycVI{GAUv#7}vHP`kD?}Yw7P-UiPrw&AdWM`*J_z2BnYS_4f#K8alFx-8b z+d~`yZGCcB)1nK~*)K#B zLOVyOSSkMgPR4?6Hy*z8<}Wu_^yQ7D!KM5Il`_vP-if<&wHWNw|EGI@){|rc>c6wN z%R0Qi@Q3($NS!>yb#+l`6X0Dm)*Y3w2>Y z!rh_w%?J1^M{xrzIcj=izYOnbLQiEi`MAaznFdn?um_Ewkt|MzY&qj4tLXOAej`mX zQ2&h`lECG>Y4y#-2MmWM{emK~B0tX;+msx8onBr&rDhyBTEAThfj_dQTL%iA#QCf$ zmVu8%LfivYki>4-3fGtMMk;iv$~ev{wAcE8c_PitjMSCLToZVcw+P<*S{(sz%z90= zLznlu=Z55JkqcspB;Z0#47lG}L4+uxC!DE(gS{wrge3&hOOqH+bUalGeFd;$-Z)#j z8E#c=!OuWUpHr{;tLLdhGMCqR*EQ)w=mc&2hd7s0^;0rEEYh9+ViGdZZEnDf4}|{0 zNXtm90KPj}2@}`F{XHaZU+aFk&cZhzp&FakHh_b06Ol;ii`oZ)4Vy&$vKod!LQ=C6 zyt4vmNUxe&;dI1_?uJbv#lpK!@-HeR$PaVeL_%F$v;dO)XJ(W}9U<4%heT=2+Wkj| zp2Urd+|Rgi995z?Jqu8GEg0W&8qgnz;JJPBrG=f#C`4XKQkBRj~I0J-XmKEF7r!(ut+Fe*Sl$? zqtfX;ytjZh_7b}pZ`Tc*y+|hnzXj%7{DHO~&?p#VgU!LwyT>ZBD97$qM4cr}*#8tS zY}MLXpJFFX0{eeic)|#*X)){VpiE3XzBWg35ZdU0Y?qgN0xotidZLTQDOOdVvgs;d zN}XHP#GS-j(hXVeE~qF$AfJ8R#)=R$o|6R(uJy3{^}=%E3*k*QEzDO~G&DsYL=wne?liY&t9XIk*YUyrn5=!! znZ8pUccjv>xq$QL&no`KRIS;iM1O%akjNn=lIhMLVz^FFN@Ss#*BCfGi8uZi={6$~ zID$rTN&ecJx?^$RtF}2V-@BqD?S$W;nQoEwY{;qe%bYQDiQ2ceZ}2*|F#!8`O%)Bp zy*<*S9GG@ZZ{qenLYq2H08r@VGxrid&4#XPUjrndY4+avW=T{h5Z(5mAshuXz#p{z zC0_xwCQ5?Gp>}JxD3CRagussK_zFm%W< zSdb|G*iUckB~OV{)J%_VKfyP{E)w}I5JH?0skmdg$d5g3I)tgLVJ`k#I%Mj{`-ctT zj?;s#OYG5v?))JncO*2K8Kv0$O?U4!^*(Xw6A*9ycXJM~IPms;ak36=C-4EJf~B%fE4Et zGd>JVF9Ulpqq>O4BRhaa*=Gg&KodeKOFEhl3g0H6()_$~u;)1J2@&e*&Y%=4+fJyM z6(~3VrKQsmNI=#;xk^M#!BFS^j-h{Ivd1ibU-6AcRS9(w$+=jUb$NyW*WDj+sE(IF zMU%r5(TnlErcPM5x6nBNscSNxp&J6U5|$x+3muvuEXkY)r6&zzpfx~PjkJp;G&1>1 zHEDDI;*f8mq1@qLt2>@xaU1b1r*Fy`2+6)v1&eKy!6R7c)W0S3$zi3{e^u^lhniBpZCxUVwUFmlJ zs4HqJ!Z{J1qU0t3vQFP8k=BK7D$r}ErvPr@FR(BO6No5H@JCEDp;(L$2lc0Rt9XWf;<{Z;JRQv zHE6@*-GxfhzP;Vl%%YVX1$0IEfBXRB)#+pBv60XKAsk=~TXgU*Y2kB}`4aOCbo?_= zly*1n``|0Z>VF7vCKujT9H~U38iOYG6Sip#TRgt`Pap#&CSwEc!oUQP(K#4uLm_Lk zZRDTfEAh(^dH)GmLtrJJF~6KCj3Myka9s9g3W^Zp8&gv1xih5--I#bSu)+=YIm4j@ z+)1$)jqAccfFjGXzn|mhJj6#H5kNZzE*)%^nYLZ5vx|9+J;CyoEh-M-f&HSY8zs>0 z;SO7#*>UyCNUKuud*kme7Nh_d*r&$J{fn@W zeN@H&&v$2L9Wy$%oUXHt&06TMISsn=)Nug2A3oBoG&MZ-#aa>K5|8zN4~m(*6~HII zIeR-rJm>0Sx?3bRhyZTdj4LSuk9$5~FMXx#E#A}M zvG!X(CJ9BczGp|oOKez^r%=^GC%IpDE!#@%e?cbC^7aoM9Zf*6$w&K#q7kgu!C|Lc z+%BPtqMD%xu2mE=(hCksl57c$rB=q`YPT(I{31dKR%!J0$+kd_K>4x>Unzd@BW$|`EdsT5=nw{XbpN~M6^o-1XX=5)aBvzc_%08~G; z3%nI~iM5|;*&M;IUR+@|Rrwe5E00?Nx(7krA68(BFuw^{5d3?9!+b!Oz;rr_2+rCY z(?j|IOAg0VjiW(T1E}4Ah;vp;q`^#c%d-XCjov@}0mZ~CNP;m`XF45MeH@QfRK;#( z1v!v}P-NGn1Jlr|ZLBxMgBf;uoN&JH*OA2x5?+RlJT{_EHM!a|a#imT1x>zt1y@=s zo3(TZRWNFaoNp{z~s~6&u-+ zpGTat^o3t>AW9>{n^7Q4yfppf_PciLt@8HEi=| zAEly|O8C=|6GEC{^Oq@|YXU+C3zP5tOTyq5SaEqhkwdx!82i)n&U6SJ=d5H;Dh=F2 zHKRA&rseSC{#xS|>DR2h4BKBymhOQFAw?x{F&{A`k_L}PHuMf{F0@dsv8wznxvLIv zv$A?J)cLaUCx6&@&b=FF^|ucCgB$}Tv8a$@3RMCuP~}ZH@ z(;0@Rv}TJqU@uA@6tdqDCz9e`P#4@~($10@27C4o-7JHZQ%A!!jmIhQibYp3Eao8! z%0svBAho@&bohKOELubc6$PhKkg^M^)={f?aVa&3j@Jf_`GqJhQ2us5KndXRw0kWF z7Pi5wji2e;VAiqne!*1}ff-$x90XjZC4j8_Boycz!79;+Q{6rr^IOr1TDs`(4b&ta z*D|z0Z?oY%4OjIAbNec&SohzL%oE08y%gVfKNH|wY`+Lmlivv8(ucZ>C-UKg$VGrW zqq$2hTrmLcb#~$R?Qm_!*=y=lZOz8u%$_I#Zs6_oIX}bCQG`fg>iXSNdvQ2^+$mLS zj}W&mER3OhYpeYET*kS3Us-yu0k%HqG0|dg3xnaa5}ASpe1YXZMaF$ziTtQPPS2u9 z?HRlda)d6t@cIiE4h{wn_J7L((&z#dn6p zyYVS94xQ^W2L93H!O@INkryXodjG!w_$vq0Pd=`QuE2D*ruToe!s>FZD0q+W+cAcV zws&hB-^m}MsJW)Ma`BS+tETq=Njk(;P<4BVWhiD^LVU*Ib<(8B(dQv&)qHfUJN_prP&)awQ{?e5IUmu{y6f`qso?fDieg~s} zs*pSnbv2o;d_453XfB!v8FItkZ&apXuD91>DewwqWEk0*f4v^_G{g`ZlT?a8#X?CB zg*Vj2eUvWRbK&BbtXQ^ao_=hk9sX1L!_7)C=XWIu4-t6maz&wpJl8Lj1XALA6;qnz zU}$Kj!<#M;6HGt<$YYisHgnsadVCqMm%84#MW7lADfHVKSYh~T+6e7Oo;Fms>n%O(pJhDJfd6GZ7X zBmdt~vt>sdeqbc8o(nn!)UIL7ZjytGpUhdl{HWa*&)+==#H;9c=15rVLdj-Qyh%~T zt>*KLX(COOeT&T=Y_ZP@|8CA==JkB!3v0pK76@2uAMwV~7tNceD!))y|19k#Sn0x| zNLKgrD!1zHYSq1)un}p&#PbaE`Y7JK5An~ciT`0jJdGvew;JnAQR7Cgv9=LvN;oXW zB8#spMLC4)!Cvr~1$(M{&fi1z-;^R3Ono@4YA68*#tXVPO}=2|vV~%yQ=+xtS>U=f zfVTkUj>WEGu@N{f7z@Z3sWm9R+STJJ(yrfzTGoy`;?NxE5B0Mm^*9uj&F)`!K7&hoSC8k9ptpB{3N1oBHGxqSl<4G69RaQ6XnyKT8B zlEV(1j^tkz8TJ3d#KHJ(gWP)OY`QFM(*zm;p$ z0xYIQ>%T8*@DrsV!D44=HpKcrixv2IBp1uV$VrEgUlYmCof!F*k=z<@MCsp*{~Dk4{RP*_&W`j_h;dy)~m=^ zC0SI4ja?;S*j*&}(8hqUsieP#>4#lod`;IdUAbg_vK6@PfnF#fm{Hg*5O|E}`IB$9 zf_83PE6f~hmD`1E!blPLG6|9A1c53aDi9w1X5VJ8!2gwiTr<2}!l=#9l`09%u$P!u z&G2Mhgu8~-6!!;kBeY=zCTA%&`Xe`lkXe7Ed$1SYv?AnsMpWH&EVP>r2$m|c!02tj zyuPVaT`m>^g!qB73L%dTcZhHeriIXZa5&I1fde18kzQk6q7fc}{4lZr;eufV-b)Rz z0fY7%qc$oD8c|r+0IU-l8W>hviX;_zDbrQTXIhQ^K+6PO=Bvcl(F)sA=>2Ue=cq25 zsRSK}MqiimB9(NL!sOAG@``A&!BXDTSxVYsuay*e&yc#P4|J?cS_-47)q^x`vA2vQ z#U6LvDBjlF;4A(X3d@kPh#(-}R;R`;Ztn~_`g-Y#gN=L zW!rzO41D2^(dSE;APQ5Zr+>Zhwn}EN_m3Hs^g`2Bm{OQK{p*+B|2_WOglF~5;cw9I zVMQsa{BKrj*4MxO$E(3cQ!W?U_VM@}1M1_KIrv}a>TBh|uX6Yv&W?m#TMB-^tqfY~ z(#%;4@Uest8+d>3BWW@C4ZBInOuuJ0F94gbdsX{dmnCb!hT9BzPbp?U!$=Je0?$GgYZ z_&tB*tvmA_+P24s5*q#&F7LA=!Lg4gcKHUpng$y1ilPQz)All7zU#GD&dzEng*9)l zl8;yw@U!6OQ|phE<@(ocGy471;~PKpoXegL!(Z*hpips zJ0;@7%htV`E5@IrYc{U%6&p|B&nxyoSKEv43uTlJ<1zq#EfSPvPxbq`wu3!~2B9d6It&tY|EQkp)BXmT*GfrAc@ zgq@40FI{=4-Q)jCa9Okp2++tJGn2sf!uFl=mFi5n&r+(fns}YQSvpD1n+b zXjbC_X9NAfL)bYIoA7j#eV5ce&F`Z9=DJqYA)xHhv zVf-fqZC1eA;I~l;TMp6=Pn8l?BkrJ!ruJ5QM0x`zcA2gexv;8W_BXkn9Hho3l}4j7 zG%9c8_FOq%`FDywS9xvo1`lRm3Nfw9&lsWdUlD`8?alvg5HyI76(Dc6XPYXTa7^GJ zey+Fu2TVMr3T8ah`y$u$SE{@(es*;KK&F3x6sGM)8H|*cv?k!%Mr7b>`Jh&bhoT`A zF^x&ty5M{GWBV7y#j%V#AR7Tylj2&(e)Pg$p4Pj|VsfTyv|j!jjk-rFM&^2|!?aPPHv@zG0& zw&U;j_wlif!{O<;L+gl3tX}V(8qLW+S~}t@Hawjvdc#Sj_{S!i(cc|y#H5hITNL%- z#Tj<3g;Rhh`XoLNgeX@+mo1bfT8P~2ve$cg#Q%x#IoNnsJdDl&t9^3c0XiqhAK_L$s z6k7JVN8|wiSCzUHAZi*1x5b|_0{GNfS>n(zRrqI=diI{L`W`F){P)sEVY-u2t@>UQ z@!u)DOQido;;xmS$$VwBZyf^q%NT_&h#Zbh+Q;nwJMo?7@S#y?>GSYtVNK5kQ8>hG zcuUluyNQ*m@5f?&SyrUN%n1B~@SZ1{lOlhf$fUyV5qO30ZkIp&IIiL~p0#ub^;Hqh zvuehMk4Jq{yn$)F3E?W?EujukpPc;}mhPX3__4mN zI{Y~5zfgF86#uPbf63X$j>A1h`s^Z_vm^hUeYTJKUoX5<6}O7^Y$+b`?7SNKpCtU# zqkrbc&zqwDdkYu;+#>34PedZyDq$r?VC8~*= z*ckm!5&rvz=x=`e9O0t>^db6l4?uW?aOa68j)__QcPi0)veVe|omApBwa-%Uyr{vf{#3n$oz{;Rr->3mAyuVqDmd*0-aivaMkr!EUXIeTgh z41Bg0?t#)}apa#HZ+{T+#kAP7%|BWXiDlqL2ZHYR$Icav*2e|c(Vts&cwOYrc>c_C ztP1$Imhcw;|A3jokH!BS(cEhYe?5Z_GIifpEa<@hl_mQg_d1^u%{_m+tBk`BNkHla7uM__dMWa4Z_;IW&yq$A-wSTxLRrx@C?dXJ%!Eg1i%cK8f z@N;e#?)SUsANy$zzon1OYhCsE%wYXn{Pi;2Ap5lHyWHW&Y)E4NYVm)M903IMU*`II zi*aPOLo5LQReC|5eo2w~8lgpYx#Rz$4FhfgVjTZl`cOuI|FI?SA^=F6zJ|h3?N96yQ5&5f=kL1tSm1~pE?HivdbjH`NJGcY! zR|tWC|2(CQFK{}5&m6B(Vm9cWu^Jx|_@T@bdwml4Eq%0p3;}(#<6E2+KVlNWE&e*R zT%Y1FZtH6J|56`5x=rf>1V;X)aQFWcZalfrwQr7p5gEZ>UwD9b;n=mr=sv2_ z|ERlHZPuGs5`{8H-@7U5qjKBJ3H$|a;X=1dlnDOW+7A~f;8Uj1(q~Jj&lPUIE79Bk zbx&RC|9Fl6+P5meiu+edog$Zj7xUTsBP&;0!*}pp_AZ5wzcDx3{AlaCrg40K+|l`i z`T=d#@&|#d_C2qIKNti2ZJC>Yn|j!_=l|vo{G*;ITI}6n{J$g$0)IsZ{)MaWHO@Y! zZ!`7!@7saD?=Ks)$A^LaWh>P|@`+tSvE^EZA3yw3bWvV7;m2J_*B1OAmUBgCeMZH9 z(}?_6kBI;34)_N|W7A&_b@N>xTyG5u29y?-@}a9aS5XDLUKd zZ6)qNe6P>LJLvE2bI^$TylX^#p3p&`Goo+Mziw&sZ_O7kiu2br;ohUoUu^2(kBiSp2X!S><1mE8;?=h8Wd6y9K}Yc4=K7cR z3J5LzpYQN@cHP>&zT15ov>XCg#EkBcbhwZ-cS3(b{=?I$uXAp*)MnF|Ccqsy+Lz4r4ezw zk6GsYe0Q1~54+4?@hoA{v-i7@UQQ{-?uO4OU0saYzDUP;Umr$ ziRVpDR4cpI`2#kUmc%Zhlm53xPVnAb^ZPMn8-#Z)QbJC0;~VGe-dgrazS)#)t9M5x z)5QNO`RBitZD!~3B(@%OPBHL7pMy2t9u~*Xh}DvDMl1k-p7h@-@)w&HE~pnc{*RG9 z&k!B(-2J%DpH}+(P`Gc7_08d*2r~uhyHNUkDB{QUK&!q60Dr!soyRV@wC{HgkNSXr zviQF-^3SawPK%6KpZrqw{d--XSlUkxa{Nyf|JM)UZ~Ci##VdsW+KAuhSkHOT81c=on)svYqxTjneJ&Gk&KwW2=jl-(`rOOu)6~PR zN7d(dPM@i;zw{0P`}_WG`Zm+eA^?Bug1I~;m;iZ`^Z1ezoBjsB_ywm{Ld5r z2Y29a>lWsh2bQ`No?kQnrU*bE^_}9_s83Y9rO$svea?|SZ*=+y3~sBwrjOn;E8s62 zVm7=vmY6eeC^T)8{2a^f@l-^GWIR&JpzCtWXzy z-b&>Z&}XG|k--bDMN4S4m(54qcfH%`6a7EiUYyJCqL0obgkbi0f3#0dpO;7bTqJ$` zdAXcEpNahG@0W`APGudk{e8E{|4ZT@ZBxJkdL^y;>iY{JnEs~?(f?(U|Apeu4>gLo zfI&`w^MCfXyV&!QFjHWhOPqghweKko=qj1A#N@sD4BDFBGPr91GM5&j+FGe!tR92#g_?#YEn92&r9ycHsG zXqakz&2Qlc)1*i-NSOylL*t*1XzSmyspg3Q`=R*HZR1C7ZHf5jo^AXVqOB9~pZMj? zf%@Bh=nV1Z9ZNABh;It&`xc$}tGc1S?9Ff4f&aH6KK8s+_y@-Og=tbup=D3g2YjBt z#5QOTBqe?C$jJXv;eN;M_vZF$tbY>tE&Yuey%YFW zT%~#+Hw4u${D`J5iV_n3$I?FJ!7&SetqI3;S@cWW{`TL|KBiC9DeG^KaQb}3@s}Br zb$wQZ!~YbA-&fOVr|g;ig5o8m?nND=e#JM82-xR+ZT@AU`>%Ei0ev*bH0|Rs%dG8} zYF{V(u%Vzu3n>9Gdk(|j&-u@S4*HlV_}{P8r7+l_6nu#qT3_SQ72mg47k~ErPnSL) zEp@W@S**B9{}%nT*}vlWmh?G@yV~CbHTfGnmv-gv`)|TNVp9+Hy$<-F({8U;eV^g{ z=cqP*i~mQXIM!G68C?=BwD=1xz`%c48^6W>B@v(Xq}GIm7!xhD_?teLOZRDQ{1*S+ z9sk7q-r_HwA)pU7)devd)^&XQz832T>ieWv-Hte^!*t*e{-iE{WM- z_b<$)e78`DL<=o_^i8c07*GBv8d3mJk(NF>GZ1*FAgl*${uLde#oyMq+>7|}B*I(# zOE%rdLw%KJsyA9QwoOvFXWA^dOYe`GAAefg$Fyr(kzIMOm}>nr%P1{JiR zM3?Zc>TBO;)ETGo_+C9|RRE5S4PfnL{lwsZj~X}et-J|0KG?zY&+#$*u5#@c75-QJ zMO*2ApBu`mH|0)?E~9+_yWb1n9y0yy9#-tIWp8>c_=c|I{Y=k%kRrqPFyPo>PSnSj z0avm4Ca59YBK}(>@NJGT9`-#@>Kpr;u?Q(}Z)YouN45&$$UpA-mkP5CcYof!ME|2_WiQ(w`uUl&Jte`X?N3~y{Q|eKYN^UUrs3HIs;spn_tpO z>hJMQ|0cfI-{VJHR{TwW^g(~hiKmvj^d7ca83n;Y`;zWc?vsUk&dqf#oAl<++J2#u z(tY>V>QT~AviwuM#oVNQ_X9fc1UxY+mX`^eNNk_ISFA@owl1aPTNizr&*K6 zX=a+!uW4?DREJejK^a|%4_MM&7A{z<=La!7R4q!@IxM`*h0O0LXE!*y&^Bo`G!JE) z%hZ6=af-Ts=`GxI@q(l;{sA2nQuVQqL{gtuC{mxjc3q&cHwN1UvTI)-(*ojSq%BYK z7tPss8$F4O;RyU&wJ>XFwCP==UdTNxoOE4)N`9sy7U5UiclSS*@h+8ukkVi9LPeoH zzS~z}W?uy;ec;*}#8U&06`0kH+LWjIj(Xc})aDEg>h0Eudiyn^-eHZXXRi_Uj(zGC z38%SE4x@#1%W1Zf?agWI=a&WQ_4Gz$)@gjhUEg<_o%$;LMVq>CF~6mG&1tORD1VEn z5x+P)5ge{k-;X6bd_ncMu`=RZ2o!YXiMCZ{SKkA4oTV0EB3~_17GWsRilDdju$M1A z?xpsdAx91{N4)V3eQ2>L83R@>noqV2kFrcmy)|rQjT^6$HFV7wFw+eJp!?v-l`kl> zUSQ;|18cF79S1Rjk#inHRq3sqH~+czJ3ExUFyT(@F=`(+xRYvEfQMRdmMo07XwTqG zi{??#Iw6Mn@rHQk0%ZXn90YFu+KwJBgcSrR1klWxj1>4wgcqGDvlh^>nKgx!D&RuU z7Kd@lOfKl)Lry2E8gYf_rC)GxbSnVBSMN)Wgo;^7;*R<;w8fgm~QFI+3l8 z;r}*?XA}xQ`sIG~>eK=9Ra77e`E1OFjw}m2d(qPYhw%J_4)mjQV@Ea~=)h(zR&+ml zr747cvnr6kM5M5ILu8@*#L&UGp;315t&}#n0`b=p#7JQr4fkQUN+WJ7yy4Gp5TVWX zk!a=OXnAUK57ipiv8f0|YKq!8061Q4j(Jp)Df9v2bE4WQVsIG$5 z{Lt2GKp)zB4d_FguK|5%cj)gmg8f|mL)$~YM2$=f_?dwA^KDPZ{emK$bJ5)0<|`>f zYV{|=+QLZK2~@(i@5t19crqD961#XbR_G?vv9z%y< zM$&yqZDeEhaF*b41)#0-{A`+{wGmY-k1(U{Dgg5QY%1*v@vjYv^t^W}(n;eDLF|kI zD69_ugW6iawI)+=367l0kEtdMwzR`~K}9#j@I6kh zzTB`7z-K2>*&<%MglrkOPay~X^}>&J;5r$&IU#`W>l^hc3*CQuGy(jNI(uMkVftGS0snihzBvIN|Edx3zcV5}&y!96F8au~hvLtPjHqvy_VV@h z_&I&J7oe=kKZidm|8*Uo^*RCjgiQPw`%AE$hfj+aO?;2z^HYt_+M6&{`mn;DO8!%A zpY{BMdu0Eu>-J$)YW~SSqm9q8Ug{S0;K!?r9DW_Ef5qyPK>zxM@RcpNj^hK_WB}im zq#)Ql+e9`#;GgRF|1H+5iEr27vtrgYacBVlACCVp0`O(SLU_bf2zEcI-S6n&U$`p~=65_Rp8twWT@ywGHll z6WzVtwv2wryDVlO-OLqMN1skYy~Lulr}-Q2N!gin`12$ZoY_tX;PLz_@AW&suHFHp z?@l?~?31%Y)6Ud`kbnm}z-N{sYkBZCitj+=_~+nl{^PJq_;V1O(kI|I`P??6&&S#e zM0+;{eCDk{tbbj`F?Lmk;pgP`>H6c9!AP->*VD?@6n@_uN>1?6ZtxiI>1|P~N2pVw z`=M{B8O1)d@Bg^=wRXo2Iwm(#yKCF22ukQ*7e8UW(#N-4 zp9c^>|Duy&<@notr!i*S;~O1t_I<8!vMC#cPus@#NR3T}@5dz1XR_fMmqN(B8?m;- zZT!d1(MPMc_jZeGOo7yT3gF|TkE+^!yn^Fzmu1?q+4k1H|0+0jKyjS1d0ye^Dx;&Q@A3KuVYqd%Sz!M%rXv0%}j$UFf%`731R}8(`4$09g=zFnObbR&+7g#p> zlzaBcfh{mBc-ghVKn2KNG*7Rt1Z2oW+==?}QS;!Hff_kosckd}r&0nn|GHA+nWg4B zdo(h&lihJ7@>X-y9Y>-Jb;pq?L)~#C%22zJ$krZuHdK2*&diFx5$)R2wGI9e`2@PD z#$%@|z98z1SEd5(2%LKGoYwGDxWMo^(ysWU@?V?r&y;=C&kE|F3p!94?8C_SIpN1| z*%^8$vkTI{#0&O8^_;ZOC9yRI03)o9{HF;o-is8WAoI`LlQt6?F&ox(d^@JZ>=I{~ zB3oG%j9T9}MrMo?p0Apv)wYxyoc8kgx%{hQ!T;-s&-?=kZM$VjQ^aeMRv5LuzQ5lU z{HLpZ_5@MER<5irS$z(QvR{vV7aHXWioB!4*@*c^9<%hY9eUcjECXvAy%#)g5vcs9 zx0DP_HsG%5AL1mWDpCm6ftCqaj43IsBjAIe5V%k%!hikKb#jLV9GgoGH>M-Q8}xQr zSf)&)W=^+yd0%G_KQ>-)M~t0xS@`m1UTC2g*&X!13jfopF1tQ{y^Kd;1=~rY(S9Qs zp2NFkap`xvF0C5Mh5(t7D_;PF96Tc*vi2KBK4kGXjNGmMPzwdEp5%S73V0a#?(lf- zr+A{Mh<1%Bh+GqS#min$f_(_sj1VtN4#&v17^!*cfbaNthRWFG*Njx$q;#56bj?M| znb9dfSW)c8EOLtIxeb_=S<07uEbmi&DJ$@Lm&*O>jvDjJ;$G)mURFu+_HwQj4o|1H z8ltDCu{@{3%r1QK_0i(N^=-;;p5bTplFnhb8hmSy zOZ6*NV;8IZ5~cVse6?3s=08;;%1Jkuo#BIC>PwwdXz@LKm9cJy z(p9qMwt}AKUv=JvPb<(6} zFS8wWAy2z}Pq=3*=KNnTK#!fHwrQ4cDw>lOAET&O;lqg){D8VxM8<6{;-kM(JWuf< z@*SJAJ|_NO*EPCh1L%ATJQYt8-W@r&VFSiv<`-;-PPEN+(*NJ3|8JG%Y@yBms(sBq zq*_B4_!0cPt<10KD;`dsxj`K__>NW(#WMtBMb9|ESV}uwt%wajA^7u@(l_)q|KbY8 zM=Q^l!!K8SxbooRN6aPLsvgwye8o)!$EU#KNz(h3H{H=2{-!^5@OiHBZTu2DZK3=% ziqH@#Z$`$7Tp74uS3E#GKBRPp;*HAhr1Vmy<{$e<8PtKcIaB5IaprTwX{PiQ=0nDq zos_>=DLzP>(EqVHc_O$!RNPxQ4^*l*4#Iqf?ev;>F;nTqipXUABW0ZXk@E0I4taF= zsp4YgnWJ2l1h7g>c|!{^wKRRz`|l zE>cYKG&)a%EvcW{Vw|?IAib&^1mT1-`9Zk*)b2@d@rt9LvBZDE1_B>)41o6;xLsOV zqZV=u-YzWlj^2Vjo_)y5ilQqucu>zHs^-IJq%4>`L5*Jz~geykz=9t#(&k^BXV_Fg0UMf3JqBl-n<>^f2U(Dq9_wOL+c zb?HIz*CGrqaLuy}Zmp)YFxa3JuP1;{pH;Sq*CefAP6d9HQM8a8z!u`p~9Dmk#%`*6>WTarw-qG;?4*&rF|9Am(Q$1)D zK@@(YG4agbT#z$?T;Q(VL!qDHg3H=7-}+c{_FP(fG)K`<7gg`HT~StuzqpoP7S zHX?Euf?#3ePhnwY6{DSK8}NG**u713;mzB5@B7~G?gUlni6C041~`fKL(bSn>bK({ zlJzv!b$K$V`)M-oS?R6^rlxDPQw*jOWR$8nj~%Y`L2cnTRAT?nXNI6M&O_^`ukvjY zlBY8=&~ai-JFuyYtvSyS+UA5=1D|idX3HMPzga2{H6t8pJ5Awj+%p)jUybSy1*#ts*G%r)`y$Yh5tdm(w`KsQ^SRenRJM$UsJO~NSm z?4ak+i95atHQ7$GPc!wsM2f!v00960 DWBsr5 literal 27836 zcmX_n2T)VZ7q5zdNK+8$1O-GvKza`!(i8-w_b$Eno(PEaCLl@+NUxzvC-f?WB1DR` z0HG)J5EAly|2OmQ-nnP)J!SXo+1WF*_jexNx^=6D^7g-{@4xo?A2qc1@pbm};1m`W zd?hH%`P$#v?E|NXs0imP2_az-A(2|8m8IrY>zjqGhXRX#ZT z{nO;Ny7SM>ty>i?|0A~=N&X-0bo+la==MP#c_)ubCQ(S~fsuttBChXl80{>klMy0Syjw*TU%MRZj^z5;zYlV-ea@aST z`T67AtK6SW`Fxw9pEAcjUuKOAChzn3y#7)rTxAw#t&>7Dxgx4dkfJzQR>dB%UCe`3 zO^8-8B>1w|=KQ&Q?lCmLjMDjjeT6r-#-vXnlHs^BYkqsEQFZj+Hg2sejT*ZKD zI&Rr|+f6WY2h~;hw};I}&IfwcHkVv^m^-n6r^7$gZUcTW;Fd_OjJ9&Gc(tfQ08jvrV14K`_d zqp25!{9$20BDb-9@)C5mBFTb3|E~|7Z>T;wI=4^^r1AjA+c>uC-HFMHsd;H$j<~O7w6f8|Qy4AbC9K;z zTeDaB+0*W|5rH=OvHzeX%zv;2J9L>?@11)nUbM*OiZ}Qyynr#0EYYQb@GLyX7M-j< zA2hGa1rq;GIp#?Xtq8OVY}U=~L?l=|^c8Qu!$^a9)wLp7Q{2kGkpr7=YZHSd(b|8r zARz)l6rM=!M6elzjY!8a{3a(RRFjA=WfMxE>p+OgACpQfGotPZ8I30j!^xMQf!KW4 z&F}%7pk4Ao0#obA%j12J#0@+#{zus`tXmnr=ZRw?`dj2aFCG5v8F>&|)K&c@k%-p6 z#5xGs>zDCdt}rQpy~4_&OR<1P*VB=aB?jmI?^f~io3{P5*CB==47Q0sfEhfimu_4b zG7`Ac*?UY2Vyqt_SdTu!E<{o9ye|C2jOCQ6bu;rLS3%0oR2^%qa-Fqs0(;dDwt1gIa9o8Nt zT|Ld(;q%bL+fl{nVdt^?bcIeE{Tf-TnZO+is%#Ms_4`nmMTpnXnk#KjnS729`LPwl zan7MF?m8eXsn>A_)^KbT2-f<1Ghm)hTd$Gq?OfI`6B%UKaUP~nJO5D0=t@;{oYhR2 zjrKf->3oa-LrYECeg{p{yEo(Gk;n`)*BH=)_mTOIG7mMmgy=O( zPzjOwJWq(;=UY#2q8oUNZ{+MHI=@aVjb0Sh-fJLDpa4*aCw|qOb;@(?JOJEV3qO-$ z&q-cu<+ft(I#dynxBWU$|G$1%0csg0_s~1U*}O9MU4Ba#vQrH zm;@KwIlO{ryfXWNf4*gZ>Ht^R9O2TE3)_uwn~XHnAlFz3b)u8J!*+xX?S3Bm+34qJ z(pR9{E#WU!lgBT0pl|q--#*y?5Nw_3Umo0-Wf^Mf?P%{h$Q)&Mj8o$U>NK(FdnCxKb(r@QvRkg6n!qh<9X@;QR{1IisB{6MZrhn+digNO z%t^?_US#eGVhA*?-z8z}GsDX@Joy9@HCzOOr1 zvz_l@>2|xa(~A_YrH%v{BM*;|zT1EYu`_i2$zsp-=h?`v4EpS!F9nxbFejB>+yedN zo+63_pEFAO6(k5er0)cROPRu6lfT%C{;iweR#%8eJrcBe(PWOwC@<<%39UT?^{%1c zQX9knhTr7=b*!3EZ@GU0mf}mUd*2&Q{Pg3FgRp4N=R7`TQt`EiCti8LU2);{$CBt z)YNxPXBIcxw|`%Am%9oo=v2#fF%rh^3d>xoKsMhRT>gf>d5=)8va?q~=W*61aIkXX zwkYiaVlMpXH%B@6SE` zn|Sc-NdqhLMS(zuZ-cn)T&ciNbhg_(|BH^CPs|H&c22YXiQb0h^YlaO4xZTQh;ECY z#=b1af~(?+0yg1UuJ#ZHf;PoQ`_8L=jfWbRXSsL%HN`YIxQfpPI=EwdD_%#}osQDO zJ}@22lW?Qu5}6pnPQEzOa+N~2VjZcuRKI9jo@n*QiXYnZprsPO8D5TRx>jR(g1$!lw;eNORf-!w=T zDg_2Tjv^TP8GYrJ-woobj98DXgw-E1^G`F&@GX(xhm8dvuIE= zlcH??Np19*y!x7udm{S7K?my6>)qL_D*oMzDWW1>g|6`S-PKdi+*%sqk8hitQGiK< zkxESQvlmeS_*W@BsxRm6O_}TtyxJaH6?wzIq@WQQ*l^=^MWjLjRHf4x(@0gui2*c3 zwBE*JGL1}rTc{svWy(--WjL`g3g~A*hg+TAw#t8pCx=w)ZMxYi#ozVF3bG>~!!$=B znj|qN#4vo|IvNSip}}h!8ig830A*E+Yj2vw>9GoX)hlqFAUAJFK)jGVVF*n`7 zbP>g`?gDAV^-ZGFr%>F-DPkr!tE|6ZJW4y6HEMGVD>%ZONQXR`ut!JCB$PX8pZ;FVhMC6a{SI03SZ{f=C<$A7xK z9s@?nk7gi+W;U_bVHjG*GFww_X0?Lj-92r%0?tAADyP=s+$2h&HM|2i%<*W}qCl8~ zOiYH~nUc-#r_KtNyK%?C}>64Nw|j`XnS_=f*>SS_p_y&gk)zvrwB@i{UuOf&0$smlw$v|Wka$6 zDX>TVKNF_zC&7ezh}ruoZ1C*9ozTl(l6#(xZpJIvE4%aMf;f z5n4@Nq0RigqutoD3s}p1R=Ec8ebxiuz=(KYm<<4jCCTADV_FN*iKQ0wnfvGX*ha)@ zObbtnXMp!4l7w91p+fcgDhJ>fTpGNMrUb8YhGA16GRVi0ZE&K0v}kk?ksu z3618R;>cwuKEWi=<~4dWeE^lnux7_GC^%G-b7PvU>DuOBv7o$gmXp`GZ_dt0R+MPL zm#FcWwx?qwO2hODTf#;Vs?6r&cYz+WSb&SnO>pl7!8ON2v;p2u%Mqggmd4+fspf~_ zZIMKtN!zv1*6Et(5S=ZS8-OUT0QQ$sNi9?{i?<$sN?xjP`L`25mETW(bhY%3l#RH| zaJ^f!LHQ?8x%HUfdgeF_w}fXvy3B{Pk!1~>lGdGm#;!udJB=vFm!-A2IGEo(ineVy z!1`LZ!< zL39A%V+Ed=6C#%=zRghl#(L4;$+xrN%A#J34v3h_hwc78up&d4oAv`rQ!Z)c4noF; z$Zd&YNiSUGi!!W{3|`@MZof9~7drz>LfDSw|Y&)OG- ze?yi<9&4ei_yPZMSl&nRgCmG3?BKlnggb5Y5!V4WfC20u5UKY#Xv`?H&C}@`KJcW$ z?r5QXaf1!mlr$MLutJ5?juQ#Xbxo%60qn97>J- z>^5v*vL$PyY-Si4IE|9jg1E}=klefq_hZ-DMILwTobHo>St;cq<{fwt>DD3^iQ;mj zh89;TAHDkL&CN(mZV&koR{gCDuJF@mA;m|Z!7-;wjCckA9!Gg=JQ1-jI-FnGX~O3C z4v^jAE^O-u#X+#=d!L{Kj_Vi|mYeSp$A(6SS zE4QY)`nFMv>a?GB@K>j>6(-z%#(WT=Ozu1gax_rT7vTWbMssnF;=N* z*L_rBG50P(Q|7FGF=S?M=K-3$r*K4c{|!k<_sDue@eKH>*C`3%?|dlc81$+wI{n&c zZhG~siJ*)9gQE^3+5r3WcCmkCCMJV{Keb3!vG{^wY6;}Z@m8c*wHQ}V(1CpAA0IwQ@wwNoEY+h6 z5@p)=ofTa%^Vl7Am0!zogQgs>=a(d%T5Lvo`oKy;#uO|zPkyXD_vw{vTQ$Dj*2yXz zZ;sJC%H-$FLMW=GQFf1Z*^+LaJU;xNNH_8GtrX%7XReKRbD5W$>iItP+7QJ18H0ia z77oX(jvvD;{B0r9rP3+4z|oUsYf!hIUB)tg^z6qXS!Rx@a*i)GFRD|R&FvLEHgb}S z)|5`IhcA(BHT;;yi5$m@Nqn?W_F=5&WA4Q&%H{2eq{05McS}p1qqyAjsJzp|rD!nC z>XPd|`6dg0L|zWwvc{7zhKh4*Ty2G`8jIT~a!69<@sg+$T@I^bZiO z{lV+SUvYix2o2(B{qrd>u)^xwy3#wZ{Ik9W%d5WYg?S1}cF6vCNVMnikbks1xOrqf zlt4auehOU|U;wZ}v<=v=rBTS>xa0{Ta+$Usxo(svmR$O#Oywr`6wfGq17%NBxTMtt z551WkJyqyA1`}fOwBC652nL*q1n>NN3B<#HVOAwihM!@S-tR&dTn;l&h!2yAF(UXl zUsos>JJ>9Wc7v!o3<2F1#4#)ESzy`ru?5=kDMJxGfR*lWo*%b}L3!vq3MN4V_pu!b zH0*YK`g=3BVF$YQB)F?4N?`kcQTZ0fg~4^mYj61WY~UDNqPxq;f9O>I`c7!pq~ub| zzgbO7V)xu!48v*6q4o0J+Ey%cw9{O@Gjp^RIN5Tv<$?9iGFo>%Ky_D-{x$Uc5`s43lxiDfZ+<0vrr4lw2O6!;xVSaXwp?nHNR>k;&9};bOQNJu#{@&KQ zz*ZNnfdiu8{G=qw>>O73`xnAfEfl<i!R}?-gBwgS0Q?sEqjq)}o4*63Iy$chMVL1} zVKl-ZE_>r$S$vo!i(5+2dC^Hx%_#j^&3;8lvzOq-`3n)lT!1!R)&wmkh*y*Z8J!m6FowC8@vru zylJVIZ796gP8$^p`%X-A1r22lC?Lr}r`T=B58upJE!WJsF&(eL~>Xy41M<5=kLdHHkV$NsV|xWW86nAkFG0Hqs%F#ktotAdBg+|$+(BsafF z9V}Dn9L(?;{CK8+!Qy;(&%LHP)75hU-{qJEdwf@wOaAq*Y}jMJ(Oa6$Y^a~EE5Ed< zI8_5w!aAhHCpwJ>j4J6%eu)~-zN4;OzmypRJl%ywFG`+KR7$wx;kR9W7?e0viUavJ zn7Muq4eF*h!@3K)t%pDt`t2<*%l)WXeJr0Q=~-q`HR3wB^5S)Y=An^3roFj7 zvrKio>o)x1%Fx)oI!M^kQ)0Sj-(I-LpIOAmm1ENSZWFubQ{x*_?+AwLd(yXwRqh>o zsjS4pDOg4+ucKHVUl?>Zu{V2f(pbRTZIY=3|3)8m$meNQ1#9>cO=`F}5-m!!WKKU* zax!xh$MQD$rT;jIeBP%fs;Wb|JX<{D?t}fTt8!>u_eVeK(bt^41*u+ile@5SSBlH8 z{MQ3R%CcN1cjM07{KNnDKU(|_xsvv-zg3w8M%tWzWQAy=xc5Up&s%TS+bS$vZ4kCWqUz)yX}(}Ni8uvGdz8$&+4 zlJC7T?UVm(abs>wNH4#pv*JWA^Ga3b3KEU%%Xtqq&CoGa%8$m*N2%3=Pb({cI;g|P zc`YSlM79k%6J2S~5!&!>;Cg4*W+(V7y>41yBAcic>MI|*q%&P)61iO@osz>7m0LE! zsp_4JW^`lj5|%@o`btsvHNPO z7YBM5rZV|P8XY9ZrKp&VM>)mqt(csE!5mjtF1xFTb{bmdwcK`J*~1axA!$8|d_FHYu|1Zh?CY z)u?ASH@b!&+GWVst+ppB=gOmNUtyX9m+)F)ZD27rE1!UTe%6ObNZK;_m&eMPB-R-h ziI;tT#_*ur%At zv7%q1Z-rdIq`kxVPH26Dwz=QyYqjCB3g@FgX8(n=Z*_MHM0Fa)x4;~%roXCX?H5;V zUd==O!6kWOoukC9Y(iyvxj1T}Ijs%Bp0!+%sId*d4?7O&dLOPWne`25c=O-EK&6Y4ZOp_2 z2=;t}`a8&3C(o3`R0_Mi*k(+c0a)X6LC7=^pL~+`*oEl@e*K+{;b& zpW4&MegtPdwSHo)XW#k${vVIJj~1W4?U5Oc8eC^2>H8u8TZMn9vCaDg|K~K#-V|M& zWoJY5A~_VQRFgU48oyti91W42d6|zV0je=NX_b^b#srH@<>l&<1X`1ZGt931-*qAS zdQTAPNnojOaQkqyQK7>54kpgJcy=n|0|)7v1h2mvPV@H^2edALspJe)IPs@;kkvki z+N0qzUTW@xRfZ)aPxd?L*;!dNk3(n9oA+$C>QE-?XQ{4rv!oQx!6ttSt{8M&v+@Nk z5*{b-R@?Brw>XhhR+2M6v$RdeRm?-o(^_gh}c>hTEtz_veY~RGv#8dvSt}CSnG+1mMRKH! zcQI+b#-(L;fIn4yQGnNMr}nQ{W!CSKP4zQ-=7}vw=oRf#6FuJ%E2(#y_F^%3o_;Zeo%mY_>H|XD>cc;d5W4irfO+BxMDm^Qg|?ClR*tu$ z_KIFK8{D3=?U$|_Wby}l_o};J44gFT;gp|lfxus@vWvf(hU}JJNphKpPCf+nyqd7` z{Pzdy%0N|Kcp{Ka8X4_8d55fMwMNl*s^_4L?W?5Y3(@U^RMww0kLEklx|2VcZ#e>?3qN0`n=vfdB)Z8NJ{-pyR$8xT_a2YcJzVbd$E zGn%itP-nQSUwNkU&Cjc@3f^U_!e4Zaop16vzS0Oy*TbAF)YPo9O8XrB=|%VhN~@($ zjI%2EqoW>`ih8{3u-{L_H=LWD*qwGiHVk)FRsi*zI$mhZAaX*HtXT&#lN~3l6osjW z52IqquJs=k?@us~?oZH1r^c&S<8*gV7vp#GBI&o*bq_;*VytHC;$tu`YsU0{H@vTE zj)yirThL{0kNfa)MeB_3wVh?bmj~2U*cx)^M#^JLUjv_tQ@&0LHikltZe9NtP7Cfj zNeC(G6}_=v9XE1jtdW<-L`pA=YtiYV;T@Eg!KDU!FT|+HXlzw{R zSF7}AxqwpdqcFYD&+B8yW>f+Ar4rBCV@Db<{Kkl^B}M1kn2PlSrDPR#cc@4gvVV*U zD2MkNNmDDISLeMqa{wrl5zgm99s&I|O}4H-^j|oqsid=+Gupf?(qlAtT6HC;_|z9^^AFU$oAe<3jGXx@91hmWF~3w!LEc0 z3nRe(fEulqK zlsQ`zpHrq+Oqx&aTbdtSju9K_{v>w7pK_%K%N~|^zPzZIzK}!YZ-1}~sbO*l@Z3^8 zZY{YWqfbz~c+>gg=U!Vu6!*l0-PBX(Z1ej9vxAWWKR>KTi8MEPkk~dy&9HsMMf$LtYtptzi!XjxSUmBC`| zUC}!2=Q%xb8J3DNlfh$6b~{o^7n3P;`P%LF`G+Hxl5*N*7YmO?|0oX+I!B54Ob2q= zw}1Q*aUCLj`?y2j5GA&s5c~Lk=gmu$zZhreVYMKCWXmc)<)8Ws!z5kL5CYk*esK7B z?>N7QO^KjhGI}cI<)dA-mltVmmz^e*KY3bREvJPc=Pm*%btm7RkD4iZSplSnqS?yA z8zK%{sia$V&F3B`0U`yx2l7C%ddK~?XlUaC~VyP29ckc&|Ab4@H_upVD~}j zV)O#lFx26R?f*Q@D0GYxeOCHB3LsZf%Z9z8Tz=sflgahAhR(mmAvR^E4mLaD^ z5x8Uld~?2S7vr?mpSAK!-p!%%6*1e|3N!&I_t4uY?;jdfw8;VWSO4)me$FLH;Mh%U zP#oxBSJj@WyU&{FGwb;c6@i$k*M0VnCup;xk@z0{09f--LP{cD@H8~a$@kOjjH{zR z{E>j9(a#9KoX!72e!L13S%ra=-va39otU5aBPlPN!iZ`@&o_#sl|Gta0*?#1WlP;m z&!v0o;wMU)PS1I+Tt2=oH?c={ppN!6-vY9@8(n%I@8(sTD_{L=@c!{)KW;a6k*}>l zR^Bdyag)@Ty-4iFps>o{M7+Zzk1AerLp1AGcoEs4^M=h4(xaTlOtH{xxcNgv=eUQ@ z?Ts2+B8}~90;S;d8A@BiT{DsAZZxkZCmNU^Vd2%Lbt*XTZ1eYQ8!z7`9gT!EG>%b= z7FN{#{3@qWvBAj&7H`zhk*Hs1#y)`<2dH>m+pBUqtusy` zlyQCsTdy~yDYl<|qEl>3y?6NgejK~jXdZ^fe?D;eUT3WulD~9MTF}H;z9#A=Sa_J@v&FOM7ljNx z_xo6WamyD*aVwNMw+Je~`-Ylf9SF2nmamO^j{XmO<_J046GrvG06Jf#-=|zSNF0FD z>-TKfx#fRRyRZb}Xs9R+Wc&pPo~pmw*_DII`^wNgXW|P(;-dtWV{8{e!&Je~^lyst z*{grwqh+8ELu?*LfR8wL*C!(uS&w92DXWY}px$WxX^%Z|Yoyvjr%K)9061#@6g;Cw z+{{)EyS%3gweZFZeBzAkb3E-+DjBc|nRI`r(kg15A{s5rJWGzQAS#PhShQ*fIt2nI zP~~a3>D(2G1sYO|2BwY=$|m=dU*(Ii6$dhHCVdbi8j-&Zq2>?z0_y*p?xOfWhNio= z#Mdt)Vf)lpOkrN-G!vLjJEO>#4cHk8SF3Q^QK4-*D3z0QOB)QY^?W`{wncsDP8M^@ z?&HLlYlSFW*L+S5dx?o-Ocb4A1-5xv%KUf{AXk`l1~i@w@G3SAcUoBrto8kd08O{!;0e3z)9v(opI*RDy= z$3qpmz$Z0{m*_UfR)ds)6;yT!%e%gxLvL1y=>v)d@D~hxeby(MXo8-|N{rVdw6EF6 zDj`G4-NPuvj@yytqUVon?S!wQ+x5(5q@(`K;!oIACX{lscOL|A-x4RvR$lp)ZNoyu zwa3dT)?bOk)=cvpU+vI`{<2E#?lzbCZycZ|;MgGn8*mL)Rn@o`<9rodRszZ%ZF&d) zn?UXqtohr=v5ZI3zVaFI{r~tCISF%nJ}KQ_cb^2W-x7eaH|K6Ob^dX!K*fJ4V*J$K zbS40DvKsd@ZzxIM2U;bWPWqX4!(A&v+yycF)LipCRv6~+6n*i5U}pL!Yz{vl9MH#l z9I8}8{v6$BJtyJR%56>1J!w$|)`_tAS$)ZP+!J3#RlR#^(`PZdKYsgdhh2{q&(>FW zzljE$L`(0a>pW1ZC3wl4Uzacy*@ZzErWo!BTZp&(5oFgf%6_raK6}d|FuksT|4!!A z`RlSOar$@y_nwUB_VQI@TYVWV?L7`ZYBd5ion6|gz(Jz;)8IA!Pnq44Qy{kLup9aK zd!!=kg!PBvJ{?Y&IN*7m*+o^&b5uHotgEsO)8pvFFVv4Ma^}BK$oebW439LZ#nW5; zH|OELqk?od`jM{v?1;b>AEo`E3|-^mD-(MfW+%^kl*i9mOAJSR?a6Izss1SC>!4wL z?GPz_`iUYI$)0c}%IK!hE|ikp+H|Oz6)-YT;x60Xgm`DZC=u-3M$_%JG2<8Y0zvLG zbMd`Z>~AuQ!6H6RV|JoSr{qw=+nGc)J(t%}+kEk3FE{U@3VoJ@^-CLu%g-g`qGOyv z0*`GIxZn1NUHqm}i|rvu9bF85zZFrtY%mP@ zeVo@9T8yF54Q?3gbZH}-%Q9%M2*`6o@(-fFe#<~y%(nUZPcH557ghb#HiEiyvI?f} zxR&%a8UVFF8I8+^C7x>5=GG$7`&hDd_h+5*`XFgWX-U_z0)7!Amb>Po*q-TxK&#^Av&1RH_I`7=$`)Ray!IH>kXPLm$;*i6&TFZ{t zv5arK_6>kH~6@n>8;n}&zgI;=rqjDtW7WH3@=lPTXslsavWBEWw2)F+o%Z|7DdA5h@75%&s z;I!k#BEKW;e**>?m8QGIjD&QLfr>gW?q&{54Szti@wuDllp-(pzU2qEs=F^mAER$& z77Z^lZ1k*oFw=}KtQnoehTq4AQ=s3aC0+8aIb7~k61uApKQsQoc7!X>=WEqI#$A+1 z{On6f2r{;8Ue@)As;!jQ;M;Xh#c&OWoC)B)a`V?%X1{7+$?^onDreS0ScJ@FbhZuj zxT002H=@2qJo;kD)kRH;vW`D_&36m25xFhX_T2vBY2AOc#_+TuC;M*!4ec@!R}SgFhKUmak@ zUqGte&=f-|RwrfoA+uSkUDJ`wcg{TkR9qVPz^`}0yyHaJge}%R_g2x&b17OgnrRdE zPt_A=|Je*@ycf_Hyt?V)Ta>KD#w)F*P(kX`?Q~+oTI9&+TLl`%Ouh7K#q&K!6#eaV zP3{)x>#{jtIQ(}q;pH_|=rJvF{xpQi6wSBa$z$kgDrT_myuA0Apu_geHq*Q6<(KR6 zRfQSfzw{?*ev^TpKy_;`Enl7;sfs06^7jjpj2qoSdVC+~#N;zkx^PSEEwbM)O(IgVmo&oi<^o?WTP#`r03Vi03D2Shn4JwQ zZ^|Ee6`r{ylRenLE|u`J)Q8m5tGm%aj&YmvJq{R*K+MpqThC*(=~ z;?G}wnivx%P|m?)$x&q@xykqDA9#$Qq(M2v(p}TsFXid-tCWk3>n8gcYD}s%RdKPt~Z4B$NB*`58(kR}_?Htfdxd=?r7GZF^;|o&xQ!OZZiJx<_?`#0{vx7WWCD#a8zDH8TB$k1^lV zXQ`zMQsa#!5?I3i=8Vt&isGnuX7r^9kPjlf$H5L`#`v*iJ+$wfXooHabsIH`s4fhh z4HC?*#Y`Hz_@YaQ=Pvy6%qDXGwy7AUqRX-VlfM2#X%q4y7M$NKV8Tv~9`sDlTLkox zgAU`hS-KW-Jc?v~+e))tkip|pqIf~}=>qnH`hIH6z0LzfL#uTom+{d}&9Ni15q7w( zY7O$a_nMl<}aLw5Mry@IZ%lU6v_QdSg znoAP^T~biFR?P+mw4A@UF+UVB#ALu+GREg&RI*1>?YIgtS1>Xi7-In&ayVZ-%OCCA zzj--4Cw{{=2Wi8aBjID|_B^aH{+;4C)z?Mx+k>aBcoUf)MSvd&I^Q>PvvF{l@8RQ) z?F6%~V?dC5IbmsE6j8%rb<%H13n;lN^cYIZ-e00)xnZn5s&ZW`kz@fqAGXP>>_vEA zQ}O((toTkK!6Ya+QD2f~whY+B1x)*LhA90E(^$OV=`pCCsSK0%F*naz)brBW?X#U! zU;7>0N)ig4?HV5YuUFa;gz=hZco9TH>?O?-SO4>f?-0zsP6$p#kuSQ9F*1FG86y*A zt;&Ig`|86{F7>V%W>&mp7S9I2>VK2(FyF6wyVNobmrAMe^<5=)Lx3-n;Z2lYRNPlL z;~?y1k&sdI&Hkmz`BrLWSJzF6$`*0@T!`>&ukY0RTni(3TGUN2->KNKRswbBu!Z~J zu8&uTm3W%hV?qJkry|Gbu#GD5R!=n!Yx3!oUCeq~h}{}W6EFSvI;~kK+zLR?6523E zh@#0XbM+wr>yAA#sPTITBvkNS$gJbCR&_zXb6Z7_$ImQaVU`;dY!I4Td@)n@s>xDd zt~k8LO6-b>?cM58HT}vnU>~3{!1LtT+uubMfCR?}eFqMt^mgoV;p_DVk&M*g>Xe7z zMM!|5{rX;aJDFuj(RvIhcXm~AI&f4>C~E}B@lgyJbG`kGcK(P1=Gt-kbNIW&M@FX(Qg;(wsd-w*6S#+e<@cdAm*UxunN?r6|^E$ z<`^gRg8eF`68>Qwbp}7SY74k(Z5O9E${8th++EhrAawc`^g}wmU!r1k2w%4wYnQS> zQ3(vIDH06k!=(EazeC6-CxV%opoLh_<>(Hih2n^Smq$qo1&Xe-Lw)yPd7G6vG3W4p zl|Usb`rrzw7yW>oZwe+={`Cpr6c~ij1wP9WJI*`!9B+ay!KT<_6 z%<#@?wMOmOm@LtC_-WaSLZ>-3;EShKZA6b}GQ8Rsx1ah&i^#uxU)Qh!vm745w(RG8 z;>osTW0R@crrtwn&!(mQp4<)Twg84V&GSxN;3Q+vO9|J}P2*%gj*r)_p(vu(`hGa- zKO}NJiuneY?TBF)!MA3ct!8Ux6hUeE_x=~f#41p@?8L%8Dq3=Eg>qAaz_#}B{Hpx) z@8&*wX?!0|kZ33Js=9GRoY#+YH2jO$a5Rja@tyq+jlLlmzKUlW>KgM9B* z;EVzX3;^)U)0@~;d|88+zm>&X3%+KVYzKrD0GArU_XwO*?Th@eHcD0Y#ZC3^P9gO}5fc?%E8r~5U+I7P_e1Xf@a6JpCXtLbJ z!9p35`O%IS*T48z;0bq88nRi{8wAA0ZPv~k2l}lYZ;`8Gd{QPIZDyv50cGYWLID9- z;N1*yk=&Xlm^*jPoP*)&$HdL+@8`L}gTtpck+Xn>6st_a!DUj{|199NyCJYH-HpPA zhH{rekt-Yu$93H!2WYOmR09^e0ZlWJ11qzNMo?6D)m!k{C28l)cIcQvp#mtdWI)N@ zUT5yL{mC_QG@d+toq+f1HHx_zp*1f)lVsYee87vH@jC&5wp?Za3U%4oD{_7nZPzvs z>(|s#bP8Z(fC4^UOG8LQ5r3HhC#hB2_|DYkZ%WeFdFPiKPHGf#m|_{fv+;f)%N%AR1IfV)TtL8+`T-8 zb#1cHLKP4>h|$YggMjJPAhEIdKnh400XjASH=M}{eDclcpC$PC4w~>s1$?n-tS6`! z(9|u6*}R58q(p;(pnOg#IH*vYk&vWTR2`Is8J$+}S4g;O+7QeW$~@|1<;DK#njI6x ztxlEA1WI7qam`dE86P8`J4OAC_s3U&a7=i~lpn$lwMI$2juk5+N3PFXHP zPCfkX|IkIm4iTIYo5Ai6h1D%BCc@!qnYM(8jhs88}HX=u2y z_qow)$aqu*>&Cuf~ zx?!#%JZ9+{xDXoHgvfvCbX~T|B@~T1J$i>ll~k30#{vK!(9sRwJ^M0`Ip6VM+Tgmn zr}_Vm%#fRv65R`zTGOjA+Vgvt*EGm%jfw2J;aXk0#)O|wug~Wen=km5bo8Sa)Y~eX zvk=7jIdiahOhVNhoVd*R)7ZpfI}o67mL!h547cu%wcpA)kz$7=mqu9SRrDgTu(NSA z^74nj{;77b1m3fUca<2nI=fkuOhCXG>s7(7qm|~n$Bje8UIeuQ^ccJq(V%;p0w7); z)vwp?!nWfotFPP}E})&nE5W9>W)^r)ge!P{Be-yTQuf^J67Cmp%h4h*O2jL)`;4YH zIQa~aIpLtbc90Gf=tHvz!S_NyxhO!Z11{-9bqRoh^I_w#a_~VYX~7MJJ5`$_|7n*< z6iFlcTt~8XtS`AH5ykNclWxDdp9?s{Z(-{r*WuJ>tYq8dYgk)TIY%$+FtpECufk(5 ze6uepB8~14sDiQJ3wrz13oCJ@V1W5T@3pvP5Sf*_2X=z```1QhWOB2$&3ETNOLoU_ z8d2a^)nEnCydGLP6bZDn3%g!kPV_~hVNPK6CAtz%DaTd2Pq1)aOxllA?3d`yo>ip6 zv%S-2$H&;f46JiDGqdNT>n6xUHjYwWSG-;(bJe8t2#+Og>T)`(7Z#?4q2*p1zY7i}(E`h%OM2qI@b`=>qBJW!;J`B}FM|0%1m2w}pAe4G-)Z5#%n%P6k7>m%9 zG4gp%BpD6_{o1DTDoI;A>v#lM!`5aP-w-`}TP1C=7eE%mS!(R~>5VFhxqtF#*Kv;2 z2L=DI+)MZ4UT{UzRjfCO!YyLc+HDh}n|ZS@nGy5Q99-FwgT@0;%f)l{T{kKVcaP2O z5Sf(=;+8^-f<||B^IvQ|jk05P#0C^3+1{>be!tXRhof=ggv4%BQEtMo7QQ>_Csr6yTnNFRZv^j8 z3cYTlgE?TSRw#Hab|l$tV-42 zSKkpKlpcpOWxMC?JLuzJFmO(AKom!;nE6*sf(3;0y)mKiegj*ZIvb!ZEgR~o43F8R zpk1jc;TEp_KLIl=%+saxWZc*z%47~Qxx^Cvx~Zqo$3CiTdg>X5-v8n1<>lH>@ZLLh z9$vJ}U*bGR{WZ$s*;_V$@7X6#?Ew-yh^r)XdxR}vM`$3vV-8Du7{OPMZuHbNy}b`w zvUK;uw+OJ0YWzYyOVnw~mz6Slns$ON>T12sRfm55tOeWdCtXSK^$B{0xECboCCccx zG5Sly0P}mx`Pb_)rP2lYya#12BbTOw2ARK8euVP8-RI6fbm`)GvkuomD}7ihz|l_N zk!!?-h7ov-L74%aI(dItVf8b0oYN)+M?>=-0r6Qe!h5q1J96Q{Gq&t$0ahlBMm_b2 zamzq|q2uyw`5(f!Ndd21Q)pA*Z^Z-G27%iQmZz`KD2aoMSorHOMC|Dk{!_+j)x?gg@VO)Y>K3{4JFz zr~6F3SLj^u*sNJH`2Zc=FMTZ$zA`gu?qxb>=I&zVt}SdtS~BsNd{Y!}k%#zQYvONA zh)4N1Hz@J^`N}xOHP&>Yri8=dO_9Y{REjFtjshBIdvkVI*PQ)Q*}oB|Tr&0Ha8g4F zs5SJ3u1%BAS+;ntSm>i$wd7eSh-yIx3(hk-L>9Y2Fa!)-cQrqx^0;E3m4+l^eL#gpoU>N^-+3fnDd0zI92T*T5l=`d$HM6>JJGHNj zC|mzt;S)eOUQX9VeJz>lkXySk(}9HBzNy@7H_o{P3-yrDdyEC002EkkSJyzx$CmTt zut5H8k>R|jI1OOq4B)g+NWVPV|J6v&&;^dQ`w;RkMe@%?agOvHs&S?T)La7&A{V+R=a7e=SUOmuPK$S0 zSuc;vJw$3W4CA(zd#tRr2uUuj8CYR&;#h;R_h>8DTU6(~&)x|vky!ylS1ysa7`zD6 zn!OT+PzXvb|>~n?l)wV3($VM_=Id!2a&5oABQW4j*tFtT{0Oy$C(lVRP*|0+9=qgN(J9sy7$;VhI*W z$Z=H=>cTFzj&P061%z-|WR<{y&-zK$SeI;shoB)tYe*d2E)MYSYsW0`Ezf2&~y@C)-j8i?)>ZM#=owm4 z6qB-l;m_;u@!u~zvo(jG`$0DIP}5%j!rAZQS`!V+bx811QEFWN_xSrse>>;--{|bM z^T_?S!}oB_=oKFi@3)mfOMN_Cd_#i&kxs7H=N*o};ftv7FV;jhJ@{}XWXDzl_cu5^ zoO5<;C9oBNchUdR&R#F~(3PN%=ikLHufLCH&*y=J-{(HyYI4iIR1O{3^t{-=fZGFK zzzrYWSD*Q)^mMANVjC2(Y!)NIyYsJx=#lw*|2Nyk#>0nRyX5?-7ExO9b}9LYRRO<# z>h~AlE_NQdlLhBW`$A>y4U8FoZU|qXW9CeQQ378Ob>)K1ZWL?G9zR{U#K}b}e;CIB z@Q)InrsJaIQ`!ZbaR3;$*gOj#fr^-`c^v#72@ZcYK)qbMh?GBS57x2%7N4H3UTS(8 z{{2xtI8JVFitx2lR!f4h{t5QcV!KD))Va)TU##9*TB37hiX6t7-Jr78qprt2!dAeJ z3zxmlu2p|2cy);w>sU{=TW(yf>QvY{=AIXOBzw$1acXZ<9i%qmjL-}JyombhZ!Kz) zf`W#qUGIC*o&$F{xtN|e`z3M1EKstK*0ey@hBky1mVe1vz`g|=B4QJ6o3R6tGD6-8 z9( zIq^Jifc3XH@vrdWRWH2{Cnc{t&)`5zm~xKW2Bb=NdnkwpKR%(1(IraxpElSh5(BZm z2Yl_Q5%Am^_ulw26!_;Un^U170k<)NV|&;SILDSYw~FAKMLvK`fAAj{^+AT$(;5!^ zE_zzHg75iU@7CfuKIT63QGE;^q#VBahcWBJ(JuCPN~&@URlVStv5ArdzKVj3y?%^) zQ^X^3{Md?r`hG2&89uKSKD(dIF&*Ahloe3Li-Z?qTtJfGp@Ya*A;6XkM_f_To zAYproi#Y94lY?E6!2#+%2iqam-I!A8t5iH>` zCZUC{f&{qqZ_i(=qoyCc4#&k9K?n8wFh=b$fBq2*4?jXjy&eNcsKkHf1(W{%_&_l9 zH`Z)0KR(%$tKQ^bvoIV;eJ>b?65i|4-nT|;4MvGQo5c1k;UzEN_!xf!_t(?cM7ymm zO1fSV%TU}qtvrIy`tHGJ!vRhl+Od_u8OZFojIy#1Xj};R(o5$n*B0ml9W>vJ`u3J{ zRC=r7uWjn5}9EWwrR^03!?zgmL&#u76{;`R8Gg1N@IJbtyp9fCFxe|MNJh^gV?# z8`xTV6&$w^0V+MdEP8x@>ha23#V*NuK&hqA7fQKdIV+xpaLB}8QXkHd(4RI?l{_?c z#Xl?Rv#hn7BJwp2YXI-C`y&BI#x<+_=i{|xFpBQiPY`=I_tS%DX4bo>` zrZiD+mC_{aFCT1&dU z7t&3_ZXKk{E7T=ak95dGsgA0CL?Lo^>(hDFZ*dgwbO^k;t2 zcu@ez>HiU@KlTpOpQ~!p{=!B7#|_b6b9zYlpS8vtlmXly<(6mr|96O0^gm1aaZ&$1 zM!lvjq00Z@57U3sNPeJj(f=QY=pV;19^5ZpE*dUhVUhFyiz9#ZKU;Z&A^tzt>CfiL zF#V^*hGcz+{t`#d`tzxg|Co5cTDn}K5`61N)P0&64}L5>^m*hE{m+X0>A&X*f3L`2 z%a+hCo;P)EfrSMAE+uXOAm>kw34zaZgu7I_ye#t1jkniDe6>Ruuf*E(kKRLKnU0O+ zFU9wA;cER<0E**b%Lcsx5MYewv&*q6;OjC6c#HpWFjLaJG4?6ZtT%-JTO)riIbPC% z|M4Yz=7F)xM8kJ70p;|!%iAr4`%fMCn?dy5OGU%H2Y-W8_etsp|L8)rn4+w6A zSN@9~eyoNf_OBNIZ)fyrDIS?!rNE(zKCf}(Lp+@=h-J2ygivIW+zI#}UE+4eU($j9 z-BCtYfAV4X(mk!1SYdL@F( zlkWf3PX8~L-!CXQ9plGg)~oQpuUsn?^)u-s@So-OAJSNTDEQwSk^d`3v{NFYr z|M;DPqHk5chP|I0k^ig__5be?`ST47X-poP(4TKh2(cK7ECld>P_9h|;xCQN0{@b? z#}_!AGWacIU899Qoxu<1JkhB7Xv;Yy_`gB<()f*#2yXG$t>a3cQ(b@0^+#Lx!v8P& zuF$>Zn23Lq>0_?Hf7bqk=zf9N6pmxdpPM=UUs)&MdVEJ(R?l$wn`!>izFWYL`)>pN@0sPU z?;K9x>yC$2A5!?l4|BWC@3t;&I?0b$d$@SeI6+&r;z8ihhVcJLhj=gs;2-PO4^2Jn z*z-T41OKRJk9g+(j`$SDD^*?@f#|bO2mUjiy?=K8N%02e^`F&&zwa+o+WmcCf7!IO zwaDqxfETM8KG*Qlk=!MP-(V49(FGYi_M}!N{2NBphnF-)(O-KtBjF$40e}DKZ2F5{ zlqnkeSoe-t)jm>Kzx}yGfAsz3YPX)L7&a=_-_d9Pc7JJL*Y&w&M15XAqCWg4m=q{l zN;rUx!|4C@5%qcai2Cf^p?$qR7mcXTZ5`JCzP8^`17o&Eb((!oBw#zmRHC5Yl?91?a+Te;P`X@lzaSuVn=WB z*FE2m&}Uy4|JE+?bX9!9t=?xV1&Rks&gAY_+Io`y_;S}@V*EsIC2#&)T!H_F4&#sR!G$v*6piMtL-0;z<;k>&&P4KrT>Q={u$C|dhB2EzIIEWeVqQ&+<2(HVsKmh zO*!;=R(pK2(^dJ+SOfnS$G<{oRJL>a{I9dOfz~>N|Ev!B7$NwdZMT=5Zk6DlF(QAz zf6`*u0KosQ5&8e!i2Co=!T+Wf`drc>{yqL{M&!R+2mZc)y}W&W*NSJ~UzWA~BeT*8 zd$;SLk5Pkv(TMh*+2Q`eqoRiJ->MxCEqm{d^E>-Khqd*I+)V3U?=089?$iF{hN9za z9DiE;jS_u+=Jc_3ot;mVh4z%j1TPZjQlM21Up9`Uzn+;G37=;gy7~wIcbPBV9z6^G zA=*DVNOL5hxE~nL_6yCq;~as1uIn#d#{c+iVA1E6ufcy*{9ldepHcDkb&#R{_v6oc z?d!qdyNuPgHtFOa@mS^X6$3;4<7_X^9bvx4-rslNzkd`!{Osb!pFY@W;Huzv*^lz= zdzXv<$ZBBw?$@4=oCd9G!80g=lDni;E*|iy6eo8{o%Fvaa)S2^&5wtcZP06eR?GyR z?8d7D6%TJN`(*EbBHZw-)HP;k!zto_yKCQgy&$pmw0S&4pSLKU=f?38kjg)Bm~Rzq9B#Y1bVUVyx(S(jXK5*D9YB?akraegf+O-ODTN zdFI^p#WLrgv!%aoAQgzt&F`m1z2JYB^#5(d&+&ha!arsRzTFSyc`fEsF)U!3iwE;F{`dIMq|)k+&+BR=>^)8G`^M-1JN5TP5ufK*yYRPu(Ut$G_&i zpZ7-p2L9`^PS$u`5w%axKGbDgR10g(mSo8&9yhoZ(|ffBwGsS z?11u=cz{3V%GP}&e)OkD4Ryt3NW5Pb$MOO|&Y$;3eK^naa$kz=)pC5`+Uw1V_*}ch zXA=T{fm^ym68+3)OUAZo+Z(y|i?7A1_IpBKSwFUK&fXVBxjz^G`&|1*d;5$VHDawx zdl}iv#@BovKEW<|g~?2n{}3C7_`$_xFy77X6#-<()kp7Jx+F;tkxsKLGz93zxV9 z9`&JGOZUb0{a5k!*Hf|InYtBCgfp;qy8hBLkU>w$PvIwRKp6bvw=0TDc%owOU%)@n zA6xoAHu8XfV!hPT=NHjGW^eQn`X$qo;|cWnT8H-9HTnnsw6T~Lj&V!O`SB$9uPt#s zz9TKGPmK`t;eBl#77>&z>^cJe>Vre^cZmA4Pp7@Fq4*{g{_(R+1NHIv5;YS4F8JP` zKK@N^u0;RSrH}20o8OxFe~$5k|2_XWE{!+w?HK+Z-{85D_5UdNUHSX|mEbQn^`t%( z68e0h-CiwwwSO64`h;b1a2vnQTt`9=_{dp$~1K19CR4?)dutK}gI;*sJ>i1%Q?#16Hs1f!JQO z@AWPoblCDGB>FBxNZ%1I`w2QATWX1!7tf#%-*nLXcw>N~pIb7{k8;1?;Gdfxe-ZIn z|6s3Jle0mRe)9!bm zJL5wfBYHW1+Vv6b>*x149=7<~{=eR9udPo?E!+PWMiA}$dC_R!$Lrs+_g|ua*qia= zo50{!`q(Es)vn_gl=ppW!hz!h1DYQ#?hXEzs6i9o&Nt!42S0oMIX;HpRj$XU%_}{o zl{Lm^?wuO^5jU4EY3eg2ZbjhlxDM;lW=3b%s$v&lQ{xHNNY9G=Ep|8+W!jt;vCHqo z%}n6qHzBp~75`%!CGc%7Fq!trSM1#^;#Wdd0q*^8=T;WnK7Wp%nM+NcmB4*_b%E#j z~g~Y@$gYI1JJH_k#aBTlB7G5eoxo%I%{e4CsVk(8t{FH(-rp5arJsUgF zN%KB>x5gj0?`*1vawp+>{q0lBXNk{knqRI^>G{Xl5B$Gc$_jiK_hv>LJiZ?fJ^pt^ zyHNt)kGJ64cxd0r;(kzUqaauFb+DKAlHBTZ_~8Fi`lByv`hcu|(U+lp*{d?R*Wcrt z{_ywsUVo3@qH}Qw%Wp$_Cyjcsj-S5OD2OYk2@ew@$RT6^ZQwD%>vOx!A z7VnYMHfc`!R9z=dH}^Sho#rHjPMn-hTTL3LEhmlB7L&$lMw-)YG`rH1mWLvABWpVg zTDlS+M5MdSoil&;5>x-5D(`V%9oC1Cbr;UF+Zh{vdRmQ(1~iwc0Vlp|s6Ff6+}-ET zNyg%@(LpI?k9`yndtih;d+aht?>fe0yOeh9?J+GNK1$kZW!;-MbHVie47qm*u_S+( zHyFyUS1)934kz;nb!6fZex-6Z|Jxb*W_&q-F4tKlkW=k(bzg-UeHFm=DMt-F8n8t- zYU{_C@2I!zMs21ysJB`n>aACZdYcuZ-gbqkx9d|cO$5!23Yb5|ps^_npKq#cL1VvA zEKskfH`=m6V+?TpP5q$RzOTYPT2#Qr;ucXBa@Opef0w8cKR-JWyhdmJuuBiPPKMYN zD$a#KLDy4MnlZch9$;IUAd+B$8d{o!Ze#|bCPRT%1o790?Ux}A8{iI`*>J~vWyXMI z^JY^mlkMEwvG<0q+6&+ikI5Tc#cK?h;RXTFee&e07o4+RVC1d?+rE*p@Z@GVjOnjCvgn{rSQzmbrax}nSUq1DYGV+0H@44WdfWsYp44ntJEB- zQw6O+5VFZk3@@9ryN|(x6EXND#ov7~28{($KA`5;^^JN_Z9w}Eo#S*$1B)IsI8<3< z1HTWh|7Cho(5~#o+l)Z@2rG6mh@(`bRVjcD`V(!*i7WCd}cG+Y^XruQ@%3*JQ z^cq|rnNVBs0=2)DkbKoJwLclvP7zC9Uv1qAP!eQ0;Bvf&SnX1HdueCPVBNCwPP?8s zRb_c20xbJ^atvg_h2CFI=uK-^?$(>IEsuS%K?K8(iy4ITchq3tpE#!u@R^#m)mnRc z*?LR7C7Zhi-ehDyClbxuY1e*?vptW`^r|GD>k)8RJl0^qV+o3zpnO;|Pwic=*&cLD z)Frb}*>X2iD|Rp2c_%KhNgFAnqW3qF$!~5*C%ow*mA|R@gndT*rUWs#74dq~F!jr9 zZ)p%>^D=3JWX%N{mZh5-rx zSPN4pK=2nWZ$kK<&0lCP3}BX(m8D!Dx%^J;7j$)GpSZsrX4PdQNE!PAmZa zLuSSWfHtl36JmN#Fk-XD z79xN8S_TyXNxB;kdY$b}He*~FC)3H(rr|L~!zHS0OWMGqzT zM1ZPfj$Kh6`2XNfarpk6ue(SJ%PpNN{P|{`P$9veiyl=Aj&q6Y7|wz3adP%@!9oK6 zcE?}G5nNX6Ki4XxuKc+)G5jBkKV5=j`HB?9ln4ChdV~c3h+A+R^`}}(i~uC?iC9$& zj)x`ip@@Iv;GWn0H&l>!8n|jDY%|EP4Ej~Zz#?R;nb&GoP-j?G$wL$Ptoejk3`G_K z_)|*UB)*=i3i^GpA6p7C)O\N$nG&7O6nL8Jll!!%Hl>f~uPQP%X z3x8;gR~LN6%1HX(*a3gNxCBNQTbo*ppxdef*VB@reZ9}nPfzm-G+0lq>4V?&<*~6G zA9#?O{7Yz9uNGGKWe$H;gU7y8sqX!@NsL3@@-YACVs2&G+iEZFAK6pc_)Sj+hxXde z=>S}}kpi51C}s$7_1SQ2CFfQa-24N7)4`WbKbNYM`pggwYw(CaPTAzM?#*in)P@5i zHStSmDn0}I1b$%OOXwL`HR1P8EBOGo@c~48YXdm*mg&)D9MjxW48u3M*z4u?=^j}4 zqi!xGqmOoj$9TiZ`VD7dyA--!8LN-e!PbKQ^tXVUZg=Y9mGrm~{Ym@&+O@B>JNEv- z!Mn7(Hh+qsg#LBGXT8$Lw_2YE5I_IMHVBA0{x;ufjNw*S5s=+K;OJ>{hMgLOPus>f zCJjA&1q1$mOyZ>RnQXYmrI7R}LDH^O9d6@4{)sVKwY~RSTw@9(?<#>$j6PL40OEQE zIPJ*XQo_ss=Kp5f|E=NJfQI)-vE~yVJL(+P+Bm3q3w5rw^_YbImq|Qo2^#FA)S52% z0b1SwkZ-~hwkk?jsW=qHGSnUKm1L+p-Ydyacf41Up?3F5crX&bH6IZ9omyJd^o%hT;ky+xRdU5= zH}N^|6OqHJ-)K!b_gV0Iqz(5%Pgno!38Ip%Tv;Kq`W%$yzaIN8Gz!lk z@U=;vjhKDdkqZyrwx_MjGI5=t7pulC16BO=7E*!9T3j{VCr(1DGAF@WWR-v={zVE) zzxG-#p1=-FRTR`oI+@(D(y2*<2SM$BMID5iAr-M~7D@Qg5sNEg{G`JqUPP-#Tf2h( zTj5_QbJ_Lr>t!MeFW62J(V^X}@QfyJb;XO&zX~j97z|Vm2{I#By#NR~cmy8gL)L!7 z$cHTchLO9~A8MhX*-70eGr+^BcZX}tMndsi{Uh5kt{`(v*1XZXAK#Iz6g;F7s{e3! zqhgDZn!66fj?ZW7oXj873uwj9R8CQeuDQ&4W^~TKT3PJIEPqt>mo;E^&PuH~Dtv=c z>YJ^B*T1R0uj*z17BAMf7`)E8y1bI)?d4oA9PUnSHbhTPV|AViGr#;?^{0sk$L!kj zU01{NrP(E&!)`VBX4`aCzTkKoZ%Sn8PH=d2^^qzM5bPZ)cTstf%4ex$C+-H-pP}z> zf`6v`#EYHUuPDB*@_dyV`N!U)5}uz@-OIRC$NMPLrp^4KNCO-iJO66QvSTYbr?EcV z#Wj3QJYzR1#}};vjhFo<>FVjN&hN}lFXn9SV*ko)||LDCz{^t9Z#EJH&LciB|67EKP zTyoy4^Ly(YL#?-LkcOL0O=zo=tPf^*Vl{6@R{K)C;@+>C2GK1?{5O1>Rixshm2Rb~uC zH|_8QKVpkzfn;m8~&z0Hf*c& z-&1M*VpAOxm-uBf)qkXHJ}?|#YRm~dJawfI=5`q_ff zui32tj!bN#Z4A$s*XrC0ghST1ZpYS+KCt+OC;eD^wPWC(Aw1@zC#$Zf(8jon_B&

    =~-P6h$fs<8-}Y*R=x9=9^M+ywAYx%F08vlw+WkRM>@|^U}Qz zT2@hXr3O!Zvo~w*Oh+wGb+R5jsOQbJI{;`oifqk6J!yW(kqWMuMkSZ(o*WH)%J~hr zRweqv#tPEEbncuLl0RqOo-0H@Z`LbUh?E?+}{{R30|NnRabW=NP6j2mD*Tlp%ug&Ix zXuvJRLM^i5BWj{MGkYg9use6XbC)F5AP9;{rG=eXSOhE#DRjZc-pWQq7Gn@BYId_r@G}A-WEP8_Q9fe#r)x>Q?ULY$;q$+aOtGG!# z?-*&X$4-={r!O*?a*#2a!Fu*op`SI~{Aw7R|MQt4sEGCC&ZQfCn}Vd_wDeRI8{P0s zA|s=h7(#oj9KbsG>CQ{m9D@9t6-K^dgeCRRB2Jt4f;p~r9FmfPP-;heK^mf$Rsp;6 zsQ^+oZ-|Oi(-VH zK=*Lr)`dFup^QCLB2Q~jozL&gaZX%mnt7;Dq%VdnWO*&Qc@^etgo^Kreb#>D?)LFn z*hexhFb!AirzVuQzkPIz*t0PZz?WJ~*eafV4juu~<%1uE=%?#2ymHON&o-= diff --git a/cpld/db/GR8RAM.rtlv_sg_swap.cdb b/cpld/db/GR8RAM.rtlv_sg_swap.cdb index bf4c983dbce6d8f9b2f2cbeb84db2bc3a5c01dfc..e6e4232c97dfdfe1870d9f766bf413d74198a966 100755 GIT binary patch delta 12 TcmX@ic$jfQ57UIiiG8L3Aesd1 delta 12 TcmX@ic$jfQ57UN(iG8L3AvOgI diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index dbec63ea50ed90be9c7ed0b567f3ab732b534ae6..00eb44ada20df64401fd8f88ae7b888717aeb197 100755 GIT binary patch literal 21865 zcmX7O2UHWy_ck2`0g);ll%goTR|Sz?L=h>HSCA&sr4s_urFW@8KoAi_2Wg>q2py@R z7efyr327g{@4s`-+&#NzX7}vg``l-qJIrKcWYzTK*S+s`)4H~=9esRVJv{`ZWhJB} zqy@D6TtB`Skdc)UkW!G8mXVZ^l9m;C>FDF{>fk6~_RhggKw99Xfqy@1Yp*H70Y z5nPnl(~yzLng4%7rcCpH*45(wY#{j|khYjt2Zi1t{}yF;-@J_ax6kT#n73F59P3vf=nwIfZx z**!KH+_iU%46c2&$1koliVoq)7FG1VG5!#He{x5?|y|aZzp|x&~&fReJW;etPwmXZWuDG z!&g|Jyq8{Y6tpPHWt!txnAw>uhs5T zs7^B{kNg?Bq&+UDT(dD}(Q=bT5|o9iF!N7l?)l==LuHcZBhjF3oiw4%&k7bQaER%g z=zM^HPR0(IUNza}3jqW=8y5cT3%K83sAJKc(4ln|{WEJQ;WhldaR2^wUxfeuC@8KJ zCZ8-p&$yFTI)qO6?=S~;>db}D=$no$?#EMZ4~;coWcgwZTH`5m1CGP{C(m-lrtjd7Z>~CmqFi zd8YAz;TH)RHQ{~?CDLij9{B?sHNwiV?Z5aN3z6kOAl_czhf+wMx{vdU?Zd#Fj z`N0Dax`(bRGZ)?X_u#^z%wak2@3$OO&6tD&e!Z#Z?8F;jm55u&3yC#2DU)*|hm^O4T1SI?2eFy#P{_5-p@ScY< z%a_I_s#`s#b9$0((2eT8(j}O-bLE0mWE+nZ^k>&u{(9V7OGcJIA2#`zMxx?pcbYPj z(mimkid?rG{?4Wg_Qb|I@)~8NWFH6796wXcn*BNI@rWw;>Uf&fTIY=n6T*7#UKtWP zbPw4_OV{*4{1`cZIkn?&%=Qm8s1E-zNAt>7mU;0$&G4TI?$u)9y2Q{gIuaVElB72> ziEd(|8@DR{7}JN3pZkY6MS_})u%eo{uX3Kw#*wR~R+e5YJ5I(Ke~zEj2GjGD0BwR_ z#p%>erP;qIOo*To*;9e2g_XT%@NZ~(WY#Ns*dzC7I$1CMcbTel6^DLbg)j$tHs~JQ z+7-wtX%{f2<=PsBGyxYsExP&bF0KOvL$=AEm@xm{w% z2GVlZhA#saf62GY&H6vj`B(iARkX0aVUQP=(up_6z7e0{H*f%iv()i#mPk16X3Z)6 zw2)7ZZ2KVp&$~=pWIAb1G<>?TALVDlyQ7fgI4|fMzw+s)!Tp(I#$nQ z1`QGbs+yyC{6Frduf(ak+t$(cOI+Wv%0tLsu#G1XGe2(C{77`QK(am$`$nMzp8SSTWO?bkQLYFojl$A z!Zq>w$A+Z!q*Q%CD!S7m@^R9R`@h>?zl;0I?v13Do~K z-I(rrhbqv{lYRJfViEY6q;uyl?~k=Z@*`Vqp~vZ|UeV8O7e(|XZwND04$8asX~lfe z%(0^N%Lt+$)!)3?KQogRyy;ZF)Lh)y`DC8OkKvtu@?B;xJIK4bslucf%Ys;6=1^bh z_tT1GGFjdUOyULoMx!x$MPH8-?^1fU-*5C`8SrQ|zrniwjiqtqURkLX;kKxSXL$+> z=w8H4&Y&Zya5xRc7c8x0)rXiampL+S;ZHIw!9UZuE340cIB(bdmJIyp+^A1#7;#9; zeD?d(-Palo^>VG0-_|teUisg+e0=^;lDR#%Aj+>{FX8AWcyh^cN~*7_BWDc4Qyt7; z<;+qUmHAKpV%*kWxI|smz`UyP(!K-~c6&T}IODh>xaUah^KI8Xg_~#>p#n2gWTdx^ zxkU@Zv{U2KKk}(yYhhDwz5it9h4OOgjc)%IEs-*etnlmm&Tq(lG((Y5;3jmjaZ2`A z;yx3bia0#{PP$DR!Ql1#O6ifY`)umfTdgy?9|X(RR${~OKW;mODRLo@t*_ainOo_b zgs#Q8(WtMwA9kTyrls_^vIhjY9kYeK>{1+hlA<@1xZFcT?b-_LwO^oR9wu*l1n2vG z0iIGz9-sYYgTBq1mnRmq8)uC+sN~oW8^8I+^z^#y-kI ze)g>A3wG+S;hfReMQ)($vS(D|`p;&bo7&c2*HX#Me$2{$l;Um&Tl(lH{r)FM2>LvR zM=zu{Z<=_2`l9N-t&H3db7yo2VTQ)AJ?D~=Aclkf* zB$Qj##(Q0erzK(E5(IeEr#HMZabYjrj)PyZ0DZn3XJ}h9=nkS_UUE`WuS#8ELQ8L! z0|J+jp&>MfY!MTv<3zsss~AgMFoR!PIXhJVv+(dyCJj5g#1UQmF!HtF%F?OolRR8I zMA9cEZam7<&0cdg%Hh$&UtgQIIX`A%?I|b3gIKw>Ha@XiHwvD9@LVVNt`9)x)O;(0 zt81@)!Id&dFmL9kXQbNJ$s2LXwSL^|Y?`%p1}r^uZWg60h)+gr=PkTw&2d?szcqKz zjW-MF>tuCs`)s{0!EMcR%hV-X%#zFcqh5*rm5N;7#g97g^w_P2N!Y0%H(_45x}ub9 z^w!5K=_0$K%A`S-OOs?iwIiRrW4*I9Yi@Pz(?{kIPG9GDKLX~ElNu{csb$}>-vzQp z@P*GLECQ?Fw(Eswe)>81Vrx!eykHLc&oRacBM%Sev79!_+rYGZQoAYFD~)_#=D3we zUliXw^Dh05um!zB)xy%SSSb)7)AQCc?<)O;$h7HLO*L_(`%mSs?nX*BRDo5q8*s%j zSlDNbQ$FN@E?dPnorv7$vmBMTva%l+{F#k-|B1$NA-j6Xr*{D*PnQ0ls>e53R%Jce z8gplRon1%yf(2xJVtH-`4a1AVa)@@XkwEOfLf*ZW7Ux~qQTx6KVaP-6Eqr^cy$E@q z-}HWTwh(irW?Ts6ozzz;TkT7?s_N)p{aiTZ?Y=i%TJ1xH9x0*I&PSr@8zSD!Zxv?e zBxpPBVVx$3^yh!_Aq8#NcJ8Y-^MN!hf>B9u-ncb^|%F+8~kZ(?@Gbm84px<=mKjBx+uB&Fe z`P#5#rE%~3m2=X5<$bJzWj8!n*`*`I?KJ)lWav>^pCvm!nWn*ls9y33BhDiQE^{3-p+TLV@!PJpuV` zA6u=)>ORlHVpzLza$1p*;`cm``{Fn$-b9lX_@s}0tMO9qpCX2*|31pB;Y(=>d-j;~ zAn?&k3J3mwucAu^AnwrTyA7|G{R|ii*N%?B+^?sYuKrQC#5Rt6qejTj9(~~O3*6_q zM_6m?AQbYcpyU6l1|u33J~5sgO)8_6ZwS?yWl~mTmZBvt#_4!f5c{CRno|C%ka+dE zyukw2)b0*nr`p@~hTOWsqN3S5>wioN{Jh*BsF5{!#u2qKD2ddgBwqWD*5@v{?m^8D zcJ@2yU*YZFIP((n7*&IJ=@}1y5;yis`=|P6tXV?pn^dpj169pH4!?6xFh{?#>}`K2 zif5+^Dl-Va)9&qilBA{UWBGu=y57Z-<=!s1L~>7)l1r&`|GsknVN$2|Gt*(488lMF zBd5iE-lw#)nGOJ6$VVE_w>Z3nfD_*DkTSu%cx- zoyx-z`Qu1Rcb78gCaq;4L#~2uhrIMiCid4DXMueEot#5#0e_~owHZ#qze%Ng6&_re z8dPha?h);CPhA#g`J=@I)glu3QBFPkq2Gr-dFk8SNrUx!{+~!b!?#D(6dHZbc21mH zNhj9%jb1M&8OZ|{o<*Lcz1I@Mb00UuHlG%kTSDOJ3)739mb9<~#5J_mY{+#SoSvRp z-18jv>SXO$8GB>Ih0J*VIH|vFGBw9bKS^S*U~uZW{*t4+*%JdYxq1`hmxm=c<@*ay z+r)y+mxszC<#6L-wFanmfkkgq23eu?b~|ky=xbHLXmZ~3O_8Kwl%1T%0OcLqhpXwd zi4@Bw6N626kQW|lUH^s2y!fM|E{;^5jJ9`TJT7PGAK+fU8DQ^Gpt$+~Pc(cgbH3eC+}zYqakGU07LiACRSrU{fV@d**JsI=>wHS&#gk&TH4J%W?ld$D zvB9f718V7?0x~kdWhB9;4=IM`w(=HLPGtq$oPR7x-FxV0;;|9<`4vo%hlZF==Lun1 z^tMZJdu|){xYp4-fGP2nzO9rIt+;9GPo?PI3s8t3TW6)QZ__7khvy0*=lW!utVR&g z%&f)Sx{j1xBR)^si@=c`Z3d4lT_4)-@z`^hZ3~dPcT4u(R`{D-&l-ePPMMck=qfj( z$)iZE(E(-ZoR`KwiY#;yVMa{{*m)F})76WXBwccy$PG|FrZPdB%vq?Up|F=j4%x8}Nw-@oW zyT-%$>5cQ=Otrtc_BFC&w|9Qt33{?1GCgQFGdv@zCe4y!GZUkwb)fW4oDZlgcg`QjL z8UKE1Mqyg3B`UV-FaD<-Y50_GIPPTR&7$?*-JS%SUmaKDtBrISEO9{(Q6~#g3`)PYk)6F|5;PR{Q1j{qj;j~w3HjJh&_Mk|B#`+LWnIH3W!Gn-u|QM$-3czLE~oRS4f z{nbI6;6(>CqO;;=WZ2IWas#;Ex06za3-u~6qOEy4z;7S-iV}zg-Z=w3kUEhhwzo|O z2=C)k7dUD=q>2Dlg)5< zU*-4Mi>%#at$yolkSS|r(;eWfDM3mxt_4RGpe#Yf}EO(PK2bTxLdi-`Yo3uc~%j;z%mE#WfZgtLs1hBYWlTu1L ziE795Z`Jcf-*eN~nDG&4YMrTAY{Aw`0fiS~zZ$s;PE#{8bRnV_(Q5TU6BavDI5wOX zUk9}I3-BWFkUnSyf$(U5m9|IFxa1ECYW)BjPFU_cp~nqL?pkE&+W8!c2Ti;tCVqAD z8qqfQ2t8VN6N81TX>=`K)cu2_kQl4?1>~#e_X!CP*8_s+`ry@CYRoZx{8ka21J2w9 zNSCj138oF7D5qw%2a4Y1$3ZQ_!qVM>tLk9U6507Y=@cJ-pDGTnTv};Dsi8e(N5j|+ z&i`|004>IcWJ3+Qw+>&VBJh)uvPDio6!;g$>z+(_ajta)3cnf-dO?X7?-2Y8<*+A> zS^iErg6S1MRO~DR#A!ah6xqssh@4kWh^qH?9~6MUsL7vWn8;MrbHZj511dEbL&&GsAOX?mbX z+t`X`=%KiO;{l+PdH5Or;2kVu^=szI=9d=6n;>k5LANGN3!s=6Ce1Ov7~`d%t#PV} z!cZ{z)>59KP}JMp({T8~2d%s-ypFJD!B_!e=ZuiGRa@$56hvg|^zVQ(kpQ})&in*C zb?aB(P|~J#S7s?RBC-vud36a7Bg~*JlQksCK57LOMc@MhuROE=I)5%n`BT#UAZ1&n zr_Q8~KmJ0VXFJ46;;(19*BERHYKij?)ufj5x%v=l(L_}H6Kh=O`kmFjPF|q%eNot# zqAbmi;(Jib%M}xBN=50AK7CGUk8LFJDw-dlQS?4-_U@TC(;P#p;G3eCHNRMn#(XzZ zd>mHyuj*vZ+IWseFxGIHR_=#Ea*R74x0%q|L?{+x+s}U);4nG@!(9o1Fbb@w;mzTj zJFc;sx2Wz;m#LqntsiHssJ~}uLj7lXgLH?a$eEzwG?&UD0@N&F-e*cW#4-ksZHEr6 zfj>L1o;6CGc1P+m07AqX_CQt#>1h;$w^>xmR zq2_tapu6ihc{3aXedUbuKnCjRrdwbZ#$RGvvojvik7YX~70y!J4RRs+{{=v3KLD); zFvfpkvuc>^6RhC(%1Fw_V^ zAv+1tuq;eaT)HmHx6Y)^J<-!fS9~BXB7| zab{<20H&QE2X40Dgle0o{9_5xNTgRrRY0W|DyK00OActJ{5VU~*OLKB8uJ{!G0)(Z zf+RpF$j`Ok!YVA_VFS~iX3`;&^`ox~%h?g<0&UFU=;m_YD}@BnirW>B&+u}og2w+s zMqb^9U!Yl@S?<1Sei6FNt+Kvcx7xRde6;`GZ!74jjG;vhROmlBhLM?M3{Af1Wd-PS z?9NtfG)&If(h1bDF|YL~jIZ};FgN_tRj5-P@UET7Sbk(^RU)MG`{r^;&0bSj*#1JP z`SIF+Fmaq4w~v~_d9#~05NpD6=H)|;`vo@mD|)GHcMwv4&JR=A`JEIY^Y9iE>vaNr z%S!n629iI#Jh-g6V4_Ky&I;{Z86vuAXLILso{WlNM>mf?RZ=Hr({466w!u>rhGo083HQ~F6AfYJVb9XwKl4Vn=W2$lml0wgHI%v zA}@n17YFN&|FGx_Zz9R!bX`>prWW)p4uftVMq(!1K77Y^`F?26?2pYgPcjlSRo$fw zs5~n_Pd36RJe29U?0Dqkf8^D(z+NQL&zt~q5<1RQCGrp$Bnt+M7!MN z5DF~AGlWEp!8%A@?E>G|jwjr5t_m*OxmvHBzXUx?5xsjB;N8IR&SIW>k>-b*K}M)8 zxCJxE9eDzLgUdOB_ZAuD$7weA%2QzoAx$QvAX{uNd&_0AzqAnY9wisZ5u|m6Nn;za zzi|#n|5q!;45ob;lqsSP4rOiuW3efipue}}+=bl344HzhaZ!Oj68`syDoV$m;qV>q zJEVN2K4OpMzCrTdkpeRSrn$Vs)DwF#sOA69=quW}a$={C#Gc%&)_EvCAs9?{MjO$& zp0jZikBEbHs0B(mxEd)&2=DL7`i?4z-wIpH9m%$%}^J zEACz7I7Tx}wZB+8rK#U}+P*|h&1`#0iXgd;>xe2Zs%rr>6ZC+VpWI~q&Z61R+6o>c zHepr!DOEMZ6m=SfG~y=P_S6N*CZbc+lkjZ>S?F};9Ip0Kgk-)Q+>Km6SWMZ&1B`VGSp=>#g+{fybO@ZS@_NYEQ-(|{(gtqoA(aU1G3N5XM3PV(r8QBQ^rc7AM5jn zNRF?Dh3)JNPw|)U`+`ROiaG(Hp(&{O6r2(RQ7nd*mOzzHQ$9X{qZ1loRyD8hHw~-s_v`!^ccW1(tK_CC9c zeN_X?GAN?J`d%uJ`-%`)R-2`g?$E4%{5O7e%AU$U%CTBDgrJVw+kUZ<_;4BZuY|yD z^Zky~>0%Qv;&H5aNN3sRALo3Zg`SecF9ut{s1k@i*@_q80}E!^VnXy`HIw8)=y>XN zxSxKke9oTIVw73Idx@{IhWcdAK$GTU|Gcg>U_tbr{3LGr{Tu>y!UwPTZgtM462k*- z@8br*Rf+u{g@}g#f}S-gs3XP$ZTVn|j=*>%?ddO7J`PJ_q{pL%y(ZvC7-gpV$&1Ug zk1vla<3HYH%Np2{{?aKz?$vwN!h%2gCNi*PKT_nl<$e6>9?LZbpJ8(B5(izS*ry6K zR+|Eey?21arMy?irB`T1zmCi6Y2L@p=AWV7CQ7bozQMh^3;0^aU9WWv+sslM;e4qTOaYLL> zn=M+ycxj~H7)gYBJ4AiX&?bQFxzVDcMRzS z%x4v7DxQN$0(SDg9Ww|~$1P@@qVU}ZQn5&OtJ z^?05cuw}OT{8F<-A7^<6i!`U--gb>8?1XsCqPOXYe|{+%h+it#>ErfK0Pj*f*%ObZZ z_w1MFB+82reCSP_$XB1s1^B|-m*e~*sw&FZfPhcGKw2>#SUUqNQVg#0RQ)M}smLpt z$SJJ3=9sE(y2(@&qEV{eOxt;A33E`2YpO^lbwWq-tbnM%2RavQnYuV_HoWmG{G(ou z$h5HTtDxUj^_a*^Jko&})S2hG7e`r)R{Vg=JOZ(BRsVHH&|Ri^U$4Ui!mgCR^l85X zkH(+u#l&Pe5^J)~O^u^4Nxx6FYg@oD%b?EFd-8mDnG$x9s*yiTpVN&!Fjf71;&x3! zU-*-b=>A7R?G>28#1mk{ED?F-)HkTkk;Fbizcf2rMn3ObAa-G$ zJ4E^bO`kRQ2|CngnuGU3i|CbGAJAghuJdM@(UZ*=Dtxixq}i)F2J_^kzex=*6uLHE zR?|K9#U$~0W;1`o1LEATGSLO3+%)cbW{jXFAH+*%9p+m{RMUM?3p zz3DN*{|~a0SvPU7v;KL5wy*!BcNeBM+LM+0tUCCzU6M25qc*-oK}V{a!%pQyHPr06 z5CP@2vU#%S-EXw6A+hX>)ehAJFq?fQLFv%Q(Sptf9hx|n9-)=RcbeCsHBs#%f$Lms zt`+qV>wK_0L+SSCeOZ_7{DPUBTFwP=^QPdv8Ud#D{tJtIGR?~2F51q_WO^u|sY(6) zOfbU}oR#K>2a9u>%buNV2ZX0Cve+aW%5+&Dn; z_Cj%f>=v#En(KSt)g9h4INVBEt+m|uIo)YZG&y1g~m@S#09}4TA^c*TG1roDVl+4W2 zA||i(MIOl0^s!q?gDNi-$%WLulB;gN^t2>EBb@MjBSS^!INExcX zx$Bmnw7lFkK)EJx&=w{@xM8jbgHw-1|m?Xh!l2OV=cgoHQg2Y}Ej zp{b`)u`16mcr9K4u8=X4Sr;KykfBA6tIcLM#J`ZYIzaH}PVT4hM&|tBsuS%v*#;ZH z{^(gEu|Xd#IWiE*QqivrT=q&i@{JSafq-VdmSrL~E{*!D~Pei}sKi=vMK9Zn37~ zKB@^Me0+eIDlz`oCj6dRWr;DMNgpoy%~N&oB!d%T4bRG-4dC5xqkDfvvsp8bj#oHH z@TByEreNCXb~nc_PoA8lrjC9r-%Kwe!8aCI2WgR@Gsj&{%Jl18WXgbcv-v@s4qm0| z(0C5_D%6E9xL_-sI>KyAI6$N2ng|r~PRH-_U|=)&a)DepxbS9&-DiFuuqo+GThV}1 zk#TH4%T{UJv%{`{<=RylA5JB}pT8jsMoV_sLTjLmqx)IURDJ0#RANztpHTCTWbr8k zCmev&ZYFNUR@x2b?91~6Gav%I@692`?sPDQ+a<=D{--ewx)M){b$-y%I!mA)TOX@oKK#*u*HBC_uexmx#6ss+O5?A;qYD-IR79YD?a zj*_1823>*tJIS7}a`?d6j_5Eok^LM>GJe|S)Udg*jWDgv98`jx^D%`4JY_S)*E)>& zn`Uq5TLCB*j|}8(!0)>u4aba6213nx(KH1xPCe*PypXBqHi)?n^jbi3L00qJ_w{4Z zHENk&0Zovqb?=!6j5Q7P=skD_hH6wJh2tf&hhT7t^{|ggP$X+QiERj~6@f=`q~3uyJb}BDLupk|jijx@t1-U~t09uO zEka8fK(+=o+=drFA@XYxX$7w(j2GC}Q{U5FWbx0f1yE#l`4%kS#dI;ZLGzR2hC1WD zeiUZ%!ijw(906edrgwNTFiui-NBH)X&9|0e+H!k>4viCN{ri=DQi%JmqVK zKW?P7CcTJJs!(5y0 zfM*e_>qr{-f+Agx4sQI^f^MB>kDj9oo4k=uefn$lj)a2UqwIBU`}-q6j>F;ekFV!v zi?{<&+Zr0o<97iR=hp7_td zc|&Kq1LgNKWy63t)|1~?moF?HU)DjXZMF!^`Fa8BDbO;mg&%SlV|icI(3hQad#-sQ z?T5?ngE)rm?g2ZY>c7J$^d_+)F8ipP=Z}|6O_b0_tG7a01HL@D8-D?!Rsm>Lu>7*M zQss|OIN7>!)Xs!y?f7XG+L`YH3HSg^578b%ST#)fI~3U7QrK#bLuuqvcBMF2ck17} zu1E4i-zqwXYieJvl)QxShckkz9+vs4@BboKX%XQz&9|xpyy$)uN;~VGsF0%d`iO~i z8hnP1Y_KEIga)nuLrG1;dC%L;1(>#mg^HOE30Y_<*-bOa0rg{M&^Qu(W7nxbGW_1G zxDrS3uGi#xvz{nb@NgU8y6?ELv?9s%uaRm05%nsjEmn)?4}r1Yt(gBGTfwr(EIs^| zOa}d7B>cm_qRL_@g>qD*GG&8;PJZ+gL-fLdT_-}&e<>MuT@$3h-J)ekp6NO{#9J*@t*kF2b_a`lJIbsfs~vW} zRKe=BO6V0Tf~QHW+KfaHAfizNHRjfB^i_Lm1@4LJV?cJ!0&15n1sWbAIPS-4QTGO|W(~KGlI!DwcnaELG5l9yQ(l`8sw=MDQDaR} z-rU9nVeKnbj#HiQ@t6rx&Jdc--B}@gbt39opL_deTKHb{HHY*FB^M1Bt)>X9zZl(D z>O4f#(&Ndi4_j3hOq?1zmmk3&Ko$lk-&yt{MM&N%zs6tsYgpH!9G`ptwQNh2SK`g#4;P(N?+WRTNNe`wHLZ)#@ zje$YK3+ubVywO|DKTaeG!jOKc?9k-MllG4HTSi=>R)Z%YN`YLl`4KERCrG}uG4bgZ z6*9j)L4{bPf71rnT&qit7j@z(PGFCm`m~;GFQigX1LjzSK%@t~sENt%nvu6%?&-mr zczS{U+n|QJ|GiBlJei1}L_Fy7*O5TJspS^_ny8UV?5Xrd3^gvzP3EGE`kV`x=E>eT zzK%TXm4S~eG)(mGWwr`6&%&N z9JcM5@{5VvRaMQ>KGyLY>8fk*>NTl0aweOIe2^Ubj&^P41IS?&zYkZO>$v;Q79PlX zwdMxAaXaVNY7BW;(z(qh5)+do{rQ7$2vqiVODO-_xxagMwk&Ft4HqH%GZX{z^2at) zFj`uBwL=Q4=gM}Qlh3ENm6)H7-|OOLdK)Bpp`Q}&wyRn^>g-bnK{u;0{5ADTwOjNZ zgGGs-CL>$=_`_q>jT*=oxc|^}qZnu#L52&i%6yu!kLVVrH;Uo{1XLH^SQH8}{dV_y zt2Zm0h^R^F(WtZRYS!=U_!OBWs;)J1-p(QS*JMTzuypLSobx~f9H2>YwKeM(6&eyw zib64`o18_qcw+B>VN`kuvwf$C;}n#l@&fUc?+~NgV8y0UMu>p*x2$0-XCr<~&C9v! z;+uz8D2pOD_MlX}M@+V|7?w{$z$2#6PT7IpDon3OSHQ1*rsT)OCBMY8RFu2hJ?5FD z9PEFTw5Df{z%9#Ed5h6%X*F^n_Sf6xy_a1I(Tv}zbi-6AF3xM4s&+y}&pVC-q>uVfcW>w!rt|jA^ zp}dpW?7`)w-OAMgN{}0mqBZHhU;bh91^?&6UiDK~k##scWI&hL#(-2vt6Kaa`qnh0!s)fK>astyg;7|d>12zL?%=1*wb5b4P@b@7k7p-V|S0$Cb^L3c2*T0~#z;|AVx+EBMiD7hD}>Fe;$ z=HBNi#eDc@0;O2;WRgOz=F{@;PeDqDrCY;3F0hlEsh!E91(rA956`w!<|UGI`2d4j z&yUBg7|&a+MNK(Q2S1~4P8#=>t#ZPZonDK@X_BapgV~GB>cU#sPf<(!2VTEUmrnUr zv-@tv<%id!9!@ID=O=hZ$-3fFA`WdL_v~CMj-Xr@8+IMs;!7l-1~})XCf&IUPBQWm zw=Mv52Mr)K0|VJ`TRqvB{2_hScIvwa&A4xmyneXM$KYWYg)Z?6@WZogc*N$C>;WP$ zq&;01*IN}1Mx0gGuJX5W?0Qd!w^LN$6UTMQG!q>V?+N;OfA`+B)3S%djsov@c)bPM zb;Zf%r*l;7Do?hMpE-vCOnL6(fZy0&aA=&oG2d_{-DR*HXi@ia!Fk@m1_AF+t^Fm5 z7LTgK%OFRf@@ZWFJSZhlka4qO)lPOr)t8=eQ5CWCK_&T2S<->_z)e*u`RzLPgX((o zo$$WI#QsSQ@*c|H@;78t z+^m^?#5xTB`UfHDwMGt&UCPh{xv!KGpRKXYT0HBxZWYEyNtYGDSJq(z5r*pRU+}7w zmVsM~UyL(J4|#|UnHis+j-$jfWb##WW zta$pWkF)}sBJk%%yn)dKbKy>Rvex#2^iDp&1$Aw7vggGX|JGk}jCslmo^_B-DdvZN z=CvlqP0l``I09KRoKpL@>|3ZEK9u2YkVoMh6a>;p*)$AYjFm0yAR5s3*{pZa!Eb@@ zMT!yI$_7zcsSXyiFErgj`10Zno}9wNi!|<^Lf6$I zV10UkLE3?4#0%Z);-r_9mpWujrHeP5ska+0nGw8w`9}ljSo~!^(0Pv`JLy`MC0`6Q0aTM52`#`TyYteG~PZp8}=HLE*g0`MT6kRfo!Tg z)V5PEQ{YcsOar|jj;(5;ruzb`IzSkCp>Z)c`dh_^4Mj#WQrBjBo?#z2aZ8V<&03Xm zGkpVn2QbijK@*M^3(=tAYDX{KLM;{1MpoFoJXpnSwns}xU!X9-x22d(Fy>(z$i1S4 zB#NLG4o}X1KPO@XbV9=D6Mf~6_SzZGJ2I&illNCkml7KyX;F z9|L~TNDZSE_T`!Q1Kta8p;Ue*z4f;R8omWJ&W0D&NT0ZWA~6mqg9TGNj{(W>yWRPx z4+*1T7wt0Mi6ItFm!Hb`lmnGSH`aYm?qSP6JZ10VXp&}{tWCaiM7q0vB1GcE zENO^dFP65_aK;>;@nw*~tv9D^z$nR`4Yk1HK@KI9I^_{o9j5t>_mu0BW1-^sS^mK_ zedXAC3`drzwL+EnT%CKWE*A8Jp+z|o#~WKU5>vu-pA{C`Ou7R%xN3=ZQNL!^K6g%G zcy#lhn%GszX$0O)@3_~sr}$UlQ5Pv@a8LC`9&x#APh%{VNWlj_5pcyThC_qNi9&oJ zqi`5*Gb`NGsu6D(7}_Hb9C^x_LDU#f;gf(a?nq6e7_JgyrDc62{y;{%8c6|9C#GDQ zx$M7OHq&p=<~$K?l0-H?M7j@rXY*2H3SJ_e(2R{{$M4x%_AyoX!(nRPzRezOjQE-E z@4{=Y;fFe2e_#RrU4Wdi_BFe2z&=wR+ZfAMMz&4ZTdmj=hBS*f01b#mg>6I9R;$7Y zk|cvM2^$eWZe)e32MG!zvO`UKv`%9<Eob34k-74lhkq&@_%}qmTDXSUdS3S*E&# zY%d1Z6Qe*Ya=mehvUSCD^kj3N*zfs1ubw;r4r$tF2g7pis^U+m{?JWsgeLo%{Z61y zy#O8VYy_utrEyR1hUcW;&FKPKObHVAx}emtlc#?cDQiF!0neU* zL<@XZJ(U|Q+z)+ktOZ1QUHLK>5Q=&P;|=G*FI&P_J%4{c<*Teg{Cr^Bbxar*QX(|n zRU-Vk0ou5u;ut&y)>dgCaq=YgH)LG$Yb@w*R0892dS0rgdeWI*1BMxubEw#7{?`=O zrl&$ZKVqgx2h-rptX5_Dfp$r@Kfr%zS+-GozUYCP8;rGRC%*^!`*NiZfa?%G421GZ zo31UgD;))KuftN@g(eOPhH9OqhFZe^~R4#g11=F zBd+=C*A#mMPiBo}r4}S;dPC!TRRpxM+7K&ole6aVBxGNyS0jkS?<)9NYaf5K1BapL zMp3)xW&CFr@B)XuH&D2n=Fo(vz378VAN;n|`5f|DgDlhfI_kx7tX=}fEo=Sy$x}ebHU_j1)gIfYi61riAjlOt0w#+1*kC;d|ay6 z?Ezg}IR*bW-hZjOpg?pwn+e+n@&KcKtda$ux3Z8|*!>2*3|$NG;!Xc^%7$Mc=l{9> z!*oqF_-S{%B;u!RY0{*i2Dl>?Qm&03C*SHUt>Hg;*bOhHBB^&J?EBhsOzjbvabY+9 z>6nc4;wptgj~&HINE|7Wa-kk#6@)^=9atHNA(-MzA0cNen(n0ePJfuG6E3Dq%G{lz^s>@6qdXcrDsbBViHW0It^2gMP zb^XHBI2NNxljw`~;#sx+Od{L~orwRk@5@^pN6Z(-BtrW*x^;-g(kPsLi2 zOF-QXv5R4VzL`d^7GSWQcvldAW&txxJf_s!mQv+7OaT>(LIPdR9$Zr?U!SJ6k$ZBD zq|-c@+apNx5=cq2R_m{3rCI^(5k-()^Huq|*Lcy7P?PEdUkN1Zdqndp)XIR{@%^LDdPG>Ax!jd*Km(_%rj2Hmz|0% zz`9(QXpFJnhG-ACqB#tK&%HQ75{J>B)EJzc7*6&e)S>(|YX`-pUXL|g&nCSX+GaIQ z^gfK!6~nFu>)anP{2o_UlCq^+r|?&!2H=(<>Z{$Upy|zIM(kNgr0y0k0-4GCWSobr zXv^!-ouPW3|2Qbo9P=7hLC5{|pHJ8?aK2q{CzfG`A9f@^qscrCCvOsBk&&P${toU( zR|!7mv;z@m)aM_mm7wwmK{EB2&}{$2=!#EzVEC!c;56)CRu79ow#nTBSiGI&dYkaN zB3oAwn0tlN=IVi3R>oEN=`S^kg)^kUItwDGk7f0Q6v6X0PImO~Ri*}xK+VHgH0=zn zIQ<1Q5By@PoF7pSe~Ev^cWFrH$pP;yhqv<9`5W$x^&}i1!Zat*IQ6GcXkr+DR2blQ zF}&B$+DrNn&05q5qJxdh+$td0MZv-(KhH2$_r45qI%oz!{D-ZglaJAn|F4}Z|AvD9 z{?>#-$dbL%v?2TQFheRNq>@LLi4yW?lx>C?Gj>JRkStS{lzm@H#*!suEM>9|!x)dT zk1@k+-}(F*-*cW{-{-u4c)!oR_q>*SZvbZUDem(((UPv1Z)e-yV9Yu7!*2Zv&I^E9 za#7;OI4U?{C z4HzsQ0zBO~aIMx6Nuch_&V$zS=QpUQzj+C` z=oDKPs`~my@W-B_qf$Et*EpMk40@1gzeg%|1A#s+ zLq*mI!4ce3S+vj*?FO|v`@PsrY3a4@h=Y|E7)D3i zzQm+|%qE2y^(R0iT}6SoG0o%9ugz~~ihlNZcy#4#;dfwh2`(zGICSd$z+ZXzg}*gy zdkCpK>uSHW?T;!E(3?9X8?S7N=O(3HzWL}*Ti=aChf5}Jko<~!XbEIvKj(ZWSe%3{ zw*S#x9%3-<*oB?#PcArhb0FIi3+?m!qTyy{}|2R^{sr zaS=QUzKa*YYu6A51qT0u_3GhmXse@F01nk#STym+#U2x7Q%Ap7U2XTvmeah_lPk&R z-LO*ZDne6=vwwFV&AfUkEn!DQ$(x=W_%y{iC!7H$1mSrJsjp`O;IBz?O~MjDX!s#=*KJOqv`ruyr)~XCKW#(qC&U=WeTZYr+$#h zBP6P6Z0y)f)_^-()s&@=G#aJEnKH9WA>W5@b*qsM4|{1Qg4L|3=Tw8)RL%)55fsRQ zokT8tz5T|f#nRZwfhw}Stk)?UXx&eh-3SyC>u?BTf?`@<=54sp3 z!L2R8*aBf3W3x5e9N2R^)yFAg7|==dKs5xupBEM|wRk9I#GZMKemxv#urx*s=fciW zwkUnW6-7oAVo{T-NTtepY>@OS)FT%W&JoOK>F~DS)!tE-en{y-?mOw+#qxH7gj>bH z=S@J&K?bH-97kT+<3da`)HkyC#Ri8g#7kLJpX4h4U@=WSa@p_h)kWI_`?Kc)$h;&@Wr%47*>s_)G8& zadf8#c%dVMA==0~n9;ckPQGR0mhfPnT(am8(E+(?7{Dpz!Jn#k<5Qky>(mq}hpdB* z>^+e~?*g!bEJmRDpKBh22Uf_AlC0l0H<`k#lqA*S9@*WuBvjuMqfvu~)B>z`iuqpz zf5xyN`fzk9rdopU!MpP094U*KpfJ7RBl00J#6I<04pc-P}|ri&xiK)$q59={}NM#{JO+vC5cvgZEF`5>3z6k+5 z!enxFE?k<^*l!o4kJom5c;Y36tXz9a5_o&5!xpqw#Xm#nkY?(Uzkz%6~ zZc;xVv1{Oa>qf!8Paj&}3^508_WLmbgRg`pu+< z`h4bF*e6@@@hX0dZ3WUqj2H}6Em2R3)pmM`{TdBq2}ScQQe zh{p)ON#pfg)Bn-36|aS#Jox3U`Zcx}vu$Pq$GVP;#Px95QR*A!7Yr24F{6_Aw|Awq}K19@qI_-U7{`8s6e|r zx7{Qc=#Wf=Y*eX5ZQf>$cPB;60Zfza$LW4L!_B1LCfJZLaxdat5R^V^Agg^s!89Mg zGPx6rA@6e@Mr_JX9zNrf2iY!FN!)~Hv`o?z2khlAT18a%Q-P6>lJ_hT_-jgwPJ46M zAqV9Lc%e~nENg22b)t)IAA@}Ez9vqC?vGRAB13qmXL-WE zxwHr`r`I_fCrMBgck?~xG4tUvy?rrna1@h0C#{yFUF5AFc zYXCSP-kMn@YTMOxMJ0j`*f%L8Y>6RiZUw)9k9{8q+K!RXD&3;Bfs^Ho8Lw1fv)= z(MGkf8_FlF_WJ>(W^;FdH|vH(_fB@FLxL$BbSU4O7YsEO!n-(jjSUFwXfN7Ec!)5Q zqRxDOiRCudzpwL=5IPFt3CeBwhiUWRZ>GzrbLOws_m|xoNX*;)>K{0ePAaRe6T%OF z$%XuUaC<=jqR+CgPO1{kL&#|A2^`S5%vB+S+yx6#oFlKBkh;ZY_&6sO8PiJWu3qkhYGP_3m-@oZs2cHdxm^y6N-~#XClwAHn^$M z&#?O7+Yto~vBR5p$bc7^&x|?!oU7@AhA3wkww(h8s z9ul7xZ%1r&@%-SyrPc7tEYOA)dp^H0CqGy&MxG;k|1d+06otPwcePw;Cy6`bnUB-0 z{cJ26Y+%9KnPjIl41oAdeXhG3MH=5%GR@-LQcox*FgMIcNz~F+nvIINLbZ!1VwcDg z3}`FQGAzY@(KbrC{o+o|H}gjwl>r0u+a8bIZCy*A?+(C$anpCww`#kC7i;C{#aoQf z=H0eaX81yWQ|=gG#iH>eWG;lvNKCy4dist24;*X?niJdST7Pzz$NXhhn*54vDA;J4_$K>IHdxd z1a&$tXMs!-eSimXPg-Ny=TkXEz|>Y=z|zivorxW|x-_S!rO_6~7SHDyr%UqgnT5j!=tC7?sxg-+^MUL!MnN+6<+Whb0f1mSTJP%?rEV2I2Eux4y~azb+Y_m=!4PH28hh z&?0I2>^+G$4~L#QR)}1+mV7Sn*cNy~<3XH-z>#OCD}pxZE5<*s$&SoMU=K!&@n)o9 zfAxr$XbGKOd}!wlvFKyuzD|@(!{?ru-Ta5?XA=15cZ^OG%g+G+SWTb1+8YhkVHFW;4S3&C250y9ibjKzZ#eV~AzUKQ8=!sEL)OETO;u%89d!yWZBNm}1lx zn!!N5r6!!Pnzo2EPO;=(bUWmMtj=3Zi3gchuRYiPsjs7rMqkhPuYCf)SyT&veh*pZY429G33aS!sIg6AmQ@+|dh&k#4BJgm6TE2#r>bz9>L3XM$&nD;O&69Tqf5>0C zg@CDa)2ED8qizIvW8lPz->YWk%#-}28=p@*Ug6HRx#lJ8KZKYTYWt#IMm~0#W(Ix< zDMC8NeIC3~e{5GT8QhRBhcB+fug>sCYll32DKW9g$i5Qyz6_2N{836Ml0(q>rrwT=@>x_sG$ha!{=G;KpU zuOBPYkqd{sklA{NV z-hi>O?a%l3|Nr0nym#JxpL5TR6Zf2ZE;AV!Sp_}$)!X;#etZRu9ejLUygd0NrNtz~ zB>5iuySUr)Nl8obNyv#yN{LHJNJ{e=I`{;**g5dMw6$~NljJje#%JR2mQT~(#qX-- zcQ(o^GGt^(gZ}|CMe6?xd5!)r3?koqLz5#YJKc_Vo+yaFE->_mVj@(W-0W5-h3RK2 z)VwaYwe5xDZ>234UdBDImw;NP8(UcZm3 zQ|jYACF2;Y-~Ievm%9UAMDN|Lj&=eLE*K0e_fy+C2-{K!y=b~UFInL@TfOv=n^yFx zqN6ivFSC^R$s=z68A7hi(2>3;4X4CvR*+O+&a^gAH*oj#-Z!78RAMDlEa}(8O1{>F z|JCJK@9};khWQ)&!~^YrvX|CyEKNbWCvnc4*5~CurxkI&&91M-VBr!fExxY8;>rsC+2KQ4Y60@Q+{wa{CMa{_@{ao z+UyA8xwR@=nWXf6$T*8UB9?Rc8T;vBvY%V`Em{(AQuHoHtMqh3{>ue2;BLw~-0 zd)yE{A(9v)<-V=MeB9k#%JgfnU-x_w;G38JYB`WI?Po4)1b7Uq~Pd7xwC zQ!zQeuKiHZL&#(3mxz0pXZ_>57n#qTw7%>!DciYiJ?;4=$cmnF5m{hzYSmKJqYd)< z-9WiWBbq)Ks<9jh!Go5X&2x-gYkgJ@kFDse7j zdfgL9r!yY&i3l*^$O-1}TQTzENl*iHKBRT>;j-NoXa8jp$Y<->kWX_n+rqF*|C5a( zZNrXQ*JAJrk4})@3)=1^b-gYR<e_T_z!qR_9~|8ED#k zZ+apkKZu{3cGb8(yKZ1P@U&5b=4My$`P4JW71>l>!PXmi?s)5#7Z2rMhd49|O2Z0Y z+BAcfB`0S`98=1N=fXQk5()t{u5zJjswOEqXqua1lch#8w5ztc8~h{YjNg9zH8`F% zdPc`8EHgJb`OR@}>(~7HOA7>FW_5GC%-`GW{?5jdT+5DyQLdxiP1SgR1N&`GguRiQ z3+1xQuwQwcw>`vS_)c?m8qnC{>DT1M;?!uK7yQ5SGw1HG`Lj*Ner!wW$h;H0^fCf8 zPZr-BODnQX9XVb?F+=a?!x9p2@KUeHL&ng@Qtpm8ys3GG z+Jp0RnQx-`H9@UIpJ=><1Z~50H-@Hif0`~BElc#dweoD4BpKJlKdOm*{r1_&L!7Hv z6WMY$w_xt1-cbFM_$cSw&$IoCWbHgfY(MB&1b%F4@k8oR0+;BAzBCVqo6RO#i@4mM zzCO|s`tOsolh{#AZHR)DbX}0Sw&i^F)VQ3e#M36B$DB!bI|A+o3+C1=It=hPa?d5( zYk$9a7yjh&++=f&0ouQgdBXibL%H2BPO>-F>;0ov&tDGT7t|i=;#%)jn6s*6t{FaV z8Y?$?c%Ls&hRIC0K{OKOC>k^KV~)3a+Q4(of9OG9TqE+8_qB#?kJ$$Sy=ld@1~RFE ziYMZ>3>C)Fj;xd%vSFOy4B=;=>+-IrGT+<$QqQ4k+oJK%?^p4(MAz=acqV}ll-|H% zZuuH|+=Q_eAhgpzjVo8y^~cN&vVo~x4&xu}GjQdm55|H1r9_kW!Gv3G`GR>Z;V6A@m{bEmW?b1n0yIC5on8%1#w6eEphGcHB>>hj+HWxp9 zg^J7D`UTM3es18~kXx*&X=<)ur@a1rSI+o}UUJQR-~*2HMbXyuAGrnYMk?ts%%fw2 znXFdgsOLlYrkO6U%a>+!Z*NEY3#ZkxF4$c&m>B(M)yBB4@I2#fhzU*hi&?Klr5SdA zvH(O)BC6VHf%7KSyB|B{`t!%`{kvypds8+0phA^QZ$x@tKs<&!S&`lC%O6>GFOK_` zwH&@pPI2W^>cK_6|Vu~9L3}@z0=aiH7k}nS zyEI7G^SXCS-2VLQM}YmE@((Spo@Yiwd8W*#^Hn;=&|b>50F!g4>bwtzy!H#Fr^@Dw z0zGnqg8WSmZa-wkcI&ylP6L7#cowvr0{iK?J|&?xvu7il(~6fywKS_}(ECfa_%JS2 zu%U;a38N@e3~Bm>fVG=*wZO-iF?{@w@+n7ImD2k#L}$?}OlbI5&E%(BJB}C)*|v&_ zAUb$UsLN2$*~Y!4jJ>;ljzhYue{+^$n~E^ggXY<4a8MlI2ThE8C|SiH;7vv4smC#7 z+!;nwK|f6_LE66*%g&$4{~n#jQOu5*zA=`c(E9|LO{|@Kd!VSFAX$aS$?T zTkl?(Zv7~E5}rC}uw&ZYWWlX--|l6|zG)e^NtiK$vV)eX|2v09dSoqSnaus$Vd^Cj zbB=N;sWO4%d2cHd^&j_U-HpYxaU&a>kX!Jj)}5G2d-?2ww8chGN0n7{Six9|kicnX zwHzNg?TC4nZkeq^KqTGB!}bS3Pnz2%Z;yv~7#FH%p3q;Is}G}&^+tw9_yd#hFCI~1 zL;@$ZS!J1j&R!EfNwAH(4WA?2#?!0O=1$60GRzC}7{8$@V+gmb9F<}^EOHFf3|~6o zmKx-=|K(hyjb2yc+PJ<=yxZB#6tN3fg?yfTo$dsaWToUgnG*;-@c%L=X=tQW4FRd2 zhsd8~uxy?4E8qOCNq_B`O?v{N;@KR`i|#ih`}d&VPR5>>!61>+FoIB>L2z*W1A8Hi zZ${|Ul^(ut+j{QxXAHw&G!522@~MNXi+VIJ`sOUjd~#QhxrR>TkLeH}+a{kI%mwnf zzlj);YlFTP?3aASFVsIBNuE|xs%m~!jl`G{(okrqow>l5`aO)ptJZ&B>wp_yocM-6 zHehSyt3IQ_f!f=KMMA~JH9P$hoy;tHZ4f1^{dE?+t;oEw)=fTjB(0mV!R|jy>PyLl z0P*0Z7W2Sc(={&pkAB}`qN4rD^ogZcAo}w&MIkRI(@S0F@zMF}I>7=1%iAK^ zAD~viZ%U@m1H7xOF*sUOMOXcUs+w3A?X`v5US&e%{tN`KiF=b~zZsmaOPu90`9E;I z?E7A}A;y{LQ8YEizW9m4S3|@Dz#Y%y_IvKfP|0pB58x+w=e)D+!5Au+WjSJD-mR)8 zw}xaBJ6gr_p7*wnlPTypyn?gtOS@B1IGAA9DCPz?m3Vc`~3ZOew_Jz&OL~u z9r7B5Xw^!Dr5H|h4sw1!y4N$7zKVYC^(Hu&dR9&|SiGTWuV^kyoTRCdyN0UI@T{r6 z5%f;=t+=RLVcaT{ECI|(KMC2s@3d3xAbPuYU;3xn45!DyP_TouzegBbC8vchd#{?3 zeUf%m2&5|gGzQ5i(;g(=X4X5#A+0Fg=U)+DE<;xypus)nTBwz+rEJbUat8Qoc)%%p zzE=A4&5*bKxAY`AUbe1I%PGd(bTMBqmkloF(uOb6ftSD5d9pIJV_!Q@yS}`EYK>@+ zZ5}7+emH=up4Pb^zc>kKCUFQpx08KD*W9@RkQsjfBd=Vrl?Z%`Yrl`B{2RuY zrb~X%Sjbw5URGeeCsSzbuAN;!{zE}hVpS@GgWtpOQCKeN;c%5-OV;3acwDK9Vv60v zz5X(Re6j~0hd1^#*T zp%Dh!Vb!J?;hU`XN$Rc8XL0>CeLP?31Eji@VKjVd_rnD5=w|W+RcAI*_uxb|is9oU z!nhi&;~uxEj*r>HXPT~3&i)7T+3IVMRmz2!3L(F>jIMjfDMFRK$;TTZjq9I!nZC8I zeD*e$-h{wMLzftX5#<8ygjiLNR z4MX|iwbU%cO(2#2D-aF8H8t|)FpSx(zJl+`PW!Xv{UX;N{X)vPIrY{ss+|6Dvju> zQ|sQS7|6ttLxrgcNyOw|)J*Q^Cuo%}3El4V<}zSMC~Qf&_fqHuh^F4fOJlzZ=!rQF z9(hHwuH~Xh`Sxz;Mknt4L>{V{~^ zmD~KOFueH__97U{vvmTld=X5NzAERx2)1V5IvHL3)#}CQ8yrlw@aZLr_=zA#t0nbZ z-7$W+3a3KK^1>>{Zi-~yb`jgR)~83aBtrWKD={Iy4~|Z8l}rR6oZ}sc78??7qP^TA zvd3>|G1D*{`HRv2H28BKNn*y0P^+Ga!x^%n$At^=@^4%Qtg%lnJIsW`|E+m*n zpSPD<^4bAh|KsV`%Q>sT&_{dwR;1Q)SJ0|C{9QS;6loayknkuL=|)pG28RP3am)d4 zgEjg!(<#5TYCnd?)_p$Laapl@3+U2&6MSxP!b2CF?8z?~z5VVD$lApP(E%ackv zNKn;ztkF8*iHpsTvqQ0G%bWa%AoBq{%2w(PE8@iKuf_-d-LzP2TzZv95xzL*Nh28Yd9@4m^$Hr4CE_^O6E zpCV9w*F_6nTp;SSAZ_~}Q;035sNn=zfcjfxyTU!F!6meEkI~xi-XRrnQ(rxxi&RfVQ6 z?jWh;jT5>a{)3AQF2}s*4gQu+Fp7ny#s1(8W0;L;z8nyV?ct>(WR+th^`#r_zrNhA z5)G%lNUx6rcmq``F->~RpyULRoCUe3qz2g|yb9IYp=md;P<;(*7}ehA#BC{E&(O0} zPYo&yde#GKRR9UsMoFitgJ=}3J?V7lj{KuZqQf56r)Y~>6OLLSvh(sNIN3U$A*YX* z>QZRF!}=DMQvq)VS^(CyfgBQixPgLbV8fCu=cLzO9 z&g;T{0rL~tyl-QlCMP$&Bu^^<7!p3YlPnH+gfY=s$h`4?14tc`;1QTENMHc2LFche zsAi9VWEFv`idcju_;wBx@pxJu>y?qCa|$5-hl12$;<0uJ+0Nu`;?Ak~dFLHcC>?}j z8+}LqK4-F08|%Nd*}G=Z7y$W!eL-vGTC4*@50n~v7#c<+O58opBPeEwZd=XXCs-?( zCC2n$(8fdBt-U`Y`>UepJlr>FKNFw)o0lbCUZ9hhIxf7^@&IPT)xn`6W28TMFdqGWif=Ph1r;xPRnsgr#*! zX68b~F{i(8+d$birm+vbtY^cWB8*OK(;42L2=cYROaaGaBSDoSvqi$;2W5NxlLi|F zF}emeOdq@y{z9v|+uB|DfHN-%YEn;nT8;{vbFjmxj;}A&aOykB!Lhauh zN=NVXCin3}EB033;vk1m)W2^1#eY)nvBZL5p4$}bz&n2>1VKx`W7E}QFvU=daciMw zSyRg9Q=7DpkdKfXeR=0*O(rL@l~~&~HwCCg-2@U{9EHA7%ijW|N_@q6;y02}$=K~S zZ;=i>ZvT4iF8eRF!+0Q^ntdX_75n8@usqRRaJc#Rr!Eq+xBl_T#D5bpS0|IZ|+EWDAy~&+_blgt{ z@jnEVK>tJ>-@=Y>`d=rOY-xa`K8;JD3iXwuj7r$@=i zI^%iQ26-5M}*)D z_A%mI4>ypne?&4O29)y8kSYd&b*;k<&9GJmGdAaSqH;-0TLrM^WR3HF=Q2-VxWnmT z=7@Rn*GP&Q!M=mTpUG~o=aOIF1s?1ajF>hd2BfIhyy$THq4;Bzwn;G*X=~e5zGv{#a z-Nj^{a$Ar!&)Z=AmnT*`LGoXxOIOtUvO;IkJKk1ksBV3G%kX^oQZ=e|}v#L*0PxL9vQu~+_dV+jJL+%ne;u>l@4$-V1Ns3R#VYMQD zf~uOUs0-VMJ@t8h5KD)$!~HAg#%vuz-k2P6sRR$aO Jreq|1aZ#{}HWSF^WZx}F zA|CHe46h%f{!xzJ!_b4jEmP?c0D)nUnZB3z8&h9F1lE&IMn7HzD4!+6WFF5ayg|bY zdey*pQXJgbiJV8gmDScVdP~;dy+eh575YS|5irwZNXvL4%5wqq*~&r?=V*;+ z7E=4)scB-LaXgXhhY0r}JTsVZ3k%X8@1N80&4gMTsah{T9!FlJqE*9TpqDuy<8dVT zbVzjDD}UST`lYJ**enjjjl1~R51{)fg$qxOj)bK5i$9o{ZFznSXp@!G*l0d3>2 zwqSb}%$XnbYm757}sJ z=N>?uCj4z^0rXZdh~oG?__dqX=04be?jq64k!$P7tbr4+h<```l^wACr>rB7P979* zLYRy(vb1NRWrXT!TT&XHAb%j8Gx7^(sWWFWP5d{U{^b5v5ht$aV$BAg0Yq1v#Wu11 z3F5?edzBE^!ha8g+vR#rcF}oo#fAT;w>fkVB&x2|uJl0~_i#`a8(iLj6pv=9otpyR zetj0@`Dhv$DE%?P@$1yYQ7)Y!6{`68g~TJ5J_oW*!vG~0QC@OxOTLmn zj_VZq*k*(X_l%svY(;G%2LBavSX(%9nX9ZxjR9M7p}fL`1m5?@r_!2;cL(6V;4=Ku z;2rBK|KPCjfVk7(W4=B%xUS0HY}Dc*RoM#yx_Lb0_a3-m=f+S={w`w3^&i}(FU`d_ zZ0FQv8e59X=G?F0Tz6A0gd%3gk`tKyGHrWg?HsU8c~vO`;IiYoTYa;`p2>e^F3w!0 zi1t_FkJfO%ig(_Iy#NGPdDw-CqQa7Mt;)7iEdbT67A3?}#GgNxSjU=~zxUqPHyqlv zVnd(j!&%wDWuq*wmW|KQcP@>T&z0MthO#_?qxYmT%;tUN`_=(p2?-UFJg4Bt!&gh6 z`}8kZS32F`u&E;NKaaT6ny3jtyJmM&!e-InGUhzk8!k}Ut359hkv&fQO&2War#uu_ z_0E~80t2(l#8ufrLm8@xo1vax&l_a-d?l;C>S54Pa;Lu4N{6py!4Rb$+?>{&lXc=n z>+_sq=ubZJson?b@te)L=Oi;4gs#*h%v*VmvcbNS?s%4T1` zxJb$XwXSbpdGV25GysCWQs#Fw4;2pAIzy48pUFq7->637=>;JYgL>9OLys!hSWfiu zO>+wt%-!m>z&et44b`$NSE4KCD z?_Vw~07k!kr49$5+?VB%gu9l&15Rr+7zqOkdEn7#62|vdb;B$6>-XBBoE%mrbKP22 zVrwk=6vq}z2s0_b~Q z-Z(h%RL;DF1joAe^m8OOOI}L}|MhMRDQQXrXJvTzS)4J0IQxz8dYnzEfKaqXXsBhR z_=u=fh3Fp}YGU6Ml5`g~Vv(WhX;&j6RcVk;+N#=b%f`WC(2Oy~S=Qf-m|-u%vgWoS z15lw=uz?v_He(OXUob@L*(l)F{Mb6T({ILy((#1ritl|QF$?=ulCn7ZLtQhTr?4In z8+H~YdqSytmOB2h?-cD&PySjfC2h`f>@e`_fQ9of`0Ra;4Ms6`k#6t)esC0{hTvh4 z17LsG*K?Cpresr$AqiLA2_{K-A{MO3;0ojEk5=Kf3CU`cWcMUkoa$0Sl(v>Vo%w%sNvFB_M684VppAR}xp(dM!W+(3;#?K-oi>yA;rV{u zF94+C4iwB(T?}09angcfKN;olJxtF-&ZqWU-cff=s`N>!==l4 z5fyieQa?Ow=<;qp!gyetk86K1|#@-Fc- z1XOk%J+QUa%AvRDLQt{pR!oCUL8G|JTfrmqcgkDQ@8N%sgv^&UEii|FAH-Vbz0_#a zA+vn){cue7>;r*8Yk48JA#ru6zq1HnY;`7n4ykQLU2WzRWSmT7H^h_|fJ}p<;klm! zGjE@)c@<9YBJlj;+3Tm`WC%&qU}#@s&T`D-C?NuU36Iwi z^mRc|Dd&9KiRT}3znmjI26bBs+m743L=Tytfud5_5PJJacGo?{%+51$mN+a zog>7A_#6`kWQ6@}^4eAgd`fs=vw4K4P{wjL-VyN%AzI%M`3LBX31AM3j9- zy%nD|@2-UfRD4F=8jxiUh;wr79$;E}P=xp=DrD05ZrNuL)jZ#f2~oRy z7qK5oARet6a;r`QvW4c=2vz&|EVBKy+mVS7Uf;8Yyl{V$ zNy1FJ2_j0a5z*)x6yt>Ac%faPa5t!-(@!eF+{<;@Pnlb_*v;hJF7q1sbaJ~Kn~gj7?PEA{eQ`mM(0oY`idrS{ z9}(4QN$Z_>6u2_yqF?#Wmdp{vuLlH$=+hD}&hI&*eYr=FBP*nFI%1QFkNBVrvEDF_ zq}@q+C3uOTAXb&FKtoMQaahD#x?@me{W_Av92yuwN?VCqKi0*w8wwnrlfkH@80!eu zH0>DdCW3dK@r(=oH!y&wcm+~%lPFJ33XFuzzgaqNxjnxH3|l(JYmeQBW{{i=pc2#- zq;{3XB|g^Sb=_}^iXR>lg=oLUjUqizXZ@qGm&&}1NB;@E3nmOfWHil|oIdYF;N`^L zSIwTQ1G`n2Le|6m8y9Ib10F1dZEuDQ&l|riwq-xw*i%7&P+eWMKJjJiVjjlDz3PwT z%0iD{gmE)M$=cd>;nL1nijEQJyLWy;)|TZTn9iGxA4WoM|JHoAk|nPCnAet4L5mNO zoJVS>0|!|>2xW-#iVfkI#Y^7DVuXgZbDz^Zk@UsM!B%&e2Lk>Iq2+}Y#71c29yz7c zZ9S~TPu^_n++u9c^t>fjb7nC%l-8j*iWHATFNvj?jY|c$Mc+h;Yf&ks1FNEeA7v{x z{nZ}+kmPC5ub>e|3VQ7NGF8EaG#2!r{TFT*oXYLCXp1otPtcsoZa+-~9KDO~Hla(^ z89C_?veq{X6JlHaka(>!DwacbRS!_`P6!L7_j7!_>~o+q7octDuKM}VP8YJ1v})EO z|L<%M@#;wn|22y!ae(*9M;P~8?BblQV@MDiZoD!3%PP7D7Sry(pEo>#P{F*@iuI7d z;l7xfQp2$@=0@>N|8AvU`r~7GPWh1KBCQ351~1B;_~dkhIm(@K%3!Y#*_)g$m0I14 zE5RhK_I;^k&VpnwxpcN?+gX%KHIXsPP@5J9WDqUs?zSa;cypkl8ha!LLameqAa$L5 zo0VRMo%=e+>MNDkP|o{1T|;BToR*dkr`m5o|JI1&oGauH!u4o=zO(A_;T=A3PPH>{ zrxNf!5I)JYtYPK~3;lQ8XElKx8F zFb_|{M#wdv5pqj;9!gm}5senS9D@#XW71cL8rCSUu@9a)^Z;%^&q7W&x9BY4pY9B? zzM)sF5qEY^uIEkK=#qcx0r|=Kw-?U=-|6+)r%?K&HcLXHXhA~jIG3^H(Jx!0B6QMU zKOysh569t>Ig)t=Fah9)uWg9cmb--ToI*S*2#)Yfu_RH1b>3`RsU3MO7=ZO1%Vtnc z*!<$9eEsfoVYbtR!-Nc{yM(=Dx60@|qGU=qy`rz&wl{b{n%BINQgz8o(?^v7`&Q8B zC#6!$dp#AgdJ5v-6`}8+&I4^9zZ%p;NO*h%GhJg$nlu$_Lp<>NobfKsBByF5N9-#C z8=2--ty39GW?lKDa=jCtAb7dQ*zENU!*-UHxYq3`JbMwRowkh>aSpUT`BBBT^@dqg znnUL7=&XD*gj*)Ah4zfS7Sz*_iZc;Oaibl}1+Ni9$oxiQJ=X#hVTm>~Ot6hrwvC|? zM(4C}-f2nfj9Mg<_wdOIa#qksb#>ER?h8t&LufzLJK%c>V2fd;#`;>vR~+K+y7-P^ zCv1FDD5=+vDh6%j$Q{=4I2{>eaeExr;JJ#e#+LC-d#{PdogGjC!?XBUNVCr8Z@(_3 z&!()NpWezI`NGssAHEtS!pSH01K6RjaamoFcKp|$88%)0;-2_1Tyud&fK{M?_rgqA z>QO5FH*<&&4ek@_VI)f$y3FX^cQYHHtbER%PQqPAAtLC8V&JUz#t3J-wM-UP#8gps zYAX~M_RL%eWCW*y52Kch`GM{Gtf&|V$Ho;1bE~=S325tTZPfogB2vEid5Y?8`h&!k zQO`)0PK}D|%VLCwN^?O?)djGZLkDHPhxW#9E&KJ#o0}o@QtnI;@Y@ZD^QTZ7Fj!>; z2b5B^52thR?L_Q`7XUl3dmY8UK#%vIZCBvULOaK05VLCTqa~E3L_l5x$Jo4clpYJ^3-R<`m+lX57_u zW;du7hP!YEL})r19TA7d?n^MoK|}=3jUTD0_6u>-xXEA$n_^V6;2^;ptTXO70BP%iV`%5h;#L#NGHn(^)j zm_rs<53R5Ruf?liyxL7q&GL810?!e`JTxFcH>}TKrvG~B3&vSxLvneX#jmp_w)1QH zRH6i;IAUQfn*&~912uiWq`%D2YPmN5h1~91q;Sv;t&#nXQ0hNEqL}4~2+kO{9(n^U z94>4qJR+PX#jiBm4$N0Uap;|_d+DN$o=sFfkmrg06Mf=#Iv8%A)cNKwZ?)vyM6*cg2*@;sLZ4<2M#R);^0mc1^yEDXcZ}1#Iw!l`q!1^yk^_zjtu&q_$D#=O4xKoc$5A&mT1ays4b`8O_Z>spJ;CQsuXIoOo6U z+};a*xj0s3cs4D*{(TfI@P?k$57d#*KmKyZZOz-%Z z?6gf-Y-u$g*JwHggpvoY#*MP6ENjV8QC*t|rk0U=tisu9o`bJONWl9(7*ZZzWW^wL zS;cBrz3N>Rj$Paqhs+f6lD%d)6H(|huCBwENS-N!%^BWGvJehE91#y=Y)2LyBf4b? z)7!;M>Ej1xf*8g6g7*5<{z$z4*b3e@gzNaQIq^v4J%H9xw4>oYV^TCU^5?Zi`yZ~# zs|q+WOp%82Z+|?Nc@jzP&e>n792grWpYZofF-+i6 zbjJ7~HlTEl--k2`ZdIOep3NP4qYB9UInEiaU0ieu4|mL# z!Fzu zy1f1PiW$=U3dstNw86k=w|&HPaONZ-kzfqrr(2IS^GB$^a(3nlPO7I#RsU-`&UH5V zSn*J!SQ}>!z}Puq1L}C%=~R#A6yf5pcgVdj8#=ito6-5B?~3#LnF)$;>6$mHN!$Ge zOG@k8_?-0xIwYYumS%j}^s7f~>lO*+oC+7buxu71Wp)9$ST7=bUy@Aqt&vf>bR>3!q*pUto;hEeT?vH90R)>R@8 zl|Q$i0We-uRIC1%-OC-P9-Dh-93Ar78;Ha&J_Tn@#3>!*$1Q_N$}<&rB>!+C^;FOX z&Qt%ZM`H152Xd<=f6ubkKpRkStbzSoBLHfW*oDSjaTl!J1)(J0G_LCcHGR`Tw%j2<1XN7F! zVQM?6zSk<-+GBhw^bfwZZ#fiCIQ=}9583AhGX{a?6V65c!4QwyJGgtGrV?=)=L;v7``dZ-HkfJ|_c><`21_2|h4=&6D2y3JnH)FEJ?W|PM zyP&Y!oxaK~0!FOPoH4W7kL4JlOh>$wpmyHzt5lv&;~BCX!qc~SJ;7rqa7-E#r~>zW zxEldr9xkpg7Qd2c_eiA{yGtLBe0(6kC2Va6Mcl-CCH5c8X5Bi|_k4ZjaGJRF= zL5FzRJ}B%x_?xBm=&CaDso_@H5YA_u5^60CdfNF>Jj{}1Zb9|IdIQMwOs=!J=M4`b zh&^xYE?1}b3;1xe9Mye_V*t(4h?*0PuFxbpd)w>4!;5#^n!FaFoiLibKu{VDwKfR* zLJU*|oiwz%cSocW1>(Yl7P}vT3g9)FlB7HIF>W_ih3*obcED~LIA165lAr01&57s1 z7%Q8^8x(d+mCl;}Y6yA*d4;2D5Ymm4LfdAcqbs>o_=aMspkGc0p^irk&@)TwqNRGu zBGt52xV3uGGqHK!=dm~#TT1&sRqerzHQ!=}?48&kc9m|Y7Iu|MN`eM^7BQDWFZ_FF z30z9ybdD(t_o%xFIJ6SnB>i%HBSyVE|3%Il&FD1cy5wg|)C>rk29J@S(8T58Q{4 z4_+`mGp((r4ao)g_qMP)$w9$Nj7ePmHW zF?#C44n!$5)<7nj=#L+-RCx{k3DBlIgG^9ft_dIiRa-Hclxi*01+ zK@#7vhi9uhLfyGmeL+GYztmqj`mR_dZqFp=B&gwv1d_CBBlh}%Fgr!KqP?w&%)tiDE3n?92bpxG*5T&N0cJn4?&UT(?lFO3I<2vKRl0gjamWGW8>+P9^>0p zQVw2vuMM>$kuUpiz5{K+AK0~IFdf6F@4%^g2@35LkbSzac6kFW=z4Pka8`Q{FZfa{ z>vvuy&-i;`GiFKt%SMn z`$ScJ@fGd;=aP-vkpa2(nQt%4senW_$$E56uJ!?b+}aiB;?=sl`<7qGgTYg@8~*@i{p`{lrjPmZ#d_$JeLz;|420;z8=8v& z)1Wk=p<(Su?^1RB^jdz>UZQcgR48vKOh|w~=I@WZQO>ODgD4bf+yW#4e+}K_wYPJOT}zC+0^~@ zQBm*dzXwpW{dO~4nO_DU>{L<_5msa4{GdyorQ~BK8JJTJ-a)?h;?~+g98{28nXPfb zl(2CTOc#1_R~JXnTmjFZ^wHMThm?dRHKBUmQGh4aVVT7nKwO7007I$&^$6|JL2_Pw ze{hxaTA?uw(u&0#k`au)@@k0cf})T+uREKPz<&ysUXa0RuZ{Y9)>7B+J02zIpP5m^ z(RaP~LFd^@0uT6^Q6GyDLOBQoz)mI~4tC-^Cd%On{7(+31}<6bE5dz5 z!4=Upf}D@SR6CqvE9h^OM8o3i?G*4oz2&QzWcSdm+Ajk{2d`2lW`NqF#7l`_=!fbB zuI#_MEdoSJ9lNIQS}j$kLC$k0Aet5F??-4oD`Vq7*bU*A-su@vqnU8l)IP^swT}dj z9ZuB$%5{+hu}d*0mz)7u+OlZo4(;qO$MnEd!40+lr=9cgW`qC!IAYe`wW>w&)GCT1 z!>nq}QmRHuYqbb9W3N)J*YKaXA;J#c4MM(l)9YF8-Mq~HHEEW&Sl{Ce4K2aBNL$s>8hKHKY5he6W9*b zC7+G&{JiZ-W&sn~UqV!p?;91KG9qItl_?k+8H(vAnUpsat(RNGi&VxmYCwG2@LHiP zuQ0gbbX$b>hiYslFJC&Ccpt1Ih5VAb*y;z|JIT}SwZocrY1+PAbkJ9NjCYJG{=EZF z)89ObuoQ{8AQQy5-dz7hf4<4flk~CA%4` z=FTY7`p{sqCw1toPp*5K<@RGpZ`dW|B*E`U)g5ksv$vv?$wVAc{Q~m<0<(8xf>~i| zd>`=8ZF@2uzwxR{pOwLsU;eQ@ERY0=#$DYfI+f&rbYwy^Jr>LSqc;I`##o-d+&6~9i!M9vv3BWXPCu} zXWP`@aX~|G5k`^w(>V_Ckmgr=Ba06e|9s4RCWPvDcdUy`^g)#qI1v)yHl?ij>VW-c zEt&Bq@*;@mE!YghmgDxq=j;_o$x%z1Z?J6b?^ne94SJlK$vb0Zc5eXe@i-OivG?4X_UM7%eg3T zl8)-JpcT$ESWQUW4S`e=dL#B{f#>M^r0dvWW>Vp&dA@%xuuff^6ir_D&&`u#37$|q z!H73cp4XIbsoZ0`1q)P%DS*>IkmzM3dn2+yHy2&P84#rqPa>bPt{4%gGEPPMk<}{ z=fAvU90e&%4v9+2gGZcQy~&?@5RJU zTa-PxVD7oxCs=wlFRaTUZErR%A+47nQiSIit_Mw0<#FezvUEoB8G~{+{w+fq6rUeh z7^7fwi+W*?9IY3rkX`Q|(+$|s>XGGI)SN1z8@t?!^gv6FYv77ZaPEFxVAP@2u*`bW ztI=KEEOrpnIlTN<3Z0vrCKZGHF>%}K0f8V2S058+ECiD zJ#Bn`rpCKK&Sh^}^M2Rpj)(Bi9<(kb2CSFnkhuPe7n{+e$jV(XSd&iCxpHdH;} ztJ-$Rrl9O0R(<8ZvoEV71npDewZq*j7ma+pGZznF++0wmB`6~~r%1f18n;d}Xi?~( zE#|%99$-U5<}ol$JU);;mvf>LC{6g#F%nke(~9<<$9-YcID^AQl|g&3FnhuL^wEIk z7K`H;6?{i}8?6}?Lg!b1t)kr}QOW2ih+$Do$-T+f5lzl7P2# z&NJ2DhBP+8O2t{KAHx!5;q~o6BEb>&^*P83^H$=C%F55vp~29c2Oo*0w|WpibVRa> z!>^xumD%%{T#|OXS94eQ^&W%hvbHL{nkxGtMf8yCtV;es%oVHm;yAe-EK#j}>aD(G zw~mGOt%6nYrH?2y9i|NA4NC+8$g(%jC74KQC}^RY2#57ZCzKX6(P1j|-SOa=#B^3( zCSF`3rnQu^c0_X1tPYl6szn{bf!k0Pnf%YnHa_3Z7%niE9sJXmLeq545s}(bZKGQ& z#3KEhR2!o;#C2Q3p3ruuK>`xAvYPECmV!Q@y&E&Y*f_cf=%#eA4R@U~Kf9ie&IhnF z9U#}j>rZ7p#9UobD@*O%XxOM-CfZ`Klc@nwq7ZPb$ahZb5}OeOcYZ{pe!);HpMU1# zgwJ9-G@Ze5W<*2bdVt*t`?Vd*@6{`}TI??1qZV*by_WONjL(l{b&F9)S^ut$ zt1X{kG(=_~FHOoHNr=v|S#wifYRl{E`lNXC6!3{f3hM;60EA7%x2P;ZVw)v~A8Q2z zDE&Og23_t}z7rLYGI8y$IlyJb2Ov=fC-tO5ov=1Om2L4^PV~KVS-s#rx5Vx5;I2ac z8rCX`%BiNn@!(~%_tRhd(VNgYZU)tJ*vN^xi#m$l~v>+M-SSzW?p9fqi15zDac z&Ctl3HcheaO+p77r_p;8&pufQlQ#+6R2f}FgQfYd#+x6?{G`RE&ptY{!WrO`_T_6c zfL(0y{S{-J@eH4O&~p+tSosoD=av#l%S{&=<@!2pj=AicAmXGQ27@Xd%WHFZV;*n+ z(x;Wo+okQtC`jdDs(<x8<}P1OnKWUpUK{(01vPgZR( z9r{Stq<;XO?et{(^nKX(^FD;K44-FB-=@3x-jB$!+UjO(BhZl^~@V!xTa9^H_D&WftjYHJ@=m{!F-AD6@vov!}b2S z(6KmK*;qpb(5p|N!^;KbvCRCT++R91hTKGW{T8-!;k#jwgTM0|(p7y%%sF)!BCTX% zw6wMN6N97=Q?&pWarebiqxPL6dWrt0QkNFqf>aqVb?6lPrYB0s55!pYs$1nrdp{Mf z9pJZffB4E=<->wWnx}`}tLP8$f&?-_=pEltPcjw$S+dhbk4^*FuwKq03(3l>QIKLO zT7vF&8h6>NGJcC6^8RS)V>$1ZFq~6tpqu5yUdE^XEK5@*_UZ`dz4e}#0>M>+93|%S zQAK4naeBdq^}d%g{C?l}&NndT=WZ;EPwBvM6WR85kPcGfWc^&DKpke&o$k0}Nv$z{2YHUP@Ox zr6fDk5p;Z-y%e})5e0I$uT!-DT_UPVbY;oNREc$e{ZqSG3xXe7mS5LgTAv>|oY zo{KrwFQh)__^|1X3<1N@@}r7BY0&I0xoofA4*2L?J4K0fRbn{z7J*(8uHOvqyuVgU z5vH+XS&=Roe0z_-g|&7i2s45Jb_g_;^AscVn{NsOA{f%2p-UzrYne+jHuJw}EoATe zs6F|5yPQs?4W+~ut)&rHQ*zr?599AzM^#?W_cYGpzr+9T+7OTIT4y9%3HA3yE}i0! z0T#dahiD_)GpwuPX}qMs_|Bs%LV?d{@1|J~p0O$@1ssmQN}t@~FppRl-gH zE<8}}Xp)TWo`TgA0LA9-g@GvR5X_R6?F~DA$!t$153jqQ+9};vh@ZE(PHZc^6iVeP z7@e;&^1Ae^5z{vKgd+ZS9`eSl>RjX%E+6^z4`0&Hs}xphg%Nh|WxlR;Pzl_k@sqXO sgt*7fPXC7IXmp-I;HM9#Tybv#UJrUUxH|Khn~4N1TPpq%|6ktxA1GeY3jhEB diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb index 3aa204c6739749d6f2bbf640e102c273045ae0ec..f3fcdcc0a5c69095f96df09acaa903f8c30cd446 100755 GIT binary patch delta 16856 zcmYJa1yCNn_cn~XLvg3LyE}yzr?|TncZw}i+}+*X-HN*xch?(teR+QWZ|2+CDT#LK|0{DzbT zl^E(B-0Ohc{lRz&HEf2^t1k9bNbv?sWhgp23oTZ`1`Omwh!Qswr6RqEj*E#5^~;zX z(CoXCvt>Qr>(^*afBB-D(BXBqR(q9b3`K-47|U-B#83sFWkYmDg)dfh+wTTNHEK6k zb)HpBq!)3yI!|2y*aJ&tFP`OPo}M0M<(TKx$*1S#6}l-QW+6?sUQZXt*B2)Ccv(L0 zeXiUV-2=fdF;lvKn{Q@ZTKE1KjG4p&`ZITRd8wX6`KLl?rt^{wSKPDFY7dpeEXGbb zQF7V3t|^aiE@yRCMW?Cxw|63YCp|gJPsDA)xYlmVIbM$2TBXHjWeOp(%2AV63-d$s z4%NGJT3%7%=Ms{e4Q`vZS)#mOB2hx~_(fh+-%@LF69$?i%&Z`}Fi(e-ffHP%f4qu^ zBAJjbD4&r`NCCSAZ6ZHD2p6amxPRy+6pF-tPfjlflEDJRi3AIo^_Hv8>ed|=l^O&g z^9Vhlmg`sLR~BL*%x7MRsKtx%!kDu$J_iB^sHcF?54Y`!hwyU7qK8tpVqW1Ha_7Zt5v={`4FN zjD>o_pLsr@Sa@p&yp9_GooJ9xQb(j8D0xlHIN23YcgJk}4APrwlR95{ftV-v$V@44?C81X z-3f)F$ieS|M1-nZmR9%%kh( z_GF?kwZ%@l{xDxHn-G&hC61j5L?rIJaH|f>TGJn5k~6Yh)b zSFz3;9@2R_Z_&*Rk$Dq5M&rLralYXkJknk;5{X>`Qh@Be(KIXvcH@J-hN4zWHCCdLbm8QbWx1&CaxXc(5_T&jL&U!w z^S&PwcSkVLJQhCkie)V03d-Ea`Snd_hi1iCTNnd_{2J@Y7VBYV>{Ki9xO~dG-TGX)Q$BSV=Oggq z?JNZQ1H-x%P<3(mCZG*OE)zSur)PRT{`H#(=1sI|9~za4&>&g$QlOnty)W{N_8wmAWMVzjDj z|4&zmt}}~;MG)hb>Kb~!O z+)ba|*ma=sYc`_xKRqJ&Jly6y=g7h~)HJ?&tA~?Q#CT>_>JgiIdD&IdjdT~U@RsYD zigfrcI)2omwlbh){7+_At-N#i$Car}o}ia7tM;3n1phV7x>3?~zOE3Cxu;D z*D#IIm%)79X3$G59(c?y(#TKOTZ@u#{azfiQ$6Z(K~jhCCuWjFFR#2K7OA(l9Zz&T zb+tUN4d$?`jcFPqTK#0A+61ClN`AV98M1p4A?91o8B`!{0}7)#<%8|Lccx*1+So#V zwPCdQE{MBUzVxpA1}~qyDdoe7F-|yb;hFC}`uy=jn=jjFA(Zg|*nHh(?!!H55gCyg zn@7daQDQEmKXEwyJ_(EY^?iupY2_o5>*>NK9!MEHsEkBR2zz#Yvul1^y(3AcT5_!X zfH7FoqV=z^%fy=x~ot00h#`hN$#g@*X?=(e2D0GN0Wek=tE}y*r1+K{&1@FJ^hPZ zCbk-HSH;6Moii{(?h$klw`t(ENz<*NIy(c!g8k75cpVN;AN?(&b)?h|rBf}uj}`~l z(RQ^)HOdbZKa6Cu3AFqg5-O7r4m*XsV@QK<9MAX%LZ|YNQNs)&YF*3~0g)Y-e`*pV zVB-wKiY~yKQ$OAlDQ^9WEr?k#k7`<=Jsy{3YwaKEH=oHo5uzDtV9WYZYA0GVZVjcL z44cj*SL@Q+m{{x5Jh(82uWe^#?QP3-MUad{!G-tnH{^TOU*Au-f5QGdQ?$hqBV+49 zyRk;^{3gbte^Lu}TWcJ>RA(Z$wbe@5!$kqz3yJwaL4S|A(dF7UDHUrJ(lLWme}3Bx zZOmZFvQVh}lkh8b!k`BN?XeIV72DBb@6iTk@MX7N|T4_U(si3 z-S*>6n1Yrx9J^49qtop4@s+8MQ}J2w;p{&CYC=D2@L_wfIs+)ai)|3gh9O z8)yHQOqPOWRiK)PT*av#m!pqs(6w%0T;*#unAXlf<$#CJWec|or3_2*q`a8XSn zaw_*bS~sANQsaA(*Ysc(so@(KS*TiJqcl&iVD?QUC2*<-=?Yw)aqMqB!K<}_$#A0_ z5V-0%&zLIR<|WV|Is}W-R$x#DghYjXHoh$R0@!U2E)&Up@XmjEuWoe*Ekr7>Vg^{c zw-p*bwnh-?;xE-0T5(BCMjm94UfT5oapng%!LRfg#<>_D35I9e6cghN9V%(33QEqr zLgiQR?1)FWvcJMrMb(=bs-y5QzLE4vm7W;TQmNY3(j6I5!GtJqX=GMpzCjF0%RX+% z03bzq8^=;_=znar6H~|j2N+{;vPg*|-ps#BqK^AI-+8|bozrmMC`mKTz2jvRmCg?d zF&QMuyu=|hiiIlO!h6M>3Kb=N+e-9bH)ATQYA==eY^X#4{YHM$SQ_aC@2?YXPy*tg zhKjE>Hfr(~Nj=F06Z*mUVT>;s!_}+%Bn-Qxad@#hRmOS?PqQ@AD^PL&u*?0~{Ncbi z0#9Ee<57{Yfldw`QMVBPb8M{JKQu>{qM~*o{u`f(K$;^f(VkZ{=o@XtX_CC0%|hR5 z4wcj)4kF7i9|HyD*oH@`ONm*_GXu)hOi5ozCwzhTPuch7(G8yl?wn)m>VgA;@Z1k5 z_VeG8ha~=xr}&G4$}(OYK*Su*Qm~u0#Kl1_JO@CjT6mJsi?3EPi?0S~1~oO?;H_l+ zGPUqLxM`)EZ*-5GTs}Lo71n0!$mYb`u#L9$4mx~l@Uoy8aAQR3XNK#4+1L05B)#aFcQ43o`$VSqzO8TtzHr(gJXkGb zodb(Cv}@J6$}Rf!MfRTMd1P}+Qjz_x9O%CyjPP(&!Se-X?z!?Pf5qR#{HkG-m=yOf z)=f00(K4d3mv3*Gtaev%evOmOeRKT8&rh()=7QjhvX7VL$I`yUlf4y?_V~DduUSXx z^t0e#iV`eNeC4z9-)MB%9lPSmeenW{u$DZ7Y@>coKg~)*|2aPP*Vg~i=PBwZqE0s* zz$^e3t}hY@3qe#tN-Cka1s8h`&Mvk_)WDfSOxC5M;oAvH-T|4HU|b=V5w!Gsyx>(z z2*40-LM1M|Ag8eL;Icpttisk~oH}F@(QF7kCx#4BD&)Ao*lUs#pA!1*fOY|`PQ(8hD82HR@=0o+>-`ctSf>&-W2zpF%`C~La{j2b~h>A&}T zjNq&MKjD`)N@f1ugMa3boPBCbyHLzL>y=*96m#EJK7p^43#ts!=05i3){8A&J#NB8 zdQ;I3YF?eZ(%(+4*eL}JNVYMolPk2zd*(%1$7_ZPBphAL2;$1wSHaZHo4~6kts!+X zmtk7?>c6BsB?#n#=Kdgn7K1(!@`Gm=MS;#ct&?*)8B z1xjQF4qn!NRIUplgb;U`46RHo&~`FuZ?N3=)`iP$hXQ_;qGry}xqUikSFv(9IF*@g z(>P-UcS0H)b$vL>UOCp7B3C7WsC?*WrjXF=>4U-EP{pM)rvV3b@TQ(xnFtp*=#z)w z_=!vqq+DE^&x|;gH?gDDak3H7T+ACSK1RdAWSky8Xl1<5#RY|?=w=s_zJ<;s64 zTEZv0bd^$5mDUuTyCeSRE-N##n)n7`>gp4+SJF7gh3ZunW}cCEskDj6U(=NZL^8g% zEw%>}s#N+1?=F&o1J=o_DYz z8J9}SqC0sYGcrb0*8!(AOTF(MJ{;wOXMz9PXs!fhVgdnMl>3BKp-y!v926JU6q6Ruc?%J=t_W|M`RMh8RzRvOkz5hHQ@t+CVNXVE#BG~ z?tRL^;Doz@b9go{(r>5Xi$C$pJM%rx+8ti=cpV+8pgq$l2v5^w*RESOUHAulGOQ)5 z5JiLP_oBa|y5uGaNB3!h-`U&5#TJQXch<=mH$d|{I|$m9>ehF>)kVotdaO!E^3_02 z!4cYWrf3~Mf2T?PoKxY9({ZT!7RI^vLQ%aD>)aS=-T+30Iw^10)t#UavNQwbi;-nL zYSOx)5!lq#i~qojqt{<@xqd50ujvNyj>KVD*_n~C5U*)+8HnwXhmVdzYJK+GAnMKa zo6n%})-QZv?*;Sok;Z`sR`2N_0M>xIa_9C)Gne<4&e$MZFsjPqFt^O3@3PI~dM6zN zsS!of%$n-*z*iM7NQ9+PTU!JADKeO*Yb>MB2q{dHBZ7eey*b!t1R|%#3(HncQ~Ye^ z{1s!|?zM8c$$0(WAjpbogQIb<{F^LQbrEZ7$I7tD^)H6_k@ERZ1#rOrshRoID8`pM znhgc*o3SNMUf#o5+$*@sF+*c$({abAB=98F5O|uVKqQ+brS>Uc#2wnKcDcR`a-MS8 zJjm=osFyO$g+r)Y;$MKLzjySq@(hXt*T)4tS2N#s$Ea`6a%ujXO{x^LKRXbqZe1gI z#7^MHVI1zo>jK-8g%P5YmO5mUmeypGBgH$tnKF0*8FMXS8FNcw8Sx5OLHx;VFucVM zfjq^vfjrdNgGg>nrbv$Ry9f^Q#)uB`LL>O+I-|II8G~PTG6u1CGQ1FY)>;!-hj}CE z`*|Z7`+1+BTw0uHjbohf)kB={wL_e)k8kV`e-4r!asX9mha6nxP68igk)~JkY+iQ` zi=^^fdZP$BYP!$j*|a#_!Dv-74w^2s*)4}Z<*p4Xx~T$&%BY`yBuNn1rG^*hz0L1w zR%l^7jR2FsztL~YUJ&ubkCcVV%>5c$p^;Ga8!MAJ-qsu7mx%8%o>!igO!@9UB;OQk zQu}MB^M^_wQQnYA-7h-3d^A-}fJ# z>7sQ*${1XkbSZ25bT~L%<0u(iKWklTrC;?htPmjOTLv4kt%duAcF`Om0F<{6HTjS~dE&>ewPPd~nC&U*6wx zt*_WH78!#(F1fas8boZ$(H;^A(EML9rw)aD*_gieA!m^WOJu?Df34^5&yBGyAar!e ztHZ`9B|3{aLnA{8yeBJ)-h=-|#_ELX`hD_2oDiY422f<&YpbBKB4f=&as4je`>9L? z(Ff}|y|D%N6LK$+@v@^h(3l`p5jx8K9V5iRoH7XBbLRZ4|97q9Fem*%I`#b1xdzr_ z7EwmN*Yl!x6 z3>{2?-OPB|q=<G?kOvlCFbI9md@XQ-@{0xnIfX?#IpCXKDR=!D^+(?(iYMSUxhPwxca^@Q@6n^VS$sO;K@R*~C(2L@UiV(G%}E0~cj`y+J& z;2jxOqc&Rd9@TWLSPHF@GmSR59)j+_i(<9C1Q9@z4F}m98#+VvQPgdw0;|^s#jFOU zq6eI~PCS^2+T-OPZ~N&W*&Dtk3I09o?1Eu1!Xe%^=4Z3*k zgCQ&gR_H4ZD*IM4v%n!!d zXOP;=XH|upRt!3-Z!ALq?_g5b9``>{*bzxpSscDH2+FIE@*5n432|b4!1M-|3>sIA zRJYzqdE67t6kdP5Z9TLhD$H0lIPwAL91gSUjZfhEjbK*0$+SqQ?yY4_?p1V#*}_Zo zyRstV)na9gKJ9u=vE;_t)iZz_?_{QsW0U2pTGs_x)+0(t&C9(`g~RR(Me86FU`j;ClA)@wKDaF5)Tg{Y_l>nyb*A;%0un&1qp>@&!k?>$tu;uROC5RFpern|s6f{2&ykH*Ma=fb zyLJh$hLS``;{K}UwE&307~eKqtk0P~bt?&JCv}HjduevT?;nm_A1WPOZ0;~W<0MQa z?nmbfkd2Xu{7z)}U{07y-tQKFO09bpOyD<2Lb5c{TeuVe{N z1YM8e8{yYuCQs`$b_x64lE3R2y1(o**1b|CJhiVrhNmp^n*dK93GJp&tszsPCFW}j zM%9vQ+V-+SD8JX(w|Oi+i0-XnXCJrgSXU(?;~COPn5b`Dzdk+wZd(Hg>n?djZ{bTg1h+SCca z4v7Di_0e-7W&*|@a$hAh4>Ha)G~ba&sfVvYG{U+`K-6{R&x0Q^PXwA~D4P^xQaKgZ zrqrXWm)oOU#=HunJ@QMA6nop5n3fr>7C9%Q_a{0dT>~DY41K8_gD7S9y3tegSFMmk z)k9h4K%+Nqpo0IT&oC{aR8#e?@hAfC1~jjJ4BNrT8!(Xb250KDn}^bTv4xqp%P~B6 zwb^skPPQxWm2$(}!%8jQEe6IDKzWbds_vEf^ANzj6^_5Xz`vcNd<%woGx_n6Dk>~G zYsY;w^!-^ECX-iURYjhbA2sn77FmEFhqWv^{pBG)3z4C}#6e=D1I5AcH}yL${)2Z8 zdv`XuDRAmSwtpcGGlXzWh^(nTeBDSRjJl6pGQAJ-+fXNHpciRh$mv9AAV6B7%;{Xt zv4H^*!GQL2I_GjKAwd_&E2(K0w}xE}L^T-`rbA~|gaN!}@7$vuHxg^4LIT;k!BdGe z<*Z4Ee74*>;u*ihcjjATZn^V(2<|sycow>00n;-hua?mLj&2W$R}W95tdxdnL1;tF zI)e+_Bym$3f!|l?1Vc44&DNcY#FB&oDy|j(@y!H;SksR^(2Ovf85M z6cnv!|IY=JvW~tbz_inP-`Jw`w)?uqdKZD~Ey`0$s;aLgzn%A2OON}Y^ki7KJR7LT zQm>=wRDkv+cm zbX-k&_H>fXxCyTeD{U^O9_k+X+`PRu{B zbcIt4d|h;9|6S2xqBF;w*8kcA?*dRd!2I(0vl{@44}ycPDx<6hO_o^Pt`|^OA59+7 zgnE*UoVMIJSIv$r#vZa^F(3s$daGsz@M)0gEmk^_X84PDrD-3A8V%xqai?yWgvc|9 ztzKiQ`+70PrTJ&%i|U9~y-aJIx=FGcWpy7@rL>;6jan$pCFi0UbY^B;bptT@lAyt) zudn;FP){|pdgm`6YtCFv9ch;hsh(PcQXFiAl^naC`xqq7JmpHG9-P_gsthCE)TaH4T8Gh_aZTcT`RjSvoG1$0sUI65`k{_luwFW9aRb}CB*=bZ-^ zx!)>|2$6S!Tn@i)pDuzjns4$ZiVXvg(8nz0;D0>q^uLK4-r@X+m;>+=@L~((`^^>> z-b5ZBtoRJCwjZU=TwsGQJ{Y}dnkla?r0jbRHZ8}4Q@~n7o8%sSWPdUo=gBhuXwTN# zN~b@Kd(K7__!wcFT+BY(Vty!2%osam9LM&HO>ciR1nKHC_EgJh7|4yE=Ka;c9>N zm#(OJSC>V#LMOd?*@>==@)s#>&$$D-%qov$Ij&C7ReT5%N{NE3V>C(1Dzp5fVGjGp%TIBkMK5QyeMwc_ z*{F`BidoGD+EeYFU*tufM(T=<@a9gwk1UA7xaRv&T4u$37{xGum=qd5i@{$$8LsN0 z`n(2GA!bjPRR=a&PU^Xs7SkU`5QmKTskqiVH&?pnJD##kQO>W|v7N3}=O-ix034yq z04a@w964jIkTs(w2Q~fieXlp~!28j~@QcU+X`cI_S5Ly%;CRjh;nvXF9pOOG)M`84 zCZF<`B`cSPysG%x(p~cF!b$j4)I2-;wI9z)M9F+ijmaEzChA|udu&3`_e^73?Fw-iK~ zZ!9ZQdx%{t++6T?K;tGaQohf-kV9yVpR^<#_E)}4JMCV#1<;x?d-P}BbW8|&3#sVd zkfgK$Q_0WCOBgs++snUT2Pdz+cvGx@OrmbPs$ES;=&Fuu&8t(^SMfhO<#oDV&=QGb zqhmBwlC|f4$O2Ya@z(i8UI`;mjhC!zGl-eOevmF@srie-Lz;YRrYcC! zrMqFit)QAvzb#2|K02=LM%p;(U!w5CZjvT(^76o9Nl5XdUKHh2mTG)58L3h=N*JPG z5o4&J8@5rO$BFFi`?qa;o~e&Sf8pQn!O~CcPvTL}MjHqF$&2-yE6+?%x_7DG``4?L zQ#-=!*X%Ds=N8$uc%eWMVD`EST&3g1!;w<}Ql8%JSDB#K_b2bh-Pd|gwJhLuHM%+~ z%;-H{J0rVWho9oT{kgdNt)Xf3{rZYFzI&kOLoN7Yf6B7$dTJkNze@!w2Zl@ms&0WU z_KD3ZujDtE&bBT(JAHL89T2?H2?o{triPcYhlB`zY^@yh$6>g2Dx|U zl22&&zIAO3=<9u0*ljX&?O$0oZvbC5D}Z+!GGPn!r+f>C+>1IUJj^)G@9!%M+aosx zu^#2tNQ$zhy_{!|a7N!c;=WZho`yj9J&iD`YKw$i1e}EU7kY*AHLJjEE(|bIVre{v zvDwn*ZH2GaKV^~!-L#ncS)ev0NAI?5LB?P&Uv|7OOBY*4irGZ5k07W^T-Xcc;# z8Z{i0A)12DMNn>VU-F1#fW!!}#<`hi#uC9LjWeY~yW|k96vBE%mN$l+I$DhV`#WMDdCS?#qHSW#JJJ!5gBa}!<@50KJaZ-v0AA6-Qx$iQd(4VTB!TF zR*JS0HZ0;bjES^Q=769;jsdQW7V5YSi~g>KqMpbW>Ua%SBCDp;RWLTqqMn=zXF;N8^j}1ghEm_Ot!GW+!93F2^x%%R&;ZS0P|@dgK1k> z(ANN0dW$J;3wmd*N*L>%*$PxfKQ$d4sOQ<)Wsjs7c`48q!lR<0(J`$NSgrB z7lP>pyoPQ_kXOLH?X;fR^akXL4ARO66leej1O(iZ2iyZ9+J@5`uqy?kFJKlFFd!09 z&s@=$uNE&r4M&b7PZYY-<#RkQ42rNnw(wvM2pUui10tIyHlHR21K9_-l2|CPSO8F< z?*aF)(@dNeivdk@Il8bb-2@G`(^0IByG(n7m`E$1wWjxYqPu6CZ27>h&A@<~bBL^_ zeIQq2VL+%kM26Gn;Gol>4ZWkzft(3gP$=N5pa)Y@q)C$yY+?|umHc}0CQ2@ z6JDe+MZ%v7xAfDWM_UaeDlsOZkVTztyl4`WkAu;U8@@R0k3Z26AG*;tQpvv>eYWA!bsHWZ<*9e2-^(*tkO# zYJlb~8OU3i+Tk+vBF15B$@v6oq47eU$eNsQb1ryuW|oW`HYL4U8)xv2<(*^|cZfIx z?0NUD^`7J30a^}M!&rma2IKpJK87Y|$)CTlhw=?P$Q%$uAaZLsc2TZZ&Frob577dg zbv`5>E#GP8ydPGYUtkI~92b#2gG#?OWB^fr8y$VlFI|_NOP&0o9Z{e}o1Jl}C!zVB z`yb>a$czFTygKnn-v_yy|E>1whW8p3yE9kY4!M()$CHVbP;@aeeo6bPb@sDy^e2Cw z`fhk*U9Q@~zmPL*DDl}}0&5_0{B*jSft~Kxafj zO(TWoqfoHy9GRTlQh-=D^;LqA+Ne^1z#IlvhKi(kpmsi`Y#up`E;;ug$+iD|#H3Nh zuvuJi-B?OCxbWP)h0$fT7I2i+r64&9Y2DqHY@s+1l4|t~;5RyFaMo^1Kqhb2_|k&P zmjM{X3x0@1O=N{c{u0cY-`Ki$O;iqVi7bh~6)u&kN2xK6Kq4Cn*&@6ozfzuq?o*{s3@_s8d z{{IPThkwr|!ChGUT{EKU;*>5<|Ho0gEJpK7KkPEGb%tB4RneH>@!6@Y zDJzrP3*?=&Hr2ad>M%9ZhDO$o$SJ!M$JvpG(V#<#?^xvXR%w(NI(IDpW#V*Po|P<) z6QQ7nQFH530jI(EQ$=1_?t9Fn=z);10a3_Y_25d1{+Wsr-;V4`ES+^ z13TqUAdF#OQ6(K6(r*7&Yu|~61<`CT6?_oAcsa6qkQzZ1QYDuTo|a7uTf>UIsYT=V zXpC7&jd5WT+EUlgP&}>sZa%bmDH3tM9uv93~) z;WX)#wvsJUnxINED^4Id46cu$zVWY-yKxgXS`fE~)fMHB{}B!TVNmCr-m|S1!N8_1 zh02dTu*o7LS6iSIQ;3VvAyFxZ!={&=B7Qfvri~N5bA_=gW3?RxH+3{plGirg5nv4c zQ&Kw(yj6SW!0 zwyv{)tIaO#ee^knw!%T-D^vH*{?V_6`Q^BTiCIOzdxv}CpDE+fji^iM#g*F1eEYPN znp-hynN=6g=-Bf{6`iImO_QQ}akgP=kcxlnV&BSc@HJca5IvTl-o*NDA|K+LVj@28 z5_?6WpJL7^4Dd5&lu#vyc%Iz!N3}>IxGE|0MF+(E{yg)6x>n&}EVNIF^scg|-U9Pw zolma%p{B<2^k`LJB4cJ=$Jxnsn1q7q3Qgpj-g@JvLXvvN!AoO|x(bf_Hy2S;K#pQN zInXEe@>i};_4nHs&5JLgPkK-D7hhh4su#}h!X&cQ&w!T>$Hu8Ck81b3-;J)>>WGZD z*ABVK(Knr5XLrAH&!sg_#9O^>YbDUa^oJHx-9gO57{ zVJ7D<0Qh$Nt>BHvq}~i`osr{Do#a#&VmpWg1>Vd|s@l+JoKRd6cB_9^xKKO{ZMbMK z<_1p%&wQ0T@(;-^16%W!y;|*z?%Qc&Ny>)heQR@TF>~uN>}xUX;C=(K>$&QA*g0ZO z`Kte1$q-D@RHNU_xcA7pP0|VKh+=*PyS4>h0*7g3fjS^;$4+IqZpQPFRdL(lnWLKd zL1GHe&Shg`xa8G(FvAax4zI79s&?bHRIaT9x7ws>3FVAvrttTRU_U`KQtM*2uHx^N zItm%7*BARCNNC>qgt@%0a->U|v+?me??r?e-Ozt)NuweaB2Xfnk)ooRtRj$Pxgvz{ z0QxSPHUdJ^(0t3c0O&aQ&~wNFzVU{3*=Q=0D1vKecsxA)Qr3eoYRDb@tZZP!K+zwU_JT?S&5vkN&<6?#(o5KXfY?3LPLyu%wW7Ql8kmJZb%&bR6)rMBzP%D z78(jhQ_y|NY(b`&yE0TTl2Qc5GJG|cfT2fOR5mPA?KB@R3l|3qYr)aM`%_j64%p%M z3~-T0xgb->2{YpXdnqUPJk}TzPYzJH@47a(OBl7u1BsXlM?C_VNwORM#sa*SBmG7D1#eI4)5HDiV_!!BpeR=;e-L z(0ndZOEL*jvP1{#ps#{F$E2-1iY$tDz+0Vt5@)ViCdp_G*IJ27F5f}`*=xrof<5o- zd!p@dpsz~tg&Fk@d2EK(@LbLnJR)4bjV)*{Wg$14|XuI76zw29Kn_H&DKy zG!9p}yJZSim)UlpNzIuI`Ewmk9c-Hwb)KQ)XBj6m1IMsxkCrY{>(~36vTlRtubl{wwGS>FAoX*5h>}o@7~H?O za9{~RQX0aiNz7dyiJKs5fkg~{G^$U**nRr|0(M@hTXol1fRYG;@ExHUoI`<_J`wLU z34ceiIc7*Ruw;L>&(~hA3iaWyzy_q#AJ~KMsNW`35oFDyYwS z6xOc(ZdcRAXGM4v0hNOBkCi^bF_>#HnCmg|YyX+pZ`kAbjsxr{>W%OjiS%>tuf>&v zWuexqqSe~HrnZGu;NOfjLn$r~|Jq;{xlL{GeX1?ll%x3jIC85_TAX08KipmuJtcX& zUsm~GkE(qCovpYKDCo7E;98S=&&hT;(suX|y!4z%-yRWo$|Ja@ShIvR&;koS=8DQ0|?0djQ}4-tIbdnczK*ZZ zj|Wl=IXTH%bPFn#BFf%_^q>=&zw*IHyS26MFm^CD(fGmrpa6>$q#ikE&f}7KjQ!up zm;%oohzH7(IAK6HgY}sQC!iWazwgv-b#Sj6S;7H+s@}_@`yZKSc+GYh?#@lShBU z`S6h`HP5oMi3WHO`OhW1$viW16-ISF{g7_o*#TObf#sQcLxT55nz^uuuvv5VAIT!j z0Ddm(My<7hqh&oLw-UeoSR-qjSA((RvZC08gB7fH4`{e}h{ESp6Kri2t=W9Wj+zs` zb*DVj?M@*Up&bhQ$9flt55M0mxT8ejOiG!HV*yUsS<;e^eH|8 z1Df0@J=pfL)9z$=v-NtH8RVT=I+Yb>iRlTOJx3s$X{XT}z8B;1&R%(%mbX?16lHM5 zXZbgNp|u(l6EAdPA4?Pk`JJRv&g=~^fG6F4M#KrXeHP@MLmaN)WS}Cz@!3}>nWAzS zP;uJ>r0y(Q+AS&%I*Bv6(4K@BE}Yc3t+RO7UeGHJ={yRs$+$;tJFKuCqYo~o+*XmS z;KqaQCe69N+*~L!V)L*wjK1=+76bcvug7%ysz`mHx1%*8^~Mfvqjlq`R{>J+srf;A zo?8bHy|vZT0@8!VI==9?leEzTi{l#3 zguzlMqQCq*GyM3uZ<6+kVH6?VnhDMz)&4~$SaQLcQ71)M)KW|z%-~0fF(sT+n4<(t z2#l|N!x6a%&+DDb3W2p^*fG$gua3%VgU2LgLCpLayfc9N52~%3S|!MYva>QXNSXLr z^oMTI!xF|4)r)XM4>6)mB$(O`|F#{5BCYz%=|}s6XCmoGbKV!*Vd>&59A5PDj1Zi5 zWKBjgim^)N&1A6NtjJ*E;3U)?hn($DB}UTL9hvnVy@Q|%2k}WaabN+W*9A(}7iREt zsmfe=(_i_}Z*$pibKBoZ$irl0&^mkGPcIN?10nAWv35JznBjUUjXEAkjN1WIRKY%w zS{6QrzH($ADq*sjG}RJ6s}_1hD*#^=vzieCl4s=qn%8aLtEQ#5FQJ0~HT9$>?k0`C zjI)zy-`Fi1cBBgB6kpGY0i4l&cJl~XzF(gY-OibO1L}{}G!U*ly6Q{AKW7>GeD6r6 z^?kz-J#S#I`g8P6y<~W7Twq@tB1+WXll*581r5?P@oZ;tAxg3@`dKgJr1R$c=?oqm z!M3#`9Kl^?eikTi!O(fM&s?M#%+W~IxWu*hcNgH_vJW!ze@p=rC4hxe;r0g!Y1P?# zZ9f)Ovjf!;HJ2<*s1Mx)>*|m2P3Eut9bacS)?vQ8C~w%J=N&(NA!TX?jM4L#9g~Ao zHX)Ras?EkPe9^*7`otsm7eZ$QxpdP6Q4>yQSA6X@9V5hpcaW5gYN+;DNJsxz#QfhE zlvNvgU`>0 zU}lEIXN1^@@Q`~pDi3qok}bB9**S@C>;`$Ep^c>h@kt3J*J9pFhMj2^|VXnEr{Y4X}%~XNCyM_q&K(Chb^2>gVsNJ1#~h%>K%FNokjV6yNrK#4m9ie8j2mU~TBME^$34t?-Gw5FQ`a@&Jsy3^vaHawIjd}L! z`utV~fIy->bs`+vr?Ij8khfEJ>KJr(gi8dZ;OeZ*%s-q(oll;5HF> zq#`zt5bbl~Sg&+Aq^m`yQ!md{(m3voD*S468nP)VSY4L+>JYQaq?pWeFl&_2(`DQ0 zJAyWIvmWCFPHPDh}{O!)mictTI?;(Ijl*?xY~MNf1{8%5UbaS2yyZ6P0=49sMI5ol=%kBEv`iB z?QbNPg$1~3ETHnFCUpanvyq~a5xN=02~$a@tlA?rMz7YOHZWzD@}aYDoT>r-cis*u z0aRD71?W}UD@%VF*NXKv@1Z8S^cA74zs?t3-tEytS*Fepj|C>oqXIs4YD2`O_8k?5 zc1i~4PQ_nbg+-H?Ke;?pB2OM(K9`qW z>m|{}H{vAoQX{HErk;WD%k98kiAS<5QSs<6yIq(0)kkaR(YaHdA-;v;wW>}wDdRKa zgZ$5Bte_i!$NOh+aP$4`byMp8DiiWW_o64~hQO13b1Kzu2~doWZhU^szs6-gzlwU; z41l%c37y}-Zg#V3!-B!Y%!RFfyjm!B_kQ61-kI|2z}X;DkFa{q835pxeh{*wLJ$_fxnbYXO250zDy2)-bdo!H=0__DHifUiV)@)E;b0RFC)!5C9HW_=sx6Cji(u zOZe|3WWoVhb!aL{)f(HWf>6y<;>zm>I2hQ+hdX$Ix|KDSDpprteW3{LFu{p`2v!)D zgft1(`Q%1sX5Ec}8MdYvVIl%_JcKCuR~j-X_(2K95LO|7cx;T@=&(4N9w=xCrOPYv zK2!|OM-fUY;GN~$Kc~s@%=KjmM#Fgaxz@w>!>or^7Y(A*A>7r=v81VOX#EJ&pFi|5 zild z9|W+b-f<_4%r{ICF$QCJGuwr0KRN3$Lm5Tr&bp>nC^6XiLVdlbsYZfjr zSEh6O+0`Rg1rPhX0(Dx~I=gqN(eB_Cj+qM_ZaV|z#%5|!ZkQc2uav+QyQQZ(Ya&?q zH8TFl3E&ZMNFG7{n`$}6-LM5^GG)#s@X*-RfZ8nyPKF&1i7|z3CY@5oq+>E$?PrqB zhP`*`gp0Q0qCNo^F!c4onBMp0dSEk{^yjZ0-H8Y*KUc0gC;@=LIkrstm(t(1tXTPaC{=Vw%!K{9 zBx-+m+_($fvE)t)W%SMMfsX~x3jgmC&jJe2*;;;2XiEp1Cx^GLRyKk-=kxrGR7WSK zrZ^{!SspM?!On-I!JIO~*dfjSTkuW)92zPLycd!*z=iq3{H~xcv%}7*6KxTCFE=>Z z4)8{FLqc{d(Uj3WD_84@H?>B+Tmb2Oz<1=iW8cB)n7#RpE>m23H9AdB4J1MFfl+*4 z8cC2}oI+WSq@TrX+IN4PD1vCLX}#2`vF#UbA!nzdtQmjHDD@nL<3i@a{P5ZB$GJ>e z-a24)==B;hQ46Z2{oAazpFY{EZRTgm3rND-^T0dLsz-Oj{H+x^5)#M#v7I1N4)?5X z{sC#R&qx==p)ri%d*bQEJlGw>m{iKw+xLt9^HfyYkNwtrakwPtZL`0;q7FrI!#Ta( z!8O^PLWcZF!AK;Dlu0bxmr6a@JvVP-kv1i^oM>9uLChzExDLXWh1Y*keJDn*i~)kE ztX}U~iZ!Z4NE@B)tt~PEI@Tbzlk&;~Nu4;lZ@Pi@8lvGjR;>5;?_;_xUne5k{o{Y{ zGfu_3$k zCCNz1IDX*u-&>OkD%xQY9HZyK!~#w8%H7_1OPbt{Y;bTskho@As^;@fM|{hNGR-vX znh;C-?QQmIv748#y~B{Iy$0D(yma)C{|e=-a`&+^6#ehnxgOZya`XW{!@EM%4e@n) zza45cxWK8rvGM#b7fm~}A#iZtXopN$pcMy^PDD5De&Ghsi9aK!Ar=SW-vX*zA_ILc zmiW0ibP7|FL?#2CeB=3GrT-*Dx_o1y0Z#r|HDaP3@u8P8%Q6q%DiqWW^KdG${6+2l9PBhfB6 z)Km`c*{{z>ws#O!-vQX5XK=Nd;f`j&jN*MYq04j3R_!rK&i!Oa9= zeZLnw>}OET-KCDIw>zw!$h5_%*U$pV@H9Swz>G+`)>o*{45D*^Xa8nB!C$6>-x;-pW`CXo&}DqWW$Y z`fD?8h`MO4O+nFu+o^zC9rr{te=Y{m()=WMMN6XaZ^UBij5XUgV`F4k_#{2q3RhBJ z%(-X2W{joiC)4wSC*s*Tk3L|EPDbFai;*QTrums3%n7*eBQ%8<>0|uX!F7$m0 zhpGc2NZ|>zYxh&_#Qk#q+~^F&qLSUbw{9{*V@+4JT1=1YW?1XU zwtJ34$>h5II4tR19Pap>iB#k-e!TPGzhD{q$Z$2^6U#h5nj*pUMa$WI@Vi59JvaN6 is7K(I60~wRb&^qSe~?G9m=UGNJ12}g_CEjs0RR6~Uv6*! delta 16801 zcmX_m1ytU^(l+i|+>1LDcZX8kifeIqx8QEYU5iuPy|}x(ySu+X_ulXPIhoAt?4D;f zyPHX}GXcTi;lbc>D!>9R1lWI$UB3S^uu;_i@o>@qad+@DANY16i7Z>{T)&7~x@HG( z5nReO4lW0smxGOry&>Hm0WNqJ!y(5c;b#B1A$f=>nyuz2?#t*~oq^5Q@iC`GhcrCN zewV12*y5NNL7z&R46=yY(Duvn%9F}U;Nql=_i%$@>b>pI`M#?Yqy;Lgth|_Yd%Re0 zBk85?c`)`)mSsrA#TCFT)Xfd?rPb z`xJVW7P%!5CLl!f-RWxhdnK&!xQZf?5T&Q3xL^Z#{rgu!iUOk2#7gpSRQPanz<_vd z$Zp1(;mmM&jU(S9eJhQ}^AeuXF8v^!r`DbGMfEzh9Lll~qBjvvr7rL>7ZTH;-B{6a zmMBM1T+G{g_?RQj(s=T*QFgMCoqci=^qRKc`buYoLzR!0&!|%M3aR_ifyC6ymZQIP z0e$ZFWKVL_0{i4D*vgcWiDsP!Fx=3$>Z!3iRT(+2dlgiygmHeTy-c5UV&R!uKP=Q7 z*NviJbQQ{3%#rp0ipmJi0Evx3Bc@z<5EmoArmhb8f z7B@T*Vy#C5XtUd&rOuJW;%#9Hy^5=zcfjWU(BA?=FawJ0GM0ta}Ngz7{;?@NI2w#KA~nzdq1O*i77k0^%A zm*KSIA>perj}y2oTO6XMFIcU~>@I{Rj--S+YdK~Y?h`|hihd9XEzy^O=W#`*$PU0# zU`ZG%cHF%Fp+|h4j`1!%qSWH!?RnTsRX}N-~eFB z*^uzb1YS}Imkb53v@Bg1&=1iD{FG$rOO)?L(ixps&)gy~W5L;O?D zz6@6j1uJ0;Z~B2Mql4B*Vfx#>eT8^d+*mi~A(Wz#j6pNxyz9F3f{iY(8g-Y(iuQ(l=+3{{k@z`0*5i?ZoqqqTWyPer28us?nPUlh;mfzW$i0XZmrw zImg$dLjeImx*fyCwWm*Xqw2fqo15j~B=tT~)N6`3I!W(t@>z~@7-#~Rn3#lTeaC*Y zCbu2#H9^c_FG0+LuM(0Ej?7OrUzgXo<}y!v)Y7@R1O0CN<3A+x*0_ z{)vBm8J@dInA24jJ=reHd76-F%&4n6dF!MqU^0JyO!$Yr>#^x+X~B=XiI+u+xJ6wu zfMy{1Y=v)>c`l;4PpQ7Q(U*cke2?~Ko$R6@WwC*7Ry}9gb$PAWGmG^%b7%17`&k$^ z=!uKQZ16IP@<$$w+`A=}*iH#~iS}--r+n_wizylmQ1%B z6}#F!NwI+Gy7iUnatrgkYQG8R*FT+!@gvjH`B%C zy*H;76HEe)A?+nWY-OjA#NaTo~yWs^mw^I>y^3iNJsgF3s^-r(S`th8API&TEpK6YmS zDDBFI9f-pGPF+yKt=;L%>b*X<_BM%;5>`%@&4GT~1GD22bs7EJ>tlyCNHOC9aA98U zYL8mi6Xgk@0r^0OWN> zza82=u3mTPzJFT&n}crd^H#pw$x?>C#Q672+l4D_G62sdDFoLcC=r2yd*-t!*a?e&@W5>ETwA@ zf`hiV*=Xbli^fLD;%TkND*FAEib;uyn4df>G|xOrt`QBvzTr#6^mixzAyKXYJLmRg zI8&|0`f0pA@vA<+307Dxl$z_58`(HDp~T;|EHa+0HSkn0YHrzC=yhNIeNIuSK5xP@ z9I=TI1KxLQ)(?O5-#Y%_pL89krlGHdq~0-bGmn&FH}B>_n*^<4JF~ z)GTqiDW&>AWY+(u@1L3BRn+!ZDwY_eV+N$pj7rJnhO zU+_4HCu+4`<_(grHOzE*c+aGy*n5@w zSIQ>KV=D*Qyf$wdd#KjQSH>*a-0~!pnVDgzR;}az7Do#LQ>haU<`(+ton|8_Y;Xu{ zMjPdsaPP1WA>~9xkC4cWkYsh`vx{J1(_4qx0E={^ZfB!*%FS+0o*EkdGf<|Mpy*2X*76=fw zcLG0O&g)H;MNF`?H*N1yuVi|frn>|CVov^%qqo{FhAH+GOX?hUc|fJDrXCR+MTDS# ztn&OnW!bVdL)EE%StPU^>uO$2zBc4RY1yX@nR7*X8^=-~=sDJ!@kvCeamr-$R9w1* zSJaX`fVT+s@#PSTu&rQjOp>-m_7%rIy-1DZwb@UT>0E}h9**++bn%r)84H3ap6!We=HXpn zR9#&9L(3bT|F<(fpN5oaG5S__CQK~w$?c&(vS1Jb_>kS-_@co=y|y+ja=$hMvwnkJ zvvAeDkVv=327{wyNXN}ojmXhMlk6f`D;t9&Q?93@Qx68odFM9TA&tdacq`e@-j&iW zjCuu5oNcYElgUVzW^y4-s|DIQ+a7|9B>2+Tx`>e1h!EGlJjpAY`za=P8_bie?fOL~ zPu&mwU)(j`AXjk^Uj%P>L@=I#sa0ZC|;Jo_8(I;8zZ7gh#7I z%!|be+O>@`^C9OsCGr{~xgh)kjR6VOZW@L9j%f4nl|BAH_!UUMZ{xNs)c5fsl5r6- zXPGK*>4~w3x+ql4y9hrC^OKNN%)J7R%{&`tYfbx&e+be3sLmn!0$6j2*?q;2jx?II zpadq;bE`I3?|J#G4u1OzJUAp2J(yS`jE@4;i*kub^h8D)_xw)^PNqsPij2w(dWsdF+e zq(St*x@gmGOk24C^Ur+>orL%21}O8EV-&db1P|Sbe&^9YAsVS=;g_=8DGj_N>A+X{ zT;P`k|5FpF`zg#X{-bIF@nU^L6?;$nuN9BaIn4^m+!PdzbXZL)XjbAz2Dww)iBLrJc zJaxTKx?BGIbyK*4 z4?tJpswDVyFOH3N+c0n8qRA-1fS!hHjRikTHRVuLukAe-@ zQEk_!UlFK@HV`z#4Ob%60Ug%42Bx!5)v;Ub9G*T?I-Ggd3gs%>K7u{Z{K5q@5@3A; z2ijfF0WN~d&nofZ*V6~VDyP&T-nhyIhX8EeYv2^3_f)2eJQ8!>v3uM|D0zcV9;((5 z30mHD;^YGkq*Th{QTIJHQwS5XJ*mnzhcpe=Uyp5$dKxTpe?5D@>nQqF8wkej#gXB5 zQ|J941@dy}`@-xVtc^w7V3O-Z1$BaP4_)1)am2W#RAehI-P(uR$c~UW29I`mNFa!7 zfQ1(+_Blwo&&NHSB-IF$*zT5>#Sx!;$PqmoU!CmaZD zHY~GO8K^)0=^;%5g8Qm;+HV)(NhtzJVh4eTM%IGPkek*du zl(){k@*fG0I^hk!@)zEApIPH#@TLgcmZvZoEH$tg`D%ZUytmN*U;d*GoK`xe`0*rUS98jrdr+A;!uWpHp#vUUDUrL1P=P)#14UQj#Bh{ zxNX&PAbSBHh4VTo;|9^p&N`sS$W&Q9ztfAIb8G48v4@2bd~t^$9f9(D9gm`|ZdQhq zPap+ZVDYy*G}HEmnGG+!8dV1pnN~%yCv^NS!Dj#NY;K=&EpsyGtHCl670i`lC$mQT z+W;)XjClL)0IVEeAAN{-H)dA5<`67nEd^TkTk52?=S_x)o%@X#za8Ly(NY%bS{ z^beLnS^KSd1~yAv>1|?;Ao~q!7Iq@og2CxYI5^f@E(kSsJw&Llya$4e(~w}ioW3nJ zC?baqTzh#O*?OO+uUjo!6v=uY?^BQm{}hnAKLs$%ga-&$k^MICk1x z0u3(X!34#N?hQcL@ZLN-Rf0M}nyg;539Cm#`lrJCQ^A)mtA}jKsycDDRJ|r!G=sN+ z0zTepym+VlwWiRKEnF(x2)?aGJ%rZY!ZeNN4T;oaqyKXzv$X1Lch$NmnCz2ISUYI! zlZviUs*h1g2>p%t|51~0;1iPQvUhs3qM}C_UjOw0l(Hs{23<7#N9m6wIIVt2XC}m2 z1oNe^!tfM31alYH1ani9I3T()nIJjJ?;<$J8zDN#3ligA=#1d*We#BNWDa2OWO~=L zuC*kx4Dm$M_whtC_VGMxST;M+8pS!`tA{z^Ylk`A{NAuXR37+x$X%oizm;<)_h0s1@eP#2IzT)st8LYu z%;apzwmcOC*y~1&mdQN#38YxY7wf!p;PI;o41?6A>aUYq-20aG<+A(BD1Ya8J5A7Q z!JBzrcBjdz$?2r4X)k;yEkn>z)0IYjq}w0`(vgVEv*S5?#C!N$YojS5RU%*WZ{9mJ?!g31NRNLT# zCS&+W-LPzSS0=gr8bqa}{)zwAj0X?M*9P@7i7Vjqr4-#PeF7UE&ZvIj;*-S|Gose) zF~rHIj?VgoI}`nBQsZ@T&%KI$>a& zibY|r^~g$)erkt--~TF)!^oTJ7{XIh1I<%%tw}Tox`kR5`6$Cu=iJ~KHXdGj)7g!Z zKD@peHI~j&mcIfIrNltI!eGVhb4BWWUP5VfXY3@F`odZHn7_N=;2v<$%e?hC9(%UY zdE8BNP!QP|otjWPl8Oo_HLkjSB|B(qQLR$l`8DGzI7{C2WRAHq-%$L-GSK%j9G9Zr zJNmuNi;udEmk;)OO}kO5%Sd?$lA!693uMZV>y_;^_tZblIG1Bl&+L*~y9u!zRkgMg zsR);(yL-xlX@Rlm@vY9I`y&G6se?1KneJ@Pg4!11+D;6t zE-Pm~^zP4Looj|n8R_lk#C9xa2Uyre!Aj2Li9!j%#)zzM%>PDPiq=f`{xmi8De~{* zOW0ZVPGxkDvPG}$5Ga~+<#T|>9u9c3UHGRNci$ON_3V%|EaO^;jZn<;9V0#NyNbQ* zvMg-<=Ngv&uw@~Mevb3s-A0(9QPusA#Z`$*&6f&{8qr-Ret9F(JMz00yzE%noqi# zDyiS%zGbAQ;=2?fO~MI25Am3?^8(A%(h`_M<-TtbDnW&sb_K%PB_wRs;#qjrH0nog z+Z98{clzR691#p^AJq(!D^QN(K1Bho(dzLbPg*~IR9G!f3YTP$i(K1q3O zZoHHPHIo6qo8ww<`VmCqXDgap63`Aa@Ip8uWAZ#p6FYh%sia3b3JSYfu6=W(v5VVx z%k%DJ=z`q0(DWr3!5O~M&u>>vwi9oWN!AZ}y0U%Md@7lKAjr+*-LW4ONQj1f@TxH* zh#Npv4__v>jG~AhdD7%Xg(2%IewzoU?Ja zO7Dbq5Dl)a3hEfmpLU{j$xPf$6Xx2(HQAUk)!mo zN8BGLBvtmB6zBQD@po9I$$L!0dEERbJ7FD-PAL6|fp_nzw9UaE%bvPFo?+wi2GYpC zo+1z?fIJiRL*6|Jk8UdcH;7=)rKdIwrkKFbzXvu#*EiwUBMP@I=Vl?UY~t46=XMO{ zW>q}PlN`vbf{!lixOzhZ?mRF~V{hmq?d0Frz6$cE)MVEv?$S>>t=awy<(?1eE5H4E zY$x%KeP)-$z0gHsa%Sk=96sOHurmf{$!){>CCYP(rRKxfPBLKCw=g zt-l%OH7ztgi8HH#=49#l2jS0&%y2~ZndYcfKffwbi`D&Y;*Rvg-u}FKc3F(ZXp8al zz>3hm`?1Jiu+?IYP(z;4c`~CFGoQ)qz;S8J#q)dVps{q5P~7Uk+-8Q6bjoabs;8Hy zqwKM+pJh=yRcD_zU>y){WkM-AgCFoU6IFQRO3 ze5p`pSi4%LJf2H0MUB#~iL+cB^NGr;E?`1_v`OvIN17qUu7s&n*{)zh-N7IWcgjLT z4o!##?XqBk4lD}VJ#5objEtIbfJ^zbF`uyRcALwGH%);mp)0N6%h=iu05>y@_VpN& zhc_3V2$UiT3>G`T$z-wef(T-QCnW5AFm9f!5@cJe1#uuNK;hZ{0K3wH=@2rgrz$00 zO}w=B6z#<{?tYTSV^XT@oJB{C!-s$t_3T~&@&OJTmzOVNSp|QyqTK`y=0H+VyuALKE{nL|((2JKgFz zxz(rl5k&7z(?ofFNp1h{pf`LhGys6#RG@#7r@0!3PUrc(*UyaD{`_*t_cZ!$L3w!N z8R-a^<$r`;W#kx_a*XsR+$?`@bhT6$5-5w1F??GufsGcsxTk2I=OmNZLS#AHjs%|_%dve8$W<714TUqyLtMS>Y<_cFlZ$5Ct z%hq|*`f&3!rR-yy)=a}#Gt2ogb5qtz=X2qT5E)5tr*l82W}>n~%}f}1p8Di*No)lw z%Pfm{1~d=0m^RCe)jk6lbrF+lgPd=I-dofWC^><!+GX&l?7_c%Z&lXvlQQp;9ji|rdCIGz z>SCE-AoD26eFrI`$@W);tRwj0l!Hy+2HQYKFXc%cSPX|VXDQ!R z&c^84h|VTRSv;3v;X7sVnz-eB9eY-E8SipC>C`yUHm{%!2dJ}8fHQO&6=Tb&hbz7L1}VT2OmSYGAS zxAN;b^~`ovUt%jt^;?Y{ef9-MmLp&JO2>(>MY)TVK2NJNV_q2vrHfhe07EG~a52bO z$ItYNqhCOly#wzclbxl7KS|*>ddPXvPHZZzeU}tV8ZIl?s<)?AZW;PnVM67-2-P1< zJe%B?-G*56OTdN76Vz^xoOuY+`j^8GA}@SPdn1+fVX?ct89iOLv++rJt9%*jbbLNv zFuTqndQS5J?V0D)Xodqtfa}|;({%<>0tOj5K<(+%cD=s&{C@kYHTZ1y>g(}wZP!Il z^r0_A|IzkR-1VNxDDk<%u@iPCyV5@6OnatRprl^V%^|z)^Wja``pXz-VQ05iC_#vC zw@aq0e8WUvx2iH{WVLGjsd>fh;6%N8b)fb#f|X4$0rYR?BV#`0^|H*4OOWZKo=z6Cjd8d7SuPcvX z*2}W!6sOWp(27Fb#g<@Zxbs*^o2&V`C-e3?DN0n3+R$$i?830nw7S3n+_!|aWbeH; zNv~3uGdD7>QfJ8AW8RSIU+yqh0r^0aKKAC#Q@!?Ogx!MBrtT1`miPyamd;UIh0H*Z zF>*Xe5s~?6L!R_Ru9iiZ1-^&l3=+;zqCH-sqTw_Q!vA@gQB_+wY%}mAETHg2AGwhA zDTLXUHm|!!J<&l19a?`a1PiT7)|e`w!LdkU`QJ#hM9@nyP3iIA4-s0C;5gt;LoGr# zA{Cx5@imbU@%n~dc=VQ|(-CjyLete3A%w+fgNZ5vqs8u3V7Ix$UYONO$&MLki>xmI{~?qsz{UH{-Yji19+5cxzsIw~dZd)R$o zdF33ZhJSSu|BYCRi~P+naYYA$Ni{kws|_rq(ds-s@i+QSds4s}*rs2~Fknj77d6h5 z0OIoMjcY7iMt#>+~l zY*j*@wt2#6MLjaU#BgcO(aWlci`XDSVZ{b0TE-T_8{+uc=g4VS*LMTTsWu(b>Dtxo zlo}m!=+KOVe8C9Vd*XDvIFnCza&LnKEE>;JoNwyk=Y9kHmLG3HtL5l!fcfleIl5ho z%~OPB-@o!sP_Shmtnb}@mITxXtn$gIYT)MUe1TKJ6Kxyo>G8k!T!H!Acli2Cf%L(S zBR`stN)bNf`YulMLw~@vn)Fg+h_5eK0BofWX(cOn-FRpf#zTSCJ{HU^*xw7%-tL>Z zx}R%@NE=~27k>Syuvdae8)iKL(h6nny7`nI>PiyKIkRxvNUkpI$~?@u)>I=wy_N9l zuPIkQ*JzR645eKwSlf6?5OswOS?D5wB$S|j)8Do7-|OZ-K8qf!^GA;`&c}1R`x~xw@z;e_{S2){{rCJuy1&TELvg=61|(36Qjh;x&8u^Y!Ge zL#`;noO9(0tmnRi*Z&v7XLM>6vSX9o+z0rC+jscSe7up9fqQxX8CKi5EryDDpkWDn!vgL%QP7TV}q84Sy1W&?O zi`$l(Qt-7KJS{7*V$bV|`nzH*f@V*fScU~vA`LHUgUHGx45^F)?K%=1ocJ{;AIPVo z08byK`Gpt_`=p$#A1Cqmi2O$u(w;=uT@EJ;Qi& z;9JZ)*K@EFoV2Is`_Ctfk8iU+kHAXPD@>t=<03NO#2i_=vgFnakC0olCa3O6rh$KE zhsYFTM>@t~01@v_C{;dMji}a!In0qpf?~6klExY4<^KFRE|*Cd+DX`@v`)6Bvo4QAH;i zKEp}lTCK9`)x7MB8gkPfWjFq{-TyBu4o_=e1)EBx#ieSWAxeCD79n0kRq=*$>U-)A0gU zKJKXxXQ-o{IbX6Ru+bqgJLxYg=3b41Dt52>q9Ip1Kjv8ef09{=lL2S~+=ZpjH8Z?6 zUg`1_ZVtVK{K`G7d!nT(n}BGjp#u3-Uf=8bwCGU$B|lLM(Yu$4x7-)&jtHsbzW5&Z zTZ|6i_v;UA+v|7DgHZZ5u>wef;u;*NMnt%bCL2}ETBIxF(AwNCe^?qpz_Lp z9Mc)JA|_@-6gCMT%g`!&P-%Q&ZHbQyPYRL+$|x{{Cb$~zGJ>F~e&vZQRXapRAoOyx zxDQnGVrzxgS4#zKk4#ziCgshC(2JELtB0r&R3iQ5)WOrTX=eR{M|Y-CN(luoSLZMC z4L>z;%$*9H*5g!aI2bMng6xt6%>yJn^a?AwA%u4G;U8y5hgMtkrHF}+8!qBk`LfnH zkVn>YHDJwHjk}Th7dmJ=dPB=wO4-TsbSFt`$GCn>&vf-}OBjQ1+TvL+-lO^-Vmsn2l$%alja1lBzN1rIu|u{9E{TyN&fa;0z#EQ~3TyimFxJp0UzMJ>Tc(c3Hw-8&%TU%So6?&O1g^6`m;ZtO!D+8+OA$@D zE_g{8cB=c{d-ZotmN$5HkWC+Ay#j(&I_I}_8}xcUdVkjs=S2}aymgNDcfFZOygIZ> z+LV)do!ryr&*zr}^rV(f=Y`kxYFj%*g*IjW)1oh1kjLeLF~ES>H(WNELyfbbKA&t!Y{ zteSIFMQSGIq6t;hAOZNkihOaQly&}xD%rr`7`_WX3^+<86I9SYJPpB8U-|Op*>?$B z7We-2>IGXwJ-EZ#eW<0AZ;#?!d(gH+Qno|Ge%(7m7gHW3&?QrA=2#6`v7&h5^G036 z$SvK-ElbGD2tOLcQd)zrfK3in#FhodJ(yy&53M!yCdsw9-sxZE(E_3mo^^u*XiQBi z;J-i`?cNlds&>P+RIV-kciLam6RjB0OyD0D!IjUa2iHYiTS+(R7BS3<-Yy-*VZv+~ zCCyO)0yx*?yS+cnU5v1Ymtgi3VMeEd1+=8*j=9!l zoiHouG^F3p&@|VSS_m=BBJIswyY>^N8)Em)B_tqZ*eq0Jq8u2^(xTAiNBTCW`2IMd6aH3tTaN})uAILlq z_2g{d!9DO-T3HrSbpqSmcE?2+F&z6@n%FmvooF)c#oTAR0aBAMaYkwR<1yF!38Tph zu1Iq1YSp`pX}J1=oQZ5Yd>R+n9T0IRdB&7zBD;N>c}V4=czReP)x3$|k2eJc@nKv8 zxL3pB0~X`M{=>hls`W7@YN_TboJ8>!LI@g|ehzwm3(CQnfKDMlpL7zNfc?Yk!^MM+ z(>yQB7p!xJ4b;vfFCWa^dVbZJO_QN8wKp)-AV7BPm+{|@YwUAo~QI2fjdPUC3aHg9!3j>xasEON0GdL1e()uV(H7Z*>n zkSCRX<09EDlpp|Srp=RRgX3&|v~)5d?tdw&DYTfUSTvRJad1d_0iuKgvB3;pP!PtJdvsme+p4 z5060HzR$SO_mncwh*6R5-8V(_^U&9nKX=-3t*IrVW;#$fkq#)QI{5Xg%nVa4lK_M zBUlUZUO;}%B)IY5+C#J*VzeE?0WZA(i1Zy1pKA$ju(Uys(8+y{3ChN|OjFLGdUk*e^E6&z1^ml^khZHFS6!1DSN z%9A-@&Tj{1GY`fR!fa1x8H@SQvxK>KST||+Y-~yGQsU%a(>FH3Zuk=nSh0W>#wTq= z@wV|dJM14w<$Di2!etmYxBGB%&)Y5JF^BYzQmJkiWXL`BRTvPt2HFoQTmjoOWtyZ2^HVQuqWx00=loS)4H z_iFQk(svh78vRy(D=3J(J=p=^_I6@x*%Dn@a};|Ki`0#A4oT$uUL13eJorTeqG8~STPhS~K!CG6r9G%a%#z(S=tpJqJB-ey zE7-wg$~Bwk@;<@guy8Zxc%=dwm3Xz$;6f~os zBj*$bJS%@Sc-d=LBsnGcz{I>p|$w!~A z+gXeX%mKO*9*~vlv1pWS#rkV6rp9}pxi4PfNT#>XY1|ML#n2@0qmK;d#YyZ*s3jOS z=pRD;y}@rU!mO>oV*pumHzad6qyjqV6fPAEISP4&L{IB5T>CP7bt^pMrmq8qo5|3i zW#*!`rpll8RMw&B1n6-L;^ft#KwqxfXS?`QFG1#s zpb$X6*Dv3vR-A0}Wm=#67d>N@8yORLz@c|}UWzpOGU`r}eM6UQ#E~kL6VQVBOFyi$ zC%kzkyt#}J-E2WeH)aTIIwLEr%b9FrN4y;iZZQ|+TAb(xrsP^Q`{n+?kyPu)h6Q?l z|6Ua%8Xz|bo9QWdgngrgC|>uFfE{K!Qp|uho~O`8n)5p@f@IPMFYcu7W|7g8J+$+e zzd4G}EW|Y7ix75L(NUY9eJ4L_yP0+R>khe&J8%<&NUSks@bH`)+Q`xP}wRl0;F#5o*Eq|b; z|L;j8v0*2atW;D3nD27Q}<>M-HyN&GopdHd4OzIJ;)jO{hP-wu8B?_zo2q z*VfcKhF=MPcP!BE@ixVAeZIr&#WpTKbMw$f(-V>tNv=hG<`C~$nCAvN6mzab-xF|7 z5?p^~2Jfi2CUPof2M{{^8J;f*t%v~3f*SeI`7*<}!2fAS6d=Dv#S0DVvI&|3Zy+1x z?DvP8woH7`Likg&_>%gV5Gne@q3H)S91{EkeS4L`)M0wx(l=jQUTe_(3bS9iZHPWE zdJ_+N7X$>hx{NR*d{l6mkZg21DWrk_}Z!X8?X`n6o}zK zf)=yzUZ66RlNt7!6$ZN&!heK$Q;l&QhH+hjQG*C4Ii?rW(0o$q0n>`f=b=8?J`rS8@II?g4K>RaiYRm@@4XM`s8+6kO4hPlWre&d6xLZj( zh*fti+k%X|86BFIvTZv1sMrmt5*VLv=RxIMVs7t%9#Ud@umdt`LP&9VAH=3c>CJE6>>~rb;yBJn|FMQ8v(K}w5 zLp?c*yP?GxvDpmfx@{d2eFOG@Taz6vJAp z(gSKNG6TN<(Qmeet$FHRqre`!Q7}oWq1zQ(>SRZLF8{~AFAw7X4&T=HV*r#(^hqD0 z9G8Et(%#8i`8HNf*6Xg<1JO1l_8P6g zh;I^sVK=HPi#(97Znm7YLv6peo)wlvLP#{(&e!CPNRBiT!&0dncMK3Ls`WGr=EI8^yK$q{*DQk3L~G9^A&n0_5ndt!7_o5 zzlRT1WT8__Przzj4(NW#w$s5N_H-S`d83v7_We#X>^+p@S)(*oh$;4Zh4|9F3y0sm zORD0bvAIKGw(whODx84(zt|?h{?oQDsgr(jwqi*7yB+teqF3^6`JGe;1AbW|5(uIy z$Os{NlbvDnhomE9ZZ#i?^JOzdRXa9Z@F@Rj`YGGBQQ-QUJBY1bhVLy@=AGY71?3QI zt=y<#kVj*wG)8-U7wAWPb_WzEEMn{Al_Ma4a)ZS+9utx+h|8^&U>uq zoCCu(aF)~RyE3^OO7Vf?2xR^#e{!lILy@5~1o>|pk{%NkPxH;1t*8KST~=U!L>L4ug95Ea46 z%gIaImgMY$lyX3|RPh@v8bvacgeaPoEL3pGHM(p)y9h=>K85r*5pYTf!H=mc*l>LB z+%FiQZxZ>NkLMG%mk9Mia;68S2V9%pmv$4soHT%er2+jWZ+OTt+|fVrom8^=sXV=a zf?11N6@KHT=h|pXy+%We%j^orUsMk2g+{>{zrmx73`q;{iu*r7^t3fP>Jm1L@twpS z9v*iml~R)pjxls^_1Wi;(``3lOvlkBmUxXO2(zbI>(3sER#j4IZIzX2-*e12vLx$X z%Gg`dA6g3bQ#Hp^rz)i6dEw zcJ>KYJ^uvMplmR$0=I9PZhZq(tiQ0gN6(scoX!;5ZHT>wvoJiJ_VQk%edxY5{F=eb-`(0ipmgixQ@$7R|ca237$-p z&=B`^RkofjkHpuy_v+W47NL-yZVH^W`7v)M#R;uOsFzLHoPv^goj#34CvRpv8F%W> z-<`;m%?m&O=-}_3;pXIm{Q@y<)|B;R$$lSO6}-;uYB0#C8k}0=`bxU(lQ7GI{H~vCEamt)|VNL`oZY<<{iQQv3^}cqM?SH`1ytFM{LuV zhdOm?8|DBk3qb@Kq+dP1)TLj1L83Nnwc6mwl!)UvCPwwmIrYkN?m?Z?4B)#@>0*P| znR9SW;ro6B>f9Rca51t`LIO;_g|KfI$5hQE6UmHab~im=!eSku*1S7H59VE2^(W$7m7rdY{^brOeI$@DiU z@$95W3wl`)jh@M)8?ZM&GZL1h6r8ZrgQcZb69r$HTv`)zL+u2o*iOIwjJdR@h=}#~ zo52P5nI(jf(D*c=r+oh|3@5G06iDaCZaOo17&^{@@r_xkleEQNxn4s{fun52+m0*RZG*lArudtTk}<3fjU^Bb2UXn#8T<>Yj`fA` z!A(%X9~xP$woXya{2nx7Vuj=j_NH8-o!2~EFHbF061X8Xp=3Wjp11eVZ{prTlfP-E z$sN(W&|fScb^+^5QiVj?fY3;?5*@ez{Y7&oR9jN9(YNBD6#U*+78)J>TIbAKZ8NF|G8i-exKEr*Wz12%ZO>?TAH8au4qWaeiU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..754b594c63e2f6dc8daa9103ef640b5d4182a42c 100755 GIT binary patch delta 80 zcmV-W0I&bp0oVbMk4Z>iU|^^!H1Pvc4BS8*?Ccru;u`Gf4i;fx0tzuPC;-Wop+Wx_LS~hIslTGywnr0RR6%S0M5L delta 80 zcmV-W0I&bp0oVbMk4ad;z`#&dXyON?7`TBr*x57Q#WmQ|9W27Y1QcRo&;XJvL%R+G mDF$gE1}X9naP@Qc4|0tU4sdn$bn|p}4Ds|wXaWEL0RR8G|06B{ diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index 34bd00c..cfd60b5 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618739753974 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 05:55:53 2021 " "Processing started: Sun Apr 18 05:55:53 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618739753974 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618739754034 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1618739754144 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739754194 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618739754194 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618739754234 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618739754544 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618739754584 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754584 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618739754584 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618739754594 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.431 " "Worst-case setup slack is -9.431" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.431 -683.489 C25M " " -9.431 -683.489 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.421 -1.421 PHI0 " " -1.421 -1.421 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754594 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.384 " "Worst-case hold slack is 1.384" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.384 0.000 C25M " " 1.384 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.867 0.000 PHI0 " " 1.867 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.406 " "Worst-case recovery slack is -4.406" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.406 -132.180 C25M " " -4.406 -132.180 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.852 " "Worst-case removal slack is 4.852" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.852 0.000 C25M " " 4.852 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618739754604 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618739754644 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739754654 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618739754654 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "368 " "Peak virtual memory: 368 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 05:55:54 2021 " "Processing ended: Sun Apr 18 05:55:54 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618739754694 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741641484 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:27:20 2021 " "Processing started: Sun Apr 18 06:27:20 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618741641703 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741642546 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741642749 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741642749 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618741642937 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618741643562 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618741643749 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618741643781 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618741643874 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.035 " "Worst-case setup slack is -9.035" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.035 -651.992 C25M " " -9.035 -651.992 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.356 0.000 PHI0 " " 0.356 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.263 " "Worst-case hold slack is -0.263" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.263 -0.263 PHI0 " " -0.263 -0.263 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.391 0.000 C25M " " 1.391 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.406 " "Worst-case recovery slack is -4.406" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.406 -132.180 C25M " " -4.406 -132.180 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.852 " "Worst-case removal slack is 4.852" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.852 0.000 C25M " " 4.852 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618741644156 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741644312 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741644312 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "276 " "Peak virtual memory: 276 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:24 2021 " "Processing ended: Sun Apr 18 06:27:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index e2eaba9c21268a366524975489d19d67bbc83e35..e73b492be074a7bd1a129aa357b505de956414c4 100755 GIT binary patch literal 14138 zcmZvD1yEc~(=Ha=gG+FCcXxLP1ee9#o#3v)gIjP2?(WXw?(QxD?&f>n@7}t9{k2tl zx_f%MpYA!+J!b_C3=FIi0UY%140?%zu9{{}&X)Fe#4K!#%#19=qOO)Uro^mlti;Tm zOf0NStjsKI#A;?vZk8ry#L7k{*2FBtYO=&yX2!%4rj{X%_>%4ar@^=^gd>ne{GnzK3= zm8G5QYAYvYngRefH};f2!e2QPYeSgRQo(VVxx2xkzSr6i1p5m^p$5YGrNJ_Xhp{jP zUCB*t{~YH}r>eW?NcKI|yp*@s6rWmiw%@ok&E`Mc8)<)Tc0QcUs_xxfSy}13YI3_+ zTjA>Q*LsnGLirnvKZybE9zQh? z3dw)GUOj$uzwp6fy}Ac`{OYqrC>|GA{HA|)Blfa(Xz;tSk%hOD=YmK-Qxe67A4h{X zYt*Yjw^RZf8tAbW!zsDDJJZZ}9v6DJ$(IpRuuL8+2?H0m$sh5sEA!!iqaan#vzKSV z%zO5jAGBN(X0McgyT0wmo}nK#G-K+*+%ZEqgtnnNa{XHSSy2CSXi)@x z=Gw!B`{GLkEv|_Ei&Wir>Rc%A=_~HdEP2TeKX<%Ksn>#yGwLsjX0jgDLQsrSiT(Ng zQ-pE5Bc={)8RJ!c#1{$$x4?v9B-k4ZQq~Q8q{mlPJlYc3@l>kZQgl2p}=_1#^OuEh@CaIz-@ha)_BhP<%+ zTdo(NHL;Gg+gz%dFYM@amLyl{%`34Tm?VpmB$x+3BvOK`V|J9LX4a2qa^4eUbC~rF z7fxtx{SKM^Zdn)%$J6%2Por3OxqLxCqhF^A&R&y_oBdrm31g^=s9X8N+qxYHhyp^X zadXTi<|IDj3Z%t^Or8$`?fjW(vapMS-4DAy?4ySPgRu3!vf#qG%FXWkf?f-BF^xEM@pq@6;@?)WH^`!iJHN!) zONv%)H3whIn!lkI3Y^70_CzTTdk01lRV&5r>VM>-EKqIo#tyyB2xjDfebOu-d20Rg zYXk9(>?L^j;%=m*-d##J8Y1r(NT_x))<|R*|Fy0!C^I~; zn}H3CUke5h3?0&6-yoU2u7YpS>RDGjCBj(haeB3)7PoNoMT4OHoQQ5Kojo|RH^RSb zXeGyFWWS^KvxCRI#xoW&8n~n1o9(h*DAjQEq#}2}U-51T1#7}CD|oGgOBe9r^w_!- zLmZP>eD+$*)!mY=b$G3#3BLfKtWnh~CD;(>daT3tBffjC2t*S_3lB=I3!=V}U)}`#E$Nw^ahTPg=-r!^yW8~c-$O|@!lPUj_ zq)Nyhow>aM{tKUnXN=t5QKB8Gx-##SFKp#B!*oYse}b6D;-N(d>f>?{xLjMl;^2R7 z^u!j6O>W1^o_=BC-5`i|>6Gd?7Wf$#qZ9ID8<)T73c5W&g3LQu?)QLH?YXQ4%?7k3 zmgy5-r`3LH5N6K0mT-!juzN6-^zhBX4t>E%#A2mQTdpML4cx;d5f+aCo?h4y2T4Ju zAa1ff-*Y{2>cl~d5XAG+1qz7|e{3cA0((sK*RGp8DPv1f);t@qMWC84) z*%QkBlk3%o8mhL!A{TRoY8K|U)Aq>P<=WV`rsgG7&RghF$eKvj>X~9&lC>j$jGK6Q zKlmm@uoLGiZ@Px%#cKZ1A#=X=k569jm!@H`$ySiNkw>G=d_RThj3tPi?NHiFI9|7&>@53>6^e0uF=@b zUr`rLUfaAK&2M+A=m~-^rVGV8-kZ5jmGZWSvf(&0rWbxGqCWXq9OMm!xYuB!=24VE zj$Kb)@Fm2(*Am20O?`RZ!yz$^-2`Wr;emcc6YI-iYdh3Os!>9A^@fqi0IorktLSi^ z5{gxs_1npDgNyBb>%T)FT;Aa%mx|=5SH2GNYH=pgEqc^!B=HN%?U}W_zribeUv*#M z!)}yIvTVBRDHz#a>}L37(anK$`K^Gq?WQ4ch{#WI{zFM-ITSh2TEBr;pZ@3xa~5BU#L*s7anIT*4GPy4-NG4hvf`7 z-0{n#5QUjfqCz8ehtnQ)9fV4 z{3PH0Io5dEMPR4i^y4)qo~QDR{XOZfOA({BHjj!3nyuGEkwi>q%NNW0t6)LeTJ}Sg z!?ny)rgM!K^mW8rxku6y)FU2y2dVG#+8E>cM1izSm`^!$g;> z)?KV3^D0yFXAOPeQ$Tq`349;>4t{eDNv~x;959;2#F&;v@kTLH`*@?J0t#m;6pA$; z!3oxhxB$+Lq-ztJ2Z|?NVe3PLC{Pf}H=Y-D4|T8GHNXHy$g|83y;T@4lc12^DU5M( zt#BUG_1t2o%zjZ((QKfK&`)dREIzlCaZn zn~~eVAbTp@N(xlcsa4WRC`YIv=}g(Bn%j%0+E}sv{v)%wXR=3WSfMb=>Z2@x@Q(2) zYp(6Du|UeB;g>2lm^Qs9O=3$s6$>KKahOgzSQ6MC3vjDGy~94yP)#j5x7UEf+in_$ zp>;9O@E!pFkxp39-XG=Q*Y{u@T|EZqW$5KlYTY5$BipSw+mAS@WR)#^0$q#!9z7@$ zD3Y}9#|e97DKapxsU^nFC(s#+H9j?mZ*nIKC zoI^QB;PV%Ji0TP-IBuhm*B3%Kh1Gwkhx#e``}0@_#UU~&N}&Fc6BfeDJ@Izb>zgIH zxuacl=;y1Vx9xg$+B~8RH~X`m(&LliWBbCn+0{!syMVe-=i;!}%<1MOOC!D(&>>;H z)%9cWbKH6IWtZ=3+cwT==8z+~o%)48{`Amwv(QSad)dxp%*8zpUP^&t}9Q{j53$Hf?O_q#2tvphT&#zBpj-yklk_rN84ixS3r;(HxlR-I3Yxoz`BBn9v}| zP5GdM%q|)knbN_hV^6d5!*+>n8E42r+LwIwXkDb~(dLdC!{qPDbGzk0;M&JNQ0bv+ zCP|vJ$D8_If?AIWLxc1e@2|1tnT`2(2lV-^@ax?R<}a|4GYnMzGmvGiMC}Y*Cxcjg zflA>Hc)Y*n@B?b=+$#i^b+eOW*`ji|W5Z@*Ezai4X8>J%IE0dM(b?Wy@yC3U+^vfE-b*L&{Uw#Jr7@do@`*3rn+DOTT4jnotM!ehNqqlfD)r1(h| zUnLq; zd$DX`Y=`coGDk8sU!8;E)zSOR8ZfGX7UeiNwh%O)HUA418)039YAj^2_tj}p)T>y5 zF!xlg5(kM>e~{3ppu_>jz(UVK4t$?JF4o=$M!9`o&LfN?h^VFx`MkVA;EKT-(qNu) z(@&^z50GRacK~-Luflw#CgBPH2&=!@&3(Xnw*bsBjIW&`M9jVXBBhxrEMfu`B`XmS zN+aI5;2lmU30^e_#AoRTe>$u2C#<3bGWMgR+DNkeA&euI&H6*lTw7~~gC=nbvx_E? zfch+Q#-kcT6zqLd3V(0v@iob^!rQfdC_n4<2cjweXMwHZou1c3MnT=00>ykp?;MVmN&;iOU`7pnr z!wTL!ZnkzX2t#Jf`lMCdS&xa3_fLs=KK; zA|$04&9tTSA0?PNi=>1g8~eemFW10`edE>*wPLzdI9|}yxj3A_xx0JIuR0(Sk!b%= zpp{x(qDg#1DIx8?_Mi|wd>Y2td60ohAOYa)4>%+j>k|q*qGFY{b)i6d<+D*f0~QuP z2}_;#^Riw3iVVu^cB+jvhCMWu#n#jy{jtC_&nAJTrNKpelv{4?c~kG7cW8}Gr>}kd zN37yy^|+J!P3CI$w>e(B%hha;TwA5p)od%Sf=$K&;kCe7kw26U6;%orp`TUQKJk7O z%a6|Dm2>*zU};{GJCuaGAk=^=&O|?Mx?lB(+3FOhP1d`8#yn$iGwbYp6IeI8>a29`lQmgp?hI3^1 zV^F|J%uZ7F$|W_kh!YYs!ICT*XhO%dgHg&_R13R~#h&pP8cOnM_)~+gdtYZ+wSuL5 zHD@#@Chs)2xxTl!!F*CXzBr3*I=AarEjtzcP&9J#Al>5%#J9&>i*5Vr$%%4DNp8WMCFkmSHoya^q?@Svr8T#rKx zstQYSLpu#j=%Lk_f6XRi5bat)SpeuEt~#L3 zEB;3rZN;ePD58@cbZnaICr!o%c|_sg=^9NqC>#^jUP3x;cvU`EjeRE}W3Y^}Ju*~) zvd`>p&6MHAb!jxx{^}H%?q`;^PL$K{N3dAmsc-3{{Y@Om+4hZgC&UME&htz`4W#{Zyub~R-@ZcZ##Kk2- z+uTMjBZ1+G0*INpy;NtC)vkdVQGKbRuNYXC&p>g$i8$b+{XA+WKfsIHK@Vv@v@FI` zxc8u^?wZyd$!LbIF>1C}C;p5u2CL$OOl1;f9~kE2Rq2^DQRdr}WpMj2>xrySrsW+!F`kHYSe#APw8roR3`uvN&Magwxmfi(>%9zs?ZjZU4hs7s;l38Xt zS?#fN9Fk|mH_KzjJN!$9NBl8!zNgqNzQ9Y=x0n#Qcrm6BFU_rltuXI^E^Fwhb3oG3 zY|+vBwZ|;c_KVY#0EGXEq{x9XB*77dz#Eqt2={xmOCQb2EKs*N|^-49&Vfzi>AG zNpJ(kM|mFgYE3`E;|cT5p+%jIPy^rUjU&ajlq*B|jQ3QnN}Ea%VhOuiD6$nPZ@4v% zX*HenIXI+}*Tu-p3uE-Hxmt`spw!bCj`ZocK0#D!M0h@V^l_}|@G~v0VJ|f+oXWUU z^uRC3lrwl65z@S?m@#8WbeUbJwMxf7J=*+dG!%W*S{K_8wcA->ywD}R z^kw+tZV7u2v#LDI2V2k3$ii}0wbUU#%M|ue6>d+Jlp4wRwJ^rYqlZo1hHCF%UpkWC*pPaxFJIJ zbn2I-YJ3mzq2>5h=cWxf3Qi`LGh3tGX7jeP&^G=7 zp4|wQt>~#S&JvwJ6-&Y2D**3ZDh{srFKW?G*LUM*S6f_KH;7Z@Z zeigmEgVv(TLL1|&Y!TAPcGwvQiFlm1QiPQC@A=^Qr#LZc3i}96K);gmWBkFA1tm=AAkS6tsX zmgp5a&C9>24nEb`RE(&3E$u99(7V#ob86eC@^YA!HPcGG>q}tAvW@jr>Z!<*vjQ0# zh70{PQ;*oNxYRP_?cg{!Y34^JtN;uVZ!8mM_NV1VpO))&88Ip&h(x!;py~ZT!Wn;R zl1t54xm7k;MW)lNSknWFLxxRLD##`xo!DjLCt6vHa%Og3&7xLW8#K$QPbmRr8rJw3 z%S@Pq6)UPvYU6meMLC<5>2Fq!<0tKJ7O8miEwZomfVO(U1)A8R{DeHAN`yNf$2BWk z4LQc9I4e6YrSGdmi)-0@pX`JxTGqKg*%1NPs&XKjh-TIRCEe#z98D-$AZ~Wet}^yp zM?U?IZN{q5f>z__Mw*gkMVpmT+g1Ddu~AU_WSmAhE-%uPX|QcGoj4b36&CV$h;94l+ScLO%>4#pMxitJ@BOTdTg{kVg?`1||VN%}TU_@B4KdA3)qod&>KL?t?B z!;e6pa=^o;mceiPxp6;dvvFF&eX-86^oA&th5FqJcqGF=!GH(tsAbiQTHU%KV_Hm( zn_Hua-P@PZ1-Q(cnRw0Bhk=arr$*AqM}MuUam0Z?IrD7ZAyxI8Is$cCR)7!h`*HoE z^tWn|v;puSq&0QMk+J;om5>cD?O9~vY}mLlSc6M8E9JK_W@xvR;>bZ##_AnL^BdfR z?ceNHwZwqdm5RLyB^$JMz_nNqmov8}2}<=4N7MF6b8==`78}+T7s8&V8u<6$O-^dA zj`Hz3y6m%M#mwGWSf-9D{WDX5cl*=oIx0Df@#z8^YE@-hP>}_KhFuZ{%k!pcg2@YKOM20)F%kHOUw?+OXEB${ z_W?F5#%|H{yxp{IM$1hXYP1ms&(vj1Ci07xSFSks2P*Oq<)~p<)m)nk(%*g#*pxan ztZ$`2uVu(SDrlXjau~ERbM9-fXRHcK6ntM;Jcigti%!fzvRBg|mtNEZaMOstN1p0) z0n;k;y|YHg=d>NyPt^g{#57fyiyew)53&;#%@?kql(h{+uH1BA zNrUASGc|oT$y>Z1Sq-JQ9$zz^R5taDMkRr6vFDBXF{?~=NE|$=0lihG<^N>;c+VZ{S`4G<;Ro+<8dAmZAHIsrS1} z`6o`Ly(I%%(vo}~JtMTGx_W@vMPyo+Ug{yy3F`{2k(wa9YRP4Jf3%m)-$hX+t_w|{ zouHiEq;R$}<598NPs|SZ7^)|5KyLW6Y%Dn{-V7&Nol=3@(4bmWF_=kSjpZuVneVAb zt_gQ5tkjF}75GDR&-HQS=Y$Es8r_d2jQFQ3PwaFEarBMIPOMd`P=V*OmIEhKN#Lbm zp4@(7Mp>#`yIA?!P=ojZ<~kz1^m;=|Ah&Vpj|}$oZ#QLX<{zIkf&dx0M^t+nW|A7; zKT$-^sqG+@LwJ;4a3sq~R5v?xzcDcMmEparw9`g5M6@Cf6?x7m$L-83x4)+Yt~KG0@m9OKasOI$5qti$EuB8#vPOU%Z;jf52gebM5T30&23Z>sp0-ms-zPL-J zMIu&3TcaLJnx8Yh&x-9PV>4Q!o7`#zTZqWV-h6)tKdO;u#Jf{;cIxip82w-(GkYcn zWxbDH!Jo`Ts@wQoh{g%OuG$9 z24HV*RHb0)+coJbCt=@?>DPkGU}Prh6g&rALM9#a--$0}bPelyIi`xQTuTO=hOJ5^Aq9}DHMlp~_338%emJEYsz*6k5^-oT zYWQ~5psyR&A|LS&XnZT?2Gt_y%$wPmP+Nog*)A#(j@$(6Td4pTM1`~54` z!tGlXU(15kA4E*6kE-oYk6J1Q448)0>$#V40K#72Kys8M1$niV_VIT0snhn?^gqk0 zbu>h{3#Bt!?Z23e0BN5~%=irFRkIqQiS zjF>~BF6Y|EL-fQzJKF$hVcDeJPvUg&#Iv%nbIqyLID@H7A|(omHy06;320TBo%&qd z<)vSu%1ALwCGU8bT)EG=Uvk^X08E=n)y%&|W|DYsKQJq_OzkiJGio*frV+V34rZLt zUg6dvn^{rO-)TWvdDG!a(HEsdHXg#jk$ICy!can{UzP?qu>+}j6v*0b%4gHWYemJJ z1jLc*16)5&JQC>MVRR0o_RL=OckZ<(#^l2r8+wY{T?#;{+M`e-@HP7e~RV0}=rPa`z(1>B0 zKQ=w|$EKx$l1(&B`U+$A7WxM!!j68O+gJGw$kXIqwUH0ywy(b^D{DWRbesl`ecDV0R3=hbx!67`xwGtnz4{skrUqT5 zr{&yTGqvaC5=2s6a$_~z0%uoo1vb6IzOA}2pj(h1)DHV%30LcnOjebSo!(&n&BuI8 z(*1lsiJ)E@N8MOljGdZ(``M*|?TX0d)amT6G~~3^BhH32YYE>8e85V2Z+K zp746jPznbV@PqcZ?gDq?K?B};ji<_!QRgWpwb;s6ezFXZ;|Yq6MydsvB(0=e0(>8d6I-q1vWZGuCn?&NLR>M``l8p4+3$javI6*f-j6@9v$1j8B1{&ipzpSE)aB^=j%3e_kCz~{(Q`Jak92}sa^1^l zXj5rXTDnnMSG^ZNk3rIVF(BC^{?P*9?|4tqR+j#D4?4tD12pGWsu8O%M3oyg@*0HD#nY1`I{1^~Dj&-vC7*xzcJqc2c*3G#Q9!oZ!WBO48q zk-nTV{~vc925hf&<=DG=u&%VIe`#_2{q98W0es?Y;$@UEN`XOx zl`R(FMGiVEG7Ov7^58yN9t>#~+gIOa1dhQ?V@oXWdPtqwJj)J=nyXbqqXd*X@72l2m z8=SfcS+lgVvy&k&;*Z<2XEgUWYyXw`{Uf_^DJO6UlRr!@(fZeH7VW=g)&4b``mb3p z^?%Lg{cGa=$A8S;xRm_I1g167{$}RCW0U`kA-lBvc~$*)Uh;q8{C8gAzpjb?8xi%t zt|k6;P4(Z1ltCtV+rJXQceUspDVRHOo~XUOm8#0Eg*m{)c3#A7|50-zdbK;~w>b-~ zspIX6mF8Z2Q+^iwgF&v82H4CqoaIy?5QjZHm7Cxn7|`{Iw5$gfkwu+vkf|OBUm)em zOQAiC<(U-?4=f{txdT-;T^#d23f}sxXZDv3(c1vrR5^(?+9xsHAa)N+*4}I0wp=&d16cudb^p5Sl#(`-j|{A_l6t z60?lS1MD?z&1v?aTpceiC>^gw*foO{Y6}Md?NdnRTg)?@ir0PO(T$^{6AR8{(d3Ms z5iaL7it?Kjlg#R73s3HkRxV|01ErE*&)Nrclq*Jl*)7)WLSsGy;^pI0b!o;s=#ii& zVEk=fcuPS*R%na))Qgx^IiE6LRK^`Z4+fw}{}%4H6XZdF;#~_hq}9Z9Ue?DL&x$~; zoPJv5lzCn>BrR`^$N#GE{iVNZ#dy|_QiZO*qgaelv_T*sON$#L5!p&yT@sLIJe!4} z{B<)5nuT;SyUImVhc6AFK%kO8oF+m?tpt7^lhZu_Buvwl|tcVOH<8} zuZVIpHzPr?xj|f)WX7pfY>1qxjOGwUo& zQ+nhoZ8E;=G~$!d1IXm6Y(FM?Ww(BgRi8rYgNR)TnU>tSmG+*80x{bPOiNPiPv8YJ zs&HF5Iezx2X-uqw!*PYY^^xhSnljSL%3M)LZqkI3aI&1jO4=%jVWY26Et}c4fykKA zpB|z7m7C$B+$!fSLiH^#unb6p#+dbqLH8XHIW`nFp&F7SIx)mva+t8Y9)$(&PvWcIe1D~_GP%%%4af0<%_FVFtV@8BMZ@}hX`(e# ziKJ$Y70y)>UkBj2fN9O-ngE%vL(;kcAfBc|46toHyKYnW>$av+d)5@If*GM(!EH!2 zd!IEbNElK4Webq;iLjw+3H3NA(+-Q{s?SEvRq|!4I8&lPeHSK{Wfq2Oo&KwYj4QWF zM^UB(B$k<$u;o@*bM)PaeTw$nF%*xseFg|9SCm(&ET5d5t^&wofzVp})5UsJoRQ4> z+YD-{hLuyFp(z$K-r&{jXWV8(IE}_zezH0TiBRyz(O5j;zJ52YQjM~iWo*`ujB$uT z^S-R8wkx7P2Pz_+3Yey}&*i*>gH{%oRc_z<*8B#$D(>&Va_pS$P*p((l$T zTd2`c_)D~E=4~Wkgiv+_c;X+9HY>g^%NZ(of!|6_eyW;r8WdaOdi?B^h6S5rTY`u}`;#(as0e!-kH) z<`hT@uY2jgp{1o4U-l`rWb+)rm*_fw8hw-fO-_xMZK$vXpv{4Z)}`q% zZ}L~0qESu(&rP)j6idCshvhCQ#4lAV;D#A!gG5^bVGuv5HmK)a+Ji#-ndcE;!=WAh zATl}4_jI+eJ=$YIw1?Qx$L|z2^p~m(;-AgylAQ!$omA!(E>^pSP5&!@MA4DzV}eMZ z5gf+75GoNY$SXu9j->CUA{0ll!6$^)Nv1|A}y-L0U!_%h>77@x4{`s$VXs_(0 z?9g9XuF2Pf8N>qLcs9hM?Ru@hAeeu6l}hAXhOpy+uo+RKW;L~}8iLABXkPbp_5KM? zRtUZ1(QFuo#C17p;I(qgj!i3`%Y+HQ1q#Qd8 z(8eeH8MV4?fKSo|DuPazoMCc=)aX%D1n$f5GQYBsu`Pdi+VycK;R}rq_+Jg6FCRp0V|apg^OH@pqY?Id5RqylOlWb|AX^cqg%ioif+Q`i zX{^$X*`DZJ)?NE=+TM0nCl)I&{cU`jCIisnAwQ^JMXN&@`*T}cqmr^N;Ck61 zb;{34rP6b9i?DPT)H`q;M6K8?NSzmp?LClrP^`OJpenT8BjgcnaM-`EoG2Ni!v9g` zl!WQcg$pNho`#a|wL9YAsUDTA%Fmn94d|DYnHC2%i_H1yFp#awqr z)8ZA(-{JV(6< zB|^3s^05&IKADKFRZdLQeWMYanYqVUfilFvs*3^PSakLLknZMxCA>W*lL*QU>I0+OVd|EvmD zsJwD+#n5@Y;0=-(WgoLCdZ>JNtR}N*AikE~->+C~Zp*8~yp_g_A0|>sKM0>-{C2#? zbnR!Teb?^)j3yZD>gmqr@LBs`!Souqw zG5aSkuxEI2YUK}VXZ*l(cj=I1lShniQH|$SU@fdXsksWr3c)9KE+TftGZOSU@%de) zW#KRe0{k7$TU{9xmejJVSCDCy4-26!6BJP8QIwg`_b>4TB4VmdL%tNs{h+MeAWW{F zHDyp+J&-jzVW&x}noOlTA!`I7hF2@8EheQp<{K9*hI4=((1qhqp@f^7bxm2wO`{{? zmzzT9u5)Pzj{<@)v+D0U})FE zn*^=3;3CA3_eCGJxZnyz;1PLIZ8hyr)w*D@P>E;0kmX##(^rbHfNlu#|1~o0slK_u z7WDfbP;g=Ug9ck0BbG{B5}u|N-XR)J?BCah*-s(q1jlr4;PDq`4~YE&%Ayk688zk@ z>w)zqg+%0?n6+`NY3ANHW)xhMpT0{NCw5VzC;3Pwr0sD<_LFa_kW)U#LCG({1vEBs zr!yv?$P5;8i2J4ex=9}kGc-7f)j8M=qh=R$-K5w{#b?#tMneaf%z}Oqy3eY;X~y`? zWqNXiIBDLOX4ur$KsIG$v{Rii$@MR*G4&~8A&~>^6K8vgt?1hczVo*HFqa&UDb~HP zAy&R8O=&H&QflB{u^K8lS5_c#%P|Jjh(Zamv>op!5hq|RiO~+*1TKF%x+5?>=+5!@ zLGGDdz_tj8p3w=*8dl5{IG%SLMeJp2=;-fAoLj*5 zi+@r*f@>MGOO6Q3Mzsk0!*FMtD}J1@5vU+DZwKvXMZ-==4CHw0kI$nD=7>gXueocpi3NG*jgNif$x(s~nlL_XPd%3eA;ZladRLslDpE-UXXOi8jiX zniO5yeV*j^pp7i1x7pB6uyWWrHd!;jfVBu;z@3#m?~mw-sHCl7bEj>y%|y@=ikfX) z;2Mo88a>Io{I-+&{hMi?PJZFOh}g5ue9_~GK&A=qWAat*GiiL+Ua?6w<9yNx8taN! z7$wnmQ20tvYakqcHAEc8j^ zzPyMJj3j2pCa|A)9)0GC>CcDdk-GH%Cz&ICByGKF=ZKX=4_ z`tFDkWcldszGb!RW{Cy#A|fsbj}It=kw2PejYCJkg0qx4nHyloLy2Lavavb@d<{(* zAx5(!hAu^NLb^f;#2JRdFg9uiBR@BW;K2P}Pp+=w@T9`VZG5|$dr~R86T2iH=19x;pTy21iO&@Z##Ovy1sgE#Ib24C{CGR z%IgO(t9I&;>*U|_hk#QviOjEh%0X^K%uu->XD80^FDxu_l!^NDQ=bw?p9}J`3V+@_u4E`2WI*8uJ!q~xf!}= c?uzXUY*eAu6JyWDqh53tjtulawvUhh18@O+cK`qY literal 14132 zcmY+r1yr2B6E=#wySuwXaV_p{i@Q_YrN!Od9Ts;j(&BE#o#JjqzwPhe``vrbp0k-G z^E{c!B$MoW_C*H+0|O$0gTB9jKH{KK%fj`Gjguo8J0}Yp3p<&(yN$g$83!i^85<8P zI|nNV8#^bNx`nHUjhO|Riiw#W89SM}9GSL-DVdbHjT;C_ga-kl0Rx-l{;vR2{a+P~ zi}!zZFYq&ey9D!5cddA;P$8UFCSX0dnGsNEsWzh)_$Wa)H1(k1E4{jzdro3Q4O|9h zovaC!0&8s-%`Byh6YYb|nJ8CGzJZ(7vt^_QlX<d8w?)$ zx->ZW=?|Yb$LXg2N}J>T)Sac&#GTON;hR!kGOe;@p5Jq>jT}ZzQ7mD zbLH>()o+7O$GU=k-cX-Zfx1|o(mWLxw4bTu*=sr4cG27W!l+qKt}DN;tMbSuU|3t zn1XX~o!r9Pie~M7xUxULyom`$J`5L2ctLnRQW~S3$N#+UhjR~jD15Ky%{bq=2lzp9 zp%ceS!|q)a(j}qqmj?0?!huu&8Vnm=xiIHAx$m0yVqGP?w+z2_W>6pSeIs}NltLAS z(dWz+N1NOawC3n~$ZO|~ol=sf;4K&#j2!WD;#xnIcc{o=;5JJ1j>-!{LJ=FZ^0Zv0 z>2djh!<5EF`RNifF>Tl5BsMEPJ2YFSR_C2e9cCcs?-?Hl^9FcaC5zjx+n(XhC@H+1 zUCDksc2{Ah5;&9Boeo9XuyK>e4Io`W{$6o73I`T%X-qyxH|4rGR+P-2FB!hEW8b%y zJ@vC-+^(&eNarYs!ZBB~Q*-+2zB(;^p0(|H3F+QF>37KB^XJmxYaJ_{Er9 zZrCa_f+}m|=-r;l-jOxW<0Vw~xTXRjUYyvQ*q~Hv&f3bu>lg7BM&)9l5oDn69^RRW zCqn8=k4}dtEzJh$x8GI;O29V~4arw+Ch%yH}wwRINpnRcUUkur}-ccP3PwJt637++C6Wq|? z9W9=!M1LDAI8upEw*GQDARy@<=`^&bpE$$##HTu4Y9xYcgW9<#*-Y^(S&KU#6W^v_>*Lkh3(aC%c3F?yyQK zH;h13crk1o^AtBi_q+;P6rTkpMJU$M(mIV}r^LWQJvUA-Lj%Fe=}E;xB?DOaBMM#6 z9Syr-rqQrhS)40+C}=qV2xJ8 zqe7RjH^I4dMjYo(#f4%zL($hi_c10+N!-qMp|}Q5OYJ;Zs;g`ONi-C#$0%0Pg1k21 z7LaQFR)r!+<3xwUH-ypN$Po$zkNLs`#kv}J6TNiSxojX zhO)=5#a!#pI0KR1FNWq<675!<1J6~Tto*pF*UhatHU`Ta{3$jMSoT_>X*uZpVBgC* z!vd$7y1`istUHAVsP~^(mVOL3WXfA>Y$8qLm_PCN+8(5bV&`pe)`c)Rgr37GCnOw9 z{?PqAk6EX`;vp7Gzprto9hE~8=O`-8OJ0oY&%vKNcBB}9oL=F z^~;I$0borqlJJW=yKzS}k7t9xxl--$HP4C$mE+LG51*L9 zl2go_N!htxKQ;Lta)Z6JlDBx0&E*{MkVQ5&>R!URG7CJmhGDWv_DVel7EC;(sP>UN3& z^r<@7_W=6B0{aNx=@}tH%BbUl4SEl3hgd!@( z0|~!4|L(B%r+Z0Cb^WB59x8YwKKl!ql5_y|+C%U-3*!xikNOoC0~#BO5e}RDDP$W( zA{ve0#|$f409pIsHcF@f(gj=l;K2s@P>V~Lyma(N-xb^-6V_!I`E}|rYr3}38IGV@ z_{Njlb-n)3Bl~MQSJdHSci2Wyla4Z$#d0E~S9AbrC?hR@Ve!c@l9KU};TIWAwFt!u zcxMNQeto7(=I$yv88_YvvI?+6+t5>Z1~@G=1_-1@aVfa}i70}htXJybNEx{C9X}Y< zxhe7x6|sa5dzB$Emwfn%`3pv%4E(nIoI~pJ1uTQaSsUkb(vZ~rgv{ekkmA?dms99s z?gw>@rqI526tQVH?;D_d<^PzRF*ImvC61QrT0QS4e)fl`%{jW%Df^k~Km1UKlfMmE zG|;IyD{N%dU6H^YsB;43B96E>4|)vq_U~-uxs(r|5IhlMc+W{YC4pzvIH$0)QFF`vA9Sx0)4}0S zI@__wWZapJA7O#@L-mYzDcEKsqb^ZO#%A@K<(Y7B|LBwY)zjB+q{>P>= z{hU{g;?Z?g9=u=|E&hV`?6=(&f)RodgI&PA{zaI{+}gd=v<>ws7w!pZT=h{jz-QZ3 z@JP?-W8{udVYhdSvcctbBdj zr6K7>ESJ&T6o@FE?kL)yL^}MH{n9iXw&u517dNlNcXsET`7Z{w7hyN-*aOtw#GZcf z`{m*FAwCzpKKQjQ6Habdw?2{Ik_4>c_R@Eq(4?IvxRuQgpm;-P6 zbm>+@F~XA1y>;Wwof0pM>+xQ_#QKe&N?2x}c+7JgZsE5srZavdevx$eTJ#nS!ameW|5pUIqEx!`HM-zr=^Z(l{?bq%F?#2j&|@Vf?gD%^)9&B z=vpd@Uc(-nIB_{{t4dwtQOf8!|M?Fz>%^I`2A?b!C?-0PBTllX2&Q~+MHQU=K- z(6y&e8MW%B-^3Fl-$~nOI4!dVv1HVm=OU2~dn9@!rn^U=6Ljr_Jx|@8)A*FD=yd+z zcn>4DStiQgiE>^r;VZq4cYdk7U3{CQ|7Shh#k`R{ZfE``(Z1LdW@Gbqc8~1mk@33F zP+j8V(Cr4i@Jdf@zGNf(rWBA>{Me_EF0$aLfXOPnYx#LlRwEQO^zVI2lr73p;7>G( z+K;y@VnG#C{pMeIsv%App|bwO>tCkgN-q<~S#$QrcFW^-P0V`;49`4ai$jkZW)Ypj zp{#9e*e14*ijVI!ztCP@yLsGwuJlVtn(B$Qa0q(sRolmntB5Vt-44LpNvQXNQ8}Bb zMCXP*P2_{trr!7HtKf>FpC{ipZm=jxqu1FvqZ1RFy+*(jsk2H|XgC-};9wps6Lis& zUZkGQb)~vie}PEoch&1d+3IUb%}bewPCa?z??dQ#sFhIoJ-Wh8FuZr3`m-`LMgEjT zbpC)#B+gf*dbrL0y!@c^3gam)hdLqGK^^1Bx{_)Lea%tof%D@B-=4*|*x{6|hFku0 zL+*h5j$wy9xzKzW(#(COmI5qc-8OvhET>lpYcq>iNswzA=-#jehUN4JW7A}E+xM(S z;>DI2)o+deyLQW{XWn4iI?;{Yv0!j6I?=mUQ={F2 zRq$vCi>pz`n`&!UNn_pF%ch3YCLx6u}hQKib`a zO@0=XecVJ|!Lbmk%NAOh*9CCUp=ekviR6TU4ezDGz=gCBK}q_ zx!5Fux*IZ9xS3?CLkx;rinOrYRE37+4L&d>{J}FZiUnVdfL?Mhe}6&oL-R*04F8cD zy>i|?u1~L9!?q@KZeWB|AngWjxd=Q!FHRve9R>Y7{D?8+_8ok>F*0`qy6Pd^JSDQ{lIM_TG+CQd}c45w($v{ur+Ewp^+7tVMq0zn{;)en=+e84xbPw+carX z#xtV)$(orVwTD*-E!xN7@yb*nwryqQK*_9w z2{s?y55@x(h@yOC^Bo_}R+`?fBUi4%A4ZXRBQ#(y_c*}pk3H-bx}WqFv1xaMGomYA z=}{uK7uC}a{Nho%>2M->z5+i!V$P!Al55d1I>S+5}Bes?n&k2iV#f$pdWLzJs8+Ip}vcS!mKv;4?#J{{Z@FDWm?P&mV>uLS6z6dWtG$ zKmGbs6Sl;*YtiJ%_xk8+tZiCwawhah$s3xmT#s!TiU3r@bB{m_5eSK~U?gTb$**2( z^}fYx;qxgla>8GOl%?->N1BPBvEf#()i>Al<~(W4P3Y z7kUo4kR-jUJ$=zspixlCx3i<0``prSFI7ZRY+ypeSnArrlm|3H`Cc_v>e_t&^s+Cc z0Kw~qA~X0@Nc{@8n^3ne2iM!X)U^7SYV{djCIef+UQhECiK_D5Q2 zv-dB5F*Ob?XxQbuAHhV2Vp*dipRyPv)Gc&uH5Bn_Hs&qK@d$U+0M<>YwR%qe&3N+%FhLw5Fa2b2q2pxd-KwLMWGaGgzVzj5SeKQhoI$LcvYM z%CrCC%@%>W9U)`_tXk<`64S-*8j^4%V*$p8%t^1C_ukU}-s}(*%u?*X8CJii;4ki+ zW9|D{I?N+*Tg7K8+Vg=Rvs^WF7^bpxL6A!2tMQ7Vo z2BWevji=|fpK$? z!j7r7ogM1yw#Wxac}ZkfA6xWs`YlyN;4bPkuS_m4u+Xm6bhI@ci*`wqoI~fNIHQUy zye{gEhSZKa0tZgVuGMJ{D^V(xfBs-L4Y*NwxAphepGb1x@H<^mtwjqpq-(6!onHb7t10T(zbFDZBJl#UB$I zZGraeoc`eomg4G*NtzwmrCN&gN`f$s*o&7>HW)w$7tSflWc?!R`!ozGPDaM)S19%u z-RKpyB5wV4inUTL^+jQ`4-pMA-i?0sMsC6?yuMU(LY!fss%F-g4u4jc>|C(6OA5z% z%#P~nN$Rt)MA8ggjncSIJ-Vdvgn9KtnRRO>C-toN7g z5nkSWJ>NC2);xPCThi*?7fF&-j9%kV>Zp;H8cSVR9aDTqXm}zWT6*}yb1>hYdfKf# z$Fi6e^^Rit@aERyR;g*{;j2Eccnl7I#~Zb8$y>@TWX;p_m6R?i+l*3i(Rr9^jW&9} z%%^Hhri$4j5zjxpk8~ba32eXpbI1mxMQ~Oo&iPiN=to{{8c&kkB)X#KMQ^UxtDxb^ zz^?_<7ueaHDML-p7n-@vKz$)jqU7-{*1A015^tct&;0~IdLqE)eu9L`vaj98a!Yg~ zz~p|SH!1;j9YilHMK5}(l`5Rh%NkOxR zYomGI%XdHdk&uyF&Pcdf)}8T5BFj~g{iAPacR4CqftR@oQg(ub(o&>X+|qXDn#S~j z^>|DxN71{o!5$WN+~;eP?9J=xBInDOp)(_3-b(S%8Ljs883g-Fn@U<9(ZwcI&SFm~<>w%6yWhhVq}^6(tNm*zK;L5M|0! z{NJ@IH&oB@m0-rVYI}8jf@ZC69KqS_=vVJ{q6o{m@31NuI88m`_Wvlj*eaNX{T>}R za=A{>80`hz>M^pAB#kjqSmpzI2(-~rWmE03L0y*B5#bZ;^KnlYsVlfqjGV*hZTGwB z<&i5}O&QGW!LxKNV}3&T?Pxs}d*Hhdm~IvVKG`=eFYd&Dv{=3#<|v-p3~!|4b1r6g z%da!EoXE{7Cp{qP>*HkKB-KnDT+D>8#N_Q{rxe`Ze_ z)k{93*^+%V$uw?6W=B1?l{&z-nZ{F}+SC%~{uRrmX~%&9my?+39ghBz$B_J0&9Tn} zSOz)E)rOiZdMN z@wA=52(D|6&PK*QLv2ih;HC$ZrQ){i$3}{h95u96CTCF(QCl6pZuc}ke)H0W1u?~N z+hqxh)th)gpD6bphY23;AuM(rb;>2SaMp zQmaju>*DeRImJ*-Tbd2H%2^LijutO$%?eDQwB^T3(>ZJbRozIKzNyXEay(Xk8_^77xrs@FKV`PX7ya}}z3C>HaTe;hCiV#(neiG$O z`LE+15oA`niw;feixJk*rs~2Wi&Yc2l&lA&lak|)Xqtoi=x4@bK@ z;;}Y8Io@{z9Cc<=nIjbs606Gy*zBp+^J5*=0o`}+1M7m2&JoFmKsv^jT~AlT%I>qqyvGt)uH3=y;k#%_ryn_n1R)S!7AqDXzZ_r40Wv=EOr3K>su67VonY_ znArQZq+coV2FN4@;X7X>eh^J4@Z{-G2J&<&dSBb>`?q!i$Sfg>^J$`+LH>kmtJhuj z4{5^P&~+fOGB4h|ZKhoq(*d$xDP+~jSkLLOScUrDSR>LKF!b$s4vGiU;&$l)dZm35 z-4hklpWEnJ0X~H_`-=vpPpyO?vtB%hfK`@a%bPn73PV}x>go$Q4S&MRN}0HxJ3Y36Tv7S zPeg7dR9(CFy+GwTRo7z%6T z`T#5yntcGY+V;>^_w4182;ZV0n*Yf1FDSvPVry~iQmV2sefXj!$fl9jC+mW1V2o8?E!{Kl%Q zw84x`w@ZiX`{alkaXw`pTe1TDkfOUa?7frs8*#}9?ucBEA=F%LvIA4Ru<3Byyc6Va zpLt6pF#8**8|Yby%dR+jJwaPUg5N->4l_}{!*uU=bV~FeKr^ybR*wnv%}M<=$JJc+ z_5_h>VzEir>phNOHeiF*kze$v{i|z+m_}VXw)3%4_eHtrwMpf zCKPslJy@yB>lUw6*^*}TU_g3@QN8S+EdHXl3=F)V*c6)xaK4|YMd$Rryp$U|%N?qz z*l5Qm8ES3`^t`wA!qo2@=?gXan_7~t zbtQ55#%1__oKOppSq8d;C^4J*8htGNO%Fx(61xU(NlYIU-7MNBd0I5&-)>6;KQinc zM+4$AdQ9$<-e^tBwEV#2^<8)lDu2G1tx>(~&P*qeOnEbIWOlmCEG-nx;nfN5F~Y8s z&wC%>13qn|WKJ%|$n*!wlV!FEh;S z^qZ7rPqrMdW-D(!mlu=$%jF97+kzpt?b12JcFNVaaPCYC4ZbCD(CSEKcnU5p|k z+bQ?hqyj+X=9O5_F}Vq%W|uLj7hUU-67D>X{)x|j)M+wSfZk(JoV08C9Q{f_`aHe< z0DOW*^<(TwwKkRE`k>3VpyNuQS-DpI7K&lji#^KoLY65SlHaR;3lT-iuQx-}$3Jsg zU+$1t+_>fS`*14;(p$^eUw$)Mi_Uum8=QF7ptw9hBy)kTnlGi-bEepxt~9YL<$xiK zrrs2uwy~@!xG`;0%Bx|o2t6k^F9)t{xl%d#>;3Fc12XfpH@a~S!Y&PmJI|+^qUZiX z_^P)}6SOo-gRg>L(yas1{tON2W#(J4o9^O7MQ_;f4)|j^Ba1XC53V=q6PECFLAt}_ z$vQo_8y^lW#ajz(#t&cD<5D)SpZ2+X|E8D7M8>k7Xi?J-WSS-a-DJEuWTmfsZlELq zOxGiRNTvVGBHkhtv=fmTiYk-Jm{clZS!gu2ebNcEkqiNQ+?PLa?$pGRrkw zh3&|Th$-TgCw^l_3cu@jFyC%vI8&#X!~kI?HP&&6uBYVUKr&F$pma9t9WPY=g{*Bp zaVJR1f2>2)vKiHtmiUuZ&tM$bQkR_T5A{s@6SEgXe#UvIT!Y6H%eBs=_GVl+t@~5A zjKI^Bh&za>iogT44K>)}o`Ph?0<=D)@SG~lQo~h5{tgs|in4c-5P2)HV#;5=8M{}d z=>-z?#2kn?O=#ebjf>n9kj08^yd$h1IPjGI&dHOC@Q(Sv z*Jevl9UFnK_X7Qab3=U{nPW~dyqc`3Y-;`v!uVR<)J1{15FDO3KNnb9ed;H*P5 z&+v(XA0+}Si$#E135q9K9f~GnsTQ#HJ6ly&_pusg3lOPKi}m;4ym&02vF59#iWjUo zIL-cRu1IIVw$QFH`ux0YsTo~XYlM+5L;4pQsw6ni3Q}*Xk^&Cg>9e9Ahh}Rsj~K_B z^!?gL1>G)Qrb=mNZZ_s;(JGO*>`k#l6QelA*7Maj9p<|}Q*3vz;QC|;LcgVnmPmIlR7!HT=12Wn3795lF3$|F$KcU)f zrT`m+o;Z}z+w>T;7uokvjzh;c;$ro|x!DdtizK~^n6M2dzt5~k1Z2Bwo7rASACTh| zuu=8| z%`*d4ZC#>`OK_b#D;MRF-@)xZ`+%3P5#-nnjK?JczIVqqxg+^=yiI~Fu!HoyDXkzS z>VkP)Vsr=^t*;mX;W6-j)OeCF2W73-SXu{{$ z(ySA-;$I!9uNOH7f_Uf9xvH2ZEZ?hGW2QoIE<~7FD2BqlX^Dqjj+u-VvPsx9WE9`w z$L?(-tx5Nh1_uSdgb)9fxaoy8OaaV!}$ zs<|yB4;#|`m?#JmUFn1W+{ed;{w(rLSOk#?nhQ|H6jBkOiU%5BRh6u4Q>7oG6!|YZ z!&p*lqN-{EZ*8A9>&=99zMz!`))Do|ik@bOq!l?U96bWvm4lD{<(%$J29HohMZ%H# zAWQDIZn7G>0s^+eLd!Zsenf)&QfM4aoNS-mukuzqG)U_cPby%kieDE?e+Qp?ZUkq) zx%H@3osPo(7mAAnqJ8Sy3F4$sB+|mxpTjW^qnw^HC>-rpy`RB4J3v9bW#9{6cOw!3 zneJDyOBR|vGjCV~^>2|sKw?X7x|AFJwFSuQnCgZE!ruE^;ouw5f z!ur_~<$#XRCYLp|g9e2d8B&9b4TE*t@KpUSNl;?&bYO8bZ1Zo#1Hlz94k*z$nLv5? zfEbCl51TkX=?^srB5tyy(fVop4(lshWw6v1X{znwPIhv?rV>>v72IElmXH{DnFw%FQFB5vD)5}fC6!x!eZUsd32 z0*cSuH&flw`t!ulHmH)8J5jZQ3vDUXV;GgS;R-0n&^elW)(D@$PZ5ql;(@K^I90?1 z#OLLvTb)+<>J?&PiYDjMC~&@wa(B6}U$g!uxwNQk;-z1EV;EAF;ASLhd*zK4HBu<` zQwpclh+5ANHP9I|;r0Gdprom5Td|~pr)HTCIu{fq=3}#lfHojY$J=<_Bn@{lK*z$x z+FGekHuIS|$eA>!y4x1|plVstb*3%A^44mi57~d($^D=SXmY+@ao!U@X_PpUyS=xI zF^K5wNQ^>wgYDJIPefF0mkaHDrG&x7L-gb5-IVKNIia{JVmjWpuDD_)HBppvwqRbmcrlvhVRq7_tk{#oJ zac#>orQ+!O8+P|P4 ztvKQ|KWChG4B;|Ipd)m9b4{t{rXubdn$S4l$&*`ldde-; zUk=B24j)?YRCayl4V)TZvQLDyMxym`pCvMpas?8w9+K``R#oOp4n5@2re2Ig z+c67EL2rv}ZduN~=i3xnCL=HMoL{MHlKkDb_#6>6U25l3XsMCS#&YL#?svTXAsW}3yF9)GkD|~0M zmCQ-$rLtrB7F$ff(bNu%KV~*bS4?Y`Hpo#OH~md#onV6FW#gqDzN+cpN@Ww4TYpFC zzM7SAX^R8WXkwHm;B{mdKgB2&h;+4DB7x>j-INk<#}V_huhzZgF>+GJ&5!0PFR=_Q zZdSPYsuL5pBn#PSSV1j;pGkzb?AikfIs_${VHR5IS_-rq9FL}C%yz6Wa~uz*WW@UA ziQ)8EU#O#KW0YoE%I?%`rehoT+4x6YN$i^w%aq4=b$!fI4&{z=N@WM#6!Jckz>FHu zCzo^4XLvZp#R?)Nbzo#LDT-evEJa2)L*Km*9zoeTd`^#uMN1kRbUkDO(rbR99*ZfJ z9cVhsD&?j-9D|*1wBfm7qdSz_Zs3Cd({!E*qKWFj@M4;jW##?xYIWx8Fo3hsab>Y2 zNhdtMdV^r}GXoXM3cQM3?x(bnsISkLkXbt%suMxeWR8p_fS<0-_qdY|8!A$TbH=El zg`V#e?C!j5=uN=sBfdOgcaeG-g>wN=$9pkIkicMzcgVNC$y^IJj;MVcB`W@^Hf<;# z095O!OuHdPWeK;VCG+8^Zh|Sw<$9PGu<<9}SaO3TXIu=cxh?L0D363@DO=n($;J7z@^YVZ_nM9s@jYw5_v`a)(v9*8x33@-hi5XUlKv z>GM^m4ioMdn|BjrC#I-@{a7iGnGI|iCKV}s#Mw($OA?*`H#qy}-{{?D1>}H||KAve z_m_)>6{?*yW`3=Y+*l6AwDnID%t&`5-ZQ~`vB^-!V19D(X*W@dKQtQzV;9slRxPnHSAAn3JS0X;R<@;SSXIKD9Ajh)ntur`}sJF?M*4`?$n?h=5+L`uOky-?cI} zgEKZaZufZ_p!oy?1EWfY;_4EG>hchY>f(0e68q?Rk4K=U%?Pz z6(T{j2PycrYv^y_Z}>(QmqCu|nhl|{aR|HSm;$*$6%Tcb8<4&avw z$IBW+Yv)(0@BBrKYGeUPjBtxHfi0F7aN%6)XN+j4l$HXiC;>&!cUOpFVQ#?&_WUVk zat>F99j-_RF_QeVB@BE4QGW5BxjD6P?M0k$eSrxqW)5iPga|p5m8nf?mWpM` zTMnd_Ga#B;TB4bfLx7T^l7a$)$Z(JE_uk*{-skr(+=u5m=j`X~v(DaYug_Yawf14t znl)=G)z$*X7~pppIG+o?5fh4t++l5Nx!=-y$Klw}@GCoPY;AVzx3jXgv9j53ZM(xK z_(oi4Q1FhEmx97}Snu#TvBNj`@{WJ5gx&<6lqx9$V%DrV+W+5a&G7#;*R22XKkbCI ze+Zzlvjd}Y%)Xd2XYSlLIez!>@z?4FU5|Gk-xYK?SiSzrx}9G(f_7fXvwnNv*qyJt zJ@2UJ?%nxYLc{)Q%I-l91=_Cp*)9T2L!uA!kPNkHHC?no<~6Ja(Y%D z2$x~25RioybD}KaIy;Q8SvdK|u)e2_*>G+$Z1T-F`CE7k?cHLuv>IZW!;`-yRYmI~fax8+ldAAxVJM^`5TYZg3M04$$hvMeGnbV0vf zJkgjdA81_eVCQ!R{d%`J6~sF`1B?tg&=JF1<~;XLmj9p+)vrEKMMDAdBPZ?ZZ}jBb z*%y6tAJ}45-pgMY1MUaFF332u-&okH5Vk!2n}y|zF2PekqWlbPqIwx~f%6V^?yM}H zog&e_zJ<(vqEpFd_Ztc2ixsK)j5ao8-foD4u`Vw z?_lNhsW;2#6GM3u$QjnUO45YP@9gTzSHN}Z7i)Uu%~D^Tj9K`wY=IOZm4_`{kV|pV z@=?f?5J6$a<>mPjmLyeKQ0HDG?70{5JO281Jp8NtBVFDsK0>TZ{*YX`ooPYsokY7G zX>!On3#|;E$?WMs@5xS?(EtAr|LH(3e~B+v8nEEI&fxw7*LDHa!dZaSuMJSEd27J{4Lg^40s1YdEx^P4+nFmdAAKA9F@ zCyf|j@X6ATu)MI`GU1jdU82#Hu>p}s8YZ4Dg>Ah&_gI*7NN%?!jH+iIp6WFyX*haK zuP65zdY$-0kL-tU3P!J?qqfF@>L!oqlQSgcZF;J(;bqb>m!$Lr8jMFtcvlM7=X(Z7 z49KMW6a7mBH84A4?rX+e5{Sl2MQ8Jdm9SO{@a{1&&P2ldj0v8rye+FO!K~Nj4XewJ zGgR-))!1N1rdg`VhMC}V`Js^vUZ7-@Oka;m$x=O^TsE9St%+V2)_TBaMBO8UcNaBN(Z$P8f8Q8wi%6O?(lnXNIl-~syYeXO$>V_eYl~&{ zkxm_PeBbrQGDRF>h7b0am$*H~ZsPkl$(A*~&HkQz^6|LZYr|(SO(cc-MjP|n&F0NQ z%a&!|pycD0@%zRmF#R1ol@Z@mv{k06biqsBGEi;>-ylvdl9}T$qciX$&W_VBo^Ow^ zn2?)ql1DxzY~~XK&-P*T_{^{12!k@vA^OlVXD!A$>YT=?eZKSEV0MP-H`DNZy;Rvo zR;|656$JiC^q`oCWL#Vj>~T-*?VuMS)b7fu*}>}T9)0rhSy!cB%oN{?e#tLy>JOBr z=#40cQTS1*@walkxF_^w8?%!f4Jg-~ZCo#k-(ym46g@k-C1iMF=IbH>gjSc#wJ4|OH zm~-}FdQ6GYY>Ue@_2%4c2IW?(8go5%Wqhb=XH}qWKTL}s(hwKn6%MXdC=a&2P?-Oa zQ#VHX+!&ITR z<2((B!ETgFDJPe^Y$&~c2$~A$cIR}GN^*R6mOTAxQM&vjziBFHDPII1-&U`^QYp%f zBL*#BEqjtCL)F7I(}e2^J$>)8;_`Ad#}N6OxRD@fAh!x6JyL;6&}XSOM>}gJ=a+z) zopVdq!dnRJ&Iq`Rld7~XaxA+I=M#W9ZYkV7hVqJL=2d+KZ{pWCMJKrmiXZ9MYmUbx z^sOv#*R=$`qB#dho&-n+@5=j15OWh41#Fr&M4O?DvDYIl%_yeIBK71pvw^mo_ts)7ZSmV_e-r-4K1^Pp_YwN8 zm)H%6?F|U(RizZq;;Ju2VO?vpDLLdgb#eJvG(+R&Xcy$YeZlkHqET*ywj@wwXKgk9 zOrsu68xYvG+tK$-n>L_{chJ*MrZWme2h@>tN-aY}OMsr7{q=3IJQ`*-jcY*CU(x2Y z1ikGzuU9nHe(<9{Oe(rIUABoorzNKJQBO?kwT3CdeiGkX71(7b0t(e06DMZ;OBhU( zc~WT_j(0GI*(@SdkLh!86UFxv>C`S6q92d#vpNbt_)@+-c`_XhLJ?Y%lk(flI$L(< zm;co_M6)Pes?y=t1|&82(H`AflHHeYy_ZnuCO>*u?kpbE5=Zotiu&X!MATud;ol0v zIScP***Ypk*(-_N790NV0lln>pmvd@ELEV=iuy_05{}d<51UthX{%`ssZO?mwK-x% zl~8<4SC(_XWE-FGE4p--nWaX{M$>~8s_@5*+;O_8NX$iOx9mejr)s{b@o`M}*V?y% ziHYT_rO6bw9=3DGzoc7FTYZQ-RkJA-pDL^AtGW?!t|CZY83=f6N#`_4T}npTW=ilJi9sK$cF!jp1EY%KH;R7x+WgC%Bomp2HuLvICGXw*j5cs4^Ap}s^5|Lq z?;BmMm00NA39uo5v_a(j3bSL0OwvZ3NsYflf58Z37zKH`n6_IK+rLVfR~KKkEN1r6 zH?3@>EO1A)TL8zGid7;RPkkCtja=}!H?d_azqv7CYi%<9csRXSqz*#rs*Tp`6_BF| zPq849B{`{GwWH9%>W+V*)!)%D9sWv#1O9JJB!k_Q(0d!cr6Jq?N-dKWVII}=b^b*% zJKKPFDPJ}D>`2j!-y}pgdp*jGMc0Jv;A(!uE*34I9QzS^FF9cT;?Qg6@)7g%N!Xin z(lwOKG}%ci&AlJ4-%y0R61zo`szg#i!%{WVu}>Jj?JFjt9SNLPPCQkIN~Wk6K2(#o z=tWe=&HY{Sxn^ZNBT9W*2MA_p;+Ah;;?S(~k7oRW*QvPzHa4V#@4K_2Xjjv-_20ie8l}m!wB?T*}BvjX%LvWf*Fs;>Fidm&dY?(sxe# zsY@UBxE|@O?VE!9arI7O4HACjeNdmgwT6EJ@&0`>Bex(E|20GwvIH{pkHJVz50CP7f=dxbM8Mo zw?_ymjE@TSbGwLZU`Aw8I--z4Z=-6qII^F7`%X0v*KeTtA0XbneI0~FFbsB!;<@_( zw_LpT(5RsE`Mks1kd6D4rHFZlWg`f?6Y@85%SuTvX1)03dQ7KWTO6AzTesmepo0D4 zr9)O%C3?C;>yZ@jKbZ4}APyzz^`ybJPt`h^$#qvw5=~Hksdx>! zBiXDi-$aDu8iQb4WXY*`O}QNz;FE5s;1%D4{LIwio#&?OHOAp?klATZ23)E4{XV|8 zr-%iTmT|pCxtKgoQGs=QWUpzcfwOTFmhBQsXB`;>auHX ztYac+3YGi`HPQ~=AknV><=pCKigIcLALDv)Pl3?plHOKo{M@WM$vz5<(Gz`6_x2S9 zf`}@rc=(p{*OPRpRgu$fN>a_LKvOaTgo@Ggju&xTSq*5&TD1Qwn)Z0TiRcUW#VTnn z(xk>bV4q~Ii7))|bNg04bu?N_dZzQ`oE6Sls@S^o5cDTMVW|xh#Xw^mwb6mwG{rRT zArMUu9h-_(1V3Z2$oOMiGr@rCQkzDs;|*_@8i1q+r&p8+vp~n&SRIExbOq>MvT1v~Mga9+UDp1*Bqf<@%)OZ@&$hHoeBj}e-wFwSZe_E|;!}|0bCXE(mv{qUum9myc*fVs`b$wk;D<21wcH zj1p`Fa^z!Pv)AZwFDIPttB>_egY{hZy=^z<+=YwY%mNVK0&}(pwKQgF4<#B9BN+Im z=tWD^i_dN=J^S@?X1|J`B*Yorsa%a3@{L%FAh5`dYoP$8p`KyX=`KV0+!1B3`YRO5 z%@62OSYSC-O&nA~=N14Cj{zz6X1q{FJ1Lt@u!=2{!(>_g6^!MU`9$h^WT0EfHo5Y( z>$@fOWLBV9;rRUNc=WQCwDS-?X>qF7LR_B)6AH30S?Kl>A;-!*l`TFk`~F;JAW}); z)espx!cH5l|ytJD;v8nV(8 zK1v^kzyE5rUOYD@BkmOA6@|=@AW?obR4{bQ>KOL|m!be&Thu|?D;Y>9+M&>l_;hs8 z$ACc5SUM3B(B&42f=-`zG9w$bg{TnMvd_C@F~CY*A8(^+fM;vNSxbsqrL2IuG`47a zS7{9Sff9YK44G=5M%tPXugCJ@`d&^3v0x2FLepWGrb3(ByHq2k@Ru5_IML6$R$we;HIwDWn|saD)ntbmqfOC7d&%-=Q@13y z+#9qlUz#W?1O!zkaxq*v$vMO|Riqy65wDdSjWfbA{V})AME5C;F5CIwEg^*VKIn5U zLKEXaUiC{2y}?M&+mrCl7*o-|&$L<5QBk@$ao@xbbTXpPJE%C?rMYCwmIgY$xs9`a ztgiI(vOJMRfA2=h07a6?aTP)zA?P-dMu4BFSVt#!m81395$<{_5UfrK%b- z>f6PwfGvg1vGY}>-d`<>(brUz=Hc;hB@VcOgx-^quQXqugc1FdvJ!2xhGzAWS{ubu zCgRxG6mE=`7|350F<%6u0F6tRIf)8D5Pg~%Iiod6Wj=>@WE`imx^&H3&^Ovx=S25X zR+y3quC|tVoC^>h1)2q!lNzsqGNmGn#se?AGRKcM7_y+XOjG6|yq~k`YNUs*PsX(h zU^!V&04f#))CV;<8v#e=P)F9x6s@9|7USr`h3INRa#}}~A)gH?;56{o<>>@S*;8ht ziky51|InedPwK*`f(ej;KDbW+Sp6wyFZH7-F*@{edc2(p%D zGWEe1Yq%z4*?vH5+4pROW64$*sl>Ohr_# zhPEUrVX{@A{$j9s9n2!im2*`BcnA=d!#JxYQB8QGB;Q1gOqDCaP05%Pd@h63M!lN| zinX!(<1j_X^jly5Sc0KtJ%Muff<=exu~XdsTTD#V)+d4^i6U@?)qGd`buL{|*wucJ zK{_g4A92l0f&(BziAmxHryzQ32Al1ApsJ7;jSKg=ZytG9rH9R)&Q5ZgvH&3ypLqwP zh&jo7;9ywtY{(~MsYD$M?Gg#vKVk<{)<*Yq3RH3hVq1?fSX}Rzcg<{^B8lCoZX^vZiZHit%TO$TBqa-1>O3^oWOJwPk zQ`{9T$u*HHs49pVn8I#0te^!k;#Fl;qnMoAY+KuCDF2qGTA}|BWgso8|0LF;y+|F6 z3n&UE8D^*udQEk`y$>8w5Oxi&7O~j1N6Mf8%LuTVB#bw~t}IxsoPvu6K~hI<3JASj za$kwG2JOdKX-cq6hd=Mryd_kV=ujzo?N*>MaK2>J zyG4UsA_%gM<~(KmU{gZ`Jka*%(qI_NHVsA%t|I_tC>@8#I@_?<=OrH-T9?&byST-N ztTcIB?o-}IyF8_-VOJEIW3EW*oWGJyQikU786Wsw30gRGKDTs|R;$`~=LCpB_!>GUu#?yjjbv6s!=evFkEXSnd z4H`(6QV`KAz)C7tLshm)Wg;_FRyx|TIYc_BM=bPo6W4DtuQ11-nXU8+O#k1VoAmS!T`Lq1@-mR73o8E(*9JrD+s|tMmmE463 zR9AVcSqmm1LL>pkcU})-{}Qi>En;XeYPDK+qOLNCPy;gb*mV6Jl3oLc(#eh263;OZ z#tA;6|B2OKXIOSo?2zQnK4ERKdrA2XvCEq*c~KuptAP%VzeC!#dP?!W$L^>FVl}|1 z!!@w_?0dZZ4IOWV3{)XSRca9F@+VU^+$v4@36|abRM$dq5K)W%B;Oq=~F?VJyShsXF!5v`On}}w(1`6;TNKJICibR|)GZ%eKVF!eZA>1&4X5e)gc3ym1IoQ-! znLrD%!^ZRjg_CCsyzzX~1gPj%t2DWPmFo+;z5rA|RhR*P%J6Nas1arrJ(POBQlrjnfjnFTRR?T9yeP#M_mS?wu)@2^un7jyAUBikeC4Y=f!6? zDcoW@H1g6m*;*^PJ!)~f#~9V!PZ?Q0Ll|tXN$4(>8%-y>l!qosHL!#I@;t7eHqm_X zRQUhm*tE4p5%kz`sEX_^yB;9aT9C60y0J)wi_mIuLR|tp$-i4srvNANVW)(hP605d zDC8I+)a5o@)j-KXhME@SBLizJy2|y|YT1B-rBc&?-Gp!BU{jH9R5GHb?ok%l0s)fm zC)cNvu#C}Gx+gbc9APTT=28_xs^9;5@uZ-*ul|;|J2#K}7DO})z=oamM>X?{bgYaM z3`FTlbVb?a)cV^w8e?#`Ic3bwsH?Z7$p{e-1WwKacE9KV@>0R;z)u*e?bu`74cz`! z0|qLZYGZKU`ZVZcuH!b@$<$TMMaiB1HIk&XN(E;D`kU?@A|ilj$`Bhez;;h?Be|PE zRU9RQVuooe!kzp7UqqYD%ax1n3B(xRV@%iS@dXIpndT@ zS<_F|ETV11$yDKOxM9pJ;pbpEWzRAauZ~Qf|CHU%DkZrry`%xTH}I16Y%tKH8|cw? zo^Xt(rz)jY{a2g>=zK6&O$!CD0vCG*Km$@_EhWB1LshF37qSXUdfyB_MF?u)qBl-b z+bAbQ0h&-FGU67tm{H&6tv*JIY}$mrz>qodOhkJ@SfKW3EZU!tqePA08m}g~K&6e2 zcd63447=uUf%CbI+UW4F?g>ZrBbhB+nGG{QsGAK!VxW@ke77#hr&zG$UnLS4eI*sT z4!VmBP6Zb;f*7hQXd*kqZ9GF6(kEzVa*t?1yxTNSaB&RP-69^Bph%|-uBf9(t=Jd= zM3rtjZmUbPBX|9WV(u?()UKA;RPR*4)@Ha1L zTrmiu2eT)$+QUpmIIgF*Bqjksjso#`Dh434WWBa>Q_t^#)@=eL{fPS_5!B=xDtfHM>D9ZvfpxJ0DP69%5p&XLJRqAjLA`6!GfjvUwJFip z$9c~4^W+Vn<{8^^sH={w-_(BF6`+yhkoV< z)L(-q*i5fH-yXL|+@&BCp0c{S4fa?+W3CUX#YfAX08jzLVsGNAYD+GQj8il}9fO^4 zYvX7J(7i(?2SiyZ!b2gFJ)-0kID2FeKv@*MD(sps_V4DVS0uCP$p{&+T?!-|P215& z8OC=#fx)>%VW7)Oq6iT7{{Y`(27!^LEm@6wE3(F1SwE-in!gA=ohEx;B9w%S&VuB? z_Na+yncJh?0!ICn3Z#u#hA|gd3{BD=vTEy!u}Fu@QxHn`KSxhLoX$v@rht%i&DGMs zM3tOm+CVH{{;C94=j1YuFkH1!|KF&G$N+RRg zooD_)uO2v2K%OZ%Ll0quM=mL1RMCtjCEzN%SiW|GiBr$}_8`J7Qs zn;@B9?0c=qYUbTCjIXRmyg1;)8(_>`T1P%1Nq112JA^C72-nScZDM z6p-f0k(>E$4)WX6$0Mf6L7ar$jjlbLz zJZC0aur^>8=Ma6kqftc+4+0PQtI6C`@rv@jWa@4CGe#~0`l-+%j2y9z2JTpn=v#3p ze+A#R@*ehoATh@=+AcL;mt}g0!>mX{!~Ps86fk16P%)w|5K&oDszgN$q4Z-W!Z*}A za7rPhUvxFGWn{DcQMnf|NZ^x-6WlWH7El#k9qCGbL562JM$gt4n)j}hI^dKE97^6a zt`kyGZ-%;&%C>(2JHfT%fZ|#aL!d19g+%GB^i-(0Pe{!Z4)@7 z7KL=5enp6RlpIYz&h^)VtRfF0o+G``g5byq4^iO;(oQnv797h6A>%!{00-6j4}oY) zNw*Rdj804yrfDAI0*q6;MG2+_6iK+ZgsU|YlqCoq%}_6IYiI)UzSq>cW_9%c@eRVp zmiSLP@{0-hyJoVm@et*CCTV*W-R$gFvdo?xE1ft1qi7&YIlqKCmjlq@ZB{lPGYzLxNFozpLy-;B5cp`;Hr+h z?G_#3wrfi^B{VBx)X~>~*XxT?Hu*|&+6B$M_rG2C~N2g4e$LG9VPO*$p$v zq|bJl8{_*iI8mdzw#6l?GDJ|N;=Ap$P1a0q{cAx5EXYodoha94A$EEVMl9gh$`3|` zn#&IQ!E_sjY^4{taE1I%epsy}hH-(yXSZV32W%biy^UZI-u^)K>3O7VA^OTn7+q@zLJ}{niZW;;Z2&qW zJ}vtG*BRimKxY!D2d-2l@PI24cdS19WRjvtMV8@}<>sq*_x4~_fV-qEk|(Witdk@1 zSgNa?RUuZZo%1IfS49E*7X(PAh9t(VzUW0eboE8{7ZcB|HvL1blJWf+(YlATIx3QdWDa<*G|rr)11Kw5Tl6Bu zJxE+;PFWYgE$oOK9&RzjY2-iZYllYKLzKKu-+-B`9uD zp|^V;&Ivqe5gKUhW#qS*=zp%!!fXR5YYR0X_{2V8Vf|I#ZS1|g!W`F-M_1`?n`0vLXZxSZCrVc~S1l`JmJ4t#;eIiLJEu6&d#?V{KD|ge`mg6c zZ6W3K9&{KUqkDojgt*W(tHUhaSdfD$J25%7q4zOKb!V@Ull%=Ke5qxm$M~4PLEYVcl3B1PC*A1*d*#~cmHci`X7Z=^pT(E-aGUDU&ki?MmNyEHnBjcQ zXEUyPJSl`#|NG~xiLRRUXWR#ekDAAtn+GyOS7wt=cGZS2TqB(_3;`$msE zyn_6AJlN{!>M_aP!-impz~WHguCtL{qpUr)gsD1A^?7dMmaJYYXSK&3cw&F_`N?9= z@uR_)BMLn5KV!|uu%YHXot1&!ZV;!bf5V+kj0X^%H6s$;ftT54aUa`k-`Ry_fXOMJs}K;ML-xucYqVLT9qx`v9|pxilGx)6u>T`K-_9=*S(Os??DA?aywBxrXhpuOJ7@d40bg!D2%_j+I*nAp;esU49gYZtLlsbK&p*qlA;E zw{-q(P&Qgfv`ftOym+~qfw#uj%%|?=`4*03w;M?>T?@yA&hancb)S6yd&nC64XU;s zR~;TO8W0^9)YL!2e(YOl^z6L(G!F$v0f}D;-pVv?YPeafV8$gK_yZnc?Wmfdk zHc`>I*Q|Xlu$7_&xCtc!`kiBz6uHvx=p|pfb`5Js6_H%*9 ziOxYyCoD{Fruo$}j#{nfh)FC5_gIJ}AP>5stcG6D0-M}d zdjpPyq#s7OJb2h@73XkC9~Y&gH6%jBCtW#45P@Ev5&wUs&3}-@?k5DB7p}@ z{`aBpTOP@VN=PX-c&MWf4|e)B$#ME@I5L9d^F^q})~D7Pb|2VL5hO9ciBXEn;x(>~ zb6QUEkky_Y0iTKU`dumw2)4J~TR@r}B;L63ni@lJs@oU%+zP}45PdxkT7E@&P^u#VguiiwwZ2^pGeDDjKcdpBUP<3`QW%82Y zKp525rQUY$7GLOeLK5mVHST-jr^hn~>w|NLYo^X$iH0yl=FhSf^Y_PI8Rq*xq%LqT zm-Tz$Z;Y~>90(0_F`CX&?Q0)2fA##J&1mk#C;w{;D9!=D1J7jbIrO-r6y3K_>+>3X z>uRM(;{^6U!9Q08$7bJa5FeWPtD8XURrB_lYAn)|*xueJw-7-MW(F9eN~+{>AB#IGQ9SE0w{rpXIhv__fY;wa@)honULLn zKV;iLuNTH9v7^=$nEKMvZhS(g+GBHcM0`hW(rc1p)VE`3qPVIzkxH?$qhM3(xFq1`JsTmu)cmxA@Vu@WKSbP%V%g{G-bX$2~e1y>AdV zHZ(Za$qLAGtw*wtA`P&-A{e!9D|X=7b?4-W#Sy&!{^VJHlgW>T>m~zbUKq<|_-Feo zm#a7C^cp&C_^P$ruW;7hQ)3q)o8YwJ{Qp*1MK9hcQd+lj5{8i0?E#$a*-SudbO&k1 zwI2wqbp(T-^|_pW-8z}Y*L&hivbgxJt)^oD+aj)10VW)0=mnE5z1i^vc*ej@9MUHl zK(7ohmv3j+e|+0MI|%Hp_`R-2``ZG7rBi%F$thAY~2Q0X>)FHO;Ou^Y}GW%4^M|q)!EIium<)4FP*g}%HGQGyIU?>(Dh=Uy-e&GRi zl3M&8q-7Gsd{UsWzlt4uf6<-$R`ozt zqxei{Hd{5i#;@pwbF$mB-kjV^U}tIC{vt3bBVwGtSk3Ho^RkNU($G~~n3lX_9yJ6V zyObB`KHFfesYziy?vHMNJcIoQkZXjMUfeuM>cCkCmr=*W<3)SC0I8#n1hJp=z1<%R zoG-K-jJ|U)R@}H>&_4Tqix*rI@NyS{7&D{bGi;E$`&`d=R|)pW@RG;3LQKS>V6Q*p39XZjR|>joL%IO+p&!`to1Gn};0=9aai?K9x? z(B^Qpr2ZM_wgV9Qo$B*8i-KfU1thnjiHgmSBfM@hbrg>l% z7%g3+GS3Ycs$`y+ltdHDuZ_k)CdL(EFHQg|NBP(3|O5QDP9VEQ;^v zz3}Wcf+MOwqi`6tGIXCXsCHF+`fP?JAiknc)5wTW_4c&1L=YaxF*>T_SA=_L?HTlJ zBz7CaL=Oiey$y_S^@>3fRL(T>33PiWLND$*b^rPC`ld@;q1UMk(}*Z!7C3@FdI?zh zQxC6GkFUy&LNyqF55uhBx-y6rVY27t;HOn_(f7;2pD6eE*Ym%(9>d4o!|Kggc**^5 zF2Z&B7u*t!12bn){myHcamkQ9vl+ANPP5czKF$w6%K5ObdEeq&kdGh!7->z}%6_qH zv+U{Zi9%TZEaYqTt;{{PGHVavrTKJ?C~@W`_UR$rAYW45Cx>%a*O3j&?5;Wr*v2q#*FJWpY%2?Y<5$M1S*D~T7JO7P zat!{@!<*+`%Pptz@kqlbcAtNY$>Wi)-@vft<`t*!!ts^AgO4xloe|%A#eZ{#=>;0U!%9M`T9=gb_Yu4O1(t=`jKu)}I}+UFRt` z^APefN=T~LTDFG!bn}xQxjD-_-dpWy-Vj$m5JuSQaRLa{4YZuz^&(u$<0|*fl%loz zzR*3zL3{Ii8>)DlbBvkKM{Bx)3?bYmC*w;(Bomjs8D|IuXYu1CF6Q4y7kJS)s(_G< z6}D}NM*cDb!m;hwu4R+uk84Wz3In7iY~F@PMB}FAltih`&%CEu_fY(;@*~|Ft|0R! zPZk>18$4=!8Vc`zz;+R=T~;e74jFE6iZQdvx1O8hPkttO%M{A=&QDpnm%pU`H0=10 zKfrD}_S|bf$P;6Pw4knQP4L$LXIXjLbWIlf*`=;5yI%MO<}ukTY9jp4o6lW!oXB8) zHIK2G6{ABN$u=OzMMIi$-aR9wTCcdfOyq?p1}}_?3bTLmua{TFJbm7b^qneYqh{do z5Tt8awEvS(Rn~xd)<}6!_X{sPauD{$#0!3bFv2pwS{c-{SRG7p=RKl{#|eA3vok7t zHs+uzz>o*1KjM%c8}T*x**~?rz|Fm(Cy#=YGwL+FuvC_UGqib`v%ny;ZL3le8$U{Nb=~Kg^a(`{U6-}^wvj?+Y zF1t9~Fck;0T#HlaKIcamA!_VJ?3+1r= zznuM5c#xVwg01`Kn)DApqAv?S8y_H4`Q+?^@4WBR^<(8(o||Cy;05D^a|OIaU9f;9 zev~s``4&p*2np)0fC&o3wKjj;sldhjKgpWq8eO3!< zjX7tv&E76XcELON1Qv+(ZC_g0e1)MW=jPl=kPK1>W2y_J9KZ3iMDE1 z21zdSo%E`<&m_C`(nlF~c;-FaxpGX^Y z{F0COoisE!P5Zh$y}jh}a5@I>gbnKVc`D7D@bbcFowT-iCDFl=a$SZ|2fO3r#7UpS z0tV9wfB0P`EGwbo1HYG;&7}>-{#ONU3D+hiXRB=99Pfn(EM(Sa^X+;~0shEpb^{Jf zz<_wJtB2@EwBDTiUq%MAcEqB`><+XwWcxO8_;%Z2P=%Cv=eL?J_0g~D<~S3V1QpO& z@3~OD9KzB5kD?SW{7;><%U~w|$UPqv^ng0^dsinfr4PLDcbitxs+>6jb`;9_q#bc6HQ%)#D4H^se}&oep3-gcM`lWq=D z*6+c5`bk;I0OCY3X-ws*t=T8If#$+JvD^CEF;jD&r-&@s9u_{r-OgR;h>7rjT_EiD zvVx9w3IX3ak>79aWrZ2-jCUr?AG#2Jp(~H`7k;u}tv+W%=^pySlI$2+%tc+fVJ``S z%#p zDfSgu!?|bXAk6*qa82qj0 zOsHzt!JH@b!8-mG#bS?skpMoZ>J!HXh^o1J0~wOrn@J*_N3;n?tM70_zG9JV$Lzq0 z{nmJvgH#`NcF3$k`|xKAQ+$oD!hYgbHDqLhX}7AQ++`Wp7k@_(C!6dC-;%+8#(K%M zk**;Dxr??%Fd^t{-LrcWhe?9ABf&leE~J#Nr$1N}+;Ncm^y4$*w0yi-7;Pj$b!@%# zA}+s@rg(04l0T7u=X_NhQa47g{NWGkOts}U&9l0@hd65GFWZna7Cz zT_4k#6KDL-mIV#>TY6;%cJ;3m!dCh>&JO)_>7LO{Ey_N1d^1J=8O__`P!fsPA7)O7 zr-I^MuU-Y3JxFz(<`-RRIybEW5XM+c0cmW9e(hn*h2~FBU!S@xXj`7##FDQw``6=b z5f9;A{LYrs1!SrHFCP_$mtopw+}dtJuCHC@YlbznU2DnT#sqTZ2XTq3x;S1v5 zeVsGVo%keU10UJr0k+iSm)Tpd%hv8ec}PG@6F z>Lh$gjl$lT*>Z;s{=#o!d7L6T(}2&u&ql6v#I1T(#2!s!Ucc+ni2UYVa`>j{p94CY zcxr4*)xgoCz<*?We5lM5Q;3)^Ax#d|z1c}w42{4-vfWDLEk7Xil(u}}*hL|EFZZ|; zA-SO5I7ofl88-vjU_8W>e8z5VSu9W}$@N>53IG`>5U6p6~1nKH}S6c>UPQ zVYPF=X1vp8(ES&m4OOF8?zI$`CV&Z#qXUiDj`xA0#C*oA>~Y=8$1@R@5=FT=9+<)P z+Go)(+&PUf-d_AqYOqbw{H3r&uQPa9j?Ytk#*(Qo=iDV|vVL7QuUc3)(tiHqM(Q@&7CwX#G35=dkgR zrjv|z2kkjyeV~eo(c~w;iI+LaG>>2oRM+GjN-#1tn(n&pgFX@tY`b ztVbR%(gD+24xYxHmZ|nk#aMWZ5)CR`f;(4QKe#A#R+PA%JHNE;#bIcYrK@g1my=~L zWPhM<;Vp)#9&3mGuq2}5L-Bxjdgxcz`{;WE^(mnS;5)>c0XFgBFYguF%p7rJdGME! z%$B}59erQPKJD^Z3Fp?^KejT9IN7gDTYW#?#Wf8G(;j_GPD8&OfUEe(!&{-cYJy%9 zylmMk_o4arIZj0}UTY@S>VmXXKi31%gUpKPd0vjFGwq}7=LK*seY-fR`U*Md=((4D zjPRl{ZszB*N$}uBY(v~z2!TXgcdl!Ono77$g#KS7eS199@B4odLP$agAqh!QIhznV zIFyiNt0Xy-oW{2BmNQY3m}4lXiJWbta;h+fIZr5?VGbKMJN#as@9(c&9z5=s*L`1y z=k>g<`x<9EA58u0Z#{W!j}XEP+(hK*lo{Beyjp0+*3O;SQ~hL4?7B(n5xySC!>gX| z8`Iwy6sD`^4MhWlJBTXDYEGp=#hl6CZ33xX0e@*w_`)?B0XMgcgnPUP=h2JN)B5b& zKXeP~Uy+ER%-f^QM$BB2Q}|(}T`7j(6QB7%tzbywRqO$=A$mdLdU3Q(>vCRZ&T^M# zP#19}Gum2O?a|Zyl)*=+R{c2tuynt5nqg1hP1uE5@<2k#IR^9YnTc)`23;s zq-O!;fimR&r`|pvD>QZ3wRVULxAtcp@9IpF3;)X;Q0%;7TmkQR)J~K?(dRqGOmq-wj6X2^q8MN(w}cqIzH=^?LT1o z_f;W&WP~wyF2vVg7~C4q-qY(NL*msriVtB(FdMa( z4Fo|7S5g(xlN6o3$TD6gil7&WN5kT`u@dEb*jMiJG{=We8eZh$sY16|8Z8$_+*mnQ z(E41?B}Qn~4gG?Qo5J0kR$Eb^GZ zjb!?B=?tcs>>6abJ>uKSq;H%i5~$s{Ds{ta@tOtHi-w>|7nGc4DfZ-=)1dXTyouXg zxv-{fZ`-15+)#r1A`OZ{2r`*+J$qp`ZX0c^c;baR4+s8y>5q42(FI9sIx2HoA8RPuZWu%-E&s1xp)g@@aT z39ih4!v3^dFS#rn0a{&gihTWVsvX+B#>w85d5PWW|C7m$->agwFaDtuiV0sLEI)$8 z(JQ!3v;Kvzp6G%m&w7qi z>HrP6|7G}=YzG!|x{4I58@CaBR%aOp>#p0Owv8swH9m(TiGrXM&l?|`gWGR@RLdhn z!k9i6{I@xENF@9sptC6tD`x=BxxK=oTLMai#EPcV2*dY~*inHSP#Af5kw%QieqJq%yY3h9Y_of$6la$Ie9fh99#h_4RB_=`yoyD~DKAScY z+MS*Wre^rGrzX*IN(gP6`;Y%sn0{DZpgs8Yu9*_q*w~apEVw3fd@Slr&wshpv>nG~ z)P7cF2#=rRa;aCsSNEw-({QHS@UJ!=u8$%6I!XPfb^`1V&HiAcyTaqCA7n9eRMW{p z!{0({ZGz~%oXY>6teHrfjIeql&b{D3cd|-m+;5E`xjSav#BA>`cu*pETwWcuLi_3X z7V#26G-PKlNpijF=tzz+txe#rFp&J)p`|N!BZ#+E(U;9lI$3;V$++RzII#gbGt!xUV*r_s< z?iVdA^%WBSq)Z6N@fsnTS^#erznJ~=w>307NnM7pPt=GyeQ9v7#*A)ZwBr5?AkQcX zkO%06w%Uj9RJRQdA>4Tf(^-X`5NgO+FGTY_=Pa%5)q6Q|LUE22wOAt;{6+IKs;Wg_ zvi(wd)`EX+S%px~wo6B47U#H0l&4=?J@qYKufW33ne1Ju{2CaAf zAVK~zNXs)~KhPM1Uqaq64P!!SVw2I^pV0oF?(Ppmi;q$4+XGy`-Kd<|dm`HoGF{;v z0$k=dxAurp0S$cUuc-416kd60IV1GA;;)Yr#9-)?s+sfC28H3~=zD+Xo|{f*x!hW? zMPlR~E)Faak5nMuzVdCq^pO}A#8i8f9D0dKK!T}?&)Q!kGFw&8Or1~rdaR$h?P-`W zwyn4^wB7Wm{cbwVzLd}wdiqRmhL$hre+~m4DUMRl#LiXBNuZ`OvFXx_xFKs|vd%W) zi@!F__Tihey0wH`+C>P7@hLLVk|@R2rVUwE1SSKMI~qxavq-ohaG95z4DY}pH#yoy{V756eiIdR>0UvU9Xvz-6>Dd2lhB1$Yjw>3beo|1EI zGD6Eio#euzJ821H5A6-m1*Ix^TqHukfIUEJEaccR-q<08fC~?`R;?N?5Osgs*KOl| z;;}j$Q)Ce=KvrQyhR|?bR#Qub?Mo`ll_d{ZYIEF4r?-bgyeF2ca3s<5oLbTtH}9KO z`yQ3Qk_(CteE~(vd7|}J4^|Lb#j9A`b#`{#)35z%4Y^hZY$YX5{DoxUwXu+?WCrqR zOE+ry>z5(D@{W{kLZs`aqM#g^RZ)z}fIl4Nj%aw#4KVJREZpCTtmpoPm1G>cp67ri zWK>`bHeN*#*B2&vZ<{RS$}~2MQiiS(MbTMfRg2N?<<`pRg0b8jbr+Vd$%xZ>cty+3 zivH)O0I~M|?$3*-ZTqkXjRwf{-k4DFLqiy z42`Fl0KkW^7Y+nTw)0xJZ)a^N6iuvTue-e0Xy#15Kh;P?{s-=FDaamyhu{22Xm~P3 z70sYc**De#d?n+PcJ^sdA);q2=l=L6_qlWhy)2!`&IgCyK$)JHr?pX)IM+Y*u|kP) zC9J1EqW))E$FJMR)5A@(A0~UBt^Pq)e5GD)@=(t)r(@EjwA32)U9_bigiq93U?<*LBT@V;^@;JT4k zI~3=n49&#=4OUZ-pm_l$Oqx_)ho1~XV;AL%#EuK^v^L~pTbPhJf)Uy@!R$)K@};35 zrd(f@pU9b-QhGMcwB=`kVD<@OCX4)Rx0GeIj3Ur2j;58dF8xn38K$)TJ5xc}zNc4$ zNZ)eIhfCLFz!iT61~bDSS_~_GJ7w5UZjL9LZY-|S^z>o2w6-1NBWyANO&UU1qmHAe zu3xzcCEngm8|t#?ZvoT16=f3>mZ3ZL1heI9(5Ug-i#Gkyb$#P%)vVd`cG{lKS z^2=Q&O@L@wR3J`?-Fa3)fIUU)g}EQkSeH9ufjBd@pP#Y5O;XrBQ&*Zl6mwx#m&pTE zjwm6fZC)=f0mUSc^cil{!@Ew;s4@ zUD7k>+DK*ed>FKzRc73%27b-C`Ggt-$Lkqu@ss75|q^04;%Vhb~LtErB9c;61?qmiGM<*bL0VE5?AA z|5`k%$%kKpB6AeuK8yNN33n?oQT*tv&9rB4)5-hSm}R8UTUgBzR0^1?6`Dqy!axJb z@ir)r{xT9UPC&!cnOa8DHb8g{Alt#=RwVis(gFDA+Ogj>j@qCNfQNb5|LiR#>BA^2duQ zmJf&A>ihR<0|jVtNP#TKbWqs}Z zzK!~}m#aV~SffH0*`usc>uvY^dtU5n%Gas$c9fe)57SU+nroT>y{p^~bf|oD!|eDF z`9fMk`ZEwvwdk>3{NsMlcDYpl1}>0y{~LJ>!i@g+Y~qX^!fk_kz+=oD>;HWxi#vl0 z^5sUI>%*S^D~v>x&2!IIw{3I9#cHDNEd{d64YPkMMm~y$Q&@DSou~b`@o)|lW__D; zYGT(8B>i-;p&n289_P@|bUumpq~R<0BVq4u*PRMNV^(^geV2wIx;DG-pV)li_?Iwp zq-ENWLGiIg!@+0K<0-U(!(fkVu%ahW0QGNBS-Q2RxcZ(opZ^PA65JqYw=(*%HkH=r z965s%90V%-kMDdpak+JT`KJ;4s#!Pu0+&>R?3^gBo24x(X=VD1{% zK2QfiV~D<-*I6OrkwX1{zw!=kB?CfsBV90Wj+&@Rx5t8pWZWV{eOxQ z4#3v5;50pYR{~(9P~Q%%BE;KjQxmnyP3JhPz`xNi=7uAt5A9&KZ=aM6gmg9qGp}4o zYesD%2xPTyZH`S!91Pf`>bZa?HNothf{Ad*Nl#|9lf*J=Io#S|M}!k-$dxSy=_Rsi zh<7Wp7#_Dz6dD;KU_IuZpn2|xZGzXivp-$FVO#M-F}FkCl^elehyQr_`-_t;2+QTy zpda3m-2g=aOd9^E>@!e_K5O2Ol5BJt()5iUC)_ygi$aD!UHsEppf;CbI4V$3CHD3> zW&OMYkaNEgXB!fK|ULyVCLG$ID-VobYB{0j6QYmKAizm zMt9R502sf%5U=;m@=L8~R1cYMVCtVkd?=vLo+S1EGkscCkL|B}soX1p0k$df=!c?EvX#2Sasj1LbFS=@5*p`^fiIdOc?(T>g`v3~XwQLD9u& zIJW&_P}ut_xRme|8g$&=+wvpzC~X#rIY~DGh9mg3;3*3$LA32hYWnO`G-fK<-;WD) z*oldFXK)Vnq^vZBk!3j6IK||I<%&8C#_P{TV`IWz^l@0b4dOI3vUb&Y3`kd-B?lcX z9smyL`eIEE-Zxdz-gqUh%MO$t@v0%KpzuyTVBd~N>sk*(JmZ!%&^RYrN6EKOIm)G6 zpn3#9{Wl01`+Tbdwe3a!wz=%HjM|&Ll*`Gtu^)4lJwX;U!51#mZpIjLXfNIW&?v`_ z+Wr!Y0~iG+$GN`M_3m?kR4}(Dci>)T-)*JmnKl${e^bAo^n~R%?nP(WvbI@gXg<^4 znVbk(N@A!dDn~ms_`b=Ci$?FbkYHFw(71gSr8B9iaGAd@hQKkvNX>Cb3N7U!G-E?+!pTmXd;4uoI&l&ZfGJ2;2E~%Vg_tYH zU~g~^zNo2>^?H*51Vb+0g=})`d_z9M_We}*c_sx3SGu*fCSdfPtoPq%H19(_eKx8Z zwR6QQ2ni5qqLpZT>GoSw?c$wx^M9tD%%hBowY$D=`{IZh7{o_vJlLPGd9R<@_0FR< zDztZjsIo=a9}NG4jk<6_5lyYuL1#eQeW@qk|K$Ik9==v1hTmD?YyS>-l4)=>DJ)IQgkusyJw+efB9Xy4ew-9FQL6d;+9`h}sJ z0Pi*uQwMWr>6KReWEs>gBRO|z$N%zo?Sw&L`~sBdzzJc1gRdA=xe;XmG$Jt9^Q&JX zq`Y7gemc-Wm;SwzQg^Ssy>Uh#0sCj=S@Y08A8Q&?N*TF+fm*sVShjkQRzRUAuB(To z(!xh-d*?Mr5j`QsrnYD0L%KTA8QE0Xvp{qHWT zjh-uT0Sf2Nu0H=*-Hq;dH=qD(Q6>*`L=rUKi<3h+PCl|^x~%WD{=$nCUF)eHM{`vv zEYlT#{&p07@+7+X5m1h(ZTeq3WIExvCmlmwFph;@eo@}l^gMW_)$oc3hHurPVyJ-E z3MAj;`O(FFpj4mm-SPpo@vN({Aw)xA){Q#>_=BJvc{$%9470}XMgx+}p4jdlpjcUbDcxy&?%E)P zC};Dj)#Y>PhwZ}Dq@N$+-~Zm0+S2e%PRQDP2B-|GIn3uUcH-nqf(zc{jlAk ze3uOmVv6tCm-&ecbldu;k_PVI zvwlYR!*Mb>TG6YyqNu=E8RJMv1}VgPVo7e^ak$Z?@XyGV_epSd-tQ~On1c0wJxgo> zp3=T~_UFj43PO0@Ma%jtPGQhlz41s^Fbf;b9Do0*)}kbg98$0#H(&f@^Q+({BF@@r zIdB(W*(qhjHGDKneK=PUwZTCoo<7RJjlRHO20;R+s$2KY3Ws0RJ ziEh*oPhdJ+K|utGFrhUJyTlH#5UWVOeEDlzz0$&6VmXWHM<2xUC7gKP(k>9oCuVp4 zzxj2k8Ksu?AFtao?2=wXzWiBYYtADFr?Cq+#_rmuwIADR1kjjDo&UVNiim z1dSNucCJJ*)x@J1A-@mFAQ3tX(sA0a*f%X{SzHAgJ}&BVNnzYe%1Ni8W8dy4ffnR; zviHkW41S6|Ua2jefFvy)g3`6+hAGpjCZv;sYrn+V(i6HLFv9rmz`p&Hd((nZJKY6? znI~)9dd#qATclMC?l+$kWXsJvCz1#W948XCl*>ruT-~8p@1)XD$A_^8?S@`rtc?rP zNYcC&UJL)!3R;|#hSWAd=ESYO#LYI;rWgrg*5i{IjV92}(lleEG_nwCG^iETAjn-A zieBD6LDP(rQy2ybvG3zD4iL0T2<=807FdmzVsZ3b_ievIe(CAYyB_gWAy_I_n(_1R z1ri~3=@;B`OzZIarVVB_2TMJ^v}?m&1HT5HriUM1+n*FIio z#PC1XPdotaURIo6PhSEZK=lRD@oTf?^94%Dv=t4CxE@w39N=KdHMW^#9Bo-s zVP2en;$y84P$Avkj%*BkNI(}@Jkhg!CQdy(jVi=y+jX-kw@ zf0OA5-j)J!w(5i~4^yPeU4EFFR-avr6`KhVNB@hg5gDsZC0!m0e}yTIO%9=$?Pnzu_QO;^B? zg6GHgHECOv3nY)|4GN8i4XNC_47&PSJ4$k?!~XSOf@+`4(aF!uyO^efdZ+3g>7S!# zkF2*Og6p%j8#&_Wjh{IiYL+x8_5LPNHfsMGjTv_6Jc0NPu^_m~je$*2m=w_cPEFAd zdFd8bsf7})f7))OVg)^Z><1LvHTAmw7}b7RhgUJe#({(N`6Cmqg$*9M6Ra=QKA1{E z@PF1%jpe*fgv-9w5WRU75oU=!NP9hw6m={FOo`;V{OE_E!{+YxC?{A)VbS=4JiRb_X z(Xp_zIF+^-PI)2*WPbPOcSc})B6zc6bfx{ZcE1oDos(Ybm?^&aUEh#L<|6!h>X)A3 zKvMQ^hHzxQ5c*RMLd=7jxH^-qe>MPg6&v|vdF=4*neuo}Z6bJA@-*{)P#$0<2^PWA zGBYOPY(C(ZZCk`1adZJU2l;(N&LQc$9Zndbr!)GsraVeG5k)*CBWAhvo#@i0IK#|j zZR}=WIk4+siCSix&k@o{^bX=9+U2v0*rMGd`G#@q%R)P({a*>dBvBoOlqG~1I~PY3 z45+c;q~a8L*iYvR5=mH|a4FhuteZ+nq2x>#&Hqq0m6I68 z3a=j{6&`GUVu?Mf&%g-jo2iOYuWGX#NMq*FhV`~CFg+46aS7BF;TX+~b-R>>J#+nb zv>nf`vn5eDf6FL2TI=L6M9_}mo*a#q7^ZOIx2l>RTB7!)w=d>gda(hibEIY=5@VY) zi={TWW_`qB$YB|(^;W3;J>q67--84j%(@`O$kZ)v|3ZmZGiULu8CPMLV(7H@YM@sf zU7NJh4)WQy0&Y!cM9CWNX-|9(#P$`u`QK_xc*3fIkW8V~R`{*8Na>jenJl^aY!l&^ z`^F^%;*m^~!k2j}|C5Hp6aVq=dRn5qKGSN_Gdc&PhAFNZlU%)^FUVa9#3WISc>=4n znZs5yFZ?(rbvHCng|WCw8|peGSbmG9>;I@w46{{oj=$SO>u zItbD1gUkcJWeg6%&#uDt?xhqJN-$N6xCU7uA?8pqLj1wL4QB*5bP(nhgtepvE>NX$ zSe{yUOYGU7wbtV!z;W&Vq1yFnm_mvJ#UBWu$8EWQx1Bz0WC6-2E@iSpi?LGB*^rSK z)6p?r9F?aAQ*~c@IPd`bGHxX>?UuqYM5I=YroFYVoll@BOqtrKrJ0DZ!+}#0f$*^_aW@la zpOX-JVda3Tc2W;;vvC>LR;e!R-PmUzc+e|cc~Y+=NwJt87*2nk>0v-|h-3EPSNif) z>LJvFWI21?xP9Y#ZqzD5n2G8dkQ^q81c(FBfKwsPE}y6EzIv5)EVW0>(S8sY{YBv#Zc4MLzWHFBs%i&Cj530fpvR6(N*k2ON5@jx%;jmjcQ5Di*<{^bPLf@`zhKu zz1oKvwQsb=*q3nHvi%ULnG_u0667+Y*|R%?w1ETc1#y$_#T-@UC^&_r^w}-l^iNJI zDO7&ZGiZ4Wuri9_t0l$vTmNe2%RjfFpXf272RsLq8ak9^RWGXc-^x^dCXs?!LCO?MthyYs=>A;SBJNKTr9W; zbsjTQiiwg;hA@rU(-)s?AH%UE?OJpS@iE~z$N}~?j;m6SRcT=tQ;)1?_Hn+s6x6~A zYiNH9JT@7kq2KL_u-{+rD^TfDA556B<}#-c>j zHrowLAc8O`s#qI4s|>Y~o%5ZLn#mSN59W;NC2}XKe=Lgv7P$88b9?VR-y%NyMda8%wV0KJ;m{wx5Y^NuhjxfeiMLn^+3@%NG-vTbz+ed9MPp zVfN03?@^sgyCj%!0!j((~yu?1s)8^D7*9}-*tik_wK~@P$HO!9y8!} z?}b0MFFdo+Y#A7ohAe)oOdu@ZgM$I9n2@X$9+&mmT(9 zA0>X$7o2ewXUF0w4}1=^#HldDi-}SQftNme%)DmQ72~3e+F)6PyIRD`2a7MQky7$G&7Pc~zuP#`Vv>)fukQEMVx1wG|9)P8~ z%+VDbu{><~iPkXl52XlUC`|8PUu^!hq7mK5ic`xvOmmZHp;|o}Xuek=6B!cM{vJc# z+)qvfNAKe*#x+Z%Im)DXtVRh)WCo5?Ol7&NFjYF;&4{jj*fS+SKDV0_5Y%o-OHtX4 zCPl@)Nq>mNV1o|Oa?BJLC7ZX4h*f4Ns^|+_n-E%7^SS5d!drZFEww)a0Mz`s`9+sD zo7wFDWZC5c$?dj99pzmj{37dt0<+;WP;6o9CH@k|?H0oWQb>{sl0_iNnRnvog$-kx z^~-jZ5=B9FG7cgZu$>488e8Mw)|rYsP8EsZM7>@Uk+B)x-bim?#p9PSd1C=6`+ zOwU#9?HIUz7%A|`>CxPi{nM{!5~P-d=d5cEgU;1&M=k{(VI$|;tioy!&zj%@`Pcga z#SNZ4#KgwBnUoO1AJF}QFE)uXEFl>DEXVz7c2a@XAgLwxn6yMv!lR+5G?Rk?e-kls zJ0!QqzAxX1b)nXO_=k`Z{%_Zfim2csL_v%HLD;jacKR86)j>1EdI!XvKx)0n92Fya zwCwpY+kqChEA@^;72+k#&D3@mW#}+}<4tQ?Rt*LhYx3}JNC6WRKf2IR*PyD} zBzNNxP2=;IaT)0(F$ejPX6Y6?aW><})r;suGj8JO@w)rON&t8Hid11AMbv+$0ix_EP+oe^7%J&Ba6QBV9OV->}4G zt!^6kG@TSmJUyCi7>7(b1%Ywxa(Td!323+iCxr~MLaki*YKCHt?s)MTRNDU-9ZJeC zR5l8{*-K{qcr?{FSd$pH#Zee!pBzr0mSTi!VU~)RjJ5+U5M}1t7lE-*;!9uYVd9}X zkqqG$qB68kZc7EwTAG z@h!G-`RXU?WT^(Rz*6gxl^Hg zEu7SAO}mfK2yiq5*;=9|J{64hT%(OGln45J4%B(_iEsare_|fEEqRk!kSN();5}1* zu5UP;a@2_{_ndQV^7DRoavp!LUM5mE&T;0;(pH5ZqBEKtye|r5QPJ5g{y9DV|6=p6 zde30228qf>^pmj*VM@V!X8{FNIvcQmEPGx_J;;3TGE(Chm)tp=Kp1o=pt5k z2?K)b=tMBZzI#nKNZ67VJTsR@s_M2SuH7L*GK-y4r?CLEqHN$Rn*}a_JM_xB6_)(W zPF`q$8!gmDJn{O}+}eefnv_{tlL)$LMaz3!v9J>!Z7XG+BAJ5nuU%FOJM7A@iR&w!!p z-JJpR6I4qw7OxnWpro3KpLyvuFOM`no+$vZX<R{hsbCEP^OP%lPU@h5r<2AqUe^^7L08OFOIWyY* z`)ihU-SW5%ruNY@4ohIiPhvRyCAb6?&-s_g;U$qPi89PJW#%%@+J?g6!P|&;G zr}Sue_b(87_5QPBKI~m!vb-A0E|Ycn!t)C)$fTjrOjg&06&O6rVbo)y15>n@Sf$MT^##&|e1(0P%!bUr+{lyOG7`9I7R{E&QN{ZSFsE*= z-~00^OO(jx{p2{r%Vd_RHym}YPYgkPq_?!2z9eS9y?=rxZN1fA&TtoI!c?FpawV)e zAvQo>ocD$|$HKY5vFSR&hY~ofM2^(!8?Qj$=v_mm^HCu$`VVY(}TaJsp zIWRSu8I3dwE3@CTP=?loyoxhA&hWCL-CuqY!#T2~C^g*R#{Kvo&Mt!#D*DA%0|NUQ zKV`EJBJ4nU0kB++Z8gON=HE{?oZ9(-_;vMF1A{EY<(3+fn+PvQW;jrI{R&edmh&T# z^EAk9r1?C}+M4#MrX5*0wJC1$Q6w~*_9QGB47 zXe$b+==VZK-4Y88hs>8O>;aF^fe~vFp}D9mezf6)0kUJW+VE&~Zn`gEaqC!J?R&3FE0 z0@KCS0~%tdO-81LXU@~u0w?n%4cg!lTKh&)OBCjxK>#PP$1K|?lt|7E*D z2}C5nXVxre+LC4;H;u%gyS}(4<<+`Cx;XvuP(0noq#^1!JuK=pB)I(!&oeiG(nS`v zAYYFV6a5+;#H<@?TKqFsqmR-_eTbW(2nk33X8y{ll*472tTEG~&6iQ~<1bvBw0t)}c2JbidFP6hpl<0}6k!v^(FaX`&JHtHPkMo&N3D;#Qe1_+3O zONG?}0TBS$)E`h2i=!MH#`4<*-vf3rd+$eZ;^u2Rhm)c=$q7Yz??U*Ek}bk%rqYu# z3pkmXWJsCF#i;IZNW3`F8*@+w4wqlLsEs;w=vxm9DLp-z$Ql1D&hYOT3sF-R(+8H9a}4I3k$5 z4ZO~FpR;f%TxmLaTc{7NI}#goA_PNKt$<3*u~Sr#IY9 zcBWmN%`<-qo5tS^VCLl?wLsP5|MrhfLry}9j_RQcYcJ#4f2_sXaLxb(23uyWg#&1! z0MoY!^ulBT#B%}x52^xFr8!=;5AQB`G-|88v#T|MPcw8(11FjkT5i!z zF+Mblpb%?7;{3&N{_;WV z@NSOUoqbTeX%7nEP^D=Q>n+82@D4Qts|zfKc9}!~msn1(4F<#b?A1evfwuvoBOofif3&y?}9WNor;Czz=LS%17{ki7UtLJaoz{ zShA#eM`0S?a{3}hmVN7JnUYlGHM_m>szHcHwBl-JZSay>PcN|}Z8NHj_U$vD5$Yz} zwoV3~qXAU?pUqR)Kr!V6)fQvKVvn05-pYGYUfVJ}P&%^4HquD0KW)gU!TRi-i2CWVI zv_Fa4<9d$Uqe1(FX?ivm`x{?{3;caZ>e*vv)K#7A%fD5Txg9)dm@378-=te7q&G6^ z#G5<}po);azn2e=@C@+!*>HsW?x|;Cub<|+GLO}E8a_Py-O7LL?#bcNM88vR3Yj15 zB$Ag9Ytq5ah@$-la|X?;(PTn?>)6iC_oD$JiyL0mX-xs!ik^l4j7+wq?^|E#(W%fn z5G~#EaB5RwdTLZ95BkDk`q77{RyC0K?B+YH6~7Fd=4-vV0lesUoqkvRd_Vk4yzPAv zyfrGm1o^pBF#m0oqqyVci7=SIX=YMhLvUL@xT4D!6A-x*N@>vDxK^aVeJ4_Hed(%c zyU6~CTm-pxI*ph;&-6_Q%RlTrCF(E@jW(VrVV|-Hj>y6UbQX7>@BCwC7XMGt55!Yj z_1b$sot?4g{)1y`)-OEGJ2@ve)7?4O8TWGez}Q6fz8qGD;SFgUf3*MStM)|W#g?c$ zGiUrL!{%n)<^B^GnVF|%FpghHk*OEmASj(@Eq%ULpjj*EXpm76=j#U00otk5iTn2i zGToJalz5_9+9=12Ap8lxJR4(Jb3E<-{FuKGI;=@A=v1BF#^bnJvAu>a#RH?NzUZkS z%++RvJ%KI(X56IM+PMu`f!?8B>SCut_kbnYX!M}aj$v7HXhr={sYhW zJ0(b874_)x!N+@k9y{701a3BdJe4sq+#+e0^X#;Q1NR_^>|*q4t;mREEW^4Dd_$3f zh_T>=^x;p7siuSxPcZNKg1}ROMLEAOfV#I`ZfX8ABi3HM6V_%LIjZLR!0Fj*&D^Wt z*M;@Yw0Kz8d|uP{7Li4rPKS&~`6Xz7cV}G0d6{xZ_RwA+prlDz&(M#NC z&3%eHbam`>vEYT#<_Ne=WQCU-!!(m9qHf3bNgm7Od+@r3qi;RsLdkpilUuAnm$lSS zZ%y zy}f&9^I6Wou=}oiVH2Vk$~6P*&!u&{{E*!Bm^H-o>~E20c+US5n?Bgn@u=Mya`{8j zpUmp_+I|8jUW~;``HM?a1C#2e6Eo7)f;9)%R#F(>!d_ksoTwdhNh?=3UPvPYKVNO) z^Y|cgss-yH7o%1#QQM+OO1@AoJbh5gyAe_~w6aU;mgs4-c3ah6zv(>F!70+)xJSEq z-cO)KD0S#{hEt3^?=r8?Y=sBz-oqk~=3>d+_;U;2Fkrz^O62|U9$Q~r_thW0g>_bW zJjSyk+eu6REw0IlpMO^deEVRFJZS-*Qhvf>@@VsW(416SGx7L`k|Qa--?WZw)m%D% zP4OI5*o!QM{4nP7BXa*;{(H5#00$qE*2w5o;hDqgok5t5@D0WCi^8X`Maq*Rus6_> z-c@VTn zr|h&s!~#Y+;0}#XCRSMT`OZcCby1gb`7c1*`fe!thAp8wt7eJ&{!EW=dkuZyl`Z5k zx7rNNbH!*QrLQnzu-;F5VSybX_eG>8QFGm1nk@Cb<-eLO?pKLP$kM)g_6eF^1y&Yy zH)3m%aT&+&waAWeW3S=$53v$oKo~+TCw!)v*PU9eh=MEeZr)Z zW!%+{+iiH04h34x>2!{rxmoMEf>-+Hi#fXb+8l{ZS%++p?^6ubk?E=>QONkzBGYXA z!B3R8Q$(TG63FE;7YMJrhCM>oirpru#p>|pHhu2!_~OR#uCBsb2o3R9z)Wsz9+_?z zw_c+}oNCcv&Bnk;MgsaT7o28Zd1Nq+HYAJ{@|P&amisXX&I_&-^Hp69h*zEC~YeKX7^DeAW?4_W?4u$!I&~=Z!t~)5ok=Vr|X+LZ1 zeA1ryF6Ch{n_C`K`PQ!Rj8Erc;P2h2M~I(qIi%yLh!oQefk?HFFRaUV`m~4H?^Xoa z&``&{hc2%rRz;z9H^?vUC^P4YAUwNhcVZN!UY1f=n=8nV$gm^Z^Q#-Ti)_KKZ+2lH zT2F}W@JGg=l=afAH`FGELD|!$jUWMdw<%3nP4{6qf`L$&p9cF^K2=OM0f+Am68jV;zmSVM?@kqgor#jc(W>`MHIge*Kr{2Vu+2pK$y3ZWVnJm%jw>{ff@vd2Q z^D68(1okeWT(Net=E$Tnm@}?fW=6n;vt0dwi(F)3tCR+bQv* z7xR_VYW_yc6Vp@m7n0R%)3@gAZsaC2z0#@&quzQtay-RHg+7y;eyja^by4&E6VGo| zmkX!MeRqA;Q(rczIld!{BIb5|T!%vr$o!4n@N^nXH-IFRUi&7!Q=hpls#B91|K4?2 z1eWysLgfdwYdVBKgs;re*_D43$KU7FyHtp~M+I-*ApP>vr9$2f_%z*;RgcVw{Vmw2 zs;#=JdgmJ$@ALS;`ajsvbXJDz6>X7IRM*0Ed?co0=LuNoovQVVv;zRkzm4-79LfIl z1R1P$q5o;(oqALJ+~p0`JF&l0w|JR4Zt3xc4R4Uo0!;XSb|9VUheVRz)_HXsPUKFG zD8M9S-Mw)kT2hermyfx917F#FLK(v4Ovvn1+a#*trO#?%a5*G=fpOF7k<2<;Klb;a zS*~YlfUd`aL}-Cdzx5VqXe6oiIl-@R2HYq+dc?VgpK#Uuoo&)DpP)e9 zy!)&Yc68R#=uRmCi)U?bJ$ONEx&PpWzgoksurtB8!E)2NyG`%L825dk0hb5sJJjCs zxou4~e9Gy(^O?+e@DMPM*M554QH7C(z#vf=@ibFYxnq!h=hdnCMk!o z?1GGVvHI-87*tjhrBtPQz3*{N$alY!vks+M;V*|OpG1ZIqIF4;9cQ*oPh)<88lRjI zikj3wYr`TjKAh_}-d#kxyG0k9pED|xZ#uKVr|xQOAFrKKQTxCpPlk5-i==&8PtWZe zm-_3|S;vTRJJlJrmAd=){60%k{9f4HceQe+sEot+b=0m{*F-G|WeyR%=60izV?S@-9DQiI)%ex^mdMZMj#nMc z?`}C|6m(Tz0U`$0NvU{cYk0uL-8u7T>X`J(Ic?Rz(3-QZ211wLXIN^fJ3lbj8rw2K zDN!x70@dEyH<9#;qm|ksG3C~T2hmz(A;+PtdRO^890#b`eP-dFGLtEr!oJL z#tju*H3y5(svQHX`R^-zYdzt{p4o8IzdxpqUApz`#q<^8r61>hX&J>|L}mb*;TNie zSru(*I+uGrR61nzQf_jIs$<0EUxP(M_4ryI-}BFESY!2N&Zj>p*x$5ReD9el2B`o2 zO#7#wJ9GXPd+YE*=1Tqk;pI*5O6+8HB%oO{j%(z=u}ARg(;<S(1=Y z*-a`*ic0onNcKvSWSN;lM3zuw9g!rRWx?hE1KHAdzP`j-%y)bzcja`J zU7j;JB~M(M={-H%^t^qoo%pM)1rbyOqisL&$7a8SCtCWWM)m;&d-S8UbNf5qc@u;a z`S0ksr2fsuOq>km7V!B=z3108q$*=PLS=rZs1JjZ|J=vgPBf3F;HQC!Z5_!wPv7}uM!Dy9WbKom=#x_6x$}9L- zu@9ycnFS6Go;{GNy=Okn;Ing8^g)|qTI44)H9FVgx-p^Y&~^HZdzJDN1iQv~_MxT8 zRPgD+!HULweyr8JqDQ_DF6MyUxnTJtR|-l~4HXLIB%JyFrZA5~aXXV170$q|n0-}` z3_r8i@^Cj!>da@|U-15$+L``SR{aCBr1;j?g=Zyg?=tZg;Iuoh%tQ-a*$0KZEe}eb zn2%9FycT*UorJn=pQDu;r+Vl*bzU*0|6H47xv&DCT*i5x!RbOj3zVmxizyrguG6jby{lLPi!KC_vx!zQ}fqaUeyuQcNwg7Ll zVKdB{LVA$7Y?9XH63Vye8>~#5&-D*lG6Yi(qo-KrhHlw6&b_`vXf$PHkIMF5B&34b zw%3<^7k$TQ{qM2TJmTe(=2j}|sbl{NlNlcmDU_)v6;K~v>`vBqI*@99iS_XFb{%?b z#tp*D!&1y7MZ{|6r@UlO;ens6pYJ7KYY$fJi2J${WmH-+n^2Z|?e+x=o|AHS|7j*F z@3YFgJFToxmS>Az`C9i&)bop%zmS56cj^Kg8@;aZ_)*98U6-FGE!-9wzy0y9tAmgn ze;PYzTlwgMj$k|0EV;nniwO@dTDU1Z%MGbtjp z>OtOvUJUv47bH^lyH1m@*2>s=#gMP%RoOQX*(!DoCclMcd&&eG+hmqJr$3Ozw0$sh zNu6Fc3GeclayKRCN6)@DCSey{ZL0SnY$Qbs)}qXeuJ?+v@8){y(tXjEtZh18)8t->aR<)^=#K}@}MvJ8lX&si=wi}p_<9R;6doVv-^`5Vp zcA8zxzWaHw+qnI!X~icMv6K3*pTzCSE6OU4*1v6P$ggboOG6a<%ZvXgmXvK5H+FvR+75J9K*uS%Yl@Ol>cJUj1@-}{gJg^% z*n_#-elp2I%7XnpUrQ_Ye684B_OB`_M3m8AIprwjRg6m|A0cW{E*Xq$=*s7~_52z3 zxfFS|{(!4_X7p-h#Bq<+Nol8(esFf8UcXI+e%BQ-5_7#^r5!0zU3SVLId?Sok^=PZ z%`dx$1$lvH&;A}Zue7&HC^%Ih_blRuJbM)poj8C_zHxgY#lt6(x7e=G_)ltVKcC$@ z&t|`d)9=2HWoDb&x-9i`M9?AMa%2&Q(RJ@5o<1|#C>wQC^tDkID7#@ra~KXNxOLjd zrt_{1`%?Jfly~@O&f2xx@2wH5r^*c_>EUWr2ZZ+cNy|un+u{CvzqL>+ zf5&S{A?R@4{jA+5%NKj1J9S-=X1-cN*v@X5(;IWDGR)Hhi&m`S|J@P?-PGHShT?`q}YWFvAop!ve?0<4#fOkLt_u^!k zxjoYVosg~GbTRv3#jysFW7l@RtUS73@Z|NE7e`|G&t2MSAYQC>=*-KLy~hnkyhKhm zSnU5SQm~umXZq@Mm(yjn1kndW>c2l%`d#%x+MQAU@MAH&W51V?7dub=ese_6iANRJ zBX{Kd)45xAXJ4h9F=}1nt*VESM9om1Wx^*3e~zw<@pBcOj$7aY14ao*Av%>*V6SZ#s5i1%**6lRH|q!v=EFBJK3nk+8u3;n|>^= zdM$_#Y2bB3sm|80=5>>^sUnQCd+VV92 zYUJUi7g(G-HBhrnBK;$xVo!o>+p@LS+f!fkD~?@0_4nsN^>fix=Wgr^yW1l8RV>fJ z_K2~V@0XDYj~C$n55#;wz;+~jGI^5woKL;)@awSL&xg|Pwf z_lAcy7S0?ySmtrbVrpo1=vl8@pz?_OZ2r_9+fI-0m-|fKACBb>J@8Gp_XWS4f~IE8 z^Vf+B*%z(}o#g*&A^lOp_&}I#-sL-%GxB@24)pCl^V_WWlG2E*!40Z&Zi4pyUp5C` zip%+5MS2}7SeWqm^Z2aj$JgJ5+g^WSTOmsk!^q-m{3S2vc0cSn?e$$I?&=AC2|ll| zS--XqC2f1ALcObV!?gQ%9lhE6G54$LosX8PS6}D>63%%6J1m4t~3X8XoO3GzV?AvuJ!?tCyn=7kxhx7xc3%!+dibuL}_>g-!3W4iFpC3G@>1@ZcD?C0nH9^tzh zuaeJb9U3&aR$;@Zz4q%@;;;u@Au9UfErnAjCqtiJP>*lkcS%IO*j}+&)p;mcbhH1J z^YL)=!e15(#h3P^9V(EY^g4IvO7;(4Wy7IUvm(c~6~xJWepnH`)GW&*(kc5&oKMnZkDAF#gDk&(yE_wxfc(kk(;6CSQrw+~;-K^lsTlcoeg|AHUV18gc*EjbTwDk#h-_e%PfV2vy?|T+H8mWY9SC z>xY5qqG;7_gWLzFwFMp@-1qVB9_*oqu&c2QH+XT5<1$t7=-?o&DWcU`&p*6(=W{+_920&ORS7Yz*O6Hh5L2rd;`NJ}4e*|FaXr}3^q z>6tq3tFs5q-g+7Q`7(1Zs`^^U)jw{&y#0pvmOAQOR8{z0Gaq7oA6N; zBain7-Y%VZP@3dy*WTNUy@#x5E8@5540`aYq)ya(uG#in&hn+3Uu9jAcaQ9+T~vA= zayx8MU{t!tT-Qs>NKPU7fRD+G4{klY#f{co#$&Gd{ipf*Gv2ugWv46iSs*^{a7mha zxVvYSs);Ok6%{pn+ugpP@um=?BJpAe>t5<}mxNmf-h{siGI>`Uy=&Dv@xB=+sdA>z zpm6B(=F|?G4X;De|L%S_`5SKTw|h`&uj9Wj=tYqjF*h>-;|^oxtbF78$6kjTkKfE> z8<*L>y2#r>ytvQl$a~$7Gt^N-qbv9KU#L0uN!#JPgxLwj#`awWR&qi!DcWd_8Le*( z1KaW}lu4syul6fWUA2n(ByC?I`ch%u_wr20zCw$;*AqjJJqWtQ4=$VYN?_Oa61$k( zPY+r*#rKb1*WK~)13hD&<{AAYkrK_nv#CS$oekvTYyFX3I@-6;}o-|OMXNW)& z+wK-#vv~gLLh>>3PtM6X_f%};HC9QY!+`KZ)VP$6DI}t zQiL6q^H1pGl<8>CHWmnvVn=Bis1QHrrN z_AIw3vAAo~b%Ay@dA#GbL{DXCadJ6Td!OMYbtUSLJ%X_j(GLW}eV=!}c_r$cJEGn% zd%ecC`>=6L|L^Xrmp`Wcx*C2!WjD8T8kCa(gUJq^3M<*v*=62ug)>=1)9D>@%=j-zmCLfphw;@#8vbA|XzOS)d(W zdnl;*rEC5)tiwmOl79T>{-4eq+eWnBTNQ-MTTFq$2#pquUNTtB2)#4eNO^q0H2!g9 z8OrUuN{v28UQ;k6;6m~)?B2RVb#3GYE_RdkGU&TofX7q!&Dqy(m)Vl{Q5Pl#e-^yNO z@(RO_uek1WgAc2H8t{ozDLl|YX6oNfR4=3Ish!nmM6ZVM2IU1@wmD!M>>wv}F@6W` zLG<9wo`#8(d#GK^{ui9Wqb<*bFJx`|I!`cVTMxbzc-I46g`62io<{tLvsONo*(@*Z_R;I7^zH~mPo5OSNXP!9{5%2uO zM3ik<_?%DT!N8{2GGqNxxBpRQ6#eeo6FDKc|tsTd8AdlvGU~c`95qm-EO-M zJes)^GvV~WVdu%%F&TrpXd%)H`4@KBY-M5JU>H^!`|>#3M@@n7h9Jj(>tge%hK5rY zl0FwcP5XH6(yg8q0mMVTy(>5WT`B4JFxg*8)H*0}GfU}*Q_!}8lT-YAOM_PyM_rFy zRI-j$nZW%0@b%B$OHfrCdN)h7sA0IrKfwi}F;R#9jUR$3J+E;gD79Hdd3lvi(A!E} zb5qdkL;mV2j~_Obc7-}3&lm*;tm^F2QU39kNLbK4`?x>lSELw6`3Jg*P@PO$>$>i! zG3)w0SUg(v!6RGfL;@)Rw_{a#uAPuD{8bKhbd|kbDF>nfIXA-4_ z`B>PuEL#kh`Fg`?p^A_0-7w3p;^W4)fE^s%4a~Sa^VJ3w;@!=hyM+&1nL6oOpN0J& zG}zWHc+XSMcNfHuWU|+0Ta&2HzW0FwZrzhEWn>QT{Ry@Y7YL%c=p^sQsG*b^IL-zt zTuP(}_jEX$m!RGW6`qZ^`L@T7XY4QQ;WBl}TFD`T886EfU0c<7%~Zx${}#x=*!FY~ zp032pqB`Ef1cK-cWg4{45iu8cOu+KMZPzB68TqSZ(G@;F$wv*d!z5~aGwu&_a5n@- z#<)3)X-`FSJ2>|nPCFN5+tncbqpfedJs54Kx){evxo9G-d)^RQJMk)NNF8;g^4tux zcTMFL_x2oE+zB0B@idH6#XCpx)YBQgD-JEp;V`PJ0fqT=Uu&}rt{4N}kQT#Zr;RIo zvhMb&B6M{C8fQ~&jJVqtP<#sz?*buIc?su^Hm!C4iKUd{Lmd(JI|=({@ynfP(FdN; zz%$i8gR?7GIwVQ)cRKR-^@R9(qD)!6BP(*&(Tfvgv=J;~d&)YHAu4mPPe3 z@Zko&W?OIL2255t6%Q5SJMbOMio=6^%hQ^k4V76^4tqB&qt}#89=v_EX?!uA&bJOi0(rOc4bQ~tus>gu| z4%j{5rl^Lb->3$&iq9KD9H9BYXW;@|42dGJ%1~ydM`>CEk1LtRsIYXRI5s^U=&VN$ zS)BTdT&1~U@Fqy6&MOvlZ5I4?gg9a+rPo|nE=2cLr#l+QX{flI2AokcqX`B#n$CeNZ}8Pnb9oI?l{GfF0E0 zp1FEVc`lYBAwCG!-?0Q*oq^NWiW7s6wGMiU8-XvfXalfuR=fD>U>1w&KILJcf?{7^ zE1dS(z3LAB)`rqvaS(=FCF@ztz@oWTfE<-_ofEMi1P`k=k?10}3d_*l8sf9BN7tYj z_M9nu3!3U-N($1?C|!P9f`! zdj*21P~7(C$7#gs7;}M2IurF8Qt=D1P9}zd)8J-f#hsgPz>ieFgG*~@!ajsDH%&QP z*+7&j`3X6Yi&PpKAmT3g65^@B+kO8#YXxH1fiTK~w#~-w{DPx>feBn-Fhf*YNrqb3x(49lj>y?Cln|3%d>lLvC*$Ha9cRd6 zu_`-Yb24yYMB7K0L>p%ZoFaPjwX_QLZ3Q2tVI}h>V(p{8l4hgA+xbbm16);$Yz^4j zXMN$9&<&>YT$iv>>GyxJWLS-JeX{yXTI>&0Ge=v1R>o;z%&= z_Zx^FL$3IOXh31^>I=F!T1~kC@mbdcY%!ngU@D>g~xuNG~ba! zK#-7%hJ5M+4vn{Z&9gn1>E*MMI3snGHHGID<5eAtYC2UV%aXdxRi0}`?TItfNrJrF zaUfT474M;nRo8b@wNj~p2Z_C*<5b$!aH+kJ=Zam=QK@(}9Cs(!rnV|uL*G$aW#cDC z)3(PBHrm7tiXk@H9+OgY`^B@dTpgqen-BR_hr$wU0x- zkDCZl3WKQL!cf+z)Q@)?J4M$Pb^aFMrC8r*rJ2x4u3b+Or8z)q5%*z3zP=iD516;* zEn{pFcMK%#b>&WG;d%Z1>6%vkHXJ`obZt7Ax)jYRZKJ#n5eTB-rGAfEcW@(LQ-a!1 z`@qMGj0MKtDb$fwVIwS$9?OwK|Cd@prQV)B46=U{-wK8oG6&;Jm%_V_1@9C7lhLI8 z7i7a{VLrMfK65{$6L57OK@=Zola%XMWRp;0YuMIJGAq?xd5(oTNX|FcL`t?(dqcAz z^kdFg3@u4V3s&k>JZyok(x1DD6rN@JiMC1!JPI4PU2=O+*pE8BKImnniO**QFysB0 z^)z+8UVj<2N~3t5dRmwyr!+PF1EIc zqD~A{utRS{ss(J(f#O-W>*<9h)(joxz62&Hgw9(NMwtr4NdGJV9gHlD$MQz$I4ewF zs8XKpr$BcxP=Vly(7$#_Y4Td7^S)Xd<_`JFtmv5HW`$r0i*n40ieL`Jd6UwiZD#0iN&C8 zmyWq3>d{T8=qB7Ye}_VU=gkVGR$NLd2|yjjNL8h;MxW9p9CT*t+G_9~Rs{&m@9twY zg5<#j3nyhlKgod*7*87{io0w^X?BP)hu?R84}!R0$fI*(QiYsBCVtxN`TzJuhC zY04z?X(XlFuKO(aK^1!;=Wgam7F()?Wn#536zaJ7OomI;!kWe&69^*fAmColmeC;% z+N~fbj_s{)D#Zx^D;~uaHbCdtR)&D1K$Hl|{WYmqz*)J%(@gp>iB5lme{>m-l0b2A=McC zm<`U}RKo7tz>p=n3R}Ak8gPz*#YhT(s3JBoI?ecw!vo8*HE>z+1d=aD?*(xynF+fh zv6(Cv0pL51Toor|`M9?rMJI@bI)JOqMrpFQIHf;_aEMzt0cemEeEeygiu@f|h1axD zK2CzW)Wy+!8`urt^Md>tyy`Wp`^fLvDN^%GHxUju5P*EdYrq1D7q zo=Yu31>)tO6KO)TGjD$Et`Z(>09+4}E5Z15Zl(nPfbIbw!kEL}n4>Va`2bZ@iQhy& z*}QkA1a95aOGqX5nhfENt=oO8rjs%WS@^&u%Q@VkP0Au2ZS7UnsR%e9$cTkXyA*^*tC^*l){b_g8nbq5?u#8N`nL zeT0Ive8HhnGWzJ*60ZuOQbJlvV~E8YD?|{> zo`rHJj>hcQ8y@M=;Yze~xFW4M zPc5zuIBG|c>sMB=KLR+tgoo8fpx`NBmW{ygU$9bIx-VI+p;?>Wjf|5F6;WpiX9GM} zC2C{w7z#VV1ZF{9)>@X@4iy0KA)-@=P~9jo31rNv0978Yb}OS<6KmI_gAK-uE%em! zuh&N*z-NV&#PQ#BV(|~;17KH10zt$YO($yJYp`{(LX1->I1D-d=}-sO>b9za_KzJx<-p1cR~N;8awT3$-$-e@013d)-5XiQEvY|I$aRN(M^u zH;Z&Q0|hcS!FRzDw~Zu5d4k-k52}Qp!8@6Q!E1mv2hctSJX%BD;R)greg~2s&j!@X zy8s}19|g4z!Bha?(V)YNj_(a?xTfzw5Y*F)HMsRGU&%Psny0mmbb8 z*1vg47yX?FFK_d$0uS~8(~p~C&$inWzJru}8*Yd3p|C+>)_dpw=XeS9u!9at4_bK^ z*&&4<#*NG5sb>We)^*W8Ipe9UvCu58aB9jdk3fkfR0}N<*X6vEf$q6NE+Z=D;X9l8xk0`|yAI;(6?JpmAzmH93CX236O@b}?wF z=CKP#KAI={i1~7@3&)xRXGH9O*@Xr6g^sgB%m)Ss{1PSujeWRF&8&v^a|EGH5PyVm zO)D}tHUNnd<>KPGdw~c*f<gx|t+*`+QDPQTL?bDOgSo=LD1+7n zrEYc$;3jr{qsTO7aWu$cTrws3sq22V7*J`^Ad}e&p-G%DQefaKf*wfnA)H06J9Bl9 z>WOm7rBL;(s%rAa`Y0|Cy`drTArh1k^m^`@iOM1zZz;Ddn}MtuunN9~OSz2$keOc* zFI9}-F4Uv-lgVMEZ&=vt|LAEBEp)6MKQj%ICg+<@h|33f%6;Tk+U{!en@=tNJ)}(qRbs zuFzsV6fi&)Un;H$M;76Z$7?_#d!W?}RQ*p~Fivhp7K><9g1gbG-2iOcKMG*>5+#Vp z(pLlW5e>C};5=-VgMWI=2v7^KnmxV=^8D5W+<`b;eny5H7KP)xgzAx}bKOdkVz z&N8R`JQbF}BBDE`0BCG6Hdbo2n6ex}p(c}iZT}P( z+9eQw>xIA(E?CT?hJh@2J(1{Kq|)LCJ@#6b0u{DCvW?vmRHCIzS?n@nV_OK-$h8x+ z4B#sBHnaIVQ_5dR5AoOV{YeDGd$N#|Chy3Ypdl z8%>BAO%n#wo-0G7=`92!7q*m?=Lx9t6YCF-U-9QS=~LtvcdKhAbnSs>k7m=;`U22}yY>KJaXGXiyz z91P@`rA+7ogALWhj1+1?2vf2)sbbd=(>3%v=(m^nH5e3~z`=lFHv5YTIoH8P%Ar~Fo0B&t-Y#f! zt$x;jz^u0v*k21bC<()SdsGw3surV_gL=JpqzGL^N^GQEAE!?04VX#Tz+zpqKm`Ru zM~nrmAV+8Gq}&ZCoC%BN_{;{T);p>TC{mDV!J8gWN~AdH^gp^WG9afgsogMJHp`4c zIVxc?=GelTk<5StUI0d_LT z*VLH{Y0x0u&fG25^xj4c)ydIO@qYk{*bP5NUtJQ#Xp1YdP&|uSJ2p_59rY|+1seZo znX@V4zbQdRAGU$4zg3V&(s5dAgLN?N->KW`Sxcx*u7@8vpM$)%#2)D`z=>!r6FN8* z`)MgWRZa-ILcL~oxg`+rsx;H62;Kh_JLIcC>VYg3!W&S{VSaKObaQ)l0zp5=h2Yz{ z0e=#b85`IJN_-d5HM0(yAIvus_!8CSL#FDaN>mPh#VKm)+fjHT7(nG&zJesUg(&@EesfYDTcBa# zfk(~_1XMIJD(gA(>?x%p0M^Gr+aZA+1adp0g(38dWV2dz zfK{HYfptH5ruR0X1`lkAJoA!rx&*iUTN|hoH1z;AEN?E351__B*b!(@1}6;2Cx}(v zH3~mO2bZPcH0TcA$W;!+yRngkBetOdTOqx`NKnB}OyFbG?v_?>d)#0^8z|?=nf@-%2txo2d%|sQXOCI3l303<+{NvdG8uC%^>)Y=w(# z;vYCH3>2=XxS`>%?tnohH8Y?nE;RCm(`m52v^6|)|FMeAeoC+~Ii$X_B zfvSt)y6Lt#wz9(brMKC&x$#8a2_nT%w8RPE2~kq#D>csRza_o^w(^nZ+8uyK{>vFh z{ZK@|mX%`}QZ_y5==Ce8VDQFMFheAmx%cMZITQ~dDxAS!3vw>BeQnFQyL@4uEJzzyw(K3Tk(mYMwiE93;ZJeCWs{(IX8lQWN^5&Ak6e|d_Yx^L zVr3Jr!^Hlc4S@pF5!RHGW6%E-< zY!%_?W=Q!P^bDwcB&eT>Q{-4R_y7hwvr;R;g|>(-U^V3*;i&u$P@r7?hU4Re0aY;{ zr$bK5vzCgvhj0SzAoy9RKM2=d4yUAmw{r9oqP~F}B2saVzo2g5{CJe9%7XAcu9gw) z%XU3T#dRFEcVok4ivgsB;Urq);a@LO*!MMN@wN|-(Q+%!>?R+=<9a7x@kHA8U;jX1 zjdw#J&yq*VtqHb0Z_Gf!;m;+_1SqbmY0#;=fozY5WF~QvTnX@KBq*e>KeDftkg&zX zQw!eM4&rqoE9Ve9)Swop-W?C66kuYl;iD!JAoJt+{MEx*r8=}+V2el*TvHE9o2_hJ zxDLb-xbi~%6i`kA5R*?=YYuY)8bQUuzNf<3P~jv2iJZbIsvbn-N@vKRyj$)v9Ud8~MkGFH(b^K**)+YU!`cfc8#t z21Cc`nGL^CjxD5CkYU82Lz*wnv{StWY45h=#&No@gd~IX1F7%7MP-8dx&L3|qrrWc zylN2TW`?rnrKG#D&je}XO)HqK)&)yyAdNzL&V|K1tY3ll{O35QS7Lv@eqXxfSt_@! zD#p!di)fzu-ZCUBiue}H1?&nGatx(^9Q1t_(JxuPyR-0v0SijjGISw_an8n(_4=D3 zVvS}3+<$0{2bn?%qzR{3boz?4Bw67wO}Gn0%I%f}P~MO2#TxXrR`zX``Vz(nn?ZUb zUEhbR6}kJl5?d!{>;8IwAaRcOmZx!NvtXSsxDJ0%hk(xV52ei^HKLAl0D>@-Lr~6K zb_A;fwBVr8A>5&LLbL=39n$*cv6v!Z?BzHxXXzV=uJ)eV18j6L(Cf^?D7qZjHV9k^ zQXu*~V!~bm0ggLs01-;zttWsiN@!#1WDrxjiCd@aT~`U;^!d*bb(|RXLy+g8xh^Sn z7lvsc$a zYl769|LTUx-1c??>d%$~&UWKA5^)M`1j;mM9XX><|`Zp4*uf`T|(-s& zf^PCAqv0iiirO>1Rc^>m1?=Z+0D%|})qas8*IfNEKFNX(1Th+*H!E8vJUWFkYJsd< zww{vk3U`!KW45J%jkIqhccQCKfD(euQDtv{)mTq+@&@`aUW#aR|?!;B?4lsBEH2k!LHBsOsTHEdi7R{ zw-$1%i4(R$xWuhIi2_7`8B8P94Aq~dqTYZclMcllN98x5G{NN0LXodGwoPel0|LW8 zm?CX_uPzL^laT;)O-T4GcU=}rxwoY%rPp#(V*}j{X3+s(FXB;p?i`6$%3-ZITVO%^ z)c|b{+cb0Qv|v=o!63#o6Q$4!dVXxQGuPogNAD)+2KE!)*n_5MBmhvln1g96(=cQEoQ*^gAzc8q6z0Gv&TLiNzh09Kzq~budC@Z~=w^Cqm=?Zl0$s1a z@$FG5Hux|$a=xDgx2Y1EWDaH$0S>T7)7am;(;Hdutl(8$j#e5mw8)YSz`YAGv6@I+ z%x1?HvJnZMqXgIvt~o$jD>%D$|Hf^!7-+}=IRX$n-Av8^8%W`7ukB2!S@D{^WLdzp zO9kj!B7;WQ88&Euv8@RyGh>$cw0M;14zpoV_hSnBhP+3~O8_C3t8=YZW z9h%Cy%-In(+ZP0#BLdm#Td3a35TiDQ7CWG|vD_4PT85@gPodeSg9f=yCnG)>*oov_ zM7Ep^N=`xdw~-rT|4G2<9cRXI*9#%fyv717E&Z>^0mhT`D@a3kJfXtv0(OI4GR_bP;BE$3a zwZ2&ihyXByWIx%Qvdn`1?a=|{4b9FEuL8?{gpz}qCjeoJRSkZ+(#(OxxB88ox;@b0 zY0|A#K(DjVv-+rwZM1I8F;xB((;MVd+BbcP-y2e6&!Hxe(9s5zycNoB@HK`N!6~HA ztG5TGLa*3Q2kRIp>GAiFnPS{{(0BZgLdI9~w#L!}ag*CYQ<*}!tlP+XPahvS)$)Et zSr2xuip$=gd*}ytQy0{|g}B&MG5mdwWFxb}$&LX9wGaeH(abCwKM+5pu8u9XGpj)b zVBo`f`fLw#TMLQNhThH+eK5}+k0mmU?coa@x(~qs2pjDbpH7VV0T4i}v3Hy-Q;x6< zSO79RNcn)t)muonW=wiDwoYg; z1!y_$-UISGQ*rBVlBM7RbZeB#FZRa6z0=_0>RBA6i^w&}EqEz1MHZ3RjWi@^t-Ei+ zH8 z8|Z<-fC(+dtvu0{2~j>HYj5G23gF<>`K!o?{e+TVgJ7UR=AvCJ?C_qU`aLwVdM9Sf zMDj$^_7*&QOQY^G^CP9SYgO9dXTnMTb(|tIq@*m2{2!{>WKwBIIp%;C`*1Swob?Md z#w9@6izVQjT`SmM))WQMFhwWy5x^58wpRUc>S7$p^;s*}_!M-77L1ie)!F%MYv?%5 z67+8><@Nf7H4dc65R;d|(WeK2d{4kmGj6R0^ZIUKuhoQI1oJe0>j}@WSiD>uFo6oz zBo~5ByIX=8#B=eJXpv3yN5GLb$0m-(a|`|eBVk3aLNzO}U0ZA^B@|L*x&vYeI?)X< zF)nJW)Z+{?WLiI>jsQ0+M~Tx&>wSdSp{+j5_Bp*|){OY97$A>Quf`jS8iH;`g4+)s zf>I2iYyTB+a!hXXrg?qjRv`jjrTvOT%bL{P>U1lXA=5Kxy^!V0an7&RPj0mdta3}@ zTG_J1B7EP*)`~8rm@%0jP^lUNrZh(-b11w68am&wrP-}GL2{G4IL!MkpFj|Y%^m3O z!&mgZ9@xRm_PC3#&$lMz3%>~~3i%F$g zHnr`$78Vvn_N|}vxi}oG^}8m#tovPJZl|}$p3CE}cOM!!D5RCj6H(`K{z2-Cebaf< zj5~I#UVgl@GS)-tujCeae%Ey#33>J6oPo9D(mt`qrhS|b{Ib6xyMDeg z?O6Honwhf1!JcqyjhCO0iM-y4d(tP`%+fwxXo&or|2*_&np1@6_q?t*S)U5LYN~eU zY5hnG&G@8HB3H!Iq;A|la3J}Yc2RKJH>*9~zu#r4tQ#LGuk-Lz`7;>)DXqzK2dD63 zo9I~hj*j5I9Us%LB+o3}SikY-WZ7=-SmWRBd%U&Pe3oU03vcTsT@9Nj?0U!8WmRY) zQem$ubS{BML3y9H-NU3qd5b4ciNh6(ZVqT{SMy`v*hy=PIyCk>gw->{cyhvHw+?Op z4TkNd+vMNhCA^;$b)EXBMmy|X76Ci1tL$tdl=|$s*V*aIxA+4a-8&xizZF}&@t|FX z7FUs14^zrwy*Ow1P`?1R;@B|ySqz@E^!@VLhTL2prSx;;qD#Ayetds2uc1{V;+;SL zR8p%n$1Y37hqP-{@yl&mL1!rSX7}asPoeLQcnQ3_Ibbyb?pl}WjFD?`6G{pl4woHE zw~ps-!@^E0@>r!64aB(nH4Wci;wki=)-&_88=K1eV3n>>Fzfh+@6+e?laUqkcUBYH zDF5!*>S|REkLo5=sgD&lYeccV@^F@L0ogN@gu`!VTU-;LA5`-0FKg#3oE?|-t@#{b zuNuB$Ju~)Hf#$xN=zb>8pLyq4sm>vXuw#5At3S1D+eyI>g$v};gYEY?;XZj&i5D( z43%%$ROT3~^~et}jQ!~D_bSr2d!AJDd843Ywu-~1MEu+Zk+Gyr?~Uf3PUHO4!Z&VZ zb{)}`;;U}F|DMqBmhQ#DoeC8ilc|^xtAx4uv=QC!IgnjN|MmJm{dgvZ=K*QPw|4 zKnX4~;3yECwUSdI$nm@G+3>B>;m^atGF!uQ#>H8m8o6f+y%oG_5uQcRx3^Ve*SK*UC3 z{m!I%>TcJ;>1{Y)=?CovN5r}D=ha`9e649*Q7-u_=kX@mJmt5NhI4D1}5Jw&l~pna(%C7 zu4GJ0q2bw7&6m&joa&tyTF&*Cd=)8<`+WoUx0)|(;>l#P+M8$7?zY_1mC6%~l0v*9 zq-?uT)2vrrzurWlv)u~%1ywOhtBBW(+*Bj{Q5$oW7MJ;YLBebd#LrOJczbd4{(^d* z6w7d;cjCZ`@i#@z73P@6O91=8T-_|mGK95Cx)U9~UqfBYo%5_nca1`N`7Fr+g= zKGyB%-Zm1JQ}D}QaiYd}Q8Hb;FZaUEhbFy`j?2fds6FXwcMVnkX_&2dalb&|g{hu) zkt4#8){vn6_6s~R()t5WE?iA9!R}?zoi3j}8sqo0@Q+^fBPEvObgSxeyEwDqb{1Xg z-sbzCJ604AIy`StuXc~Ot9xs`$Lh%iRrJ#Hkraz>Nk6m^mOnMlBL#gaZ?ifkB{i0J zPU**$NN&_dZR$mk^qx!Z=+N=@-iABT!fj2!gZ*}IAeC83%+VQU&xPj)ADthy`7tta zr>(xtzFQUh-uHyH=!4Klu7W<)*OL7`i4^?;S($u4A^C-Nn?x3#t)wsQD>27|}vsXoAhaDGR zV78XNoZrPie7Mme7(Q;H+LTm4;O~X@958I|UmHN+B^y2>J z9@GObGE!L;(448g7sFa}=DcinJ1m=cbZOew9DNn>KLJ$-s`y{>qny0x_i#Q)|AF8z zn}_86qd&DHz1pbe4BYK-am+W{+qwbt>O z0^jKxbAv8AD&l*Mf(O6~Xbz$oO4VlKg*J~2#x1SSK<4lnr@VX1JH7MK zRI%|)_;%FZ$+}ND^OeaAg2Or(YTknx|J7pQV;w`#3_)`NvMbNXY{zpix!*YRkouwM z4w>Wc|_yU{je8#OzH=Md;_N_#WI;lO(SpdAhmKr_hj>@*=3P|{+y&kWo&#?O&wvN;tLXS0 zWL&`+yPnaw6W&wsu7Sr6Jfe9YFOlfX`zM7p$`1h&&mz7^EPuOwLi|tS9>gyi5YNkSH#yuD#-*L{k7-UH&7r(VFJeEc0rfBxk>sMP)_!6kL|4kCH9mGGlPF z5&X%yFRw68U~gvGv-NZ0Z=GkMHRCjAJPLhZtCwHjpe`+b6bPLF6_a3p8{ z5N-rqQV91R+<*}7UAW{B?j5+-LO7^EevX{aKD7kpm*Qu^bZ6h>C*@ol*CozzIH~uK z252^zrXlhAV)TA}cM>Pr*H1&f>w3bUkZTgc_l7?XZ@(rN*(VZxMLsp8t{?m{__-l` z68us4IWhQTxFc}0V{lNB<8?j{qp=^KE&IPiKfDUr-#ggfGhk_O0{3ZO^1Ld7k;DUt z-vg6D{``w01?CqR;bBR|C zXd~E&5G*VdL@+4W*w~~I3lW4!z(PnTq9GuHh!8;qtnokJd@CaYP}IP))d){qK0OZ0 z5Kjp6o~Lb3+OyjAXJm~iN$m{Yz4>bxqQOvf-c?Ej^%Z*w=#zK%u0SymDgvRiGOzi- z|F5-=t}%1W<8)PU4JXV|~I;oFspY$_RgM<7V zm$h+WC38A!6|yziXr>kBN*(K8`9)))CJ-67fQ;u{JCNEDo>kI$DLtLbL#@DcNxIozyt5dO{Qx0Tz`PY`McH9C1-N+Od>neB&`_I_dA{*DtI2u#@WWjfQ{qad8!V z8_!DdV4x&7;{oDkAm@H+1D104nF3EuRq!Y;+Y~g*OY5;XXDnY9m8qT11hSY1YQ~T` zqs;fS4UU=TkIF}+;&!&^&vHiQgso(dh6iZRfiYubw=yIwk{yc4 u(1vim!)dX)L_S7$>!YStk&gszp*;%=PZ16NPH~U0hhZ}R&i?=a0RR6wQ|#ja literal 53983 zcmeFYi#t?Z_%~i5O^PB^Vk)77Oi0c%k90&lJsu&4Ns`K8NX~P}DHYNQ$zhbDQZq@; z#yEr`hQ!2R1~HhJVTL)+ez)iQyMFKWUhlu~Uao8JwXQvD?X}lh_x<_YpL^N5X3d%p zN^8Mu1o(Fxyk7{o8F3vIy4%>)=!lW=?&FcygRks1F*VtJZq}^X*8AUW&5*+X-0465=N_~64+%2j z<|>e3&iv!>>Y+o@`V)^$PVK4K8)5SF<(bFnkjw)W4<8!uc$F1 z-XkVOvEZRBpG*@87T`;9J)+Ne5;fXKe35@Ket!H9iE;o>VN!dhZi;p4`d`F<{_yq3 zSnB7H!G_s!npsGldz!}YtW(+DQ`pmQr_}pwrr%0Rx2Kt3%%%|EN!X&iF?7g6EfME7 zk|SQ}*boqd9aA`S zsMr(h1}`g1!vx#rbxZ-r0HpA_%XO}WbAOvn50PNx3+u_t?4De*0FbQ9fCXxK$i^s> zyV?WzB&Q1d-c`olmFiD_ibp8t!3TF_GRuv6I^w!I>d1NK(7XYm+n9u{Fo5@u<&t;4FOLf!jdP6fT+|kiBdC1q;p0>Oe;>;oMLSSntd% z+DNBkUQS7x5YbJGJC-@QKLhX@MJ)S_E>8#y0T*f}HH|wBrT7;t0d0bNta7wBei3H{ z>xNC2b$UsENHym(S*EzWoC`W!3lys(qJL<0oWLmh4^e_#&JlL7z)vlyi1n5sr@9e^ zL;`tGTo#pw`V%-ZeL;tr(ZMB`nUcDJ56A{=KI}uGhbA-RzZrHLlS~TVNnQi6YX02M zYAdQ^xyy(VI9~(ZG|@5S=(0n9Zf3V*e^z_t7=W*`zdpY|!;Ac@;pphx5)gtP_<)Lx zEW1%E{K9qnQ2p*EH!bq@qKEs%vBB!t>vhkFgt1$THY;Kx_W~WUT)7<5SO>?sqZ+Gx zZ8EzKli$T&hrj+1DEiUq-WGz%BRZg_aP9z#TCoh2P7mtj0WJgFXv|@-FuLq7eTTl_ zrXy|>x_yA=05r5WFgHCJH@W<8VI5pRjPXWZ7rM1wXMG^_@PJZg*?m4en;0s-;Fc+r zxQ@;d0+)ZGBdKEItumJX;t*K$@+lCua|e8Ik&(bpgfAO+2yme-BRlxflLGu8K%GC- zP5d17#ipkN`#KBo(Ge34BA)~A2EE*b02K{fw*hY1vhV{wKb8(a8C8Q7Dt*so~ z&uPrpm59MMAwZ*6thCR2yNwN2#J)$WS1%0|050N=@HdU*Vc2ET6fheH42Xb1CU8pv zRAGb2-9Qk6E3M{Q5PmGZ>liDmT)f5~u!Ws7K+d|GWl~|&zz7>~mF_{(;KPj7l83vaFG%OAwPosS$Zps-GGqM*E6lBY4(J#{QG5711BbC1J8d1<+aWaK6H_-qAf zM^NfY%R$q(r0+K~a)3UB)bqa2aV4s%C$L`##>&Fb! zQ_1&B;i4UO09>3lK-&WEMsNQ$ft%{TyX2CDP38`%!p%5INtr7h`e8I*^^p1s($kV7 z=kvvVRo0^7{Km)qxWuTNy#Rw(Zy}9gK_!oV(^W*HAvn8wctQPB;LoGB_bTt9RR%z4 zZy;v(+Sv%3ppT8&wkSJUfd}$&SHZgK75JZt+~la^y-@$fBKCb*L{0l!Y8i~~G()*1 zD=q*|e4b3iBDtr%QdfG;evXdr^i_(Z69zf%a{S3K7r`N8*taRG*2s~!E$EMFr$csX z^Ga)CR_x3Vjz`#xh~}+n>|YO-uzO~x(cA$XN*A zMbxMX$L<#yBsSj3i1;<`l&Ob)kc@cD&}Ou*gSCFDO8Dn=iK3cllEF8KF%UdVcF`1! zLa-*12l8xXvh6rs4!-{dSrL1g0sFO*xO`>jcEQ@vc(;Hfhm|KehFS*K;*CeQ@yu(& ze`vvXb6PA6zfBn>(=29Da6cT`Xa;r*?*3^>cyAG>45!Lt{3MeIdD}AP^?w!|gEVFR zoSK6(W%W^;?WhMh+MZSOzjCHz2`mf02B>7I2~)3g$E)7x*8Gq1mB&SF_HgBnq^m}U zJ`Up_IOaSgTg)iUC;GJC@?)gL`MDqQdB|{Yp}26$#+mwpN=}6udRGT0dsq4anQK1v z30sW^?^(+kgW&TRBvtfYS+|-@u8;2|h_**qynrVAQlq}hwlRsu~JUtq*t9{GGW;WH4Gox*tbzOs92lENy1g zi`!z$(zs_enI&Ihuk}KwC(!pJRUjjL%7&QwXE|YnBrl0#ogi|P#gQ3g*dp$%jh4X# zP6sbdYTSYd-Y2SpNYkFq=k+2ou|Tp+j~8#iW4Bf-Nj495i#D&A^!p~T@8B1WIkxLy zJeRXZ<_ZrC#gZSx%SnXXXcZCF2N6tBER7ca-FCyXU>flN-BrYwepD9W)kKRk(Pw84 zy#(Mda7t2Vh?K_BUI+WEbnMaF*hI1-Dx2|yan9fOahF+sT^qh8LYrN5!kLG;MX#Dif2zgfk5APDF)wSqdm6!JUfRlcG#P=lewIT ztt;c7n;W$}&)$We+c&43*yws3p((uzk;=_vo||D76LWiUYw1VB$QaEQ^Lw!n`~k)w zrSj#<1tn1n^V(`|T}6F|@ucw@mjDF5Zj6IC@ScQ-XPsYNCLd zLwYyFhe`fK+w0#+$tKS8oEU9AwF_N7;a_!2o6Ntl{I-cc_VV1vNECXMz zot_goURvWj=%FdIPjpX&IdVEVC^fX4z}y%%p6@~)iuyD4z?8CuMzurqJ}{lhoA4Lm zM}^^gq#UR5j)1^0+yHqHgNwpOv8;^7P3wzu!#JcrX$YVB|~5sPLQ ziG~&ADs8U+l5ltfTuJ2rp0t705~v6_{LCk!=U(8|c_H~O7(sdyvI^Tu-YV&DigYr_ zdwtmR+0L4_+(Y9#heC29d=QzJ>YX5>ARh$(1mcLXthyO@v$xE-EZR#0e&%QWp^+-K zp*!r{H?k&gvp1=`&m|r6CQwm8gEXp1K0NCm3-XS)_Qc(6bdB#&l>W_f;fZT3N>7A0 z>hR8a=YQzU%wXyD*fRW8(J(=map_pScRse>#vvc3fbpv@La`_Ex3>w8_p)au3|(lr zmj%h$A%`wdeylaL(d1ojN9Y%RP^C$C%TxC8Kv%a8mToRlPC%%0ReN&7v{Z3r}W6%7tw=rm8pXCrZ z^{SF90&Qc1Lep^#O8j7-ww)*#Y@z%2EN}=lM|peJ}xfKI=MxxR|XKbCQWumOO959mRDLlkn;>Zlwqn ztQ*5IztKusvAYj}>Eo-!X}GU|b{P%b;p3_r7y8aR^n0?84ljkNGy}CGis$o+$kfW{ zgMUCTI5!**5v9rT#a#g$B{j*$n!?SO@LbWMai)P_fD@r6xhNQdU~L;*5>;;Voxy$h z?av9^SaPE~IQs1hbdJMZ#)V%bAOVru*#2F$w_7fj| zfBlQutv!<%i>MvY@5if)piOlX0T&}&9;Fy1c;N`!pHS#)5tf|8AZqrkdPUsC90`Po z-^GjM>NM!tJ+jHWFI;;yEvD?h%~&P1AwrNoPj+c>RTrkzj+Wl}6XD&QDy!9ra%#a@ zyewV^^EO}<2U-nM0&By|Sd`Wz>}{=Taj!u!xXci=^0}X7@cie!h!okwWUPFH52MQG zrvar&<-X-2dA27{b1145+W0y`d4s5{H7bXqB>1B#ZaUq}Kg-D(k5}-WG3WU+;`j0V z$3Lh_A_buknR3HP2JNCmKwe8X<9sucnU7nahT|e_g&LxQ#6|)ar`2fBh{y*{#Bj;u zfRSiEsqq09zmaYdW_wLP?=|1^ml3Bn-FT6FAE%mFVuw?gYeB=Wyg19KgEbz+f7?(6 z5ycuh5|5^&v?rk2y(Pp6;r8YWcJ!7uE(D#{WN;_uH$u}0c^LI|AMW*a!=szL`6XX~ zcVn{~cFJSiq9yqdx($c8gIl(gn^NT4>mCJI2Gb{?qlyz?Zhh9{eUR!cueKfx`XnVfM~362R`yLbfWfIV_h=#d~ozr zp{@+sOVs9#T7G=e{ev`Ptw?&dNcZqKG-FFvkwPF+_T53J8Pt_c`OPaA0^o&zu4uHm zmY7BUP$8#qD?>We{qN>D!8z*(5i!{b#0}^>Ec_=9QWx(H=@9jlL#RLedA&qM#dGhovvdBdDB$xvyV;p%(LVTdL>JxdJ%3ET7ZIhk+ zZ66U)$>6RF^iXd<8zKmVV0;9BK;U$~O|zg6SLseltS>*?!Al#73cihvW59dlwx?23 z!mo9lZ5!GkEwy83mMOl#>A#?>N`DsdX;K9NHo+ybqr9DSAi-R@!#IN$G7gpRxjcwC z)c%|6^+dRz!@Oq~x!)`S2lAmW0SyPx|NJfvr2^|oZil>Z|YE#8HvjmvpL}q{OCAQFD6J(1_DB^>IeZ5T_p?5 zzxJm=m4{E38NBz_;uXtL&EBX!dCZ-^|*@f?ualDd8{c(%Gr9lp-XR zFDF`rNI^QN6c_u<1sMZ01$xx)M{JNeF*f_uE8jtA*A$jNcZnA~NvQB(q&|r?jR-65Rzp39M0@GQPY3 zlOPsYx3bR(ZY9tQ7zWL3Cr-ZdjfAp)%J@fl)$+ddWiN99qbbl5yHQe=j8hR5$+IEQ z)lIjsw`MH<{a+pi^^>pKSI*f1$=U{eSx4AjiF5W^wE!^q_P&E#Vb z6s5JCGBpWWkOjf6mE4ynZ^3#d<}^93qXyzwfaAEP0``s;DyLPfhZePp^=sg|`8f<~ z69wYUK6;TvZKiC@H-ha_94tlU{K!00!8bpLlM|UHHP&(8CSuPX$LWep6Mc22BTOZ{ zCQn0-*Vp`PMs(mew+qynq#V18)pf99GBc zMqwUgj_F=8=D)Y5lOABJi%_hdXvaPxzL%VUr6yw`V)v|%I9s-aeu5m)3!RY|G)1RR z81_Gpwq?*xquZXLWg!CP1oO}H&R+U0d5ZAS41mw*-V4nDx*ThJ6gCP-|y<`jIdwWmAl_$)$LAni|l< zs}-W|Ue%*8BUQYpZpqKQT5Ki_DR!;~<485Uke9-9ubGs1^YRohh%(LYt24~?7+fhn zv+-)Ln5lqlT6WHosHe46Y#|sQ?wS97JiqS3$o?=5B@yat@D{-(mP;o&iKrO^rQ+!= zhZLP_6o3GZ0|YQzloTwhXO0b2Tj2g8hxW3Dsu%H$DK&W4_>`)|J!VKAxfb?>5$AU! zLmruA!QJD$FU6v($$g)ojssoCXAXgGOb)R59F#G*z3z%kXe^Wr&)^_&86m9HNCrZY zFn_gSous3w`}CmtIK87GnH|>Dd?RYXheo&T38G;%c^^h(jd0QH1o5J)LgT)bj*5fm z@I;h6aFAm(V<-dNojz}1TxlItRg^Js(~N;P;DMe_wc(hgLY}CE*RC1ERL2}krEJQ- zp(Gty1GgRT-yplrKv+n#Id35tf5B6E`g*u!^J;t?6R63I;_&@35T;d4LC5Kvo4yUV ztqe$0qmvppY0-vh>!j8RX*=j!;d`2+?~r%Y0QoQd*;)xS3vd@i=%a(bxPzPCtEEFh zR@Zh`THm@{cqpxQ)F=PLIPny`R<&>_`{vk=Z*@d@63Sf*eX0Zgvl1q0YjS@`5v>L6 z8H`}u(0!pp<4s&Fd?qsEY51V{5jQd=tZ`*o=PsqfjvebI_}cV={_&gkCY)6>treec z8LlIzNKAhc0A)_v5&7MRdvtqkcGl2|nTaYYBOU!~;fBrDEznKg?0tDaxgp|VFMbUf z# z@sh(&wzppAc&WlmTT#v&Q-*na{(StNUHKu%g2_*DL&O1hb9oX1mY*W41AC-LFsSki zL}*VEQoV-0fEky9!TT#PdQFyHt|8>jJ|FQV;BIAL!jI#2NLreZ5z;6HNn*0hK_G-+ z%_Jl8WDRT-LuP@q^`;u%e{w@GM!6;7IAU6*Bx9y76E?A#W{X`zv*mypX-FYl7 zY2NP1&A4czAa@9UpqCbYwOk8tb?4vBaANIzjXWl+NwZdZ?EqTZB#jTEH&>#{e95H7 zRQF9IP$D+j{Vp%yA~zS(7zG-{xMTwi8l$b1`m=JEuTdB8US8Dqe=u!~2N}|LhRlZ^9s+rT{wyWduZ5bB+OTYCI13t8gsP zN1y`ng)|yY>!lyC7!MS)2SV$E3}>`;g~+J~j#@>a(1!CK-a_D;zT$VhzQH*ePP%sN z4sJ&c!pJQZc6V9tPkmTgghqp6cSn(Pp3w1kRjl zRkWr+An%UPOpAr^Z;e;^h$@0AWKkz-Kw1a@JVK>Ki!bmoX)GC|A_9By6?CGlj^TziI#9$GmC@raSy3U%ZZGg{?P z4%&mL(=AYl#NOQb!5u_C$5ID=Gz|Vu18vou`bDH#INlUuJ?Al3JvnMeb_72{NW zo|KWJNTBB)S>+mXe7rd&6`9MaU4uQDh}=LnY=+%IK4#=J!JIi=3{8E3I!EcUZ%g2X znh+$${8*4?hl_SAMMb0^D)!BgjWj)a|3?u$c#GN5B0eaX@nj9z!BDJf*5a&MhrMu3 z3|pQI89UUh*b=WV*d3E8Pu`4mNL0BKtu(@>Mz^>)%&_l7LnO8=#R;wh`lQYvX1doJ;4*sN`jb6 zb>-M!whe!f;FTYDAt3w)a?o_oyUs$nUokQ3rFP{i&zTIxeF7zpR297qjhBaRMm=E= zoA^!~_wf&E60@2G$Aeg$?{-C0E@N`MexJaLQviOq*}4(YIg025{nluueJ_$psMmjq z(y@5l5DXG--SP1)I%Ln}O=w>=4Z$0K7EmPwqeP0+3BUVQj zH%XW0FUJhj_zpM9`|5>}5k_EO(+f*--`HTr^1{j1Ak9I?vWs%-y$`EyvX+qAr6`q` zKSb*aghh`Gk}#}P;tv0*L~O%sIgl0CwSEB+O}x#jUH2FfLbpNx2lmTY^m~{ba%&Bu z2ei`Xy{ysMsf5hv$x<6hX)^YI+bCK&(F<3|78!_lZR6FcgwO)ycyxJZ3x&o#64oz# zI~ccY9ARf_wUV7?%33w|#cUQZA-+m*SQs5&IgZ!ki3nf*G+}U|%J|$~^V=-x?HKE0 ziMZzsua?sGeC*iTQ0aU2zrz;)B3vMG7?lB0tQJp%y*sxoE^c&OvSVOzBg!(YH;fgk zf-?taqUttTjdd>qS<^P8zf%PinLlZ%)EDCphaq$>wjwj6_X0t68RQ$i>)}=}=!)=z z&HN1Utm{5H{&eA=qepePzS*-CEV`Sa%-wSuYh5% zDmfvrg^a0V-5D}r-#3o6s%U{j195QvU%>~6bQMe`w*=h~?0QLi6E$$xFAIbk)}C>> zosx*mVKAGBkP#@JvI?N&&{-umo*`w3WZT_jvoTnRWCDZ%(k84Q0~_zNmb`mBLQf)* zC-20DCL)y(*3JJ(DzQ`qwN<>2C&wVk@!6JidA52DFk-c3%#_pFgWKXw|CTOh9upi$ z5M#G*VrTc#A&3Lbpmm+)C^9(s2TN=N~)+*34HPA(vWh zY;<8DYq?9;V)BwHhd8!s4f<3^uI2eRK66?uynA1@avTLezB~T!7--R6g%aFm>4F_l zO!Kwxjouh1J@#O>;{|F5FRxC(Qlq4wu$k;*KfcQZ4Xdf%0)TL|<)9N1dP4Pv5vciC z3JT8v^uGHXpgI{?%VhTOn}FRpIr` zNEglpPC2Ae5$%-7R-m$N+oWW3$!mGKrtI=}$C~T}8qv z8Z_$HqvO>EJkjCGymjT*^s~BRDEkqndfm`ez7n%0l&1 zc@h~Ke?XLW89G?MYYf&b27_^d8;7eZ*(tDuU{}LyVueL6QiZK1s3kzxH^m#ELlgN9 zoCHoG1Z&+8&k)zgDK^K;%U+i8L8FD>n>nEntbyc}JXvc@ubG_^?aUD~4E70HImL4H z_BI?sQqxpgBLHF1Nw6s?`V42BQ>-e12wo((M=YVOzMzzI3IYd{ z9MgK<{w?!@3$5*YI?P`QyOVtJo97#jX<%9)hEs{K+wLwL*tnK~ zU~f#NJg~FTFA*n4-`z}3VMV)L>%j1H;*2gWOm zB&qU5d1N+2M84j5j`NPw2JV53%?vsi-IyOs#uaehu17v)tYTQ06ltTR+OS^2l_x6T za~P`-76a;S^7LJB(`K!vQiB*>K`EqB4}Bxi{Eo|6P6*?P8rn;s2x-)oNI`MMTLtAZ zJ=$cM|K6tXDJK}(6A+b61wK5~4z!S6gYTJ;>hG% zNh->cn;SpHy^V+#1SKGUFPK-|A@8gK(B%e_EO~^g>tL?{G-H%#@q`+t;U4~`)!f->h`H%dXZ3GBedrZi=Q|(*>Ml+C76>(K(PUd$Tj4>&9G!} z1vFb>XE}}xO#{KI#NU8uKrtGjcYdgJ7>LFX@|X%Fc4`oVxlaOOUlzl>iQ>f38V}qj z_$!9egvobN4HTcqPwM4wh=VdiIIgO)r14QziL>A=1Ph8&i7E-v8%H|c0`X<%_V&D( z$y5P<28M-dGL`1cvtiN|b{|BxLGo1|w~GKM>gfP3Ei?n3+Fe}735iQF!eRy^S;adz zrA5Vw!yFfbf<}C5SUmxoeaS5qi@!jJjTy|)YA1nfypX+klMj~6Ca?dIjzD8d@OQ0& zL*?kYKg#CHITt{&Ye-Y-AFd%vupqWYR2RX*&|^)3)L)7+eKb=ZzlO?A*A-4$xVBWv zjm$|4OjuAKrK2jMPXr(K3zUZV!YD*9&DyN{djtz$gC-vbQ3O z%@mA=7EKZQctBpNVV4P}mz@tR+}prLizDm%eAP8tZ?T8Kfu;Cyd3; z1hv86^ZzeXe5S^{S<@yA+ZLEr1SY4v@B0F5?H`DCNS6q)H0a1-kE^RmPRFFkQ-K}j z&fgtlvZvZ{Blv)%F+Ou6y7LSCOKvLh5hI8}%u6{V`$;h2s6%`;WI^BWMYNLda+yW^ z@C$zd{(tN@fl85qAdbUUMPmd%!0t$&_Dz5BR1BrrE>KR|u_s5iOLNLq!Y`!4&Tw{e zC~DpSzq>)6xEU~<{_+-h@^u28ERtZ49-6VPS@eWT(?J)rv!zt8M#g^OqKZCLBctnH zkoL#?+9^Aqh*AP}hv9q+SMwV<^cYAa6Ui!cqvq;VavsBUT!h1^m-10-aR$xN$u1j4 z9;9B34iw};8nwIuO7>AL;{Yk8K@aT>A|i8vLsf;xw<0%!{O1)wV+}Orsy}FrZ$X|F zd``%8=3p4bs%S)wjC{0>O^aV6sg+;Vr4y@@GS6^U!lbyHOMHH+3Yg2QVdL$`UG|}^ zno<=O@MIS7G2So?kVwx6bRqcSaTNoBF(*}3;vtZOU_q59F*;G@ECkCpmM8w zeqhToaOdE9XsIl-kF}R{6lAAoIb4RjUJMePwR0c9(`g976a>3f^5gCy8K^p+^j<`6 zBJT@>0(zV@UDD;6O$31cZx_7q5JPjHAdACQliU#0La>J=f8@zJV+Ip9sOLDoTixqJ zZ{v5=pr{!f)4inOn;k&51 z_}kFu43}nbp0;wLwz^9w%_?_mCZG_MR!t@Vve!qxiwG4uq8XXY&RgZM&a2roJ;8Q? z4g{_-cCZWx+Ix9advP-5V(>rteM%w+9&W9T!p})zNXD&Fo(5B z7TMIV!7LXsvq)Lg#NcTBEp5{J-IOTgUF?%0=A(a0kQHWB0VhL_*y1gs_u;@qSJ?G0 z)ZlJt&ga6KAA64uB>Kj3JvR||)*PMYnssTdCoDUWzv{TUTuwU=@v$M4agoA9v>cKL zpW-gpqW`P5QX$?u`(c5#-m6t|6R1P&><2n;4mDL4&f=1Y>NQ78kj*!9|Eq%0CRn8e z{r8^y#uHm(O7_%W4f@QnG0**am6eMR|yY!O>sz$q?9CGiWLu z<{;OBLz(jM)mg1taqz1noAQ+XH_(k7=xUYqNn*b`6wrMrf&)EN-e9=;8Osd%>Q^1A zkc6y0Wwqw42dn0ngn6qYD{IPG{VMY-Le_uP7R}YRW#tX~SKDSVkgILYuL`?XpPDDY zHh~}M9vEx4+Erc)c>`FbUJ`Owp9ZxsSK9_vNId_0+RR+Y)Ke!OevW!>*5DGeLM|1T6?IYp7c0ycbPIsYuyyerY-z4g$ zslCUcJE-0OJwb2s2I?IiI7glZ{CToLl&m@nVqA-HgCX6%4tvE!Z!8g;%t1#{<#dy) z#-()bKfny8w%emBZrOVGoEC|=K#unZP#KLx`}1}HYS;_5RKC*lQaLTP&8@0Bf!nwJ zEL0Hc+ zr>owZcn$9IoSw%yp7CDXf9^gy7#DmhmtQXJ0@mN}3;}NF%zi*fQTxu~hsiwbyA>FT z{O-!~JKWK8SC_oWw8z8b&xIdY%a=W_=+s+fTt1KZd?_v|z{}m=Gk~2OnI4q)#)(dJ zHzE9TE1{c(Ox1MGTUchB^al~5jJxKWuXsCkY?B(zY%g{9ayyoAuPxD+l{Khhb@gn0 zb$<}zr{H=kPqpg;aSY))bgcwa;7hv@5N|@7;aR1=`J=9{p|m*T@$!?L{Ml(@dC@24 zGv!;}9XGS)TX}|EB~%aBq5a@b;0LS2w?}BDDq2e=4Epw!&s-|Is?2G!NBn&^@l&_L zSq&GtZ07l6rayw>Sr#=FU-tC}dCgh~uD+tHWN6C`Ta&7?s{E}>3_OoPGZeBiE?EuF zM!t6)s&Z1XCQS?qm*iH*k-mpGkA1ZpT=dc^v942Lkk(LmQ$z@=;B=%NL-hEfeQT={O!gcTK z4I>l0$ZtX3q%DKSq?Ll3Z(}sBOq#B4FP> zAD3DxO<2{`d=H;>Y_&K55D)8xGo*+m%X@xR>~eA1U@s0 z^k#ZjmYMaRG|a=sFWsP)IptIYqm5%L%M@J^rPT7%0ZGq13}@JSL=!F6bi`h#04t4n zQm5P1Z@lMpwa?U#!4e}6_a%4Jg4R!qPrjD@@h)NI`-)$5-ZHZ6nw@%KvTUBFfD^v` z{#Y1fgj>|gwLy43ymg1`F4VTenRfZ2#DlYs##TOf?Io??UiX7nAWIJ?ps(+j(P7&> zNIR>C0hkJFI~9Jd!~Vl%@3CR`^-7P^yH1N8s=uCQFAr~T=$u8Ja>q;7lyE(cL1S5h zk-WF${xXosfE!fexb*#|p3`)3?E}C;?~$);!ammtv9W|=fXRIjEy8?banAxDAIw(b zSuydNPacOaCq1b4(Its|mnEz8yamc`O$BFe_*{!L=_z?q>*FRlJ&`zO*B58v9I3fh7o5Z5 zrVc$OCtg3DeU6?X;s1tRitCt-B)y?%<``Foi6+0X3LYrsjzz`^XB#trtT@96F<(Z>#@WQzktLgp%$;GFbFR!k6hs)YON4_FMGcweO)VQk9@8w@7 z@@J3!IOzPk`#^Q1S@oi2UI698Sly$sc4nY6F~}=}QvK|PH6~7NeIg?kX|8sH9 zwd5kWI3Mxf%r9Rq-;&v*ZKdp_xz96y&ivfwy`%>uD`gK8(*Ngp)86+Gcj4E%lDh+k z?*{an?qeL_`*&crtrF9gb0jx&+6uPc?Hcy3^ zepcPZ$@!p?Pv9tTWK?g?y<5qeX!n)$X>ecg^!BgAJo;H`1NjwGDy>YIuS zzbm>N@<%_xN>zkSRxpUBwi<)RGDzLw#HzZq)6A|62H)gx*rJm@ zk&6v1x#0{$6!5b&EJ-yz;t8)4qGg4%_!FYncI2#ns7hI4mFge=QZlqE`BT7=*thW4 z?H@PiqPE`-&v3EL6I%VC*m-4rdpsKnA6Po|$R%X^Ngb%Srees{Gag~nJ-d#s}+TKPz>UQ}}S#ZY5J4rvv z{V^-WZ7RXWXbld}dK&Ut%ba0_bEy|&-aw-s-QIRJ1-m^nm05S}%yQCTMI^~L{h&!E z=G(JV5tWX!ZTp?Afdw}21E*IQ?emN44D57b(xI0WtuR5)hTU{l-uGvwTo=paR|jhL zY`D@q?)8x_ksUK7XRkE#+O5W_Do!hz%02Funm9?(8~*17 zQpvxsfXx}Ap~tgcMFUHIk1~6rw@pDim=>K*L@q%zzM)BKR&)z+x8ezJmV{X&Ae40hw$9Oz<0 z!{fq3=Zk@-NgZfrsOuY_VZS`=skl-1pJo%9GmiUBp_rbZkwr3~QnfRka(mV)Y|Ed2N~ug^ zA4MG%E_wFjniBCr`#v%K_Af|@SjXLDIYOrPwG2-QtothLvKO~m9s#sAF8w`Z7W2{L zF4@W{prH3qisy>(Z>l?(wwshh691yQ+xjtUVy-sd!oasgW%YNt(9X}=lxn&IX>%goVSfR+rqIr$YgBc1 z*~`_T^rQ%tD7#QfHFl%MJCs`4{`eD9|LJ#T%qw@#@5`P}0omK|k9&yQ0z}q6q=VH` z{yukN&1f}M)Oo1;RIzwP1hBHwss4UzWjU@3LigY13c-eFOi1v_H{a)u5NbOOhh9#L zBx>B*BR+f;$npN5^qD%ct4Bu-K^JGPHr-zOqU@~f#hUc4KzP6LqfA0>kRBRjzh##} z3Y}*tJN+#tREm?2j0x?abQH+!ZEi{aC|G}=txkFSr(}Q6+23x1`?u$jCxA85(x-^- zPvPBpCI__tNZJfi1gEV?gz>!dRgm`|`dL;UnCdMnuliYn_mjWXKdv|vxsM(^(QMjp z^re_}bDr9Iz zpk1%G(gRxJ?s1I38rHu;2pI04UAAUGA0zT+-Li!_dZWd?9_WO(mHkBMQE@X`V%~q@ zLw&C7VT)nBk@I=1ktp2HCyTZHQb$5|5Ax#VkKD{>1p6=T)=*p1pD|@hv^HV>+Wy!R z9Z3*p7_`1|xNr86K5O7t^>z|>-xN|i_ERB4hd!kq}OpEZ5b({1KW5=n|FPFCiy zu($#m?TP!l1zsJWyDxSTRv?SuiALUhF7Ib~ZwqIIyg6;h%D4r62}ZpkD zk+q5=y(J&O0xjw7%YHJ*9Y{i!E>IFgiT%(ptU>kUy)N{p+h=*wmcT<9*)f4RBAZLiW6PC zRJ-3<+jTh@8~ZN`cktaqPV8D}2ywG6Ug|gOD?%`C{Bt#YPL@;UZuSdJ9jiJLLOl7g zJ0Ghbc_Th#O&*K4`L0hPz3Jz%!-w|3(*33~D&od#&QpYC-T@9W;MG=x{O|Qxa5~#J zX=d`GMy_q2ov$%I40=a@rBZjps1d=J*#V<0Plrm!fc8k06Cx8oy-DWBqNoo|@mihf zL7^Q9-w3|p80Wxjy?*??dvIpxPNB+QMU(BCG1nAUtq>p4bvmv-wU~l+D5o@S4#(0OCSbV;EaFJgy+jI);sS$Qvl6NIkla-=zwRB zwYIX@_C=KFIXOy5{+p0_Z=3gp%cTpOGr|^s*`6F#v-vWsUVr)TKQ5M}_C`VBdD70* zZBt0Q_&3}>;lDq81l(k9dHjn>zipbL=7kiUtwI*|r388s_lip;ojdEb#N5in>BNQb z!#@@m`0|haJWY3%ee7q+bcfI*~?sDh+Q`DKi4XkmtjyaTRNo}i;81F>{dl&XcFs{-wORGl2mW)Wdj0-SmeZy+ z>tkLGz=@6IFKxx#v=t#&7g~ViZ5nr&1^3Rl6?Nr~&mZ(Hq7QYW+@%~#srnJ0L%dg# z$I2CzlnFJG%jICXC>p zA56&LF%|nObfsB#I8r~<38Ps=t(lqR>2lnL4)_&Z${p=+9fHK{-$6ZY&Et=UKj9*q zMY???yI2^LtRbwLiGGbP>hEIj*zqLJid0ird{-qbF6I2oW(s3;7 z-hmxd%-UG9KIr4{(I>C=1AE4zYvSBOJ5rzckS5~b`&9I}Ltq@Ns(DK0>W4W{ze$CN zTH9ayI`$Qn=^|5yD_!^eHvL#7GW^|#gZ1B*g;^tR>HhxyIgpPv$JNe1cufqZ#c*)!~YU>}rQk=$M+NTGrdlR22$cf+5{=U~a zDCgPZqYm#23o<`To^f%{)&DKIRl`Lj+qeaextxe&72E6D4mkl&`w{Z}i#W$$d%#zf ze0qBXjHr!X{*yJjEAf-S{PMURue2D~E@X**d+@58lyA!F;IV6@*FQ4zeQC=Uyy_NZ za6MXIe{%H$1KsQC|+3 zo1XUuaq(cqm9*!Sjl=E*`mZl3ip=`#-+*uAaaD$ zS_Te<(%QuTHl3hNjeP|SF7zH_r>BCvjmXU8pA@O|l_~q+5B|3hE>Zt2gfuxJcstk?l=66&rgXe zduOp}RWBaTh8Q(GLu6M|25(e63(s?8*TR_xiNDH@IyzTe7^%PNwGh_9YiDulo}nA(PDT?ES2i z^X1DJ;F*YjqXM^OE+F0oN4p*W^y}DO98^Tz53~tk`gDj-(63>`JYT+fY7tI-C9j`l zF}Ooo{joCNx1&71&;5>cfmhjwzbo_p_1qbuNm@ATy;(do8|gy`p(|89=;tRMsH*q- zzH$Ee1&@_odGGOUPd^hL^FVzysIR=eqxsetZdWrZ_}|I;=Fb@_{;zL3<8+*8HHxAs zXPlW61*iA|#^%)#1Nk}Fn{hs+o<4>e*^e|YbG#SJoZdg?lA$G^JSCpflFZcW-UGUY zoz6tI)x++?Cx8$AIZZzid7RX?<2Dgr%W#eV=)Lpw z^)nnWmo_N>fBhF}V;3rGMs&?nU?uMqrh-P~iXHzre>~H>-l$0kV&W8N9JllSF2#+0 zZk#-{mYQB6A!DuoA%Bl&q+{5Q0S5$`0gGNTMp(S{q(9}xqdXZf{cHcba{Y^J_+U&#mr~30J`zPQrPF_u6hgyB4bkL;Z{0YjJjs}5+ z2Ts+jHD~eh=Oob; z4+kA(MZ|Fs(L=`N00W9ZM4#R+jVp+UO@!sxP&VO5>J5Q59&(~7hunX1Sv{d)1czv| z6G@aaH;J#$YW_IcBg}<*$*&l-O-I%3aXyy5H5YA~S5niV2%)n1S1b)FsgEkWIc+J= z#+&_qNjL8uiJ;24{Npzc&_~CQ4KeofAA}b7-p-`vS$YV(2g~#_^B=A@9t)ZiKg2?n z@aIc93wm?W##e;3N0O)!@Ay>DiVHR9Dpo%!L*yCjAU=NaC~4wR)YKptJY=T0zMynV zQ1#WC?)VC$A`E`@M!aR#3A#WOzB<@AH>&i?@zwynE#^!Ra3c^wIVS=;PK~XH$9}_@ zU{(+!ZpSa;UnXOgjk+Uuo;bP8zNa^I^7YGH(Zg*1=iN(oSaR^i>Watu+Fx+Qce4^t zF4vXUNgl9T_Zuvj6}WfGVz!Sy=ojzFo)ycCbkU#*-%ydF-H-S>o=IYl8}?W-@|xiC z);w6RV)9krhLqN-R5RC<69?$a0{bT=_oGKQQ8AiVR`n7%!F(f6pW0A7sWJYBxImSr zBMSI$zw<-#(DvCq>kd_bCcgVhZ_Lao=fGur`elU5!oJwkm1xq9gYqPkkWG5s*xtUI7qh43|jTdzB!T3rpTP^!K982cp3u?EBfb7R% zaqsz<;E1pAjj^-+aLU26;*eZIn?Wh}hfjz{G1(yOL#;aA+?&urFQ#UZeTpvS#vY%``A$6 z?hq~ALXVhdkOdV~s3nCowirtqC5R>Eo7|8^Fn}iw+N5z=RQ{-ta z?_E_*)sDO6Hk1>48_GYgm{eTax;I$>zvfr-2>TU-SuX;`iY|Ts0VkG)$}}mz!v`>s zlE)+SX#QAoOH9B!f^t|D$*+Ji81`)TAV`3KI+t7vVg{XGukD8u-TsPYm(Edx7)X`< z>j(Nz5aD{J;>iK;0_w=yH zy1MyQs4x+#k0zJQPKwsV_TI^y`1^orAc60$i({1}H{QPeI!BSF^Km|7s-Z8^4x@AI z9{p<79Xrh4j+Z~Vv&17<&<;}AnB2%O8X%jsv~KpU9wFQ$4mSKd8H zLqM%2{#M(-fkfP*hs04<^=JOV0R=4eWT%^5kpfoyX44910ci5R(J=~EBl z48IWCNcK+>Du3u8_{SC`&nADkTnt>+KboONzXBhoDO@jEDm)_A|)y-x~dzy13CwErbtYON%JB%H2*n0^f;=((-+9t|HrhT(jwR}u%!Hpmlg z%5LZjb|5UrJZrE;{1;y$GyAjd$Nj2o(IxiHN)7#QO*#S42H&3u^dNp( zD}&I0TiH&2HaqCIH~L9I99o$Si?$>C@xE7md*z1b=ZZ}EL0%K4!i@z^O6XxBD~4ob ziSsK4T3bw*;2T`E+3-RPg;tMuYH;{ffMQttW-_nUAVYHnio(-oH;pm)UXtSEZUH4& z)L2*$+XfKhxO-JFi8P=20g5>WkDdp`H8RP=($ zTEg6qz<%*=_6hg%0vuSJz1aGPtyxG$lq(f}e*iW-Y~v9SC=+`c@`i)> z!P_Q{qa4Kd@Le)sT(`QF-V7K6_mpl{?jwqP*$csJ`}@E{fV!hjTdB&>MK1@%=L7H@ z;O!;_e2gw8KMaLuU2;Gh@UZ|#lsJ+z5d*b16~-;dO-!7+lVA*fuK>NJopDl>cwUfR z2<==C*R<)z`IV)ciXBb~FJA2S^n?tZ@So~f=RMNdXr-AK9J47^ojofwo_({OqR5cA zW!4P8mX2&o8E-M66E9-U{er3XE}k|AVBPQNy(n83y&>n7aLwkmv6mD)C8j$jBlH$6jnl(!mwoS(XaDcZQ%?*)^0iNO&5O403m zk}W;!3oYqM{whmmSlkxJD+V`cC$QojAD7(=pg=B|q5S;kS*kq-8zfB%-+rtUNUITb zPDNAhC{+AG+K+aCKei&prK@Qa2B zs_`Cx|6w=VRXsSN=YFy6yReMFBBMGWWb%4)nfPyXCaGZik(>?HjtD!9c|;~{>chw& z|8jHCyBa^sqdf@9JL&PQT7>>}jE!i=1)?MRHH-4PwT;w7_?{>79^J&&EB#GV?y4g! z@cBwu@`i^}%Y%a#sK)py#&vjl%35ztIWB+-yLU#??KQdxqPh{-Y1!U1RYGc@snQT; zuX?7e49(@o`0<&9$?lRzSDV}DE3;?t-&Y=@mK(X(!;R`R!NSun1Kg6zlvy`vq4@&Q zIx>qjh{(!M%}hifAk8a7<{t|@xxkDs2h2ZecxjpnTTZP*w-lA07pquU{)Os=p3DBL z=4~OWKMqk~uG8s`&bsq|s4 z#|yC5bBj&E?!|Bkq4OEuD;wN$Bt+FzeTY8#&d+vvApOIS#bGMg++Ar$fYqDeWpOi> zw0W(W1doStL;Dk#=h<0>ge}6%DkDIQXaw=4yjo17q3lxnX}}kmeU;KjrdfGG-vaSs z5|XL4ydXdj+~=$Xd4ML3wJO#WToG528oT1}uiX4E@u(pQo1@+z-2liT?XKXr-L(l5 zp~b?t`4-1#w`@^*3diz(et zmCzU(d=tLdOn1bRvxmXmi*Xn2Fv?42F3m(ip&_Xs3PYQr%z^YwCQTi;O>Z+4ZtVTY9^3wT3i=U>WWD7Vfq8&yt zru05YR6fVHzZS!fkX=&1!k<3lM;yBU0E@G;e*TmDN4h(~n1e1JZ*ap^-l$v0F>NZVxaBDMB62vR?VLE7Rq_PJT{5&Hq>(_Wz^JE4JVr|_f^G+@u(XY% zHQA;+2nixijHI&-r(Rz~brJ<- z#zOh*PuiNRe$Pml%S@%9tIr6fB)V!?&1anw08Id+;`Ng9V$b2Qqxgc-)z{9Qzni9L zz8ry)15o9rl-hXK>Dj!t-&kb)%@OMTqjQF?pyANq+aAV@AK}39xu^1A9>^KaSwx>A z17%Xbkl8ppb+>5eXYjegW2@v~h$-W)nbVV>!4M^`sU}9M4B$!-V@2U^fu9LJU-~-% z{R@i9KPomkyf4|BSo;T_`0q)-*mgDx<1u=M3eV%!uiVcL%Hrf?zgYKnFm8MBS0G&s z$<#R_O3xFAl-oF<56n`=X?`7_Ol?6X!ufrj#M}P+X_jOvB!zuOhh&66d01!s)baXo z2`H&Je*T;5Gd?T$${9#mz|j}=N3_F<;8AY?h{tYRha%WhCBf4$r|_vSuS=2onuKHS5XQA zh1dJAeEl_gstZWwo%9I-y$1o=sRup}vW^XgMI67MC4cjo&%_4}iyD+j6#!A(ul3U8 zr|gX)vVLXSmm>hKZ}=(FwJWb({vhN;C}1DJRgLzBqMRG$u0%7-N%Zx`w&W4xBx`~P zmht|o#l*<^-Bwy8LkDnv=@5I>B(%zsCzi4qpFdn76**3$SWwi2(m<+`0On%{Tw~2? zY+Ml;yt&Wwq&y#c;QmfjH0~>F!}G+(6Nq`|IvrB@{goO*)NGI3f?5UAm}roNKe8AK zSpXYHn=H0~qR)<=!ip1k?EBs_u}A#thMze1Lw^zcmlYGR!siJAi)EfC~uL zWD~GI_J~_cm^wO-JarrBj~NBC!m-B3uEgaL)fNy_pI_5tv6zGSesJw^!cQ6pFPj&> z=m0FZTzWs)y>o{Ne%3@%=#-satG%R4vz(R}PoB!W>KnQCNMssVdWCk3ii=eA7)a)( zvANrXk8Low2e`p}xF`2LR6Yz(%8K*HL~IIcAkm{8mi1oxQ|j#q+It2?HG71G+2tN6 zX~;wtli4aw55OFZO{B&R24GC|hv?vA{L~(TQjkKkaRs`(=Eh0Ai4dA&J?FXt&?}@~ zHGJ235@oaFn0sBzmvzim$2XPMmvimqM!&tPh!b7Miq*n2eW~rbkNB5QrM?XC%mND; z<+$LX=fP7%THm#To^N#vW{>2AAA^S!q>m0fxMao5cXRj4Wc{Z9xZ=D@P|9Y7Q9;W&2OI$08 z8C)9*KUW9xNKJgfA^NfjYegZe%v%)bAUgCxF?6fYjQyj=2VzrOyo^jwei^8j%e4;; z9_O3xl@3OE7>sN694XxZvf79v-hklN;<4I+Z2W4RblV3DUQQL!0o)^xb zL*XkLO7FN)ndKhMdf1vA;Kq%BlXoQZfph@%zX6`k)%~%-{)h)ThH0XR2;oP{Yf~*? z_fL+my}n<4_@)kAt=mNPsdF1BxNTwfemra_qbk}Xmoz~Ce0|~*EQ0xT={-hmF#S|o&O8KSj!AY~c?=ThQaOgOvXd?z^n0+bH6AIgT>45S<#Gu)}CnUwcJj z_%Bbh`FTLjQ=)q40)Qhcm57&r0ywy3C2Jwk{VCO|70}_ojelU+tt5-?m>n|_D-Wih zr>KtnTIXIQNb@JWK&JRLYp~1NVAOJYWFivs2qio=yD&g>2jlbOZrq3ThnyEf;}fam z{n9S$-b~(?b%tEe`i;d=z^54Pa2h%B#Rs7!o9R|#6#?iI>T|a%R%LQ$7Udc#kINa0 zLhXXdSmM%GzgwTmSMKo_#0A&PLNq`dfvb1QXhjUguYPY?W0FD9z0(M#(Sh|Vhc0F= z%X6^#)n(-}YL`cXP(795aggpgZ9peP;g!#1S>OTuUVVY^q4{7hwc8FyHDR2rZpwrp^j@scuve3}tlXD-ENc7(yC{cpU)xUE~7hsGks7g0fs$yk3C^eBxkJM{c zxGyv;M2ffwmO|P_WzsoP%1CI`P(wmJr`Dd~XY>zgTJ@MZ4zC>5H+-&Q9Jg;!Z(|eV^aWhFQ+0eI(ietTIugz8)~q1r?nD8>u!Hb{O9 zX@`Gnnk`90y9@eEG12)26+7C|2vOrZoHmC27f5a3O@>~+2IJErQB)1>>2%<}sl;Ml zx?>TN?J>AKNJ$bm_rvFy=4@=CxMQ7eu8UZZe-$t&kksre!)b#-nc7#($)b_6oO6`Xeiar4Ma;k3HQ8r`pB#dHyhVTC$Ps>R7DnCJiY;h2#3%}78_1!6 zHOyES1^rmN_lHeRSIxefPJr>_WyqUef=Ut{qB;3SD5e3`{}9tQbBi%BfbAW$8?=4a#8u()Oc$pK z5Mh^knArqjS6H|vKgBQHbJLD6iP!`k!!W6*yH1389}Wv|glvrEamB*CH|BoC--!O^ ztiEW4=vt`C$d7SC{aTWTfnWcv%T=sf{V|4WmxVPMYMroZ$5Cqy^JWIN=@}s^o*eB^ zq6V7kl7Vrpe5nOYmlP(h<7J-ejJe_rH31vU1)J?P0COTwq_$k@=#3KUy3VacGAGrA z0guT|^esV7#c%?As3}rm>K^bF-?~JsshzluKVIU`sCcqLikCPdJf3X2|F-f(2?g;~ ztSvN~r#B{jy0>(pT`Wh-vR~A+k0EFb=@rO|kGA6u%y3$Ec8mR(jaEpZi;Qz>p46Pp z+HsMz!qOn$#(D1Fc7gQ5rNYxtmcHOgaP<9W>y(e^$rK%B>#)k#{CeG1x(1kGD{PjV z`X~eA|0RzzD^r<6dW674g+{RRBKgU*H=0TB*&-q!AG9nUPjGMT(pv{(;SKUssH{6 zYvr7B!w}}xbn@(Dt<)|lHB)jS)(BxC-o~sWk8PQ< z%E;@K3PBW3=7-){MZm8fT^OWX>ip5uHQ4B0OxTJ{O}4D&w%QQ#Uyd{xr+F^P%z(RI zE=qJ19GJnxU`4g+JiNNVCi{DzO>DGFJUQfZ&4ZtvO}ss=JQVt}Rqu&BW9#TSpI;0G zT7g9Vy90${whaLdRo}pz7jKq9n#EVFCg}l;0W8F^r%~M}4 zpPo@fcB`#AZGr1M<{^a|!kgQi8%$_vd;QBQN`4^H*JeL$+W%|8I0>3+5(pu>DFP`=>-QFd|qh(7IDu zaVGKIg<$tr;X@CBqfG3Jt+WbX`v5uemfZnAXl zru3-`n-$7L4<%axI52fe(rPY^shiFm$DGJlXrD0bq(_Ev5VkZxY=OfcI$TDig8Uw2^zZEHPI`pFUsvCsJ8=w+EKl7MCnmhgkq|wy;k$An z@$$4@+KcWETtZr;hEQT)!C+dV-Cbu0qhzPEqfM{XK?XMG!61T;~^ZC?jsg5U#GL_>!xFbU9Hv{0=p7n zc!#@TC;Ys74Q3BA-5QCey`$B<>=Q{FItJjY~8N ziZ4j-c|}j1>0X9dMSUR)D)#f^(9+LJFLHW~G=xeaXFRk*F7o|rPOCYuhQ+(KIdONM zueC=0l_D!~TA1M)z-_^jdkf#Ow((>JG`Mk972@O@mbL{K-FIo>n&cY2k>zxDO^a6+ zF0~W&EdKar!WrbUe)!2B)J5qWuZ_Kr)H}B=chmTRWfdxM0szBk=h@{nLb4qX^(}B``ana;*#v!LDh| zEg19Ff-{}a-RNx%_isHFJ1`A<7gF!SkB(xFNRY3EZ(Rf6zN=7P>r+TEyu7T#wihSU zCS@3C^>=cCAv;6q>Y15#wH7mEV8VJZZ@7x!9gmR}oMLk2mk2WJ6&;wTd*#Lo)iIUZ zpa!O)YP|3`%9EGrQoOV6Ku@NgEwD$m+h=UjZ!lsK&xHESsU9-$!noRr&WRZ()ndf@ zYlN+BTlrusULp<3-#Dw`FD3&=sEEcOmp{YjX$T%L=Qlx>1VkCM2QtW^@icNwc6^ut z<^1SI(z-3CgPyhKf4+OcI)xx7xb@gnmO10G4WMCBh@1zP)SCMJ0W57IWlppCOBEOB0 zLa0hk|IR?;lf9!CpTuI!hj0`F%5F56$-2lpJZ-c|thz=}nu&}dND6#LK@&jX_crK3 z)7xzVyo?}x`-YwbL58Qbi?`ffa3QKqYx*o&`r_*S?X5kGXVa9egu6cCg9{8P^j;+K z;u@X1{Jp-i%jx`-gTtz|xA!+2=|ycT)BF^6ZYuhlt`pz{_c*~eX?MIMNH3pAm-Cf@ zbH3Xi->g^K`jl|XC!Lp6`&}c$d{(=E7PoJP(N&n*VQ(`9N@A8BerV2vf8DqYSbffe zEuxc)pr=gK)RO69=p^BCylYF&$F<*}nQnq%y|p3j)?c65kC9e=?<|ip<%}k^QM*<3 z34~b}i(}&Lt)KF_Qb*?lRt{i(e(iVlcO|A4eDU+~p++rG_C|pq;w0u!ctoiN<|&6syr9=h9we-EM@$%~ zImZ$LNcp#oo~|}I6pQ7Vd|e-}|Ga*E+g%37jCWPNbzWi_@3I}^5lfdwoX=L}{|?j7H^l59sJ9mCs|oqwXlePSU$u28?hoaJ zh#SPA#r*DDDY#_~A-;p2-;JG?u;T0Oz=eOjB8~0^LdGDw|CM7k_r-t1(4P^TM4U9{ z5H7$jS_0z`pCwBBRnd<1ixx zB2wkf`f$tDIvLtt$h0-FVEJJy$4jd=KD zR>w5ZmRn63XolRTz$>FAT3_Hbu3lm-=QGpNm?54;^Aoi|2>IiG~-Pi2y7A&VY1Qr?Ue8Ii$mlw|8ldOm2T zpgVtiN5PID@=k){TI)_U2KnzW?*sSr$!+LEylh+(eiNFqMTA;;Dn{lIfSc+?Rm!JgJt%NM(l6RmqABp?Vw0Z4eS(~H>69T zx3Gg0W;El-Hj_aM1ockn6Ld5;Os4gLx}fH|beoacSvkS@?)AOXdYeHkxkhL^<3>B> ziE4Ur@Y7ZM82Gc_1&#x?N8U*+Xh**DyWoQzhaYVHekUVumL8<^^gn}|YEb4~szSU3 zK;=`1U&6-~Mi+P$)xpj)GY@2E5(nF(JG)x6I_W}0Sqx}zP~1*{`u&zdYa?s4zFcK& z;cN2B01DQ9m5?#<{xNyOg{}PgT5P_-V9}iQ4Fv#sleIqGyOoBR>Hli?+m&1Ls$LzO zuqVoShxu$trTV_rpwbx1zTJgo(~Q*d%r;NaJ%^TAgJ0Ub7WLLC`sABtDAPN2Csgrh zu3=a^V^F3|k2+2X+#U3HCr15W5{<)DOJR<8-NTgC+>R%!xgaukiKK#W$O%hZR8>#J z=uM7ODpS+4aop~B%A@WmPV_h3n_tQQPC1ZxC)ZKxH6eS(o%hN=Zgld&u8_3)_2kBf z!D+J8-rZo@Y-*n@)4nJX<0gq#O;uA(Ke;f-d9`%wfH~1R%r5EC*k@DLdS^!7ctBr& z%cXzGGO*DBkbDYrsG|8=tof|h^`kv{)~L0|CD$O^f2a+G+dd z@5HrzY_2=e$9S>eI$2wCt;#yWx;5BA^zzCyJRO(V| zvPiE0tC{bi4kjPDn?%JLbI|}O(UK)vPybY!@r%K>>PavtGB8GY5$y?iMhK55Y&&+< zsDBTmXs2}RKj9YjJqA|DZWv;=Y#T-)wxqdnuy8*wDd*p5Fc7>dBOUMVB|uR}HeeP+ zn*Z-RmPCb&JaN`qm)e(QP(~hT|CR3L(@NqKor%<4Q}IU5@nmjF(nKz^4LH10=YuyC zr(|VB|IP#&bZ+Q&Y8z%>*LrEiyBVAVPMx4GT+`}#a5MBv6u$9z!aP$+;f1i~o%P(7 zmrB@+L|jH5AL1cgc0}d@P9eH^*3i6_q7fAyTO=A$pqc`t4qV=}=TJZ9B2Qe53CQu!ia-1~BM?tD$26zYtwEHJmhRUfJc%^3gK zSfE)G@-sAd<-E$rWG0GHz;~BG766=!YcE`uMjBk;VLk-ss7z_fi2Bb2QaW?AI(vgR zj(3uiCY=0#f4rD@Sw9f|USpo_;qIUHedet05%2?x^qz#-H4{|x#jqzL!=tU?>R?OE zhSHRZjA-AEtXadusRX$h4)?xnBYdGC#0t3_a<|#*4l?Kh&%ysUB;LqAK7DTOW;{;L z2;XuAQCn#FL9vlLAOp1E{dZv0ZL>A1vrTShOTq6Jah6( zv6*{&`sLMRYo70Fz}YHRXQXK-^y2T8?cx)yM>SffggkquZAawk&HxHhnx{Ekvb+z3 zr`$vID{RB<=d~*_=2^ElL-m8*HDczOD2m-t`GobWj0uaYb9eb&yE5{UW690T17YT} zV_L23EAbxp&h?&97%B;k^0g4_9?uX}3=%FEV>UB~pqm833{jTXy-AuBdUz*}dgWI^ z&JVS$Xuw_hJ`LDc$vU`Am&Y7ejhCx_n%n@Gq;MSn?j39-^HZ#2M) z&zUNXoPKbjm65`xPuhyNVYaeH$7R~2mnWsr;R3vQC%tXr-ZZhBg3yTiJM~%V!*8V- zPJWta&SGbEB^B|zVxQP<+h$H`(Ez~*D*#&XFFdM`X2sqAQE5Vn@a zY}O3liWv9vkOkD4Kn>=177(|Tkw&oud7+;*PkTn|V$S=5u9JcPZ1r~?{c_-L^6bM) zNt8YAPOiH%x_m)_Ji85oO&_*Jnr$TOZC)gsB8 zm{wJpF^UT}=S5HFDbC;$u*LekC$wF)cAXDjqI3wR3SV(B}`(PjH%u-p;vGEL(~P zyl4IGGyq?7W}Kv%8XX0)G}qijm*=fr$A_frtb!p&yFt!(xraiv`-Owis9!6GkV&jB z%(dk4$<46vMRa`l<#@7^ac=X0X;sCU`W^RvbK=5X;^BMqdnTVT*OHj&of9$5aR8?3 zwhmT2a`EQ*-;W!P@9q7-i>WKy&sOQFo~_bbhAEDj?987%rO}$F0hUW#{sg$<2pQ4+ z`J%p(i7ZirdpvpL*_pH*LloUqrgkUE*i?CHuZ-w0@@?N*ypeII-VXbavCmAD70++x zf&JtP)A)zr`tKdd|G$<@S&YnoYuO8|CFkR$S4Lh|r{nMWc(Qp-h&3{)E9XB&h-E<3 z-dolMNJ50ztw~*z%*tm>+fGN7C+!nvozU$;0gI^47Q;@WM35rFa^`{33_O9H+`K#; zoW1^`X^)JCP6zy*PSS*h7TWl~xv&q*n;uxTG+qe1r|R(gPD~@r2RkCuJ+l>QbdeXb z5R}wKJHVWbh^M?1eKcOO#g}`-ZrVFV05Bx;Or=qb(do|c1f$$$<>`upGn52u z(}Qj4T|aQTOxecBb|3;$=9)ASfYk>Ti}(FQA4CvBZx<-jpfTIno1ll4v4E%(aqzqK z2lsf1@%OC@pUr8_^`6wv%vV{6LE*JuD+$18eWqexeT$S?_p&dt2slp1h954j#5O*P z$0$!-TO4`(AIFKt4aTuBRh1?2O?y5L_O)X=n%6EA!x^fJl7aBq20*I@`nf5YJZ~}w z-1nrb9uxN%uHK2;0`o>dm`;Anfl_Ge{sJH*R8e;^7mJdM=04jHrikw#{3ESa>OvH> zt9v>to_ju?9En54QB*JrNle?JbiFN@J)$;1M)er$pDsvfJQq(6_pQM=Af5ce+8(3F z2>yrjrHbHd@MIAgxN9GqC3KH1&>SMDAjdFrJR^01cZpE1D@VIiPfB!nab9nfrQa%7 z;tsf{cx3-JhQ*@VVG#ppYoPWa^OO6Q$mWau@Hi}&3P~_$0CCPc#CNedwm_$oZhp0> zBvL{kAtMT(Pj&v(A|p5n@NS8KTlaTD8XV1{&r7Zy5dBaX-YzPsE15fVfQOPULMnOd zt8ulFfN~3?yosO7hD+h7dcj)S7HdPl^5H(GjxxhL)l}&wlx(P3cQSTA(;dr;cmGRA zK|F5@j-6%NliSP0?kHGk4E)m$5nYK_5-^P^k143z0W*rx0fT?F_hRM_r4iHFMz%y* zwtX^gb)rJBiERZqnKu0+JXK zLrj6iC8w|nmLBSI7t((Ps5;MI8J>CYH$Y`o-ulBwN7cmlEOotK&z|rJra~}p|G$5( z#O|isVt9ppO5d8O@d3%KF;sEiz}R~0&s&BPY@LN%|4Fb4{nV9jKk_qKpJ(v>tJepZ zocC>ZQD-U=ogThT`~0Xv1q=SZ6kPJow%OYZoB2ph%(!TkJ)}St`1eB-?WBh1#z2;S zv1r*D_Sd_u^FWmYW+zUn&2Q=6Zx>*eP(v~ zJ2fgTJO=js-aj!u%{b7`{K=|cKA5BYlVW%nCjy}w=pKby< zr8_^Z=#^mKjY-1-FQWUCONyUJ&x?KA|;D z(y*tyS6nPoTij~?mZf1#$WQmNbjaCIKvw5JPL7c3h}!%naKJ%k`RBS-M&*rTkR2{s z@{Ei3*c`uI-)!1@SU$LNLlec^@7wQx+DldLXxe<`UE;P)P61`(!zu@>wM|UQ+okyN z$*lc<`0-m8PSdLAPyh7io5b;7^3(WtB#sw5K>bE#OM|<-TwZ}vvr;-Ne%~QC#m>Py z?x{RQ-*|rDOoKVZWipemcfwr*bjoY@muSc@EA=t2>Plq(=AyWp*~wDq2-)TebLZ)9Ev zrenoC<$8bm^yfx45_lm;9r+U(W}rJB-eYQ+*DJ-j88mm@OuFf@Kkf4$vx8CxSo8CL zIA;t2&X?Dn+k=qvBO2JX<&2`zVGo#JXuJN>9R&U`=}a*%BMS?2KJJQeNJ_Rg8Tq&2`>l$F=MX zPN_&d0O{GWe$L%dQ?(jX-T$z>_f}{1LQ51c%&7O}o?xwfVy;Wi#;p3s{HRFs)x^!H z`p&umVj(K86YH>h_VbT_WnYttb92*v847HB`FgFT@RG;#A1_HEC|HF05Or9}Rf?pt zezRt1LM39x>7@DUZ}*g|QEDJt@)!RrTY!!yyd=NrZl~T6>(lxxxKOo;(z&XF$%1d6 zrKrt%I;GI7S}0Y}@@9@wXkLIGQ#Vw}a2K@NLQ(H8Tv99t86qbT>!UW0?kUAzRDcj! zgXWE}ZSLIlF9)I}a~H0K>g@7}FoO}d1RAoFaFN6BJ+7D1AI-E`1Re^-n0B$5Y|Vmr z(v%I`eCfb&nNIUL!loitYR8^AWVI7v@e5fvU{%NuN!wZ&^Z~`e7CPb9Jwg+m7#+OB zn6na3DMvqGim)=>*Wrq6w3g zPHQgdqJ?xKmPZe`v&unD1YVnTY{^T9m9Y=7Z6T#_#nO#_{u_ybp4IiIVV!{v_|Efe zqow`JKQQ{@CRwcUGYm3GN^l5zIIIM=^%k4>Y7t%9uW94o4l0=CybT-==i! z@GETq_SGBC&v=L(2(psCI;X$}z0MD}W9?%e*ennEQi3TJ$IB{=OD`t~42zq*Wikab zw1}^c&!za_V$R{charV`OthyG;-1%$%g0 zA8HOoJ#sF-`PNzNOJ+Q?9#W6|_Z5{fU`F1rGgKKJF?60edCvmD|5aLaBK5_!8Z%JV zkvpYDElwh~*Kq%d>y+(BGxl9S`YgUb)V`tA3USHht$KIKcl~W7SEr}Ak$`Ws?;l{& zm)_?D1zidGrJ~~;e-)G)h?~2 z=C*E?4X2cR(1Lp1=8mEff61w|t9}1WeW|@s|DvnjN|e68zgVtRd+?bXOGr{HHN_k= zxfc=ib7D*|Qs#Zr5$>fz$FcG?gXN7M&Q+C8gA|5rUnbW!tIms^JYZpXkcn~vkIF8_#}h$_n| znD`T!Hz4&Qd%OE$z0tAg@GF9VpEpYG81S+hO;IdI&=S`Ui5Ko z9-;cRDd*J{PJ1l3G=p;vu@E!m_c)Ih%KML_Ov{xFDqhw1up7R*>647FYe%HN2>WYE zF4rBsUQtwfM-M6CzSvzmj{9)5`s5!yfKZ2zd3QTTW!^Kx{V9*I`Q|+L`K*ik^0(8O zt-ix+PJZ9E_x;l^qi$s1sBroBdN?_%UbAnpn7a)ez}YuU)d}#IN}BFQ@Tqpc+$$0x z4yn5B$4RDr8-e}~f*k&|pYN@kzHjF&@#S?^xB!oWyAilPzaNX=7WGiCt47S4G&wHP z8M$@TjTdJ-ed{3+KJ^&Giaz(rA(aHkXjt`LZ@?C(2&jy^CApI@R*{o7165h)G9`V; zyZ&Eg%2}es;R>6tYaegEWn`Q+%qb!z#V=j+$2ShWUe%|r`)vsqY+qQx+AX5@7w&m`D4^TX zUV8&99hv{>Lv2ak&w`f~zn|QoMhCUDfx|-17)E-0wS?^F{PP@6sD48p5+L~|yUb5h z`pSfk!q6*`9)OK(kmV!3ZupJu=U)f@N>4<&xJOilxZB&>*6ug^zLC$x!H)cU?sk2E**m-;i(Ub=A9uEH z&mL8Oqn{!5`fuc;sl?X2_594NJ`L7-?Vn%Gm+@;SbvhP%Xf}Xjr6tnx}7fT4ks0plLF7p<9|lJ`VqC+t^UL7{#I#={voYmw89Hq-^A^8 z&CeLWjgxsa?{&?#%g)$*3$>SP>@R_^{+lvp?l(!OVTp)eDEH#O7)!r}?U&%Y%xgZh z*;bAdWBV^8hqdv2{VKrgxN8N(Y|I{a9Dbm=9w&u(jj3_;U8I(6)kmy?^R%Q*me$I1TTd7_Qk=bV~oyFcB zqr3v=@pFb3RWj(b%9-(-(Ux9=Do=ayubDe={ZZBm1%b}3_7`())91EmlwBf6le7ww z-CA57T+;|rxRl=GXD&_?4f$TgyS<;a}RxR&h_{?^XeH}UUXNzn-2eE!6lI> zw>#y=R_m4K^Buhk4^;oS`u;$X>UN5UgKPW#KIQqpY#PNqVCv0p)2_mMhmX({QY%%c zgKd@9>{i@QSCu+eZPg~tznLZS?6Zx@b>oX-G>UItZm!Lvz|5*`Mg}sZx;&!$d(KR%ex;eSt@`vEGA3ey&^AM za`L~@6Pg#(95cIeq}y8rmuui>ZA_&j|a`k*T2Mf9p!FdJfxOrO1pHFr1bY z;!d9BKOIiHyZ1$J{>v-A+!P(v-qU}RD|59lGoxRp=OpS$+P&G*f4JF2BC zbrHYWlowgJH>p4WQGy+Svl#5T# zO}~3TTQqS0cR6cEbmu9Da8sR~+%vJ$E6PP5pt?IRhO(uL+mpVpC~Lpl|5PF1-80Xp zV{czy*4H^!VP0h0lB1`CDY&Gkb>>K!`Mejw^zOyW!MY(ARnU&E^Ow)MD61r{6mt$Q zHJZnkXS17f0}hg~$(OJNBiolU6;iOm>`x&%xcAC0+GhJT(dE2^LjB*re7g$uF6?9~ zRTbCtsGvQ~ZPC5ymY>`Lma1O`8Q-WW42a)Dl0XAna&-4tG9YCmnzK!?P}?Z*cna7_5#=Josh-46jD#MBF_4K$3-x2J=lY~0hTv^U?wiZr_p4px4dyO&rTl&Tw!mT+F%| zc=km8rNRK)n%Sodi1OY;P|L+sjzWfn8~Wgq>V?z}v)K(%9`mNOFzt*ISDl^jCHs`n zt+Rug8Q#}f-tvKgl)rtMdET@mX_jqXJNRW+ItIEbTge%k=$L>a{hUJQb=sPloUF^8 z-cD%G6w~RxubRVY+3&PCDo-~7z?fYTtM-2WLGx*Szq#x1ekAV%z3=O#~7_?}Od>W%*Uz zOIN1wZb{K5Bl#alXA;(2VGVAJ8R=Dtj!m$6wwP7n4fpXLR(cp;Q2d$HA#FSvHD@lH zE@IJd@aEIBc|h_-53WKisSB?>GCN%muZvE!#I5 zU%{`jm{rcUWJ^73HH$sJ-KVpX#5r)TU$|zZ{E;izu;^URCv$?q@;QAo-Pw1Kwxzz? zu&<`W;ev>X>)!lZ%Z0aY%--GktTL-iTOZiYw0lQV`K6)*SH!q}$|{cTU9+yt8-4bB z51oHSeX^&u%Z12mUQB>m7UJ*oQ;n;bI=~S_}j34!?8%O;vFgL zJ?%E!Pq=(%jg!3S{e<*2fsdrt=v-;@vRi+4&5WIr=#M~+HFpc2t)Drp@V~!$Uv0Lp z(YdwmW5PjjUizA^=XM@Ud+?uy=O$6{>nCY9f9#N1({|VP#}A(JRk>q^8|9w0m0xSL zv_28ArD3;eCw{zVt5lHg;+<0&d1>K2vKp~Qc}bP$ue@PeZG6-k#t{H-kJQLVcX&97c3ob-Aasj{ZRFYd#T+{i({V)D$@dAfAHpF<%n52 zAC+QEQn1@vt~;Nab;(NTbT|4T@?)J`q{u+7>0>ub8H2UEj)|!?oR^l4=(pN%@!pNw z$*^kaLf6L5o?QlQ$)}#W4;K~h{v5F}Lb=go-KPYxQ`U~EY@88&6x$%oa-+>A5eVcZC+3vkROZnlcA&bZX^HM!xoRxKG@{@DR z$HE>IWgAv-4yV0c*HU4<<(39_!|iU7&1paHy@=`bdKT!F(7WehlWp6un)~g;)x#o# zvk^J6|21@2w_Fsvv}i5nesMBF;mx(6tn5JXfZoW7Xfm1tT-LwDp6Thr4rXM~$ z{Ske*W7u=JQX}y6&tPtz>!OYS8`HJNRMR>ishPfgwc}vetGh;PZx@&EirS#AMLB=5 z6PEVcJmMUq;;Mf63@UGradT9R^1e+wKY3qj8|#m@@e?{^tTZL5kDvVEH|H#+z}$Hu zIat}I@7P(@h*N3m-u}M3pP%9636-Bdu=ToBK|Y^jsPfD+TgRrd<7MY7m$<^Mq%*!z z6p|nQO5M8I*l#~vzDeC%gG%WTTT7XeI<|oqdExn%JaxIV4!h(cnl+ysJ)D;1u*pT+ zQzl%Y+J4JgEZE6*;){ysAZ6{{ic?Ct0q*Y}oYg)Uk;19}VxqLZK%?6FG)g)&Qu$(9 z*7qNkp4*;3v&id{TK_fA6@Bgk|G?#MMCDKwuY#RttFm;&|0<(fcc6_7>wuvvpEFt` zayaw+)?MbC6s$GdN(Y>ObY1U1 zyE*d5x6`V9W`0|?w0OI1G+=+(W~CGx`Km_eqNn}SPpEulMdK5y#p@zptn=N@kk-W% z`Behn9Ck9l;5lM`;R$|CbWH9uujeh&7p{3-b~+l}_#w;u5KC`!r=D{3vnlL8^oGOQ z%Q4aanLZ09D@b0cdYyGx%dExYLaAl$s(58wt3k|?JHip!}Di*>TsE<@M^%} zG}8}pH0iYlnCkseljr_kRqD;oxpC^Kp^d;`&$)BBg}iAIqd1p03inigULZ;p_Qw+s zj}&jRSI1OWhs@fzJ5(eqeYtn=l}o_ZPr3KKuh=B6eLThgOV#x&es&yFN2Oh=)HJa5 zw2hJ!OjUk3#ftJZR_mLdH9Qr5BPpQ-{rIB&)`ag-cIR?#ifLB1diC$P{J49sLv2o; z)9wvh)?^g8N?QA?pE{Mn-YDBKS$Q~X+v6^&N(Y-=DOb(5A9)}u?fb&j^V6n*+Kyi0 z8nN#ui>kA~C)Fy1xtBeEBje4MA*IUL6VR218-+^LbOk{iMWMsa(r^$eCNLKeC1yrF<)bnlUWw|!AVcKi< z8D|}nj)>hEgz{G2NV?dUEo+!HY4Xvq>4=)e^|y+rICDx~G4yXCFPe`Y@>1NBz$iOr zDW-5tHt*iy+MI_k)@`GJQSd--;{qo zVnx!5b}{Q^!lYuudF6u^T8-kJq9d;EJO2^$W!D}Unckc7>Pe%^iQt;E_w z`1$MHx}$P_Z?naJcLPRlf?v_YCAMy%B|_*QvC`Tcggj4$hedudyny)A$LZ0+we)-Ja)O+&4eKGQc@w7m<+ zRv4%sFKn`EN==f~%EDPhCfbzPTpbgMV`x8)6E&*HnWk?JTbFfCt8(L6J?V#^JDZq> zEb)hjHt;ra9J(zdR!(~S*st?E@x1%nQ$$7Chp)yYcbvnXi8JJBn_4D+y|bzLn}R&0 z2svn4n`l>9enx5UmkO{Pi<`gf6b<2mF~^9gPF$HJoDRn zk9!;1mTlkUu-@*jt-)b!)Pqw1l6($u>Q9lLYX$E%QSO(rE4(76vG3&G4oTmo$^?f^ z8RuKn?OF;7G_5sq1DxyM4c4CD_$=omWk=|{S(`iS784pg&n9oioXOd=g%$C8|ss9=RX0=535>=3$lWWYNDExbE#QiwcgGeaG>3M~6s9~Dvv3@|F_}MobI%&1S2sLXF5Hy5hJWkz zzGU<5gRkwYvWztA!RVypan^ zxr_h48W_K%#nkQfx|%6z(|6Ke=ez%I4-0@FeXmS5XFc&er>UW=DRv{0qe;5|s1WXB#dE zKWCu@f8z;Nxx}c#FD5Nc-1_##qn4KMtQWu16t-GM-xIw}aJYM9tU;invzwr`Rr*20 z7JbnVE#3rL#etSjgZC6x?jA(St?>6!zy28iKS2<|0%S* znK}G#>vP=35|tEQ!h1(=#+n5F`xbB9+w*si4fTJXwL|^wGifnV@K+Pn$$U2TD2o?i z0@lduggYBi1RJq^GJhR^Ha)cQOzO8fzM>en-EGDFJ>N|7oUJ}bS z*2$~7dRRzo%_T?}yv=4Asr6;v%+&SO1%*pW`4IEWJCz~1-tR9Ty~*v~xMUr6nQ-Qc z+31J9o!p(LR)~b9-cm85*oCZ}R~}s46E}JE(5LKyj(1ND*QZ$J$py_kZ8-GnaGmtU z>!Ybko(ihz#|qau$O{_2?4?668B@lm+|lT;0P7#}c7W{?$l< zeiTZYxwL$Mm-`vP|D$F<+{3SQMMnz6S{*B^xOI`F`zfhO7+-N)8qGVX;!%w!X()fe zJQtF0tdJrmlfBxA#v}nVV5w%WaLRrK6GMm%;ZgRpm|G)*|5kJT`cp|x9_iFa(HdgR`(vidyz3A;22Cz$r{8;s>FuSQ>$k(WY=Rg z5xc&03dqiPzb+(k+%oRhwlJWuAPTz%NTJN&7xaTGvR&$^5d_BAnHaDf(cn z&wt!olIkk4bil9N^UG`H+{|#=b?WR;kQU3n&k;esa7OQQ#?J`UX1TO^tUjOl^E!Ug zUvjZ5ZxH%pbn7!EK0aJFSDHD8JX}P!E(h8E!N-OyBfViVT2jl6CLI#ezVt=pWd*jo zD9$OG!Tr{j?TnmE{%Bx?IK;tj-PJ67a0`~f`!WhuSHZVS>e@bA$~tN;D8EDC)34DZ z1B*-^MXazSBaI5VXRh9L#dEZiQ)2+zS60#SjxD)W^nzPe;mxqS&g3;~Ji#H8-;k6h|)Mn#>=X*h?bXp%!Ov@`YPTmSex97$q8$SQ0KyvgUB; zQ!w828W{*5rWb?nErqxe%Xz@0$fB zeimDnr-j8tjy=1I!nPT-X8YJ-X+IA@R1JtjI>A{1IQe@t? z0NYD7yhUIUF%?LqC#m`}n{0p$U2ZHdOizW{(nrC**)ll1VE+CC!b+Lct`^`L{=1hc zX&uB+O~|3Ls0HW4)+)*%Gpqh!I;c__kYisglG!@n$kBhe=wpo+NU|O;OyhTP!C;Xq zTB-Tdj5Ry#D?4yP$-qPO!SjVUiPEk1eeI}U_!(n(V=^{2gkMr};Rlh49Q@-44u}y$ z;r}g(?#El>5#}0UklVD0BKRznl?c{X5!#76V0kcaF^EAG)xh_uhD`tTTdFw5Et{c+ zhta>#@qcm2y=1l7PD<+jn(fQW>%R?WPjCjNtQ^%FqBI-O4qX&x2qsaf8&CbsD;A5W z1g)$~BYL=Osc+Hqu*N_kdZt*NPaA?`L%6+^>;yL`Y!}}p79MY*ip7zmpHQv70r!PB zN+LU-LfiiLD_+(5L9Ns~88^V$yonI~r64hG1uzbB*Brh0D~v9qW=}9%-pAFY)M7PX z@inEHEE&bt(S}0odRZ{MESacnh*T0Is@|9+G{*j!=MPbf&+h?VM>b7@Uho}b??COq zP?5?+%+e9$*v1V`+b<of78wmkmY7@ za@}M#o#MpA1Wq`b-kwv4k!Cixz$=XLMdYfWCQk-gXhn5$PCi(?ZjFJh6d`Hus2CQU z(L!Dyh%*sr?SnzHOZc{R+mYpHvM~v)LlmfgLvByvSAV|`IWoNqkgEIZ|Y6 zV2p?f76%`3rd*^mUVZFSmAI&lT@00dZA@?bP+T-fbemvb)4UNxR)DPC$&GgWavDh84-J9 zL&y}yLLAcYjeTbY9}AcV9J(lsW~3;)Bg=|>6ksAG&cYY}_g2@D?L&BQmqD_MNBC?L z@7T-WvFr)K=as&rQhave$x;wWoVx-V0}D|`X&wM65PQ!c_YtIYBa=tHR9G?)&cBVN zE<>C6jYjswMEcE36r|wk=rek5!IOu8!^m8x>PhlxL_k=4-plPA-|~E=@284{)Fzl-!kEqFvu85;PU?wvp;{b1WvP z)4orR48DIh?Y4%?FoV&vPSAL(z)N3CCNsA{CKOO0xT_9cYG$9Cydwr>hv>5mfitr! zTdi7!k8ndOj(u{}RLzDOmoSNbJ&z0cFvi)qBKF=$_P%?pAQ$JbWc8COQ+>dSqe_pA zwF|0u6YTovNJ056aTn-EhA;w*GeaNMJwm003`(#y7fO`y>CaXX44e9KK0=_~3IZS5 zIkkEXebnrDo|b{5E;*6DyewB}p{3D+Z`HYGT>|YrkBr5_!P3HB0N^WsXaf@{Ibsd_vl7fx*x90Y-@^P0l}rM%iSMr7i_6s&))lo-PSIc_yAV%NCrH0RIrh=XY$;oMknk59 zo`7#{;)ccu+qMr~2T|SN95NmwtjKIE&(k6V8Np#A)D++e+!ERZ<{dO|4&oKqOqnk? zSVr*u%5$@(K&0}4kXwi$Fu+Viss~GO3!nX_ciox-jMaC?B4ZT*xsWoQojx{A^w&ub zflI#BbN^#&(G&sX2?kNeUq%UAd{&HrO;gW|C78h5rqK@g1)B^lh!I7g2ho19XEF&4 z7}2_l9jL=Dbe4!oVd=F${?+HDB4)d=1NBmW6fp=xbK!*t9P*M2VkoS8+liwxm^$Sj z?q!WZf_iR2#hAp?iNN*4H$%4XuV6PpGr3oU1?G}fU`ZffVxtt*nFD@`jeSN$6tLn; ztBHcVU&d=em>EHB_IFDi%xcDACjAdCzlBR57>|Y`Q{%`|CidS_kTRQXH7VQ_{xQ)P z5Q9$;cq&p95E%^Ql!nXkkmpx!WEM-*TnpDuW(ME~Y-6k0%wINFQ4PjOPe6h(J>CLX zxL|UeEH_vpG0J1}oe|%827|=pOARmxD@3UothD?fO@#p`YGE@v1a~(sw;ObTc=jhZ zJdLPUn?-3ascE>!C;F7}34}A`|DcNA>`X*PLv)V?oTvc8?;i<_0nfjX{Fbc919PE; zq0?SvKx_voZyubI=JIZ(%n}U79&Sl(mBj z*@P%|L`|TO8&5TvK=3?D#|dTwb|gu#Ws(=f@2ba9vz!qx29p-db0gi^8D8ToR8A1M zB?x4@;Nejm;ej8uO!Q?OBS>vzgzrz+VYdu4!sGuI^(L=%hm>6!59|^u3E_&&twPz? zA#PX`c$bMYl#-tbvz$>RI-S)34L3#;7u5S>G3`F%U!PyHg{4%;w(7UGo@&eQz=3|U z+dm%BPi49V4afkZJ-U5}yiWbz6J}HP1W#XbPzkvvL>@2!N#lLgrS}AnL8I{jJ%D9n zwozkWLLpKnARHzFqVbfxeKkxbp1Fk{7}Q;C1orgeOtIn;c`z%p9xncVWQ<&QKez`F z?2z6sDwEt|q8$wc0mj7gqsB%0sRiQe)7Yv!8LDj?C5*%V54P`%rC5Ax`BWmi5Huh^ zW)m`qA#0LX+=aDI0>N0&lIy|K=Fso}Ki!~9aDH~SE0LFclX>U141X~FFP7d50hDHh zzO)Tl5>9Vhr~uvz;nYhkJB4)^umHxo1PLCroq%T<1O(vZ&?8z@;w0}Qb}$X(Q?Pz9 z6}!Y@ObD3Ar-HD5N_x}CY9kYTGX`85gf!7{RDU5?pGvj(&JF_eOt#GsI{B2~2VDt! zy5QRdU3z4%A0hs^vm>ElYQL|N_32lMA$)p57w;E|nqLKxe~R3w*EYD?$N>oonb`WN zax)oKn-JWUJ)eOsfr6LY9Xl{B+lh@&R$UM3n;0Y(jib;Db0sT5n`ecD&!faRcW5HY zFmQW_+N=|{u>k8vHhGG^`}tT-w#DyHT!FbdL?vn-mz~P@|r|Ms1iq-0zQJc<;V{!(SngIWZ?{9 zCG%2jb)cYz4NsR4!UqP}sU#W>^^51di-HZH835+8Sh036$jU#w<|G|tXp8g~R zG@LCbZ0FS_|6*8I2f|FAi4S^5EgxF!Gg>uueg!$TF?Fg9x0BGSv+op_VLk zvEP9s9R8)*0h=Y}dSEs~7&=Ak`kskoe6Gkir z%GV^}c>a1229Rfdq^(Oe`|#Z%wYC{S!lfW`1N#qgU;!WG0fmb2W8V(rKm*w|qdiF1 zH1`>4xCKOU?7Th%;50o5aoNYpEhxv6G2L+`2xsac2v(YANbkUp9PIX?^$wx<&t+@J~xe!AvMQMAKCBHiR;6{F@~1F~z7 zL9Ar5Z6|m=BSK$MRt>70oRKCh0tpXyxDNTLkL^ngr$}_*laG?^`_gf`N3oPv9$TFb z)FN)#`sFU-9Ms~0@&tl|U@aHg9vS+tF?#}LIo z;(VQ04;*_uuZB<XsDV%`@ZkBrl;kEpt;wT75`X636rx7Uwt@j57qLMGMqEFH zWW>A$R#vm2(%=_$isNDJ5fX$nJYo|rW1#iH3-ld2ixC|t5VQxQXwH^rVFfUhzwy<( zg~}@`3~p?#T@C6dJav8ys+{>~fOO6jA`D%5S7j4;w@HKOVAQ2M$b!!T6{r>DAf&S$ zlKDfDeTf+48T`Nbq~M| zLwrIliHKq~;FOOAu*nXWW`6^KLrTa{T@}AQRMvJ`libiD@CH7GBMCbn#L@fj87w>h z*$hmvJ!MXUUrHc;v=0(pk}lFZVMB|TV8GoUVL@aK zvdEIis3nA?3e99#7-?SyJU+n)(s=?qmi+UEKat7o=9aNJ?8HxaJwY|R=c}hF4AC5cZZMgFV^Hu3&6L!|0s~)fgloa zZaQ^>!^Kfioh_VCiHlW-rvRqvH;jZr)B336;=(&V3;3&TLUAA@NyV2W?!sxRH>}$H zrClh+U8wZct8pe$=sAu>qD?3UwusUCh40qJ)sVq;WcO(Mj zjZz)L`nC%Q+8}~;Qk?8i?mzJzVHY2U@DVbP0Xc>uGw4jY%t*E<{F%QVJu)!`$fPHo zW=j%wd&H%?)IxW5v8_PUiThUgMzX-50O5=$iY1Mvuqe6VAT6gUOatrfKR+hA%E{|# zxMn6Zw`z*ErJ3>~j##h@3#NXtcYutO`)=^o*^5D|aZINc4dK8XlwtT{vDHBY61O{) zz~_dvz3q~tLTuWo!zkoDNeVJM;)NR^6@%mx*976sg5&bbz`|*^?!CfGBj7D&tBDMT;JAGD zrIj9%+eDxO`;k%c-;(3pj}%P zrxceo?lTK8tByk@hcbxdXN=#xEabq7V_z@yVp%ZO$RVxcp-!(V1EV_2%O+vaHImGU zddxsCIkMMq!hJ&LG4t>3=4jB%&hPM@w~4#u@GrY_COA5qkjG`n-!6F7@I#_MVx9^+|UmjnSU776A6wT>dWUwnp92zF54~^br@~%$Jiu zx}v7rK?y*Dj0^^8Gvcrlk-4#@;j>^hQlWO>N2|bnJg|U3o5ZC!bnk zsL#h_3*Uak4iNb+t4hBVck2)$%5vQT!94=wIgB+RXf1^` z-Rqc=4xboCRkN4(N&G-cz95~8KzI_YOuS2!{Czni zV@SmghzCVNex2@5J0M89F3jeCGW#5ufEEP+>uODN>&ho&d&< z&gjJ(A+--+7tp8tA&Ah)Q&*b$1_-3TCf=e`z;pwrV8vj395OfsCWDXLj~AOnVgc|| z;M!6?Y6vkFpB;v@`EOhPV$EElz%|EPVIPS}Xe$u^JjgW&djVT?8m6bjm-<|3AcqnC zZdg;WPRJ3fFqASd;-yfOpHsYG!i<0(8R6XH%AX~m_L1AKM**%%d=HhFfba(Ug7lif zNDhG+iQ^I#5N@xongfRYVYyf$sIdg{3l9RXJdtKDP_`&HsFow4Nx>aJf&RUArQPW- ziq?x&o1Lcs{ORC_H^`8Gmy$6;pEbd&oH=_gwD`Jk{55khdqS9RP)H<7k-egcfYNX} zjZO&e3FGVLY%hfgMP7>7{f>&4?CZjwb~7GtkCHxB)WPhC|2<58*~7eQYzWEjoQ}= zMb`r>WD;JdmO%tC5FStvuP6YgH-=%)5!cm)_8`nX`M?NCU4n|;%Pl0%ssKZ8MRL9| z96)h^h6GpYif}Xk*P7$S80l%=q;W9@XgMXc7RaPQadntcF^GOCqOm&6WGz?^p)GWT zuWcZHe8^u}odnUklK05X^GF#WX+BiUED77T3?1OsJ)+k!KPIf2RpWHHSTVWy53e0e zYoixgcbR<@Xvr7^I*Js27S=`0n5>TIw%VeX(Bsv@Wd%ZwBikn-YyLO7j25?$e8+me zR`2ye;}yyT*b^XxjoRHxPp`+iSvJtBL5<^=$Yh1={~fPE6#gHnX;BYF*hGnPtSn++ z??Kp6Io$eM2J-}qk{|>V>>+xBqq)S+!2Z$R08%0Uy-O?|nZN$4{t9!qOu1+cXcd7v zjerq7wquNlJodW;Y(6^DI1g6bjHfp_$qg z`C%09E4+G%#r;$$;14&Jm0IJJEui!YKNoOD>}{#574 zGUt$v56ak*vIby2 zOfuj4s1%p~L;#A)h{8L;^ z+l2-ANI}iWpt`XhKv*Qfemj>bMjcqyqhNmND4GJaZl3)XxV6wliiI82G|&R11bpFu zbiEUjyjJPQ>j-*HRW1B6gDwH&h_LD)MDICVdu+LRYDEe(C70E2q6sHn1LX-iPH_hH z_AgF#wc^RdIJJl?ki*M>Fok%}3)9;F;yilcOn^Z6u&!p9cZ)?UsKC~MN+CrBO58`@ zvI=z`s3n3v3m+$3%@eo=Y%GvpI_X5b-*{iEux{}q5Rx!htt9G(87ih5D1*OgSHSqP z(e)AwkUrM2n~|W@5G4t+W^UT!#pO*xNSg=BVuGumIb{oGk^G$qojV){>)z+ElvbnL zTSn>&1+vxC)f0x30(1YsjMOCCnIBZq$u$esimh$t9IwfP%wXUTF{dM^*)#BNq9u(CVQ*F{I4EzKaWW?Bm7QjYmaUN>;$gxqr_tk+;la&+>r) zyv#nv<=+={o`yl(Vcc9m3Y$2ek{8{8&OFsiup%J(k$&EQ<5CH6?T=~u}K^wn1BTfovP5SaEF8IZQc z5jAB2t}U@O=+w4pKpa3JqKm3j2+@1sqDp)>ITQ|b6)>BSwSZ0mnfxBXuRoC+-oE98 zu#QII!yJP@QTSR!UwcpzkQ;Jor552d!ChhXlKzr|84?u@Y82iLYX)rUQOxi#gx61_ zc}JMc^P+;9=?4v<=CS@`V37yTWR4iYh%)%a2ke821neteWc_zSC{QvF$1;zwgFf}Zy8jF1wrSYVeb`+96JU%XQp^_Q(~yjBc#{!w|(;u4LHUL&TETYcv2N;uI z8Ncf}j8m}5cJgt+xAYN=@hy|dT=p73evorKM7|QitN`HySu+i}2&_)+0erTEJs^JL z*+eEC1KL8h5`0<|%pLq+Ob_RbC(;;J*Y*N5hk}}^l^sucx{d(OiO*=t%D;Ks&|)Hb zcAU7hfLX4Hd3>ESC=V#wIPL{ROQPzxu9nXCJQ{mi2$_@vk-D7{^NktQ9Awg51HKq* zf_d(&L3#zb+S6w$6tl=p<|J5!f#PP6#xzy2p<;Crl8~m`EDZa`-Z|+5&?Dp)Kk!dt z6$nWftlTfQN-O0)Sn41sY-Z9P5SRJqSzn}meF>Hx1m{hB=g$TJ8ETURH_w6`3AG9< zksR|!0$adP+7kyJ)s;fxfQY0LtGp1^>D<$xh(^e9aUTDV`)ifMke|{g?K$B+qH#v6 z>8g(rR~Ak7DXg!aNi$S8Y^;tG$ui_&-Q%dzy~ormo&z{v$*ZrD8H0 zbzHkyU5N`g!Fhtt)76f5Q=yYjnY3$@H^GH?b>X?&sq3GVfPuSv=9cL+vCxntxEYBQ z1(TOfREA=yn4+)o>wqN?JHr=AAF)BwY#LDXg;OV4T6L1t<$s_8NqFH-kSEepu|5|{ zpz#(#nLOWLm~jMH;rL5ooA?SPe#Qe3G2COWhaO8M{y0c@d1Yj71WV-&2v~4pGejp{ z{1tv_mBiKPa^=nf%;Ay`5Q4rTRG$kJgtHD+bSV z?;I4#)>3OZ3-SVic%hGK1ti}I_k#n;EyD1>*!69o1kD9Sv43P50qzl{ukLk@!VA6Bp{kCN~W z*}nQYGe@q7>?jRqrm0YT(;pB1Mf`ga^lTgB6rTwjRH{JRmW2Nh5wPS$1#2+)f(a9n zRS+dMyn97ncKHctC?*Ld!95ES6a8QkioStIJ-y1^mhjivHXWeMgV^^aBjPpWx5740 zYIZ>dxxn_w`<@U?_&pqH5Wd|^d3AU4X&f-4`9x(Fc}ZxfvbsiOo@y*e{fsz}iFve8 zd)u>Fai?S>G}gI^eBbn3@}S~{|NoEwm&XIC-E_0c+32BxxXBeKRAS?zPP|Ibj~_3S zZl54L@*@6D65m|@rRRo*nE3DKJ7<^9PABDfC)@uR4nuPXmOX;e0jDo`>X#@%FJ^Bx zl)sjY&nXwVSaYQ0y?9sitt)pno-hi~RCu)KJ-V;mh-63GvgHH)kmiQ%?%Po8u8z`I z9S8zt6@|^N*PYbgj^23ZRsX(ej|qC0M!@dFd3$xo zi!4K#>+DOLV$SFYNH>M-RgmAK7kd?Y{w_|+oMC)A&)+lP1@<}TI4QwgiOvmrq)zU z4DRi$(d@V1<{7wmNqJ}GvC!L9g9dlz;+oy-(j;EUbKO;a3=7jUI;CeihP~=~=G<4` z(c#}YaJ*)?Xt4icuKwuk3C2aPdrKcjy0t1;!SR||#@%B$GlK_QO-HkImHt~N7Ej=> z!G)P)b{`5-;gA3qrOUynr3M;hsa5)Q{7%#Z^!$i5JZh;$CT-hWV5EgJTdRF#yX}uO zdS!J#cSlWxWMD?`{D`wvi6J*1R9AY~5~6|r{7&G!2w zPbzzPW{L#6y=Oj-Pp(Lpsx{a=ooSgeka}JrU8%$DneW$@{EVGv8pSr-ANG0!^-PRM zkLAj%ro<{w5|z*g2*+QiDFm6`H6ZrsXB-^WmHem>F_-x|{OKl3#HVSrM5-Tupjs`H zbM&NFAmvP!*;m0)Yhn2Qq<_1e&8J_OS`M0t^n4N~KMu4|ASx^CzxMo_`7+dHo$$D6 zm#t~(6$=MQEn@E36DXy1{MdEl&K#_5912tR8-8~yZTe=+nV&C2w1cyGLkC3I2Xs&t z3RtE2`J~rQ$;U#&9$Y=%))*cAIm1*8tFkUxS;xvWDSW$Ds(Bcsy_tSEnqw3#={0F- zx?AUnvGVr@)91eF$5seEKlbJss6-q;7+QBbY~NjvnTa2%Pe#){Llc)9PeRX^>ylr{ zz59D7?C%B(so{UB){YWm=QvM!ZI>vupHNWaHwDlJ|{QpTu1Rbka zyy#pO5B;Rdn8qBw+lh;_Z*61_k%a<%pS}K-!eIN17^j3`# z>-788sooMvK32DMYi(PV9_oMIQCjz_2IVArH-B2!halr;JDG7!tMmOEv9l`J!THwr z5nrz9?0UERKm#exa^}S|3C*Ri+JhG#96c6#N@yBz5a-l2y~X2BM8}1O?bZHN=e~RC z6SV!#U++mIHm-Q(hX*FpPg~xjIhY@PF?OW1pvm~DoJld-Bdy6^`q%F$+qFZV?Lyat z{S&s|{;0&hF#-zj*Zex6PBr>FWGHBFi3e6}#5gnDZ-@yY?Mvs?gxcT?=Ro z-5KU7>$Celfi#Qh_1HxGbwxOKL|ww|2!Xx}t08f{LDqTi(Xa2f;rdUe-P=a+>7F>C zwaXxSEZ|11*7j(;=j&5p@%K)0Extvvi?`_w%)EL%z5l^t$VQW2>5W8@^amEf%B{(# z_JZC0aC#${;h^l0ZyF4j*TgBWsgvGaQ}w$V8fN)P-E2k{3s2Ft zCNh6Rb#thj%(+%#UY~P3&3Q_VbDbfmYkAJ4W(7l@m6OzSKJy5To!SND!mV5`WE0EJ z(aXMPF-j`1_bBx8eC@~Hhg-gLFh0n>lXVRV%zLS32{r2&IWE2O&^5NaoHeebW(p&U zegi!;qWu(&+$+PZ{X0Gm_TtRtSw6zUD-K~aMoH?kk@?%ImEU-zPnOFb^3gT&@LUTw z$b(ytpZKP_cv5!nT1hn0!^089;M3RIe=NB^R_+T5-Yv%~o;v5A>uuFL{Ty6DFiQOz zb9Q-UJQtJjmG9AFm;Dyt)4*ES7PRu*n#boq*y5c2Ubq}$bQv{Y<2#a3=(3j6)YkW? zmEXVmG3KzQ5Ht?cRMs7J?xoGab1i9NGk9p%V?!A2YMg}i$GV=I7tp>_(+Lggl zunCw8o6 z^S5%?{O{`~zSRuh66RHn`7P#EjJaFGN}R!;#uju&Ris|D@?Pt(%bMDf&vMB(BA@S)uV`P<-_QF0(Deu6D{sIaC3oG}QxN%d z?H5d(rg3}X1Ldrv6B>O3R_^kiN4uvSKgXmC+T9-7u5i0NxR>DceVJqRFO*lX+pXFd z6n*uc{fRh~^NH4del)=)&N9K}doK*`qI?c6oYaqHT!4@F;6*c@aUPAndzI9^Ya-D(xHymc zaQL(E8$I|*@Mqv-J$R?l2L#3FV#?7u`m%5WK36#3nw;-turj!U`*b{c9v{9);&9>x zUgq(Tm_s zC<-1FM6f7$5xf;aJcuAv3Lb>wMMO(M1QDTv3ijm1izh+ffAX=3O`!vCCOh-{znOXO zO%l{aV?-2NT^GPI=}|lDkxcd^M*Hxn$wT9txi9Pl=jIh zOUs<=YhOCTTn0|*L<><<6^=KDuv-U5dZ~wTXa3Ju$dC?rtU8Ny8z&$26O)5|ypF@# zIIxmgowoAnEzxM9SIiZ=*1zyT<7}m9q})6ro^|a&YDaihQRk%ebT$Q3GG6XD? y?XQ!e4B>j4-RklZ`bg~5$Cg}$J|c9kiOVy;@EEs2;o%(R8pf3Qcm4+e0RR7$|D$C9 diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb index 91bbe104745429a84af8ec3fe7aa1f8ec8927ccf..42a925deb75e79279ed0abcc68dbb04916b2713e 100755 GIT binary patch delta 12 TcmdnbxSw%C2h)SZiCv}uA+7~1 delta 12 TcmdnbxSw%C2h)UviCv}uAQ}YQ diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index 82e64e5c2cb8471dd17aca58b7b9872e14ee9c64..ce5a0ae81c0834b9b24406275c7c29f115b0bcaf 100755 GIT binary patch delta 705 zcmV;y0zUnx2DAo{TYm-v00000001li00000001-t00000004La+*ey}6fqF&-9(7w zi!aXOy#pbK2!~iC&H#rXfZzlZI1b-ILIMU?wO^|B?5sZ`K`f2ec6V1l-SW=!JpVGY z7x6Q@w7_4pDg9#qG=7`N7ddC!J|hL^W@qFF{T25=X0vmyT7SHr{CDDQEaP2KYE$qg z?yc-q|C;=$J-5{N^JM!inx6f~O6?;VgcPsGw%2%FF`rNV8Gi#}AL|nX^>;`6YW-?H z0vO4E-sPW2k5kI$j(Bsq4@%#YzO}t=_Yi%J$$l69Ovh=@z6k`31$}`;_~-V175`c~ zSl^TWr|5rsT6xsVn*17n^*8l*D#z5fPyN&VHRW;Y^0&F(QvR#Hem+}y`1dW> zbCM6l_j<>&=uh>rC%xXUHskG&{Qdetra2Dq#h(rx_Nsox z%kPt1Po=&Sp4vOPHH-0AyrM7FYr$Kn-yQKi%CP?n<9}b?FD)Ke^Lq?y@P8J5x91XM z(mx1Y^M9%MWS=AQL3|zWli+22ff~(JU$*yD`bWX{egc0y|BA2n>t6kB^8u3`wXVGW zFD&3F4t;;By!Yn|@**F1T=|5(`lIsK^tZmZ*4|^WFyg57lHV_Z=ZEj3WBjz2`s;hj zahCrN==)IlwV#dBHQuUk)St}nc9^yC>iOUDgEy}Hr`iX74 delta 693 zcmV;m0!sa~2B!v)TYvci00000001li00000001Wg00000004La)K_h86EP5-Bo%`G z;uq&}g~SOcy+p+s!Xbb|v~Y$k*WouvNYL%P8GCHKdh4u$BCwQX?KkhuhsW-Ap6B_u zh`iO0$hjo@6}h(GpR>rBJ+=6AUD+s4nX_zP8lLQXXMEsK@qb~;{O_i>k)n5LdYeVP zOS69#_9OnC+1Gg0laCz#|31I9Y<|Ulaee;v{HDAeW&ba1zvk#K#`lJAjfH;W{bl>Z z_0FWH$Ms9*c_(|>?(rD7KCj{9e8K)3^=HyGk)3}0{M{ISAN6=;c%5_qkMM|hq5p%w z^Ygt{|DDALFMpmN$3ODFmOq(4{mS`#vU*{$U%v?uMz$aI$6CCNA8t7RD=b>q^y|~| z(I3pOxc6Jsb0Ocr7xuf22a(;De#`q`{T&wX2Z+d@=-1b#r{_8ACBtifM|`^!U?|fb~{2tE!c;4zmD)5{S$1}kQV}GIlg8wML{{3>}Vxjk$`akq? zJkd{iKid6A{%!psUHR@m_zV3s>X*>V{MJAGvHn^;wvT?!{w6rV$a6m59A9}KDfb!c zF&_L}Z~sf>E_?m;#vrdc@GrK1KjQCs<@aXna%?HT8K@cg3{;Dsc()UotF3k>y( z`z_Dk1CaXu%>KP!>i$*S^|*ec_qk;L{QVn`eBZP<;^ll;UmH(H?Z bH`|IgXyInVRvIiKhI;`jT#d?F$uA|h)~eO8^TuZ;p5 z4YmQ;7_hNm8-k4k8xOV-*v4QJz$SuC0^0;^GT0QbO~IyuO#_<_wi(zAu$f@9z@7v) z8*C2PT(Egyn}cluHXm#O*p^@m!4`pS1-2M$Yp^9?OTm_bEeG2MY+JDHz*c~*1lt~L z71)!(o&xq%upPjj2KIEYXMpVp_Dry6fvpDH32bMuXM^nm_8hQX!JZ5DJh113?FRM& zuor^82<*jRyMyfkwkOz2z+MXWGO(9}y#j16u)V?d0edCbzF@Bcdo|d8VEco;2J8T^ z*Mhwc>_D*BgB=9+2C##{-UxOG*r8yDfgKL^Ca@#G-VAmm*jvEf3idXzw}ZU{>?p8z zg1rmu-C#$9y$9^QV8?(R3w9jX`@r50c0AY#U?+l|1oi>2lfga+_93tjgPj6)D%fdY z9|8L)*vG&=4)zJK)4|RFI}_}aV4ngz3+!yLPlJ61>>RLj!OjCaAMCSWp98x9?DJq> z0Q(}?m%zRZwg&7%u&;o973?Cgi@`1dyA>98ifn5uB9oUb-t_QmT>_)JkfZYUkGuSO)KLxuL>}Oy< z2m1xsZD79y`xV&jV0VE18thK6yTI-S`wiG{!R`V39oX-|{s49_*dM{}1N#%${a_D( zJqY#?*q_1v0`^z1hr#{^_II#Hz#awr2iQNs9s_$E>|bF22Kx`#f5H9-_5|3vPp`GR zUMn)dF03i74tg9FV1r!UT{PGRU}M0>f^7&k4s1NwMqnEU*s#7O1lX{?B?j2A+@t^- zmfIx2hUF#)*s$CbuuZ|Hf=vUP4z?NC46vDCv%qG9%>kPWHVf^7%30&FGN_F${P{to~>jg|la literal 13200 zcmb8t_xBG}9LMp`*WO#Uuf1mysg#yVrKK&j?9Pd_REV^fHd@+CdzZ$sqNp@TLkn3) zsnFsdcsR%F{`ff0bI%>`LSgq;(e9^(57XqWv}J>~T+o&e+6qBiF=#6V zZRMb?60}u=wp!3u584_*TQg{D1#Ru1-6Lr01Z~}*trxWQgSJ7?HVoQELEAWJi-WdF z&^8U)W2d9vQU#g7&DOJ=$$=@iD?(SpQwP_*h|~z%v}@mU=wZc!HUFBGov+Og)KeJlRY= zg=#$2Og)WiJl#w^gK8XTrk+VP4l+{*Q;kE+)U&9@v(40VsK#^6)bpsup=RnZs&TlP zI)Z8(X{L^%8b_O{=TnUrn5ko^#<6DVg;e7>GxZ{>@nSRe5~}f1Gxaj6alDy&In{WD znR+GFIKfPvNHtC}Q?H^LC!48LsK%?!)TvbCHD>CyRO5AK>h)CP4QA?%RO3x%>djQ+ zEoSPiRO4-C>NKkHb~E)3s_{-U^)9OMZZq{Bs_|Ykbvo5J!%Uq?HQr~Y-cL0?V5UAu zH9lmf&Y~J0Hd7y=8Xq-NAEO!{H&dUW8lN;%pQ0L{HdCLW8lN>&XH$*OnW@iHjW3v~ zFH(&!nW-;Rjjx!guTqV#nW?W+jc=H#Z&HnKnW=A6jqjMLbEw95&D8g(#`n$C52(hu zX6ihualV)sUK5~OU%@zRO2UR>ZerWXJ+a$s_}C(^$V); zOEdK=s&ToQx`Jx_+Du(ZHGX5JeoHleXQqBnHLfyKf1nzFG*f?~8hNcuzyP5ho z)%cH@`Y+Y^pPBkU)wsiKEiy}sg0@7^mJHfbL0dX#%LHxNpe+})<%70@+ur)Oif)w_ zDg|xjpsfW4F9p zv0L7)NzgV8+Gau9JZM|EuG0001Zob6p*PaDY+ecxX(^84bc@wY@GkwA8R1NmU0n}=v+8E|$j zz;JC7ZKT!y_B&&PnSkljr)KD$mhzVPqug`4PFHo+>1Ox*dO12fiDu)=$&1Es$Nl5I zqn*p~_2}fJ5#{fFo6Hx}tJ#Z&>_{W}-_3Zwyjk48`f>e2G#o~)rs z@s32VZl)J!QPN7HxZ9MZnZ(kH(#ibW^mGz^7@wX;5~cr%K2J`fgR|)}`)1?iFHy96 zKKVYnzM9UKi_v1TjF#W8v+>O*Unld)>~zwI{+dqa**n)S8qL)gieHJQXW4ign=k!2 zT`VW_+mB@*%pdmUVfrQhW4F2b_xk(Ije)HO6JYQ|`b8*%Ensl(>}>u;dX&vJuzCFY z?Ez!2V>QMOHO4Nmx&QI#cg9}NW{d-Bh<#x4)p&N!*c$+Q!}rJUj}G23CM8Bwy}$pZ zOFgw%aHh{$M5OtAyid|l5N$FZCY(h?nw0~QP$J^w4^EmyyqTq+DQ=4uaoXG<5p&iH z201~9KItB7GC}OgOp+E6c~(!JkTD1GCnq_#`X-1!8OceDh(B*$zkN@6W`fw0jgec> z6GWavcGXA_d$M(?#aXoB&Tw{01;+$&CtrxT9HWJ}Gy8lnrwrOqCwuTC$|$1FijU-z z+oWvSKo2RSh(4KX3b$;utkO1)Uf`P_&`v*Uww9#bYQ!Vs6kwGoLvu)ESW zV$hHcY;8oKAw5Qm{EcHy2QlZ6-Hdk-ZPHhJtVu+hEHApcSyCnuZDz0cQp%teYbc9| zH2Gpd0*P3Y=l`5bcC7Y39C12!dmnD??bw7J&SV#nCpXDGde zQTl1`)2Q+C-J9L!=7Srf&8=49_+epupDjf8>}umA&WNfx`$?0Ct9ghg^N^lZs7pvH z*p&IWGQQOYi?j(7#XT}E^$}l>-ls#ZfY!Gt@^N0&x2aN)CJ})$B+0goU5$e4Ve}Dy z^64S@(?|SC_sI#j3wPXZbznsw4$Xm0yyF--faQtztBTL@KDChrTjyXPWkm} zemOa_@=CiLo#Y@|Cl$$%Kq-r6CnZqLLRJ(6idpDdsX#G{LM8&`EPPK*pqz#7TL_f1 zXqsOFH7$9D^>6kb)d&(OYvGkqHlmi+AN)|EvW4FI36!?*1!J*Nwve6=r7enGAq=%SKzS&3a}N42RS#R^hE$qQel6{vd2dwGhX!el;AycKp~ z>oNU&pfGEZ)b}*QwJ0uiGOA3_E&=P-v+L_e}48>3pt=O|$0_8Ibm5)FL4KGL#sG-qx zmy6u8ieSb+i;{-hn0x2#WcDP|sv zTwW_S({?%+QcxgJ=%P5DA$D39GV#S?7gDDnQ0$^P3Jc{fPdDJOZ5XFk%~9UBp!|gw zdI}W4Jl({@wk`HmWE)?g4(9289kva(SFd#YD?3>Xsq+>nj9ICn6r;6LgR&C`#WA!_ zM4&iEbAVQ$LPjA06sVH9l~gKb%Su?Su#1SsYYYWyX803v3DnHcs{aHEW@z<$0tGYt z*(RmzVa+x+~I1>FjLs_lrhccysmkdKJ2RzU%qb z-`^WAv!PxWj5P18Y<{@8{^jihDN1bUp%i>rHJIJzHmsf-!2ABTe*_D4rhpI}l$-Wy z5gdew;QcC90|f7tDFGpPU!t!8g7@(;AOu@=S_k{(rqd6Cy*jOf?GRa9CldF|t;wDM z?9>Rsl2Of5I#?qgEbOyGLa*KX0Q(^Zl1`mmuot2WmR!3lPG&+3#jSFKt~ii{h+wBqp}1RaQT7yy>$ItB2U(e^ z(WXvHmdib{xK7zjFT^cLw@xhXmm7>91pDR6>J=jxq7O=)5G+|~^Ry1uDIaW=^ue{V za5+A?nB3a=Hk~hT#utrfc5^v8y}DTB0)x%BH@FpkBm2)nN3IiQQ)5x$>8`dCFElL0)2h#D(X za;?OwaH~>zLS0;4o!?wHqOXg~QLZ<8(HN#{cC9|USp9jh{J$Fyyro@h{c-{C%^St# z{2uaX{o{8(vJ=O#c`5%kzPKq)S-jg^z4X*a1b-xX{1N*w;A%WiMUZW<$`)8bgnXXI zkeb2zcsvZO$s<^W_11?2@a?V7H68}m))rVvZ>{Drs`J+88V>_&`!TFiy|QK++c6^bQ=C?I_Wd^pj0Z7(&i?ZT6#wM!YfE&FiQ+%> zsyB3wGhhEmNfG|{GRHe)?^%MVtG`lFTXUszME_;nb{HCe^)RbL zsuxBXS1nwvFiM3{A8Gs7IjXx8j`tn@*{2SajKl#*mi#pB{yzh`jeY)H+Qr>|wUY=hcpp1WB#F0yd(U?;Q=n6w$7$wi1 z{|sFnQye4Zzm%Z9Rm|~%?th&!{!yd)Af?thR2cih@G12*H5IZ?U7q^c!YrHlc%OWA z6ZPlhmkzxC!-@JrLD%(G@0-puG0001Zob6rPPUFZHeV?ze@;=1dxl4e=B|VeV(9m(~@X#7X0n#%O z5OQ*9O-rNs_G2fI7-F(&?YOIKi8qLy?eea@YTs5>_V32C-tDzF>OTw)O22iR-J|op zhyJ*CeO>b6Yu^Wx>F{xMP?9|1* z9nXjNw_Z>QJilI+pd9#8@xsC6`|xJqUG{J8Jn_Q+cvpjKuYEh5Mc*tP{^EK2cY`0j z@#AnboA#!InK%0}j>b0`d>c##qnkm=`)fFuL|4WKrSjqn$**|B+i1L{)tCMpPG^J3 z({0g>@yi~bgg;x}|#Rxc6ZjcB$%LRj+AOxTA zh!q(i^h6>_i3mK4HxEdkgYXlBoLhYZgr5lHq(p?D4<{clD9a2GdZICM3wnUSbH=V3 z0YXo-4plgbCfMnWZYbv%Ane2o5f@`r5Ozja?Fl8&1Uk`+pHo5+bQX9d-`pf*iw1f| z2}SUUOjEdJqhb`caVW1K1T9x~G6Y5-iq|&|mlcGfD8mWIgPX8@#u=#zkT{iA5s;QH zgIh(a2u!g`Ij3GV@j_&tf{+yXU-G>Xj7HsdIPocw25yLp;i?EkmuyyAMF{G!j;)FS z)S<^{5WjKAsUhT?vB`K1!6rODVnrg@L~+sMd`5{xuo;~kg_J-e)KC%;XyV0!R3t)8 z9RG7BSu@IgIN;RGavyH(t(mwTPGlW{Cl<-Qdfmk7aO-&;p(lbk=X2@?K8LfSx>4nT zlxb2q;P6v7NPb8YLhy-HNlHZE`EWulY;}a2B^bFZvSCm)AS2+0LCuY~ICNra_U9v!bR+m4XzB02FDGY}=UCD7YR*6X7SG9uhxIgrD$~ zoNzOD$7QQ6BlvLIY?=5w4v{Tbp14?4e7dJpBGZD6rr$gMB%nkh5S@NLr)q~S1ffxv z%LiKsLEZLmT%WRKlxdOaTMOZ5)aAm(7Q)YBvW08Vnw9s+UYL&n)L}~>;v)dXwGXmO z>l-9nWdGAg=!rybU5pa{E?6at&t87?^nlrOs% zQH>yhv=*KjWkPDH{J{?uGF#}>Paw60FBppvvxT&LNNrKH`~|XG;t*RgO=i_2Rs%46 zr#rO*i7qNiml4^8HdK=elCJ<2q`dG|T7j&WxR<9GDh%f1z*`{~wjRT)wnD5yP~XrD z*Pysm)5tX`(2@uy1=3{rTChN(jAn0*K(35p$AuYLhTJFs*)qDSy+FbY-(V2Pn9*=w1(IeIHD}10 zi8p}pOA4gU&=h}x+?mA=48>3ptk}I<0_igfmXAON4NpiA$f416DHZBoqiF zx+u11h#A*~416)!h2$v+B)e#~!a};s+YLA@8~Uk5bCkC&NPpppo&pIlZ#VI|QF%-y|;djIZkTXNG{sTyuq1o>NB+T%8e<5Fn-$4P^M3od) z%FEkU55F6yp6l~`{ppU_^?Sq7?cnc&lApM^xcubipI>l1dHnlF=`b4WI3cC{EN1oO z^77H?BS~^>__3^8rK-W~m(!H>vo*M`L*_t(l_F|z?Fe(A!F5%!KpJf13B~o@2IEG9 z_1%Dztp-~~MDdzElmiV`c3V;$*tEjwp5%NVPGB_tW^8#?`l1dP_Vbx@rC}N(@xik6&I}KYZi+`-%78CiB-VLN`S0mlN-` z430OABGpcim291t=UG|vrBWv4^_0At5NI1lBS;hi$syR)RMWkt*4(CCYpT9&)v=T2osSHaqC^wF`jd6@6PsXUC>m+R3u4scq?l z9Zdz=$+EnnySFJR2Ks8w*C|n(wOviEcj35;Jd0sd73YzsY)!fIqp7<46}_r8Ys=`< zrV`m{s;&)wE&D!gBA+4picw2YrxF=zsvzidpZBTD8J1&o_r6cPR9e=Q`*q4MNaX2U zQPpC&zKZ)Gp;nCYRMUOhr|x-J4ibF#wFI?Ov8$@8drdWp0lzBa`%+{|s-ZHNP7xZU z4OH8g$k0hMR%NU!Chj)WRpOj7*7!D?>i3V2ck^+{`!;>(#SG4aQYTz?*cbOs7eBZc z|GTolr`CIA`Ef$us|!yLex-76`S9n5?D_tB8dAIW-~0FT&z?6_6r+&TB}{)VetyMyo{vgjsq-z18@WOQ!eE)8{W6GUGNd-Y|fIH|aAI@gO)P7d7TM4c>K)|C4) z4ORberz!Qqmz}0s#b^*V+}l)9$j0t_6hSeHq%HSNXEm4NJ@VNZCppLvim~=OXB;Gs zPRO;Q)C8^!gXv1nE>#o!MC~E7;29|tOG5Y8^z@9qnd3WZ!rku`Uk~rNd*Dy)^ zzMMN|PBGkh)qR9mDTtSHpPe^~LA6vDJG0YNP>jAr&Ap}?1&Mr|hdzOt!)V+2Igb+( zhu7v>RqFLLJ58zmujMkL`-Muq$<}h1;5_XQ^b|_FOS*gde4`kgaPuVOHn4sQ=o1LZ#(i%{w64_~L>sg=q(Udwp%}!J5#<1le!Fgj&)J;^& z>y-1rQ`A|4<;I+QO{uqzS`HH2`@U8|q6FuGr>M`tu^c71*OZ#(YI&VwE8u?;0YypMCXFiI!Uft`!wfTgF}XP@Jc-fqDp*Rh0ux6(jK3`ok5L+<7rH zr9MhHJ58zgR$8{H9B8T-Nx%C{wpk2CwcJR%T0Or z`MLU}63Z)!`xHTaR)S^E>pt*R^8###bMB3*QjlJ=b46|aVf6ecN`3Y~cA8RWzP8cl zexXwTDyikJy!)*X>U_?!^K%bBburVjO}W=pqZna=`gen~)08@&ww%tmU(waSWnei> maBoxUy-=3hjqYtK$Zkb{{mFEBYy72I@Amrem;VDwNpeoIVF-r+ diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt index f10fb9f..a62ea71 100755 --- a/cpld/output_files/GR8RAM.asm.rpt +++ b/cpld/output_files/GR8RAM.asm.rpt @@ -1,6 +1,6 @@ Assembler report for GR8RAM -Sun Apr 18 05:55:52 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:18 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -10,7 +10,7 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 2. Assembler Summary 3. Assembler Settings 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof + 5. Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof 6. Assembler Messages @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Apr 18 05:55:52 2021 ; +; Assembler Status ; Successful - Sun Apr 18 06:27:18 2021 ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; @@ -75,40 +75,40 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+-----------+---------------+ -+-------------------------------------------------------------------+ -; Assembler Generated Files ; -+-------------------------------------------------------------------+ -; File Name ; -+-------------------------------------------------------------------+ -; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+-------------------------------------------------------------------+ ++----------------------------------------------+ +; Assembler Generated Files ; ++----------------------------------------------+ +; File Name ; ++----------------------------------------------+ +; Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; ++----------------------------------------------+ -+---------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------+----------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+----------------------------------------------------------------------------+ -; Device ; EPM240T100C5 ; -; JTAG usercode ; 0x00164957 ; -; Checksum ; 0x00164C57 ; -+----------------+----------------------------------------------------------------------------+ ++------------------------------------------------------------------------+ +; Assembler Device Options: Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pof ; ++----------------+-------------------------------------------------------+ +; Option ; Setting ; ++----------------+-------------------------------------------------------+ +; Device ; EPM240T100C5 ; +; JTAG usercode ; 0x00164904 ; +; Checksum ; 0x00164E04 ; ++----------------+-------------------------------------------------------+ +--------------------+ ; Assembler Messages ; +--------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler +Info: Running Quartus II 32-bit Assembler Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 05:55:52 2021 + Info: Processing started: Sun Apr 18 06:27:16 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 381 megabytes - Info: Processing ended: Sun Apr 18 05:55:52 2021 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 +Info: Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 293 megabytes + Info: Processing ended: Sun Apr 18 06:27:18 2021 + Info: Elapsed time: 00:00:02 + Info: Total CPU time (on all processors): 00:00:02 diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf index 1622d9c..c77993e 100644 --- a/cpld/output_files/GR8RAM.cdf +++ b/cpld/output_files/GR8RAM.cdf @@ -1,10 +1,10 @@ -/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ +/* Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); P ActionCode(Cfg) - Device PartName(EPM240T100) Path("C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(3) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); + Device PartName(EPM240T100) Path("Z:/Repos/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(3) SEC_Device(EPM240T100) Child_OpMask(2 3 3)); ChainEnd; diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done index 3e3e291..3476d7f 100755 --- a/cpld/output_files/GR8RAM.done +++ b/cpld/output_files/GR8RAM.done @@ -1 +1 @@ -Sun Apr 18 05:55:55 2021 +Sun Apr 18 06:27:25 2021 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt index cf490b5..f0cf313 100755 --- a/cpld/output_files/GR8RAM.fit.rpt +++ b/cpld/output_files/GR8RAM.fit.rpt @@ -1,6 +1,6 @@ Fitter report for GR8RAM -Sun Apr 18 05:55:51 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:13 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -30,8 +30,10 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 22. LAB Signals Sourced Out 23. LAB Distinct Inputs 24. Fitter Device Options - 25. Fitter Messages - 26. Fitter Suppressed Messages + 25. Estimated Delay Added for Hold Timing Summary + 26. Estimated Delay Added for Hold Timing Details + 27. Fitter Messages + 28. Fitter Suppressed Messages @@ -57,14 +59,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Sun Apr 18 05:55:51 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Fitter Status ; Successful - Sun Apr 18 06:27:13 2021 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 220 / 240 ( 92 % ) ; +; Total logic elements ; 222 / 240 ( 93 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -120,21 +122,27 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------------------+--------------------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.20 ; +; Maximum used ; 2 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 20.0% ; ++----------------------------+-------------+ +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pin. +The pin-out file can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.pin. +------------------------------------------------------------------+ @@ -142,20 +150,20 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu +---------------------------------------------+--------------------+ ; Resource ; Usage ; +---------------------------------------------+--------------------+ -; Total logic elements ; 220 / 240 ( 92 % ) ; -; -- Combinational with no register ; 117 ; +; Total logic elements ; 222 / 240 ( 93 % ) ; +; -- Combinational with no register ; 119 ; ; -- Register only ; 1 ; ; -- Combinational with a register ; 102 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 114 ; -; -- 3 input functions ; 46 ; -; -- 2 input functions ; 59 ; +; -- 4 input functions ; 122 ; +; -- 3 input functions ; 37 ; +; -- 2 input functions ; 62 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 187 ; +; -- normal mode ; 189 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 3 ; ; -- register cascade mode ; 0 ; @@ -173,12 +181,12 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; UFM blocks ; 0 / 1 ( 0 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 44% / 49% / 37% ; -; Peak interconnect usage (total/H/V) ; 44% / 49% / 37% ; +; Average interconnect usage (total/H/V) ; 41% / 46% / 36% ; +; Peak interconnect usage (total/H/V) ; 41% / 46% / 36% ; ; Maximum fan-out ; 100 ; -; Highest non-global fan-out ; 55 ; -; Total fan-out ; 1024 ; -; Average fan-out ; 3.41 ; +; Highest non-global fan-out ; 48 ; +; Total fan-out ; 1035 ; +; Average fan-out ; 3.43 ; +---------------------------------------------+--------------------+ @@ -194,14 +202,14 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; PHI0 ; 41 ; 1 ; 5 ; 0 ; 1 ; 5 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[0] ; 100 ; 2 ; 2 ; 5 ; 2 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[10] ; 14 ; 1 ; 1 ; 2 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[11] ; 34 ; 1 ; 3 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[12] ; 35 ; 1 ; 3 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[13] ; 36 ; 1 ; 4 ; 0 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[14] ; 37 ; 1 ; 4 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[15] ; 38 ; 1 ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[1] ; 98 ; 2 ; 2 ; 5 ; 0 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; -; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[2] ; 97 ; 2 ; 3 ; 5 ; 3 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; +; RA[3] ; 4 ; 1 ; 1 ; 4 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[4] ; 1 ; 2 ; 2 ; 5 ; 3 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[5] ; 2 ; 1 ; 1 ; 4 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; ; RA[6] ; 3 ; 1 ; 1 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; @@ -247,7 +255,7 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; SA[9] ; 72 ; 2 ; 8 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[0] ; 69 ; 2 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; SBA[1] ; 71 ; 2 ; 8 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +; nCAS ; 61 ; 2 ; 8 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nDMAout ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nFCS ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; yes ; no ; On ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nINHout ; 27 ; 1 ; 2 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; @@ -257,7 +265,7 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu ; nRCS ; 67 ; 2 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRDYout ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; nRESout ; 30 ; 1 ; 3 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; +; nSWE ; 58 ; 2 ; 8 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; +---------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -268,19 +276,19 @@ The pin-out file can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/outpu +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; MOSI ; 15 ; 1 ; 1 ; 2 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; yes ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; MOSIOE ; - ; ; RD[0] ; 86 ; 2 ; 5 ; 5 ; 1 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; comb~1 ; - ; -; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; comb~1 ; - ; +; RD[1] ; 87 ; 2 ; 5 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; +; RD[2] ; 88 ; 2 ; 5 ; 5 ; 3 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[3] ; 89 ; 2 ; 4 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[4] ; 90 ; 2 ; 4 ; 5 ; 1 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[6] ; 92 ; 2 ; 3 ; 5 ; 0 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; ; RD[7] ; 99 ; 2 ; 2 ; 5 ; 1 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; comb~1 ; - ; -; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[0] ; 50 ; 1 ; 7 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[1] ; 47 ; 1 ; 6 ; 0 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[2] ; 56 ; 2 ; 8 ; 1 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[3] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[4] ; 51 ; 1 ; 7 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; -; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +; SD[5] ; 52 ; 2 ; 8 ; 1 ; 4 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; SDOE ; - ; ; SD[6] ; 53 ; 2 ; 8 ; 1 ; 3 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; ; SD[7] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; SDOE ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -426,7 +434,7 @@ Note: User assignments will override these defaults. The user specified values a +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 220 (220) ; 103 ; 0 ; 80 ; 0 ; 117 (117) ; 1 (1) ; 102 (102) ; 37 (37) ; 5 (5) ; |GR8RAM ; work ; +; |GR8RAM ; 222 (222) ; 103 ; 0 ; 80 ; 0 ; 119 (119) ; 1 (1) ; 102 (102) ; 37 (37) ; 5 (5) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -525,18 +533,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ ; C25M ; PIN_64 ; 100 ; Clock ; yes ; Global Clock ; GCLK3 ; -; Decoder1~0 ; LC_X5_Y4_N7 ; 8 ; Clock enable ; no ; -- ; -- ; -; Equal0~0 ; LC_X7_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; -; FCKOE ; LC_X2_Y3_N6 ; 2 ; Output enable ; no ; -- ; -- ; -; MOSIOE ; LC_X7_Y2_N6 ; 1 ; Output enable ; no ; -- ; -- ; +; Decoder1~0 ; LC_X4_Y1_N2 ; 8 ; Clock enable ; no ; -- ; -- ; +; Equal0~0 ; LC_X5_Y2_N8 ; 19 ; Clock enable ; no ; -- ; -- ; +; FCKOE ; LC_X2_Y4_N8 ; 2 ; Output enable ; no ; -- ; -- ; +; MOSIOE ; LC_X2_Y4_N9 ; 1 ; Output enable ; no ; -- ; -- ; ; PHI0 ; PIN_41 ; 5 ; Clock ; yes ; Global Clock ; GCLK1 ; -; PS[0] ; LC_X3_Y3_N9 ; 54 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -; PS[2] ; LC_X3_Y3_N5 ; 26 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; SDOE ; LC_X6_Y2_N2 ; 8 ; Output enable ; no ; -- ; -- ; -; always6~4 ; LC_X3_Y1_N9 ; 8 ; Sync. load ; no ; -- ; -- ; -; always6~5 ; LC_X3_Y1_N3 ; 9 ; Sync. load ; no ; -- ; -- ; -; always6~6 ; LC_X3_Y1_N7 ; 9 ; Sync. load ; no ; -- ; -- ; -; comb~1 ; LC_X2_Y3_N7 ; 9 ; Output enable ; no ; -- ; -- ; +; PS[0] ; LC_X6_Y2_N8 ; 47 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; +; PS[2] ; LC_X6_Y2_N6 ; 27 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; SDOE ; LC_X5_Y4_N6 ; 8 ; Output enable ; no ; -- ; -- ; +; always6~2 ; LC_X5_Y4_N7 ; 8 ; Sync. load ; no ; -- ; -- ; +; always6~3 ; LC_X4_Y4_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; always6~4 ; LC_X5_Y4_N9 ; 9 ; Sync. load ; no ; -- ; -- ; +; comb~1 ; LC_X5_Y2_N3 ; 9 ; Output enable ; no ; -- ; -- ; ; nRESr ; LC_X2_Y3_N3 ; 30 ; Async. clear ; yes ; Global Clock ; GCLK2 ; +------------+-------------+---------+---------------------------------------+--------+----------------------+------------------+ @@ -557,41 +565,43 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------+-----------+ ; Name ; Fan-Out ; +---------------------+-----------+ -; PS[0] ; 55 ; +; PS[0] ; 48 ; ; PS[1] ; 32 ; -; PS[2] ; 26 ; -; PS[3] ; 26 ; -; IS.state_bit_0 ; 20 ; +; PS[3] ; 29 ; +; PS[2] ; 27 ; +; IS.state_bit_0 ; 21 ; ; Equal0~0 ; 19 ; -; IS.110~0 ; 17 ; -; IS.state_bit_1 ; 17 ; +; RAMSpecSELr ; 19 ; +; IS.state_bit_1 ; 18 ; +; IS.110~0 ; 16 ; ; LS[0] ; 13 ; -; RDD[1]~0 ; 12 ; +; RDD[1]~18 ; 12 ; ; AddrMSpecSEL ; 12 ; -; RAMSpecSELr ; 10 ; -; always6~6 ; 9 ; -; always6~5 ; 9 ; -; Mux15~0 ; 9 ; +; always6~4 ; 9 ; +; always6~3 ; 9 ; ; comb~1 ; 9 ; ; RA[0] ; 8 ; ; Decoder1~0 ; 8 ; ; SDOE ; 8 ; -; always6~4 ; 8 ; +; always6~2 ; 8 ; ; IS.state_bit_2 ; 8 ; +; SA[2]~8 ; 8 ; ; LS[2] ; 8 ; ; RA[1] ; 7 ; -; SA[8]~15 ; 7 ; -; SA[8]~10 ; 7 ; -; Equal16~0 ; 7 ; ; RD[7]~7 ; 6 ; -; SA[8]~16 ; 6 ; +; SA[8]~15 ; 6 ; +; SA[8]~10 ; 6 ; +; SA[8]~9 ; 6 ; ; LS[1] ; 6 ; -; Mux14~6 ; 6 ; +; Equal16~0 ; 6 ; ; RD[0]~0 ; 5 ; +; RA[3] ; 5 ; +; RA[2] ; 5 ; ; Addr[0] ; 5 ; ; Equal17~0 ; 5 ; ; LS[6]~17 ; 5 ; ; LS[1]~3 ; 5 ; +; Mux14~6 ; 5 ; ; RD[6]~6 ; 4 ; ; RD[5]~5 ; 4 ; ; RD[4]~4 ; 4 ; @@ -599,11 +609,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[2]~2 ; 4 ; ; RD[1]~1 ; 4 ; ; nDEVSEL ; 4 ; -; Equal8~0 ; 4 ; -; ROMSpecRD~0 ; 4 ; +; always6~1 ; 4 ; +; always6~0 ; 4 ; +; RAMSpecSEL~0 ; 4 ; ; LS[13] ; 4 ; ; Equal1~2 ; 4 ; -; nRCS~0 ; 4 ; +; nRCS~1 ; 4 ; ; Addr[9] ; 4 ; ; Addr[8] ; 4 ; ; Addr[7] ; 4 ; @@ -619,18 +630,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[12] ; 4 ; ; Addr[2] ; 4 ; ; Addr[11] ; 4 ; +; SA[2]~7 ; 4 ; ; Addr[1] ; 4 ; ; Addr[10] ; 4 ; ; Addr[23] ; 4 ; +; RA[11] ; 3 ; ; RA[10] ; 3 ; ; RA[9] ; 3 ; ; RA[8] ; 3 ; ; RA[7] ; 3 ; -; RA[3] ; 3 ; -; RA[2] ; 3 ; ; nWE ; 3 ; ; nIOSEL ; 3 ; -; always6~8 ; 3 ; ; SA[2]~14 ; 3 ; ; WRD[5] ; 3 ; ; WRD[4] ; 3 ; @@ -638,12 +648,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; WRD[2] ; 3 ; ; WRD[1] ; 3 ; ; WRD[0] ; 3 ; -; always6~2 ; 3 ; -; REGEN ; 3 ; ; Equal2~0 ; 3 ; ; Equal3~0 ; 3 ; ; Equal1~3 ; 3 ; -; nWEr ; 3 ; +; IS.111~0 ; 3 ; ; Addr[22] ; 3 ; ; Addr[21] ; 3 ; ; Addr[20]~41 ; 3 ; @@ -661,11 +669,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; LS[12] ; 3 ; ; Addr[12]~11 ; 3 ; ; LS[11] ; 3 ; -; SA[2]~9 ; 3 ; -; SA[2]~7 ; 3 ; +; SA[2]~5 ; 3 ; ; LS[10] ; 3 ; -; SA[2]~6 ; 3 ; -; RA[11] ; 2 ; +; SA[2]~4 ; 3 ; +; IOROMEN ; 3 ; ; RA[6] ; 2 ; ; RA[5] ; 2 ; ; RA[4] ; 2 ; @@ -674,7 +681,9 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; WRD[6] ; 2 ; ; AddrIncL ; 2 ; ; AddrIncM ; 2 ; -; always6~3 ; 2 ; +; REGEN ; 2 ; +; Equal7~0 ; 2 ; +; REGSpecSEL~0 ; 2 ; ; IS.state_bit_1~3 ; 2 ; ; IS.state_bit_1~0 ; 2 ; ; Equal3~1 ; 2 ; @@ -682,18 +691,18 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; PHI0r1 ; 2 ; ; Selector1~1 ; 2 ; ; DQMH~0 ; 2 ; -; IS.001~0 ; 2 ; +; Mux12~2 ; 2 ; +; nRCS~3 ; 2 ; +; ROMSpecRDr ; 2 ; ; nRCS~2 ; 2 ; -; nRCS~1 ; 2 ; -; always7~1 ; 2 ; +; nWEr ; 2 ; ; Bank ; 2 ; ; LS[11]~5 ; 2 ; -; SA[2]~8 ; 2 ; +; SA[2]~6 ; 2 ; ; Mux14~4 ; 2 ; -; always5~2 ; 2 ; -; always7~0 ; 2 ; +; always5~3 ; 2 ; +; always5~0 ; 2 ; ; PHI0r2 ; 2 ; -; IOROMEN ; 2 ; ; nRESout~reg0 ; 2 ; ; MOSI~0 ; 1 ; ; SD[7]~7 ; 1 ; @@ -715,15 +724,15 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux14~7 ; 1 ; ; Mux2~1 ; 1 ; ; Mux2~0 ; 1 ; -; Decoder0~1 ; 1 ; -; RDD~15 ; 1 ; -; RDD~13 ; 1 ; -; RDD~11 ; 1 ; -; RDD~9 ; 1 ; -; RDD~7 ; 1 ; -; RDD~5 ; 1 ; -; RDD~3 ; 1 ; -; RDD~1 ; 1 ; +; Decoder0~0 ; 1 ; +; RDD~16 ; 1 ; +; RDD~14 ; 1 ; +; RDD~12 ; 1 ; +; RDD~10 ; 1 ; +; RDD~8 ; 1 ; +; RDD~6 ; 1 ; +; RDD~4 ; 1 ; +; RDD~2 ; 1 ; ; AddrIncM~2 ; 1 ; ; AddrIncM~1 ; 1 ; ; AddrIncM~0 ; 1 ; @@ -741,11 +750,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RDD[0] ; 1 ; ; MOSIOE ; 1 ; ; IS.101~0 ; 1 ; +; ROMSpecRD~0 ; 1 ; ; IS.state_bit_2~1 ; 1 ; ; IS.state_bit_2~0 ; 1 ; ; Equal1~4 ; 1 ; ; AddrIncH ; 1 ; -; always7~2 ; 1 ; ; IS.state_bit_1~2 ; 1 ; ; IS.state_bit_1~1 ; 1 ; ; IS.state_bit_0~5 ; 1 ; @@ -753,12 +762,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Equal4~0 ; 1 ; ; Equal1~1 ; 1 ; ; Equal1~0 ; 1 ; -; IS.111~0 ; 1 ; ; FCKout ; 1 ; ; FCS ; 1 ; ; Mux11~4 ; 1 ; ; Mux11~3 ; 1 ; ; Mux11~2 ; 1 ; +; PS~0 ; 1 ; ; Mux11~1 ; 1 ; ; Mux11~0 ; 1 ; ; Selector2~0 ; 1 ; @@ -766,16 +775,17 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Addr[0]~47COUT1_92 ; 1 ; ; Addr[0]~47 ; 1 ; ; Selector0~0 ; 1 ; -; Mux12~2 ; 1 ; +; Mux12~3 ; 1 ; ; Mux12~1 ; 1 ; -; ROMSpecRDr ; 1 ; ; Mux12~0 ; 1 ; -; Decoder0~0 ; 1 ; +; IS.000~0 ; 1 ; +; nRCS~0 ; 1 ; ; Addr[22]~45COUT1_78 ; 1 ; ; Addr[22]~45 ; 1 ; ; Addr[21]~43COUT1_76 ; 1 ; ; Addr[21]~43 ; 1 ; ; Mux15~1 ; 1 ; +; Mux15~0 ; 1 ; ; Addr[19]~39COUT1_74 ; 1 ; ; Addr[19]~39 ; 1 ; ; Mux16~2 ; 1 ; @@ -858,8 +868,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Mux13~0 ; 1 ; ; nRESr0 ; 1 ; ; Mux14~5 ; 1 ; +; always5~2 ; 1 ; ; always5~1 ; 1 ; -; always5~0 ; 1 ; ; RCKE~reg0 ; 1 ; ; DQMH~reg0 ; 1 ; ; DQML~reg0 ; 1 ; @@ -891,30 +901,30 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------+--------------------+ ; Other Routing Resource Type ; Usage ; +-----------------------------+--------------------+ -; C4s ; 234 / 784 ( 30 % ) ; -; Direct links ; 57 / 888 ( 6 % ) ; +; C4s ; 224 / 784 ( 29 % ) ; +; Direct links ; 68 / 888 ( 8 % ) ; ; Global clocks ; 3 / 4 ( 75 % ) ; -; LAB clocks ; 13 / 32 ( 41 % ) ; -; LUT chains ; 33 / 216 ( 15 % ) ; -; Local interconnects ; 433 / 888 ( 49 % ) ; -; R4s ; 294 / 704 ( 42 % ) ; +; LAB clocks ; 12 / 32 ( 38 % ) ; +; LUT chains ; 35 / 216 ( 16 % ) ; +; Local interconnects ; 421 / 888 ( 47 % ) ; +; R4s ; 266 / 704 ( 38 % ) ; +-----------------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.17) ; Number of LABs (Total = 24) ; +; Number of Logic Elements (Average = 9.25) ; Number of LABs (Total = 24) ; +--------------------------------------------+------------------------------+ ; 1 ; 0 ; -; 2 ; 1 ; +; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 4 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 3 ; +; 9 ; 2 ; ; 10 ; 17 ; +--------------------------------------------+------------------------------+ @@ -922,86 +932,86 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 2.00) ; Number of LABs (Total = 24) ; +; LAB-wide Signals (Average = 1.88) ; Number of LABs (Total = 24) ; +------------------------------------+------------------------------+ ; 1 Async. clear ; 7 ; -; 1 Clock ; 22 ; -; 1 Clock enable ; 4 ; -; 1 Sync. clear ; 7 ; -; 1 Sync. load ; 6 ; -; 2 Clocks ; 2 ; +; 1 Clock ; 20 ; +; 1 Clock enable ; 5 ; +; 1 Sync. clear ; 5 ; +; 1 Sync. load ; 5 ; +; 2 Clocks ; 3 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 9.42) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced (Average = 9.50) ; Number of LABs (Total = 24) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; -; 2 ; 1 ; +; 2 ; 0 ; ; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 4 ; -; 10 ; 14 ; +; 4 ; 1 ; +; 5 ; 0 ; +; 6 ; 1 ; +; 7 ; 0 ; +; 8 ; 3 ; +; 9 ; 2 ; +; 10 ; 13 ; ; 11 ; 2 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 1 ; +; 12 ; 2 ; +---------------------------------------------+------------------------------+ +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.54) ; Number of LABs (Total = 24) ; +; Number of Signals Sourced Out (Average = 6.63) ; Number of LABs (Total = 24) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; -; 1 ; 1 ; -; 2 ; 0 ; +; 1 ; 0 ; +; 2 ; 3 ; ; 3 ; 2 ; -; 4 ; 3 ; -; 5 ; 4 ; -; 6 ; 1 ; -; 7 ; 4 ; -; 8 ; 1 ; +; 4 ; 1 ; +; 5 ; 2 ; +; 6 ; 3 ; +; 7 ; 2 ; +; 8 ; 3 ; ; 9 ; 4 ; -; 10 ; 4 ; +; 10 ; 3 ; +; 11 ; 1 ; +-------------------------------------------------+------------------------------+ +-----------------------------------------------------------------------------+ ; LAB Distinct Inputs ; +----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 15.13) ; Number of LABs (Total = 24) ; +; Number of Distinct Inputs (Average = 15.17) ; Number of LABs (Total = 24) ; +----------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 0 ; ; 2 ; 0 ; -; 3 ; 0 ; +; 3 ; 1 ; ; 4 ; 0 ; ; 5 ; 0 ; ; 6 ; 0 ; -; 7 ; 2 ; -; 8 ; 0 ; -; 9 ; 1 ; +; 7 ; 0 ; +; 8 ; 2 ; +; 9 ; 0 ; ; 10 ; 1 ; -; 11 ; 1 ; -; 12 ; 2 ; -; 13 ; 3 ; -; 14 ; 2 ; +; 11 ; 0 ; +; 12 ; 3 ; +; 13 ; 4 ; +; 14 ; 1 ; ; 15 ; 0 ; -; 16 ; 3 ; +; 16 ; 1 ; ; 17 ; 1 ; -; 18 ; 0 ; -; 19 ; 2 ; -; 20 ; 1 ; -; 21 ; 5 ; +; 18 ; 1 ; +; 19 ; 3 ; +; 20 ; 2 ; +; 21 ; 3 ; +; 22 ; 1 ; +----------------------------------------------+------------------------------+ @@ -1020,10 +1030,29 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+--------------------------+ ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Summary ; ++-----------------+----------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ +Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. +This will disable optimization of problematic paths and expose them for further analysis using either the TimeQuest Timing Analyzer or the Classic Timing Analyzer. + + ++------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++-----------------+----------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++-----------------+----------------------+-------------------+ +; PHI0 ; PHI0r1 ; 0.547 ; ++-----------------+----------------------+-------------------+ +Note: This table only shows the top 1 path(s) that have the largest delay added for hold. + + +-----------------+ ; Fitter Messages ; +-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled +Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (119006): Selected device EPM240T100C5 for design "GR8RAM" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C @@ -1061,25 +1090,25 @@ Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:02 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 32% of the available device resources - Info (170196): Router estimated peak interconnect usage is 32% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 33% of the available device resources + Info (170196): Router estimated peak interconnect usage is 33% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.22 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 0.75 seconds. Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 545 megabytes - Info: Processing ended: Sun Apr 18 05:55:51 2021 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 +Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg +Info: Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings + Info: Peak virtual memory: 382 megabytes + Info: Processing ended: Sun Apr 18 06:27:14 2021 + Info: Elapsed time: 00:00:09 + Info: Total CPU time (on all processors): 00:00:08 +----------------------------+ ; Fitter Suppressed Messages ; +----------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. +The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg. diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary index 346b115..da15082 100755 --- a/cpld/output_files/GR8RAM.fit.summary +++ b/cpld/output_files/GR8RAM.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sun Apr 18 05:55:51 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Fitter Status : Successful - Sun Apr 18 06:27:13 2021 +Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 220 / 240 ( 92 % ) +Total logic elements : 222 / 240 ( 93 % ) Total pins : 80 / 80 ( 100 % ) Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt index dab8a8c..d23772d 100755 --- a/cpld/output_files/GR8RAM.flow.rpt +++ b/cpld/output_files/GR8RAM.flow.rpt @@ -1,6 +1,6 @@ Flow report for GR8RAM -Sun Apr 18 05:55:54 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:24 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -40,14 +40,14 @@ applicable agreement for further details. +-----------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Sun Apr 18 05:55:52 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Flow Status ; Successful - Sun Apr 18 06:27:18 2021 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 220 / 240 ( 92 % ) ; +; Total logic elements ; 222 / 240 ( 93 % ) ; ; Total pins ; 80 / 80 ( 100 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -59,42 +59,42 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 04/18/2021 05:55:48 ; +; Start date & time ; 04/18/2021 06:27:01 ; ; Main task ; Compilation ; ; Revision Name ; GR8RAM ; +-------------------+---------------------+ -+---------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ -; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; -; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; -; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; -; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; -; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 136298148942.161873974705152 ; -- ; -- ; -- ; -; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; -; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 2 ; -; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ; -; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; -; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; -; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ; -; SEED ; 235 ; 1 ; -- ; -- ; -; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ; -; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+-------------------------------------------------+------------------------------+---------------+-------------+------------+ ++-----------------------------------------------------------------------------------------------------------------------------+ +; Flow Non-Default Global Settings ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +; ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ; On ; Off ; -- ; -- ; +; ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES ; Always ; Auto ; -- ; -- ; +; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; +; AUTO_PACKED_REGISTERS_MAXII ; Minimize Area ; Auto ; -- ; -- ; +; AUTO_RESOURCE_SHARING ; On ; Off ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 44085571633675.161874162103584 ; -- ; -- ; -- ; +; FINAL_PLACEMENT_OPTIMIZATION ; Always ; Automatically ; -- ; -- ; +; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; +; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 1 ; +; IOBANK_VCCIO ; 3.3V ; -- ; -- ; 2 ; +; MAXII_OPTIMIZATION_TECHNIQUE ; Area ; Balanced ; -- ; -- ; +; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; +; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; +; MUX_RESTRUCTURE ; On ; Auto ; -- ; -- ; +; PLACEMENT_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; +; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; +; REMOVE_REDUNDANT_LOGIC_CELLS ; On ; Off ; -- ; -- ; +; ROUTER_EFFORT_MULTIPLIER ; 2.0 ; 1.0 ; -- ; -- ; +; ROUTER_REGISTER_DUPLICATION ; Off ; Auto ; -- ; -- ; +; SEED ; 235 ; 1 ; -- ; -- ; +; STATE_MACHINE_PROCESSING ; Minimal Bits ; Auto ; -- ; -- ; +; SYNTHESIS_SEED ; 123 ; 1 ; -- ; -- ; +; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; ++-------------------------------------------------+--------------------------------+---------------+-------------+------------+ +-------------------------------------------------------------------------------------------------------------------------------+ @@ -102,24 +102,24 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 421 MB ; 00:00:01 ; -; Fitter ; 00:00:02 ; 1.0 ; 545 MB ; 00:00:02 ; -; Assembler ; 00:00:00 ; 1.0 ; 381 MB ; 00:00:00 ; -; TimeQuest Timing Analyzer ; 00:00:01 ; 1.0 ; 368 MB ; 00:00:01 ; -; Total ; 00:00:04 ; -- ; -- ; 00:00:04 ; +; Analysis & Synthesis ; 00:00:05 ; 1.0 ; 301 MB ; 00:00:05 ; +; Fitter ; 00:00:08 ; 1.2 ; 382 MB ; 00:00:08 ; +; Assembler ; 00:00:02 ; 1.0 ; 292 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:04 ; 1.0 ; 276 MB ; 00:00:04 ; +; Total ; 00:00:19 ; -- ; -- ; 00:00:19 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Fitter ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; Assembler ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -; TimeQuest Timing Analyzer ; Dog-PC ; Windows 7 ; 6.1 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ ++-----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++---------------------------+------------------+------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++---------------------------+------------------+------------+------------+----------------+ +; Analysis & Synthesis ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; +; Fitter ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; +; Assembler ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; +; TimeQuest Timing Analyzer ; zane-c8bbf8aef2 ; Windows XP ; 5.1 ; i686 ; ++---------------------------+------------------+------------+------------+----------------+ ------------ diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi index 2459804..73a190b 100755 --- a/cpld/output_files/GR8RAM.jdi +++ b/cpld/output_files/GR8RAM.jdi @@ -1,6 +1,6 @@ - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 2ab9922..648ddda 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for GR8RAM -Sun Apr 18 05:55:48 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:04 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Apr 18 05:55:48 2021 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; +; Analysis & Synthesis Status ; Successful - Sun Apr 18 06:27:04 2021 ; +; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 226 ; +; Total logic elements ; 228 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -130,24 +130,30 @@ applicable agreement for further details. +----------------------------------------------------------------------------+--------------------+--------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 0.0% ; ++----------------------------+-------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ ++-----------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +; GR8RAM.v ; yes ; User Verilog HDL File ; Z:/Repos/GR8RAM/cpld/GR8RAM.v ; ; ++----------------------------------+-----------------+------------------------+-------------------------------+---------+ +-----------------------------------------------------+ @@ -155,20 +161,20 @@ Parallel compilation was disabled, but you have multiple processors available. E +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 226 ; -; -- Combinational with no register ; 123 ; +; Total logic elements ; 228 ; +; -- Combinational with no register ; 125 ; ; -- Register only ; 7 ; ; -- Combinational with a register ; 96 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 114 ; -; -- 3 input functions ; 46 ; -; -- 2 input functions ; 59 ; +; -- 4 input functions ; 122 ; +; -- 3 input functions ; 37 ; +; -- 2 input functions ; 62 ; ; -- 1 input functions ; 0 ; ; -- 0 input functions ; 0 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 193 ; +; -- normal mode ; 195 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; @@ -180,8 +186,8 @@ Parallel compilation was disabled, but you have multiple processors available. E ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; ; Maximum fan-out ; 100 ; -; Total fan-out ; 1013 ; -; Average fan-out ; 3.31 ; +; Total fan-out ; 1024 ; +; Average fan-out ; 3.32 ; +---------------------------------------------+-------+ @@ -190,7 +196,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 226 (226) ; 103 ; 0 ; 80 ; 0 ; 123 (123) ; 7 (7) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 228 (228) ; 103 ; 0 ; 80 ; 0 ; 125 (125) ; 7 (7) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -271,11 +277,11 @@ Encoding Type: Minimal Bits ; Analysis & Synthesis Messages ; +-------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis +Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 05:55:47 2021 + Info: Processing started: Sun Apr 18 06:26:59 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -Warning (20028): Parallel compilation is not licensed and has been disabled +Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy @@ -300,22 +306,22 @@ Info (17049): 1 registers lost all their fanouts during netlist optimizations. Warning (21074): Design contains 2 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "SetFW[0]" Warning (15610): No output dependent on input pin "SetFW[1]" -Info (21057): Implemented 306 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 308 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 226 logic cells -Info (144001): Generated suppressed messages file C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 20 warnings - Info: Peak virtual memory: 421 megabytes - Info: Processing ended: Sun Apr 18 05:55:48 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 + Info (21061): Implemented 228 logic cells +Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings + Info: Peak virtual memory: 301 megabytes + Info: Processing ended: Sun Apr 18 06:27:04 2021 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:05 +------------------------------------------+ ; Analysis & Synthesis Suppressed Messages ; +------------------------------------------+ -The suppressed messages can be found in C:/Users/Dog/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. +The suppressed messages can be found in Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg. diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 7f2b4cf..4cc6e47 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sun Apr 18 05:55:48 2021 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +Analysis & Synthesis Status : Successful - Sun Apr 18 06:27:04 2021 +Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 226 +Total logic elements : 228 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin index 6d11d91..54ede08 100755 --- a/cpld/output_files/GR8RAM.pin +++ b/cpld/output_files/GR8RAM.pin @@ -57,7 +57,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition CHIP "GR8RAM" ASSIGNED TO AN: EPM240T100C5 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 6d0ab1d17300f2b57a95ecec57565d37d2283d3b..0a71b633a27f5361b53b0395d9efdb9a9c948263 100755 GIT binary patch literal 7879 zcmeHMeQ;dWbzcW;!C;I%-~cVQ6w=b^Ou-)tCc%}~0Sx032Nw#=q?HstWY;y)4mQ$) z#oJ3mvFWrn{fGfYtW`45DMKZNF_t22-d+P9k|z3KCfJHC-g_m)tJvnfXIbn%?|Xai z>F?gRvdLflum2RyXrJyq=lssO=j)!g+H}vIi9}*vqDFtV;PcNr9@_py$Bw!U8|vy; z-}vy>C+aqBPi@)$P+ME;_PPgJx9`}RYOh;Wf78mFR@L3svGuV>>sHsVu3Ncg#j4dS zRY_Rg)3wAO8U=#g!8tLpCiv$_XcAFf;f=+-A7nfOxTawu89Y2)gzt^A8s zD_7orbK;`JrP#W^{fVtlJl6VXVm^4(&yvK#-_w7nj}MfQl86L;_v>ep^m>{|KD~cJ z?d!E)ea@{v_w=;fBb!UTBpWstXuLu%i^?st5|W~RmP(oqd7lE{wRoaPN61&W0&Ax6 zt9X`r3a0J({JyrsiR~;=0J@R~KKNsNK{6mJ5~U>QV=S9<2~cq}(dCRu708 z0;(x5CN+PiDtF#~B1hrn^}a<_I{O?Qa>}j<_*$==!?yx2@+jZ}wyCE@jPiaLnSm4A z$H4E?P9e#W{i=c%H+@8>3y>GHu9oi$b)1+*k)L;n+Qg6#d6-KBFDm`O({@ZL zAZLq7Vgza;f$co#eW7Pdf6xYW$V^H8WCw{}8C0mqS=x5URD4Pv zZt)eq#jrk}A`{Mz@u5!BM@XjE=1kk?Qc$OeoT-wp{9N@9iKY@c>9#T9w+HzxZaCoY za?XLyw7ezSrS$XT*;y|s-!Lj2lwJ+o$_4qJ0hxXzS7cMp{^p6EtT|<6R?rTdPy4@b z&FCuc*%xTz?n8mR`kwT%XmMh}Jr&^+>(~BCj;<=qUr-qP{-G{ele}Zu@qc~>^tY6L zb-uRn>Bhoz?fb^FhiJ|B^7XE$UA&8;Shp~%dcl2dlrqmY*6*p*Bo-vkfnKZi zH+6h8di25cPpyr6Chok8)tj@wf9>A-D9&QHBoP@*U;=p#q@)t%c%wUh=b2N4Hm!}9{UWRnQZOniPfQhxR+YY}z& zJVJkvB{)yy)V_)zCvQU6JHvScle!>}ea7SX3M4SOZ<^$gOfl|~&%hlv01y0R^m;n} zC#1$hUUeDm*f;TuErlOQCl!e<;-0PghZD$r6p2|D(>d}EtOAzXr2rj_`!>V`$}N^R zr=$~fxn*J=@)mi%GY@$a4JoF_I2JmfH$dnM9QzFQF(h>z3O|rRMgjVbsr2`S(v?9V-Mq_X&PAUT zQZfcPr&@pT7ZVor`R%B=CS_lAnoP*%mHbYkqsV`QKukH2E7H_Vek3>z><7JR?wn~f z9AGza?vy4KetBsc}K1k&hm1SOs@1HzG)Vsf8|AHav=YiESnT%WIy8N^9Qwm`Lu7pAfo#t z>5NZx%k}wN$b0a;8OyS?eBbbk$-+e+JT-sup<6O9KRIKb+qHaAV)2>F7hKn`>nHQl zdxiNQ)XY!3ds*joe_UB=Kf8RV$0ID@-WP#M_1i~yzRX14YNeOjdU9K;_n z*LKTbpoyYLH8$*P|Crl)k?(4)>Jvqvh26R5FwF|F#1pT(w7g&l9e~N<+w?T(OhUJ(U*AIybvHj#l2Hc|Y z82k`S(2*bzQuM;k2FzDKkVi;pc^6D_5;(xp{5YGMhs0kwcI>w_?2pJ`lH=a9G#?ld z-=;a8KvA{K6AU!@Lxnn*63gTMnKz-k#9%?oJk!dIfhw zl@C)+5waOFgh=gpKhIe({BUgZqV+dif9v&2U;Wp=zcV*{_nzEv ze127bvpg63%lA5vU7Z2uC=`pbPY~vd0NpUUQz;EIiNrQYx$y-^( z(WkWvt)KG&m2b_&q=|x!^Mip8`UV2bPqUFglE}QucLoXgmmJ@7d?xxF>i44o6Z^KL zlF3AfdLg0g2vlAbdr|*^2pt;aL+A59tIp5jd8|aNMd9uz zC!H)={Gg7nJAi#vpU7$HaG0<1bR-Zw_OC&k(WkOr1Yv3OW$%_no5f zj#ufqlhXeL)f2UVzo95D+uFRM_BUecbxpV6yHfHePH{-d|HIj_sE9cn_{pib{%A9K zPK#;adX)k58;~Zlf;pv-(eh1e5)0);iHnW$do{B6io_mD{J1kwp*>SI+COeGu}IDb z{TriK$l6QZeU8ohx6-DCmE!QFv42a~{6V26ad_WVl^5ieYZCLzOXihkDj#RdHQGN0 zeSKcx>f8Qq--m~;kXsfdo}+n*(#mhmWRK0$^2XaIe)E26^SEVYCpLGos}9a&mwEN< zz3i1sCRKhK@>6f{=ih4I^ZX_Iyc=#ku&3|qYsU{@{;aRp_6zQi>{5PI_XuT*k%|K< zPN>ML{iuNZj0ujQaq0sflW#iG%2ld#kC%KJ5K1m;p^bFkk!HzN9iYzCdu0*w6lh$O zmWAOh^O7GLoNM_bs11yTT;b=59Oy%Ra5B51Dt-nx2+=|4EeR9$Oa?Ey=>Mr|elUzi zSc*u1KfwD3;r)-DuOHZp{6hhe&1{;bzDfgc#)T>f=LSviyp8&blzk<^I*`Y064#HI zjpJ+Z5PW>Ci+UT!^a?p2=8-t9{Dh~ZQ+jSFO7&g_J;+FDlSOfV2mdMX1>!WU-03ij zjFeOtL5QS zc_aE0>i@t?My{lnwK>-KK?thjX3H`|r`@U4|(VR!OpZHI%2#_s-g8_(7D4?bRr zx_|mqUdda|m(~^jXx?{QFFri6sQW+8Y&duAj|P`SXP15XRi@+xjehz*D@?yL`r1U; z-gss9OtyPmz5n%=?^E_4b3E_GU$=X%hxyZMJTUg!%iZez?~UOe#9hf-mWR7ZSmtyd z>+-Zm9wwqOUpyVtk(~jwkvGR0FkwjVAii&j^Q%lMe|(O*@jc|Q5PYCHN71mF z1A$khFpZWaP7vM$(6^2u5A0Nk_pA}EU-xC-=jD^=r|KRGa|4+Y7pMbGF9V}$NAFPw zBNWEB5;n@|xqTxjIld?|@6(*2=K29>yv zu1kIi&TxIZ%cvUJnu_oy6RU!xob@8Mg1WTnA>p%#L0V$IkvK=p(U2r{wFDqAqUpX zMhx=lJXsN5YxVpIdm!h~K?c8Z^m7~?_yYSHAN(ol2apRnaHkuh70Hl>ee=ND3-n;B ztF_T%;iTnQA^+5--+qAkhABHt*czrf5bt8omtERs(8QI4bTwI{%aB=6MATEFewm#{ ze&zFTzixEVDJ55bRx0@;A$`^B*&S}2{4ls}ow!k)yQZ>>jAEN*O&$gP1kvrMvj0N! zA2^d+ut_A0C+Gca7kzVf>H0hRHBLxZ7Iwccmd(7w_de5IXPkTW*7 z6n2k`rH{|>?>*DKZr{1`Nss<^cG*|2&nW$APlwbMn6n?Dk9*SfyehuB z0lH9i#M)E6q6zQg9`f0Qw`2dPISTWScbf7-0uOHGlAZ2RKpXe#FlD84iC+ z8$P#)Lr&EvzLcO82@IKe45ByFY*GfCL~afrc|6H5t&r0^h4*?2QafFS{%dP_;KlP# zF99b&e&j;ntM{NP-B5NmG@-sE50IZ`)a(%MXT=XbC9l43;6%-l+7C)+u@EU|4j+BN ziNHrY)tp5UQ+Av9RZrC?LV`SeVmqLt2kCu$#Q3`YbX~;!L}zh+lYR#u_czgq4Lo&$ zC|rE#CvgfLthuTKJfTn0aAbnNYCrnh+&=t?1@^@Sd4+?%0Q;)^Bx@D_d3>hvyW+L0 z@df7p^Zf9y>MOI!aU!P;%`7D37XV_t7oNa(Vq8b-)AVHT^baP86`yJZ|HSl|`u~8o z_dNdj_5Zd%NB`pa`S@M%=l``|u1PGs`22r_>ePRP5ZEt%zVN^o9{7LiflKuNir@XO F{{cEjae literal 7879 zcmeHMYj9jeb{-RiS=O>QWej-1w%DXdQixzhm3BP1~Dp-?V+l*3OQmm8+MnShlk1hFx2Kba&IL)vKCTtXaNt z)$&yMRPY2&6ln{K>&>rQYcE=V*&$c?w%vg+Cu zf4*|XimzUm_>IKpFtnj#=hmG++H`lK0dwrnZzkq^G5TUZUb9LPGaLN&Ms1R#eum9Y@QD4>D>Hep!<41gVZ4UaFS~eFuN2d5GGxa-@#6)KGq!UDR@P{ldo^L8VhBhCM zI}tv<+qPm`<8V3Zn@F!2y5bQ0?E#1XdAyEqm;^_-#2eXTW$|c6{|L|WO<;TyE#_Ms z$<7Quvw~Sdn=+9Pu2);-!L#!>mF5l{}(2wLsGa`Or$C*7@P}I)(m>#eP zM&ERYMvq5yD>qQ=l!>H&_%!&t1vzZYjNM^PKt*;@WWYW^ebCQwVtyfIFhH_m%Bo9_ zv#YzgKm#>Xabr4oh?AjajM6e=GHgh3ujUC) za&|B1Mobh)C@O2_5dl8{U%DKEVY_0yKn4x?74Xd_IGr|-&#@ZvkucN zIe3Ykeolo2iwpbZZOg(T6RlU|)p%gqGzSI4Y0!WNdPa~+3eS*3PFfj_b&}+ky8ecj zIi$|lD#7b~;3_s{v$45XaIGg5+s|v!`JhkjNl#F+Fqz9<2MZIGl^GP)4S+vZ@+X@s z!%(n`znAichV%#;1_Qk`TAJLhcB)6o_%_-@LONH!QKCGeSMxT zOm;hFzX=2FC{u#J`aYG7U#D-RfQ{}KKIi3xOmjt23Fn0qufMFYyEc9#{4e7;o^DqK z>SiOR_ouzj+R?)<+w~$2N39>Xz)PZjr3U;7;|lmqenPo~eJLV-WW4{_XM)R#XZ?^1 z;eFP>oD9Ty;I_EN*`|p*jg3#AN@(8*DZ_{sC!&{?cpLGUFO$jAD1|O3IS>xJVLo1; zQ$SpV-L5S0?Yy5VW>V!nuEBj`d=5h5?g5jw{!8|p%Th*S9kvJg?ZQw<8sVnMwd*wO zmj*(k1mXyEVR49ENF%{vg{A04OnDwbZ_s5|73b2MrjI4e#(=qJ4UpV8@`8>{?sTh3-V;K&$nZTm)y}u@44whp^IU@p+SQ=j# zv?AhfJrsr{a@rjrMV$7^6sD+K8ROUY2X@8!s9XRpjN-Cm@=9yZo3;;m#N|rGk2p4 zSFHWQq4R1um|AykQQ|MMQT%oP%Q>32?kO{Gs`H|MoU`J=^J>x9U#c( zZUgV|U71UBI;1SdL5t`j3p~MQK#Dw{vw2)(prSG92;a@J4w4Se^C(Y&K29A1{=Y-! z1B=f+l9WuF?t&N@FXW)tNm35=#X;PFiu{7{ zllZK!PoN&Ka%6!Ir>6PQw&Pr}5`V)~M!4zp}$&AhF3|i3^Shv40^|bL=@B@f$*Y!D(^G zg9?#lF5h?me$17xPtDHJCj=oqJ6K_xz%s|5_}4-=3D; zuO&MkUkv`v?;k_{>v_4J|33QK(PPf$z|m>0@qsCp+fbNL zDQ7$cMMS3q@Gn0Z??*fAV%mKe|I_*``;qk<6NbnyHvUkD;=dc}*nUszCOgBtOX?#^?bJ%vF_&*h;hTEquA+Jk*+fyzTK8>ftQPE=rl!Zsj} zyy-;ol^?+Ta=iY2K2sqb%JPH^sSD%yM+Hf+Z+t#mdvSNSF^Es-g!q7bwDtJyuV@v} z+nvxGOqNeD<&f`Q3S)kU$NeN{%bgO2W!`1&f>Rc9qWseeEDReLb}I;yMus(dPLP|0 z)>e-2@}#nycB1{Ue1I{CV|$8%?wmdqr1)&l~|2li| zg}*wzw0dM#@WzR$;T`YB>&sm{yLfrxfrf^cKYy8}pZdzXbLY?b=hh3}jre9m+rR$c z!LRlY#^+C(S&r-owJZ8cd7Q!6UAqmqheUQqKjFCVH#?k?u_=h&oVyguO|ezZjXf!qPa&!o+ZpVp5;AU;!$3#`0=A8U#8 z#o{_~UcxxRtIEz;Uw|siP=$RlP&EpE0h%kK{H1%qkw={gee%}xaQ=SEZ{zC_t3Yov zE;38Lvy%~BxYuD^0N=LwJTU5GT;v0B0DV;M7*E@L1aq_wTK!#=*P1gDayb*>AF8pi z`{)_MP1v@m4>MY)*+l+0DjON^FuqY6LOUiQer-gNAmdzdtPdl0o}9vI6aQO zW97dhP=DM)Z69%SYcKA9ZZehw9PB@j-s_Y0^{8>pxS;d6{}C68k=(e>aZ{eW>~K-U)z$%&#N_dAV>AwdwjI5jV^t#+E~rt{aVvl(YB0!TSAgn}q!|{6qJZa8AK)((~>8@6-He?Z>BBI{r4LJ;uePbT65X*zQ%l z4UiJT6l%&YsKRSQ9}YEGw@V z68y=A+VnnBxrRVzBMf^(c#kkuU9XQE2RJAgoiiMyHwG(Xf7Tve5iGwE@Nblc)jq_( zF2S!fPG-B_IKHbaqIsvQV=8dchx%E*N>T@dDH)CTBj*v1JHX*!bOYAK$lnMU2X!63 zBaWC-j;MZwRT>Lj7jrhh=1^s>Q*t$KSzugZOx!`LO$fT`%RM zbBxqmpr5Xx9)^#eYS&>NB#Vpp95zW;6!85X7Rc`zoIBi(eYAD`3wGS%So}&2ts2t? zvolIi)#QkT)ibU?p9O!O**T@Ppo8sw!!&x*@2moUt+e_BN0VfUdP5e#W#B8BXuO`^ zu}o6`_OTrYF6vO8f+t{RoWCLG90}82c~T{7FBN)+YgZ2TCM|w4T0iJ&NDh^UQUCOg zBkyr|%$Js5)4xNG;iN}fZu%$FeeP8%CAS^|Lk+cskw+tboG%p`-_>4`W2K>8gDY;C zT71cR@RgTb`j%b)Ax^$hyYbSO&GJw5-Y*Q^wBWuaSFD$?%aY5eX6My7AX#oZt&a3v z|6VT9K=XSp0o^Q_pSAJt>f|xH>5(Ib&+;YjbFJ2w}Hi_4xUcH~$0JoDwppUxfplm7ktBK^~$ z(5OdpMv8EVv9J6N`wsL1uhk!Uf@;deyGWtEP;NtCP2wqpO>Tm%{`Oro5SXuU|05Q= zOpeY;T{U-bgs*7OrFJ!>>@$q6>&Fu$Fh9#YMD~4Fb3qK?{#1`YcuXzH-K`EgK83iSnxG9n z=kZh>pC#00Q>t;H(_{=uuJv&+UB6+w;Y}6b+N26OXmP*8`^vO^tUn0cN+rfais?3g zscC$iH}+mP)!-m@ndeitvAl=lZ#$ub2B)YKUj4qXYg%YVcw(|VU}e)zSUTb+Qad` z;6oSSgZ|&flYOP9N7<>|dp+ilmWJ)$2V%Xa^`GhgZ{ySS&)R6;|A*cFukCV0V$Ov# fe-Uc3e-XmQe)jX31wOOD|5FQG9R02Mp0E4|q>ykF diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index db2f30f..bbe6bdd 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,6 +1,6 @@ TimeQuest Timing Analyzer report for GR8RAM -Sun Apr 18 05:55:54 2021 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition +Sun Apr 18 06:27:24 2021 +Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- @@ -18,8 +18,8 @@ Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edit 10. Minimum Pulse Width Summary 11. Setup: 'C25M' 12. Setup: 'PHI0' - 13. Hold: 'C25M' - 14. Hold: 'PHI0' + 13. Hold: 'PHI0' + 14. Hold: 'C25M' 15. Recovery: 'C25M' 16. Removal: 'C25M' 17. Minimum Pulse Width: 'C25M' @@ -77,15 +77,21 @@ applicable agreement for further details. +--------------------+-------------------------------------------------------------------+ -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ ++------------------------------------------+ +; Parallel Compilation ; ++----------------------------+-------------+ +; Processors ; Number ; ++----------------------------+-------------+ +; Number detected on machine ; 2 ; +; Maximum allowed ; 2 ; +; ; ; +; Average used ; 1.00 ; +; Maximum used ; 1 ; +; ; ; +; Usage by Processor ; % Time Used ; +; Processor 1 ; 100.0% ; +; Processor 2 ; 0.0% ; ++----------------------------+-------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -103,7 +109,7 @@ Parallel compilation was disabled, but you have multiple processors available. E +------------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+------------+------+ -; 100.52 MHz ; 100.52 MHz ; C25M ; ; +; 102.16 MHz ; 102.16 MHz ; C25M ; ; +------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -113,19 +119,19 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -9.431 ; -683.489 ; -; PHI0 ; -1.421 ; -1.421 ; +; C25M ; -9.035 ; -651.992 ; +; PHI0 ; 0.356 ; 0.000 ; +-------+--------+---------------+ -+-------------------------------+ -; Hold Summary ; -+-------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+-------+-------+---------------+ -; C25M ; 1.384 ; 0.000 ; -; PHI0 ; 1.867 ; 0.000 ; -+-------+-------+---------------+ ++--------------------------------+ +; Hold Summary ; ++-------+--------+---------------+ +; Clock ; Slack ; End Point TNS ; ++-------+--------+---------------+ +; PHI0 ; -0.263 ; -0.263 ; +; C25M ; 1.391 ; 0.000 ; ++-------+--------+---------------+ +--------------------------------+ @@ -161,115 +167,126 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; -9.431 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.300 ; -; -9.357 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.226 ; -; -9.350 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.219 ; -; -9.343 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.212 ; -; -9.340 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.209 ; -; -9.294 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.163 ; -; -9.226 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 7.095 ; -; -9.127 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.996 ; -; -8.948 ; IS.state_bit_0 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.615 ; -; -8.868 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.737 ; -; -8.811 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.478 ; -; -8.804 ; ROMSpecRDr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.673 ; -; -8.762 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.631 ; -; -8.655 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.655 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.524 ; -; -8.584 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.453 ; -; -8.447 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.316 ; -; -8.385 ; IS.state_bit_0 ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.052 ; -; -8.382 ; PS[3] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.049 ; -; -8.369 ; RAMSpecSELr ; SA[12]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.238 ; -; -8.368 ; RAMSpecSELr ; SA[10]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.237 ; -; -8.367 ; RAMSpecSELr ; SA[11]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.236 ; -; -8.361 ; RAMSpecSELr ; SA[9]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.230 ; -; -8.275 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.942 ; -; -8.261 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 6.130 ; -; -8.248 ; PS[1] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.248 ; PS[1] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.915 ; -; -8.206 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.873 ; -; -8.203 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.870 ; -; -8.157 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.824 ; -; -8.127 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.127 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.996 ; -; -8.069 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.736 ; -; -8.062 ; PS[2] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.729 ; -; -8.049 ; IS.state_bit_0 ; SA[7]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.716 ; -; -8.039 ; PS[1] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.706 ; -; -8.039 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.706 ; -; -8.038 ; PS[0] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.038 ; PS[0] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.705 ; -; -8.036 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.703 ; -; -8.018 ; IS.state_bit_0 ; SA[8]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.685 ; -; -7.964 ; LS[11] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.631 ; -; -7.917 ; IS.state_bit_1 ; SA[6]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.584 ; -; -7.910 ; IS.state_bit_1 ; SA[4]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.577 ; -; -7.903 ; IS.state_bit_1 ; SA[3]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.570 ; -; -7.899 ; PS[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.566 ; -; -7.876 ; PS[2] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.876 ; PS[2] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.543 ; -; -7.864 ; PS[0] ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.531 ; -; -7.832 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.701 ; -; -7.800 ; LS[8] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.467 ; -; -7.798 ; IS.state_bit_0 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.465 ; -; -7.741 ; PS[0] ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.408 ; -; -7.720 ; PS[1] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.720 ; PS[1] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.387 ; -; -7.686 ; IS.state_bit_2 ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.353 ; -; -7.686 ; PS[0] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.353 ; -; -7.657 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; -; -7.657 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.798 ; 5.526 ; +; -9.035 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.911 ; +; -8.936 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.812 ; +; -8.789 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.456 ; +; -8.787 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.663 ; +; -8.764 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.431 ; +; -8.734 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.610 ; +; -8.648 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.315 ; +; -8.607 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.274 ; +; -8.582 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.249 ; +; -8.524 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.400 ; +; -8.511 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.511 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; +; -8.417 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.084 ; +; -8.409 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.409 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; +; -8.392 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.059 ; +; -8.315 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.982 ; +; -8.304 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.971 ; +; -8.297 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.173 ; +; -8.288 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.164 ; +; -8.254 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.130 ; +; -8.218 ; PS[2] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.218 ; PS[2] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; +; -8.198 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.865 ; +; -8.156 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.032 ; +; -8.116 ; PS[2] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.116 ; PS[2] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; +; -8.100 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.100 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; +; -8.062 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.938 ; +; -8.061 ; PS[3] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.061 ; PS[3] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; +; -8.060 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.727 ; +; -8.059 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.935 ; +; -8.039 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.706 ; +; -8.034 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.701 ; +; -8.026 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.693 ; +; -8.009 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.676 ; +; -8.004 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.671 ; +; -7.983 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.650 ; +; -7.981 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.857 ; +; -7.969 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.636 ; +; -7.959 ; PS[3] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.959 ; PS[3] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; +; -7.944 ; LS[11] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.611 ; +; -7.893 ; LS[7] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.560 ; +; -7.880 ; PS[1] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.880 ; PS[1] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; +; -7.863 ; IS.state_bit_0 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.530 ; +; -7.852 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.519 ; +; -7.830 ; LS[13] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.497 ; +; -7.828 ; LS[7] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.495 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ++-------------------------------------------------------------------------------------------------------+ +; Setup: 'PHI0' ; ++-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ +; 0.356 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 3.102 ; +; 0.709 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 2.749 ; ++-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ + + +--------------------------------------------------------------------------------------------------------+ -; Setup: 'PHI0' ; +; Hold: 'PHI0' ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -1.421 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.798 ; 4.886 ; +; -0.263 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 2.749 ; +; 0.090 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 3.102 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -278,46 +295,47 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.384 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.605 ; -; 1.384 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.605 ; -; 1.398 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.619 ; +; 1.391 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.612 ; +; 1.401 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.622 ; +; 1.402 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.623 ; ; 1.404 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.625 ; -; 1.547 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.226 ; -; 1.658 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.879 ; -; 1.668 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.889 ; -; 1.676 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.897 ; -; 1.799 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.020 ; -; 1.835 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.056 ; -; 1.898 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.119 ; -; 1.936 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.157 ; -; 1.963 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.184 ; -; 1.965 ; IS.state_bit_0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.186 ; -; 1.965 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.186 ; -; 1.974 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.195 ; -; 2.047 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.226 ; +; 1.413 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.634 ; +; 1.453 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.132 ; +; 1.639 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.860 ; +; 1.796 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.017 ; +; 1.800 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.021 ; +; 1.828 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.049 ; +; 1.837 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.058 ; +; 1.919 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.140 ; +; 1.950 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.171 ; +; 1.953 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.132 ; +; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; ; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; -; 2.109 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.330 ; +; 2.109 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.330 ; ; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.120 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ; -; 2.121 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.342 ; +; 2.124 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; ; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; ; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.134 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; -; 2.134 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; +; 2.126 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.127 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.134 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; ; 2.135 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; -; 2.139 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.360 ; -; 2.144 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; -; 2.212 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.212 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; -; 2.223 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.444 ; -; 2.226 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.447 ; +; 2.140 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.361 ; +; 2.142 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.363 ; +; 2.143 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; +; 2.145 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.145 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; +; 2.146 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.367 ; +; 2.158 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.379 ; +; 2.169 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.390 ; +; 2.203 ; PS[1] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.424 ; +; 2.221 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; +; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; +; 2.228 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.449 ; ; 2.230 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; @@ -325,71 +343,61 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp ; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; +; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.233 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.454 ; +; 2.235 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.456 ; ; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.249 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.250 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; -; 2.251 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.260 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.481 ; -; 2.261 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.262 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.262 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.483 ; -; 2.284 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.505 ; -; 2.359 ; Addr[6] ; RDD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.580 ; -; 2.443 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.664 ; -; 2.509 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.730 ; -; 2.530 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.751 ; -; 2.553 ; Addr[21] ; RDD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.774 ; -; 2.564 ; Addr[3] ; RDD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.785 ; -; 2.636 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.857 ; -; 2.648 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.869 ; -; 2.651 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.872 ; -; 2.655 ; IS.state_bit_1 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.876 ; -; 2.656 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.877 ; -; 2.673 ; Addr[19] ; RDD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.894 ; -; 2.674 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.895 ; -; 2.930 ; IS.state_bit_0 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.151 ; +; 2.243 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.464 ; +; 2.246 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.467 ; +; 2.249 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.251 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.252 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.261 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; +; 2.327 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.548 ; +; 2.331 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.552 ; +; 2.346 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.567 ; +; 2.346 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.567 ; +; 2.388 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.609 ; +; 2.485 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.706 ; +; 2.559 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ; +; 2.589 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.810 ; +; 2.645 ; AddrIncL ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.866 ; +; 2.661 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.882 ; +; 2.673 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.894 ; +; 2.677 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.898 ; +; 2.688 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.909 ; +; 2.739 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.960 ; +; 2.749 ; PS[0] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.970 ; +; 2.750 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.971 ; +; 2.763 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.984 ; +; 2.891 ; PS[0] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 3.112 ; +; 2.910 ; IS.state_bit_2 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.131 ; +; 2.914 ; IS.state_bit_2 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.135 ; +; 2.915 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.136 ; ; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; -; 2.947 ; IS.state_bit_2 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.168 ; -; 2.949 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.949 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.956 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; ; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.960 ; Addr[20] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.181 ; -; 2.966 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; -; 2.966 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; -; 2.976 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; -; 3.014 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.235 ; +; 2.958 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.958 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.966 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; +; 2.969 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.190 ; +; 2.974 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.195 ; +; 2.975 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ; +; 2.977 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; +; 2.990 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.211 ; +; 3.028 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.249 ; +; 3.045 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.266 ; ; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; -; 3.055 ; AddrIncM ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.276 ; -; 3.060 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.060 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; -; 3.068 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.289 ; -; 3.069 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; -; 3.077 ; Addr[0] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.298 ; -; 3.077 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.298 ; -; 3.087 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.308 ; -; 3.099 ; PS[3] ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.320 ; -; 3.099 ; Addr[20] ; RDD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.320 ; -; 3.139 ; Addr[21] ; SA[11]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.360 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------------------------------------+ -; Hold: 'PHI0' ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; 1.867 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.798 ; 4.886 ; -+-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ - - +-----------------------------------------------------------------------------------------------------+ ; Recovery: 'C25M' ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -602,63 +610,63 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 3.807 ; 3.807 ; Rise ; C25M ; -; MOSI ; C25M ; 4.796 ; 4.796 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.101 ; 2.101 ; Rise ; C25M ; -; RA[*] ; C25M ; 14.823 ; 14.823 ; Rise ; C25M ; -; RA[0] ; C25M ; 8.586 ; 8.586 ; Rise ; C25M ; -; RA[1] ; C25M ; 8.040 ; 8.040 ; Rise ; C25M ; -; RA[2] ; C25M ; 9.634 ; 9.634 ; Rise ; C25M ; -; RA[3] ; C25M ; 11.057 ; 11.057 ; Rise ; C25M ; -; RA[4] ; C25M ; 6.959 ; 6.959 ; Rise ; C25M ; -; RA[5] ; C25M ; 6.713 ; 6.713 ; Rise ; C25M ; -; RA[6] ; C25M ; 7.150 ; 7.150 ; Rise ; C25M ; -; RA[7] ; C25M ; 11.336 ; 11.336 ; Rise ; C25M ; -; RA[8] ; C25M ; 12.770 ; 12.770 ; Rise ; C25M ; -; RA[9] ; C25M ; 14.823 ; 14.823 ; Rise ; C25M ; -; RA[10] ; C25M ; 12.695 ; 12.695 ; Rise ; C25M ; -; RA[11] ; C25M ; 11.834 ; 11.834 ; Rise ; C25M ; -; RA[12] ; C25M ; 9.991 ; 9.991 ; Rise ; C25M ; -; RA[13] ; C25M ; 10.495 ; 10.495 ; Rise ; C25M ; -; RA[14] ; C25M ; 10.325 ; 10.325 ; Rise ; C25M ; -; RA[15] ; C25M ; 10.651 ; 10.651 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.055 ; 6.055 ; Rise ; C25M ; -; RD[0] ; C25M ; 3.934 ; 3.934 ; Rise ; C25M ; -; RD[1] ; C25M ; 3.469 ; 3.469 ; Rise ; C25M ; -; RD[2] ; C25M ; 3.972 ; 3.972 ; Rise ; C25M ; -; RD[3] ; C25M ; 3.908 ; 3.908 ; Rise ; C25M ; -; RD[4] ; C25M ; 4.078 ; 4.078 ; Rise ; C25M ; -; RD[5] ; C25M ; 4.859 ; 4.859 ; Rise ; C25M ; -; RD[6] ; C25M ; 4.412 ; 4.412 ; Rise ; C25M ; -; RD[7] ; C25M ; 6.055 ; 6.055 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.404 ; 6.404 ; Rise ; C25M ; -; SD[0] ; C25M ; 4.903 ; 4.903 ; Rise ; C25M ; -; SD[1] ; C25M ; 5.173 ; 5.173 ; Rise ; C25M ; -; SD[2] ; C25M ; 5.568 ; 5.568 ; Rise ; C25M ; -; SD[3] ; C25M ; 4.119 ; 4.119 ; Rise ; C25M ; -; SD[4] ; C25M ; 4.608 ; 4.608 ; Rise ; C25M ; -; SD[5] ; C25M ; 3.793 ; 3.793 ; Rise ; C25M ; -; SD[6] ; C25M ; 4.318 ; 4.318 ; Rise ; C25M ; -; SD[7] ; C25M ; 6.404 ; 6.404 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 8.874 ; 8.874 ; Rise ; C25M ; -; nIOSEL ; C25M ; 4.688 ; 4.688 ; Rise ; C25M ; -; nIOSTRB ; C25M ; 6.542 ; 6.542 ; Rise ; C25M ; -; nRES ; C25M ; 3.240 ; 3.240 ; Rise ; C25M ; -; RA[*] ; PHI0 ; 8.690 ; 8.690 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 2.027 ; 2.027 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 1.242 ; 1.242 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 3.501 ; 3.501 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 4.924 ; 4.924 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 5.203 ; 5.203 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 6.637 ; 6.637 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 8.690 ; 8.690 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 6.562 ; 6.562 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 5.701 ; 5.701 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 4.533 ; 4.533 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 5.037 ; 5.037 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 4.867 ; 4.867 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 5.193 ; 5.193 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 0.784 ; 0.784 ; Rise ; PHI0 ; +; MISO ; C25M ; 4.086 ; 4.086 ; Rise ; C25M ; +; MOSI ; C25M ; 4.156 ; 4.156 ; Rise ; C25M ; +; PHI0 ; C25M ; 2.007 ; 2.007 ; Rise ; C25M ; +; RA[*] ; C25M ; 13.145 ; 13.145 ; Rise ; C25M ; +; RA[0] ; C25M ; 8.916 ; 8.916 ; Rise ; C25M ; +; RA[1] ; C25M ; 8.770 ; 8.770 ; Rise ; C25M ; +; RA[2] ; C25M ; 9.694 ; 9.694 ; Rise ; C25M ; +; RA[3] ; C25M ; 10.921 ; 10.921 ; Rise ; C25M ; +; RA[4] ; C25M ; 8.022 ; 8.022 ; Rise ; C25M ; +; RA[5] ; C25M ; 9.447 ; 9.447 ; Rise ; C25M ; +; RA[6] ; C25M ; 7.054 ; 7.054 ; Rise ; C25M ; +; RA[7] ; C25M ; 11.195 ; 11.195 ; Rise ; C25M ; +; RA[8] ; C25M ; 12.820 ; 12.820 ; Rise ; C25M ; +; RA[9] ; C25M ; 13.145 ; 13.145 ; Rise ; C25M ; +; RA[10] ; C25M ; 12.352 ; 12.352 ; Rise ; C25M ; +; RA[11] ; C25M ; 12.575 ; 12.575 ; Rise ; C25M ; +; RA[12] ; C25M ; 10.684 ; 10.684 ; Rise ; C25M ; +; RA[13] ; C25M ; 11.303 ; 11.303 ; Rise ; C25M ; +; RA[14] ; C25M ; 11.601 ; 11.601 ; Rise ; C25M ; +; RA[15] ; C25M ; 11.467 ; 11.467 ; Rise ; C25M ; +; RD[*] ; C25M ; 6.155 ; 6.155 ; Rise ; C25M ; +; RD[0] ; C25M ; 3.995 ; 3.995 ; Rise ; C25M ; +; RD[1] ; C25M ; 4.067 ; 4.067 ; Rise ; C25M ; +; RD[2] ; C25M ; 4.049 ; 4.049 ; Rise ; C25M ; +; RD[3] ; C25M ; 4.540 ; 4.540 ; Rise ; C25M ; +; RD[4] ; C25M ; 4.083 ; 4.083 ; Rise ; C25M ; +; RD[5] ; C25M ; 4.258 ; 4.258 ; Rise ; C25M ; +; RD[6] ; C25M ; 4.044 ; 4.044 ; Rise ; C25M ; +; RD[7] ; C25M ; 6.155 ; 6.155 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.456 ; 6.456 ; Rise ; C25M ; +; SD[0] ; C25M ; 4.006 ; 4.006 ; Rise ; C25M ; +; SD[1] ; C25M ; 4.019 ; 4.019 ; Rise ; C25M ; +; SD[2] ; C25M ; 3.688 ; 3.688 ; Rise ; C25M ; +; SD[3] ; C25M ; 5.362 ; 5.362 ; Rise ; C25M ; +; SD[4] ; C25M ; 6.456 ; 6.456 ; Rise ; C25M ; +; SD[5] ; C25M ; 5.261 ; 5.261 ; Rise ; C25M ; +; SD[6] ; C25M ; 4.435 ; 4.435 ; Rise ; C25M ; +; SD[7] ; C25M ; 4.250 ; 4.250 ; Rise ; C25M ; +; nDEVSEL ; C25M ; 7.656 ; 7.656 ; Rise ; C25M ; +; nIOSEL ; C25M ; 4.029 ; 4.029 ; Rise ; C25M ; +; nIOSTRB ; C25M ; 7.314 ; 7.314 ; Rise ; C25M ; +; nRES ; C25M ; 4.265 ; 4.265 ; Rise ; C25M ; +; RA[*] ; PHI0 ; 5.399 ; 5.399 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 1.137 ; 1.137 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; 1.471 ; 1.471 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 3.056 ; 3.056 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 4.283 ; 4.283 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; 3.449 ; 3.449 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; 5.074 ; 5.074 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; 5.399 ; 5.399 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; 4.606 ; 4.606 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; 4.829 ; 4.829 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; 3.556 ; 3.556 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; 4.175 ; 4.175 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; 4.473 ; 4.473 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 4.339 ; 4.339 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 1.302 ; 1.302 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -667,63 +675,63 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -3.253 ; -3.253 ; Rise ; C25M ; -; MOSI ; C25M ; -4.242 ; -4.242 ; Rise ; C25M ; -; PHI0 ; C25M ; -1.547 ; -1.547 ; Rise ; C25M ; -; RA[*] ; C25M ; -3.716 ; -3.716 ; Rise ; C25M ; -; RA[0] ; C25M ; -3.716 ; -3.716 ; Rise ; C25M ; -; RA[1] ; C25M ; -3.753 ; -3.753 ; Rise ; C25M ; -; RA[2] ; C25M ; -5.743 ; -5.743 ; Rise ; C25M ; -; RA[3] ; C25M ; -6.033 ; -6.033 ; Rise ; C25M ; -; RA[4] ; C25M ; -5.827 ; -5.827 ; Rise ; C25M ; -; RA[5] ; C25M ; -5.069 ; -5.069 ; Rise ; C25M ; -; RA[6] ; C25M ; -5.769 ; -5.769 ; Rise ; C25M ; -; RA[7] ; C25M ; -5.902 ; -5.902 ; Rise ; C25M ; -; RA[8] ; C25M ; -4.498 ; -4.498 ; Rise ; C25M ; -; RA[9] ; C25M ; -4.646 ; -4.646 ; Rise ; C25M ; -; RA[10] ; C25M ; -5.696 ; -5.696 ; Rise ; C25M ; -; RA[11] ; C25M ; -4.623 ; -4.623 ; Rise ; C25M ; -; RA[12] ; C25M ; -6.309 ; -6.309 ; Rise ; C25M ; -; RA[13] ; C25M ; -6.813 ; -6.813 ; Rise ; C25M ; -; RA[14] ; C25M ; -6.643 ; -6.643 ; Rise ; C25M ; -; RA[15] ; C25M ; -6.969 ; -6.969 ; Rise ; C25M ; -; RD[*] ; C25M ; -2.112 ; -2.112 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.658 ; -2.658 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.117 ; -2.117 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.212 ; -2.212 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.645 ; -2.645 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.151 ; -2.151 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.112 ; -2.112 ; Rise ; C25M ; -; RD[6] ; C25M ; -2.241 ; -2.241 ; Rise ; C25M ; -; RD[7] ; C25M ; -2.167 ; -2.167 ; Rise ; C25M ; -; SD[*] ; C25M ; -3.239 ; -3.239 ; Rise ; C25M ; -; SD[0] ; C25M ; -4.349 ; -4.349 ; Rise ; C25M ; -; SD[1] ; C25M ; -4.619 ; -4.619 ; Rise ; C25M ; -; SD[2] ; C25M ; -5.014 ; -5.014 ; Rise ; C25M ; -; SD[3] ; C25M ; -3.565 ; -3.565 ; Rise ; C25M ; -; SD[4] ; C25M ; -4.054 ; -4.054 ; Rise ; C25M ; -; SD[5] ; C25M ; -3.239 ; -3.239 ; Rise ; C25M ; -; SD[6] ; C25M ; -3.764 ; -3.764 ; Rise ; C25M ; -; SD[7] ; C25M ; -5.850 ; -5.850 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -2.601 ; -2.601 ; Rise ; C25M ; -; nIOSEL ; C25M ; -2.960 ; -2.960 ; Rise ; C25M ; -; nIOSTRB ; C25M ; -5.410 ; -5.410 ; Rise ; C25M ; -; nRES ; C25M ; -2.686 ; -2.686 ; Rise ; C25M ; -; RA[*] ; PHI0 ; -0.688 ; -0.688 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; -1.473 ; -1.473 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -0.688 ; -0.688 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; -2.947 ; -2.947 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; -4.370 ; -4.370 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -4.649 ; -4.649 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -3.704 ; -3.704 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -5.757 ; -5.757 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -3.629 ; -3.629 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -2.768 ; -2.768 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -3.199 ; -3.199 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -3.703 ; -3.703 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -3.533 ; -3.533 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; -3.859 ; -3.859 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 0.354 ; 0.354 ; Rise ; PHI0 ; +; MISO ; C25M ; -3.532 ; -3.532 ; Rise ; C25M ; +; MOSI ; C25M ; -3.602 ; -3.602 ; Rise ; C25M ; +; PHI0 ; C25M ; -1.453 ; -1.453 ; Rise ; C25M ; +; RA[*] ; C25M ; -3.814 ; -3.814 ; Rise ; C25M ; +; RA[0] ; C25M ; -4.347 ; -4.347 ; Rise ; C25M ; +; RA[1] ; C25M ; -3.814 ; -3.814 ; Rise ; C25M ; +; RA[2] ; C25M ; -3.955 ; -3.955 ; Rise ; C25M ; +; RA[3] ; C25M ; -6.045 ; -6.045 ; Rise ; C25M ; +; RA[4] ; C25M ; -5.238 ; -5.238 ; Rise ; C25M ; +; RA[5] ; C25M ; -6.852 ; -6.852 ; Rise ; C25M ; +; RA[6] ; C25M ; -6.391 ; -6.391 ; Rise ; C25M ; +; RA[7] ; C25M ; -5.654 ; -5.654 ; Rise ; C25M ; +; RA[8] ; C25M ; -5.683 ; -5.683 ; Rise ; C25M ; +; RA[9] ; C25M ; -5.667 ; -5.667 ; Rise ; C25M ; +; RA[10] ; C25M ; -5.110 ; -5.110 ; Rise ; C25M ; +; RA[11] ; C25M ; -4.902 ; -4.902 ; Rise ; C25M ; +; RA[12] ; C25M ; -7.857 ; -7.857 ; Rise ; C25M ; +; RA[13] ; C25M ; -8.476 ; -8.476 ; Rise ; C25M ; +; RA[14] ; C25M ; -8.774 ; -8.774 ; Rise ; C25M ; +; RA[15] ; C25M ; -8.640 ; -8.640 ; Rise ; C25M ; +; RD[*] ; C25M ; -1.834 ; -1.834 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.186 ; -2.186 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.114 ; -2.114 ; Rise ; C25M ; +; RD[2] ; C25M ; -2.096 ; -2.096 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.111 ; -2.111 ; Rise ; C25M ; +; RD[4] ; C25M ; -2.085 ; -2.085 ; Rise ; C25M ; +; RD[5] ; C25M ; -2.079 ; -2.079 ; Rise ; C25M ; +; RD[6] ; C25M ; -1.861 ; -1.861 ; Rise ; C25M ; +; RD[7] ; C25M ; -1.834 ; -1.834 ; Rise ; C25M ; +; SD[*] ; C25M ; -3.134 ; -3.134 ; Rise ; C25M ; +; SD[0] ; C25M ; -3.452 ; -3.452 ; Rise ; C25M ; +; SD[1] ; C25M ; -3.465 ; -3.465 ; Rise ; C25M ; +; SD[2] ; C25M ; -3.134 ; -3.134 ; Rise ; C25M ; +; SD[3] ; C25M ; -4.808 ; -4.808 ; Rise ; C25M ; +; SD[4] ; C25M ; -5.902 ; -5.902 ; Rise ; C25M ; +; SD[5] ; C25M ; -4.707 ; -4.707 ; Rise ; C25M ; +; SD[6] ; C25M ; -3.881 ; -3.881 ; Rise ; C25M ; +; SD[7] ; C25M ; -3.696 ; -3.696 ; Rise ; C25M ; +; nDEVSEL ; C25M ; -2.655 ; -2.655 ; Rise ; C25M ; +; nIOSEL ; C25M ; -2.809 ; -2.809 ; Rise ; C25M ; +; nIOSTRB ; C25M ; -6.729 ; -6.729 ; Rise ; C25M ; +; nRES ; C25M ; -3.711 ; -3.711 ; Rise ; C25M ; +; RA[*] ; PHI0 ; -0.515 ; -0.515 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; -0.583 ; -0.583 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; -0.917 ; -0.917 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; -2.502 ; -2.502 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; -3.729 ; -3.729 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; -2.895 ; -2.895 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; -2.728 ; -2.728 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; -3.053 ; -3.053 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; -2.260 ; -2.260 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; -0.515 ; -0.515 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; -2.384 ; -2.384 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; -3.003 ; -3.003 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; -3.301 ; -3.301 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; -3.167 ; -3.167 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 0.063 ; 0.063 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -732,55 +740,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 9.467 ; 9.467 ; Rise ; C25M ; -; DQML ; C25M ; 8.761 ; 8.761 ; Rise ; C25M ; -; FCK ; C25M ; 8.921 ; 8.921 ; Rise ; C25M ; -; MOSI ; C25M ; 7.606 ; 7.606 ; Rise ; C25M ; -; RCKE ; C25M ; 8.729 ; 8.729 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.082 ; 9.082 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; -; RD[1] ; C25M ; 6.959 ; 6.959 ; Rise ; C25M ; -; RD[2] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.301 ; 8.301 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.292 ; 8.292 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.457 ; 8.457 ; Rise ; C25M ; -; RD[6] ; C25M ; 9.082 ; 9.082 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; -; RDdir ; C25M ; 11.821 ; 11.821 ; Rise ; C25M ; -; SA[*] ; C25M ; 9.176 ; 9.176 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.347 ; 8.347 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.123 ; 8.123 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.213 ; 8.213 ; Rise ; C25M ; -; SA[4] ; C25M ; 9.176 ; 9.176 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.811 ; 8.811 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.780 ; 8.780 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.738 ; 8.738 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.361 ; 8.361 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.470 ; 8.470 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.498 ; 8.498 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.320 ; 8.320 ; Rise ; C25M ; -; SBA[*] ; C25M ; 9.367 ; 9.367 ; Rise ; C25M ; -; SBA[0] ; C25M ; 9.367 ; 9.367 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.694 ; 8.694 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.867 ; 8.867 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.311 ; 8.311 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.376 ; 8.376 ; Rise ; C25M ; -; SD[3] ; C25M ; 8.541 ; 8.541 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.489 ; 8.489 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.493 ; 8.493 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.466 ; 8.466 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.867 ; 8.867 ; Rise ; C25M ; -; nCAS ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; nFCS ; C25M ; 8.134 ; 8.134 ; Rise ; C25M ; +; DQMH ; C25M ; 8.310 ; 8.310 ; Rise ; C25M ; +; DQML ; C25M ; 9.180 ; 9.180 ; Rise ; C25M ; +; FCK ; C25M ; 7.598 ; 7.598 ; Rise ; C25M ; +; MOSI ; C25M ; 8.176 ; 8.176 ; Rise ; C25M ; +; RCKE ; C25M ; 9.862 ; 9.862 ; Rise ; C25M ; +; RD[*] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; +; RD[0] ; C25M ; 9.246 ; 9.246 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.959 ; 8.959 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.827 ; 8.827 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.971 ; 8.971 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.952 ; 8.952 ; Rise ; C25M ; +; RD[5] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; +; RD[7] ; C25M ; 8.563 ; 8.563 ; Rise ; C25M ; +; RDdir ; C25M ; 13.177 ; 13.177 ; Rise ; C25M ; +; SA[*] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.251 ; 8.251 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; +; SA[3] ; C25M ; 9.041 ; 9.041 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.336 ; 8.336 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.314 ; 8.314 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.312 ; 8.312 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.938 ; 8.938 ; Rise ; C25M ; +; SA[9] ; C25M ; 9.480 ; 9.480 ; Rise ; C25M ; +; SA[10] ; C25M ; 8.331 ; 8.331 ; Rise ; C25M ; +; SA[11] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.717 ; 8.717 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; +; SD[*] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.052 ; 8.052 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; SD[3] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; +; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; +; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SD[7] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; +; nCAS ; C25M ; 8.009 ; 8.009 ; Rise ; C25M ; +; nFCS ; C25M ; 7.588 ; 7.588 ; Rise ; C25M ; ; nRAS ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; nRCS ; C25M ; 8.339 ; 8.339 ; Rise ; C25M ; -; nRESout ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; nSWE ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Fall ; PHI0 ; +; nRCS ; C25M ; 8.737 ; 8.737 ; Rise ; C25M ; +; nRESout ; C25M ; 8.496 ; 8.496 ; Rise ; C25M ; +; nSWE ; C25M ; 8.362 ; 8.362 ; Rise ; C25M ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -789,55 +797,55 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; DQMH ; C25M ; 9.467 ; 9.467 ; Rise ; C25M ; -; DQML ; C25M ; 8.761 ; 8.761 ; Rise ; C25M ; -; FCK ; C25M ; 8.921 ; 8.921 ; Rise ; C25M ; -; MOSI ; C25M ; 7.606 ; 7.606 ; Rise ; C25M ; -; RCKE ; C25M ; 8.729 ; 8.729 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; RD[0] ; C25M ; 8.674 ; 8.674 ; Rise ; C25M ; -; RD[1] ; C25M ; 6.959 ; 6.959 ; Rise ; C25M ; -; RD[2] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.301 ; 8.301 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.292 ; 8.292 ; Rise ; C25M ; -; RD[5] ; C25M ; 8.457 ; 8.457 ; Rise ; C25M ; -; RD[6] ; C25M ; 9.082 ; 9.082 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; -; RDdir ; C25M ; 8.943 ; 8.943 ; Rise ; C25M ; -; SA[*] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.347 ; 8.347 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.123 ; 8.123 ; Rise ; C25M ; -; SA[3] ; C25M ; 8.213 ; 8.213 ; Rise ; C25M ; -; SA[4] ; C25M ; 9.176 ; 9.176 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.811 ; 8.811 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.780 ; 8.780 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.738 ; 8.738 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.077 ; 8.077 ; Rise ; C25M ; -; SA[9] ; C25M ; 8.361 ; 8.361 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.470 ; 8.470 ; Rise ; C25M ; -; SA[11] ; C25M ; 8.498 ; 8.498 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.320 ; 8.320 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.694 ; 8.694 ; Rise ; C25M ; -; SBA[0] ; C25M ; 9.367 ; 9.367 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.694 ; 8.694 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; SD[0] ; C25M ; 8.311 ; 8.311 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.376 ; 8.376 ; Rise ; C25M ; -; SD[3] ; C25M ; 8.541 ; 8.541 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.489 ; 8.489 ; Rise ; C25M ; -; SD[5] ; C25M ; 8.493 ; 8.493 ; Rise ; C25M ; -; SD[6] ; C25M ; 8.466 ; 8.466 ; Rise ; C25M ; -; SD[7] ; C25M ; 8.867 ; 8.867 ; Rise ; C25M ; -; nCAS ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; nFCS ; C25M ; 8.134 ; 8.134 ; Rise ; C25M ; +; DQMH ; C25M ; 8.310 ; 8.310 ; Rise ; C25M ; +; DQML ; C25M ; 9.180 ; 9.180 ; Rise ; C25M ; +; FCK ; C25M ; 7.598 ; 7.598 ; Rise ; C25M ; +; MOSI ; C25M ; 8.176 ; 8.176 ; Rise ; C25M ; +; RCKE ; C25M ; 9.862 ; 9.862 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; +; RD[0] ; C25M ; 9.246 ; 9.246 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.959 ; 8.959 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.827 ; 8.827 ; Rise ; C25M ; +; RD[3] ; C25M ; 8.971 ; 8.971 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.952 ; 8.952 ; Rise ; C25M ; +; RD[5] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; +; RD[7] ; C25M ; 8.563 ; 8.563 ; Rise ; C25M ; +; RDdir ; C25M ; 8.609 ; 8.609 ; Rise ; C25M ; +; SA[*] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.251 ; 8.251 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; +; SA[3] ; C25M ; 9.041 ; 9.041 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.336 ; 8.336 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.314 ; 8.314 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.312 ; 8.312 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.938 ; 8.938 ; Rise ; C25M ; +; SA[9] ; C25M ; 9.480 ; 9.480 ; Rise ; C25M ; +; SA[10] ; C25M ; 8.331 ; 8.331 ; Rise ; C25M ; +; SA[11] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.717 ; 8.717 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.052 ; 8.052 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; +; SD[3] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; +; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; +; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; +; SD[7] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; +; nCAS ; C25M ; 8.009 ; 8.009 ; Rise ; C25M ; +; nFCS ; C25M ; 7.588 ; 7.588 ; Rise ; C25M ; ; nRAS ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; nRCS ; C25M ; 8.339 ; 8.339 ; Rise ; C25M ; -; nRESout ; C25M ; 8.235 ; 8.235 ; Rise ; C25M ; -; nSWE ; C25M ; 6.923 ; 6.923 ; Rise ; C25M ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 9.322 ; 9.322 ; Fall ; PHI0 ; +; nRCS ; C25M ; 8.737 ; 8.737 ; Rise ; C25M ; +; nRESout ; C25M ; 8.496 ; 8.496 ; Rise ; C25M ; +; nSWE ; C25M ; 8.362 ; 8.362 ; Rise ; C25M ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 8.885 ; 8.885 ; Fall ; PHI0 ; +-----------+------------+-------+-------+------------+-----------------+ @@ -846,44 +854,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.256 ; ; ; 8.256 ; -; INTin ; INTout ; 8.887 ; ; ; 8.887 ; -; nDEVSEL ; RD[0] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[1] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[2] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[3] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[4] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[5] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[6] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[7] ; 12.941 ; ; ; 12.941 ; -; nDEVSEL ; RDdir ; 13.427 ; ; ; 13.427 ; -; nIOSEL ; RD[0] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[1] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[2] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[3] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[4] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[5] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[6] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[7] ; 12.257 ; ; ; 12.257 ; -; nIOSEL ; RDdir ; 12.743 ; ; ; 12.743 ; -; nIOSTRB ; RD[0] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[1] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[2] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[3] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[4] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[5] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[6] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[7] ; 12.794 ; ; ; 12.794 ; -; nIOSTRB ; RDdir ; 13.280 ; ; ; 13.280 ; -; nWE ; RD[0] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[1] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[2] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[3] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[4] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[5] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[6] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[7] ; 10.881 ; ; ; 10.881 ; -; nWE ; RDdir ; 11.367 ; ; ; 11.367 ; +; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; +; INTin ; INTout ; 8.886 ; ; ; 8.886 ; +; nDEVSEL ; RD[0] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[1] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[2] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[3] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[4] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[5] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[6] ; 11.984 ; ; ; 11.984 ; +; nDEVSEL ; RD[7] ; 12.044 ; ; ; 12.044 ; +; nDEVSEL ; RDdir ; 11.832 ; ; ; 11.832 ; +; nIOSEL ; RD[0] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[1] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[2] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[3] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[4] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[5] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[6] ; 12.291 ; ; ; 12.291 ; +; nIOSEL ; RD[7] ; 12.351 ; ; ; 12.351 ; +; nIOSEL ; RDdir ; 12.139 ; ; ; 12.139 ; +; nIOSTRB ; RD[0] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[1] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[2] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[3] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[4] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[5] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[6] ; 12.165 ; ; ; 12.165 ; +; nIOSTRB ; RD[7] ; 12.225 ; ; ; 12.225 ; +; nIOSTRB ; RDdir ; 12.013 ; ; ; 12.013 ; +; nWE ; RD[0] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[1] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[2] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[3] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[4] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[5] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[6] ; 11.133 ; ; ; 11.133 ; +; nWE ; RD[7] ; 11.193 ; ; ; 11.193 ; +; nWE ; RDdir ; 10.981 ; ; ; 10.981 ; +------------+-------------+--------+----+----+--------+ @@ -892,44 +900,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.256 ; ; ; 8.256 ; -; INTin ; INTout ; 8.887 ; ; ; 8.887 ; -; nDEVSEL ; RD[0] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[1] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[2] ; 16.962 ; ; ; 16.962 ; -; nDEVSEL ; RD[3] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[4] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[5] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[6] ; 17.492 ; ; ; 17.492 ; -; nDEVSEL ; RD[7] ; 12.941 ; ; ; 12.941 ; -; nDEVSEL ; RDdir ; 13.427 ; ; ; 13.427 ; -; nIOSEL ; RD[0] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[1] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[2] ; 16.278 ; ; ; 16.278 ; -; nIOSEL ; RD[3] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[4] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[5] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[6] ; 16.808 ; ; ; 16.808 ; -; nIOSEL ; RD[7] ; 12.257 ; ; ; 12.257 ; -; nIOSEL ; RDdir ; 12.743 ; ; ; 12.743 ; -; nIOSTRB ; RD[0] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[1] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[2] ; 16.815 ; ; ; 16.815 ; -; nIOSTRB ; RD[3] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[4] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[5] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[6] ; 17.345 ; ; ; 17.345 ; -; nIOSTRB ; RD[7] ; 12.794 ; ; ; 12.794 ; -; nIOSTRB ; RDdir ; 13.280 ; ; ; 13.280 ; -; nWE ; RD[0] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[1] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[2] ; 14.902 ; ; ; 14.902 ; -; nWE ; RD[3] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[4] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[5] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[6] ; 15.432 ; ; ; 15.432 ; -; nWE ; RD[7] ; 10.881 ; ; ; 10.881 ; -; nWE ; RDdir ; 11.367 ; ; ; 11.367 ; +; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; +; INTin ; INTout ; 8.886 ; ; ; 8.886 ; +; nDEVSEL ; RD[0] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[1] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[2] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[3] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[4] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[5] ; 10.928 ; ; ; 10.928 ; +; nDEVSEL ; RD[6] ; 11.984 ; ; ; 11.984 ; +; nDEVSEL ; RD[7] ; 12.044 ; ; ; 12.044 ; +; nDEVSEL ; RDdir ; 11.832 ; ; ; 11.832 ; +; nIOSEL ; RD[0] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[1] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[2] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[3] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[4] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[5] ; 11.235 ; ; ; 11.235 ; +; nIOSEL ; RD[6] ; 12.291 ; ; ; 12.291 ; +; nIOSEL ; RD[7] ; 12.351 ; ; ; 12.351 ; +; nIOSEL ; RDdir ; 12.139 ; ; ; 12.139 ; +; nIOSTRB ; RD[0] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[1] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[2] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[3] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[4] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[5] ; 11.109 ; ; ; 11.109 ; +; nIOSTRB ; RD[6] ; 12.165 ; ; ; 12.165 ; +; nIOSTRB ; RD[7] ; 12.225 ; ; ; 12.225 ; +; nIOSTRB ; RDdir ; 12.013 ; ; ; 12.013 ; +; nWE ; RD[0] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[1] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[2] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[3] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[4] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[5] ; 10.077 ; ; ; 10.077 ; +; nWE ; RD[6] ; 11.133 ; ; ; 11.133 ; +; nWE ; RD[7] ; 11.193 ; ; ; 11.193 ; +; nWE ; RDdir ; 10.981 ; ; ; 10.981 ; +------------+-------------+--------+----+----+--------+ @@ -938,93 +946,93 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 11.335 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 11.335 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 13.329 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 13.389 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +-----------+------------+--------+------+------------+-----------------+ -+-----------------------------------------------------------------------+ -; Minimum Output Enable Times ; -+-----------+------------+--------+------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 8.457 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.457 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -+-----------+------------+--------+------+------------+-----------------+ ++----------------------------------------------------------------------+ +; Minimum Output Enable Times ; ++-----------+------------+-------+------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+-------+------+------------+-----------------+ +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 8.761 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 8.821 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; ++-----------+------------+-------+------+------------+-----------------+ +-------------------------------------------------------------------------------+ @@ -1032,45 +1040,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 11.335 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 15.356 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 15.886 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 11.335 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 12.273 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 13.329 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 13.389 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1079,45 +1087,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 6.468 ; ; Rise ; C25M ; -; MOSI ; C25M ; 8.578 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 8.457 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.478 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.008 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.457 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 6.976 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.063 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.441 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.441 ; ; Rise ; C25M ; -; nFCS ; C25M ; 7.200 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 12.857 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 13.387 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 8.836 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 7.705 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 8.761 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 8.821 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; +; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1126,9 +1134,9 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 87 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 1 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1371 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 85 ; 1 ; 0 ; 0 ; +; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1138,9 +1146,9 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1378 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 87 ; 1 ; 0 ; 0 ; -; C25M ; PHI0 ; 1 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 1371 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 85 ; 1 ; 0 ; 0 ; +; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1185,7 +1193,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 42 ; 42 ; -; Unconstrained Input Port Paths ; 632 ; 632 ; +; Unconstrained Input Port Paths ; 641 ; 641 ; ; Unconstrained Output Ports ; 45 ; 45 ; ; Unconstrained Output Port Paths ; 118 ; 118 ; +---------------------------------+-------+------+ @@ -1195,12 +1203,12 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; TimeQuest Timing Analyzer Messages ; +------------------------------------+ Info: ******************************************************************* -Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer +Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 05:55:53 2021 + Info: Processing started: Sun Apr 18 06:27:20 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 -Warning (20028): Parallel compilation is not licensed and has been disabled +Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (306004): Started post-fitting delay annotation @@ -1212,16 +1220,16 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name PHI0 PHI0 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.431 +Info (332146): Worst-case setup slack is -9.035 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.431 -683.489 C25M - Info (332119): -1.421 -1.421 PHI0 -Info (332146): Worst-case hold slack is 1.384 + Info (332119): -9.035 -651.992 C25M + Info (332119): 0.356 0.000 PHI0 +Info (332146): Worst-case hold slack is -0.263 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 1.384 0.000 C25M - Info (332119): 1.867 0.000 PHI0 + Info (332119): -0.263 -0.263 PHI0 + Info (332119): 1.391 0.000 C25M Info (332146): Worst-case recovery slack is -4.406 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== @@ -1238,10 +1246,10 @@ Info (332146): Worst-case minimum pulse width slack is -2.289 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements -Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 368 megabytes - Info: Processing ended: Sun Apr 18 05:55:54 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 +Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings + Info: Peak virtual memory: 276 megabytes + Info: Processing ended: Sun Apr 18 06:27:24 2021 + Info: Elapsed time: 00:00:04 + Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 15eb332..8110278 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,19 +3,19 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : -9.431 -TNS : -683.489 +Slack : -9.035 +TNS : -651.992 Type : Setup 'PHI0' -Slack : -1.421 -TNS : -1.421 - -Type : Hold 'C25M' -Slack : 1.384 +Slack : 0.356 TNS : 0.000 Type : Hold 'PHI0' -Slack : 1.867 +Slack : -0.263 +TNS : -0.263 + +Type : Hold 'C25M' +Slack : 1.391 TNS : 0.000 Type : Recovery 'C25M'