From f09d92f48074bb74517ba0bc4be468d226f5ddb9 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Mon, 19 Apr 2021 02:57:51 -0400 Subject: [PATCH] Sorta works --- cpld/GR8RAM.qws | Bin 0 -> 1284 bytes cpld/GR8RAM.v | 68 +- cpld/db/GR8RAM.(0).cnf.cdb | Bin 25001 -> 25006 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 4488 -> 4445 bytes cpld/db/GR8RAM.asm.qmsg | 12 +- cpld/db/GR8RAM.asm.rdb | Bin 1368 -> 1367 bytes cpld/db/GR8RAM.asm_labs.ddb | Bin 3182 -> 3204 bytes cpld/db/GR8RAM.cmp.cdb | Bin 58459 -> 58967 bytes cpld/db/GR8RAM.cmp.hdb | Bin 19821 -> 19454 bytes cpld/db/GR8RAM.cmp.idb | Bin 17648 -> 17910 bytes cpld/db/GR8RAM.cmp.rdb | Bin 16277 -> 16327 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 103145 -> 105291 bytes cpld/db/GR8RAM.fit.qmsg | 78 +- cpld/db/GR8RAM.hier_info | 52 +- cpld/db/GR8RAM.hif | Bin 439 -> 437 bytes cpld/db/GR8RAM.map.cdb | Bin 21014 -> 20934 bytes cpld/db/GR8RAM.map.hdb | Bin 18562 -> 18279 bytes cpld/db/GR8RAM.map.qmsg | 43 +- cpld/db/GR8RAM.map.rdb | Bin 1238 -> 1238 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 15401 -> 15263 bytes cpld/db/GR8RAM.quiproj.3044.rdr.flock | 0 cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 339 -> 338 bytes cpld/db/GR8RAM.routing.rdb | Bin 1418 -> 1418 bytes cpld/db/GR8RAM.rtlv.hdb | Bin 15321 -> 15162 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 27780 -> 27671 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 21865 -> 22067 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 17026 -> 16860 bytes cpld/db/GR8RAM.sta.qmsg | 46 +- cpld/db/GR8RAM.sta.rdb | Bin 14138 -> 13902 bytes cpld/db/GR8RAM.sta_cmp.5_slow.tdb | Bin 54282 -> 53901 bytes cpld/db/GR8RAM.tmw_info | 10 +- cpld/db/GR8RAM.vpr.ammdb | Bin 820 -> 869 bytes cpld/db/logic_util_heursitic.dat | Bin 13288 -> 13288 bytes cpld/db/prev_cmp_GR8RAM.qmsg | 195 ++- .../GR8RAM.root_partition.map.kpt | Bin 3122 -> 3106 bytes cpld/output_files/GR8RAM.asm.rpt | 12 +- cpld/output_files/GR8RAM.done | 2 +- cpld/output_files/GR8RAM.fit.rpt | 246 +-- cpld/output_files/GR8RAM.fit.summary | 2 +- cpld/output_files/GR8RAM.flow.rpt | 16 +- cpld/output_files/GR8RAM.jdi | 2 +- cpld/output_files/GR8RAM.map.rpt | 63 +- cpld/output_files/GR8RAM.map.smsg | 4 +- cpld/output_files/GR8RAM.map.summary | 4 +- cpld/output_files/GR8RAM.pof | Bin 7879 -> 7879 bytes cpld/output_files/GR8RAM.sta.rpt | 1436 ++++++++--------- cpld/output_files/GR8RAM.sta.summary | 18 +- 47 files changed, 1151 insertions(+), 1158 deletions(-) create mode 100755 cpld/GR8RAM.qws delete mode 100755 cpld/db/GR8RAM.quiproj.3044.rdr.flock diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws new file mode 100755 index 0000000000000000000000000000000000000000..28bd7eded8d22da32b322f6a663415d1c8cfea1c GIT binary patch literal 1284 zcmeH_&n`nz5XQgTl62_-EE*AMB(0YE6Dxlb-LSzzEHu@7FM{41tyoA`p2izkcmo@; zv+@e|O8w@zwNQ}|c24u1b7nd-cji0aLQcIktRvObR7+KzDbk4!v0{961q69uOWT^) zgx2xI#5B2Au;##`*0AdMkK}WUbV{w*?Nx<3z#sHw6v*)TS4x3Zol~pCH_MtNdWt=d zXO^fLZY6#`$P-c2F35u-QAIoB#P8PH=(SuHtsT5#3C+T@I2X_qNZj1F~Kb|z~`E2IWcU?FuKeimf!WXSO*2invA zPrhV@t;ncrDyF$FS|zTp)if?EFiv7b2R7sJ_sbN#``a5U1Q8trEK@pdR967P9Jqkj zYwnI+Cm~fH1q;U7(TU!B@15Nsq7xBB-RQkU@4bs&qwTVKU454= zKcDyakKc2j=gfI#&dl6QWy7LjVdcak$fB*xqGw~t^1<4{`(Crm z4)@;7g9m@i{v!{(@&1oiS^OXM$2rO-Y-jq=F+@hL^ANnmpq|eX_Lw~v5YkTa>iZ*{ zzaKQoMM9%q6TGbfSO#3Lx4mSc=21V2vE-Ky!h02F$*=$PS>fu<-NXcF614j_XH7;d zw12e)+#+-b0fDhUv)AgRWMpJQvd?qFd!U9Xbpf8t!x6f-ySCvywzq8#U-#VI<54OB z4a(hOo8TGh(L3Iy5CieoBbE(eaU8PVBQpV1_!Pm8$?bv2&C+q9?}0h*<0vFukUG7&GX37^ zK2wu8ClrO;ev+s!5RgcIRV9?S?xHZ)HW?L|NT+!!!FtePE8lTrJxBb^@ek4??wFxW zLDr(44*7M%c8hW_GfAD+{hrb9!e>@38!Y&otaK7Ve~Wm@@M0$lh{2&vaz;!_9t)qF*jR_>sZYi-7NQn((*Ou6#R504JdkGd;Z5}r12<{r;6H(cW z5Mo((WAE3doY*Ys*b5yS^=LR)vam_%{SE9o!drMl96xjPZ zc8-$3pde@DSEIdx)p8zPyhE(5aFJ>|f<^!HDRY}Gq=B$}6@4S+o+tMnUR$Ug`Eau{ z4DalPwhEpgw>5>_it;Sq%4K9x@nX%f!VXG#d!MOm)53f5AfljJO`h_tnoa34hCBc`xh2 zXVO32iMqmGu^m!quP1aOazyn`H-*&2Voy5+4Z&BFCF;`F3xIWo{3|6CY?pC5Q5AlJ zuuAgrqz1J|&RiSPccf`0insU1fy*}#6%S1ifzr3YZc@`lf$I-eyC zb!A3`SO{||Y|r$3pwJvswrQ0KI}^{_VY;5z>ag#KL^$YZKl z8gKQI%*Hs;pbam45MjS+6fWBhJV5+zIy*xwoJ^tqZp5~qcMU}nHgBl}ZDNfPVu`Tl zn15!{P&8qWHnza`A0@%JQy7ThEIt3z-N)+hs9R;x&E)TU96OQrvDpU?C-)O4S1xak zpL8zNzu1V3ROUFMP~(?{y6puEPylYu)Q#H+u)iSoX3UWUghj>;)jhKaK?D!53 z(j6_q0NDQZ5%MTo4QqR+vQKo;=L||e=9lm9(vq&B=E@JYPy6;oWXo=kS^Zc4;Ux~B z%{I6>Z9-Gj<|?_TkJW7a-wTK1kyG6rrhw7t@&r1}mUK>`_xajU0~O+!7xf`D`QT$c}k`krw@^FM{OEylHb zI3^;!G7cP5wNAN&m;t%3a@b@A52wxyK!*0`y14_|NCHguu^j{bMR# z|14af_oUyl;x5cO)q5$)NA5_J7SjLqf<^OjzhZhdYF)@C}AQlMCE%wpDd zkXJE@kyhuv#jXA7iy^kB`TNCpk#6cl6mNOWPOfc;*#740^@A&|yjl*m;O+8u5P64&=wP1cjXif&U8k4|UP*#y99KHw1+KX~SMU0-(d3VZRl z0PN-(ZOCHsTR_ytX}u=efy0Gx=yeSQ?QtU@Ec9{oqixf*e+Q(U>6430)xPh=53L7ML3= zW2Q3+$1qOd2^NNgOjn_tB$Gabux=t?qraK3dFS#rwN$cx756>bs4$ zy1vic`GHjQ{zkO9V`% zND)05e6p%KC*}#G&^{i|;+FARsVuE>b_l5E?ayv{(aD=RQ%YC&{iINfqeYj4#l&X* z2T3v0aIT8(sS@9sQ|iqtP&-czi+m=#=i#w%@dAy7Abw}gdio%9Y6YcvJ6U{v0&IeU z)SDgPD-l1YYI5?-!0E?p)#Z4}k97&=GgFezo_uh|N=$Hh|I8~BFOxQt(pQ5O+fUx_ zF2v^5b{LVph`V%^H*m}U+cG5|e|gd|r{c9%E7$uA1_qEo=|dg?a|#VkJMS zti2ATxt$`?r&_2&GlsOZ48@@Q+(7+ZCy{< zd&x&mHOjGtN#@MN&u7f%e~^aFD9IT5XyogjI@UN^5L%FYb|_8$6T|j9%_eyS^oixQ zb3Tux^`Bk4D=B@pRCc>XqOE=@q{iS2@pn z9huVUGnBaF=*MOn`2#3*#ctLL!&yu&szO)!Qo?it)1C5lAx=+y??`)r>5pL7>Zo2N zPJXM^2@=!QqHyWE0G;vXZL2t`(zi>gOkWFC2O9@w-q&xmBA~|kGF?51UXoFP+M7dD z_JKEUR{1iOJyqq^**wqE_+?q#sAB!WJkP54SoV(T%Ke92^L1~jGDC; z%}xjGm&)rYQDJ{7EbNGImE%9`#K`%0LGdYvV-&WB z)=oueuhxhjlEjaWDL0Ldmt3%ar-~m9Iwd4g2=Tyu`#%4hTij*5mm3Ibc#uV8{UQGw zKk%|0G-p1i$njK%P6UKF|HRMzNt)E0_bQag`j1ylux4jBaKZl> z48tl^-0Ftwa7#4AyrQfmm&sRmFeuL9{olmMP4)(fxeXO5D@_WJwRawR= z9>Zp$blb%A8~kyV-k10KOIgnA(N`?n;Tq@{MqZD8fJW)wIMp~#61^g+96eP(lnE0- zLT9UY{---SsTV1#-!S{|M|11r!z)pe=za=Q|hO(X#DRq|8H(Xt{Q;nvL zg|0%OuZ6e(MkIhG14BDOj|-w#x(eGg)YZ-c2ZNMRZ}QKR=PBt89d9!*G(9PrNS}!H z#UC!E6*yW0}>JibTF>cy@Kw*35h~ww%kv; z6mV(hwD}wv$9EmN6f>RG@}3em)z>k0{pcp+Y_{DtNnHlcPRZO7PcmBsr`iy(s$5${ z6PzjcY_a>cObsYy=;k+ay{@jtQsP*OvK=5L$(zWLd$ysdvK`<0D6PeMUCQY{kK-}N z3~`cHxSG=CHefZ+Wo@*Qr!#ej53b-8MWBivvyVovDC2rZPr;%pORTTfk%)JR3dNc2 z{20ggtqQ}`8j{ErQT?khB5Mzj9fYc!IW763?V-J>j1#WJL=hX&iur@B#|hswAe!l!S$8dRM=d%iacWyoDR)#&YFSW?0$laR8$_D> zl%N5aam0N3S9MMrqjhcu;=|ThWej4}{3k;OW^sTh654GQ?hPYVS&eUifPD2U$1j_#sF> z4pw@@jBC}!P55#~sPu{m+yI{dIiHnH`(yyBT?C#=`?e~1#1d%9mhjjW*AXfgQFg#v0 zO^lTd^ajM??KLNJ9-zWcg8^69%TA>}Xsx}G(2Pm*f!isV8_!`$<)%~c?Dew#hA&s-Cnff_hQ0~ zjh=au=21>rqRCBMW6#(Go>-=Kv+FiN3)Hadfu0)+cig%%lp(0g-}{n7)P)Ca2|Hc1 zd1ydvDBV))?xR~ir3$6`j7wuzc!I){#Kx3Sa|N_?noThu3Ky=%|1tZ;w1!T-QHRTo zR0!n!ly&XG@NbE^Ely8V#d}B_NX!t5uKQmf*H+!K2K&yU-Iy24Xs%$MD7pweeInrV zlPhd{EO%cKxQe?i&Q6Q^3g+Xs*g69~Y&IHYrddSSuU`S0`1qTh8cn`kge{~wUHY$F zt@DA?U1%)-P-B4rEciTeJy^?F>qeWT%i(#X$EOJ0AGHKGu%*_6(`ad_ijlSNS6#}$ z#lwbJ@Wd@-c_OXB*O>XNZ`b>B=F9*aR84kxxQ5mHd&}&$h$3bw>gD=*$co_yUjeFk z5ot;hk(FfFLz})j*d)mw7BF!Oep)@y5{sk{4(Z1-+oBWOoPY#R?^nj?)dm(ae#^W_ zjT$TH?OTY%2Dn=Iq67Mn;N9%zo2#)~Q`5?|#kDIdI@SEUce+34w_NQf>yU_Q;m25a1{ONwtDvzhD|@mrUxpgU6|bR-yAY)+{hH*W4}2pU=h7TpjpfY z=lL$*IpoP4fe8w5=~4N4E`k0wsZR-BE+pblgXUJ&6;(ikUtqiB&i(>-21~GmC)nbV z)5e^vtFDHfD>0y~%>q`uLtrv(`)U`<;bMugd)~en)#WCR1!!Ux`ThFPKUFPI8v%Hz zuH4lwskgU#A2c%KumM%(@6WMknZK|B!2k7NVuIKURQIqAV8oJvudpQaSh9?Ja)2%UZwv^kum!p%8O9*# zvg{nJ+Ckn?DD2zqf4j^TRGigacU@zmc?dBwH1_~Dlpz`mdMQH}WRG_W>aeU?`Vf?k z;wv6Qz`*b8u5}EWTgw*BQ2Z(D!?Hej!I<&&@PejNwUwYp%#}AZ{}`gbs9c2^H>&Q7 zkpUDCi~Top2kT>(L)O(bxShLhr^yeyqPrR%qgoOZ|5A#P2mhl#3b9lV8roR7TYz(% zYDyclpOtq^K5p(%B$w4|^R4&QGhka=$?8-&QfW^dRePY94Le}c&B9aT(Ez0*R_)5OjCtnFv-U8u^ZIfiAYGqW7-jh*U5@iyoMM$6YfEjum9vHmLPmg#GUI&tv& zX&eUN)s^@F<3^4V@q-W|uE~K~-?b0a0V%Hdbf%d@H@RqCaVc)Rx>LO;90=^MsJj;v z93EjETjPtVCJ9Im zP_LOR{d=Vim6aVQn?m^310Q#eY@0NHtFB!*nc*DXMoR)2>oCK2_PH;}Ik;jZG_eoj z;6w7Xr)!sih5%ew1R@mJFL?Gz3D*@Jb+fp98w!cp_l{bbaVyb0utGlyX0Y4yyJU5j z1~Gj;l`13=XV%6Cd&%@pYhOR?`YT^GK-HLv;jGN-h~iG*(@Kx!hIV#*HAJ>-y`h~_ z=dJ1%6F-k)PMC%ymQw>N#7H2ULzkECvCQxeS!zHI+4cDewetu5*yM)73T03iU#&O} zWb>gcM-&-^O^&7-JN^;`TWFXk_1OuMQfGt>re)vb$w5574wlc^_OV@eC~ZQvn8gbcVESbN1CUUOr9|KVzWIS(;7auG(9mg_uR#IxUWgsft#9h*6ldmt_5BZ;0UEs-f zBh?ML*vFB0w=?8_*1iIuYtC7nGT5K46%~mh;5UBoUUz8}VS^?`ghn|rDn8?sgEtUb z8!kWL0A>N{JX?L&DZdq5_wf&HbKf@^I&bhR{^TXU;fbHrRDeP+co!M=XAS7zhJpt+CGR}#&x=1VcgYjMx0a$gu0Zmw z80yP3@S9w;kj!Zq76{*cGSZy|4$s!wSP!$>8)!KYQS$wRO7h+9)xJ0>+H6C7P1a)+ z1Kr@fc{fVpc^4s6^E!Ef?rrWGg(B^@Dmnu0grM`7Vp$JzNR(xf}d7m zc6~OjH!0wHPd75F z*$IC6Pbuo(a7_pW%ld3pt|`-SWW318IXr=)A$zrag0fLgi;_Gf+FeIy?0sugYUIUW zHL1_EOs!;lhj{wKQbiR?$d~ls&F6jxcT;pVsA$ z56@bS3+-I5iR}iAA{u*RZC+LYU^)|;Qf^VL3mh-RHuR-bOmBx6PmRTzy#230`JQW3 zjw`EQd@o)>?Ljl{R~Al$A$9Ch$iAMJk#b)pVI3R~{D@8sZkD+;dxs;Fu^|!J+JHjg zD+f`r?qjw?a{K63jlSg`?8uWhM|E~$oL!H4#~gy*O8Q7Zfy`$nR_Oe>#nUTX~W z-W?aY^qZ;Knn%Uj--vGt5U!g%A4N*D{Y5akxQzqS>eY2FS^|Doe(h+8kEWjhJ?TZI znQ|?m{3=h%clL;QLY$2#W$b*$B`KKfeX>h9djg7$D__$5+kHHsE^zDqj_MyQt}RL5 z(bJIi+{*c2s_I=1#XuP!ZnJgD|TmWyQlUa{~VS1I8H;h3qX=j*yjw>NKywK z&|XN^ubT3W-!Llg-}^BSLe1kVw{^6wcCXL=vVoL;)+AYr#~pJvc$sh&){Cou`_uBW z_=(ZERS%fqs~%qT3I4E8-&V9XvMAsKYT&Qj%L0Kf39O`eBPKQzUq9!c98wNAczN)g zl*Q%mF+y@ukU8X&qT`Ru7rgP!p5T;&3J18+ z3g6K9r~#E7t~uV;X?v4#{rDR~eN=jf_#sVmbicD~_(b~3q-wPQ%e=BVfgn^%O1kGk1sn za!z^`=)^N>?dxXFeYzH~Bn5wIJvabzseHgzz4iVOeDdr1_Lz1PTIa>aw`i(>Xk1dP z?D*w4H%(^1c!!*joDiW^6MF6tPLh57TJvtp1d~ZHpUWr4J;XZ;T$3{iP`yOR*s zN1vC=O+s!o8{Sr5+3H0aK+=?(Pwd_W_k4<)1QVSlE=RT4pN|CHMUwRQNmzL$$$HFt z2y|a$dIbB4)Jy)QAIq|SC>}VV#9b0O&v29e?3J)>&oP3GP5!A*T{u@*LzmtV@~K|K&UK zhFUq}xCEvyON7f)sx`q=4Sg(-`}ncx@n5Z7uEx1UU(d%2QLX)AqZh6r`;=D#r^6dG;(yW%fNp> zxu49_;VVeuvkA1G6NDPet}^S2Q^2UCOoO*vQoxT)*dEF`@y1^pA3ye@fQ) zIC3(Q0l~oW0xk5^{MNJmCPnc-@1|*yuYD8H)55?ecGXXzKOh8`+b8vcd3+ z6gpWRl{c#=Q4Qz_TZQglU<$@nxT%z0qE# zetz@?A-=WwM7-q*^Ks(CU{Tc$jGz0hKRQ~^UuXdlaGX8OR~JozKHWqtNkG$tyT`%M zWquYdfPYJ;i8N?(2+H*y`wm2yPY)$ynCI+H0{3C{!i#~TnL@tHrE~lYw8j}}r3hu^&0KkK`FdVF(+rKAa$O6^6O!Odf2c6N6oUMT-> zQ}>OK;o<6vl#Yu&D=7p5jpRgH_}L7806g!k#=#0~c=Y_fF59?knY%;2?S;K$9{{=G zVF|^cUBx(9I04E}3<{7(tU_<=l-*8;=;37a=iqAu(B$CQ=I*7ex-ksoi-!%5n5>rh zw+{E%8?pm{I~DvFYJsM89(N$`^-0C$;3pTP!n)O|?Su*;dwxJu=e6t4=-`l$z2hsODE*}% zh`s&Y>K8FZPhvA03sHT#NwiA$84ekHddCjfZ`gGLiMYgEo*}uXy{ex+za|Lp*Bi{K zg%ehFhIW_3l`oS%C}RVC@#_$J?m=0i>URTKOSVFxFfnF;Y*o(g=HyVy)vWhwkV9W{ z)9U{IP-vo}xWY2Ss~O)*7zK=`v6g$?#<*2_vk#)>SiQJw@TZ!k5A`MSAF*)YDdBNC zwKP6yCs@&x0x4$|{JpKPwjm{fm&#e&t6QRavXp#6B2x+4SJzmtT59)?{|jL~V)6o_ zx7&@jg&Rc+kb=Q=zj8#&!n@i~4^Z)vr^q|R*)3rH>0Bms6?`$ufl)ZME0DX!}J!qEK5hi8>$?P|LnvZ@&57Z?oP7xDp7 zt&ET^vDGUj1qS`8-LIp|;rSnwS6v)=hq-!GtWMKqqSwdYl9z}KHN(|imTw-pzLoyq zPjG`EC>Jls1(89fkG0cR^`gM1iPhey4)C@RN7D~uShek=&F`6`I}dB5#IrNdfGw|C zdZ77aS6*4^CK|R~=2|K)Cw!TH`Z@?Txp4I6as+YM^M}@`(7O6bRtL64oBhMWs#4); zF}Px1+dxAnqjY9#_py;Q4JVf8{QMeN#sL~oa%x-2j8!i|yo&rf!T2!R_AlAcwHzP^5z{#h1ABwRN6S*2Y%%Faf2P6?4qgNkYLrw zdU;bOX^bP^X3yU5T`zg7WjwtOmVE8Ugx5z8!A29^W=Y*%!C$F?^LVlsac7BV8gj7h zKvddQzuns0pjqw0)2890v94GQLYs5%Ou3lM^N&bFTBj?*_%s7!0ykTs#9lF-r6*3o z;+f7=v0{lmO@|&i<8^l|(3LB3cl7X!{@y$MdmhT>?x5Sn;0A)1yFRC={9tCCPGk8^F8-$^u=+# z6S{{`YXDY_0WEL^zY|vfd#Ax~cjw5tu-%aB_m_v$F#zC-%I$AvVBxC`F)I9jcZ9)4 zcDEs9&_HpiJ=L#4sk|avK)dhiSH&j z%7TlazDy6XO!;7}$!mepT18t>; z0=70;5fGKaD`%*&SSY1+|1S3w_UFe$64~{fa;sSKY5+_e)v|Mm*$N!Gyus8T(gR)N zgDGzHjd?#_uC~2ZM?K&T48-_m_nI~?Mjk$!C6uIa(y7ueIn8a|y?DfAwP?yEq5e*N z&ha^x@+3vmt zhVDv08FS@Gr5N?_dV}PRxXn@R+3riGmD`O!Wn-A{dx_M5DSd3KoR1O51F_&+<+zm4 zlq^VFF&F;eKYY-= z*%Y$lG5-Y2)Dl8YddZ)3br8qfTAC0nT8rQq^nxL^HY(;@(zvav&#+YqF4Ca%132q+ z7Z32uioaUizh$98g>FTx3jHQCpj}Ji%8RCXAIUMj(u{sQ-@)t*>bcu6>K%z+S+a(S zN~W6x5luA53&I6k5EgG42~C+M!(r(A{Hp2FuroTw>dD2gupzsNWM4{In2^tPA708q z#m&UDpfub_QZ^hbncd`z{dNEh-jR;>7(sNIiUUo?5yT0L$;gSs$t;dF?O?uGgj<|V zfbG*0KaI%5-ARPA`|L$<4+_B;Kzzb(Xqg6UdWEVq>w_$BYi$U~hLf!>Cv|M!2=~8$ z!AtX0U;>2LW_Yt2!HsZnB6Fk+_~tR8j5dleHd2RY>g=|E_x9)6MO+CbFov@te?2{P zY)<5mG6A%VFuJQv=x{soo6^6VIg!5mlo-ATVzDWKf(Sm0>BV&76_-P16?$6((TX2vp#fx;(e|LH4;mKp%98i2TS`JBw-VJmdce z`jl5lPtwXDWE1YWVF*H198fpWl&XO~p&)GoXdOZ=gqdeviC5Z={ zA$Io6oTl$l=M`71h=8^V=0bP)89DsN`>U>QyY;lQPt+Lh>Dk0y(-zpgaCJ5-+&J5gx!n+Hm@V^7QCJ`5k*-~am z>atAZlo#t!H!g4BU5|ic#Hh(Ii1PiMUs0S9m@QNlksYVSitX@klAsz$!Tx1K473}S zivx_)#uXQ`K%OeC<^kQu#cQA!&;OR^B+5SopxSXrRY|dFL|F}#lYkn6D}*sD56es; z9+cH>;1n1<3y8?<;A|&?9I2j-uc!Ay$d6U;kwMO2s|LSvgp_urP_cvA(MvvFoMNU~ z4~LMRYVSMeep|_Pfn;RLC)dNbADDPOWWC2ea{j4?7+KVzFpuax zJF8ANA^oG$`a~4*#rv#_1wUe*-+qwUEtOvw64d^HSJ!c0R5GLgqq>);v2BL7rhAwC zTc0zyW;8y`jG;o;l6PFbBTV{_Hu>+&HLIiBAlXZB4%N1cS&LduJp@Ul;8^!}jJm?*dtJ z?6u~)Y5<{4{3HBBjjDY!UXOK`5_2a#ucQwOV|P_IqzgU2KUt0e{U6EwW?p<@M~pYN z>NE=}f6r(+lI2z5HIYcUOKj2>nKUp{;*d2Ih4*guTW&5{wxrDo^m&>8P%rhnb3^hj zal;0}~vv+Gd|x0eCO4M@pj1ph^Zw0*dH({Z$r^Vx@0@%G2wbE9Ya%y2WWvnme*nURJ&%06C^hNh){6?*Jqo#JL z3HCIeK&HtO+M#yKHPm7KSw~X0s52&XmU#uI);DspSG57}gFF=usA|M2a$7HO!DFsNgAxzTDvNJ(WHjGSN4|>a5Ju6Ds^n>xCjW@&&BZMgB$5cg z4sQx7wY|z6-<;-%B_5uPTL4K}Z1e{HC_?l9k=3YhST_0TVEEj)v8aKBfG+;xJzkB* z`|$#Yo2&|l2Jfo4ppY%;<@yDtahVZ|a2QNYqQ_gB zyM1!LOOBBEF*=%Sek4nFNLaX&r@mv4KiCXQQ-FAVWO5wDJ?9@#Fc|XqQ>=f=ztA7C z9Po;-lP}(;WlNSiFCMgvsP)sJL%f_$hCvw~jo0fhfXpjAWJ>$vEzbxz^A1Yd;-sYbav}f0z z9u?cs`vh%3+Y2=Pz!jROhZ%(}n%n6~wms#0ZtHA_sj&VsX7T1<> z-*KHzctO!Y!>dHN?BIws6Q4YHXT!Kz-_@3^>#xpBSVIBeor>R2$C1m#(;JdNuaNNd zOqJ7et86Q9;?$Wq(@^$%P^H|$@2G&TnXc`iuVi1B3e!z--Ku;uS*3XM#a{Y;yktE= zvOBBz+b2}BwYYzenc8`RW0Y0NquK1ApBnNEoy5&5qBwHEJHZZ_qZ-twk3fQShx`|pwuKs}l|3QJ3bC;psi%leja98l zmJNty+MrOQNyZuR$qmuul$WIBwP$V+Y{U#ll@`I>sI&Ufrs9PeuK|k1@8-=O;i`-qi(bJ3 z#TMjQYd|qu;6B&>vS<08@yCV$x>wp98*{gMpRRNieFLH~ii&8e9o5^zx2uNluuVRT zUHgXA`;)OVZV(zw_$7ea$^|~5HA#Z!*F@=d#Eex+Fa^+GRGaY?y zC(O;i!8+q{fAD6&<>}Tnp5{mWr19M~56Ppxr;EGqs&|48QU~%W9P-*yWt&~5s&~dr zh9++=1HhlKkt(1wl0Yoq!AMD=Z-uw$8t0^m*=uU40J1)IW376jw(67oEa*FrK` zW;WHr8e?TVJ9y#RJ^HZ!bkQigZYb^bJl01r)Z~YKTy8M(;ftceN=ZXLyvFZIDXwLE z9(fhXT0>|-7S&2NqR^PH$FcJiDH~;0-rB zsb0|1RGoD#>d5TgH&%r8;%cod=Bv?9_*{?wjg5%bP$_z_@e%+9_nbvvtKn$cU12MS z$zrzN2sY2hoyuVdld7FL7S)X$4@-T>Y5jo-@5Ae4RQy&O}yB7H^odi$L2&ERUO@**8ifPGP0)ildL-I9dTK6v%Zgirfa^3N2I&s zQuASN!;-UWp?p7+rF%h@%Rl7Y7{`{Vc2|2#Yl9GcF*>wAs|{~FpIBBYvq#jk=qmHl zcf17TYeI%;i5C8d_KGFI);r&l$!KS^@MX9;m2z|nXW9gs?X5UmG^}PBGasyp=jYri zt99V>PKs5KT@lF4IsNk&H|_y&5CQ22^7Qnc_Bbwlc(o9reP2H2x5eot5vR`n)e)h1 zS?6JK3Ez}|2Y~Fc0W&UAC1>~r0+ZC=bsZA#`(?gBpD19vJip)cwC)+}{e0i*!!rtZ zq6^OQuDW++D|ay4s&OhtceNGv)V4H`*GS;-eMbY`l`{i}Zncesn7VDiDV5|Sud1+X zvxZ>5&CWTsj89I)#+vx7LXJycbHp%tmt0ZCTsrE=>Qh*n#q$z?HI|h(9LuW&|X>2%>-g~AnrqN5E3eR7f zVpiE9>+{=A&%BGE4{7tw{w=H73FiEjjC#V)yW5xgRG{RuT-AM@p>)*m;^5vxI%uZt z^)9yRqML?OsP%^%C1?S!DPPI5Ab0Fs@{hX1C$88BKb2!xk0K4d`pLEeh|c1rBP3LqQ78U1Z`NHsYZY)cke)3c)&z*^nmkEaC8s45s6APDFNRgWu zF6vJE)E~TQsabty5~*YdYn8A@ae>Rv9k{>+S}PI*)BNso+^a5B@7E4euhUtspXV1hwkF=%#ZUqa> z{Cq@zGFhrrV?=>@6Mb8(QC;bZQyFs3W!kdtOiPLgnZt9m(qTEL&p`6 zk>BNb`fNz8>`chisk!ZA3OM?^85K&64J`HHDpqG^15lx5=EIkh_d_XhH}$qup`ez} zykekSBT<;0JD)$MV_$uBwlsoveGr$iH#iEUr=L}-E-Srh^KPGAR*2|4SVSOiYOC|D z8W<0BI;=@Y>rzKYF#Qlv?alN)dg5 zZho-7==3vMB}z@Zil&|1`pF;wrU=8(RY*?eNL%fv5h`X;=>ecdokyUp0Snm9Gn)$LQmx2y;g;eW%SwS zYMy_$e<+*b`N{KsZygu($KT+FQcA18hG^rqdUrErCCY}#d^=zGAyOuy-of)zLH=Dv z2w{8n>}}kkrjvJ`#H_BkRYiAr>j!hwLKRrOQ&_{yRG;FUn~0sTR!`XtC4zI&GNXIH zoqmE-h$5hZa95ex`GXf^%+n{(x-3Qip_$Gt9&Hp1D&}Z$^ik$lSAwP;abjjSa|mrF z4{O@)&Q}U+5}C*IOq7X584S9GFGeFOJqRwJtuWu5BLH)#9NHHKi4cQZwKtdUxlfql zoXGK5{86sG-LU;a@=0hxQo8OjsUWTW1AxUZlcGkZVz!tqU8$zG4J{_RZ6kr&B$He1 zgA}>RioRuO!2qWu!qgCuJY@lq_ zt^)ZR=asStFwP^Vt-c!Ybhpdz zxKPGfW%X5NQCC)BOHbz}4hGEF88U!}zOkJWZ`e4a}hsK1TCg3`m6$?{27B|Y9%fG;Q2Yn zf%w2%wUehI8mNW@yW(DkV{w*=ans}TXMSVQe08ADn`JU1@#-j~$ccuT%#Q0h;`l`7D-0G&XcV`80m_Sgf7YP0Mu-PRSA*-Q zzHOoZR?CIfpLCMSJ;(=iBS%Y@td0vyPuh)4RuGBrF1oe%3;e$T z`yd40hyQNjUn(EW6-}3RvDXm6%eTwB8vOj?joi<+4#8gUXC64yy@{|c_I|b}wo?4} z2!D?7c|fg;pIL{)qfGh!VrNwruI{Vr30|qzi$DGP35vrdiiz^6ld1B!xKJ& zl&P>vQqB%vuninuwtMt*&V&s_|Mqyh zH2V7YZhpZ3?5APacj|2cEXUY z3oN!GMzJi$PeRdn9mnUl)0L(_gS+sng$PdhGpdJ?;ZJaO;l%j1Ta;IzHYH zpO^kG(>dkyjleKiuh zc%m5|B#(ct@c9AC&4lCSmg|WU-|IiOLGEY7N7&(EQZIMk2DvZ-k+b4F7H%+ ze2`<3hq{*Ah~v-3 zu-@Ax>Th#uv;kW-$n|R1`zDvT_VDYaFGPE>)9>%`)DSR|j|YGMt~^_=Cv#&TFn&m4 zc-Lr=LCWDqoFbNKv5CWn{q%m$pUz3e|CZ}92MX2NdfT_-=NzA=e(mGLGxqn_UhCq- zzRFGep6B4U-k5Fo%8Nx+t5*{Eu-$bcITr|v_cydVzv2uS?jQc&L+!$t@pN||6Znn) zrRKHD=n)^pGwTUHY_H5SR){XX-OyeaHndmNH!X@35RYf!r6Y=mW&EjA#k(8)U+*vK z5lXY;Rd56UimFzxB=BMUACspk&Ybl>9!&fOD5N5mY0(ir^uJ%XJ7&ch9sGZD{Y;)j z{2vzQ@z=WfA@+-r_3-+DGgtj}cHLMu$nbwLtk!A?d>9Y6ckSmWiqks8!|eLad917^ zey+|Z@S*?9{mlx`D#fX@{>K-EIV34(2p{_Y_`HnbtquOKKX0ayO(~9Vp`QFJl4CoZ zg_oX~^~055rD%=)49z$WE~ zrt!HPSLKSrJH^#|!DrXcmFIf-O;oe^rR!$>FzbiX1G9cuXMWgXZ8`qyw^Y-MHP+lk zdm=nPZ1;2Zyx{b!;uv&ym0QLAVZA7L`UAoRhB7Kcf)CGIvUkgyye^K@3;4&2?`;x% z;jOv9_9yv02n;+v&!gIJmag0`upfYbjxzku(|Oyk=68R0Qa(VLb8|~{ej_%`Ck}z} zdog?d5W9BLF=)^lA6{YwADgdoe8elzK?{Es1$CYqxo;?|xf2*VPhTz?Y~;*Yk={Pl zq~|2`O_oCzpE7swcfH>${a6#?ZX8L^iK0vZr0!crdXvEP9DyuR*RNrMw;lE-FQT}$~p7Hy%5q>Zdnv#j% ze=D9i%aV7Tv6zbbkI1gOt?qSx_J>TI0P_*z^W*OUiER)pZ8?|@RR}-j&i%avhnC4b z_bZ~pcu?ANAhM|A@ApdqQaZd3!Fc)SPkjGre*R?02VTaf37_+Nu6eL}`QoYLPd@3C zxd2Gt)F5%lmBBeh0JQu&RnB^$1lq$b*5XZMD0iI0Z^AS9EWUXnUotSCC4U|sgO;9_ zrY!}S+IQjbD^@z@;dTacRmlVRQ2Jza)z_8V0g@7p3aUeRf5xF|zOy?v_4kFx zl3QE~IOUWAZV3ZCzQKKo>4z>^I`2e%lch{hZSzlkgyNd!04zKG;;9qod8%DXy$WDC z-53a$9=|HXgrzKlfw zRX6R&Kfchsvp#&ky^sEV`S-=&qKu@@`d@gXe*TH?UF*#MrsZ?ao$>e9r{4PblRg*w zU8mvO<4exiMEv+Zo$F^@dapiw@rlL=nup4UR!7Ek4N3LU?c2*g0bimlAw$yg!$#gMaehx;Gw%Z*`O54^$ZD z#-%yJ|E7ZbnQ;KuCJ}>kV?v+DDr*!cpt6IcCkFpi4R*(CqVVvhI{0r+z$Px*Ijg8vsaRSBs8u}h%-o7z{Xs( zE3y#4m(JjlTNC*F09S}bE3y#4k1tK=jNg}k-^t?}J@tP|%iya^+JTk`Q%_iR)bd5u z5QbOjojTds^1dTQUwmE%&_|z3dQO9V^*O3#q+>MG5S)y^_wU&s4$w=%V;k1CHpP4- z5w8dTW9X&a_*gAK7Z^Eh&4%ob<;;+sPPP^@wkS$uPZcHzyiji5HInL03u6=b-p#-0 zFo1jfvCMT6{_kmN7S99I`|^KR3x6(C*!`@Wgphf1(4lcu-qvf7sbhpbZ&Jm2&PlZ5 zK~qP}-}OjifRy*#So@_afw3qVmyq&#Eh2-cGc8jp!yKx~iRMw;IunMf8t$qI!_pmojsnbKa}R z+-Z^|(FF*K^pQlgbL-Ad5O<3;a#}OxR=Tm7hG7TMF&fRDHAYY*sz(v)C;OVFn2aR$ zcEg4E;)CrRTjX0ymc5%M-oLcdp+wg5#Rm+DWO?x*jU*S8Gg}SW4!G!Fc=XXH9FI)Z0LL3@tUr}<_`xN=y<{E z-^K`Ira4sA%=ThgxS zAg$hPpXw}aI3^-(e@VNtgS2|PeWbIr;b4lib2lFpYooN>JZzpEj;2r@R`%)wf9Gc7 zVY}7o{zKB9s7Qe>*RxGpUB~^Mw73LONW0QCi*q+jo{$Z|}!;lvat?Z|W%tyL3#O;eDQm(i0?v9*Gh1 zVUl)y>}g{gtscdPNt%7*A!iz^5#3m-uO5k8i(M;&BJp1pAocEfAIbV@v@F+Sh(;`{ z3;VIAC!HW?hyF@feFRx7VT;SLZUEynp@43)85kEvQwm`E2=%m`v(g5urK^vsZ5!WK zQ{hLWhG>%}#LI_CgL!F94}vgQN!l{wh?cLCQF}!%=A<$3?Ym<2<2;Racj+kwuohWM zz#$=P4+YgdBVEpkC1&~m55n8oT{P!B=<(5G*OGVP;Z1e$_32-5$*qYyLv)HmB7SZ{ z&<%0B6HxBn7*~XSSPVWIBPbW;hD*t~$0I4Kk~{6D<6xnZTNAh&Grso7dg(9sw>}l& zokp;ISdFb~qXPK7{mD$7M_u=HE1@5XK7&?)#6Nz5r@6htZ6(_+HcA3Xy|mCVxi$S( zf}#;c6LQ#=t~MMEYn~|k^beM1@#__hl8iScO~TvlRVBA3@cZz8yYTyXiZ>SQsV4tM z?4q}B(de(@ui2~+8hLP;6r^lQr}or`Ef~!Zh4_(M)9F3=KeQ+RclG4|U*g}#|DW&4 zpK-Vkf8GS!2VYZpFaGcE$^VF+{CR6|AN}bseejtM`r!XhPyWy7$$y`o_*_i((O><$ zm%ZxWz4$+&C;wOUa5K@BMr7|4L8(pXGZ0>{q^`88>*6hRoOFj8>W!{HB zTM}Q9PVu3)@XJ3x|D<*93qMp@@Pc#tP3GA371!c@^kF^L2mi-C@&CK0K6=!r&`t)n zl3UYHq>rE9+Xz+)|0CKT5FWWTaXt0w9{RA|*XQZ{Il}MrwE86Yw6P2=T8$OLB>em6 zk3T=^ZW6cY1pZFCKO;PHYXbkWp8T)t84r)pwb4^cpRyl5Hla`aIzs`Xy6@Qj3;spr z4V!=u&A0^q2b6_FZcX4nvIjo#d~?~#bt=r;_WR-gX@}pY&>Z0(UEU50{23p|(ZK&_ zJ=^!{Qun|=$6Mo{9^{!iX~l`PduInJ?6!^1B;;;Pc~+?PTZILeGr)5<&B6xl;sfFn zp+*fQ_Yv$~Lhde|;ZlNsp6+6)T5xQ1)N={^GfUhecRq!I&l9wQlUoz`-;&jFknGeU z_AWOU3YfQb2m(ZV=-`rD6Z+hyr~Y?!`m_mv|A%|(v!tg!ujCgCix=#G(NFSaD?K9vVCYlAY=jWc+^?tzKhq(c- zE&jo0Q&BuR=@0(1dd72nilH-qeAGuD#)m%mx9i!ycPvNgPWq$!TYKn(-rN`Lsy}%A z4trC==Up#d?Ik|n(4&3f3r$!4li**`Lx1?czDNH8pBL%$(SLo$557FT$AXvsGDrIN z84nK-&HU2lPV19nT>s6joaDPIq5p4s_!Im~d*UC|BR=8J(|hqbkJeutf%hHpH-C0n zS>8wfu?vna^UHot|1R^(uX@BY_Qubw6;-2*sen%m35DF6z~?4{5Q|o1A%Gv(z@6~X z<+1Xo`CsMO1phlY|8%)P=`)`6^$+?#%f(L@{pUG^?6HA{@gTI5RhBbB=MjZ z4)*FVeQtoGPhWg)V#-i*Ynp_AUw!_)#Xmfhz(cSHC3`76R`+btezBwqmalsB@>M4s4GQVWJ>7agv46hQ zdRkOVCbgwP?`|zV5%Hzv*d8cm#evEnD_z^Vdzj+4DKm@T>YVp3<#lwLe z!1!fu5D(ayWOwEEnuFTS@hU3KQlOd zIS8aTB*v7`=Knkq&M!>ZegvznvoQwoeC{8k5pvwvbguBY|16{e#JH@ZS|xMtL2m5+ zjA-sq(i9cqmYd|HX6oqgw>%V#V+ToI&^Sl_+&21C)Arc(W#N5a_(CFQe@_M6r9wK}eAOCv zqAMJ2Hje!H6hAqaJ1la*F|Z8^p8<@RvAAJi7?)Uv`7OxYpy%nqU+A`k{JC76wtcGG z^2p(M82GWT6dvAG$KF2A45+EjhLJ@6G@#f3faEk31p(WX1+!T+7&$8L$KJ;o;ViLqaR*fzew|1$B9+ggJ* z{`jX4fAK4(dc?o^$NI12jZLicpCJAZDf?vWA{PEXb^4f`CL!SO+@rsv&zBtkCcXy8 zpMCl-{NwX(h4C0yg3ohx!s(1ZNj#e$+uAE_-*LKg4*XNf1#Hm2ZG09-|_!TZavm!u-4$k zoYFT%6RvF=Ey-+|@qFMVW&h7^8}Sp?sZ}Q}K6w5f%l0(^x~DmPYbP|f2qtD!*MfEv{v<{umESe$3PLSb*4`LJ#*TcR4fs5gY|A8~6Agl|%aH_cV__l^Mn0>*D+gk@K z^M(;Shb-b&MaZ1nd999=i1F7b)AkbB4$F_7d{}S^vylVyGj2 zus6jQZPkwOH+y+J27AFLn_cLSUBG-?OZ~uyGR8*Dt`y(w%Hh+FUGa%ea8rEsi<&-r zN>KXAsc#Dh--&i$56x01u~+Us_4Q1lDSWF${f8nK81aLRD2J(LjZl?^*oNgT!c$EYkrfbw6z4i#_=QJ$m;c7#cNk~k;vGDB_ zOsSjj?V{emt5g?W6J4iX&`Nzv`8QRakkKL}`0W|v{RVZVmMU02S0v_kJRneIUSjzV zL@wu4i8_{(FOC{;e+UHKR|<)1iluyVMmROuo|uaq+-QrI5n{b>u@;2O<(RUu>vUbtG7~`_$lB+kNVsQo7p@~EVfLN?97YS{pM{x~keU_u3HXDsWM6#3$t#YVzvn=A+RH>+ z^ILq&Ko1R}Xwu7W!2JO0MaI}L68$bWcqw5(Xrb5pqj{RnLZlm7)dc|Ttv(p(LIJV( z7dl6-_MDB_$p)l1reSxLsB?Fn(&Sbrsni0>W)j1IO1UHTH!?8+HMa9}N3X+3Pgsx% z$DbrbrdB9xiX+0G?WcG;?DeDm;TW@13r5V|DPuNEH18{KSiQY27$f?736~MkqOJLi zp1>*!U)q3gAu~cOoDpK$7~%`tB`1iR%558@hbmiX{zwcLUY%{B?K76WMxa8J^y>oiv z|FNh4`}${YPks98&r~hP6s^t?0{+orrx*S`)}j8gZLk7GrO042j(GE@1|T#VaJ@}?-;#-P1A>}ZTf(Z zT{c3{gm2sUO>%+rn5XI9#K+4upUzZn{DnpkEj=t1R-wFlyYgt9{&AEDh)w#NA8417 z!P6-zTd9x!oRQnTA%jPr?0*7iTvn)^HjZkZMBH*ef4K6*|>J`PuyZ2 ztB>_Z*0J8+t>SG0$;{ps0q*rTy(Yo$!Y6mhub~7VcG#flv2M@W=Q zr*@e?Z+@6PRBBZ>9qOQ~j^VZRhGZXx^U8s}(6j94NA@a(Ih?3!jLU;#5_z52&nvZ` zKd)k+(;NXBnrg|jw<&VOIg$OmA$#MID2pR%$+K6z;AFynUi3YsIR`Q<0NtmF*q7SR zE4ANDMrK)?7|t)9vLi}ve~^_58ko#zCs&5!^3-6!4L7TgmX+%1q+uZ#8ZXenoGX7F z6r+qvNJWLyLPD+R;v#}Z3Zn|H?oUPgheV`k-=fd~Ih&%avRMNL+I6O?WI+`pv&afc zfDCSu4KBGg`GFH;k=NobZBrUjgJ&2Q=H_J7_8+g*w^T_{qfqDHoT@e$XeyuHtVA=F zwJJi1VrTs$+c8chb4=DX-(ZgMiY$T!IybZe4*#deQ-r`URfr&zR_9RsZ~9rAq<4_wZfpSeDwZvKLv%m9^xbIacJgBNwmBb7}qc5tnPeh(0Dc!3A)Hadp? zqm=FEXueI3(fOOpPmk6kP0;P8V?KDzPs)(+hrH+&AO6D zlqk9X!*vA5vUv@Ud~ipVTW++6=9cjOuAhjOc*W;;SNS;ky+TNqqAQQu_R9;zwLOSQ-2`3U-o8cG^x?UaVt1 z01$Sra(1HMBXyqsWarU^yRAP~<~|!^1n2ir9o^rlj1C`Eew#A*ywdcU%GTEC0xdCY zd=J<8eUvSJDX&)kj`I7I8RH(P{8ZJ?QOP-cOTS?f#9qtrgdW?GK_8@~jd--;jmkR; z|8Xku6~6P?;*~afns8n!KgJt2YiM6~%8-jZvx!pUYIXcWwc9s^OB{Hdw0=*~psUa5 zijTR4Pn_XHFN=OfzXslxJ>Rp0k8kjOs>jB1jNRx;+t5FJyRD1*pT+ZDl5v*G|5QnA zzfvVXCt>{GUYS^sBZKcR)bTxH-M0yIz@ZWTIn`II{u*U;=QpXe+ktA^|5jUGp<~8s z#t-HQ;)s&A^6|?!PyD`FnLb3@!UsC~bS@Uq=@B|lf8iRQ3@*Ewk5oQQ=NN0QQb`~C ziRxaa^_lw%p5t$@ePjzUiq5pn9xBlfKQJC5m;TBa^~8t=EjX9T2S1MW@9G?N^y6vX zAE|tf$~UQGygf)6KklTG2X3CH@*2^@=a$mpt14-azX-my%F9(U79F4x-EOV?VB!5l zG$#m#@7O!Z`5YhP^Is@GQ5oI8DcoHnKJi9-QewM5&h@9ai2eb}=&`%-zaHxxTQ+|} ze-G)2-N4$~82pzAk1_sn%J){@U&l{ZxwkU>{~rJV|NnRabW%M`6hRbz0|=Z590%7J z60a~8Kyf4*B0q-Ry}8>>?sm`FA!1@OnwVH%qZYOnbQTg!lmrTT8f=U)7l<*TpivtO zD=I)bqOFPF8_2E;ag#US&b;r<``&v~Btf%8wD$4!JpdJ8aV<2V)b$|NMuuA5We2sv z253zXt&}{hMAu-qb=|mgq36qr38RXf_A0K4YmSz7J2Ez2F5hA@E^N zPp-gHt`{|$jt<5Sw{X69^VB`{+TiEqwVj6SvqMh#J?9H8LQ%zuR#verD67lh5{?80+ z;!0EHL4+c!qSI0qmdw+KaAz}As#Vc#{a0Tcj(YI-XRg3BT+v1RTA%;?=9Ylj97s@= zN(|X5-hKDqf^p^!eyQRD`EYz#{d)KW{mync!i*anM?8xT`)ps$-rSz-Im-+ZW)5`} aX&5o%KQ?$Y$xM=`XN?cM&ZU;m+&g{zy5lOr7$4?8D27u{D6 z8+&s)ZXRwrPJRw9ZVqlvE*?5{3s+AYGYh(JCT4bYTy*O4blMiCbW-Lv?*ERAv3&l| z%(G_|M*o9n?&$xAr6&J}K(y0LoDOQKB$U%T))y@oFEN5oh2@rH-crRsL;FgnN`X!O z^|KV`i{AGr)vU>unAn}J@ti#FU+}Kz;ONyBkyxshP$icki`SBv-Y7_On=)k9qa5xD zNePuS>{RJ2s}h3?HM-xq7XMqERK+Yg-yn4N?6cOexJ{A*#H~0}^FeH8Q3TimV_k^? zr3Fw=gnC=&^|ln8oe-NzuR@FMYD6zahbt!juj8ao7)vCuPtnQ>mb_m-{hfYMyZeYkO~#Zx zWklr5%+MddW5xaTQ>}##1RDMMyT@=38M~x@wlmi)MgLe?#9uQH6L9oHXrIEa=++@| zt<|gY8DFeg)>Df9vYLNFZ&r^z^C`u+WpCDqJr*oIZxi9kFHw_3 zsZ*SgPo`jV#q?=JyX{EyU;`5mu{TaNLOe*Yz1rSzLnp$Yfe z;tZOsn*tVQzx!HR8|M{<8ZeMu8^qSlGv7|lOr6_!L2^ATJ8J)_&{~*A4z02)J28(B zGm`x*T>Jh5@t;KIl_kOwPZG5PGUdJxAEe}4o!<)KTjkNn_DOj}ntKrdhK6lgl9m4e zYUUYcoN?CCZCYHF|F{F<-xY5K3rSJ-e3Ou*tV(F7BWk>x$P&|;;QBK=3XH+}8Tvl4 zZ-Q4o8_$lH6+;s!Ya~!HU2_=BM@jCWGt#7F+ilSNkVn~3yE&OBp1q*{cgzKS4vUusiGGzhP*J~+HOiB{ z&HC?S!tc1U7TMc)#8OM+n%7$AA`~t%JWG|a5}KSktMCL?kZliP(5+;zU(|hf7F5)P zi;_b($dcJy+>QmAp9fz=lZGapPVbqaPHf(m&@{|Z*P^Se)R8Hgz@(ILs5I8)ZD)XwSB+&q1o=wATV>-drLC15NUUTnwM`kK?-+Y&2zH z=$tN3&kb)Sf|UufJVUTA!=S=y!IR(LWyZBY6Ff87H54(sALGpOcl>QGH-SKogQ2%< zAp$V{ijZ|<=X}cJTLmGTMH{Pd0Ak_>{cq0&$s=2yzZwjScCX|xE@aUV$J6E~NQYC} zNW~WWaoFm2el<%pZb*uQZ;xG7Nl%K_d!&A|mACZOtIg@4fca&U4T^Xc=>4a_Qes_GUt$&n=Qy`u5`}&X6od= z3N%}-c%M#*Fby#8$fVi=vzu|}%s2)##FM1Ux0@3j!QQj@@BNKOB_GvM3tf=h)y4Cq zM?etk~1HZ0PWzt4i7^?%*1(;-9x;76^KfPdr!Pn=oRGddy zTE<+$1ivtY9~5FQ^r<1pcLAe|ab`4z_I-f#-W2Xc087xr4nX+GQ_3c4iKFZ2QQEMF z0H(cMS-C!o9g7ec6==W1YM8pH?-8^3VQO{e@!H(EDF&GW+|V9cdgSgw@elGmwaE^7 zMII>1-Y{HhW5V_*R+O^74c?L7gTk#h6)t4bfPV|8{# z)6*6_QZ3|Cf!F|bLxi}Qm1)>E4w+I7-G4ylj&hjqX%38>vRjz($m;HSCo8GjKWINS z-tz`j_>*;Y(EM!#U$)uv2aMLnbrFRZ_Sgr0U^DSdJ>#fH{`~c*5Q*K+e&u8HBJ~|a z9R;+Ey<IwV#s#?$>x!0=6$nuYt*? zRJq7pO5ff{lbR^OFG}TTUd-?4m6K@3(LWPlbqyz}{h*AH$y~^IN+bbi4ow@!tcZ#p z+p^v^xacGovaZyUPip++Re@%;z8bYDi_&#nSGCO&rf12*7vr&;5*T9?U}pCIAt)#I|>OEV?{|66v1SYO3F)LzHq`kQwR+u==eoX;=IB#WfD-2!O)yT%kKVMSZe6r z;c(5x$fM>r2MIsz66f;=t)GtN`?cPs>eH!>NrrKq?t$Ax=NYILtPmM6dB+)ix9+*QE_6Erp%x{y;$_y!AqIMTqz^i3&#j2n6LYP=^a zbikcdQ^*%mSzaHIJTLqWp zixXsee%mhZ?f@5JY94sE-)tlTa+NY2B^+vVX#Z>F6_JvT^z;ZavAil2Aaap?r*kXp z3C&HI;zm5k(HHQ{Bv0Q?wMly9T#&1BuF4w{ig;yZXbXHm0IDu=r-;{n@^pXaMPKW* z`q2)?bAHG~I}hOLUZ-8NEf^w_FO563jDGlIXr8_V>dpNB+3=q_mGe1C!kCVuvAx{= zAB&b$9IlNIMIHBurG`Z3q)-5d77KTv;;MYpp>fUks>Sorw#qj=pLOyGSPQut+*Zrf z2q=BPs9ioQeCv|<;;#%tqf)p`KbL&+3V!ITB)$$fiHHw&5mi;Q-hc0(SLd&LWbA@z z8%vxj=8;oSGocDg90uCrX<%GuCZx`MFZ#VL`C1qaU*rP~(w$eC*nCMvo9DeZt`=aKL5++BDQq$W?LK~;!r$9` zBvUFAl{yRA;Op0ho>2SoDMoXeQn?df+aJ*D)bLQWklwVJdHZQWS;{2u2 z?bNfOIrbJA?_*ZaGHXT2%ljt2PPfo|EZG=f6!MA+*l;R0uW`GVt3Fu7l7vi-hDcn@ zEh6gH)yD)pz`3sdXW9Lcz{Pi*w>;pmHY>gv5P?0Rms_z7j`(*bnU#RNT=g#;EE6`#zDVljv~4_u#FfZ0hiqY##w++Z~31 z4!haT!VI=U9e{JPvn)&y+V64AC9O^CpSEDcdPEe7XY7l2fNUUJvEy;lXB>PIM=p6Mp=eh zlOI&89Wu9D8@YaJW^NA^fQhW`;huTo!0Cozc*}eO=|LA~zZZyhd;L1Br&r8V1bSwe zqEb{mHW$oOF8xD^+7a2p_UwjY8;&V8&7nV3R411)5p!fuZ@xS+IHjPcon2e%w3&NO zpNc2zCw%Y4mX$ue)`6~al`W859Cw#~sYXw~^NF%5Y&-HW!FDV)#7n27?JX7%F25Tj zh*oRV=}0uHw~O9k?lfEt`W|AqCBn$yBU;q+o#UjtM6P;^RF93=c^(ttHiEbVQ!nuy zl8TLT=Ph30`ykD*rImc}wWk?;km0itWk+{}|Kq#9@#HN+_zpd^u%)Y&!(v{AZ^3h8 zT!1DahAKzhRbQ$vb(4uYllczsLJ6YNZfzs_;&>159tln4FTeWPl55xWP7U>w-|OxHmd9FzT6PtOF3c2yER zIj4SHH%ghwGICxXCEHWBc3xhfPAz2LY4cltd6vp9DtypzLp09hwn9d&(Ckd=rc~vt41ffwR)t(`t?YlZpE_RrXJ_N#NAvNw3BVg{e(Q({rk-2op7CnYN%LNN2dt3~|VddFQS4p6G_dgpwI5^y(x z^9Da@^hUVhOKG)Y_ea*G=8XY-dE^5|beQ~JzNuV@T8i>NH6P+Srx+Q5RVXHmmM>rW zv#(mf#}IsvU8euo>Ga(2^lgO!F-Sv{I|fkvrMy|C+onYG>G}00R^-bWxt<*i%@N(T&vRp;L`Y!(e7=T#Cm+}LZ{}|*y2F!2G$A?y& zs|8h%5#`lY=&m=rkH!K1)!H(3{KxWHdkkV^gz4>Zyd|tS;(g1O1^BPGB`3*p4qod< z%je1xm0t~_4f4;Ia^}sLH!bvj>d;OfA7fS02>oX@moUz{(LY2>=6@aT%>2^wp>A8S ztPNQD&+5-BPsws@errv|ZrsY-x$mtnDr}ySe1AW`qF9d3vdKHg3KB=|VHrmKXL#?R z97%cFe1MVB()RM?4sm`My0fD&3=rqhPHe z{`Vd!ID(q;d*ozoJQ?_RY%InW@_Q`hG6L`Utez_;sX;vk#;6iuvlGbbW~EYu!QC8I zVSoTyv3x0_Zo3d){<{F$M|D|Jl+eMNQ?*C6Ux{7hdzs-%tTOpcomf zh1XG$nEx_+5n2HpUPVck&hDO6DbE|+4dCb|`Eo92{>h}$@%MSIWq^KCYvX>RSc0VH9pX~Mu+&LF=VG12xh(NNVmfC|pT&5fUhm#lG6E}wWr)n@ zU-|~g`%9OoBr z>wGFV;%St`71I=p1Ji!Q%^qEb+6(S(^xE2ff*f4F*_aSeQn9IK2j~>nOX*_~y=2j2 z`qm<^!#%H&V*jhSFMB9fVtcc-5Kmb+7>;8*zZ{H!l%X4@JS%}P5x<#xPi|xI14Q|1 zX%a2liz)`UFb#`m>v@bSJ9ZMKEAuWO1#(=`T<|64xc9_5-@x-#ijjzNSYV!J@SuSE ze*EIzQ!F~{&6RM9$oNrN)ZlnDdou}n7Zz5yCA+@IKmI3nWbUM{t6_?W*Zy<1tRy;W zh9jqVkuip>I`VLCQmx#%2hgW~fS5>zWypgnk@d3syMy^_SKVnz)SlrjcxslB^6?{hbm=|paA-OMk)=`r!W-9u%3++6h4d56^OB%IdF59d1hnA_#ndoxj|+6HcMFA;MEa zDuOhIRQ9^SE-IfSW3QI=oJ-f08zS*VsB7)F`|6oG29!mU{qd5aaD^}EmHA(v*@t_G z!Jr!zOKqHE${6jPq{xnTXXEW+m}81)z2DuyZ~OLU&`1;_-L>6cpG*j)>oa}u+uuu_ zZqx}m{lHM2;(wyM_+g-#=YG0gm`}u>{iLvRN8nan+>!kn{&ZJ#NPfe`E?u2lZr4cB z6je79mKW%IkP=c5GEkh&;eO+NoJ5K8E*uAw!+E;{rJSd{lbroBj3~~?UPq#)?1rpG zoIgF;Eb7Po3@=n}zu-t$Ks-K*Gp%6z^UFX5WZ-6Y#8)J&`Kb7NPCxXdhS}F@L}NO? z>eReoL=K?=S=AThMh=HE%?_Z%`xMQne?$DofFa?9?EF{|zf8M#XV&5E%G;p?65?!R z;iHBB0IB=^!gtHlZMCiAvi%)M598(hU%mFYk5wukOLU+Lha4wk90mecNpa@i)$0$! zE^*;_^#P@EHvzOdC&Q(kPM9DA;S=)SIx^G-2P|^$wH>Vd*t4w1>DNcSzB_lxkQ`g;)R85kM6dadE-6%%>@L!LJOZiZQ{D1&GewE7e6Txl|J?V=Mvtsk<)e< z{6q84tMCK-8dQ-h>fC*#e#~L`Nl(N{?LKhSVf+aoUh`o9Rocu2zd>{tnFnU&cd^BY z|EFEfK2Ua2xIcgfDUCd_Kn732H|VEE{sQMhb&uMty{KczVEdDv8&!Rv#kNmj39Tal zUY(3Q3)prrK^ir?dAOG^qpto18mBo8c{ls{Uzk6xp#IBA*%j*WKe!inTzG0OL(&S_ zq4ejU$}P_V%U4iK|D%j*DVDSS(XSP=X#1A_YRs+jZ`@tDE@#ue&-Q=n@ipFAMh#EA zf13CjD0c<2=cyIH2DM9NQ8*{%vq0ePvU1>iaWLU$luhL| zVy|0aG3P>8^v)rq`J&Y%uq*%ZAur}T2gf=w!W5z!s(iHm#X7|T*Y)TjHxoj&=hwJ- z)~-JlC>>hZ8A?-V23dSZ!0{?G3Io@lHvIm3^+Utd)h(N2kW)Nl%mxDA*1v>g7@5+= zsXaP6%eC{qI)ja*=72K`AR&wRXE{cJcuml_>15T=H^VsOe@cGA7}cY&3DKUgaEc^Xib7=I663uj0Q-HikgKWc1%-dr(Gr3I9lej&vld;DGs%GKu z%ROBxFN{v&xq~$DW9Mcw1f@5Ffu|K z8qkIQiYY9uyZlz9?Uz4O;N(U30U~zI5Mq6DWW;z4gwfEz4T_^3r{3kJM7~l7&9zRw zS!H{&0Us%}Kja@Nz?EDA5SDWFb#7%Gh+j4r4{mt?1CCTcijZ(A;&7n3cGhzXs}n$d zA+zGFy)RM z!Vd5g@@L43lyl+E;c#)%u0dbD$x)u+>odNyQ8DtLoV^$KqQMWD#iVAvf(X7u1k9w( zX1kh7F6M9{GBb5#WJBrtQVCDX->iiP%2Sq%LTbqIgXWg(>S*XXh6I@KXql2Lby`iK zGx<_m->8wx)0~*GRCdA0P$fMUicPx+pE3znbj!`f8OiM|%e7yG!sDtzRy0DH5=O44 z*ZHAY#@KdMM_~aiQ_ww@JUVVdr*~;ru;kMhUDHC~OQI`uVeEgUvwV>fgMfP;vUBPH zcXr;q7U5J!;>5p$w58bVlSePcb5%u#1t^LKniLpdq^xd-I_C2|+!GxAR1}|j;Bq`W zos4;bUc?*4lhF^`zb3uTd`~((EjtJ!4vn%yA1HWs{GBCH$BZ4`Bje#NRSZr2X;_;- zCJn91Cz?IK{{}KFWNl4ksQWk=B@%KNbd?IrDo?<11vJ3YwJ^or!#4d1( zqCR7%aKjMHk#a+xH>C0?Kr#5EmK>L0c!$FoR-QNV>MZp4D@jq>5nM`eImtJAc>%_A zlxP5)bob2tRvf~ZFG%B%{rQ}Zq#w~7OdZ*anGX>(0(pJfte8(i)8Xvm`Dj!x;n4{O zX5H>>Q?J@LxxvZ8I8m)h@yz*Y+fB-HQ2rdqd&HbovGn-|oEr*hqp(?8#=O!)p-j<> zHyqZkDiK-NSeYGOysPON&+R%+q8gASXy>0~`8285OFTXh&0t}Yq%!Tf6lb_!NAf%n z5LI!GQ~ECUkA!8r|JKI1rN?pP@Fbe(1AMFS{1f@0W?828BAGs5sGk@5A#V$PcLw9`jJhqGaT1hQdZNe(1Bu;pVhuq~U6VgChVaWkvv)9t#_=1EIceu*EAoNw=u+An7~68TmLbQY`?9OR3ouZ0`G zG_viW--hP1Mc4Ms@Jt%|uk`xAnI4n8kehEcbSM?}id2l#IEwJ~7%jcg{o`Q%YEV^@ z@1-#+yu^9KEX9r1@(Ks4W{>|3lQVy*s;8%&l5y z#;2bMqCc&mQd)A*!R((~aCp+SL=_S*z_g@>)bgcVG46o<;&`ab;R5bMmp-V3zPkn8ge6HSbnME zOV`-V={H_(q%GcTkZ7ks-#!ehb(<+%kUSI;;p3wH{(4P+d$;!_Mft~r0PZCq z7rrYYDZ<5vo0?Mld71A?uQB8CL(ww(5#;`LJ({JOWneY`3*K^R^#fkxe zZy>A{w&zN7)I3UZ1HK~^Vz_i75xb2$V>Fp`{$M)j{NhRPNZBSLRqEj^$*qkCNz?W? zJPQ{47{;b8B{Wg(rs`(sHs{A?=9^&|0BYa;%uf=?YY(1X&UoffMLW3O_fs?%`l_@P z+>Nl>*^Yg7i^KMr5#|2YmE9T-%EC5GZ%sqD?50=z%hF?U^_338*M)-|l9n9Z;@Ya% zx*)IFB7DmHXTwLm^D%Cyl36kDd@hZBAmO@yhKyz>t|y=J6cZP-tmZa5)ngTIMo5zDGl4UaD3p?D|Dd2=0n@ zkl}B!3%iJ@=el#IxZ6tO`hmgPzxFfCA{}`jaq`(ZM{VxrpaodfENvJ3k0UL z)4fm;w&5VRVEvmLon39KezG3N_2Y)n#)lgV9wPWE&zGkK#or(yl3k~94Cu+u@AAxQ zK>}|(loR^3^Q7_YmJLfb7Q113UoDL;&MNvbue!N1_w$SfSjGHY-zaI*OXBA(Iz`ug zIK;mIGJ|z9FA58ZM5q^rsfn=_LjRJS^@mcv(4C$uru){ARQzI}FIecy9+Wjs4f-X? z{=38*QJy@U=hF)rc|$^GUw4g4G9_xX)N@@3PD0?3G4Q*wjcOYJr zTI6#ne-_4PYj7UpoZN5NF(G210HyV2pDQtOxCg#JTaa#Dz08^NgwNSpq@8m0@B@QL zySe=yhblV&SrBUns3K@`2LmNC4ORbo zpn&i0PNABbY?w8K`vk+IcGzus{KWOAIARhf7(_1-zStJ#B9=wqfBQfooP8m8Alc(o zINq{%Ha;6Ji7+1ft;)eHzI(vJ=Yv1NsDwk#B5z@z(}MWowX>2zG`AGy zBNKq#kZ?5N;tTMz%ds}Bt~lbiW`g1=p5Bb;9kfFY$#t(+yr~tMBO-D4tcLKc4z0mWp5b z&f&mYKs=itx;GSTb|wXQY_SbS{V@is8!|wEpc;M^^HB33g#Rk?W9Tc@e=nlbf$8q; z%_vM|Y)D)U&%JlD{qY$}-U`e*Dz%d;i~SZ9+txeX41UR(1x$e6wTe1pQ;R?GLXmOt zgiDxTCe`xzVQUQVjz>F|x_C683S{hJRmn0WoMU-KlT19}?c4g;yEEp5q$>lHAuY$) zv!zYuVvaWB=H~LFQFM*Ii(T-v_y#}7kEP~5RKjmN8lgW!AsCO&- zA4jZ81+}?gzJ?6U$22PF{xpD_+z95bI>6W?K zK6r4GH?e7Vdk{H@5`uR6XXShHZv;Jfc6Bcg9ny)L(bUN5*^-*Gr#_S>!NQtq!~#=x zPL2{^k!Dbn5ysr+nu+3cVSPS=)`7eo8sfqOYsBCfG1?~?;SX0k@Vi!J4vvH1P_JDh zMDeB)vlDm`=V|&+GA#>getCARo^|G;j00}aoqWl}gyc|6R_iiB^fxMoa^(JK4!Gi; zzPaXIKJfp;@w&smi}ub`d~nuVGQ@BRds#u~V|1{Y!baSJ^wGgjQqi-|v~N}_3y#k& z<0S)}usOiuFDK2*3gX)I+NIv#hC7^^5h6|R19K0d0S{y{kX7BwSf_zjX^1eM-}K^5 zk#c+1UFk~t{YOA1o82YpKqMC1hFF#pQ=#?SG6dUExA0`2k>0GG<*gS{9CwraAjc%qL9hO{SsOSJ43fBdEce(f{#+akv4NkO^qah9R+!cS^FE)z!G^+plZ=f}5&nOEY2s%JcgWGtuEzW!%z z@t)Nji%AZ!4VlXg4(4L{BmFGsPve#VUT;B}ugc5s0ZyR=gt74Xr8C9la68q_Pm}Wi zskK=ww$%&FjjD?#^5~T86A|Ow?3+bEPl_6WxD(?wCcwV`XP2QSfkRKgjgbB*N=0?B zwfAbLyWRuulk>);r)Z=8JC;Rt2iLmT!*|8s16;dTUvyJ3b3lPhk-sSG8oU_DbSo(hxXv~0NuAE z-)u+vnA{HBvnpJPOI=&OU_(O_Fr0P0K6SZt#=NIdeaA#7oZDx{KuE3s@+{8II?zu7 zj`P=ZA40-&cDeT#%blm_@y{LMP)7Y#_=%=IYRYkkkk=k5(LO+EX61;i2U%Cowk9NP z+1-Ll&GZj43fe~cfL14OA(M}CkRO927*5D@k+Kl8Gij@;l{_$(mMek3ZgYW4p}NWX zHRE(h^7PS)qtCJ+VN7G5g=a`?CAPIdyT617h=#Mvr@F{kwEOC)wjdS}Sm}bJ?|cef z0X*?y#{oP=fyw`hJ1Y)dMeDmgSpi*P*fugO;N_sMPbK|`G1!{lrg+Lo0IsLt(6mu; zTeb>15pt(hdiDW@GZ?2`v7X~rm4AaikMy&02W|`>D&h>TXomR~za^o{>Jk;WGmy#l zC2xiPI!K9mx*>s0@xk`VtEOpL1L;vw8qHv5Gpoj*f*3>hT=!)7`?%IY>RGrb+?A)s zekz+lo0&--d4LcuGdv?G_-VOC2_X*1I>=~@+MBZ?22z2I{xslLdidR6UbgdXiqrk2 z01xK}Jr4gMTFzD}tnAl{)(0IHt~Y;BnT6Q?lGvci zD0eFrt?dJSP?>SO{y15z6E3miQRWNh6k`Z!1}|dl3|Zxe7{c^4bKJ6wyBVe(ci7IP zjf!6m>Kg<67n<*`w5*ceXhW*poHV2t+zl|p-1di1n(J}p0e-&KlV~Vr?7+Xr0f^hn zi-=2d!N-pp4?DTbL3WB&fw?HHp47mLT+e%4*MNdEhhQaSsvRnIvt=Lap1Q0roKal4 z{C!Pf@r`58E0zy{whhWl0a>!aY%i(5j3I?J8=nn1K+0iP<`PY2*)F#epcLGNK@xXP zR2>NHe?A8aS9tOemch$SnT8g`QV4w8*p6zW74U11q3Yf=+kyK}L*>G%yMskr_Z80~ zx^`BSluaiF!yjg6>F@8}h3-?4!kkeb_8-HCF&Ji?H}WV|@=f6Nso2fN1vV4Qxk8K!Z)9%?u>T zZWg=Zt%~1hFHIqS#1rQf-u+yg_DP#F#X1QPCB>b_qMShj7YQ_^0P-*>& zJS(;Z!#{9oia?p*Nk$I-Q@E?z+U4wctCsEBD5b$|LI%!};5jScAmBgy|AAN08H(sm z=_s|!2EJc*vEZW{<=(lCjmpzrR(mR@7BAYF39|*61dJ>q(qo&2skWEz%Q7lDS8`Q8 zJ#j^-%x+(f{oOCec&3uEttBCuPg&77AJ>(iHd%w#d}jd43MGoTUbEb7bE%x zH+U+yDL($z%+1REdowxwy^4!a5&ehXKNQu;Wbc+Wm7pO^EY(8Df&{Pu=MQb!V~u$) zZJU)3|O6L*;0j}_I5oFOw*Rve+sQ?5Qi zNB8L33zX8)$o>2p26mtAp4g&~ADT^E=Qm(C@J!hjCpW0;doR4XWdlck)a2oQPLuVb zMD-maqd)Ing_hQkMA@h)D+ov~lP*fnK!f{Q=Py#R!+&K!M5A_#Y0VL9`)`u?X1_w; z?AL_r=RZF&nUu%!e#Z1D>#f*mlnhW$2TLEq$8oJclCY(bmO&nCgssGjGT=?DX#iEd z$8K=+OGH|Pqw73z{_}Kg%jmZ_Fl7Wz_*>zU;>h+$x*m4cEfZqF+l2Ykp#t`4k9gT^ zI-F&G@0x4&Q9y4maoFDI$AQUo8hm>k2g{~I%A55IEBT*uUEz@cO5%RA=o|3%DM=IN zo!nO@=g%NkE`s$D7onz!}xj_bOlPCCQEvR!(otukgqJ)c$acz)vv z>3Ce*{0skyd(8RUpP_hUKN5M%zTy1g9=Q)m7wgPC?&&R)ml64bji)CTJp zrDDfLspvWxBjRaj@D9eImGrGwvtrHszl{2_mJ^Z#qN-9~qEq=gA9WXPsL@#w&to@%(>M|wW?UScRGXi8nTs~`>&K@m zhvd;@cc;R?a8>4VAXoB+cH?QV$h>vtk!3>$c5z=fQ*Ykwm}$8BEQVr4)n2zxPyD- zCVb*B;Wo5SGOgVcChbuh&OJIqV*c=Zd0xr)xi)mzMl5m%j&>%xMcTvf@vvqz*ZH82 zb)eld%~T(gTuAK`ERFh;Ril{N#NYBxZ@yo_rs}*0fiFldf+Kn0TlKuMq=!x=#blF;V zA$935J6UepSy za1N(n3ogF zy~<$u*ydsUG8QHtwK}@#p+vQJ$%4bmG?Dleb2jSY8&zR}CV3B#vP64gKr5tLy%Yk~T`;Lc-fV zZ#QLr?zJ*odY7EIwIs-}juwxR$}Bh3=-Zce3*Q*3A=XAm&BKDhh$oZXyAaVxXb&_c($w9T)&H8rnrXiQ4KBDTJl|D)KIabr; z%zpI1p`3I2f?D0o$;F7i2Rzbw^iB2l zkv`u_pU2dvo@3*2GbzH3OlmV{%dx&l<6@I|OQ}a#YFXa7YW4A6!td+9r!-9h>Ogg) z>2D-eYB8B^ z9(Bh>V3;Wzop&F>oG`OL&h_zdZN81-C`_nVzzDcKL#JU1U@v*uM4^wl54`<3r;n084ltor6-MEs_KQCJZv4eZ2zGW-Y*rR zhNX6Jv2DN(e%aCszlEI^+f@@Y?j$i$wFfYvd6M#{TCvQuQ^+sn&K4hHz_*AxElzE} zqGG00-o#Z|o!j2*z+OhTnmSOR`?2ES;lj8?h+1QSxG-^f30VOwWWq#2E$`50I`(f^ z2u6ZpncidVI&Xag12)VrlFw(X4c0g^D22WJQ|}39mdE9BaL@nH2i*zroTaXV9)1Rx zZKsH<)W*o_ZK60;fB*Jqrnvp=$R?N8vu>d%(JV4LXgnw8>+}q6&xE4}x1Tl6<`RK0 zx7f6bt$A4qe25Bgrp0{$EI6lfi?WsY)odXRxJ$|aMhyZeYUumTMrbR;_JZ%y`l;N| zV78d3wVrRXdF1hAodB_Dbsdx6IwqBRu882FERndr%NAfsf8b{Q60e`N3u}*&^`j5M zJMAV}c$8@J-1%V>!uSA=5j8A?I3{GcDp*I?e`b&?_^>xM z2CNz?6!wQZGD2oET>QKsms_qwaKbZcN_UiJ2)BP`o}vKlxJf2*K7I7!#i3$uAKI&V z4hJ;SqB&7_vb~Xtkfod&Gx-`V^oo$4w~mALL4#GC?z4&_&G**gU;j=g`5kP*ZO@x` za*D=9<4e1AOVG{BdGm5#tGyQDNleSvwzk>aNOMzjPPR(>{dpd%?9{hDQ8qB>av;x6 zPwi`v!ucN~I=>)AI<20T$WWr6jXP=x!?W=eK{OuU{LgPxWk~mHk20+N>}wOOs}rmr z)55dK%g>k9WEeZrnpR!vtpQHa)<+z#uIVaF@R5>$LlXpR8%?<|bN$-u?=k|?yUkOKP{3G8!f=C@&`b!nzMxzBsfAE)^*8YZz@VGswO6XMIqIN+msf^UfU2mV@{zBt4V>wEq2g zndi2_Rq;Z-+{8_{*mEe>p3N7^X*8LrE4j^ib`;)Qv?-dan6m_kjUiXD|tH$1t zW-x*x=|;0kT}Wn+jTIVXgtdR8nUxc7b)P0R`_+u`6VchC?Zs=J8nWHFz|u9NJ#q~( z$-PC*4gEc^#9dMMk z8pql%H6!|`qhAA8fRS|@!PfP)YX{X*n%B=p#^dx$Zfc?_5Yk><>p1{G&=-{+mpWBw z`HX#DQ81dOlF8?=y~pBy>RE2|+Jzshs-v{gYSb0iYSbhd8GY1=$y^d`{3~BqzS72) zm;UN8CfMpq*(H|F`Js;EA>SLHLWqWSB3X`60U4d|t-9iSO2jf)BnXgcHV%@@KJ6%K z%??#rj&--Syc3u5zIx~Sz$SB>OaI1&P*oBAPpzeX#J!b^X6G|c$xlCCyf9c7^EhVq zdr^|Rqr>ZJ)n;Qb-5FdX8`R`^`%%E(hv9w-{!3eQ?Kg})UJvXV_Dn`N8^@eVdr5-} zT*pXq{LGd`&jLL*td+q0LG+jsZKN~ZV2DQkO5 z@6}K3CKU_JY0DYJ?N-#V6MSaMtv~fEG~e5M@c*^@6i?!CP?fjdFfFy(Rc`IIcDQbw z{!cc`k1Dzw&?t7S>BAz4$;6cIn0Nnz|2#zK=Jvv8;;&&1h%7L$Blm=uKDzIp-;;oO zV7$MCn`6FHZ?v*OMVNHuV^V*l)ubL*>>X4nnMxsF%TLpEz-wHuc0SU6b_pzY{NbFl znYfh^Rqsygd2m|aP#54r+lXqne|kATMo*tpc0Suc{aQ%J4qIK}bSK{g(L&X~kyM2@?D;J#c$%&sF-)#g=>}O)9)pd!xX21H=s;} z*^QKKpt#W*=SsK^CmDz5Sv!7=p&$KBVB6#>I2#QlOU{Djd4s5v7V#Pvtb2elDCTlk zz~aUH%9|Oo{lloCv^k1YBhsWR*%vEg^(I>Qw6Sk!aO)I*YQA?$GlJm?cXf_+bTs8c zDyefdNAn50NO2PtL{hG!uPX~=cWrEG2dR9~Nat$Q45(MDE3(6%Bm-#U2^zO;qui$5 z4oXxcK@BQWHl4F3%5^Qli@wLM*n^Ku2k8y#Lt6?|ht4FOGt62!35U8@{s!m>so1WI zMS$S5>($1h=-m%5xwZ9ivh_Q^yUxSz^w)uVL(O0Xj=?gEnZ7tDazXfERxZ;$yqJ*q z^uT>XOg%c&`zDSzb$(oUaeC(=D581#X0?^e zR2(9W3|Hnrm!2e)1g>;IXlb`ps&+`9uYN*2i4~_jzz@v9MsmfO9vg5Zo3hHqL)5O! zAU~nXc;IT!SZwmkvk)@RO*qFxbjA8A)cX$sVf&JIQnw?SXF$a4*eVocVKw+~iuB?P zVfp_8>>v~0r>D2`+hk|n7zO@2)1^g;PuZbLem*_R(XluO{y2x@{qj}^@BQ)=2k-r& zcc_9tHdntq&*|m;@>%(XT|*zw*zHZuKHe{HckypOuLHcdi>Lo7(VrW6d%wS-f&RNh z-{8CWom=%55Z>=Eiaa^z{b+ijv*D>M$eY9bb*|IP+xauHGmmQCN9Vnr&v$xyKfXhD zW`_=V??>)Dnq55oPm4YeDe#Ddr~gI=@9A?FnNK+Y-{eQxJFp^tju(C7>*-u5I;^2S zp!J5IXAL$fi~4cZ`~Gd=*vLZA4Ox6o!?DAWZXUan`<=R&bdu-eL^hyb=T{i z+(}lg>``F_N~2O=f^;6ppM68iYojy-)ITt8OlpAhI(8WaXwmcwzZ-d-IQRjppx zOxyd~p&cIk%Pe87s;?Z%5AE>4D(6y>YgF58yNnR+>`c^T=L%$ob}ReEDBtx(|F%Y_ z$zQ|q=Yej0TzgZ$_Hp8!;p6IGUHsVdOT+_T)EnZ=`h94NP%DySJ51V#eqZeTWxy&6eaOn$ zxjIwux^Z^jGE?C=iSPY4F#z4gRWe z8y*zHYOOZgUYesgCSy;XD&E;(|9XF!jsIFyqgw+Q{fL>J!v1t!~LEAJUqXk>W-~i{Js`Hv;ENw6U!nww!@@-X#a8_(7>v)xS+xQ z_2)ci?O$1}tQ5(y9VYEV`=3&aX9L&s60`Ql7>V76_Ni+1$}D_ok6Am++M%?-tQ}hH zFdTp=o?B$5ol4@J4aHVMS zhw1pxI1b$~ziiissiz+i_Z8RZ+=Ju3F?85BX785IUtJle7wGUDti7YVQ=~7rsU@Og z&s=!;j|<=K%oi{qr zhmOrxIXcK3$q%5fdciR=JUEoq+)3L2d3bk)_sTf)`iQUhI6~6LH1!)RE0&%+Z*bl% zf`wpAb5+6)5};lkQ6+Lnpe|prYMD?;y7Qth8ii{32RgsaXPo)AEhkJq(A`fP{X~Zd zY{`T-1weabBD_eKzqF>eO-4i)LLnYO>#rxGZz)9mvdsSW%P1z3F-La2u^HY(;+%+2 zan4ka`1=|DK?3qLyzo5H!ns9Jf3tig{Jljz{n0D5HbfqIg}E_E4EHmgYBHRW_`4|o z2yd}*E-MMao6M^{@RTtM6}4aM3cqi6d3?`~*apDTR)dnJkc;y9$nwmMkrf2X_gKny zQ%pxi*;4*D(WG6mgFDys+=zd!oA+&vmVf?)K4$GrbPN^w$>ZQTudgr;)~;N->h!bE zI(Hroq_3!xAALh$ScHZ#|9Hvpg<@zQ&2WavVj|oV9eftZHO|62V`Qof%ooa@kBLD- zPfOEQYFKs1!NXsr(($G8a}1OMc<502WO&uDQRyTd!Q**&tB0Yc&hFI`uOpi0R&?i9 z{p6LT;QOK-xmCY(B{WUqUD2u^xDpzDy(72kcdh!NRfBdvv}&&ChjxHX5&h5(bZA|o zBt+N2$1kjYD^2YB;Q2V|gXaUK3m!dc?aIZghGXR2J2))Tf)rb{f-$^6iq1mqgyDl4Eta)8_}QA8R>*xH?C9!m368~| z=oHY(2}Qdl6!7rY?n_iZ=I~_;&Rl4%U~Tg!{bJ0`%>h_;`lYMRT;Q=DQp#1(wmxJt z+LTE3a!ExejK}`;NFDeSZm(zi;xnxp09#XPE3HLG9PSCE@cvw`4*cs&S&H2Gv<>+4 zmDNrjn}GjqPxx`M;h94Jfu-#W-`-RQo^KfFd}sKd_k{0Dzc2iU^pkCU zcN6?)lr=gPz|Cy~F3%UA)+l#w983voF?EO zaK`{!q~~(S!1F6RI!7LxfLDxG@{B_O{+B)B;}63W<7jgp`h0Um)q>-33HZKa3hNKi zC67(ezqXA1q6t{Pt1?)*V)?44p1fw|lBy@q6(-m^NzNwL6e|y2zG|snXDSmIdn%qz zi}9r6)&%^xF~$=};r+Q>9r*t$`$CaBpSA&i%@})moJr()-0%CvM|@YTQT}Q@xX2{K zcY7)Ce!J3ll5!+%qZWK8ugiax+fnF?GQg6Es!jgZlp5gL4X_BisE5H&-3L^w@H&b7 z7mlINRRf!mm62ewrwmlYQFgmATwubN1O!$9s;~b|Q~HD#k6Ly7!oAt}4+$>szQdq+ zbHo}qm%`=!e;9Jfjm^eoQH=|$?n@&Vlx<6Jx#o{UF8V4}qb>_;T-J!oCwg$Xsw0;L zH7-vOmrGi>pr7n~U=4}a>3$cHa>_@f18PWHYm967?qi@4k@l}4-BU=v8P93I8q)2B zRDY7cE@b4iZw+ZnA^F!q>L_7H5ku@#L%O4o>hJK>(ITgMklsN^^%t+QNcEWGjpav1 zLnzWm64B0`G&@1uE!M9U*c0`+m0Xl=7MPi8c7foq+DV%^5BzBI`jBNb+aETYsBlX zL6c&~tkTZ95rxSQ6zMw)k+%EV30Dr84c!Iaj?(I#QL~?9x;&bRS>5z^5rQ$hpJQUx zA+tK(Zo+%-cxH78x9H5QZjlEI&$e?~G#lC;bKK<2*s9sW;Xni4LNWVji)LX(wPZ=+ zw3Y6vFn7gM*>T};gbGsX>h_Yz&EL~B`y02q^$+OGZ8)^SZCl3o4&3T)dr)U?!!Z$V z_ZK(28``E@-EZ4<<~AHm;r0nx^602rZXPyE4o6e4u65jUv+>Yxb-a6tn?{%dKiNHU z^BJXatIK$q;}(}d9%(S-R=3Igj@;_@_d6}^-0J>b+L2p5wqDs;w|XqEbz^IjC68N~ z_P2kN?e~j=WX{_|OV)>y-L%_--!Xg6-}nt~bs4$-{+u#cId04~Vf?QTB@Yradju!v z0Ap4+KR;B-$46n7Gf2g(K9cMtW)F;-wK1zl)`P^ze%-f~S>4%-of&h(ooQC@G+cJR zB5IZ!5Gu{;alE~lZP!7wdSBBMD#eQ;vsTUOXb%vx?K?25i+Gs2%oDn3RyWAr+Naqe zGHWwq-S{`C$9%L4v%0HcHm`*l*5~OaJEnB;$woUU1vHC%j5NXncUb120FwZTw!gbu18%3mi zk(AmiPM|q%419;IUVEy?*`|aqXdA=paF6#=1(bbA94*??FD2W{-9>ZGf*yaa;Ge6Y zJtbO>NNY>Ty{vR-0bK5K+2aF$*OGRC_vdo;AInC4;PC}nw8&%A<_@oIu3q}e{5FLb zV~ryK;^jk%2VDdN_&vuU@$l$=E*`!u{5BNDi+#X7(9gm0uw1o)YXAh2IvPmiv56~5 zT@V%mBxh6DDX#Vm4Ww_#^1A}u_YppMY{Dhm_Jr?4pWi^}V=0YM#es79m*P0B`xUK; zr*<_=6apd-bpN5%<^1+VA9;=!T@Aa?CXY>gW3>z>xc_~!K$daJ&?SkOnFhxgR?V?F5~(UX4P@laPk zz5La8Jp8+!^k3i8KE!h$f4yAtyif77D#j0&SZ(RQMc>Cye6Ohy<=s8yVWrqde!V5r z%U@bg^@8X3!}`ecx}Nk;=}G@JJ?Wp?lm4rE(tk=%`ovowdp@Hl{pa?i|LLCe@7$CA z+@A2fR@BEnC-tQN{+{%?i`qvXJtoo%UYDr7^xdN;{VRLY-?1lsZCUk_zi&MJx+i_@ z;q|gV<9Q$d-n}RNPxYk#v!3v*_r4&R;&XA~-M+@jNGBd8`o}4&S^%fFE?d_>><#p> z56|26f&X4lcnRK19&QL|X9Zo!W7GE~kDuSiAv{L#Pt+|0!I85m?CdN(EItipAYAFG z_f)&)irm&TSMZ9`vy8fu)VeI(uydM2)uIRr) z^mWq*A7}5N(QXNOE|%;DZ|zMb@Gn>^7rxAF~WIJ^jDYpZn={`_b`{&34QnNX`dhV z=)chaQcr&!+f$ysC668m3-OkFFwE-<+%=+a_V2RZ*j@ItWowsZ+`UR!f;{8;3--CE zgZHxL>cF#!t#g5X4#u|u|E`|!F~&O4C!U`q`GfU^89^I`qw#sjk8f-eSzPtr#yZ2?F;|>4J^;U_oUAzv+PbDnvN50~XUPwU>zex_7-m>Z^Ze&gOU?;0HL@K}2L=ht$0zK#18dyg>} z&wRkg-aj^co|X8sb}*kqdZQZ(z;Agy zN|cJf6TJP*n51kqEAXc%zpgY@_ERB=bT#`B8V=(7_e|+)}P0D_GJf zamGHm?NN3R?Ys1#Fa3%>^2`@JFZSH4qkTRsxMd}5(081D7|O=2a(P=qADuXEr73=% z81xy)7{4!4euOeK#tmeQ*Pjp%9=iu#yjl~_ik(!#768U&S`Ehn_b4|4DO3daPruMo&HU*%OiZ3c`I%=6%fza$uosM&qeWUChf9SYeL_j zI(>CHG%|pA0z5-FSN42hq_6wqt?5$1?clZ~as!knK#YD|IBYf0J}&MA65xO(jkAwH z3gCLAq6aLXn>9T4$A)G{29mbK^ARs|YuzsX-KDfq(MH$K5eGcCtz+`oG*@sRP<#rI zJT?J8<>ViS0Q^BE?P44(=dtH&d&0~7^xXpdaS8f;;I$4fp4F577ka>J%r15La5b`{+NB_e9eZNKN$E$Jk5&Aw|@~kN5q_G!J$ny** zzm1D6ZZ7sIZ5iy@0%xZRzvXR>EoHC8tLThf=qJ2-eHRe=#3-e5m(=^afV;W%!#D<9 zJoJeN>+c=>8dwAW%<|%_pkds6Cy?Uu9^()2yeB}Z+$9x<^?;u%x-afwPsVd_BnUa1 z>_YMI%!MM4NBpAfKiNGdzK$2R&&to^8GV-ByE-w`Ww`xh2JQT0j^SC!k``Clv6a9x z1lX}Fi(ETHQI3xAEbJYL@YeZMykk8m@4N_GDbld1S}oI|ovGXCd;X*F0emo5d=0*A zDgSdVAE!m%%p<)OeSboi3DpwYw?msL*0)ioi|6>dYxRI)KMBNLgT;{!Kg1AD>L2>5 zsO)gdw5XOTv1e%?c%rib*-siVWa=@f(C)jg-M#W{;Br}Hm$F97+P!@ClPg>nd3|r5 zUt&SW%VV@?_x|(k9=J6v9xGyL>Y+>0?0Ly5kgzMV|l zjjwMv9^lZf!j>#XCbV0>=mIa8DsDaepA)^l8U4aj5Pzk7jk1S#Q&Yh?`^hc#bl#)SR^U7}V$)%tJdhvQH^gO)R_m?GZ1&_YOP)GQnZwfEmN*?H&zQj5D0#7!*kRQEh z^KmWl1070@fz&HG(JO^Fy>jrTm#5zZ&vuZ>=;0ZEBtRGkkY_(JN`Fi0t7^w~!XMl- zj`7q<+)i*m!u0}yshd@aI&agc8TNx6#EO%0Ur3;@9sa0+QH^H@peu)G^*E!hCj<4Q~ufQ$}2t@a;rog z<;hn@`%W*I*x^I%od{&Itm;(R}dd;67j6Tf|ZAXC~JxBg0xW34G*VbSeLo`Z-yWr!P-+&(bws5Fnn|xRq$JRO zV(sxX=s1jRWKJ1*1FHg@hJ)3?B3>v!R;F`@4xS=A-k|GKe^va0Puo2cRNAXl^S6!l zb{1^q8e=;b${O}%8JB^L?u-#oGx0|PRoA;C9qft(shKq_{MmkjGp0?BeN~IvEWcXS z)@_0jwONCCBrjU{&Sur{gBI48B~(T%F5H^d3`#6Zu;ow2Aq!>%86=QXw~cVlA@lww z$Qq?<%8wv(@$8cyOWE}FmK#B)tNG-SSpu{oYpxm58Ij36vD%8<#`%lmkQoGg@?!IB zb1P?nee%dGH(Qa@g_=hmYwb49W38QzMV|B5#)*wiK6&J^2IIQ633;r++BlCjnCD7e zkrg_Hss+d6l9=~?)}81T-C`VV&O?6!_$i?l;Ezia(%+!+#}~_sak6uM68Zg&=y5!t zf9KMc#W+~b10Sz^HyC`McWscTFMQu;BQ;i{YiE7q!yBF9``R;p*tj$OzVb8c_R&|1 zonG)e_Oxf4{I-+B_#NY3q7M^`Y+E4?Tgxl*TsypcyX_p(9^)*K8TaGSz}xi~2u<*| z15X_1_|mTTJ+N;`O>}I60bcd7@Ll-o$0i*elVDI(HC1k!Ummr|&-JL`G|6xBXj-k= z;r8o9S;^b7q&3~pUczJl60ZIqIqNOuQP*~F=XirP5NOiN!+RcG;F+sU)-Lc`e)od+ zJBeNB_m!XByFT*w)z|O9OEyb|RVZ!Uh3tLhkK=eC*Tp_@H#WkDf`3I-Q^ooVD?+dD z*6T_r@yy;Cq20@GG$(=YLMM0eto>SSIPl|?$x*+Ge5QqcJPj6HBX)=iwi!s2%T_&P z;ev(XDK(W^A5Dik;Hsl|Exjq((crvtATRJN`Gt|ZN?gM7y(v9D;n#`$f>Qd0+VG6z zbCSbyZo=1-XZYjvh;btM1w-;iB@q@w)RHH!dcnzr{DNqEN|PQmGyvSEiO84IFDRv- zgY9#qjSc6Q>8pvu#GL}NQX$MKGNYYL8IH?Sg8`c(Rv#@Z(bLJqLNGWl;6a>gXdM)z zgvyo*3!{aESkc5qY#J#HE10@J7XA;3NMUiK19CP+UZqf9!X$ZPWFXs|DyYu}XGkiXPyFd)tk=pZvsqO8(Gv9FdLc2xepjvuakSLKB|{81uu-_2XI-t>sPcDIXDz^WAZw;iC@X?PM}4PCgJpfe(1q;G#nj2r z1fR^nm22mHZIcIjR)3u8Twg#N-pIyN07r->w1DG|IXXfgcpfaz`Erf)<1YBAy2}i% z>{>ioZP%*)T(!aXH99_7_49S^`6`j*DKFeYOQXw#=C=_m9TZrq{kYn3fl8Bf?tJ41O7<;6O8 zj!MReXRCgm%8#f#L?yERLK)w~7y8)Q$22n7@wa4m?&5&wGqMmX&>_aKk*D)Y;h}r# zi`=oN`)=W2KT4Bfb1cyvx$(_?WuGVNm`5w{>xRz%Cmwefzb~rXPbG1^P9Aa)+D`jb_@L>awatNeQ9XX#jRIQ1{8|CP$r zzdiv0RR7Z0d!I^OcX&B{R0S|2pk937!s~97D90(DnY^A z?%v$(CU?8%><~0D8BI(qupnB{QqWmwAW;%2>}{Yi##|(Xgn|ZaEUc^$?Tof2yg!;< z7vd&=-p>5@=fD5|6iHBph}Pe)-UUzr7S}@)O5F%zZDgp`9d=L$Y>?Ik(OSvFN^}W! zOE-)=8+yL1nlP%$X|L*tlzlY>4vsdwX@H%_pO#lp&-upTG_xW|+bE1H7BN(pcga1x4<-bJX{>#@?{}O_Ou>iSSn$YE^ zs_OC+;Fr}#O*v3G<5%yDFK&ub|H!!np<&bdaNrY#FBbg>)#Z<-o(O;*D+IW=!u0uc1mqEC-Dkd&3KyNelebyJ zX-`b*Iy;POZgcWeVA5VcjjcP9$6zX@9vS*(f30?8F$X(0D zBMm8-w|oB^_rVmM`iC1zdz6Qp#Mi+ICka@S8kQQCj;!1qH+|u|*Lh_voyK^+j@>^O z`(VuJT>`dis@XPHt1OT2c}#oTOPD@_>$?t)B37);QHHtq`m||6ZdIfdpxkVnynosOyg^t-!ob59PE2Tr? z<_=0cz|pMNdz?vN4}y_xEh#zrksPNi4Do8{8U@pkoYch`uqE~4r?(C$)v2$^FpuV_o7E}S15z=9}IA3;;oE{Dg?013v)~d}Uq7N`+Fm)sc z)f>Ec!u(1at!MI@X3C%;14bE^{b#OT-MHZ`1`4Sy^W>6vBe<@gN^thanf4xKicU$1 zc-V|6k1Ee7zbR~l*2rqoFg-o5`O8GkO2ElVP$YQLq_OT;p5Zu_!Z<`on*WZ>F(2u_ z6v~&gqk;n>;EH?*CZzl)5l9-?KZgH5a{hG2Y<7qu+)Zbw`A(SQ7|tvZ?4)df>eW7( z9X!$10h){++dW$}CUgsE=XdET=ttGoPIu}g&d>iQ3QhJ-OOWxH58_l$e!VB8 zMaxjd>u<)qFT^faxnX{_HU)c&go%767Y4+%--(g8w)P(dIR)v$#glvxSS_#&MyCzibYJzro=c$5qWHh>{>RxbhI8l(aacl4hA; z_EgUumtFOS3~qeso1p+d!(5l8k}Deg&=3?S9afI}kSUH)m!Ywh`?gP10Ry@}U;HTY zK_szBX_c%#=cG7L9m2{Ot%n{fF2mVpV|DtCcJVMh-Uy{CjDPU_6YlzY`)Z$7n4Z=l zAupK}{*d^boeEjPPSYM7qk{}z|e#^y{o+W$wA^P;8IFwBsFc*!bBrdQU%;*u=N4=##Nx2V)!EeDtv@Lb={fAztV`ojyMklkV54t$_yO+2D|X zO;xq=GF|o$V(;PkDp@(&Jg(KMTyc+5&7X4P`H~O`TUJx96buqMQ+a$U5%l#@(A;)t zcxEhX0p8!iM`agQeEc%OCEnib@8vjHil`Ml!rvF{%-E0yjsdqG*$|{l(!=aehgsIU&t8g0X*r+PIl>2!G|)S;QwEO?7w zdW+0A2RPA3eZRNGSF%DA?-n7fLIR%xItOzy;u3j-D;KTBSc4kUP^39-Pa26 z4ytF-{RAbIEnfd|K!n0s1NO>^`c-wxffTRMAIUNaC&08vnbY~w^sZpYH?O7r)~c@> z0qu`Rd?!NG#b<3yf=XnAn_Efxdd8Ty`Fvh$bhPBvBAYPxalE5nPaq?t?jx!};Q})Q zg^%Ye))9KITz;H_#K2znCzXz@p!R@CPXwFnzI`iz|yy@(3x2!8_Dv2;{wNvOlwo|$kN(6FimSCs96v%w>fA6cUiG(neLX3 zeOWyDzTcKAs37k&z8%i~W$h=Zkdb>e+yT^=35;$wmC1`fGnYX|i=za8rPvxs5h*tb zJwOmsa9OZiirCd}!&c+6(BRU-qRpG0}?aYlUbY2qa#xCI4qThE91lxbws`()M z4USX$<*hl1Gu|dnD`{J>EZkU)anCvw&ol@pup>0MT78Zqg zTd$slWqgFS$b-L4Ju2E7Lv~mWh5jZ;pu>+WOGxts*N4tb!fwB(5NoX({7w-d3W%)b zd;1dQFNu+NbRr3Le|==(BmIXkd{0+&DM%qmT@9o>E0t}S2SIKijG zGaPV?nD!5}fk|w&K~By)e+-LKk=X2&#eY_=q9^qs#^c^xnv2_A@*{=NFi6=PwSUGD z?`p$|MKuuMkVWBquo$HQfgSRlWq>(<)W6e+=Q{`6Ez=m~+fW*)`n5Nx9*a$GUr9Hg^%1% z(1gkQ+p|`MTX13py(FmPF)(iLG8fLmGo6-8Nwc9>y`n$7pPH*P{6^=?3o+ec!jzq8 z1KGh7Lhk%rpg}+MFG%F4l9bH6CxNjBur?4zz2)XSgu}ympHsz)tglDl0xk9uMjCn+&*bW}v z2eMz*=Xw!H6&Eeu`NDp(ucx|J6YVuO~Rch5mo1K!2#l#rSpXp8k)*4jqUUKgV}-V1TX0fpMxT&nt$)6w)U z{Hx)f`jEv`s>+AQ3g78z6r~;Yt_AqYwyr5RUs%b6M#Q$-BDY7PC48-?+Wa?C4%5;wr|0LY6(1T5)8x#I6e;q`Y-M>H95JUcEr?mk99QJ>dL=ZknxJm$24E($k7M*RasyYoYVWqi5?HiJfW*l7D&5WHD| z&rh%1+KXH8;2KoaqV{#9oayLNSM_~^=f3n~je}Nt_Qp8#b-#y|7^Zr`um-{D7lf6h z6g{sNcXbJf)-#x;KY7qC|G2grqdUeY-2ZGp7Eh(OIZtHC(lkrsJhM!u_|70ZToeeZ zW-qo#;zQTO@x>n=z;yx)U@5@~$|EQZt}AIyc2VVLN@@X6~^8=04iQmDr##F&Z{!@T?RRg-QA=!us2@>=Lk zH(7R~scSbal--K`W6EPl#n(;te$8-|KD-y?YGtbc)Ti64G=M4oC}%1@x)T0KZZ}x7 zR1Y#8_vFs(z1L}c^9&ln`d(d#CdvM^4kiC1G1q2KdO5`cJE|b3HcX|qo zJpVXh_#KmSzXpIQoPi;DS_Xf&E*=*<-G$a;n|KjYb=pD~89FY&ak;M54f8uS&28(G zTN&iat$vDrKu87KyRIGUvwoD-5w~#QnC|g!1RF&AyKeVk9C=L>7SzVn<4XOlt0;4X&=UD(s$;n!BDhQf{3V-Xn)RtTA( zLEZd6_-2XwzX)ZM<*c!;+Ni)a3%QNCyDjdEK(w?~g4g*vE12uJbB|oca+IcpG7#@4 zd$RUf>9`-q;3I+1sX(aktuN8fJ9bbh2>l012*s?(e`4{e7{=FYA_0wsd<|pFAZJEo zo`v5t!UP(#Cn;Ul;jXcmUET@H1Eh4<)(VvW@lv(Q!CA2Tjl>eW_OpFG=cP}dmfRH73zZr=_XFbxKb?fESYet zH#zFAUS&4trDx}KZux=Nw_FQu0u((1m~!%ASG)=vYg$5JDN-fbTyr~ivn*DnsowHQ zI3)zl**%^&;eV&8#Cj94EOEB-TK)8EMjN)}W^z6(e?Re1>g}@2+^I=!^i70GFjDvU z1kusyu#z>(0&S-4K+fj--)(s){pbnOre4c%sg<(5>*NS355JG6r0Z&1+#&usiL!!? zZU{S3^aza?`TV{mUHKA0MDxx8KcrqP~Q7$Z6j!LwgE{dtxEZA~>!5 zuXa#f-V?AA1X>h$XIYOa_@&UMx!on#d8!5@ zB{Q!`Ut*D|LW6FP6sqgxuZtv<>Q*!LlZ%5ov3|1>zj4uH%OpU6UDQT!UPLdfpoXMT z^4l4l!yi;9)o+HKAQ!!|YAyOX#BtmiskR!(8`UuZ$7ckevgq{{WzW&cMeSZ(Zd5P%JWl;fq-@Tz5pQ(&B zEa8B^w_}1yhynl$zFn=z09Y_4f3MF@#jbbag`5$-yPzEd$Ln4ll?DI;7_LlGOK?m2 z=@UkMC;$r)b`tGq7B9#`W#z$fvpI?*!G~^(d{K)s-lVeBSw9R?#}`$|;cr~O1>K>! z97lbLnyVz}Q}x80#7hv1Dw3}>_JHiJrN+)`85{f-uF@|{TY%{VFs#rb&%s0SFW1|N zOBH}_4yhnfKQl=obe@+Y_A+RnY-Zb9R5f{Tb9WpTvM!NaE*8q>`>;6N`o3K zaQUSCP~j3m$QCKgRJb72A;1JR{)`pdJrMjWc!DyExiZC(J3+*-{UGF{&W%6;ZGvM2s2G|4!m zWJeL74S|G@AVd;HfdjKqHe`i}=Diu);~dbN^~|n^_1MmPW*xFvmSayGlg*gSjyDks zL6L&Q0fi$cq?~{=e?h__kvJi82_H8QC>#)o0D(Z3`c-vxSARdxB;JLvUd2xucYSqr zb#-<1$9ukf`SRtPE0xOIRKC-%a;#GMH_06Rj(l(w1Mklm_!#Ahe^Cy&k{?y6yhyp@ zEvk3)JMzI%3>?M4Q4Ac#!22x*{z>cKKj??`@C5zdB>1rXDzwQzb|V=#mrnKm?u@0i zyHWvhdA?iQM}HjTogyOMM3Nxx?v0dw12lY)eno$Ddi8HsFQ|EIYiGDmw!65pGf*nq z@F9{V>Nv&iUTcgnQOm+?-6Z;AuRB)0n?Ep?p0~d|mfl^QFVnf7t7R&BH0UlBorS2Q zVr1vsSUQQXEAT1Qan(ZtgRkvtH;{vv9@}wtsZNW$JnGc6e%?AAvda|n-|L%4U2Z*tm0GahOJLikGx=5EfyUg>%*fPYB<*$-I9 zWu-=SJM)4dyNUMK<<$uiay&MKw=RN z2so&&tu;*8coR5DLTp;aW)$%Ds;^T{-6Wi9^;BC)wUv|y5NkYdy?_1Y84lrm=FcGE zT;k6vHBX~64SeGcI&D$WFx&g7^@E| zQC}4OtGjao&Xs&i@Pt0zD@xG2F5nzpyi-@MEzuq=>q@d(VHVOQYychUwPBIEMN*3- z7O5E|nYBpMA~P15w#bx4tOW^MfCS^#92MlX2uR?ueF0?)0~*~qm3A?$7mX(;wG;-VXpRGG{J{BQknkx0TTyuL}(>x z0F@{x*%fXOc(hbX+J$3j%@hRTPT57h0{4sUsDmL5PJfYRc~o6PrBGl4QBRJ1s#7+? zrXw7rPK6IG-Voa=;s-TD+^twJQH(-8xYHe@tQzqQ`b;;EokhzMzrzrm%D{mbO_flG z_tIL2+wyK_%$rYwq7G(=QQS>f45y0yuG7{5>`#>nk-#cA9k@C^R7E+XT1`UJ3C0G- z)65PYbAMp1yPeWr$^l+6IoJYo>e;5yNgrQqmhBi%X>6%5w{Xb@C5mGg7vmC+fiGha za0!QiO9%v992{`*Nx)?`2VCMh;F1|Uo|f!MV|#HBjO?eY)fgB1OBc})DPR8>n!f^4 z8V8QM`7ktpyJ2YlM#Rwkt%%ZS`!P;#T)X~y%74_2^ubknvNbaMY~w9YsSiZ+hhD8q zeceYRIe!?2TeA~7ScTN!p;DK(MlQiBgB$C@ctT{&1=Eb!2<)N>joXE{U? znnS`u0fjZ6g}E`mEW3AI+8W-7bvO+}3xB`!0t?C9-QFweZsvhv6SYt%l(#(8288Vc zt?vM_J|NWthJHR(1Hn+pD_mf*X$RzX+DcsJMnI%Fq{M;?FPwlh5=(S*zPtv0ZXC;D06M z9j=$8yaSjW#VL`x4!QdmE^E{K6+P2EP6G6Vh z%+Pn&kWrex-?lV;rwtlsKmR5h6js0ie;8#;P-UoerOuX_N+O7vB)Q^*ta9ZioIr$7p&MVmNT@RXDwpj zO15F^JZxCmw&grzIZs<;*NUC8oS#|FH!a7&CCA@x>)dVRvR5o}zZHAgaz1A{H<+-> zj8r9yV)W0p&K#7u( z`lbF{d(QXCRd`@YcEFx~$F63-r^?>FUmz`B+Yg*tR&C!CWT5DiDS+~Sj9@#bPo@CM z7{Py(DL3XQ6=lqstU6kd)ibOhLf!_+JsEa!`ed(K_uj5#Dznd8B+?db7Jf8Q4BJ(G z;!OT|B~zI_VUehv$A7GCcUrM%`FPEW>F3Lk&h%SfM(Rd)Y|c-u0vz@9$s+eE6=n7t zJB;5jtn69K`Hbbms#6Rqz&g2Z8yVVN}tTWql-5sH-D%Mm07e|h!Ecn)Sk(k zbi;AqrLPctu0Pzlu)AHIZ!c7Lht+c^pWGM@b_UzkjZsxa?@gy?Pu^eMefX>N5l8hd z+*zEytGaRV;?DMwW$m-@r%u)ndj^6vUiq{lBF4|-1|gubiZ z+ej~45Sv#ea(|^k3FQQptLO6553Vny>(rt3rBh$*%&n}qilTdFvD02(Tv}PrJFWKc zjpu&*lyeOVthjLKF>H+<*rRVY_byhu-D5Wq`n0&h4Dvcr5A42~TI{}soyU{jyY}?G z@*tKj@*o>woJdAiiNnug!$tzR$$(_-o)r&h{?^o+-b+lW3d}Flf2)mC|>HFpF{-}Cy z(m46(ff_qe=ZQVJ6`JZ>$0s*LiDB#b$y=!{GAC&S|B~@lqE>!FzmxRy6a8E#@2+(|9(`*q;r`hO9{*CZF)RD9J@Y_CVJJQv?g$w@b+x@SIi2#I87 z_A32B5Anq3L8UV1R=f50UlpPb`lU~Xji^4^OMeRe2LJ&7|9AmZlD|tGK@i91{FRqH zf5gKi{z6cR#3pK^LLg*4*Lxd$x5w^@K2j`56zo!(E*3(X6xu1+38^9kQV2~0LcL&K zuI}70`vk%_#6~ZrE~}+QybI1p9-j`s9JS%}3^FIITyeq}QFD<_@F1a*ynR3gEfS}VqdPaOW1xMYGJb&|D&Y(n-FkhDcDb7`HAgMO_g<~*v(F?2} z-%WhSMjenmkDhxgqbzvf3)rZft+V@a!_7JF_rA@0Z+p(aG1=cBD@qz+qDsyA7?mt& z2K;|D5x4yK=k*Ey0Jo@WW4DZ*JHhv{KmNRbP`9u@)iw*d=@V?Pdk20OUHtZ-gIDHZ zJNmQlvvNrsRi7BfeeyE(K->MbeOSWB_CaSXlPf5!K3z7d$g1E7;@=N_)6b^%Hrc}A k#mp|JgLXfKPv2jSFvVlQl$yuXsReX{t-k#K00030|H5Qrs{jB1 diff --git a/cpld/db/GR8RAM.asm.qmsg b/cpld/db/GR8RAM.asm.qmsg index aefe606..381f231 100755 --- a/cpld/db/GR8RAM.asm.qmsg +++ b/cpld/db/GR8RAM.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741636703 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741636718 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:27:16 2021 " "Processing started: Sun Apr 18 06:27:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741636718 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618741636718 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618741636718 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618741637999 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618741638015 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741638531 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:18 2021 " "Processing ended: Sun Apr 18 06:27:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741638531 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741638531 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741638531 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618741638531 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618810037113 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618810037113 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:27:16 2021 " "Processing started: Mon Apr 19 01:27:16 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618810037113 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618810037113 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618810037113 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618810038270 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618810038285 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618810038786 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:27:18 2021 " "Processing ended: Mon Apr 19 01:27:18 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618810038786 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618810038786 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618810038786 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618810038786 ""} diff --git a/cpld/db/GR8RAM.asm.rdb b/cpld/db/GR8RAM.asm.rdb index 7360c6995c0026113abdb131bf46b3455b088102..63807fbbf457cdb7f3a9be35a2e8e3dfb785c609 100755 GIT binary patch delta 1075 zcmV-31kC%`3fBsdTYrEA000000027y000000037700000004La>{i`x8$}Sefr|32 zRssa#VIB}l5O&TcK#6#$>yI>{CaLXKs4r-Jx941YU)|jcabNhqff9amd$#XfCn$M= z2UgnKncbb=%bou8kd|Ak(w)$XF#>h;=N7&=-<}@~(3G z7)m2{MvAb*MV9zEIH8Ob!!JNu(Mqt0;0O+#-d$xND=UwqbDEHu-^zrX;FuL?rF>n;5Ke%YT1_VUnbcP@c+=`mqVj{F3b~ zJ`hn57A1lCVdrN$IIwWcTNhdWJq-QhVSCq^v>d1V&1#qRpyh937~6ce6Ks4>`XUPr zJr-eB7|+H&(vmc4k|8&V(jXKZ2v&+6dF1;Wm>)6Mj|FNXr9z1?#93D{C1Q^zK_nk$ zQX8gFcYml8i*WuzD)KVL{43fxnvM$1W%{#~E|w9lA%zHq!G;akU|q#-4uCG?6#UGt zixTf2WjHjtVd6d`on#8>;U@|P$9^!&kbn&1<#}V3^BZvx8>w)_S7-Pvwc1zc7SdPp zc_w3bPKi(EL|y{S-fuZHy#ItDYPBW*;$_Z%ihpk}I1N02 zGLulI;SqOvCFOfB(;RkV>B4h}=4oKSqE+s>!f@TA8aLyd3_3hV#eo>D3$lVj^KGRuLY{i`x8$}R50~Oz( zA{7MUVIB}l5O&U1T1v!2UB^iiYLdooh5CZlcYDsY_to9K5ch@u8z|v7w`cp#wS$ru zcwnWyoteA&&Fs#{-o?em#b20?V&DKR{#t z27sG*JqMs+9>+pWmqD0koW>h?{0?QF*I@v`Sk66I{se2qvNR@4Ci*Q%A9aTV{;E1Y z1JigDkD2+xh5rTr!g<)Ra0ovKxd`FDOr#P<`m`5DQgdup1d>w-sy2{w0z3v|?qOYE z?#i>!lXR4sFn>+-4n5u3I+0nbxAsr&o^%IWUKaUVX>PLI{1UL$@*%i3!d0lFwOv zPsCwVwglz}-Jj{;z``+aLuC06vGk7zoo#p2cHQ3X#aA|hmVXD!(AK*Zu=Bez5P4+i ziHP#jd3N@(mZZ^>40&msg^}Pyuv#3*qaawp{0Lb;5$K7O2_>QkdtKy|NPHTFv3!(E zZJ0vep?_{7qUlSi$j=q?uUX@4Iw~z!=`R;ne6wsrQ_8nk$q?kSaKw1mPq{0WyqV)QvUHcf>(rq{0?om+)R3=UYq*87TQ8 zmx(u}G$3;-F9GK0w_O@Mc*+otGv{BvD)=w(+j7=XAP;wbgHl? z;(u4VA13$_n1QPCbwD3hK_AF-;Y%-!MMN8%j!_d{#=>mUQPIC=woc_a8v16D)K}UA zmqpb|6;>N=A;R~$&hQ-u=bKwlRdNH8bs%1vCx-k`NpI1GI6EF^7N<)80MR^9L#aaS z`w0{g8N2Vb&nq|!9Nr6HQ;NZ6DSnhp>Ve!inz3M ze`+N4P@L3hxwG9o8+-p1bJe=7(%&F52T5}{)kem2tkQ`Rad8RE?x0>I;*5s4jmuvA z9#lpuY$A;=5plocU!USarKN#)7){Kp{KFJVMPt460_7XE{8h3Vt1n{itB0fReksvc uxvi4@`h6_!x$Cy?Y=7@^hr!@2_fDnvT<0;dz;QmnEI;eJ+w?b+dj#Ck2@Jph diff --git a/cpld/db/GR8RAM.asm_labs.ddb b/cpld/db/GR8RAM.asm_labs.ddb index 5ee7720e44679ff85892a67999f7bc473677d780..5ee94528fb3f337ac49e07868dc74020a60e9425 100755 GIT binary patch delta 3082 zcmV+l4E6Kw7=#&+TYo_e00000002|~00000004vx00000004La)K^h#TgR0>Gaf$9 zqik|SOQfQ7jUh`>w1Hi?*+o$pjxnU=tfaQqlWWIGfi6Z~nNf^3$o>ReLnel-tfjSR zLVMjf3DDwMO&m2JMNptXP!xfX%}22PQHui2*Wx8`1UYDdpnq5tuF)vHkCX!=>-4`P zJ|lk_PyQT0ot_m4Wlqh+dWcpQ$}w z^OO#E!G8*{)DVMiQ`Mj6XxwOV23>XoW|ZEjJ&Ryz!heYWK_N;8phlG2d=&g9^>Nsi zuiY6**nvX`3Hu8VF-3#!;_Zp|V*g^7tqqyA+!u3;OuAP^_YdIjjibHzh{3BOb%*RQ zuH@n)L+*wEfsQglT*wZ0PB9g~&0z9EF>6R|jD4pfu@;Yk_W#ocSr4H|C5#FPcza_7q0^QdtS?Lt=+BHwFTJ#JSf?Fp~yhTK4VE;Pt`Bzw}fI zU%JXiTg=^%keoQfSu-^i(#|eiAx?DFL?{& zPJi3!%U#w9-o%{K?e3ajzww3Kml}4NDOq9Jp3?i0UcLMd~jYC1AIF(J8#0Jju^-EafC`6fvI^vdD6{A?@p^_kWbK z-f#b>FR#Wg8B!Yrw!^VZ>wAK`+rS53MS>8yafUYpKAaJ_5JRFkqIDc~ZeqVzVu%FJ z?G~h7KTUnKA90+ae=Gt2O&j>^{OUPIh`_5;uo>h3vBKKdlzX}=Eq5oD4Z}1nvZ+7K zD86kZ^OUn#*cg|1Q+h4~cChSvc7MJ<-z9>=ZSA7}P=rscP*gk*e{=9Ld?AZY(&QGw zqU&A!K;u#-f%6Qvj*n1`l)eV;3?Ukp{(KgO0CReFDiV*zyr}V}k1&Jaquq$|rhEPv zdu_ZUAI=tEPwMVB3t0cHe)(f4Gp6LDvb3I5-P=OIJAvnkqZ!WDgo}0V@PDKy-ou#{ za?(2;9_?!3+nmKQ{G8y?jPNdypVCSigF%#8Wpe~?k4-dIur(1$Q-g3t zI=+00e9{O{%MMDSt?U`ezs6~&?}NSLne34&Ojp8k1ZfkdFJ5=!;$p##|xO$94WMt#r7Wot4~TN|JvDvRmHy2o;X{Um>w z#I{hKFH22*qj^t$L|K4>roPw~4Wj%%10N%Z`F@YxJ>t7SwQP=UP9S0k$X6?TqPPMk z^Gf~Olr*{OiF%uL%1X=YVk6VJye+CJ`p&}pRR*1=*dKcvh&=J{{rOJJ^(;$l{cBqv zrsMok9^R(lSgeF8h<{F!sq-A0Eh}$=3}8qLJ4=#)WLM;p<|>-we32VVJu!BAct0W& z-wkZw#U>{Sn4oVxIFbm=C29!?DHTCERg?kWE>Wn8vHKylri#{OeBZ{vsq9qu$g8oe z)G?J4(E!-P)5g)DQE1X77LO@6gX!BEcDG0cz%m_i;gHd^2!F1xr1p?0XAzYle^;Vd z+Q+_A5nRH)k)Pz-3NMcni>LLkPYbt=*No@pENayo!u=4?b1Ve2AxfuK2=F6>of0%p ztN%42N&%|WpEM_)D!^R>Z?s|bMeA`+CkV6XOaw(< z=P2bAth~CO)Vxlfk-owjaFZF9eWrzXifkl4t>Qu^{j~JuReD!h4ZWxGT0x^(egV%1 zc&1HR)ORUj7J$o?AyDo?gp;QnbEK@g^;}VZjEd9JP=987Hpj(Hp+3X+tmxUjU}iVF zMr0uxdvtJ|@X<>4z5t!Y#&ZzO)-XInEa6XvbWoa8DNWlu2hFdb$k7>3jlD<+W32b* z`%q>%`w^=8KOHtTOMnqG5RMCMN;9Ld+sa(W^*0N`L$HteHDhHI!ro>lz!G7db_~Fr#>5 zT29aq@?+X}60UC4WsoNOmep+3Su$h~FSZN`J8X$J0XPdP&;RlEc(Py;5*_JMtp zg?|}@4P}FwNo?cF62a;sv3?D*Ss1=W$Nxh1`$1WSo0D=5-8Ow)@$|^DQ!WqrfZ9(D zcURC8cUBW)M}Em`WZA75$(no$0Y^kE8A#w^@~b+S&AJZ8aE5uO9hwChK-tBcwVaW%)b;k z$P}~M@#Q79qKGN82ezIK^dZtTL>I+izK8YxeDCb8>vbZe8pa+bN3_`ANb%JyU;c?o zU>xSLVU+S>xS8duLm5K57*hQHNjEVRU~o;junh6$WG_Z~v`6GO%wpXH7&BF-L&##{Jim5b9%KFx>i6R__)%KgZ5a`8Pac)xqn2qg>wl*OW%FK`5qyE}z)2ce=%UQ|gGgAqmS$UWMU>FH zYa?-pzNh^Cuiv$YixsXhabrN@NFrD&TeaZuL5|})NI*S~HOj-w-21aaE7NUng{D4a zFze`ujIC?x{C|ZsIfq{I zpvk(9PAEgFJ;VWqLY=1S^XyLJ=|qF=pY)`FUc?zvBG6UJW0Y|dFK}e#t|E&N6czg= zuvF8V_36;*H_?iAIP+e*W)68>(!93+jE??G@ehsYWTDttXlPVFUvNMoN+_uCeKa609{vIV0RR7Z0h28ZL8Q6+-~a#s delta 3054 zcmVT*r0(W;lB} zcP){#D`|JFxWepewYw4;L5Pb&5ei|rri7J(NSCZ?$wpdSG7>`vsbD`O*b35ixS&`{ zX|0v&Agoao*Nps^hoC4@zz=Oe2SyRp4`tH;F@ip91y-Phz<)sB1jCa1-KG9eM|tl7 z=H4@R=AQZH%=w$oKKtym5;o`_c|rF7<69DUiv1b3z4!TLER!QSwwO(^9fOYZroX7< zui<=6_GSYlWoT&)5OG2}Qz-b5{rD(k%T%k6AZR4DU7YlO_7M*1mnv$ z3A~^qoyF>L$W9kGwuvL{F|3SwbPi1=MImRqA;-`e`+w$tZ4=FQkXfl;OFDV1)Lpoq zq*9-V-Ri&_B(=oNTtX%?i1O8>8IA9i2Dx#x%c8%_xNjd;yz93E>b_uC_F6Z!ugedt7TtL>{G3tknNHIw+!* zE2q#Fn133PetRC)2p;1GJ$R?R4SqRg17HTxt&_|8Jkho+Kk1|(^~c)C7JNQu`?*P+ z&ZjeV3==QXx+?Ia8MGzVcWQeM*JA#)p`i!Zn?V1#d*fVrtQnxo@%U)8Tqz?p7_S1C zl`q^dNbRD%3;nME2gs()90fb}l@l|K&X5qAGeWX?(S>nHG^ z28++b+%f1E@#fum_~F={2WDSY+t#?^Q-^T}qF*<-a83IHdY^2v{o^w8=_7lm@JD)Y zjlj$t?Ipv+2fUv(b9;5`{o&rBb_Q;QD%*pVVe~kb_I7AA?VO_kH&T)_q2`!sWA|ft z2Y>A2datywWJUigs&`tVn|;%_g|%C!k5F;nV()`~WbfC}LPhlas6-rKyax4gw8v|y<2iGLryI~i2NA-q)XV4qKP%#Ce*5Wh zyV$AlBd*TYJf}RclL7lU_CShHXt#BJ!gIiCejGp2^wq3tOS85NTy`%?su!CB6^x@J zrc>uxUBpKbDnTW?cm-SA-KVz>NgNZj$`JhxxcBk?U+kr?Vo#$?{b^l*`Fk2uUw<=t zoX%1Y`vM#)g<*~A+2L_uixKA>H=089xto}Vi(s;c=AQJ>fkP|T3Rv&56y_Y>@W zxio$UTl>S#-8Gz+&|yr>D9dQ?>wf{x3EVm+>Bsqn(XIH@>i*aB;=Gs_HoK^V>3?0} zg2c=BLEnF6Wf~)`Y$lh{6LsZY$S#G;#PmhMGs{+`lvxqz;<$Mw zD_gbDrm=O4gPoYB$S2uM?yK_kyHW3Q^H=et!qdzAb3( zQ$E?LGY5fxsA9h5OfsV6S@@Xp1BN0J6_z&Y{r!5=cQR8pKp68lYvSsG^2PaYNLi9t z8-|eORPV_b(2Iqh%1iH+ia+GcA&Ub|h#}>l6`54#K=%XYA-_!SqCnWUhz(rF0-F@p zHTn};pO)rw5n*Q<{ZZf#7JqQ52An&JTW{-tkwx!9qcLTYA<$+JQ&I|=5+oa`nSP8h z%_RI5u$?{OkjJ&fahQK4zG1wv9Rr);*qY!@aCttonC9w3jF=QLq$a*3d@Ae-*v)33 zvCB3#H5vt!-V>nS@fH=;@%GCM!^|92Rs=hM-{%?bQxnuX4#NJp3V)N@I}huux3Qz% zQ}B=L{iFJGPj{qX?iBko{`6ZGpEz8icSa-ByA`tHbWK{?dn}gXyky*0Qy;?LPO)?E zLtDdVS$@!vpJ4A7M?`SPW4#F5nSfq1HWuo36`5~MBF&_2D2s$jjk?!pT|s77WlH>R z*Jmp-yFb89=9=F*Tz`qn#BNjQ1NCzkT>GQVP4g$=8|*l*&>O;1r)y%(jI>FwT-QlW z1XBiGU&Tk2R(@|r^xt%7cjf9y#GPiQKPuGh+^&Jp6#oO-;FsC;&%?DtB*kj$o+|yk z2>-Q$Op01{LbEuE?dN@X`;5;1u?$y#0C#Q-Zxx%kXUZxJtba!omzCa@GmesoF{Sjy z)}&n&o6)&I%>R<-9@=9pZy}gmaUae-79$Gam?pdsf|M(bv`{Hae2Q z53$;ta?+A3|-{mPeONqxZ<( z@g)&+_(|BKz|y$Y_2aMEj=*C5l3jy1Gm^(EsQUVxxTD6t`4_;~XpQki@{GXkx|Oqj ze14d@3Ha}v#NV2#qkQ5>DSfdK``oY{`%cp*tlD_8tAE8gbmqiv9$ULBR=z>)G-Hn8 zWu~Ajsjirfdh|En9X{nc5-UYHvF2EtdLKbMunIukpF~PV62(FFMy*fDbfgiHRFpwlDg(A^zQ8 zUSaHdb$=X-BeH=xvs$!qr-r$pnyC}wp6(#MX z0^*s;#E+U&&@@dFck+-n_!RqM;WhiMZ0|<-npYWa1zA?MeU^83RYrJwu`SiD;RJUX z9ab4lC2r(j>V8o;Wwm*Rr_H233!7pm=9JP`cA)oP)E(|EB1^lDkyNBPl7;s9p8T^B zcz@xNT2prGb4T8DGUSv63(xSoKMxmK?5M!M>h-!R4}|_^?AWy=qP)8~x)gppgN51> zp`bsa@TZXes1Oa|`_ISXi*s&q(~RZW_w_Uj(?}PUy~8|mMa#PzdG#^uWNGioaI^O- zF1<_OR1+&L_r`)7^RLmzyI9pC`jLmXCV#mT>10SrRxsUMetv(mv~tXX^#V5MA~&Xg zi@nqB>H4LZw;2U;aBMM~;)rGCU8p3}27BkKy$W38s(f?8VP;Mk-nXJGuJ07~Y!k6# z*dSt3`eY;a8+qq{$kL%MA?6SleDwOb@JFF{=WJY%z1J0dHduO>^;2OSud8WKD}Ucj zVTJkW?L72Jhj-0}(BG_gLG`k}SPx+{UiznkzhPD0-%`RqtA;-*Q!A;_uR2%lMP52R zux?@gs*3PGxG!24$1W^<&Q}MuSZ}yBaStvWVnv!kRFL>i5a?ql$CZoyx0yTVs2+hY zcYAR@KPfXj3NaQ7zkX%jPSb4)tbY_zZIB+kAMwj68++cFCuW(PKQ+>Sw?RbeOhuuD zOpof~O{u0&V45>+Pc|;Qc!p)XXfE)Y#`^tCSt0sdoXM+H?~!haAoR%};vH1%PYYu4 zp0BMGd#CnK*j7R0?s=CKBeZ!>tBd5tG1je1dP$o`;LBZFSKWk98y-LD31irqGDuT& z(*X+0jyjV*(xdktn20xe7!4RrU4KU7AL)*)gW4mk^m%3UNT+O^?qfEyT6S{BA>JI* wyPJuae18%NX|%T$#3yz1E8euhW`bS9gcU(lXMIpU6o{NlQvUk&=0A?C2ZdYVY{?m94$oW2wi+I*;Ev+C5fx zaQ*OaCBMO)e`;>s65RM7xFt^hzxa0he=&&UAe^F}PaQ+3-ptKlx2hG>_LYzp(xRi} zN#TpQOG-+b^!TyR=iBr#47VQ)KJ(Src|&LFz@?}|ZuW4VcsYYVYTwH~%DN0dj=_nF zR;ZB=fy-q%=-+#LvQ8u4r5I%&B~;bpQ!L(ueah>nI|yP;h=rf9MIoK9#Hs#(8}JV- zyyTx90J_o=m<3#A0pzQNv0Sz^m*R-+o86iXo&8BXyS}M%&-rh=@?G4@0GWq)#MmX z$>oBdzc>L28+4+5uV?qqJeaE7GrPlp11OB5HPDm7z*cc3w{t`HpR7?cI`HSlA-SJL zpGDjCr*5MbqZJBsc&ABtD|Pw0ef6I5;6@1h%aA-5nzT2{oH4_@#fM?QD8=QloJPG% zj;4*jNgW=Ek{&3Je=5Gf;wh?hv6r1RqRRTVjyH;(wQ`9~K;KY{fsJsS!m^SWvP8k? z`yABY@n&Vrb<2Z2f9?|1T;^qNqb}cvJ`CS~0=)P=2epXC%0>CW$^cO{dMlL`m5fL1 znk$_L^EX#=Ua50u^ZTda7yhQL=tCn@8iU=Cn|i%_T*wA4@ab#=B8pm0s)XYP3DX9}{MMGisBB)N7Xrg}f1b#YCv9z-Ig1)_RMJ8)=5u9YPFmYNbR?HAFNnhk%M7BUb=#oD z@60_+pXcJI`pNH)ep!((t~jzGKoK*@lXGVTm-Wq539z1!iP)4rRjA@623vt}Ffsd4 z1X16*%tI=m@yaGa{&Z$nzAL);d;Em50IR)iymMsBb_^ZMCAg> z89XK%m0~RQ6mf@i*U+%_Le|T>As3KgW&4~&2C=XZ~VE zPZ>e3M6dwf@7e^%)~FG2_0Tn<3Wy6+-8Hxt29g!S30?xHoA^QW2&L*?5>2F@Sl*^H zQTRj`Bk%hWkJ7&OgS<^O4ujLuA)iwMhR%{m&Lz*1mh!#s-?GU9AzA+eqXcIts(M~j zm?|wmE5MJ?_ESXUh8Eb@nG+#$UD|$bCTViWVJ+abN3@@UV7rFr&xsD~n7%fV{wP53 zg;5{43|)&eyHnLY;PW}^WZ*_~orF-fsJwKD0t^wRl)Z1^r%pEeY6{Ty{qvIsn5~-! zg|O35u1RzfvFI@GvgXHtsXgFw{X**`Nms>?z{;-J*b6z`-)Mo$_s@cJZ6({4pk+Ae}(bOdAkkECmU-GW z1~rI&g!h>y|p6>%HP1k{I(0H;pA;)+jnZE|=^ z3pWm;BP+qU&in=g z%y47fYUb%27txR4hc^as=`ILlLM}Gox+{>wJm$vI$)qORo7Y?>hcR*dP)!gjp6+=>otR2mWi8z7)qScU2tcoAfID&>##RQVBRtZ+78tLmy8z9*VQJ4R$5 zBe;Tu&)`c)BkWBf@&m5yJ*}MG;GrHkOYezie+d{Gwk^v64k2i;Y)H<$RRWK#O<%TO z1#=T~k-=b2)b-eg@+BlW22ArB)M$XRcf1%yX9;9^q=C%B ziZ6ZwZWz(Rz@qd?Q8r{p$3QBV@?kx=4I7%(IfbFxlehBvi%CaFMx(GfhYbC_5|xdq_Cb&`x>+1)TqM3b>e%51$X_$BPi!sdp3Nz%}4(xNpe0Gc;XnxxWdY)-1DGH$dfV65XzX2QhP$U@!(P_wGg(`FcVZaVZGM zB}(HH_A%tAJC4;?dRw8wHDD$X)J6c=yy#_#%e-Kp+h;2c-|^ZF${rrZYPN#3u5K2B zo>uM;3x{74r>%FfWApRFKA$k4t%38f>QsbjCmlb|4Al z;y9 zS;q?}XDP#}Lt}E&K>y@Dd-p30p%>k5*Yp6^#2@B{(PhOfBh2pcKzU7HOhFe@{W2TzP6a84BgD+ww;6@qD2Ltm-2U}v{Re?p= zUm^ro$@_@W^$WK*@1Ry3w!O=Dac&uuZ~hz^+th6YcVug|z*DDdxmYF24ff}ec^UHV z-jEnkJ!=K`_UB0&*h-IVvQIP!kG}$Qry1s+rA%*3-w*Ozv{@<^3_km0_M$Nhb zO7w*<;~;ZBqPQ9fIl86Gv)|)t)b!ig+0{}e8_YE)Z*5ow^=NbA5ps` zsY9*xiN?{$JE(Kt@7esQG;edQL%W<0z&CtgMJVO38Xc7&1Czo(yPK5IP4WWoT!p*` z6puMEy%J-)t@oMJa*Z=Zwj`FDtiy?l&{BOOO9Qs{!=eNL#(sA4J>0G>Au2@9-MCZm zO&CZh$Sh2Htn&GI4dq6h)F3|Q6|M6&s9Cz^T`UrF>PER4Vhiq`Mq&o`GVrerOhRnK z@>oJc!zShc#F6%V1_daX^v2yZgYp%Jz))C%2Suv!dZ^f9@6Y;)$53>H@m}gHPpqlbLM#bb!^NE& z68e$rFnot#&aswhkLBkiN%Z4upn9HtMNF~r^Z~uaTlG=IH(MQ-D<)g}=`c&XAEGJ0 zegw?4uRT;EDRHn$m+X|v4^K9%GjrI>7Lv4Yn_v;9GJKxcFm7_CCk>F3u85(MjD0e}8`M8F-SP#24ikWOB z@a^26n(vrUFXkgX81gcM;n5s?*k&pvpu+pmfZcZE*Lh5c(TWy3z(Z9^j#o#A>6t_Q zJlC5|DZfPGTA^EU4`467;Ji4~veWgp&uvw$=@fnyO1!>LTFy0W!XL*eUGG=aK4G)Z zTojJKb@a?6#d`MHq+jvwYa^YkYh~Bk=7$Hrt|T?x#zKsD9-ge0742DT4~f`P``yd; z?Jnz@RP@0syk`qZh*E-a=6<~Vb1$hCV)uM(qYU@NHiOGjGGcJ|+@YYRxxMh;P9Gsm z)Mxa*`P}>4?9X5V`J2h2r$W3XWSOaEV)g~t*< zZzhYB_f_?|bNIt)%NXaHuJGRnmPua+`aTOodmHW(AMKi+LnDoS$-GT}ydWo%8$XEE zymMQ!x8#NsQFNoCuiJLDq#_q)I;!|EFV$OzmP9BlBZ#8q)fqWP@2ZufpC~MYeh2nd z`K|<%yY3q~f#F;4z~ew3zeW3hQWcO5HE1$C-Ffvb$GK{sKLq>QTZ7H=$pu*7@CDT- zez&UX`6ufkX_eL_?l4)x8Q~XAG$q)iu~lrUEmT{%Ba{slhggchg{2v`1iA<1C^)_* z08SpC-*=&#J@j7j59k*z<`>+RWazeGjiwn^#DsVzeWli45O$TI2yjqB&A3*92ve-QIw{T+34KGdC50;!^zj?izXBc>w z%>_U5Ml?WIB@#sAebt~)%v+T5cX92ucJ{Wq?{4ldj*uovlib?OybXK8v>1XvS4l8Q z4Zg!B`qyJfeMq|%saBR3kUR1S(ax=-a#g92^{CZXpVI`FWRTa+8f*$&+eEBJ0!HQo z^jWyX#waf-*~EZ6op>JFfc(A<4SNo6Y3k#58QbH}5oRD!Y_i3|i5>YW}k-j7-5FAzXmtIHar+Vi` zN!}7Mp#yxUercEQ@FpRs>m6g~6(9`GUlc_+z{1r^&&oBk;}*gCj2* zts}O<`#KVr<|#R(wXX-mGu|-Fm%NmG(W}R_v^$mWh=*sw;w#5*++%x0a1?YOjr=c~ zES;ETsX6(V`p58BZ0_JCU0J`j3h}nvSbG!p&RK4-o?wT`?pAl@?v{&d3)ts6?p{X) zcgMjTx4zE`hllx=SJg1G{B+L9j6kZqF=zu)eB5zh^CNSnC^LavTawTCA+%G;s<`O~ z5wh#Sw0nr)IG5uwqZFPRK22%Uk)&`4VFhn=8>-u)V#Sik%$Z<2XMh)o=OwQ)G-Y zWyvHMZ#3FaevLN%F=rxJb8?lTR+T?6)qdp9Q0M#NS`5n`cP47w#Xq_4F8AhkqtmqO zZ|U3!UCIi!Pc~W6OO^4){JXRw(hOx}ugGB!U_DmqtH+pJ0z;4wsn455%#i;TJiHE%m3V)mnvSkzBk)c_AJ|UIm#4bl>cwVfy);~-_XcYDZ-X# zMxT5-#>pw*#>n&^$Hn23 z7b`E_-#F&nN&WS6%#wA|P{FvwK=tbr>pAabr*K?#_3ug1!v0EB1v|!ZPNcKsivQkw zvgeTte8T)BQ_05t@_5$KZo8DCp@=t`0=~4Z2G}0euWzSoWhYEyG)@4ST1& z{Uq4!dm~S`5D*L?TNR-{qqwO9dF1`RQIy#Cnw8;?M2k27Wn5BV_3my4hmaHHH<3?+ z1)@}bnz2xpEC4QP*VnwBo<1Q5^!CYW3s-xvWWZK6K zg=%sCmz=6S=hzslp0FE)W^YmYI&J~vZSRxP_)1F;>hIJk^E;POL! zsYb+-grpZ=W;Z*)MD~@1a6@^KIP3<+bWVI&udb~{KHWn7*5sV)2Z8PD=!8N<50?6- zmq*1`&6gat5JMe?tV{Fy9k>rmn@yorlon^su@TVIGU)QTV(rnDcAr_=7EFmuGtwjB z@_C9D6gt!G2A2}f9P#{SrdsDS@e20zFhgmlL71i@NYn-JleTjuAY%nEI@T4A@pk27 zm7a>T$+DLC)K>F^b7<8q;A?dB3{1z1Am&6o5BMQ9)xLNHdU_S2T=(27{`nJniGzZs z9R9#`;BS#n<>$4#A8~{Eal)0mMM~NjKBf#$rGX#IO|cEtBan<_>wv?8Om*d*tt5_f z-?@+Hr>P@0K?*8Wc;;s15TcFBn#tKOU>t!YFKXIvEos7toL~6w9yZW~gcd}s}6& zUcB^D66>`yN8Ml>{Xp53&%NTbyrTWb5p^8r7bu#LGb|?T9Y=+C#aDHDCQkNmD=S9m z6QF@<({nG-%7rgQhw9QZue|m1=a{NV#22zjgq774LV_tI$AHlI^%P_RQm&6!S>h@` zMc8qiH}-uz4|p?o-l!S1(o9Ms@ENXKejX69IR@Vd2LSIdeKFcH@T1X^WM-@FZkOSb znJGFf@grOZM_F&DSkoM=8ZZZr58HEWZ`A!0iVoD1M3#>aA50G8IL;>rZAuPTB?-Mh zr`eW9work~XPNdQ=hAWK>t|zS3ateZFcku^6vl4!BlE}PumlILZy;Dh?A6l&AGjoX z_}CK{SzGE_X{lD+XbPlZsEG~pF zmm(b3X?$=AB)j3{4M(!XQ}=(7Qc@K`y{=UvEx-sePc zN3J>jz|;^Ic;T7kWx)7w7sq++`bXTBrq$H&2vbOwPKaXhi(G(k%b>SeZg6*bti27i zY6$!`V0_<*Y;qrAHC1jk1nPBjmF8xoEsH4xhd^(u7J!-jO3i>OrxJQ(W@^=DdxOZJz4?dpIxSK zu2BBSAoHjiKy-=5F5}2zr%HAEb@wD@ywWA6uE#6E50@5K9RRV#J%l1>Q0yR4J{*$=Vg!6z2(eDo(kw{yjl_s{c!O=>GYdWUKjilmu$JD!Eu` zV_y1u?&5i(GhVFSVAI%JXZY0g?MBZsN4~gO8xK_*pL2#OxSBsJ=e|6oIQLFUJDHW< zecC@(0(w+19$-LTzy6hWUW$`majb1i5+l7nP^EIRxp#~L zjQB9>SLS!UjMUQj0@@4NwV9>eb|HKC zXIW-_+P}LdDGjGNgcm++9}v65CjQyHZL;PUoaDF1?z?|D zkZ!D;)F9~KE*q@4_JHBG?`d0X8%L%$sMFhjE&u%UXr$=eIUQjtYom^tt=%${^w1faTj-v@bY~|}O4@#?swa86%K_1}#X~RGlIaGop{n=8*e~P)lV6-|*wW`D)X2T@!-u zzhF<}ZXcaBb^N$H^j1##8^PqW%o+5YD=o|d`}*PWR*E8~@FNmG^PTfs(v>R&sedcD zi|k0)tCP~hQB`Ikj^MHwp(mD>3@eQSj#|+RU;%$VF=r+n3-%#{NsWkcpMl+`ec~9n z1#R%lo9$~&lV(clj!hi>(drTb9n)#!&qq^PWC&e?FyQRl_qFYkoncmm5E34CI zT&mhx-?LW9cSGvWJmuL6yb_;`OxNhOY-z=m9rNm;V#K4!*pQ7Qm%n?TAFz1w3Py$} z`ZX+g9~h|AO(0qY)6i{f|Jbfu@C^WuF5%QNgDce7Jc1wY*sgnmyNeZ`MI+{jV<2B}vAy(wC) z#mYe!6DPN7q{5zN5VlR8dM(r!p1+%BD`^n#%=$U#sO6|#~j@RjeYXhIg{N=4(3aXssqxVpjizThgE-me}Th2 zP8r}*?fX4cH|qA27$)EiI#MR%Nk`LJJ@*EDx>t3y#*JdL%<1wBVojx=P3Q;GX(%K; z6Mx4Dm|G;<_f=51UnBEL=zCKy;V;W*xl4&^1PX7+_9o7#er4^0`1VQU4Wq23@|sNe zvEA%|n@tfB;c!?KPcZuB5Sr*I9Bu~8EpMO>q0`;t_>W^tO6vw3^2*cTVs+uPuBIGT z6+=;J2RD=;Z6O@?U<4C z|Ed`;DuMvBiVGIcR%d_Q;pi84+%s^6rrzNp1^W&N7r!QtoBXCl>8{y1Ajn_1#@l3U zhlBcJH2vJC^g|JvuB%W(fvobF?+j2yD*C7Zu6y~gA9i^aJ4)(W{(m{0|1 z$bCkcn`--6Sr)S>e>FYmEvQO zbDa>LeYcwlOc_%S9{>AyAYCmgC3HOU`0=~D1OcItR|~(6!zgG&j5*Vi9&vp_(63&I zCp=0E804!m6s?D+qy)&W9@*}6%iM5QbIiJJ{D?&BuDyQzbt z`A-a~x-e`Nm_<3=7TZz$nqd+aP5nVHF09zMIf{_Lk?{~LFVWM`4cT#g#hdxiT)=k~ z&Uvyo%(6x2WOk`ewA0MuOLG#@er|Jd(9e=Oy;cxw%1T0ei)@XY=S>#ykasIhnqbJWt;PPc0yv*^?x(9-WeU^B2m8BNpUAO? z9f=b%$5nM-PgQ=DyvGv2ra&_jsMkC0 zV2E9ny66(ycr)|agf|64B{^OoLswcYp_4A&Q zVpiid3m&B5HV+Nm(OO0y-k;?`pAnM*BPBRJ+I_m~1*kN|f#NX|i`k zal7@dQ0Ssnv{WPQnajHOzt1wv4oU=SsKDMCr!7g;nFH=Zh9EAPmgs5xr33Tc9g+9| z633+YV@&K{?$NHv;a0U-Zz>S5^C{vtT(6)3Mr0s%qE^e|2_Ca}TLb@HD{rl&GNrMcKVh-q5 zsdbg4@y(&{d>FyZKLBeyc1CA3_FoAicd^^lhL7SdX8-0|EETcV#pf&_f*w2L2p5l} zso4ylJHfXteIo0n9xV#>5Q{Z_iqKjX&yZ>JEUNS|iz09h!(VfHLC)&otg(s=Z5wRK z6DZAlM=e>_|Cj+Y4;GitWeTndCap< z+a=T+EVG0izuxLgGSNQFN^mFrc+JfZiQMWYg))uy4-p-@_ zs|+1KGl4N1nVW%%f@x|?0d_pyoU=;Ifb znjiCfoN8Ia$NYOcC%w*w!7Za)16GoZq{z1phK@nCpLgVH&{Pk7pSkNby98Y}A_B6% z121}KVoaOuy1z!O+J@lX#Wn*vV&Bj%{GIy}7M}g+1mmGoX67Ex;5&p&NSXG~IpXN| z;9E(81*9x7o+!vcd$H(u#@VXA_eS(OQR!p9sG!?(!g-8UP^dyCo=(xJqV^1Qp*~4M zn?t3iA5+c|p{pHXQzS3raPd+|WNkd2kzEcWWtuy$LV2&yH4J7mo(ELXW?mw4)Ib~6>Z@BFz_z}1g^ijJx}Q*P9YSeTXjeEL}e zBOgdr4l~d3Uxr>Tqwks(`EUp^QT9N*G(7FADqe9$9}yr8r&rc((aUoA)K$_eC2#E? zvuICK%r)L$L6Jn#NQ>IJpW@%Cqkbs!!bkSx>D}$|53s8rYAfBKxWZyTm{VGHQM^6#V3p#2G zqd2?84|Fyq#h;A4WU1T?q-<`!cg>AuU#S$!<6ySGZQ(#~5_*MV;Tq4BWj8I>_7*Tza!@KG(~q*=%s`hm96dW{>o#?U7$shhk67>fd|m8~&Jte~?%i%<7XvDoR%SO$4`3x@mGIj%P73X;Q0J#h<6Re(uE!H2(EK};=+{CTGnT~lU-rtE z)qb<~UCXSicAT&5$9@`kl2Mw5oY-?*W|jZ)H@9QJ$};7fuitYaH5t9!w1?HiXjmSB z4ZMlI%6=>ApZ)myPpMp^ZnpdiNuUA?nNb-(<=LUR&vm6~I2x6YVYl6iQN43RjPnM~ zpCKk&QYUgpJ)ES&VZvBeO?fH{sxb=ea;Ew9cUWDPXr`Bx_;sGl zr>!y-kZ=q<&%4Qz7X2lE?ck*z(5xq?YylVsxbXpYmp*GfV_?_No(ENbxPWT)Xj;y( zI*X6>y)z`$*NT7%G5Yt*c@z1c?7r0gZLaLkKnWkF$oF1Pt6V^_rFY%H7I>GW=mLgTgUD2Ud6yJ{57mSL2V?@;8=-Q-N8RP7Y z-+SmLQ`E2bZF%4iEt5~jZpue2^JRN*JseSmq3AlD0nsIgCS2fVmVH2HBLM-bbWkkM$;%4AX_g5JH6 zs&3$e8nvD#?rL$5Bfnfl*#eW3OQuJ)v^OZ6F-7QlQWSpK;+ga>4~6pQogd@<0McWB z9aM5PS^pJErx~pLR3slrgx*1=N@Z6??k*k=9DK;QvhP1>=10ywgAu;~aQcSpNaaB? z_Xr8wEeD$PVS}sQNy!tacd+YDKVsnx`JsmWz?Bz@gX%ehd9Vg9NNzZMJ2WK`-lhC#tn^08=DGHo)Yk!fos?^p7TjIGM9+5W_iWOC zf}hnDrBsOKwD7rq;O1LPRgTa1BJGrD1TE(tqe;i&yYRXsFs_vNb&`8kUMn;#GQ3G| zH9xG2pV>BS9+|!WQXTzKGnwvT`h<;U$KHHVO?u^R$WL{{buj(g&s^Uc10RGvb+lRa z#4&oWJAo(e5uB{YqK$Boy!Lm9Dav)3(i*m%vXbEZJN14(7uc{s;~JwB1!hhFLz$+?!KX;VB$U>5|l#xROJrXze3Xy=UjhtU(%i?Hm(U_ z2O1TGrikFDA=H~}rM(?7n}ycxmfYC8uzP`Cz{H7%pExnYa?xw9uH9ERshd6bOzPaf z$j~=UaE*iCI4}S$a#>8rULJigrMwwQc7DfTaQn}~75T9r*gkicH{BPQ+kG$18aK2F z`;r)Kv<<7Dxqr$v6tnylf&+3B@JCust$jMPWt@7_Ryq)y)%)MNG!6RE469(WV|`HN z7u0&!Sx>SOfDs`-ksl2vj@*N`3OabatB{K;D;`$JM$W zmf!0-`nGw`p;Nc(PPvPrnpd+civ+5Q%Vt`uBGb=mCX!*I0d_wG;XLzI6P^+nnC4vI z&NmA(5YM~PF!00ADyh_rgaw~6c=yXr%4G4p}Swgmz$BCSu03Nl;ydY(E9LL3h>b`?0?U55Uz<W~3H;Hw+x}D%pa`zwXq9q9-6VQ0V)_se#i5*CfF!KBn zbYWz`^+3KZ0^*o~(ae1Edc#rza&x|x0#}U*Cr6|nv_2^5|28p(0+Wgw<)n=}KQJ9k z^llt{`l)Q&@3e&vGHe9S;A21eQMR3$!>+ghrp$v!IFS%(rGBc%>^>LTFlJO%y9de` z-s!wGfs;R*A#YKj7@!c{P!d#5h~DYsHsA1Eh;(1m-pv2YN$89^h}8?~7Ol?H4c@Vf zr?qf#KNcjEDuryRKT`H)cUI;q1IpBnk=QA7A@VcEc9$LHz|W4CoQ^u&L_(~LD+Kdh z3=Y1A4N&jC9ff+7P$lxpjiHu8V=|2F8Nd8(Gu5PNcKjhwO{riTU;NUjaX~-jNn&xH zLMB6{x3M}q(X{Z&SgpdBpKxLX+b z3E1XrSF($b%CB4L4=jNvCSL`Z*aY|e9IDVHk!0&aG$53za0HNJ2BQAEFF%p|>|!&? zD{N7`(OSdX5-E8#dU9Hhma!^)t;#mJyUc9yOrhshuR+dFzybF=fJhic$TEjgZ%&Tg z52QXfjP@(-C4zp^ZRX%wXQ6nglAr?ioa=L(^C;F>#K=0lTKbKA@ul&0*jsiR-fO-y z#?9bv)BF@dMwGSLY4egg2wWy1ZU|VtTO&mXN@vXR&Rmy9!+u<~@$RCfG}-095_XyJ zXeNAMDxq8ytw+WxwWxVLrPXP@8rh?^5M$F$uJVF$*yY%UoM;)GlrXePYaY10_D})- zQ{(eD=9e=(;r$ifC)VwzzBeBC@a-QjIxj|>!R$&u68|e#bi?XKn+>mZLvB!dlSp6f z6$>gkUaw#8$GUJi-*)e6rj7iF5C4H~{s9_V&xc#e8a z81FGpb9`Yk&U@buNEjgMu= z^n`yobs=X9{JBDS2nfr)@t6Z5|0+M|`&UC2iaAl5pbI%<4u3z3&#Sk~W?ybjX=z?vj7llEi&W9$4)*hB}6Ah%^;9;>msk&?N` zfmK*{dxLrH&WCS)v7l50bH%W#g5Lx{QqK3^@R`f~dG92a=0F`Z^j=i`ln~G^lMPqZD>B;NWu|=NNC{q)ZR#C*WQe=r!p5(HM(-Z&tnLO5r(nN6 z?GOw9D6QVfdykb?3|0YK&N4f>%%C{EMXi?taZKc@Pkald(U(-9zu7uOP2weyJSC_K*b!8JT_Wc4(e{MH(i zu?u`58Sd=jP6p*Agi#1s2XW2P6kjWg?n)le6XAbm=pkOPX zi{e`%D$4%$ul8=_DP_huX1AJU|C-!n9{g@HOYEk}_`}rwmkT>|W4s?kBk<5%XV&B6 zR&}IU6w{ScuvksnsTm6o)@o|B|IZIOZ4->AvL4q1*MrirdaU{Crt>4K?Po6!zHa2C zd?|0m_^nxR-J#ypb_SpzH|^Pb;%JK8esvk)S8(;8W+IO{KR z$d|_(kZ&X8o0qcqF1RWyy}f!|TV9jh&_?A#L8bD!^JXNleOW3aZK`W_aK9kpW_%rN zyH!_;@0yHJEh2d?Ww+yO&YdLfD-f4gZM^R6HVB_z)l0Bah)60HYa*o!U{Zl65MTBF zF8#VOfAyvRC_zf%sX2OyaUFi6jrI`F;j^fn;TYj$g z_QsJ_l2DV$R_7hr*f-ki*}mFBKXliuT^e18NC_UVThBvj8GObCY5K3)^0zJgcrJ54 zZCs{iZKMzBx`Tx;vRSIC7@~?%%#5_yU&g}n_>SpTSta-!(`4IrUXqJ18rH^Bh{|F0H~tYkWz>R|g@o$K zM8-O6Zt*CY5Zw^9u-K%lVx9!loku zB6Z!UjT>>MJ@0Dy#1+^aCF#A|H+LS?F19)X(WLq-bIY=g4_=d7X1JHKc^{?dAVf+_ z^77(d+t7B$ugnqm$;Y(wkvY9cmsR-MCRpULUFf3t7Ln7D9SxTg6=Zcb-}NG$fD&jl zz9`;m=ia`SHdogO)^^sq6sT*68;8^;&(de)brXjER@^isR4gUz8_q}8sX^ZBYo3&f z*F}dvJ=?vbk_o{(>l|cuS4|56*w{ZsonnPLCB5zc@7tKwM=jY@Pk%)C>{FYJFd$WzKG;=@I)tWCpbITYkhGrDQMa zV|bl?<1!1ukK6sK78f0;Jw_WAS(9sb*qt&7gjb);PR%oSF>OKf4#YznGlcVG=N<_o zueHO{2b)Zz@QJC!n>Omd#;wnfsjx)BQ=4wu+cusy2`jcxHG=V4DuphAcZN8{2P)B8 z*R;2se}O-nh#@GNZag;q7yxJHclqrDlhrHTHZH1M;Wom@m?rN0$0XiIc(Jw1JeU$c zjgKU1t-hI#Le|H;E^yTl@hQ1-b?jChA5zIX&J$+Q8AC#A&-K@nlK*0eFPLKH$Ov?a zNxD2fkrhE4jh(Y+89%(v?Q+gE4e|s}dY6nR_}Qzpc(dDqYoZJVM&y@m_Y{nZj;EMP z%iBKRLW;*s(=Jb~8L1gyn{;{nd4u*&jz=ImpvEj_ocC}yw6?}|<<5xKh0q_*4TUL_ z=C{|VWefTD>Q@2oZ|BO6M^Yf8-#>X8v$0dWj&j`uJEB6}{aOw*Z!*=db=Bfi5L|(o zI{fk1J}gOIX<-Nf0)5KaOfeG>(y+$m(oM@qFupOA>rR%+ zDZcM{S(1iS?I#$&c2HW(I94uIcrZks!|GPHAV#MqThQc5nCP-cWpk^lVl z%RUIX_>?4mV9xljMi%jE=1c^S#oM*O!tjrO@;(i){S?cs#N^OL6>J6BhMIoFqV7EX`>+s50R4MX`fK6ikHR zMZ$9d$DU=4>+hjuxMiu`f#1yN5XK26bB_)@Mo~4a_ny{J^Wl|?Zl63^s(93>EBg#y z{BWN0-rf1gHpe|_1LvHMGG;J+#(t(pQT8IG` zOg?mZ*lVnsf+tAVD%Lo?4?{+3`a%Vp-gs@4rh%Cp_e8MhZ()i=XC1EnVKI`6&dv*74h(~$e95?G9_$kyjUPByKCh}|+~b8N=3{9CVvNdD6j(5! zp5Vae+?`MP@FS!J)ILhlx81bd(c3(eAJ3+y5flcsa%3Fb?DF89a2Stf=n(fm^28k3xM$RfJKh%;x! zO2$FTvc??O!OaIRI2*OrorAkH89sI=pMSco&-scYe^M)ZKN?jZc@yfbU<87@C%#t+ z6(sIyYYZtquz^0K02l{pWVMTd>4@d0#9V@00Y+#Qq6JuV=2-1BS?8P_n0odJA3X%f zEk!>jy!q?}#`%8Wj{s388CB`nN}qJA1=y1*3uXRCpxsS*grovd>#kfK!r)a@#V5VX z&xsG*)}HmD_kS5|c!^H=H`}@5<2kzLMbt({gYv&B{96b=ddU zHs2D6CxUt&MSncO*yC@qqJ4&e|HT1g?s5Cf)4@Lers$l%l@*`c%Rbc4>7Ym2Ch?8x0F>b#-4%uX7W;wqa4`WY5Va}0>sOb2O7lCq1)sm<{zv{^ z0KPF49EJQ%i`)uxMZGxwm)eXU=ob9>jvW6-&@ack^CdrN;Hz}eH>h`>iSYMl5F*Ua zRJ@*bu5a#lDl|jYnaXRRgZDPX{~{%Fm{ROSh46Ni?1??M30ofun?pB&`}0BPfZ;KU zR+$MOOis+KP;S&Nqk9fzHeMNdM%m}jiTB?QUF@#tLhk^E!p}NH@6EC)f zeVuqT=$FC&snLy{%HUOB^%{Zbo*(?}Lc%D_4l5~pJ`68&@7-3j$Ewlc0r8(_pEIE! zpbl>dKlS%J96toytf!?wHjLKjka{oW7)(pzEd(ft1yXF#u3YVWHr%f~MTH0_!40?cw9;gb{}$lq+YOHroSJ+o z@stfU&h{kZjBv($bcFJ_#A|RKj+KYNXJttL62bjGd#;!}?}Q(1ULTf)Qg{s9jhz$v z`)eG3C(&P-d^gDxa`|ZKB|yXTsCxowu@H_Dd9|AM|0GkD#~uNqc>hwGOFlvqqOd<>G9KXXNYirIEV1+s($7A~ z4b1gu7A{qXvYbGE|0+*qPEa0cfMobUk>Y2pjFhR9NMRe|-&rGOo>Nvre~#$CPG+2& zfWJMIw@$^nA-(0H>^@5;g_&>?!Xh;{qZ9pOVIJobzZ1sx zU#Rv|Tzpt zS2e8O}$iz>39h6bqP#+T66!-=*&wRunQb%83| zPAzI=s!W=flsod+acP%U|M{~1{rg9+~|y zj9Y{ezKL?nDCxJ#{=P1jd#k_k&cDOV-21rnOZ+(|G& zHxvK5Bbg=LDE?29{}9Kzkc~9Cf4AU%=12QDjPNy04*_3R2Bdmj68DtFlJhqu^b6PP z`H%Rc{{Jccu*1{X$FLL*NX|!Gem?Q=fW}rAkM?;B{`qa$XJXkmwGVYm+$?;%CpO{4 z#6I$w2>&VMzXtj4*2KSlnT&npf&KlBsQK8;xfkKypYlDf{-k}k;GZPxnri@#J06I) zAze4WhMeQy7yR-1l;h`FL~0*o_Q>D!vJY{l^1mQB>Y8PrM85Ye*E7!nv(54!Ozgwl zg6e=Z;0R}%b=05N9LLCio>zbH*7yVZZzub>eExo`Ts~cc3O6S4xvKKdUWgOChdVGw z{Wa0w+&JD7F!JK~rqd4-=N+8o{9mTu34q~7$o zyzL5cQ}s5HwG{1;tT3AR*u9~QG4?wAGisdkv?<@FU|GdSdDT`UtT zgZ)DzWL1RV3PiJ%*=99C=~FqJ^n68z+Dd0Y6DY!_NbrA&Gb88mERB#y3qLa`{66q- zYeu>HpP6KUOOS!K$}#{Evb>I8%HJ8n|8|mLuFwpU?Kih<6K+rNJ8ye~20TBGmRAWs z^~XB)g-AiP!jGl@+;{(F*)lBeLm2ukjI8T4CX#&n3qS353i|9W`Oqz(OL$H8>%~DT zAIL`ddWwzQTepY&Bf#%6(m(M(U|AoS;42yd7VF?2cUQ>woaFO&BGY~g8c&T0fqS&{ zKb7zk%@EY{NBZQSGXS?A^^3tQ!czUNT0tLgpbeLyJ>EdkEK5Ll)GzzvYfu4zaIm19 zfqjLTAdKf?^3`yP;;YQR*?$)4FV`>cOqdfo@HZ@t0{$sLpSWo|_m8b`ibWRk{tJWu z8=wo^bsr1+&w=^DmC^9%%;m3eD|%AaS9C4J}r0@2U) zvl489ldqmd(U67unbd3=k9h_{Uq4l6Xs@F-&A;?bRE4*-`a}QXSJK!A^$n+wE75?o z`lrKplYBXUGl9Qn!QYC>8RXXz|G~Y})ML1^gZ_R1LM&DBH=p3Se?4Pzgm(p}{nkwA zzbX3uMVT`DQO5TY`<2C4mH%LUI)Lr4o9svZ?u7i}`UTnuUqt$4{FeFW4?q-nTIN0< zsK2j1QobuJr?GkChZ^6%turXvKgEBU_)E`#oj3NsMfy23S)Ig_OFe|K=>7Q3w-)F4 zw^M$3Gg)0+BpF{<7ytNPVw8_((6~a}#J{EJ&kd&~ytq0p7fi? z_tT<}FJ3mwBB1X&@GDwK|B*h&uMLf-*#0Q>KOh;udJ_Jpw^iP-3J|iC`4_6g^zyGN z|L(Lx=IfF9dL!Z{5dX8n&lS996aRl$tFs3v{(8|k*Dw3eEdAv1McosAt?#aL0fAjcF(chs1{iQn4U#f!sNYTgLYO#?1RtbHVf6@;0apg0XD*0Ebpg*zc zy7{#`(*MM467fwg|2U-s{cnhVResn;{$1rir%V1S{{0z^+##zsi0ai~oH5!5a}H{zJtd#}_mWlnrznm{&yj7SjI^)Xkja zuXkY|wg+3W^x_|>AofkqU#i{=4157yg1^}>A3y#h`Xe=dJp=ymHuvWENE%>0%&PI5 z^7$1K>W8blY%@6xk3#&c<34GBF8uGZLS-W1CwwmR#US#rMK8u*!uXr91kO1fbwc>$ zCGZacCr?E7p6EkokgU<*^|+}L`6vUb!{J(cq8Pb>`VF5`Smlx?{5nLxUpb@ zA72v=s0zRt>BlQ7fS)i(H-Ovqo_{|(W9Bw1gf?uVi;ZKSJ7VVlIi zuLg{`NzeJmoF$fC{HORGzHx{6KD$D`7bM>X!cX})|0A(6umkf8_3!yP*FV%9&@@~p z`Me+daNqgcj|V8ZeqR=StZK)?E12_w=4koACW4R9`5W*x@V=|a-xFvfUkG2Qe^vh3 zTJq=l2k&_dKpAkQBNm=N&_0g>H+&^{eqEx&`TdJb{3uoa_Kf{2M?% z%Ek)3Uo2n~_+echQ~vuU|IKLQ{&$-C=l-|0`g?pZzf!)xqAyeu&Jp}qiT_;+{KPGK z0o&mG<@mu*gfFB8++4obgx{}l(7(-TZd?**~3@$a)_-<-aWU+X0LJq`5X zI8naC#ox#BFOYA{V=^`P1Oo4aLRIJmQ-o3f)ZcEIer^-~zhj&7|GxTfL&rl|7V^)U z%r8c3e(@*K??s!mzw37=`PXQ0Q3hWUiX{Hrzr8=6AdDr~&&T3#7=&V>{|!&pPy9Z% zc@zIzMEYU-m9+l#faLE*T^WB>>#t{P{n6Kl=%3=5=o_y}KYo5h{p&k!5zf0DuoLEr zN9E_og#V=?K5rF$pPw-Pp`##ljrk?tq#dsCVx2b@k|~q_F~RfvaHHsdTmDP>Xc`Qg zJsp7kFoo#%;-Cz_KRJ=(|Ap-9_<4mCx(YxOi?8qDNijg|3chkqg!|nRcmq^~_o5bS z0X+nA-ov>T`CTj-e-zVk9w%Od&u{bfvGXyPR5A$50Em;VSue z-v3zj_iJnHzf01eJhJ~28vpbD*P}nz*C&?#?}Pupk*sfcd~B=uJQK)fQtV^5F}3Db zCyRgH2l_?QANe4lSzw}LdluXc(PMk~%7x(XNx#Iua00(ea9lNyh4|M`;CBkXW`ci% z1pb)dZwtS~bn*?NO|RfC8zK0PbL4hNmd*a9X#0t>*$A|fg=0Tp3)l&-YP771hDXH4 z=!*W+%34HPhTlh%JlkQG5$Vs%FhF58!IEjsY{t4i!ugd<JfVEXDnRD}aF5Xpfz6gpEQCbPEujv!+;j@y`s%1J+9y z;dSwsXX9T3KhMtm`2_a+1$d)<*#9udg7*zKpR?xK45OJawk3VGP2Urc+JATP@3VdO zIX%gSe*%6!2Ma!J;0$WI3dfbnD!NO}mj@)h*P2>055N8EPOxd3o73Z8G$ zdpByC^}N3K5Tbqo^tF70b9mh0GHJ6d@msi#Li_WQxo^Bv1kfGe{Xc@|{S3ePA|CoJ z=E}gs_pL7p8gGDqyWjUSaLpDG@+(UGHaoDY{z8nGc%Fhs_zlD6ZD?=iW)1252Q@`0 z-jCc;ic#>ug@6$~(f_kp&-asF*Q5Xh@`?@NS%-kzhwx*qd&O;NzivV5=_B-JNF8^Y ze`ZG<2HfQnSiNeL+@#}s60n3A=MAR+TY;g-xn&J<{wX-ewYo50j1JTP%fK*kffLg| z-vLJ7Cq*l!|K(xdUBSuefEgas|BJvwf1V8bw|e8S7xnj|t>)4|wHz>ft*Px{s2Pbi z!`05!vz_+8*~2khgz zTBP*^c(}fx0gIh)f&cBGf&K8?KDIXvJcjnKK?Cog&gxAAkD~sc1zElsc^(c~o+{Lv zhWV8YzUS05#{5l+OE>jHjXeUK%QS1)kJO9OT^FUGba-caxEY)slGy!jw8y*i0=lZ0 zbem(u=RG2v4Ox4Wj&JY%065s#*40e9J5b+Skiw*!7gD@fs5j~6K|Se`FZ0;%fTBOy zVe!alTB{%(xh+a}K~p-u|Md@O{UCCeXXz`^{)_^3lWuoV$7({Dvgtz>cX*O7W|dPQ z^_EdfA4L3@qn;A?70Y`#ZpPz=(ML=he?oi3b?6+AT;JjoPFVg274te~Y`v>qM2+*s z-~(GiZznfxW_Fnk?O%mm@b(5!WHC-=Mg3a^4VYbKM*Z7`db7(+qHA^`p5dT4Ptyv+ zP{SC<*-^kQe(cAZ=?mB{i+Xzx*wBD2RfgCWl8QpW(6-_46Xl+Hd{1n*pHr8l({cXIkLP9Za0tmHGjAd?Qe@7CgPHg-{|-jsPh}$ z*50J!d*h#kbh84N)thvTpmz(FGU;Z3rQR#ln{?Bo{zlM+E`xb8DX!j>h0@&xoEUll z=a2nJy(nE@obt|zPl55`*m`vIlV73z_aMQ+sK;{>hyt_S5}>|nfh&tsyknwgp+ddI z>9eQ@`K0v4>08KtZ-Iuy zK9a`y;_!jBQI9uFtG(HUc7GOj846s6$2g%keo&Ce>@qF*`LIxLcHz_Fq>Cfb#@&lO zn>Vd63=Gke`}~moSi`v?c2z7+`8LnDnwSV065%uVBTgZ2<#_rwq#OomzY}qr#?A*1 zdgeqt*i2(Z_|>YAh*DYm&!cagi}v>d$A-XV^`^0NP(Re<6&};rJE+&ZPW7fS&h9t^ zFk1L}r zHdbze_A7#465@F2W97F|zepj&*jUN+_To8|Wf-1A5l3I?%Po7&m`!dWE;QR;ReB;Cl)GFU{udgEj18j^k5*~$nr@}OVS$i8Rk3jps0>>f1 zW%b5M59$Z%Q4>W?nbxr03M(81E0}F*g}H!}@4Af)#XpO<=@D^%k1{x9NZeVr5OL*e zO}r*l)KA};=AR+c@u2s^L_fQM2j-!fvxuAIW8@sv&kh-^y?N;_X#XeRI1#w4-t@CG z>h)B2V~2?UWk`tom{C6hc)&%lnd+Q~taB_jQ8Nr}c0!wTn>F-eVk=5P+s9}PmxA){ z;NZ^@qxt9BXpcKxLu?fP%*t=UTjnj)n}7DAeo=6Rrwi1_{FCE&9}e#I`RCu@pFH0( zx+eANXg?3|_s!*AYU7{0CdPL|uaoHi7+|=`{6vH;ORNWPnGxLK33;_Q{U43?zXy)< zfXnb0C-n1Gp~GJTx5c^XpV@;e2{uNP1Ti1c)Hd}`pFgr$L;bT?QUAO@%JhE+C?5+B zR!^ij6z%7i6z@b#CdK=RGTb2@_&lw(Hz^K5`#%B)*5eC#n=^_Hjg!VI5x+^n?_kUg z9G0JXuh;XC;`k=dl;Wpob3(I*Qn2PFP{FQ>S$}TC*L@MY*AIz1D;MIcd_42VB4G;acLhfLVK!n(vf84>&lxwR)3!In=L%{__L3)tl7I zqF(bE3WZ`)C$k!>j%S9A#%XB36lnI%h#rL04>x5ThStlV%_GekN=>e+q&@?jUPW6c zQZs9%&+xddM}gL4ka`VpVC_w6=Dptn$92G!&SrN+{X&x3>P_k$P`{CJDCtbwa2g{M(#ZccnoH~@i6%0`j2Hc=7e{E zf#7(R}iFNAo%Daz-NA#rEDLcDVxQIwl2LhZ)WUqTCb0|MXT(c^RepHjLerzB$| z(c92G?&S`L12#i!Z}W})(f$PB_yD-9-lY2p>gRx6c4+c#KEPR}vbTgWA4qbz>dgl@ zZQ z$x1x!Gt`f1;%K1Q=syAI@~E%$jsBN{^Rt_FH5=Uuy2MYutPiim6*;#ndTj9raDF^m zFB^4Wy8Xi^7`2;NiNkbz4|ID>fx{t@z|X+poCS$Yx37TiN7Aj0Hpcmu;INZ!`>v63 zPVqkGfzN`v`}6OBd9*N_jAo08vEuy!>UmGw-{R_-`SWRLe~>um)d*h`J{$G)XX3Ci z%>4N#aJYl&3G+p5a@)S^p%|e;pj!JcXD{BEI2oj{@fwp*)K(j#(xV z$1L(gA2EK&$wLL}+j+tAu>Ocb{gBv!Z}L}fU?|UH)K^hU<{|7iS3w)b)yv?4tDw3M z4|J@abAxqIeEC_D@R;V{AmMciXmPLM{gJ%&I*4ADOOaxoEbSbT8o2QN{6Q>!mQDIO}fQUubdW43XV$87q~Skcz@-? zg?huh%s5KHH(yb9D<;L&kb>)M{B9JVq3{(LM)%5+Zl5TfNwEd$TWwMF9Fu~cqwhM3 zqDir8KcwIpUC}~)9_4EVhSa!v0Ox&_f_AX8L91UB+~O|GaDL`dOQRn5g9YHgk9;w2 z7sq##g5&#LCAL_-A5tLSR!XsV!4}5tsj$WT;EMNO^NuHvi-o~0*I@BRvO@hrsONQW zu#X?5__9r0+)yF~-?I(c!TNR2plVS+CdZxgw*6mWwpauASIi4;`|kU- z`mMq3?56XEd6m_#i~3Q9b)}&Yz^_LXtO$xrbWZ<^w%Tau*1hrwsqI*%; zg7a9*PlOmPMlt8fzs=&}yQu%TAjQ<+>YoK}O^V^D-?LC}m{0GA6g*SHvj<@v%m*%r z9`YgbFW&M6oiB%aohhNlM$a#C7U0)`KaZoI>3l-8CocB2V)g#4nb-Pk5XXTUrZ3of z5pzs2&)Bz(Wd|Yn65K($*q1m=i^YEB^Lay1#Kt<-sJ0SYtH-v(wyZ4qr>Gx0H~AX$ zV`o#=)5h;c1JBL(oLZQ7K{}MZk^lR+;(VS*F6W&Qvsr&l_zCYWyQ4W5F$}E7YFZ#R zE9Qs1zm|D~b@wC|TmkKI4_vG#%yYtj&>l0PfC~JG1Mh8*=eb(T1Hj7nFw0%7>9MTC z_f!%oSmP3KpZ5m$jrF%S`DZQOQwDziE=~R`u38%ZKLC|`RR2n>AHwF1tx?Zw4cvb* z){Jj{p3M z5gtGY@gV-$ImnT*Z(nU17iq1}t5Fw@Fg(GeI06`sZPrlMpA~$D+G>>`^<-Q7`A^g~ zSAOV4S|I{9`kbu@rEi=wn>8Bz@()-0Vx#zH+Ws5H%@0fJr$POr@D0lmv>3D29MPv7 zhviR>NAnlzO_R@~-dozXZ{46+Z}WE4g~g4NU~s%eGp-IHZcsyhIRh{1sP8;(K3H>a zSlMF5th^_(-=_uX07aPsc<_XJhzIeH5;hvALQ6*!>P<%4Zs9_t{2SURa$@>!H1@5D0<+Gb{|Q?A6yzlb z@K0K{FbbPRCcr2h8#Mnq%ez1D+d3+791qL~8O2~;bP8#K52Cbh9-3z^shE1Anc3K) z(O~`K1)j}|mIR(B3-u<;;;1iXa;s-1pRO?OTmAd+C8Om3eKLNt$vI&+0`p%B6wQm) zNB!!BY-X76f(I^CQvWRKpDEz6_6q}#^I+RPPM&XaLd(yOmLpMve!;Xl3^jIC?M1Vr zwQJt+m(3XnVcvTdzaIG2zLAEF%Ut`t0TK;G{VOq2%@&(s)Yz;Lx#mCob|=^Ij2iz< z(gWM*T+e7X_>~tQ7nTCuKIxcinP-Z!@Y-R-k6vCl|6#u;@q4`)BPPpwz-6vV5in+jKSpxdu)y6(r7JbWsH=h$?_yOE_=BmY=h4xmDhrlcC!fRE; zZ>xzW%hy}%GB8>0fGoTVXXc38WLX%#@n(THlVuk0b|UKW{=~?K%fk7F#T&U}F=aKd~+?i+9S$jKE`wWuL?36&wLkRaqZOVxpq2l zVGOeR-Zo>rvh{2~hF;ynqwLB{PN51+{MTrnr= z7bxL*1b7}+QvU+#@oq}=_hK?W+(yRpAmieY&*++rvy7vRw?rARsvPs1$@l_hYtEHz zjTZL91_hpNE~M|RCtdn-!d0@10d0oKH;F^qKzue|ZncYXqE^@YS zw2_h5b4hX2B);%&oNDLW@66u+Y6QdsQ6A6^t z&T>qaO)*Ary!*Q3^qfBx+Mj~h0M7`+OA`18VW(+^JQTvU#A#-6`nD zduS$4;*R6j(ee$$ZL%DS82=sWZ)xh&Wcdr)^J!TSSF!s2U>DwT>a{Klzf##($GyRk z<>!!PW5{CXc748w_f>=$n|d}`wgAsux9;P^cs>R)-VVDgjrQqkFy{$;f5Bd`OIlA~ z>chdk!I9-~$ii!cw??~~EIWhe1HtoFP5qlJ-vAG%OV4~}#q~TC^TWR?Pne&XjLbPl zsJ;1_)pH!|pDdu8jk)c7>^QW>7kff)GOy@`EZa!`_b2f(67}}H6Q2Ey_ zif7}|>Q{j;Jzi43WWRl^_3XQyEPF$i&)^%sj=Y;)riR{rgyUl~yD*lm9me7aGoBgC zS4j5tllm!8zk%wnNa`m?egEt-u-M-fvK*Gh%LJgcn|L@Z@?bv3@rC(~Utqcre&s&) zQ`q!U)US^Ei()^M5$_o7SqsM(M;zqd>N&oQ4_WquEc?M<4o`COx$vcpB2W0<8|3t{ zbq>_uTH-Tn!)LyR_ICGHAJ5aF{RzUeYs6!+OpE&d$-*=4;`vcKAKMzOkIn2d3G{Z8 zcwRG(4Q3aPFSn~6w*^5)<827)?^gZFN&SSVuadogvJ5Qtc~3uee_TA5v3NNN>jT$- zH@s&V{IZxVGl93;#Ix0#ENj6ox1jzT&GuG5Bii4H`en2Fp{Te1{y#W5d0WV`Q?x(v znk)gdmV$4b-1Kvkg?GtT<*S=ZWLy_A-cwS)YQOW?z!b{~9QAj)ZSw273pz)xU~*ogayl?;t}KDVi*3A5H;=OQIa)!B%}A z0EPt$vJWA!F#@vx0PUY|wl|9Rqy00eN2?GUHoo45;$qEKY-<$%0nF;87 zp*`Q;K;4i(d_Mxd#A`7V0xL&q=lL_Vq`m%u-nJfWynTdvuKNvXV&Iu6-+My7tfg7} zL$tgDv^If+CW&Eq2lX$a{=iJ?%OLgZCEh+8IDEtE!5s^076*MwX4fT*;`_kNCs^6$ zoaj?V@jcY@%`{VhLu+sKj4#ja8zk+iCEdjzapA2gph(O98x-j$e6KjEaMb<@DE=#r0I|70klflxApx`t9J(SS0X|L?n=_3XX&e)oFc zea|`f+*|+F=j^@qe)exY(|Xph*WT|wuQ474RQ)GmwWAxNEk?1LU0s^oCHmeC@0};_ z&9321;&kEpErxioDXl1q$C6R17P?}I;)-#&UHbFB?N1sKpDl^pbyn}C8Gc#O`TcSg zA%`XspDvGhjNyM`Z^=MIqS*+YuX8}LWSox^AM4wkE*VOH5|4dqHXbA7`ZW&5`BKrJ z)?y>Q?la0dgr`ZoSGBN-_%QE-+UtEMvBfC17rt0k?cz7GJH^2r*a9xRD_28qVu zcj7?(E+dIg33MCp;H*`xLPdKcL3_jhR&@REh(NzE_`v9Q572~rPq7JQw1O&4=ot-89r|G2`H%A~=|E{H)T~*C z6q9w2c2k!+3DINUA| z|1^4?x6|A|zY?AIe&c_Z69?1e>(XSkcwZkxMf!~zh0iE!k1K}XRyA%o-&g+e_q&e@ za!vD_Bsb1^3M+AwI1q==Q4Cmon&x~3NZ$twD0_?3YW56i_~8}}50QpHk%oLH!oG}X z8lI|I)|oAuZ!(34=C4*9 zdM(4(qhd$#zdTyRJHbxBRQv<1+*Q%?xrqN#z?Enjs70-XEZPxd!uhGdPU=?()Ef-0j)oYsnQXJ@K z`Q`cs@|({Dj!=AlUr0vr#RA=Yeue1uKEX7hPxxkwMVrZ@(_1v>n`1f6j~Cwj4Z?hW zg*0EMraA8#(Ly(u=Elid=mkR3n{BB+=kd^+*;VMdKG}WCyVvg9^M%)UaU*Ydn58e0 zMMt+-w9f#GJc?``!YpD9dFh%(%xxH*H8qzOxUqiT#=+gcJY2zHsoe`-YFiwexa0x-@2zAVlw zwrFy@%KEQ+3~ziER}JQ#iy6-Mm4**X?((IE_lh$u<+;-280BswzgmsIUXLuyhmXqV zC)aAzG}%eDc}5F;ThT|X)*>InKRzI?))b%0hu4S$wfrn;_~zgn3lcfcBm&A=FjWrCPLq0)q#T(Q!M1N(V8-5$nQ+a96Ozl?^`Pw11bFL!!7eNo>aH!(v zW}Tm1-sM^C@Y}$@xAaR-Qk>X5w#zj>JE#_NqoE@$ui|IvV2NL~XTg7vp7zd%)BiZe z5z)0zt<4@IiOfJ)19@Vw$ojD-OY?0dc-{(>L9lqYI3tlifzm9*p z@VkrlIn|5T@*jnF-7K#xdcVbOVf3RFZ_G3vl!hlHK0i<~!}E*xsP+wM8ZOaSoAySi zFyYrlV4oD$%0@!5{l#Z2^7xeG@Ktgy>?bo274v`Uq46VDJ61 z@l%ErWrm0!5ay_oLOCeRMm{F0z0-YakiL)T*T}jzm6FW5*}AVW?!K?A`-uEDBFl`A zS+|$?JT4v|lMH+EXn3C19a8d!ms$5=(GRWB&ANj`|FQJ3d)(WlBkyJ(CnWb&dKxCm zrou2k!RDt1s@bx;=#Q)HUsZ!h@}6N(O|b?-Eg2uPWf$>@-s`Oz_?s=reMJ0q7gOjB zU-2K2Zr?U5M42JV>xB7ENx>#4d~5G`QElF39^OIp`g?0OKJwn0iY3W@UnxTPp6gQ5 zHl4q1Cq9pgH!)@R>!$6tk-u~(>{~#4i1V4HZfLuWFlUt%w1uJ%_m7?`s;$A8w(k)A zg4n~@Zy8P7JNx3K(w23n=SW-QGa~N2-dn}z5%E4%GK_B8@+A5s(u^pSRjS@#B6cdj8t!8+Db{->l+4hrwco+GNQQCZ~h9>VqFwrLqTW*zI;Dwd?m zx&vk1b7h_JG3#iv{}S(WB*O}sb=!*`{Tz8&D|ol)XPbtRZq~g^^q)!}X;GMUYsk8f zl(t~q$Avk#q)-luJ|jN*HBm1N{hnF(W%Z5RBMK6eJzqFTJ)To6ulmLVgkM9}8GR&V zZx{bhh`0G1l3DkD(T_1r3?AF~-l88{qnmZ_6a5g=r!eadj$=K=`K2`fafRap)RS)# ze83sWqVa!a<-Rq#aXw1)&$iItD>~mt2`s|S@b4GBqH$DtpiWoIvQ!*zdV}@AYK$qOlgf8$4?<_G;1noh6kQU-LHa|CmVMSGvK+uv~jc zNM;L{$k*x0`76Zv6!lj}lyzXEeS1B$m>({*T8sS}*h|dqBeWr)uUnu%d#NTAnSFbGHeql(Jo*~K$gt?)l-~%Yk0e&KC+WW04`U0xU z8Bvno%iP+hZRN2KNL##>_I}S3pDVE(N~vsw(2inyi>bufOR}id6%q9d%x$1 z5A{qv7~Se(S<$1PVXkD>tuFd6TdaG&=qpJdzQAGD-Jss@x1}vu$J-0PD=An9g;@b! zNUd91^tXm~sCjAV--kyUGsB%5);(Q(ejwhg8mHDRDSGs?v>=&vD~kSW)6mHA&U%LE zTS=d)Z~uy{yRWnb>z*deUrGwrL17kw&C`YV9+F?<>OVOa=Ql>K{`p%KnXKRJE$d9J zk&rDf{@)kx6@t$pS=}usdi1lwqjk%OevfGw>1e5^exmOoeTZ9GXDR8l#>KW3O{#^fWyFijB=-lDsRZSb`MMXa%-nH!=a-%;%^f2~K~i=x725OD6F^#ShP^?aqZO z>q(;7rl+6c;!jTThTY9rdFOnaq}U8zUlb2`EBAEuOhmATSxypEveI$MIV(POpg&U|5(z3OqM+Z1>wKQ zvnMm6DBUl+cC=B<;IW51MPu2{k$z@qMTUP^`1p*eumYzFY4Y7Ob&HXYt#zu|OS$c( zzi9+Nlk&Kve6~fa_e$2=L_fJ~*M_I<9B+7Og&+155{dPG(?%#;`#>7W{KK4`=bq6L z(N9$5#IpiiTMtPOTer*lAIiO5b{(TFZ4vZK-*2&NJ!!i|3;pY&|Da~q8$=nV6{A{R zt11T0(zRer*ol+UyJb7S6w{$Ly!XQBJsrkWaj@TqINdntKYxWj%BfzD`mZef z`K}EdhS}BsHLyynV{3L{L$CKf@qDOe7yr>|m=yYsocF%0YvNr5_C1rbwWK`BdVbNN zJ*d%kn3VpWp=uGiUzG6GXp_v|Jb$60bjud{`J(e|(|SU*I!~0GU7w3K+3eNqI!bMj z|16`TY)XF|mt9sCf9xMQ*<1V8Qm)l~@e4~SNM@dio{NNgP)eJne6bvB?hgGTbo1CV z)u#A=rEe5{H`(ZTz9+_=81G1fcKV~@^Qu})ze1&k)fbWvb^U_|rvGK~6539aw)+2M zg-crPYEK`8E8bivNXbEn=)gi(vf3 zMwjh5KHDrx-#_%oRwKM|%CB$UUDlLowUB&rV8pXt@4i}J_|rtUHN6qB-%iq8BJXgx zM83I%#CMl8>jB;-`bna1CW*|UoHH}(rD}eFyCPXupDembgtDtBE|GIj*m#^aKlkUb zdrHa%s$cPo*Di~33-YF5x#`B86tk=wOK%u2=*Ot^dZNEa(p_g-#1BPZy2P9Jh^U37 z^G&7gM&}-95z(!s!}~#TJ38tGcOT=p-@hW#mke~{z^o%iMUS@_T@z*pH-r1sD9&O>R zDen#N#%e8`Zxnudjc%N0i~iyo=j%kNM?%#zyF}B$bbht${!`Q>r9WNtJ6q_>iT+ok zOKZ{+qU0ogQb?YpEfI7yiR;S7okZtZs+BYP6>9a%sAqd#qvxcL`dzKZ<15g0iPcED zPEBX4U;cOWK{dL0?@-b0ohGxyBj;YxFH-A}UWHxRIB6Zs^1Z&eKVpV&H0RRmF~jGV zM_(@^M{QN2k{-@L=;yol{ z2j6$_NVrcDsmCXloXt|cWV^F8_a{|B_WP-m3>Hy!wYKzEpRQJB$}7oS5)u3R$uho#*aqU)@%0((WBIkUA!i)vPS zsqy-{q^$tIszu@zlK8U7)t=;-L{@Z*7Ca;J21bvXTq??<5qW;F(`?^F99}BUr}Tsa-Jp5tmDCX z&%niEX*+Si#;sKPjT$Ar#Q%DrI`X}awq-BygK_XQoyy1hhSx8_gk z+Bu{%C)TU+XMN3D->njz{)%T^+Xmgt|EFj+)$h08Mjeg~)|n2U6!LdQk5()vImr5W z&=hZ2I#{yMjc?_@ER4%$9rUduXG5BY@#mXtjuAN+{XFS;VT`V8lU1FMh|gOMUswU= zKVPkJHahP(onO3N1*k)VHWmZS1o+y|5~?q*dEByQd#dnTmYP?ZyvusyBEWm;nypa`iB2{W z7}dwJd!^yaqAh&+-X-!q=NCu%Dhd6IqOW6gVM%vH8~;}7Y;@k$sn49vtIV7qjGPT= z8ZIV2^|#kX!e!oGd#EOHi73%Zv#%oOy`}G=Tm61kbzXn|Yn*xhJF|v2O=gPzQKJ`d z>Gci~#p}^1R$qA4M{xU^p>g2rYHPP}zFK@XYN1~w`o=Yh-%BLECy9{L6bYNen6xjF zn#8*$alOd-yGg7rqWW4z_uqnMh za<-_4Vl84xwPK$U@s_9SHcfQ?TN6l2X3JZ|XQsH?+c8`*`a_D(|EWpzHfW{USFQfA z8t0J!`H(mtQllGZzH@T8=+(^Xm}tx9Nesf`0>#f>(rx3CJbiUs(_i$y0uo9HNDmN3 zE1?pjB?p2uA}yk%#26hTC1rr5FuIXcIvm~Ij?U5DF!;{T_xt<(1>?0h_kHg@_c_lw z&%O89Q<(DC4^fnSgGgzeh!EY?Q#d%oGOOiyNs&qNFb(0oATpt=haI$Cdyy)ucNakl zf)xAW?gM`szjd4JbIMZW@~c=aW->E@oD_f2OKVK4(>4*V&fm{MXv!s1&4dr8rfQHA zb-1`|Mq74oXOk+vD%~lg^f~<{_+-qV->_7F#k+}1d^|eCL*%vkq)qp4N=9OHF)P#C z<(UoY%PFF}1OuJ-m586T(}Npdm-I=X+uuH-T7PhoD4IJUJiseIV*VH$1Vi%n(g2(M z%8kwy8-28rN1ho&)Up2UdWxiq6`-5U1BS^Bi|dZ8>)7G%fu!zxfUc9)jl?k7$|RHF zZ*3E!cDeMHvE8voSKw#HOZ(J5nj?vcJ`#FvQqmO6-l5X{mYwNJ?rDc%jrLUG)wt?d z>MAj^pqtG=u$B5}Na8I~_MIxC<|jl-Td53;iU;~WXRFRHA&?s0R{x_)ndZ&-H>(Gh zELPHJP&2UjbY9UsVeedg@7s=K~ zDpoXIuwej#vE5sXJiO*716zqZ7+&;C3ete)Gx4Y?Q(i{Iknb@Qzf8p^3Xh1ZWcoR_QueE%Zzi-R69$ugVN0;y zjT@(}lkTNVfz}`L^ZYMUjCs@v5Al(F<-e*I5^1eQ{P|0oGA7TDu4zCzx$H7TNO}Fk z@A{TQ`K;XTq4NcUEv2nynaQN<>JeP%*S8NdKT1BKCU=3w$t8+S%qwOC=$pH~bG>N{ z(N45?&N-GB@M|61@THW5Gu8)}Xe^e>3Fp>(SIWwM&D4>`9|$qC-}Vb@avyG=iL}Kh z_sK$tHs7nFpOR_OkKdZ*sfUN7^yTb#QAqBi2QOt!C+M!-W}MxGO*h~2D+Ats^2&T@ zYXrF7KQm>%5y8(B#)oBI-nUDv+mc5eAkF{wY%~;AXfSCo=gB1JGUYu?`0}@F=*x$@ z-;Q6@7#Xye=2KA#<1PBVcX-cSN}f71OgH#S!i(CY)qWUlu}*-RA87LF=6{7UMvY5{ z(=bRJ$d0}9seLm(7BuW!T&WxKwK|QO-!oCS8lSR4SG&GFUrd>znl$m@v$B|;M#MO#o}4%RE~onR zt@9&j+1gW=Epyt! z)m@Q&#xPNDE?B`stJ6^1R1Bxbh-ehbc6sTsdaQPt`+Jqrr8Y*noZ#V9K%u8|$H&#_ z-*IFyVm;McEBNM~lDSohN)i%b)ve)?Af}H$HDdp&JQWgIXR983Q26|XT53rp=a-4B z%W|vaN7Y*);o4R|!IYQd9@Dv7nr%-|Tc=_UUs6T1PHj4$cwGo6d^aghYPA|Ad+SBe zqvj*v`Ot1oMT=%QkKhjbU=84h*w<=S-Kfpq=c3S>^Qmp1_Qq1863Vh_Q|P<$ae3K# zm|Y!yVyVd%xPpE#Y#}$u>a4BUWU%zzNiQAov87EOFZqwQT+f^N*b1Q-`k)J+!S)=j z(F^xIZc_9jKB>SyB)I}hMORu+ocor(%-mwZzkqymR^+t+9)wddLMLjXL z;n~)otLVl46h@j|2B6dIZ3gL=Su}2?v0;QwC^`o zxNPM*?HBo?L^^m0$fONt#^KKAy=r@pIkk%x+CGvO!lqPxj=u4oBL-)lfwZQsr#sA@}F6 z)$=BbnDds{dMmcva6Jx%(L}98MnhQ@mRhH`aGkUlc!XxbYYhCx7g4?Z!-oFuN z=im0&BFv9zfFdR(+^!tK!%N0oJrWvLVyuo3Fs(|4^-k^6At?M{J1rmX_#4t*c`%=( z4X`6`(WfU8&Hkx1K@RT*l z{~iexhqio?l?;kfElmP?zJj!U0QZTJ2u^{KMVjpiz+r6r?G-A~3AQ zy&8H?&wLa?^G&V*(82F`MIk7_&G(BCp5#(Rm8t)wDZ%y4TaGr?*6fyJi%SHM2SBem zA(3Pw#+tE(!5y}ex6))Hr&Zn{g9fdzMlFW^{PB`&DYVLiw%}8oO}ZG))+Ysd_WP0V zW8CAbN#XbCBMITn?yNGa8ry+@m}MJylEUUjXbrI|UhOy$)BOQ}QF1-FeLyQC5SUDU zn7&4+pM6(5%+2aI*cWQ0DEEruMj{d)-T-dzScxDMQ;8=|$pdB%h{R{qfr0@>C_!qk z@i)U@iCRDGTQgZRk2vGMck@FJ9L+Jl7#wseVqC({8*~JN23}at&yh=O3w_IuY9=pi zHnQYajaeQIO^SC;FeKZ2J~u5G!jHC2>s_vG0A7%^f*4sC760D8O784kfd(1qILab; zEv^ZJ)evj7f~@OhQUTL+VEKN2c=Q>rcB!>a(@Wj9q}rk*Qn(dq`U&|*^1PwdIw{#T z8|=3`Z$AnP*r*!His$C(wkbw({P9SG%72vuS}3m|G?oa-+*+m4gvtd=HgNaZaUyt9 zFvEH-@LlXnM%FCR-|gwj0dgc4>{2nPZil^>G_ zu9MFP0TLD4yJP!501iR>3D4+qQRBH(*(fvvVl zMv0w5-fCVdYoQhT%US=7>x^6@#Vwuc7p7pQ-${=O_OqIl{Jx+I-bbI+$C3=>i?h~? ztWkc*JA+aK%ewaDHpUpS76)Xc9Bg5L+=|_{UXUbXB$R2O`I<1E=`+K);D!nyQEd6} zy8qr)XiUCMKDG^Y`RL9SyxyhIn>NPBjTP=+g?(y^BY-ES2A$NSikjcftTN^z=X>`R&UJNp>jBF#~ zp<->e6+`1i-Rmkea%@#4jk6+sRTcA1Yj=$FB@Id76`=#^B?rr{r~3ER>`E8M)_JFC z1!d?ko8T;@K1P(Y_7JXe{k7tUg#bKBxF}U?4M%)yIn?6ENuwcw@_u7{xQip+@M)3{ zkD1_GoE3>7QP~cgT5Ks>SF#;h`7b_=(f=mU4!*dR!Bz0a&A43QCj)y^Aka?{SZud# z#NL)IH4=dUYJ_hy(Zzt9O$UIA?5rQ{FnT!H?Fv3Az{W70f*Z}6&?G*5#zeGV9z1$* z2?_A%Mgw9LNj__VZ$2j^@hpYrlj^sMeDWE0L}fIl0QaKtYizb3lfTvm*E*JMB7lJW zb*>42M6_|xZ!+6BH=WKazTxBgsriE5_>8PRE>&C**xDDt zM4|S0CYrUdzfu47!TMrdApR*;8<-ezKo^EIJ`$I2lMCodCRWqv(bNlCF%n!1dP3t7 zbjwoxaGQ$&6mVqUh#rK#--CCrY+&ff^r72}p$fTHTZE~>YTv`gpMnciYxh7;TCIQo z>5mZ#D_O8H5qMMO5P@HsN4^MGhN7dl8D>d;qCV%|hUC7Epxo1A52m39c11n&Rn0Z? zBlnI&s8HxcqqF=y@TVAQs0c?6NHJ(2#lUg|dX@1$htNwGoGJWVBf+3*#)nxWk|-Y? zj|gBww9jwcVOQpuv`2jo2!(7;VeByrYc_;H?7k&8b{%>VY(8-dPABVwsulDu;Z?(Z za3A=`&Jwv=KtqiL3$XA7!WZYn1SF<=sSP7}Z6olU(|1SB=bZz1_=Cg~2}D^sM9==L z3SV0@I{fAqryIC0l~5iUDIS%uKHNf7^&lQW`B)LHEME>G6;^vH2d$OmiLOn^>)8Xm zh$s2Y3#rT+_wqlY=8Q{S5wv~KMR-=;t3eDziFH|k2}_l;CDfKsqG&6cF}3FeJePIo zd46~8gV{3d>tbZt2_r3RyT;2q$Umb&laD^1QLElniv!Gq7IeY@_GuYH+6;p)?m#o} z4M4tKZt8c_|D!j!u5cya17TwtqoFf@pW(Cd< zK8&djvtlSTv99o@iM^#+>lLQ{5 zv7X3t;|B+|5VFd2eI=C(2ySV!iX$L^p;P5X%2Qrsi>XDezZ29k3sJPR?Si(qzzIL6 z@$aTjj*5=%yi=sfrQS+*0{2daO?3086I}Xx^f6V}UNG4=) z?F%GuqWd7p*A?axA!=KbY*rgy53$m%MJ&jmTzVjzV?>Y?ca8-R3s|Ys+=d_Awv_3Y zg7)kR?XJBAbDpWa4#OADGGiUHQYId-UWx4B$}d_Rk zHK44&f_@+I7!*asn@a_I$4vdwW8qo{(N6f+rxx=i+2eOYWa-A%*ww_E*GJyhhlAIF zBC8qZ1C8DYuKu^6ntj{F*hVtwJOrX8&_NueoPMdughkTju^j80Xvo;_b@GvRw?dQjl z77D*J`hnij{PdG+6{!p~;@nNaluGk#sQi&_o}qvjM0=}YO@q1IP9_z-mdD3EC`(A++=*t=cb zqW5Cg(Hp@C z>xT^g&|AE_$2H)%#-@WjeBVg0zjSzs5Ja>xdmrRKJS6JB{l|myzWB43k9-1?Vir^L z3ZXbighLqK=6p)3P0mVz+a}(o@ogs$8c%?mG~t6fG69$(W8X#T^3QnppEeN*LZb(` zAjDJkF44X^b30F+BPf#@v4uMqp7JjeeoIn9zJAiOf`C>=SP%Ecn$Nx-N|oZ;pX8U& z=Dqr)EBD`8CBQS3qkbwl>1~I3I%*>(6T4yqBIxf4r0=agOcPI+@fal1r7MajA*ckI zy^oDnS4^b~UPlbKYvS)9`stE`Yf|No2Trj#Hu-J>W|C`&82&<06MB65iyCRx{;8j? zUN~$VgpE9Ccc!%IowWwZUE?LGebm)9K$iF3#N+|l3b02k5Hi?BCZb`Xl1AJm=-^P4 zptaEK1XYn2ybhLJ4XyhA=f1f-{gjgb?)JVN(}!Asu$JG6!MXV};}MJ}J=K8K!AqTB zcKF_~Qbo!?$2`E=8l`jlDn65TAj>W%boix8N)%X0Cu1>LJr~m6h#~JGR>0M6qXx%~ zJRU%)mnRBl$tZp`wA_0pQ2ZlL_@vYn7gznr;B2-a@LXQ*+9xP6+hF=47ZyQj zb@XrfHY>M;S|K#Qt#_r>EY;#SZSQl=S`BfhGhK@cE@Z@va>R(W?FtYSmwH|)d$Gm6 zWxaJ?)cbg)9UpvOQT0w)81FjA_eUXUY5$S+ zKZ2h=|K6h;DnxP}XA)nTZ4~>Il^5ciy#=k#A#;`GI#zBe8ztwYmATli9X3-MZnx%& zJOYv3AR3=VJ{FmWTD4KYmBhhadQ9MK8ZM26V()UG><`T6wV&TPGPSB0IEZaItU!+l zgvu^OM+A04*gnj;4>jFn{KErcwGT6@GaoqPKKsQsuJ85}4~Vk3+#@|af;yQi{20GD znJyEu9&QjKN^5xmw1LP%Ya4Y{%c7}3IDwmh`1puoW9ztc4#=RWW4WMJNec;^dQ?;K z*w}2D5aZGb4>)^2^w`fWx9#H>0Q-M~Ds1$wf0qy~7^2bpjB4km(`H^hS5JGPJVMYW zEYzu1-aJ=wEtGYWf#apoa)zjWfZU&{YUbEjMB2J*uI=wygFJ8J$tqCh-{(>+gA01P zq~XC91L&-ll&=f#Gf&EAz6j7JuLHeOBeJp5X*@i{!W-=VnIDs&)#)0P426Gp4Z z?`5YDCAW_|!hgi-`80l;s{Q|LcEKRae_gymHUzksW93M$RvGJy&o__{f^$oYD2C_7 zCNt(NsMj*C`&s_9QOB-2``G2g0zqPd);8ZFrkOf_yMfj+<&r;fQ27V#Sq?o!`P>d+ z!8yu7FJE(i7nAK$s4ZX|WZ?-O;Zk3CU8fMuFxH6am_uzbpl;W+HA=rwXG;H7d1sF} zA)%z>{&3g-wjY-`QM(FnYW_LwsrJRCsfwT=_@Rkht&*;?oz}74F}?%O!w%VKgJVcC z7+0cREn{)bT7%M2HF@lL@Pc-6nbk#TG%s8!Ss^qSceQMa1Is(H-N*8>Wa6?Vz^k+n zp?MKdl-WO&5iR<<%e*`C`s?6-Fv(4C6hyh(zO>+;^Il8W%c6lY`R%eR-8CcD9WLUL z-GzO7Vw@5LevJYkICMLOB61My&zBdNEEFGRvG}yK-N|K%f6Vg1eRCoCpsURyW)E+O zdyIW8S-}eJf1kSK=bxpbvrwxul6}gZQ{{z>HqDs4RkO$`*sCs5H`Qc<>&iAFwtco4 zaQMDe4ybXCXutweo8+SnPbs#_upa1<{3?Dgd(?c8AlH7R{72Rj`^Pb}9FWE>N+JxO zMoqq3RAC2{3OI^e2N58A>$%?aGcXZ?cWqink|^;~SDY;yReMW+JM1|08$gUPV*jO;Hxhu`hS>h+{#L zH*Xkzx`>j7|2@R;S4F|Pv3l3&!kE2#LovHkV*oL3G+{$8Q)B_@QG$iP&t_vwX7dYA z)&U%Fr~(@7CYrq@^QMd;7qvnd6%fud)<@~ts9hz=MwtR1c4OE-_vI;`;N+IVKd}?b z@cvEYP6Fk9OJdX_1Sr%gH{`OWZ&Qq@tR|o%T@b7TY8hZ?Bl#xwHhWXx?zMO65APHw z6@k6CGU&|RKJ~x1GT8eU0dE#F^k0eoI%ln6q9XXuRWfJP9_~dyjF+|%j)1XIGv?ne zxhgw|v1CNd@8mqW%fUPwd1TE?Nksc&wDJ9$*f_t4=Qw}1%QtVj(d|Tw4GL{0MbD(z zMq0?hJ>M(!Yt0COxrz)OR&NpJ4YvucBo6C*<{I<7^n9Ae^Q=PRpd~?Fb9xfm{P#S* zfj^))Z&^EXM;slF{8KF3A-}ETq){PhVp(B*scsR$B)OAO4Wb{tE}uu@<9WRu6Lf;# zK%_%r7-IFBNrOTWdyNg)PNl4vvT@%L zktprS0iKlEdqwX(Y&q-ONXchV?9{4Q?DsEZzUCVF7F0SiTw)56Kdp=iat#eK*4;G? z&wS0M(e_13VNi%5i@igE+AJ=KZZ}uCpV;2Y4X->8_;DmtzlP(GywIgkaAPras4v;g zO75394)CuP)4!}`qxaNMaehMmD=k#UioII2tKb2j+G|=zEt~Q;LnDZmKChJhUz3ZS zUQBTt<=cBfDP3s1Rs{W-qdMctRWA7o$gQ=XF!_AIzv%P!Fko0T5|6c+QD#5=A0uQg zPdqA7U`LeS4x;`G`zzh?+)Y^UnO!3AD)rS2!JM_3t5T{IBwt{>x<5&}PW|H1N+AEV z1gtY#5SDeMvIN;gn>*t4=pk-M$DWN9#k=6oFVXE_V@kFc;>KXa2Rz${VtmfD6oL@? zH`x;8crY~+TxWAhGFkB*rx6X$7w;>pidMxS3_GD3!3A@g)K3|J0{CroJUy90vErN^ zq83pXbMLdv4q3)5u`Uq(;`xwhCJ(r(9Ajia>?*5|59)~=^Vk3oi26$bJ3KCd%QtkK zfMzvr0d?1TevtK^=#sZGa|or;i#1$e3j`$lT~V|v%>L=w(2N4LSOKQW#Tm!(I~WI> zvnsH&8W<18#l?sm+M0sQzOvjw{9ek-Bts>hyV#PxcL}PE8Y2QVQ_-ykJt1KFGFA29 zV4heaWRl$l8c?~-TU3%w=@%;y!1WYowN=2fTl79b4v1em7MGpXEKm4f7HdY}uX7Ms zNw-MMiSt50-}n&t{3=>?#MG3{>d>W{|Ib7GPs~f&oJyCAc+(^ezV`yLoe3Bl_O@`! z5$q@bFzY^)>7u+BDnb1dK3qCL&Kpq%ev~LCn@<@YoU(H53o?tZvC1FUnH1L$nLp;> zbDJL^dhhZxCn{UH;FCYFoprU2W2t5iE@xJL?vxQkndVOrhfV+G4-yrf9)emJ{UB}0 zc(h$+tZKE=m!Sg`xKbZis`f?KL^+rfLh(iyVg6)B1CNX3*q)xg`V|yJK58Bp2|Y4m zbMM-y?PfiBbk6>E*%~)FNW2;9{A9t(nw7AzTT zg?2HE2o8Mwlt} z4$Q+CW3$-Y+GEnsGn?m>vc%=vL*qUL_+^b)G2_VTtO8itY-@krsKS?bT@WDJf7xWp zg5-XNiqR&KhEYyH?#S!kN??BkWoYsff^5H3y7wshHVL=ZN4csYO0U=QC^zmET4R}! z|GhVm1G*?mu0+@G2r`v9H1Sx64M45g@mrfx9Njuzm#FJHwvio(j$S-=1e>76T)S{b zwENzL5qcxudcrd`;0gO_0%h%?w+ZV}MwlGO?Mz$)3?Am2LchLeEJ8Z)i0 zR$d|~ld%|*+wy>#XuB^WM~UZ^1F6aN-Zu(?)eJAFhEUZh(J$K%Yz5<73_{=dL^%Z^ z@Kn**?7e~eJG0PMJl0IQWv*lnzzUr8+;$i}PPBI~>LFT)YoCc|h%yBtjJ=4CCCY37 z@2wQptoPV9 z>b=KAamO4jki_vO^;)}GHNw<1hD0b%ZvX^<{?IkK(#>jy`dnXx1-bp0dmZBPL(g<> z3sNXAvZmO-&sUh^soBs1TAO6H+sMhk>j)EwM6V0%GYu?ph;=}2Z7-aumHtE55Vz(| zK9&=rV$Ap21P-@25!@l|ek_E?_)(e^mYB2M<5UQ=9a};;H+4{Awez zTUy8w8cKbj_LRiL2WGTY!m2~SS}hYqU;|)`HG1crUxKGDIB^WUpS-I^T-=3^U?M_4*zA9htD! zr=i%xm^n6lyz;~fJ7>W(|KhkG0Im_Xj{^PK95NFH;q`(7Xf`$E(^IM+TK52;xDu2>9YrmJ6WNc`Yi z^nPn4T^qgv4tXFDK% zz~Ov+zo=QjV3qa$iZ(V;yZ;lQ&n98_^D*IHC4o;(`XD=v`4Um32BxS1ak2X#qQDnY z2&<6He@i5eXf2iols7O&17gzkgX!A1I<`Lgvc>yS zUw|L;^HVhZ)3)fzS(nL0SyIVXS^tSSmt*9kPS20W4XEZ)QfmQN1%pFFEPaIqdg~(J zMWmAglz(RHr6HeFdpSk!8=cIUtcaCuFt2!40W#zN{c&hx5-i9l!|d-*E7naeFBhI7 z&3GtY_!nY8f^AEz@2*j5SxO%?m?vX*-5p~~|3PbZHk6QAEA~&5ME?M=QEN9?h92Hz z$3$3+k#;+D0*&KPA)8*k5@U#aU@0TvoG^YL8?E7d~AM@GQ? z@ki#Bw+`SW@Y6VhA<+P&F?G?v*x-s$- zG68_F&40aJL4XCkdqpy>KUndxI%^-g>rVr;SG60LP~m&JSf@%Y{vel z+wh>JyG$&83WwY%{V63!B&;apf$X?LT%bLPB@~x<6|4%M*Ov#A?QY4$D zVZ(utu=@=`bP<%E=PLmoYeDn@pBXp1D6;X?nC0oSym2&k|jw?N-q57CzF<%fLlgJ1CV$a}#=Ev~g6!ke zi`vu8cN{!s6dHk&0Sko-(QYzY_2)Z?MZWcg0ATQtbTr7Y^UL)OB4AC~dYsS<*6Gc>#uF{H9T!m|S)HIk;ul_8^&f8ogQ( z#2~*zGF-Y_$`r3FBrq9vKQ@ntbn8ZoxN9if@J$y#5OrccI3k%XiA-!?AVB7Hn^c52 zUQHW9blTT$vZidpD|0T+r|Ao8k^XHa)rvgy=n@FOY71s&zBelBikFww5J(jXErFkP zCjZR3So^(vEMi9^FR4qpB)1fqL<;+BRC}&yG{}DyX%+1w+YdeK)e~Zbf^;=QX?SfP zc#}?5)`Tab;$`p3+)fEJwPU3xZld-Av&0KKg6 ztOjnoK?0~CqF#xqpO8k}b=NATHGMq?k6dzU#}Id=H}@hv+-OdH5TX=#=Ay z$<~a-d_y3mVoNH6awsl1wwOI9&jLMUUPPnz*3OL(npDdaAMkfBps4jTzrWqr_ReCal&>TQM zbYETGYHAhyR5=(@-$`{m$M;{-YBdwW=?ILOZc_Bavh9$3QeE)c$JF^BZ5 zU8WD=rkWnZ@V)4KX`-BG{)%tF#4K<Zvn59pjXB7ST--ZLY}GozFMJH0z2(wg}EQ&BLITQ8T*Sl^~I3wLIE2m*(jvjCv_&ehQi%M0G z-xrR&adP#$wm5m=1O=7ma$D}3e&WXrFQJ;nk=3_ba=)gg=AdUVp~;j!R~U@xcyQa7 ziQh!3YpBdC?RVno^-%95Z&uo1nf1O}{jJ3ki~+lr3Zp((%~3&sT7D%l;m=lF@*9k# zqdZ1HL-3y>y`)XOV)Y@yy&z;BBM{OkZKi~flHNj}V+PAI)h`?SHz_+rnTMdKuCY50 zj;pQ8P`d7EA!{3twOJNu07$-N=#_`j?&CvI#5w@%NAeR@HNzM6X>FFo06 zuZ=v5*hrj(B2!mR&CSzn6Q{qKq&c}dM(tqZw8rf5Z$EhrFRb6X~gB0XMKL zyHl3hye2zX=HNz({@w94(^T2tGBf^T7NkS}-$&}5i)P0pJ5TY;MuSWFYBJrhbzy#6 zdr75^&XMlbh5S7nVFb3YMT2=J8_pr z`?=)4IKtGhDfJn~ga%S9{aa`%{ctHgC|3HRG2bNwk;5uV8X}4*Ful5YkZoFYnBAF4 zOTKRQx1#@X-M8yvX5u!Mb7H%B(>hbF!s%Y0@g5Xn4>EDG(AN4Td2tpFUSo0Z(rJ|5 z+Q%!cY8qO+m3*py{-fXJ)(F`t*;{n<`<&nRow&`VpsK&aMwu|P7V}i+wiKV4!!nth zTm{^HB)F1K|MizPmg85gvn9J8#cpUF`t-!!|GILQd(Jg}cRiGVFd8&Plt++G zGr0w@iHZJ!klw&g~@xw>DMrXWn+aHOh`mfAggM6=u~%?n)ZPPa&*9 z{JrK7NV=Gdev|zw3ucw~tKp!H75a4t}uCBXG z2i&CrcCA(j_^*^4Hx#veX{#M6+zzfP1$?Kf1K=!ZRM-8(`XLdg-4$cayrc)Pjj2f~ zwFwtkiX#}2y^iW4dnV<-AU_i_UdUcW_Q&Awl+>Tp3pkDq!x+b?ltuVLE-J_OKGNv= z1D|t#HNT!~NK&5acUf`I2FH^Z!%)cU*(SrH_W#JZ*EnObtXG)h@>MPqb^Sh&>60KtQjqn`m=dQ^g(66JKl_WKp83XQJxy&B@%nKdTU#f|J5) z&&dizsQ4FS@_dUbHg5H<4R{u6=J~G_Zucs3iNxohHkD4D<#nfA+M1K9#5kIsSRT@! z0bC(wzRzJHBo%TzWRWx{Kt-}RqkVNKOiFcH`eBVFf(G4LG6k-?LI=u79gYgyr*gn7 zZLhzyuXXaG*hyvejtc2(>}@U_vR0^9F3GAc8&{tzjO+74a%p*Bz*!ZZ204b#Gd3!{ z%`2f+M$vmALna)=KKnf6dZ%(kBF5UxhDL0&KghvN6+&7Sp`{L-v!Zg2>Sd)g2Ub{n zVt&Fu&q7b_Yme4uT`&?~P;HE^F=_8fmyC>fa|%mDXI>Nj^yIBgjzBVRT`3dU7cD!q zSrOF7aM1gPgfX8h!hB&x%lkSVrrG>FQjC~GjkxsV-`2XjK1`aLt(uT;7Zn^;7ON^g zrW4X#s)}oIp&53aCMmG5#D!7@PX?xR?2I8*NXYD z(bRQzTx4%*ci3H1CwcWc@LYK>qJ3yZP=u+1@1FEJ<0@sO_7%;??awj%kf~6~_9x`ssyZSLm2`b=Ra3&7zxOF^%a2+Oj>quvS0mv*5cwLPvcaExm{JiC@|> znW55?KDCzjQjU+&&X_knKKs#-yNTthlr6iJc6c=;{d!^{Y(Xt-w)zy%iP3H!gJ@6e z+jIZe6F&+&Yd9eoFS{0<+E06eVM(Xz9_To(*F;uI+c7MtJxR|Fn&UWP5d34!q_v#a zJ7fqJFOL`0l-_>^yZDPHz_KfR)~H&JvKyoQsZ#jVdDKM_K!babn}y%v5$DXq{C779ieBn(w#6(|KF5I%^Rt&p z53j?owDYSEacg8}gO_ynznXW?T!g**@~kerDuc6jw$}08Dtg6X5B8YJx_3^UnGLoO zze5B5(@tav{CY@ZdW~^5G%8eGI@dQb!Sn^KhmCjXRY^YC=BAryezrD|Xj?eCW~rdYu6;irb@S($o`WgH*{7blXK-}g?FW)WF@4vI>yB}S z8Bm+gc-wM~?)WQ_L4mY%{xo<>5yZl)M-?M70vxrQoIzEE~6_$ z3NAxo7L8(x({5m+!6E5!mpNoyZP=AZenI*P&p|fIZ~-c?90hUovAb>PnDus3O*(&O zA|;gLQ?Ax6ad@d|Z#b)xT29*f{sM{XxX}fIl~!ZSuLmS84PH+-otRuC;GP67G<0mM zkCLTF7wv<_(d4sH7!$)guc8SMuJrt~+$ad*Ja)5||3$|oDjI#&oC%!RevjZ^Z(ZMXccZ4+1)W>1{`m{CLgNtM78HZuykTuCXi}-mW|2 z(;K#Y4p=f_xLijuU{H4)wPDpF&Tq5_hl-7JYs1cL^+K6fuoZacXD<|s_jqPA$wTg@ z>AAfr5ia{Qq%FK<+r`pem%^~_96Laf*!8>B^Xd23*FlvFQ$*LPp|&fW`eux=3+bjH zye{`%`db0aJ4$i6w z5ti~s_OM0Rv1=Sd&AV`?CK#Bl(GHfeZ%u7U*kH|CvkOayY|jwcXYGbUB47h+)sco; z9;4{6dN*$S*ja*)nDxm^j|DV;vgzSG(`oSC^r(E(3ub8%YvwxaRGTr6R96eT>)c#* z(M^629-!ohd%N$EAdLWyyl>}Ca|Ib`Ho$kwr<$93a={ReNL)05l=6e)omGkcT{_$3 z^T-QU_gpy6mt12q0{1mdyDfh$+)g|G_rWHgkGO2>!6LfYY zqhvrQ*{0?&fiF!L;ao%8ydu&W^PVV^r0Ef1=?|Doqa|v1tx?lRnIx+hS?Cd`fy0Sy zx_nm>_9Fbm@U<+7uD8xiuJ^0M(GO!B>|w8cTR_K{&}{p^^o7&1Qx#udq}qzk&bO8T z@8+cZ(tD|q6zjSuBel}>*>}@I?9ljb2l)rnqvw-LUEVhac2dkkk%@W=Qnw~@e5C=Q zpU}tGLG5NOSCd2R=Rz?Kxuzs^S3MD5p*E%IMw~~GS@{(|uSRqzy8L>98C+NC_6gk? z#qN^2u5|rnXu=jMlIw%Lfr61Yc{UzppJ>t-IXYY%NKt>_Zr>i*h3Y z_A$Y;!how1URYNjCu||f7Ret4gZ`}pNwRFASHLMohg^z5GiozJn(B^rD_wN;w}L%+ z3$m_!Y1T9nzFwclp{$4BOx5D-XH|F^r({0u3x2WBSr%zU{JiAerZLh+e2p|$KbVoJ zReysVQh?RL5?y|d1sR%(u04b89&4K-2PS6tS<`#A+(am)ujWXuPN24OO!L}{gfl%e zSH`7HMveAU>&MDb&vw+bAm*#bN#(=Pl`cwW%r$EC@E31sQlhsaY@V}Ig|Bpj^ECY< zxGd_3lR{6cAtT*ev+};U6DB7r|AP|cF6R%2=Ow$DR^Za~PsWQqqx%CR{6*Q59z9>o z;EAT;-TWch={ZvPOQE>y`N(nMFECGN92%p-M|#UX$23;0_z`S~-3$b49J=c57NH@? z^i_7GjKr<0NW_;y(w z)+Dyw68DrfKG`tJB-c%Re`X?PQqAgtDHWSBu4} z4;F+Le_;28Fge=oZV(%z|G1WMVHq2Y3vm9K`P&W0C_G2SpHam6*!{gmo|SFh!90f) z;G|LZt1Pj%iR%+hB*JvP()9S+Zx-Z()$fW;v!&9)@0KN4^_cU+7g8w1ola$t31>r; zg=9DRB?(TuftC*3j#-%GNrP6;Wyu$}PWzlk_RBa&5q*jY`r;Kvx(b<-fknNmQ#W|FLUY{d73<_PwuEYy|F=GAd>T zo4RwSY4-t3jetNpHzwJ;Gb2%Tphc+<+Dm`vAY#lH*Dp<{u&stZn?If7JF<UA@%>c&ooG^i{_i+SWPj z@TMjDcJZ~D2{*D)?5d$;K1bVKtzWzb$sEw2Gv(nbfUS@Wsx^4&F}S)SYd?SDxC&js zKA?6uqgl--#6~K`2?G;iD-CztxBUuFu>uGXWZO@uQ?1hb8ZIy!sMaE_V;lXBYx8|o zwA?#;E{)}GTK6-_5=>9_WgZ?JSZ{MiB}z<|oeksLYe;*@u0F7TdBd9eFmYy`CXDFV zYt=*R8oL0#6|3(RycZRoR1xAYTz8?+%wQOT811o&y*DsChDE@(7>gn>%UJi9O&|#J ztV^PaJYE$yIlW%s7{nk(t-%ephH5TnNlv9}e-a^DjeBd*UBvm-LF5y%8>d=Z7Yyl= z$%}g-uu5neGf9K=a?^oa(CGqneZ6CdeCUoG*_UlJp^i;ShIe`_qqiPj**!NY{%cK2 z*K38z!TSCO?JC z&7!m4W61t5ZF76;qfl|3#;kyWM~LnQVq4gCNxgR8pK@|iAY0(355jGO&iOpycT<#> z%JZ85tD;eD1%d;Px^nmc7hpptW6TZOxiBHll-zv>;Vik;)G zii*QH>Jm4bwEic?A35OtNT8_W>T=g>w2z8kT`oM*l#A1qr5E=f#0_}wU(&KV4(^GtR*>4=Y92yeqYdbo^WrD{Bk(@4c4%pG609n z&j|A~ZEuaZs{Oj>Ltd;K>wiYftM*&RF-AlAsM;oZPQS|)D`$&W`n!&{^_MfG7r)DT zZRDGqPhKe;_xx{)`uRMkIS!&zn%cfsgi?cQq#t^( z_;4T4Umx{;h<=>5xmJ|h=0Eh?U6{XV^Yy=6TydReDu)cl>wef!E7oz{#(5Ja_EI0rua1xoaH#aFj|)#EUie4F~W;rUsVLr4%bOmBTaFZ@i%)H`j0cP&noh!}Q}jkp90G z!D2^SzwwaxZ7l5mefLrI`gM7pw~hO{R`_+#y?^m@a{O|D{BfM*`ui5S`zY0UsJE3P z?_7O+Mc04P!7tn^+#B0&)TJ*D(2w8S(9I?-ZjrnH0P`wsK3~h}M?2zP%J0u|IQV^6xaSR+AE4jT+IS!R)QHQq z-`5EDy$<~vIN*^WG(@Hc!lK7?)9 zJi9HPRkUEe^CQ~){w!z5KEiC6_&N97+2_~jM%pEAkB75zS738_rh~Tn1;gBr^+|tE zCifhSF_r%_y8VFmAu-{*$^2I7$x(irTyU(>TqJ($58xMVsMT?9-pSmVHtaU%WUkweb_1V!AfQ!D02L_ELVUL*=si-6md#3+r-o`h7+?;$?YZ;U{~- z#&MF0V|ATz#_zY$clsg=Gv`VTfFxH_LAYvU7GY z{&L+@8xH-5fk(9Yd@ZLR*J+o7_~}}hLlCROa9fDiPqlqNVsrX&55U^MM&T9~^3qSOu zum5{8ugmEd`aNM^IAGle{g@woA>o&^103rSZc7^u{a7oxOZgkMfvx5_^>qQp!NpZ? zCq`Uu9i9H=(L_J5w{$*)+|z|yG}?+>`7?gEwjKx5Wy9%FCb-Iux_-z_`?d;4sWKJP zrzp>K(1t_5pUPJF&DI9K@=IO67e^oeEb^`Hqw4zc|D!IFUpDNk-#S0yo__qJkzbpB zQYZYz5WRT58*+|07{~v|!QShn-=o@moxi$|s_TdUm>)PUr{4}yFZXwatNVp}WagBE z_@SQ+3uBj$Be`~jpV7~8mE5`=_?hvoABPXiRO}=>{?&@B>_ESh@t<%DM7t~f>T>Z1 zepY1_NU8KIWpYs9Ki1oy3RbDYRdT`MzduD@bsT4w(C_Es!#rYn9Yd|+*)VZmT%qeT zg?)33(<+|pxMjraSBmHU-@DZ9{a~US9CCJWPr>gDT}p*>O2~^>3U{ftts`H*kD~wZ zguO=#!yG~Dk_Ge}zZ-S^EXh47^2^!#1o7hi2-f%N{Ob0;U3{)>wWD5dD?~oijoQ1r`)3b3UKKFqKA&5+MG zs<=;)y@F>S-`D22oZQvK@1@E3k;D0WlP;wVhknnO%ugwnmxy-c^kW{jny`Mq+{RC` z!aVGMg?p`zk)6Xa4;wabN59p@>$CCMApCOUD(egD$GK2lZWVv<;~r&(@@2JwtJ--z z{(OB43@I1)SE+6n70>?f$5wJxyx33Mk+S2SzVWRtS20vuf3EZkYJ?qj(0*Ctcz%q9 zoS*4${hRR=UL~rTPGMPN7&ad9JY{Ls$xmZEyWCVipOAcV)nnK=_5|jO z(vABqUl;IrZu;eBVfnp~m(BU*tK!9Y`M18f@{%Dhekpz_C1)>su}PnR&*4&D9OwMP zv(;@Adnf7_p3hvSc)4`Q_{(@XBHV*R{K7i`JPVM22inUVEVX%}eqny~o=Nn}Zi@F$ zCwAoGg|+M#C;e&84(@4LyJu{`?i}u0(QodX9L}$Mo{N{qB=@6}=$8wmAHSn|=a6{0 zM>2O-yc|2k-YYuV%eu<*v<-_Z_lM^>zi^+t>m>T+Vd?gRBo1=%@*~M4wq8BNFFbdi zu5DPq!M%suNd;vOl4Posn7oo6|a4T?Y}>&&;M=^?q826o)@h8 zUEOCiQ*pRr^sn0d0)Ek0JBEiij;Zhi&#V5WF85T)eWkW*w1L%qVc0lMQjr{o!`%CD z$H`XK&iuKMG@B;%`u^XElr2_Fe3+Y`63fR)x0|AV6Nv-r^;q$Pr|akZn)9%q3v*cF z=Un~z`k?#A`T2Rw3w}HJe)Stq?W^BYEJz@GB%<8wsv8bKtq^jTAm4g0-Sap7r zQNN5yKEKb^?+wE2-obxos7^j9-#EX@e|5i5|M#hVEYs(|y1mRJZq?>g_)WxrD~T6< zf$KL_{@YAG;k_ldql!PLguFOXK2Gl!D*x5{1J;}PKOxKY`LFKhRV4HG+T7lW`j7Zy z4(!KYMb--vTlu$BMnaqoOQuf~she{ihi@@*flkEv?E zzm{Ki*XH`=+Ar$@M`&|g<-fWew+Tc0O>u4hqx~)&V-#%VKQ9^bqIRZ}%73*h#d6{| zH`=d1Q_hXQ@0GpWhb|Y}X63)Se)w+F8;ZjNtr>S1)WAHg#%8TQi|DGs* zpV2*qKL=Y{9G7kuHr>K=u;)ZQ)%JSY#!{$sDfZR2W1=JTzQ){-BE{RaeJ$z#)PMEZ z(geSK#Ebj${_pUmNNe8*C316qVeDXj<)w2t&1u4S0%s4n4@JN2wXG>Te4RSC&cCa0 zE|WYbm-*1%9rUBW8paR(zAIkX;#g{o`vpIbdGR7)*Gq71`oZr=Vg8uN&e?mfa9#Y& z^AuluMwd3ZB8P7{411pj{g{jMd^OsYeXpK%2JYn@my>&aVk_7jPA)BZ<#6c7Z}MFx zdF8+Q_;H`G2PfD{ZoS_wZQy z&vm_RR{UH-(7B)w;{9x==JZn|EHPj!es%p0k$$&p(`HXKCRCV*TnSuNTM58 zD*ftm&k>*BBsy05)#d(LxaG9<>sQIuQ5ZW|Q{;YtD-}P^EQuE00q}G3oPIpt+90Cn#fxX?{`{|!n`&*p z>*fE5Eh;_h`qgo)sj#gcZE53&4NGZD$H77Rfp;lw{B$k+?zjtXJ7G^xVylfGeBLh` zSWo1B9@#nlxF6e1STLkEej zz%p+_PjET8@Jr_#IUMbGB=XAfL#Ebg!#6F#kaGGl{=Q%cKNS!CZaO!~>35Z|Y2VR? zL%%B(1Lq}jEB)&2az9~Sm*6UX^>%rTFb@ogE9_WH8)L`91KJV#-5_4vyDb}WIsK@M zyM*)mw@N>}UZ!F-@uAMyE|Da>tKlG55`HCWe<2?$E0gZ2No03w6KafeONAeh^EP_OQbZuhrXn1~9deY&Ft?tH^mH&! z-l={|ythqwQuXyzq^Akb7t3qOCG^}y{qLFmJg@D{bN_yxYl-JC`*|MOndb-ldGbEO zS^Yfqn52=4^gOZsfPS8z5YL1Ad48fZPkqdx>CX$r)8B_p>PVmJXr!E;AL{3MlX$M( zujk>Nc^=fy^Y5BRroWevcBrdct41o)^TeMY?&rCp#eFD|*sgyQ&`1H{w1nrg`gy7$ zf(|7Tp1<$RQ!l_Z>qu)`Z9MPo%yX%Jp6XxPc(;-`GdvpWTmg%aUPY3gSgZ#-e zXi6kJ<)qY0x)>0kNP~GkUp!wQpEV>r`>JS8cz#>YIBx9kKbhK?O1>}rfPAo?tE*4e z%|R%U=*d*6o{IEzFi-mV1N;5S)GK8&NB(3z<7@H#qeM@pa^vRtM#cQBex4Jmqqm9Y zS0bxsjwWRL(yAl={}L6F)X{`^{#kRywI`V;zjO0vI+La6`xNv3 z|4Jr{C+`&b{|cBap8JaD(f#dxLUnY2c&f^q_0FwRJr(Kc;6CIi@$=t>Cbn}cmNJP_ zoiv!|Rf`Q8LkYa-8g2~**>B_&^uP10-VM|j>E6yNWdaw+#JI|K3IbojN zrT@Di|6ROP>%qdao!S~p5_T=UO{U&kT5$&1oo7oAmf_i5dP@(+bFnRx_c^2eyC#0n zmqC#HHLaYqAayBCAm69n=KR=-liucv6lXJ*t&&-KaHLO(Q|uw>Dqqe^hz>ENauH{ z*YqRr=$HO!A^l6eiRUk`i-#w*xk*3IIuHelm2{`SM7-OLm&uN;`xBnr7yEP8MEc%! zrXcwR)O(`zc$I9~ex5&;o@k*h9l7r5=ZWMyhFXO5738Hg`(wV&GI;*lL^>M8)PlT2 ze3$CCXvMlkDqpZ#NDi+V>@53DgQ*ar6uKI=c$MtOBidC7)NS(T4u-t!HVbo+#$K*Y zxdH}@J|*O|{@UEDU(a<^?DLnPSwe)B5 zWVsFubtEaH|Lm7OyZd zgQ+aSD)9(gyh?UVzdz5eY)>O(pZ*9Tk{{@g6%ebiQYe}BHvac>4Y z%g(Dy7d2N$C-&FT4()ZcYkwVek57K5gBIG-)KC-Kd801SQ^?T{hiFC>E)G!^MitTcYbC~iLq+V1i4m9b08PvM{ zp1-g?Lat~q&D*ZmdeVfRw@A-D`aS>Qe$QVn+c!`NI3zv86Z(0cC7x$Rki zXx1<&=-JuxdI6|-eg6pi$9io_T5(IlbB2j0(}z78DHls8*OBP?j;fB*SkjAHHryI# zkliEX)3Rub{s>vSKSKC+qa+ka!IYT&tl>~0&_Gwi7O#@+H=G$Us-~;?CI-7R5ynGPya8B~gk++UtF}xkH^Xa>-X1@pq^XtOf5RPE*)g|wy6b$;vtisTGV#8Tlq7!sQcaSj{O$V zHg$uA?P=TGuiiGX=yST$7Yby$daznQ&tHfizvBj}lAc{%_maqk7LrPyGYmA}}Cq|%A(;w7giTZHd` zqg2mJsdVzyk4-#k86M&YaH>VwGo{!W`h1J{^oqPlE(mA_BA)%#AIFU!8=U8dct&|hTH{TaM(psh0;_WFZ zIk|4bBPg}^oOUMsxvZ3aqm<4s`Tswn=Ah8Z)uoiJsCBDyv(hPtg&WCA4{D?)Zxi?*FJx<>~(01 zPPF_Eh3h4bVw_fkpjdSL`9LfZbgE|K`L!)y5EP3JQtyuh?MPn^`)B`FPjG|*(bI^S zIqwR+7d@qaX738&;BZekd+SNhQwu?{=vcH@kfLX{4o2xyu^F$@Vi;&5k{jx{X?lj4 zjQl2Q0KIsx`BQAipN^V{JTH36KJ3y&Wc_@J(d%|%QwEeB&a03<-;lPO+4Hi`Gt$IX zLyAS&oR)gt2691_^nE~{szTyLj!wK;vC6TCBMyilC>9;$F(5BgA?thHiRzxrvp4kO zrpeK>zTJt$-(NuqQFb`r0%_-E1w$!KI52Dh@@kcy`+>Yth3solfwD|FWv>xTpDJK#5!(ep8=AR-R;W9OmllR*3{|@Q%(@N=h{c;qO_n-_Y z8-1)LXS4cRg}f-UO3`y7wTPUIl*GIJD93UD^YhXX@se0Hy|ielAcfbl2x2_XJ4lO2 zn(LVgr_-9463$8~KBtsh^i{kh7G&owPy z;2xu)s7h@(ytIqlm5L8@6yg*Rsg=;T@CaPF)|+6*X{j)|cr>d8^e zv#r*n?CANjS~^}$As;tQ4yQed78HiEgX}W9aFG8(dcB@oeA^w**SGsDInRryoF3AL zYvzH{^A7S6t}^z#jZk{m_dVd8(_WK)gS?4Zz@kz*K7E~!V*Wc|_X*0mA#KiI00030 z|9Am}R843TQ4oIHrnRl6|Mt&XqYWxGm8ukK4MMVc*}l5jO?Ee}Imkn3Z+fU8_EH5w zkP1cdD2j)I&>k%IY7f$*h#)8;;z_}Z2oZ!<+TzS4yGh!B3y*y}^UXIi-%Ju@?JU3; zyWzY&1~1kNC#C7b!*SE%wiBj%TExzr4i&EF5lK%C^TB&8IBDQ#2#y+yN_9jeCA_)e zSQ*JLI@~fMx{OB>LeI!C!N;XYBy|j76>w^aMVp$PL`!)VVf>G!ift;4h39)8;1D!1SKggDlf4@iz%(h)kBHg_ChXi^w)6MVU650$z)BWv&*CEJ~(T*DNN zy;c)}`J(4Hn#vQD>(wJrXkHZ!*-ZroW+pV;*c`vX7(KrYuDFret~yOF*>7JQdhaID|=Z7IJOqv&Eo`JEi5h#?&!jH zz?geFsI_l=V++6C)^g>-UO~~ZJdy!22>1TE<++o<+6Z@S4M;T+qYepKTaRrA;NDrY zl|mDWmq#M8;wK(^ak6!(iZOt@UgQy~(nZlvm;994)dTX-_*K&|xaueeNa&4?p07J{ z7-ozs-Ly^1@7FJ(x2V>raN>gNg{~S&st0RLvrnKaR{_Xo=K6=uL`3Jreo>4c2y5iRVk15{#@Jv2C2_~WQ!S0FT7KbnPV zU^Q|yG?3!ifpDyidVIE!3gafYI(n<7_(;c<&5tTlm28T)>`D*iyWKZ44VY3A60(K< zcE)e@&7eH)CQ%X+2&32saP4Qu;dXy^`uPHAR01TG2zaiVu|o{S_rJ_OLX!)O#gni~ zc3Lm&W`GR<;IVb}9s>PvP&-gTQe}r}aI0-ACc54B(M^RZM`&nfRp`x5Kh>l3(pr4} oGyNC+?vmFzWDX=#vHrMpvN5rn0Yjzv~jU};!j ziOtvNegBE~hvz!ib-na|9bIfwezty^^uZvXrG{TnL(sF{iraM-FibagOKGqGIBr;?Kn-IE@PI1KC@+&IKIjI}t-o$NVO9bNqY z&74#x`N!tgErE^yOKu6@|9{kK>;KW<+lRd*oz@FzrSSibMSf~}2k~cme(Pj#@d-+x z6WzL>qmuUQh4#$m@6FbCRt1}nRQ}pOJibTvg@`?FTU?V0OPSF1+_{OE4!S&_DDZ0+ z3duQ)aGXkFQw+Tbw#>$t!q~f7eWI#A)Am*J>S{O>L2gp$&v? zQ6bPUJ0d1lG$VCkCHp7@TYHXHs?bppkA79^=x-IjpejR(S3r5+(V%U3k+}y8WGwVegE1 zQM(hdSQ-w+SQf(D2U}`WQPYTx16<_#6F21IWp6<{Xz^`?5yn&!-`TgO@6_f1EAL6z zVp&4-+ZJZmBt%(;QA^v#H7oVH2=2pRp&1@J(5wwRkH~|Ye<}cD7k8kq!TtwWOhgHy zLwfP*pe6!=*kc63nkO$4+f5^8FE3(LvFN{7e;yzBv@W3gzl463%YDUrVQj;^tQnV@FzElx*vs)WX6q~TAmn0Qh{X=f=JU2@aAqYZ|KV0){mUNt z5^#IS4ZaVJT-^6KfVl%3>S{$A>XNeC=seDMw-;_usz)Jc7uM7{`4XFXvqkuDdy8=K zbdxF|VV9|HJ!QOPi9zyg_foZR^sUwG1vUh#8jhERb<`zmrq=a|wwL}{x=6OA_Ha9S zaC83df*apg>eS4n3ws^0vUs)K7vY}d)$xN^10#x#uJTj3>8yer%A~~(JKONB`d%s6 z4{Bc5H+_hNAjUt<(xNaT=*l=exWF8V(u74=^#XUAY#o-^0*MUx6JoVaX3e3*x_PH! zThDsn*E=w>LweeF;|;`#t!}cz`XUoMJN+eC?09r3bGh*kITY)_>;;e|`2F_|4|N2b zl+q>h0!4`hvT9es4Ji&IUiQcD_|unGk^PitsX{)YGdB+Q9T~7g@KzUP)hFC#iMot} z6ccsof!k#gZ)g&cljO5t7WM}A6Qky2?jtZS`?0xnGO;oTQQ?O`4k&qj4-E;`7F{5= z*^VJl^#h_*siOr-EJRz;Ypb4Mya#)!z)_kE;ZM^Rkc!c*>MRaG=#jCNTKDI&^=g}e z?{1?6$Em7%&xZBgel@YuL_j=WMmC7zhsJVG-PA$TCm$c*d?r1wIDx}I4Yu3d2$9Ye z9gR2(8HIge2NyM71|G_M4;XH@3DE^zM*TsJ4}OfOZPkW5K4Z&Red7*eJwZ;V7KR_C zT;X6SeHyVgXztj_5|zU<$Vwbe&e_t%Jc@amunz82YLuSc!evawaSq?|D#<&OhjoSQ~+c*%x4%s5MX8`p!w3tJ-Cs`O9@^-E9rY+U?5z21z`y-V%NviMo|L~rUU)GF0R_2J;WR+ht~pc&f_KA z?Zu)R5k0|du<*Z#yfe#VUi~qdni@{qzCWkpH~gYYUS?-otos*&0}bxD+$~T>>e=+$ zUrlAW0{wsPar7${U4aW{dX#T*cBoBJ2i(JkXU1JE*G3ulREgrpwW>w8uaJHrs0k&r z;a|4p3NEzC9ZH!&Ob+FEpza~!+?Y;r|KR*3+xi75LO=9GHf$DL_psYCL39tcHgO5S z5OAL_B6`eboUw6wMVUZUag^oLh#lUHytA9|EMEA;E&>}C})9+)kxD~5#*>z;M7$Yc9 zDKk!HiJ@HdCWKhi%nRFNH=}q^q8=Whum?Fj-9{ZsKY;;mFrmGN_@}9$AM19JGSpQK zAW$i$`47};RSz(GW0yPl@$w%s%@ab-rc%XXWuR9(p(X#_q9Q7<~B%xNs| z4GmQW`1<;wA`|&Ajw&@mX(Yodx)ze0~SrSrOo)q`<(pXE%iI?=BJOAhm* zXrR6Gb;zNHC^~5KV449+-{9Y~=Xv%8d}LhI8kL1l#AMKG7eyXT@bb`XpA(j?A~WGo zuOh&3;u-zSzs+@aZvbJ?=G4HkfplK474%UL4uLctgHMG@iHrU{Ie}i7MXr9l`Kk4f z$F=%OuqgYDSR{5f(&@~Q9V~iw77y~G-#~7o4?Y$XoPv{%&=DCi0E%hxNF`9m9DEw$ zPz=ubpG}Tp%s@H#MlCz?0Hg4Q!IsQodWeq))b*rwjfI4F4o~2b5zl!rTa&<|$RDzGIq>5TWUPl$dnRjXt!Nl;~h){P}th(J%^}nsCPbV`#vcyK}vnMW+XM?$4779Yi+Jg2v6$Wcy@`9Pq&~ zXYhIoZ^S1r1jd>T-+b+&-q(~j{W(hECjLju)+n(38WX-`6^>OQG}-94p5sz(9&NM2 zkhh`qCt{XhtBF}9LP+dCpYyLEUM%(K7|2(#gN-hOE}(ul+ zZ~pI(b00%w@sxut@`wD=LRY=i@xJwZf@Y!MzO^ATVG62Rm?)nbQh2a$ZI1l0*jeLe zD995~U+Je>C@9a)5FoM-*a@hw@v|vBc#Ww4U!ni2-v2eE{|{YeGr;n1V0gF^yJDy$ z5O5qf?YxYvV1JEtaFXtb-M3#Z{w`>?>DF+f7Nnc$WS7j}^0W5fe)36cg#CPKI{rCn z;Ia}qJQC1J5_zAn678-vP>uy z^BWGAmHMesO8hzz!jhl4m!}&Ifaft4F93nx1HPN&1bV%}Xr%!w ze6oVQ8*5&(r_VwyoECd&+(QcDECtB0bXnq>_f@-#8Wx2UA?)f=QlT1$S5!{(>{cd{ zk4h3*Z;}XkeqE&aSc-MYpc>T6sCL zyX-OZ`M%V!(^Is1>eu`BaiDYq@0}et(Su;M7@~Eyk;dk8MOq5PiP&YDio2{#*Tw z;Y%m~7OtwyDlR3ZhJ<$nRWa_iJR6hB$PG;ocJoDUIK#8l)J)^7B@hdiZjwYone-j2 zw6CpK->vievJ#52wIDHuwW>aqdp{dD&>YONk)3aU7gKb9X=nC)tJv~o^MTPMeG=WV zVB0q-^aNd$`Pvh@jIPRW?XNb~7~rv=z=9&xpHE!;ia!`#Y+L&%&)a=*RoSQ6eic(^ z1t^aY9-Y>BZuLBJe2EG^w*Nt0)zEJ7P+P~5(o05QDt?(xbtvffZA!*Kf5Y!-UqV0@ z<|V}=HB=)g*G+NhPzm0&`wx0C-#R80$Ro;4qmoo z{S%M*I_0mQU($ID;nKRGFjdwxm-SL6t<4>fnyP^;S}V%!Lw+hvuq^s^5v)AG_s|ou z7Zu<41-ITit_%qae{wyJQ@8w1a%U)z?Sn@@Pf|rET^&m*saW>=sivcNuHfDUW~G6{-PSLRz(^(ll;5Md~Tic;NYM z^)o2{L8W(5jBsC~P>*3sjP8SH$h!S2y1&Cb3#~KJg@cHnZ_EQKT(ur%xjvDs?zD8pL z=G_u|BCE+8;Cc4na+mzT@>zA!I?h8WFJE7zWL?{f4VO|7?4 zyG?$7r(JX2+=7_ZJ~%k2aX44uf18%OsdB@8l<~`r9qU3S;{0sz&|Uc{>olm10?2jq zJ_7KVEm@=TuORvn+#^4@@k`B_Mjn@i!mxO~yV@osadCj5mOF2s#aUuAVc)xQRftML zt6TSd(4N+>;#46fEZf|2bc6UrB%6Yz2_uhM%mFV<#?=WXuIIZj{2Lj7La^{$s2Wfb z7e5P*^+k=1_C+W{rdUkZ)alBo0r&kK$G=!-jp2TLywpQ38GqyaIzUk^EAdcg{D+0I z@EcwVm2XYO@BTCuW3;G6!UQi`ITAG|>TVKSGovyn+O)&OXd+kzd1n^|tjj;+`wUe0 zMR)~78XoCoKC>>b>~|uPTN74qTdS!(eCtY+GwJ~GLgX&CJQ=?C^+R1n?9azf`hQqV zfINq*5*N1HNVCdEK=k`hL=ZJxri5pmxKgb$g1CiG2nb*HUyixYSM%8MA$ckzJYdb% z7!xAL_;P?rgg1iEN3pSijdU?_?Oto9u8| z2fry6Qf@tEXiEe=HQrjsWDdZahc_`=uL|^qmqFo~YZ9TPkG&ZJ2mdSRYpQ2vSChZ8 z{PN!&=?xyIG*|nh?rNU9Gb^b}mH#=rb$e<^&?lwF%=8DGAPlFb+b#ul)}9A1o&2(0 zVdtTDk-kzR43XtAAv*^ZPO~dSLwROw)Yk?Du!{3Z?e+Rz}WZMyQL2`P1ah z32mUNWqP{~S`n3|Ogrdh#k0TJL z_feqHvg%HLkU(Qs{hj=*(3nZT>f}@zcDJLQ)8=3r>l_n3PNzM_|NI!9lUR$br{IkM zx%y_oYm>b7zV(GmN}ul;@@d*)4TF)9|%SO2bmv7_`FqLxBpt$nJ6v+NLpq8 z-V_e7&tqZ#J>ih9adOQH`{T1Gk~WfWET>W5XM4{R;QRaRi(EZ5cp~%1^`AH^q zmB`mska4EiCw!!BYPn{doNfJYChan6p7dO*O)LA7XU0l4lJUB1C8>1JI{K-tFMra{ zjGQR|$XeFq2IT24JkY3brrj9paze?5ewFr#P`bn#$(8#x*KPDge-H{;$z5LCtuNX? zq!W{S<)f(Q!~M{f_h~&#g*<83Cd@A&3mqG8_p8aYOo()ioz$zM^R$}Q`FWf5;6Oe- z;Nhj!!j^^825o{r*%v2Y%)x%z^tOO^f*?LCNbIed_^&LVk>fYNJ3oqryoWIH)a(T( z8b9|a01LDWytpZ!023a?u~l_4^1fav-F=|v*lfM^YuV9`;uvZFrSqG-d!1PB@N?l; znkhlKxt~gr_;{$P>chf($W}-%6&=mn89P@+BU8Y@VQ1x-gZ;34CeK7Gd-rl~Cpa=M z;7ojPjDx9RPF>H`mW<-}Nx0LOw==!(rB5EMO`X;YaYipTF5;P-ttMo-- zm(z^Jg9BCm1mx(TN%H(a>;aNOnszV?jeCxO^<6xXu+CEzO}Tezpt+Z*APf5BC>FI6 z-anOn^@@(P4OsZN}x#D%##X3s{ds1C%Mf8&Z{-BeR%m>(glY zcTG0lMLIE|#4_Q{Z*qSOy!^_T8YNvdKGcgLgZalg6=ut>TzTSIJqkf|3#6*?JkfrQ zrG_tA-;F;kl~Zf$D7)D=p)>3S@BEoxN-+TS>McnpWzqPCqa|2@wTHfYkzm?} z9`DuYG#y!&LHgv#Rn*u%jy$~*FFF!+ zRk&n!dePdFOegbB!T&x|h?9u^t>+#>0l15`{nqt-oA@yW&Q@4^;fIqkz+3wT3SMyP zUV=feWTN0-;-|qJrD)F$E_CWVBuh{BHQtLuJ`8JVYoX#-HK6mg=}owhbQqA)Cs=dl zZ`ZC{!ll!xiKBb-dVP0_JGmxjc!?3+@_a9Ea&pP{EoN8%z+s zhPOqqd?HIp+#FH z-yhVy<5`X0Ub_>uqU*zG@_rmZ*NN-8lM@#fGu~HSc9Uqg(Q!C{uvTtuX38le_g>NT z>1x^halmfk<$I?pyCGyz;uMv#j z!-jhYJl=iCXOp)7jm?91M7GymcAy`4!}NTxMm`7kM*>ea|R8j-;M5HWC#Z<+IZH3}|EaejGtZXf|xXsn#o8PMp7z!9V&T4zdetgN)or9CvhKRam-W zHk<#2HuHZG?iGnh{snoC1Rv8_=T*)iInK#=$m#A-*)EF{XrY_W3l?sc*-_WaH-)(( z?|6F0iraIw&fEA%-;LpKd(-CSOI3oUE#8H8$L5P`-U;Q>`4_PvbUJxdL9cn|I!w%Z zTChKWa|peeAX_J|FIS@Pvr^;GS!)(Oj!77IrXyU62JAhH>Q==klW*T3-Ym3^um_n~ zCvM_!Jk8pR^7R}Z~4AzRGaY}j~Hb1CE zt-n`dp0fSb-be_N532_r6;C48*(uF>v$YpNH8r*hW(CN#a&kJGoUm8Ox%a>MsB*GG zolfQyV#fnWE(tN4zNxF-3Nz#N>c_8}M0#y~1P7dkY&XshQZ{_uZj03LiKLosr3#yP zkssoJdRv+AF>G&_`G*@u=6%1jlqL1I)ym*6`KCcpLZ$5qb5^kjI{ecAcDN&IQWCnTKAmUPc`|FZQ@p~HfQ=fjK`Det!d#<^4)|#f|9>*>F(Q z|H_8$>3)zkD9rRG^JkI6?WN&4(~l;d&(_QrQ7#4fkfQ3N3uXGnl3!tNN5%a6w%Wcb-Hu@IJY3oPMd$?SDCX>TT7(tbaiJ#`kIm zKVnd8SwW3YIj%kyGFSMux%hJYR2I{1WL|y?US1wHXDm;DE5vuxGhmd!FZDYNyFoD| zIgQEWiMUJ&m~R&4wh?v>E#G&`eL@OrzpZ{~6dRA*FZj_Mtk)^LULijkA<#+Yog;p0 z_rRDkP)d|yURpF>eRe1B#_eYbFVn?Fnk$x-Thj$StPF z^WG!bZ=qcWnT2?hxal*xS5leGgDpRZqy6dc%J5?US9%Vrte&Re+v7v7#r?c-)n*~) znekj1h3&o8H(ajrsJ+@SdEqr7)lZB<@LLh|yq)D$ zg*-fDZx<@#Ljc_^56h;DgM%I&ghqoq!}#O17{F_YzKCV;(CT*O z4ea^od67&pR~YnUCnUZo!`G!87V22=+N#+dYvB^g<&qc!FfDm2GavdXzuzKmUdIK6>y|@0RG{J|{DZ8cy zSur%O?>2yQ#Dqo9(2F%7jJ5WDeUXI54Lqj!$$*ARR)4{dvoB{kq7TUWL6d&S_<`> z+4|%0<$)c`vW5a+)=sbVY!Bh^bMa5!cfRu!CS`bFKV;;RXs_|;sjVD1S>v;@eVKdy zUWc+(2TJ?QdDw~%_z{;S@TM1-Io+Bw z7+sr}Nc{@kGE8Abk6)ky)$Oi!$ z{dm||V)*){z|m_gY(HPeS%-Fq@}<}6q(9=mX&BB{RpKuLFB?LJKV?`L)bgY5yof5w#1%f0gS z1~}2ZKG4yyUkHTcy0<-JZ@5bMbTLwEd;_3uNFFtZwZG8)hoh3>(eq|eG=C)hV3oSc zk03{LFN;)ls3^yk$#+b9&uK)=Uj~&FK7MzVbaXP}1{4FB#40xxkjbC6zX*^fH*Z?qUKbzWM{*g>Xi3gY2kf zz20q9{Cg5w2{q;)fq{fnaRO-zfx&X(miktF4VwP)W*!yE+tJhKbUup=U57P z<*2SajB0HSxkGKD$*gbm`l7jk{=fnHKL)eq8rRE^?N~_`k?^=WVqnUZ#M zqOifYaKW?d_e`>k{_ynSYE?2`fgCD&4+AP82w!iyC?~Z1l$2kW{8IsfPye(s`bNRa zMD4fgNUxzX?W9;1{m4$*nI_+_D%q8Fr~kHg!mpd(|D9};n^*JXd?f6M=%?M^Bfcn! zTQ8g?a^jFuOb=o%s6K_S0q>$uYV?oFaGJ#3Pf9=T*QU-q8?s91F|@kos%!&Q&t&Zf z6~D%)`#Xl*gD`bjm0SsqpeQakwC(OpMsof}Dtc7#_9mdz9Te^l9lpv zalJS2!>lv-rR0we6?Vrz(baDzFC^V~@ioyik^Q@FHu~N+7`82Y=A$z*#|<>n;}5{` zq{Nu59!l<9#_@Qab>KWbG

BMlJVCQS>_PC{d~5Nr^oga-O1qA+qv<>OLdt2xD^I8S2rEWjK;m8bfrgOdrzF06 zf_f5aX|z4VT^~kQatgTU`bF)f|83!Xj_M;Bo0Azv-nEhGHZ zit@Y2Z_^9phBAe?u;djmI@aB*ls{uDp|b8(gkKLcDa`0Ywb3w{Qj9&D+ivre>~eO1 zX;~7@I{ZKD_iu%YXaq-M%wx;iFx^QR%V{%116^O;#FG94?1abb2GgIO<&sP=7hu0X zq#aky0Wc@z6X1$h=DrNy`nQ&yVYk&^sO z2i69dLQ_=~vgy(wAnl%pqfEgpcGLGC8k65$UrsiycTqXpE>+?V&(p^A-3jFQcgc5G zqV2Qo3yB(T=%BnZF3_3o>c1tXhD@oata(-Z(?H|O;8;O_LGYPHM>3Lg4!tKt<7g*W zU|4&Hv&lQ++8ogI4#7)OUP%dbeY-QaV61|PdoPGRq0C3X`F~_Dpal=I4c2AsSbwx) zKB)p$CX!Jy#SisC_toy7|5jyE;`}{T@KS!4xi5P1$5VJK=G~(RSHnr0#W;!ays3(d zNZX$MdIB~5+~vG;p9x+mX27icH(Da1$a%Vw3Ty05JIq2v7kVTq^gqU9>67JVomsKX zsjua5dJ(gL+mlU`xD-5$->IlEfa^5+yhfG9D6nuaQhj9Vpt`~!gYFIgYy5w_!@%F3 z&Y{*-?1`5pS&>m3J|aT;f`0$ijq-tpY>u1Gj%wW0Y0N!AC7E{Y565jQ%Xt^2-H85Yn!9-v~|?j)p! zFA{Ha*)_cp^;vDekgIZ=cBxNvm7i4HJ`-%C_ew#60f-!+@k!>fcKp~BL4~35GxMmx zb^8O3&Z)A9ioeBh5F@O=Lz4aQM&1Ubatyo~l;5|qNFa&62!f(xgI?q#;;E%Kl$?o~ zN)da~&+Y9>ElSg`j6vC5%xKXi6hZUaL#(KkKR+2{Bw<+%PumBT zv)`~k2$#zyI9==R<2VO;u08=;Kwifc=rCW?VN zC$`xd0osz?ka%rn!SSgC_`>x3c*LAc=2Ijf^??J0sokeBn~Me(uR)aNhd`8%Gp5&| zxC4#6t~M%_0q4a%G~hAneQ0MS!{1rA`Q}KqV3`14Cj0eFYDyqH^utZe!wZsQ{ZGdC z*yeKPiQ@f_2vvO-ZVZAoF13w7?3dw3Xtd$CJ4QC{A5DA~2Rtp*BjnJgbC-|Gyfl>8 zl+;z~YrrpN43g$L`&s_GLwb{)7w!}%=kwIFqLTeFO(HKaBem4hP{-)-@XE!${NX>1|Deb7mz+qAI zGk~KK@^yCKWy+@6mK(fU)RzVS*^1siMK|~sNT^Dh$;XcHi(#fs-^Up@eiFDq#4I?g>E;a`fJ@(aBjM(x5hSm&Aqd3P6vN3_Nw?1 zfBE&*M)dxv^HAmua!DlW_h4?+ly8&rkw#*8!(!X;pnMTJU*X16RiS!-Odu+YRD=lJo0*bmpGAK01H%WpB=+*b}M7%CKEe z->}zp`Y3b-TCJHCN0B%$ZKhQfS4%?m!q`G@l;UoENavx6Z%$n2bSayLbjbPk=osX` ztBi%0iQaHW7K9#E29o3Cb8vi|*hh)4`~XFYeD9CrEc`}?-Gx?+*CP-;PMCd6-k^G4 z$ltc(@Z~w6;Squ7>8rg|lo}X{>%EJ=v()zcnZC5IaDT*W4Qwnk-RLuIYr{A3oTh|^ zwnFOQp20Xkzrdl<2P&9zG{oo7Nff2&-nE_Dkhgh6x;H3midASBv@9I=RTJ zChv%l|Ex|duvC_QGuO#rH%eijM6~Yf_X!R+e&@iDJtI$=BxrP44U?;L@>cZ*x1#Ry&HN@7D1_zZMzi)6kOhp8-l&@_foOZ0d}j#PqS+4L$yloF$i>HyxKs7SyRQNXi+xiutYk1P79zGzf z?RkCsu#uykwM|Or_Kl#}1^uEss~B@}-ja|GPq)GvQca=B!7jf}*8-{!3hiff{32Xi zF!t&wCTp6G8;PFDz)E^+aDFZ&7EPFej!knm%k1|8eQ!|9oouh6J0% z>Kw|B`~vuLun|gMs1-`?jg;~@H{UT|uj|jXIL^kOHt(3MOu}wRnKHUeS z-h6u(KTa1fnL3e(OL=M+Hp!V8W#OALe2IL+=5F<+NC$dljLD2*%{npr%~-vp&|#|m za`Dh$g1zHgGQ{t1TUaAyST&CGcS@#49zyHzby9^Cd|;F>(Q{a#j@3%_OfV+Nc505dQ#@<;Duedu{ z4(~b&?U)DPc)y!q~ zd#?ERH@N<_L=cJ*2uMdgVr~~>aUcKY_|I#v%3oSRSNNwA zm|tlPWO2egmT^!!+U#6d=As!%h^2z{aMw*XlfY`U^0?#F*~m>kW?+nxIA9H%1DE1@ z10_i`JU{CLJ6oZn{m!186J|=OVXtux-^MY9E!?!y&-7cPoYOKmB9*a{NjYK#b35Fh;6mVYO@y7mA z|9s@xzG9-qGZirMse)oUzQXM0r$mZ#5oSIFyp!P_T`y;J0=ManQjwxVb?dog5T@^q zpB7q?{KezmdmvR(OrH}}Eaq z&Nihk$ps*ZMY%+w56UHVMmuxYN4Lg?DEB_nx~zvd=?(09e|YO|q%wA}`6QI0PET^! zSl7iM(QVO+%?9?=Cui!3J4izj6_rAKaH^szJLl0!`r(VSt4q(76o5+p8a`u@i(DTJ zKIahJh#+GI3%JVZuJi?#O=mI{2fA=JF9Tz0&LNrI2pQQ~yPGE;o-RkQk zjxzQbim33|F#~J9MMS0YBF+_I4cA;!r+ z$yG^y3G=%g9drgH|C$G$0tf7#o^h(ZE@INqd@<-v=SJ+I#9C-wQlo^r}Vi zKBj{&A_dt3+=+^-B(hKyyF2jwpul%cH`gA<5vqX)gzCJ{*c!L;(9fiN_)|+wJW_o5 z#S+Cv^|HWq*;xs{^%hQ{0Uyb#FQWx>0dEI{+m`d<%ic(G|9g^iB)TWh**2{&PvgFO zI;c|l9*&Wx(NP&P{0xe^UV2nGnM($VRRp~Zb?SD~8vnBPTFE}l^gAJB$yC-eUePm>C2z*w1GE6M9@==fSD+cvNfQiQggB$(!10 zP4W6=PiPat?S=Gv%uU2TGVF!%_*1ScnjJ^?0d*#Iek0%nV@gUW-I6p2NC~j;`$px z>`t8AgN#J$4r$2~u%5*1uU*pH#pNt2nvG3Hk@owQA|D%dRUfYyW46J;tU~$hWk;Gz({-W*VSWnR zg9g2#uPD9ZS<+JOnU=DY(!wR#YaM{o9Pry5Yzip@GCu-`UR)PbIna16UHU71eLamO zo%Jxt^(nvocrc*vXPEch>OrVHym5M`Id;>tbUNT1hx%{nc4M2Y5=qEkj>&gaFCNi9 zd)OV5F*DrupPy*;;KKxe8OpP*j;q@h>x$iDUP1j`>uXF0!e{rZhC`7NL4Tg65=@w& zs@H`!AK0hgqqL_iMxq$drI1cExu{h0OWUFs0l}(@X%S~zgI@O?zE4*iP2AGn4;}+| z6j?Xf_nv*)1Y@8-e%MBSOln&@o{}+J001nGw266CM-2aX6f_?sQ`VY)d)BGFWe!(d zk+_Uh4$wMDwTXGcS${(Ph<3eda*)pa?u-#j&*?Ahtg0;PbI8Tod z_S6erJ0H+L=f4J>3c73Xgt*WRUFrW&;()|RiX=?UO_jXit0G7rte0HFYR5yzR`Nqq zE;M<(3a7Bou+1-}=|+ZG!~Yxt;tQ_jUNP%FX8X$3n^vyzc=lt9N^D41qJ4ozVbi}$ zA^#4VjJ&xd1*dcYE+W5=NW1^Y3p!9pidhTPMEc+}$+0M4 zPF#qhF9|CD5a{b6fLq+&@VIwQp?@oAvKS*=y=th0p(#49ux4z!d*EH0A?j5W{x7FP z%}vl8vYQAw^K}oqzD^aCS&&0GO)_$!^>cWymKYB>7CvQ*3pSs`{(hYAo&Rh|qjYEa zSM&RJm{v|!Bu*oK?AsPXE7*;}W$CWrEUaJEl`Z`;?8)qO;;(n~tz{ZfHQCmhitiCO z<1!gOZ-d7YzW9U>#W~N*$m4Anby^^{a$Ab@eG*`Q!UHc`6HYX1GA&a9!J^o%3-xM0b6m(DB8r$)Kme>I`w(d@koW&=A_ z(ltJAd3XHke7zPdt)Nv)ps?CF4zBtj13rctFbQ4;mt$(YeXa>=b0|3>-KA;_v#( zA32(nSv#G;#PPkLJyY@h3i&4O%-i|Td(WoNX~#}~gfb~|Q-QZ7XN|(y7IdEfRvjbP zgOJT<&>LW%8@p3P)En!ADbiXgFIEQrcwl>z;?JT)@po>Tl^2t|FdIta<3HN*uP9sm zJ;K0eK+T57v%r+4Hi@Oie?}nDb6>z2^bQ+@?dBot-k z$$FNyidA%Hq?+)oshXZ~K5vGx(;17O=gxr)7lH*Xiu7ClEK*lZzMTmnTxo&DMnS%> zbw1dZ5)(gQ6L9ddjE$Fn9RFD{ZwwWrN`9((1Rn~7sEf03{wO8;@S z%-{HJRb27(NPt*;kzFGNQvBQF`8j66a_YZ`G-;Rh*cMg{(U^1-RtBSABH~*^q#C_HP#G*=d z*-GE*K7D*{2Z;LDOSXNv6IugK+Bnr|_!gt=T8yHiiDPeTb`H^gE@6 zY{-vK)%j>(S(1`o{u%L#n3OfIjdmsKx5LG1Ip)}_P-ev~O0!A)ORoJCb! zE0z*o;;waQohk+-ekx5rEx|G-);wt8ctVCZR|L2AdNu?bl+-K}zWAfz4v>>s9gAZ0o1oy*_FHUNY zNCl%XS7b)e;}vUr&94Th%2S>TFC&$tG60JNnz{~CSP&kRL*l!bP${L zD^+r?DN=qRv%zN5XXd6qU%+L*>e|w;zp*hoQF3kN;F++}=$mY%S7{=}QSKz^kcxN% zBQE%?#=m=9GqaubYv{7wtUpPY@V~)Q^@g_h8IDry3?SF{~A+?A#=J>B&m=JeGfL>bCf;RnxadlP! zxi1@T>y;7onXE=4Opo7QH_V8*56q%8%+?^j5=Z1r;3c;(Lmcf8^1D0@Xlv8Yc7lTI z%E~&2BYWa&O;tFj!HK!JGrtmeS7#(|w}X3Y!iMRS&N}ux0nfD+&2zX+Z+B@ z;3O_j-9*_Ee);jwM3$zdsGA0Ve#pnK6lH!>VUAyRjzF?E447F@T9;gSlnqAmy$AhZ z+Vph#&J=RxDrc~68Vu}2eNJnCW_V8vKrn2!4K{Wbn0nRuk!5+1khX%})^Qd9fR?@h)9#d9y!JOQh zXjA%dK5w6P*|5p|YZag`pI-^v?MG>A3LtZ!4oG%0cdm(4J;TQ(xS%c!Sq)f^XWIeT zra$ZP*=m?F0q_k2DvB)ENG2U%5H1Edc>5zvau%)z%+10NAPeeH>z8n*pl}*CLyoiER-Mbv;aJsx{JDbbje&!=n}t%Jg8mw zK`D$3ozyWw{A$9J;9XLeH|}j49VZsQL?hk=7y>sdYEFU`$8hI1{`Q(^D-a$_9tZcZ zAY0bDvv7NGpqUAxdfB8P${*3Q089aD?a@FG(W9yf@DwIEw3=u;sL?t`M6HbJm5LeKY-5p;vR zk%|2jRTZgv{YI5-oNxDJn-rp)baaG2%w%9k*nKg0$C`?H-qc=kMhQT3i^nb~3&M;JLEUt%@+Px~G0j1dta?iJchl`q3D?%Cu`e|Dh&p)0 z1CNSGsLIYa%%2jBO)8B=XDUQ#2L+deFye`0CYf$zgW649=_f63n(o$ zJ^p(F>iPZ})Hj}yAeklMKgXku{c#+y;hm~j()gbmXF{(*hR@yndWp!C9fQ1VXCi;q z{7nDL^Pe-x7$x-&t^)r7diSY)Y^C^1kt?ne`)Z{qcOtu8q@UmLcr;nY4H1@GX0 z+kT+dV{iPbJ)q@`J1P)9woN-m8Z#5;;(|NYL;;wZdY_Hk4~VTl!r9Yuz8+HTOMcq zh0qjAhILg_@}LFj32Z-0kvLN6vwdH*vyJ;VpqSf z4X?!}Jg(!@JoyDY?Kfz*JV{IV@vlq154WnPL9T2!$Nx~t$Ke1nL&?L3^xk!zsNS1V z$30YkyZ1#J=PN$ke;S^OP2+u&l<#7lgDyb7RkVFU^%TxSi@<(E(1zWN`e76PNx`*1 z#$fyBiZ0=GwSS7=Uu3-)aAzBw@vB{Y=z%u=1JK_T^uE%0CjIrr&Y548f@qj1ouu8=io3 zZf1fIo$Cw2tTg0^mg?6lhjugIxPDA`2F1f;8K|ckP#{r$6u7)jI5& z;qEAP;aNv4GDOwc<3A;0>BhHuM?5x96IHw(>p2+^ZqD6T| zI8V%5k>So~qvY|or-4#WY4<19$R^)af$p&D1+IPly|8vJ)aETbTEUOS$Q zi`uYTUi&jDR_ZNA|3__S?D_Ggt^IC;+Op32=&(jCi_=1wD9w-1c4=sPs?HKzQ-VNv zOtnq3yh&r&r_!vb>&1H&bkbi?>m>*J6KQXa0|20o}rn8XIZs z&4<_@4jJuT5k_C%gqGpShpt62er4rP`mdwE(Weev1r1mF^d{CJwXvoY|Cayt3&Cg_HKxZfG@ zyV9<`$Q*^a(dV8ubrrH$!4p)k=_=@c^&gJcd==?!q2n*9xbMEM%9rZT|EdI`u!Q;# z>6>j90&T@QyrNeF()i)|5Xa-)(6KPL;uqOn3t%jLhwb#=Ro&Huf1{nWIRN*w0ye?k z#K_$Fg_}DT1D2$N|1Uy|%(32nMNgRBrTCqr( zpBBb>a6naK?Zdfy5RNC*@zbe&&HvDxU8(lv{;$Os1N4acNB`7z(l`Iw9v#>}jgJ8; z|9LJxUWNSn4B$HaoRruMI8p5y<4#gg(LrE8z_9si@9 zetfnC1H+1nAL;8AzDN)6fQs#3#G@?h#@5FV`Ug~nzo~!|C+&7O&7M%B{csPSW3ugl zAAVEM{qRT4|DcEeGsE=?wGWPC`;-Ox@oGQE@2zKPjGt$9ZT327nE&mk@zagYdGfF5 z=1<=~iQ+dM48cPBv!ia9%4hL;yW-c4K7Ksqr$0{W=&MW_+s23fq;am&Cl5ZaWAbZOEbAh9cJe+l%jW&3{Q;4_65agF~a#oBf7=%BIF0_Fj6xVXE0lVP%q0c|4{jg{T$>NlS9XNG;$;YV5bLdLRZoJqrI^B2Bv>39_{;})y+ z@D|#jOJfE8Tg9hn2LD{!i}Wu#AH)-F;a$kMfyz(+`33meua7AML<(P3WbnZ*!+QU~ z^}#rPafgrT&nIxZb%vf$?Rx>34a3!gU!o0IgkjqLAb~;Q3g8X2+ta)3!_QN?0_a8` zxMCOkf@M%y0{-hRmehXzWVQ+`sC?}7BhCO#M&2<%6@Sec3-K}kW5s-W20B^63X!s* zTliX60CtAPu`Kw9p70XnT~zu18%y19W!B3Eg7zee-=cVBBKw10_9EH`2wxrtR0X`* zBbE{P_V`=-BL)1Ifc_xeb-MC@1pNOdvwEbrr0VxW#r29S3-Fx-^5YFHUHEldET%u^ zz`%-+f%S0k-^}Shm#!e#j_bp;Wmv)KzoBdA3W_?es`_`MbDsT26v+3I;)j(+qwwvH zX8*rve(=8`(3pt*k?#U_g5B?ArDD$AY+jWg?%A;46rdj)@ihRxj7^x;$)9IGyCanP z@3XoB8=~^_4B`%8V)WNl`S4auv`G96b^N!HzF`KHFZCbd!z+yMtN+mdd7aqkPp|TC z?&g;~`L|d3XokG@w^aXBzh_iGf5&bPC;v>!-`+CQT|j@$0{g42f<3vNUV++1h@{fhW_M){8detG&MMxuR+@R?lsr}&ushR?u6|6A1lE1)9_uW7R{4)p`HSWkdosI7KKmtek$jf_Q~8FfeD-h3l#lt1vaxKT@*Q3w-=7tqBKfAQ zz|WrdNace#0b+!oIs6`X@~y1$%~v7cO%?KOSRvnh+Mdd%&qjt@oqT_F@~x=yEj5<% zy{`0&>}&Zam2VxLUvF^o;myUwG#0)e0y|+rm9HBIdGTTYw^S5A_+4IU{0yy--=0WF z<=;!?zsbpuU*QCZ8Ot&%|C|-_&t0%S0@(mU;lcv@o~Hcg(ssrN-h9n5u`H?l=dR$7 z{|b(!$bM%Q@V{O8+b?6j0{_u6r^Poi@ckug;WM7{hd$YT$j=*TjyC=7*Zlqjw%)!Y zl>Wv%aX?uP^z|9!c>Jj9x1rL{%THXR-=XqzP~Q2?I+0rOebQ4YI7$&dcIuzb4}2dL zhK2vBe#7B~(*YkGvOZpogJ?~^9J2MJoxiZJEiCVmDmr+dFeuKaZo|Pv<9K z8vXwreE)#?+4z$OKeK8(=^H+z|FzP$H(`+eq)MOdvnYL4kIfm^b8O>hs+@MbX)2zx zv3-J^_HWQmnMmscGkh#|MMLjp%c)UV-BI+plyC z$5RGu8vo8$WM7;A2ck1XY49JW@+|{GER4TNw0$79y4DQWsC;?;iD6+!_0RPD*yMXc z^FzwNTlue|2C(>>OJ(5tomcE$b?f7KMdxfH)T=JxE*+o7AN)2@zHMFn&4h8diy3WX z4y~eYN!SLt#FKtWSY~M-gpQ`u}#wWFn@04IHFg_kt`MS}b#s{jxnHBld z)<-FR?UAFKTMHA}QVZD{mq|Ge{$-3sjg z8>PRM>h})DlP<&!*v&rD_VWoG$};f31Hk_ZmG2&H{{R>M*v@YEsC?(3kH$*4TlG)v zN7*qXET9*tQv5zs`k2RKq5lm6eZ4E6?W^rCIRC@5-K3dq==k4X!GHb&{+6HCa{M0v z|MgV=zbXHh9RC?5=R|o|)$@Z>bbL{K;(4!FisJKmI5kU(&v(jyUTs%1OJ{tOEU&TJ zb=G-f%T(Gv5;pVE#5a_oDf|JI>{4`vczV4WH7XeoZh_-}j}YQ`7Ej;W&rteB?R&PYsisApe7q$uLk`2D{ptj^763r@{Dn zG}`z)!0d0L}oL(Sd8(95^eQ!pf4rr7}dx$X8_ z6&fXP{4OdcEYsA!z0$`UOk-g*ZXgjFkGIljJV2wV8?|}#zg2HY>HksAvjTpT77OWP z9tv`VfA7$9lb4l#(cEIcdN0y%%L4uI9!m0RT$^S#{F&qbFPQ_w)6N?&cJ`T5v!%>A zG8fI_#_QYk0@gUObmKb@AA5^oiVy#Z=>zbX)Zw$4@;?sPXl4l4x_dV%eMbH>I-b$0 z>y?bYgZ~5!s0uHseXyTR1Djg5I2PW_dqu~w0fqQ{3H`dnF4>;iezwEsVTpk3STvek1fl>gWQd(5(9IJJ#&z zhv#ErQBd@#btNWF?`ivc=fsM|)@{-ozYu6hQr25|8x0PnW)E_WRS2~0Wusbo~Cp}zd`UcMgyNA6guBUI(+u( z0nnKiJfyyV95+Aek3wIrp9D!#7^e4!9w3q-|;0<^IegKN1#e8^%6L&mtj9=PB+G302;U^1d{pRu6JF&$H zs6Pr?Yz4`f(dA$9aHR`$9!CG^!GpBqnHv034)yb(UTi@vh60}_o3!9FeD-{zXu&57 zo3;2PTAa4{0yyz4K-(eT@h$u0wFdhCHA=%H7SZB2u-8wj#aFR?y4X4u`u`SM@Zb<= z!l>u|oRF5=p7Kqs$8i{@ zhEhp{t4RJM&W1m2su%pHMLnJ&12-%E;?73kdzkiToZ#uG$oFT^2)}^~Is>3D{u`-l zcoT&wBL&{Wo;)W5eBG1*7!%F<+H^UQiF z>&4A(sK2#sjT+Pxzd zk`4F_(ut^xUE`N%cO){;KH%OTADmmDU9_7X{jY;|SWjzx56&kwX(8Gjf&M2q)r)qA zqaGJAU9Ka?PaC>WyJ^wqvqlZak$bc4dbIl%+MNN4Z$M(pbr&MmZbjWP@+>hZh<3Ao zHM)y;iU!8Ms8;O`1^w%xU3b)&^`hM&s6V|)3(@X(sQ+VAy=Zq3>aPV~$-B&f8$&yL zqFm-9&OSXe6vwgVdG#Ff#(T=5?G{6vj%rB6`hNV%CWO~vT)-|quc2=?#NoPqarph5Zg)7!u?pZi9h^+{#U8YJH{9m#73e1u_j~$ zF+LgocvHO~#{9n@=n7(t(^KK?e1;pl_zb}2d(fP?QNwXRqNZ8rD;nPZGNgF|HrPCl zmsw*naN$!!HwkK>Ah~yc)Q?8}B2fcWXEJNN1p0g{51xQgI+BGLYk zgs-5%I2{H0xO5SUW{sXK^bVwWx zX_Dj{)Zg8Nzu0AMNO(_Ez1U?f)Sm*rvL+#Sa-6JT1=@wV+J5aIvpKmm+odN?@ia;J z7bxx-u@LRPK>tUe0qIK-?N)*hY>oPj@R9za-Dl|k7ic#LXi2@`{3+^hY|=us+YDOV z)Ko9p{R;IDf;PAXu?sm)*QDJipgDb`hT~Y%ybhE&m?eN z9e|o=L6iS&iCuhXYjSDUuE&#Kghbyq@Dc60(f=~kVW<|-Zbw87o+Al(i)xb{Uq}Db zpdH?Bs`WiMztE(GXtyY|;Qucgb&(Rk|3>`~@D=Si0+=b=FlchPP!Ah|y3@uaTy7u$V~{d6-}gEzHX6db2*=tAun`#q6M?O4OJMeJ&kIK{K0a7k4^n8~|EUFNpmE_2Y@YDzqTR zcOCR=s;A~SOGiD=CM0h&PRVgi^xQUT&bwQ?pii?dyk;+JgL}b$F37QO>@92fd(dB> zxy^>gddbqigmpe{!dcev(*x(_A;UHJ2p!2ecY+T2^pu{QvlDU-->xbBMfcm$|9#Mh zuLbCVVKbEE9NeuA1JHY&*juddG$<5BB~FyW4fsfZvBHz+k4L=2exN1wBFPh|*WVbZ z@M49jfxs6ze#^7Yo~YyyMkU|a`cgb&pcO6x&1Ng~WEgtXG>~Imr^`_E{~P38GRdEF zB7goI^<14vf6)c+{p>mhG`KpGdeMbzn|GUJ7F}k8%>Qeu7mu11^~6c$N?Ktfc+?`$ zMOHf0na1F*{k@-7|DC~%f=o$J$Y|Kkq)ouBJ+ZNX4fGhBjGB z=EMb1Zxm$vUgV4Yum*hK1NeaO6_gGHU)*EEBt_oz@P$C3S@NFsHt#y^jo#i~#Q*G^ z7~Bt$LNEOapDiPGvDZ21zY}QO0a_xVNb)}Fd94X~OY6m6w9BkH_WB>{XKSh#WZp&n zX^=!iue30LS^xOJ+vPTepAQQ zi6HqO%=`LVX_Y}%eG|g-@ynqwJ+$H(u3Zs&82z^gjb}hh>IKP%P|x3_%UnuQf+Wwm zdalp0UXXkW^~>fU$+g+n;4A*a`4|5&llVr@I+n9KuY>S+LwvfH^e=-X%~#q*BVK8L zy$NmcSZ3YLbLwwE{S1&~J|H0UC7)jk`rAtXKz><%AHWq4;Mnh1F)8x6Wr~( z({`*Bs{jCFZ6oA+OBZb;}n}ufIagW{>rPIj;`=ya`*u z{9)9u+EgzHJcN46LwQA}X&{Nkqs*=nkDQTtA6e&Mbp9-2&U&s*u7;G~5W^02JbOQy?EEZQU40OOI8P@B%1R4i)TGTo8O}8 zy{KQSsa`bY>XC205bt9DZp7(%;KuWZWur|li5i`UfBR|n7MT&~EpwwU$MOs>t}C$5 zdDFZ|aO}q}+4bl@75XjGKtR0c5oq-5CNHAD8Rl^)*TyKMc044!Ts^?23J*8*evM{}(K}&4GD?AUPPUb9*`Z*|{ z)#$|@w&_9#gE17w>P^@qp%q*DKT)N>690{Bp_`61P%Ia=(D`g5D= zMT>pLQj0}%Z1DoLptMH-b3R34F~YUSNYoEb>i?8$3+8nwq(yA88>Hph&1!9CJfsaR z81u~*>bVAs-yl1hvf_FS8T(7{`g6l`1hM@f?MJ9z6`IQvgklT+D~qlbL5qEtf>g~~ zV0K2K7RTmjF{lkKkP`~ESf|MrqQzaX#fRXv3fgvwo+DZ?F5YdDLA00+GU&4$LA2n1 zI9$-wQ?%Fv^}LEgjid-=zOfdx0_yldX-1uKQD%$5Ikp%KTj0Ikftgx7N_d?CEqIQ0 zZo@{R#UD}6rx(B+ANE}pQk~Z%t!Tk_vmVw|FO>PO099JF<|xUW9JfJ}Ekvr@U<*5= zl<1fG*Ki+`+TD<7aR9WquSttFAk{fd(ux-QqW*xUdeP#{G18(ZE_nSC;As($S`W71 z?~;4+m+-=W@ik`5E21W%T^F>tyGe_6Al09mq!lgre@II=)eGgP+t7j@wJW%ug|*g| z(a*n#^THnB&a3ZK;la2fkW4aaNvdJdeR%J>!}0!7*qpTFAe7T>kYjq0vl@b*$-IYW%hTe3*@niTKH!J|)&g z`U3f1w9>g!HKMr*6Y^oQW*F`PHC8>}BU0t1}9kv=C|e``jrTl9Ghfe+k*9M!m>KjXy^X zd!7=pVNbzxZ{RaDhce%lYk0D^QRb8IeD^qLhFBe+ji-)!MGTeVKfFpdGbr;pp1osl zeU<>a>;i=Go{soF8ne{1sBe~pD9c-WFHlRp8+8?n@b18e@tBfO@0lT+q0N!@d=62V z5t6J5uN)fB{zgSl#(yYwStR=YjJr*RvQ%eswe4ompQ52Ro8(F?9y;&Rj=Jf|)y?&C zMuWOAm(U4<)|;TPENbX4qRV5b5$mxg-@D2pcS`8HG(5;Zdv-JsTT=K!1QwZ_o&hhO z$6Otwmi0sv|7;82cn5iA^#&IGV*RVYE$%eFs`XVGLE`T|Ah~9f?f&g-cTV(txsu7% zFC*nov97@|WBtdFxu-^SnI86ixv5@s;eUkwy`cw3N&SZ4+x#Sq)bqKN9@^aN(Gl*SJ$<>?L%F%W$6I(+6>a#?6L^J+*Q}(5 z@=l4GX3rP&(B_^{Ku5JWel*r~O%57cLF=1x>VJiL{4O$(f)qiCZw<8?+4kt7*Gq%c zzmJ}j21oeh`|})VYt|xfB|~~Xukx6`B<43c48`Yjc#F*3vbJ0j9^1c3e({zOkm@!; zlEYC%uML1F&n7o(c%9TQ+v52`9|Ob2=r30znYWIGzwwPaya%>3&^F5Z!fzKsPd;@q zB-YD}us7=0Z1NW2crUzVBbn1F9*Wfe4KuqO+jkH0_RFCiW3HEfXV@L|F*2YxKPas; zEbqArhTKZwT888Pi_h(#cVHYZYqQBgbL}RX1y#=PoTGQ4jhdsjc@*@{arSx>IN#nRo9MDWWII{w zCye@t%)f&Sw>0&a`b|o7;d50xCwAd^B}P(5e0pz}`(!L{%3-|Nr5|WM+a#O#-V%_l z2>&OV`uBr4J#TD}2l1;Xj^IraTY?8RI}{f=?Iw`GgHdY3EihmJi@n~9FRTD2r}+_fQ} z=*WE7FDC>4gbWNg0J^v$H`q_7n9hr*`OMH^&>CJZRosKs{NB#$p zydgq#`~)>3-WQw!Tgw%jfadJbQS_s9qT|M>Un586e?sPvQ~vaRono;meHN_3nE zI*JX+L3G@@MaSO7*Ll$KDBvbfBa4o!f#zG_wTSww*^$qY^0zbFVTHG)TWj&@S^Q!) zh~E4b-7kbL*J&OiW>z22zo#$vdU6Oxq8~q;zc6pMu~N0TI~l$2gD$7V@uJJ(;B`Qg zY+|o7A)DND#WPeGW3us{X^}2m3laatqfVmZXq>x2P*;j{X^pSERkzn=X87K0PM5VH z+r{8LF|d?+(Peei@1Q!;Hm1ujTXYwhsk^;ngjWZw|C;FU6v1whF0E(8Wx9Z9SO~go zAALsb@;!Lr%}L=+@Lw<1i!S`F2jgXP=<$j3u|3g$-zGbXF6Tg(KLHc*FG?@b zBK`M3z17IJ$S%FpWgo~kKji&|(`8A>JQM7~H-w+&?6ME)pHf|hI$gGgF1!XpxqSVs zsONg}w=uTZD!TA4Gmm;okuLo(y80~D;$=_tJ`}nf9Ay+Ay9w*?dvQDj_3K9&#V$KT zwl`uw+%Jyy64`D*|3|gnUopNO^$V(wi@W~Up}t2C?iK0MyV&0eGVt68zl{fd(d7f+ z_JhhMJVloY(B~Abzth>}0qAHx3GIU6zbyKnmg8dwx9wxS(`6g*UJsbf8)Xn(R)8+e z{x7=F|Ib$0R&sf4IrKM~ap|r{7u27bqsswp>(aaVg}=bz6Ed5{b-w8GHLefnTSdey zy5>0qGMoz8_#})ph>tOcACLMKl6vM|z9~bAU?cqxYg?Dz*<~Z>!t*>lLjs{vz9J=>JrcF8$)LWh!J|AkyMm<#kYQ&R5U^1@yz>O_IoU`{&Rf z?{E&WQ~VN+mxJS_nr);_B-|NiB|D-&>B*J7zo2I`$DVsPH!0;uqAi8vAFx(=2=zVZ zXu|Ok)ZgDEiEvyE^dFXbaAaXkGe_oM(eV=Wys+UpLgBFz%J<}OTnqFcXyUkr>( zm*}_@e3Ea&kvhsCWeL<@)`Xtuz9Z0kQ0teAYaY>^>y1T$==Gp2@9h%FIS<|_F&c#O z=D3Er2zp)~rC^=tz6^Tu%J~zh7uyJh;Oi zATxi-&3!4taRe|qQFU1_QWsq)^TJA5q!h}_qJFj}R7s)Pj)D?-4^#@mQP3U^{kog# zh4S2}f2FBjBv}yk{1pt2g3!SmeIiGF`#UOy@{YiN%_bd1l6xWHJdM$$!5G6o6@W5- z5s6)XP}tLSc_Z3JC@cW2d3XQQhP|Z!bf}*nqwj6hi!O7ap3e(`PmrFEfbx7edIUY? z-jm=zH+rt7GGFHABT}Fz3`PIRBNd@MC+hhdMpBjc{Ym{CsK=#K*7Jih9yoHQyaNMduZLU~rypN{^UB>e}XUa!N4$S~Uw9M=HHt3iRYA^s~N zM)b7kNl#b{{w2=|NdDxS7O$%7V(!F0%0t3_k=2!_hd-2I*yhD$9GXl$ead@qXwp@67BMSunnc6%Ve8`U{=e zN+jV~ExPlA!gGq%aC8|sej96r!UC8heu17sl|3in*Z*VhOyGT+%K!hp$E-AHl9cNj zQkgQORBW?;q(}&n%ySyhTG=}gEC{`e7yAbh}XNy(I!yuq31NoCfc*iJ(l+*jGB#CF+=x@Wp49 zM*sfSec;ngg$F3p4ssy zU^kPE)iYDT?OMk+iZ|^QHg7|>>w60f@IR<3Rd@Q1l{_rAWA7Gc|2COOGYZyX>h8Rs2D|3V9WJJIc14~BO9TSa$@l~qrSo+u1UYgZI>_ExoejNH<# zfV#EpJtMJ~Hr+b>7B=|EtoVxPhltL5!sjOVMMU34zaJFcxSMrf68%!qxu(apMz;cg z8qT+s71M;X=LVQ7Zjg3F-F?!+zUyb4x6w$oec-4!IzlhfxTkcLdXP!dH%x*yhTlqf z&SW|BOGkAy4;9Nzr3q&WHnMT>0%^f0&9@A;Oh&ea#DViw&Owh0+)NXFt9JSiMdv*V zd&X@f$UwtVSlFo~o+rGnTNHMjZ+tUKKP(OlsdVoxC|llXqd{b3t@8LdU23o7Ck6FhejTs4W2Q){u|TuHA>#p+KWx^8qwFR z(ai%_i+-x~5!WIBd%J1GSj>>3OcU{6!aS{{P!9?*IjVMfR;YPe@WTV5b49Ki?T1^p zwfa8K5Evh`j;FH@kwpA$R|w6zyF_20W`$WdOY{Y6bhGYJ(fO}~widcgI<6$^o-HJ+ z6TPn~$}fZ=s%a%XwR#e^bRAGD|OjbdAS zs!IC>_a-ivw#LV_y+eH7E#AbGW|_k0vfD*JQv4-NG&~9CWlP=A_BLUbD=BCTWtKQC zDXN{Jo3_6b{S0y2Tk#{9l4<*&zFtsi`vz(I3u$Y7MnbKd#OGb&eLfyZw7pUE7nmji z=e>Zl>7{CPixc9CE7Db*>?-S4GGt+G!P8&%{31G24+<;uX~Nn4Ba58tM8Bk^E$mB2 zQK3*&)@>>4cz(|Kn055ocZxS(@wZwQIWt9%evZtn7jW*se2aCz7yVn($3}A64o#jg z-xp>b_s8kYVyKLDP&kvs3+7w1j<~JgS+Lb3cS%$&N!3{TdRg~-S!V~$x|_vkQSr8j z;)q%I57D1lv%;+VyXZu{@nCChcfaUw7k?3yvF;3M!V^n8Rk}&&t)}^LaRwAJCW|`0 zpZ3>UdzpsMmX{o9Wo6M6v!*b5y82x|=xDlKCf#_}e7{m}i~oNr&i^fm9}H*k@G$%* zg*T4Ats(>;LVYMmHVuC$JnKDcS&qI{_HHC;D+F&azMUuxWA!?s@`Y_*4}VYeTU2+e zhHf|Z^}%X<+d?`#Ao=z*o9XZ!@!?5Hq}$AG_-~8OTbOn}r)_Tq>9&O-g`OtLw}g3p zNx=tD7>)5_I%0fH^jYG@*e#fnwcS7Zw5>eGH(~HrI%0fTd|oRaL|r;!aLpXQ1=e9> zQTGGUH?GmGr7jUY&JLji@%?RBzlVfBvGx>LpH)#A;$Q)=BQ zqDMbRE6h6XYiws4M!L1#7ersLW*tw>@uf_`l(CLy%=RuR)Purk|5j1A31gj&DsR?G zZx?an%9~(H7Mc3Lq}jf_nXFs9?E533*7@R3A6+Ks0Lj|!Jkg_{1J2v-EYaU)8b-QV zcc$n(HD^~Zh|IHO-M^*X%7HUu0y79>``3vd^(tTUY@l{KMAjyp|G{hbEE_PnpK^ljl;3x!1>p8(j+}QgucIMV4oREi6bOc4mZwZxfm z^*~u<=asg?|E!RH-P>0AraAH8=O8>Q>Y6-PK0hY<);OEytgraGr_tCN{W}9R-$?jX zlx>_h&8JJB>uZ{?Ce8O1ifcNDOG=XcvSbpE(8$J<_NzDA?m<~JyBcmN zndVm{n1&ybhI~;*1hUea(vTVaYo$cI%#O=CQ)&)f42a_mmX;cbq81$%mwu zwTnG#eXw+{KRIJ@!jm&`JrhM*E1WL+C9!YX0i$m$`o%5u%|wq3Vo}-hkm60gFYLe~ zv2T7%8lD>B!8r4O+P9AJU~iY0hWf7t;k$(ucf+qIiMy6uIcoGXWWAklc@3lAI>6hn zsKvuo;>^7HCAIs%g9PKSyL|ZlTHi4ZH<1;7?zU=(2gBc@h}|c~gPpG%eMj-xq!uSe z|Nel!=A+8nLp^y@X=m}NM>1b$Vop3sTG)A(Meb+TjVS)l@2?V=IctP`I`gg^S4Q#+iIFGC(W7NE|liKQ|T+- zqlMhQrlDzmp)}-60zp{VnC3T$zK108?I2yv)^VfXt9~)NntQYyB7Uy<|4E-aYnm@D z&Fu*f*G03q(zg{A?`qNT_Q%!gy&e*e5}hkzww5&x>xq7=Y`L?s ze&(IW1!}fTQSYD~;byA>_VhgvA+hl%L7LH={`{yj72@-TsQLQ> z-ZaFkynSw3!}&Yvk36YzSSiukYaJnv5uGzqaPHMti~efSD=!)6pGfOvt&bJ~-ur_% zyNyP%n55>fYyLO-D)RrfHTp=Xc9H1Z={6p0P2%dJ$7d|#vDHLziB~0F!fL$w%hDF( z1$|b_*tLLK=SPulJ;x;8B5%j{nvFr!gr~?JGknwp4*p)l>q6f%ufl#iMNTvBP}*AEI!`vjE{MHn&|P(i)hRI zeG;t~(2n-Cf?jWjWSpE&UfnFV7x%8bz4jFSytml}9FA@E{(h`iFkD86#W&X5$qF>=yuXliK;A%0j+8qMn_! z%O#p;4-z; z-@@BGh{Q!Dk+}f-Slzh9mkPO_G&wnF0n&6{QS^gF-={%eL3Gy;wBxbpUP)Z2wDFmu z98~@SXEY{v7ph%;Uuw-U(`0$^ zzQ^#=g0!@^p!U-d|25*wSwq|Cak4mXSkBs}$#Obt_+^dr+Twh?kpEXAgTV0RD7?x{ zZr7Dex3whkj2Z`?Fg#lv&MduQvVJ7L(e~&|l(}_lh{Kdx3o6j3e<>hz^(=5P4&M|9 z#*=Fr99}LCOV&92NipzsaX4N`yO(b<0Eb!PaGmJ-=WB{X^>8ItHLKS+Tq_QEY`xMC z)}|}UV}GdGyIj&1zYf}(XI2%@<-}n%(d^Enao`%-r%YCm?S42&5_#u|uU*@Lkx+a{ z_|MgJNZJ&;zZN)~&PRxTevQLU;y@pv?yZ4~wJGByZF)z^**HuSeTAAW zCh-(yX8tM4hBc&lsXI!{woD>shmzpaVBVwCf>gb#gctw=vRp|e)xNjX(j9#L_Xq`g?b zthNa8x&g>FMYr0}O+zH!DbGLD7%`CeHMLx|UV2lqCe(jrF5H$)g~Z112O69$LASd~ zx=)wryuRoc$F}ARj;;yk=6`63fu+^re>1wUB<>@#a=xIHXgy^Uao}50^9QaV&Bl#I z&%MWeca8Il`l73f=Wn;@#+&=P0$w1?l1co#{E@Q-i9!{If1xAe54Zlg_Cmmhe3%s5y04Ta}PHc^yERBR-QOFSod*+w(2$1W|@QKDI7 znCIUjI%gt$jm_w$$qu4l6a8l2t%Q58x4r0}k%rX@mUl*m`9W948h$XXs8??Vqtgo9 z+cJ%iyl-FoRvhjS7j&~^`Y$66AC#UO%ai>7UH9-d(*Fy>FIh&Q;kgnr)A$s|XPRma zvx_m3l!rLoIaf_Z=mAH9DBjf2x`8Xwk6@4fJK&+34v@2b-v6 zez;S1!O468-TZKe=x3D@pqunvWZlz5Kc?hke%M#|!_11p{J_~+)jDvfdj&aNB4N-N zwL{d<&YVp{dWt;{jZBi!zaY)`YO&~K(f2lbVVa*O3iHlMrH$sReZ(0XxVQC}P{VwM z?Xy}q^MCiQ75$p99zhfH)$YPiDSHicxu)^#w{hm#?_WjEzYJWA!`|X>lhKP%zqgu@ zb^li5&s8SNfYBRkX{{KqB1R7DisBqTS6Z%XL6XG^Hl@L8Khc-1aW~d7F@&iF)k4L&#UxIGfd13QxOyQrcN$S0y2FAR)JD;ru1xx36*do+$1O<7w6*m;WjZ&Ccvihd=8I##1BR@J9c)dcgxxqJ81m z=ywVKoU*@AWAQ}dj&Tfze!k|fZ`L@QEw2~nH6!OG!g1sLE#bdW!yA1|;d!=DEXhhx zu(5iY%3|h+@={#;88D6&Tt8X0tj(d3aQu4F*RRn{;-#W*VDusYdzXuXMEk|Iiqf~# zIGDG2j{U7Qx^X^7^gU~I)8rJ&tDhBpf#_BH zCb3EUfaps_x^Xu8U23%-8$C+gRFoYe@>PMe*~oWt&}4N*`))z9ao{^S+(EEw#_%>e z@4sJOYp)GrdzpN;)y(qd7TvxmO?DFfJ&jc>Z!GTJf(O-hqQAO z&ioHeFjpeQ)Ab{)eRFFHk?)Hge`^O7}MZT~`5I;=H|%kc}%v`aS_4 z+fn!#HHk+^qK?5Ka&aGvqD1U-ep9DbJ>>X} z&nP3`>bzY#EG^xRDd}e8TSR|bw9$~p`AoIprlME(xQ)(RMX#;J;mt|p8;2^!SbrOh ztnweBT+`q8zXDPc4iJ!(4v~-=EzDBzH5$DI^QykOQb zuE{$Q01Jg4->Oj6zb6)hVu4awy|=X4^|}B;Ebeb&Fi!Y*i`2gO&$$xTsA8?wIlcXqBTMGez5C5lSadWpAxn4Pnm+Fl!Dy@l1F6! zIOImQf6LRB1v{JwWkyZSgq%YGHLjV|IIViH?q?W;MDkJ}FSn0 zkdK6GJjLVan_1WRP+o$K){fOXNz^U4?aL$T+4$G_@{UaxVJeJ(UC#^--$G4wN* zFJknJme0xt&nrHxf{DX>{ZzER*cG$fUTJ80*$_-} z?kwdzd3hy5B2tga)=2KGJQfuUK&JePu53(Ho#uul1?wGg_AE&lP#$l zw=7Snu=goEH;(M_#d{@rJHx18cYtnjqqrY(3Ha^|&FtbU<()gF3Lc9bgknQtcUMx`g zN(DMP-oM1WFu*=8BoqpVDI*CAbX2d@zaF{j5hsrXT50eO2U?|g7Scz%{FrmEC^dCH zB=72OWV=&|@UwBD1hh@2+jePBLmaxV-orGVZ}rS-PD$K6LquTBE^lt>mYU^{0#AH4W)qY@x^3ErLO$ zh0?giHK(8CEHlg&?)Kpc19#qzd0%)7iM>am0d!mKxdizLG=pJAeYd6(pxE9v4 z;jUNS3ww8>T&5)-(tlkcc}OCE&|fF$^44=Cvdh)=?Ea0$PZ!peu8Z{kq!j)8(K%;x z3BKFfku)p!JA=v}N8J^oqs*gw7c;k8VZjhfT}atBsLu4vELkxHiPmu`Y8w{3Ju;U- zH<_^k){7#Dats2-PPg49`V@X)Ps+3N7e>X5-c_OwGS(3EX5T?d{Z2^OEm$v*o zu*L0^yK}IW@KP6I#?LD72%;noM6~+m%X4ZNIC*qb-XHNZt9Tqis@2aLjq+iNX}n;V ziRf)2Gh{!1HzYGqtWygkr59$AdlDO9^{jV`Cd)?9U@xSca{q;d{&U5!+~4hh+)ALY zJG8bJ>hS^6Lbtr2ZH}Wb6u)`LBQ8B|<>bqsdw(GfYggwWGXEvj$mua1m=AK$?`NN? z{UksTM&Ro7c1?_i08K!4Qe&weckWZTb_1qk$uVi@Sl){{14CFL*Ptkx!L-^bH2)(cc1Z@a0;57h(!tffMDq| zzZYU+1Qm&4Snc61k1&z=gxP1&?P*iPyDG_aA>DF8gfQ3hMJKG`p`q~(z;HG^nt5X$C6O#&cDibA_HvhL?A89k$uuo0B*-<~|og#y` zCglsQL!tnMn4a_p-6DSbD6^1|e&+zOKWWjT?dV&G29FHQM6{`Mm^6GFLSE!6f~{o@ z;t3KLh+c6m%~l6E>_uzAj$K^32er&2U}{{-Y>(x(NL`U^1fDMB4$i`MLuINa`^$(h z$vHBVlB?zU#(=@hFL`r;&PK8LzA-`G=m=7Fh?(pj7pRa)o=5QVr(k}CytWOM!1rmZ z_0%>9>=)=Lq*RtFn2FbE>}SFE!E`^VQ*BKgg@|T=@Q|{C0rRdID8MF=IAay}EHlL9 zdf6cN?f{(W%>k#ft!zxq^5yMHI9}Bi;Q^)#sCMbC~E5EC7}q zt%d$@Trb&*LCSG*z=VgW+n8u4AFNp9D-(Zmi0c7~T|6hn?DB?)AC6Y<3&66VXFClU zz=SqQH2XS#0MNoSYNw#sRSE30BrKFlgF~?TKz;}tSiK~;yXXTu+KyCIWI_9-2+F4d zQ~(RYFQ0RHRd|gyXx0#z<_4-J=9C5n&2t3#wh_P6)r$;FJd_pQr``PMrdw}J$aUGF zdatRFskRpZXKGjKbb2?U$h7WjD`HdC%dbQF;h&T3;<{j%2M!$n&{xZvDjJ}rK}#dR zg%Hl*?tJ$>f>=;N9NhNmy=;Uysqh80@HTCSKt%vE{n#+zeJV_`OOgVxE3gm|5`I-m zQ+a3!mRSb8p0rB733-1<$%xVOHKQP*3h;C!2iw5OqTP77NGCKz@4Sya)&=cZr28S} zxzA$I#*TI+i7(!ajo2-*0ZbQfx1-VOX|a6|Ml5l>Ch zKGBOp1E!6CKJMm)5feb#{e~H>I+0_n#Q-MfI3$tE`rcrgFeXCf)LzWQ+%&tjbngRc`4j4%cZx@Cs zw-Zhj)>klridyN|=+Y|@wikHT0w%8QBPI^^3Vs65PnS*?F#-!egke(vJM~4kv7(;z zC0tro2ruF-KTF9&+jd@>(rpI-Q66oh|Lfod-rY7?3tKh?Z!&H>9`r08f{{!{@tN$Y zluShY4hh7;;60Pydb*cG1dSiSk$qz)29K=(yE;Qly2wc6)#h4yksG=eou|i|B$|FzAbZH^_cY_ z5pR)81lNy@lRXE6fy#OIX_&~mCu)WgE%HNn3g;VXs|$TQXYIN55KG15p9zvJ{&^dI zN&-7-^}shoSwnJ|OY&2lCLi%WRq)rJMb4Z}0o0Aw>PpP=9z;uM@WqDTw&b}VYS@zJ!qaVz%Fs*fxo{~;s3q-)xyecGMV{;6EJWJpeC>TzsG z)J{bcEfvRTn!`ZRom;ZcJ|+a+lrhM&FG5r)z`Zy+@tKd<{T%w%Ip5-K_QzKZJEN*m z&Nz8}AdG&T{wpijB(0&vRXh1E+OJp9oy=X9rGu{S#%DgW5FGK> z*wAzr4D47)%<70ETx@Ngf3B)$a?pi=Xv>0`i%q%r1>Qu1comI+wh)*S*429^PWD4- zn0p*)$KG_%+e#d!qU+z?o&KOX_3PmcWI?O2_i$Li>K7#L>M=_Kn!D8TTE5GKmIr(M z7cmi?$IyvKO~ePmCt2gK=9?8ZSFLb=7;zq6wml#0P_MB``paALGjlDu zS|4bEi3+DA@khL&BWOyn2W)7vNO^rfLE&=jLd7EqGF-7$6N>wby7JzKlcs2Hy2TcG zCm6|h$#TIhEQ_2Fi+V1d6~7m>(B)Nq>5?xr;|9P(c5jI$03kbr#ty!JHbYOPsykv! zhlEgn`111yooYFmcS6av^8@A#a{e;E_<>;KkA8oeCBGlD?Yql|s!wpv5%085hMj~?2=*lg6-Y#qiYo0I!k@lMV|a2w&rNeP zky~0IV8)7&Hd7W;q&ojgvW$P?&}v8knSxg~&OB>sMUB8g?f_U>CN2F>j{yrD;*MaW7z2eRqTsKe? z5x(gV6~%&&6-M66U!@v*V|XFv>?@2pB6h z(ptnkH0|y5%`;_*ynoR7?;&@d_e9a>RIm3L94BhdkpCum%n8GNL!%D7_UYZeTBX%4 z$uM}0QH+8HJ)4;B=Gt^+lF%(^>X8##xdqZZV%qD6;OA6B~7+Vw&Zn_ zk^4D&yDuG{k?1g=(FeA?rEIT8=2o}=l7j2>X*%>0Rg&Hpeqhb*L*Q28Pi_`+$$zC1 zFl+=5PwW$MYAWQ`kDuX?AC?UTtD&`dK#m^U3834f?4f*G`ZE)}$Y1{_=ZQzp+;_VH zgLCB%9Ozhk*Z)%G*DvjFz~QuwwwCXr*E8CO&R=gL?|=a0 z0YSW9o%8b@e~midv*#MNB9+!)S$@XEM5e^VXShAMC;~QZB&)P-z7X7Ot8_owYJMH7 zH~426n9Ev;L_6hq4xBUvST5!8HnZY8H_+6XTn)%gOFiKwwPhybUb0YM5x9PDi+_N2 z%D%u<7Q1|!pi!&K&wE7dyy?%hW;jSi3S$bhXsF{GBcHD%eEz9Rl8WdIJDd64U;lb- zP1Fy_dq>g0-BDSsUq6x5lg9m=UAXykXZG&vK2V9i0ElDvz4F z+6ozg0~YTVJ@VHyOc(sJnDQc#@03@WvtEmhMDbKb9P$YbC71mVo{rUTjzb;&&Z{gE zoLi`wTYB&W&W7-5uYBSb@vdw^NiVKfnJa+)v3IA_o8Kk&WDGU*R7JK)vucM` ztwq(qED^&8m5P;qd>UT>DW9S9AxRd}%zg$?yrQ(+8 z!U`i@1R#ELh<~uy_A8;@VUc%MTI$7a^%qh;K${Vq!#VMaNAn2-JVbE@V_oC@|MW|@ zu|&wy8^rNd{>;qiZg#cTW4A9jL4-}~vf0{PkDJOuXQx8pWh}KYBSPC_b&3t1u-^P2 z7xPMGmYg;o!o@Egac^v^4i)mX^a~-%+(IbZ>Vn?G4wpZANE4<|HawIfv?FH5uu= zcu&a(unj6hqgwoImKkQUz$T5lX~mAE%_PhgJ5$SA^n)LMa{kCG*&NBGP*I1C0PcKK zbVE7*<_q_(k2K@8Rz*>S&wtH0F14^QrkHhc`<=@2`SH!q5G)^O36cs#B%TH$XsRB> zzDHG>&i?oG-H#{tBYnHpyL~C+t4>s1Bp#2^96ys(2kilCY(>ZfG>t*bTZG}heGXdo z6915m@vP62Ba&(BXplL)II=8`9F6HIHBlzEg4rGV$s}DVcwg*K1@2sA5CI&cWAcTc zS144+Brux4e_eoXH_8U)eg5O={;LPi>Gr2+eEw`K1C=asv4T`O#Bc8}Xtl%H%eyo3 zD)w%-^)YDc6&1%J$?nanb26q;S-zp_4mn%AA!DoPiAP772AV$v1mEd#_kLWNYg~<` z+9o>DD2g`=LQI$yGPU1v#(I6ybIQ}&18P3W3lqz>`wrT^0Y-}sS^u>hlMQv-J~-Rm zoBE`blNe$(Lgo<1q-SID1RLg(4?GqF{f2~F>eW_r*n5?Ny&bIW%a(R=W$%wo*tiH`*x1mLF1_}54pq86Xb%ew ziQH72Q90w!r&x4!MP>n6Bdx!81eKE1N_jC&*uzZp_@(0ls7CmrPR4$cjPqXe^g<23 z5IFno(=z(fFP}kue*F=VUi6P^cfasSOCx==mk)?Oy02shh)Br?G{fr;{LF3K?THD~j&0#)!JRwRN0 z=09e+O+xddxyCCacH^SDnh7<&8eT9<=~wAe{I{t{f+}Tcy~@wHOjN5Yq}Ix+R=l1y z@=j9oCNx1&##p3V5oFJGZ>UlCbG^r=c<7pO&?;oDy!SuwcMk!Rh5u%NiPg+_2(32+vB=)Bf8pZ9WV`#n@rZbP{vNHGag~W*<_2iz zTfn-HDd_=Fu4PFklD=WYq%&*^px(|a3M!LU`nW4!SSzBSh0E1wV0YPaWu@3C`wKIk zvyiFUIKY42gz~La1e!`pw**@GBySy2y9`=?pH)w(mX)1&+qrt-{J9~N>%BvS#RVG` zZ#qHg&qWdRG(UZ}@rWRd`+-;Hm48$7UxUsUG|tYwm1IieQP-SEp%e!2%gwx*tPdZ% zp18i1;QEouVN_=2nxIz$WZWf}_C@9Wu}~8DrDKQv2b~-Q*uVeDDIq3otI;)yiZ@#Vu7J)<_?MifeS8pmf993Ri?{bXnkBad>x_C;Q3GATdTdsU6hl2Z|8a%2jUPcl+ z#F4)RjMGp%$1%BPlIMknoQ{xHL8|g!J$s-woM1ok+Q~H#7)wr^y>Iw$_l9u~&(B-` z2P-EP=-bRQGW@1BWf>76_D$^mv6L)E@|G{-CtL}%*=tYax)PNH+WlX>r|Fz$5LWcl zoFeISm}syJE9!2P9tOnH7S=+g$p;QZeMCjrKtm)d(a&1mCZKIvNb?DsX+?F}@RNVc z%NzyNUJc;DG*VNIRAs`DWR^-#bk1F7(F2OmPQI;l37q#Kw+4TJlH((4*PGwhk=x%SCn^ML z-Xo82b-J@2P*&Kvk0id4BA=dOBMpK?TCTUg~IB2|GK5@HH{v(t*5+#MsqALF5Lvps0b+)EFv=VAS%(RGGsvR z$e{aJ;zeE@#?o->AU6aUT*O2Aj#Z5x{CY5Vm4cla5{bwsik8%97Rom1=tYz{4t8OK>7Y#k% zvuXa4^u8va6xRjL%TDr5A6V!};|ZE&3IA=WGV9u|wOhKvReH5*hvw<*g^xOgb6H{s z3~#tYjM{Mn!8`cN$B-Bwe~=G?F`O4R6e2XnV!H>G>HP06ZkWQ~?Qva|17K&9pCqE%3j{zcK@lO}?^^zig0>+Fii(LnjRWQbaFoqP- zCyJR* zKu?=0WxKx8CXJGAV$F11Cp=oEkl08jnlrIqL3%@kNH4GCIm0S<)#S5-fU)M|V zGyu)RA)S6Cd_WR|;1}XZMZ&}*)iZ02VOay0NUT+6xXL{)!c=tq#!IW z$oC}%%3$9OIO&9Wl^Es$fjLQ62Z8y&>e+(8oKtt^4v0;Fr&Wgp)P65mcK$?j60eaC zILXtB&-!=Aw^K7P0PtTN<)35eD$Kr?!C#V9ScN_c9qA;n`u~3ZD`NQPP#>EIQZ{eH z{^X49FwK_~|K1Hr3@^_}tQikk#kF`w<4Y<_93&WM%GIYyL%Ac&R=1}^11ctJrau0^ zNmkehVfX#TEBY*Iq9%|_^d$HUxF+cw9}=(~1WeYC@zJg?euZaM;FI=hz$Q*q+Fg~+ zquvb7DE?2TzTZHez27}2temr-PAdBMJ$vXUP=&gHIzz-yc6XjdwDUTAj;UJmHRIs^ zjeBz+$6a~j!yQ9)bRXZ*$&hLf(~~p!YhalD)JlM{nzeV0;ER4R20A-Asvt`eT@Pg= zP_Co=nH{O|B?HCHFIS~<*Obasmh`Rc_D9IS64V7m)IZlM;sKM1u_q5k=@nKO!IXcp zMv(Ux_lnIjUr+YXEjsrHsGFT3Gs3aDnp#0t@$sP1*9JHMHfj_0Z*g^(V7f8k7V*t* zP{wxxVc_>Aa-~I&U;y*cC5`|x999!_ov<9}t0INX_Dcttnw!x7FM#9(c}=C-v8h=) z<0$O$a&K7Ty_jI%c-}{jN4F)|wVKKtg~ba)@Ls$^LxdvbMT#jz9%QL!w;($FNh*<= zhHr_)L%1k%x#Tws$$%xC5vh{kWM5>8HE2Zy*oz-a!J|@tvIJK5^)q{jAoWMU40_Zt zQu9RwfjWL;A;IsxnReg8*fYRkUs@C^h~Hq{>|%$>F%X$i(P;B9!(Z1j$Z32QNNe}C zaEf0|Ogl1Mx9E2OV?>HqbpUI>fjYnaz|%TWyZ)V}b7kqmW->uw^K>%AXV$k}nCD z9uYyC1yN2r-MRf$i5QJ@iP}drY%J4mHIq_i^{!$uzSr&wi*}4A)u3VZqrIg>*Hzh; z&zXN<#Q1_$INK{24h>Y-c=+jFtRnL-i*E7r|K~Y>KkLBj5%0K2Z-| zIxvwHz8WMvNS3tFhnI`|@vM5arc}7_U^T!zU`5IX2GD^1S0(dJy&dtUe`>pQ<$KwS zhD262DtWSB5ya?_5S)Un8n6llEVF#m(|h>=ogvdC`=ps#p<5Z4*^Bz-f0l3XCsh-v z{l@#jCOEH3jAAXxuVHLm!?7)$T=x#Sv0l~#gvBf^hejo^F00LpB(y9)h z>2Dz_AWIRVu8t8C1?y!{XV}Cyf*9VZ__ zC@kW017^@7qy#e@0~rW5c}-PIf7TjLosq~(mDniqAtmymCY8xYUMe2;qEOs$LI)v-tvLs5E#Elc(q z?>`(p+$f>2qIxxr?xmP0?_ZSgob3vtqL$W4`;{7PcBbASn>UBs8c7(*f&S6 zb6r31{U3QhhT_fYM>-wneIlD|lh6NDIUcJaR?XRFeQ3Ze0A7_reY+JG%b+`XN+Q{% zQP**bN9g7eu8qT1!u-i4JZn|u^hY?u1Umr~rbQBOT^yd{Fp}*>{WKLDx zqgC8Y%YMdyb=-(9MQ9whoJ?4;2%|eAL3Hp(pIC5lt>Gva1hz{K5KoD{B{rW0R!tP( zT-c4{MOpk zgjLFRHw(z$Fb;YetZYpKDy6Y3R3#}}zHCx9V#Cx9E4nQRCjfCOKh%=6Ko$h6 z)!%)rx%`1eW-LJXuDQtC1DzWAjqMDgdthA-Yn~VJ66H%ytYcVD2_@OUY z+SlEj=G2OYsd~YzGCn+{K7^Bo!VL>~AR9oPns~x2G7O!nL%)YzAQqhSS`00Xzks+U z!Nu8XCo}j~+vM*ire2`e!!>#fHN0Rr{i{$}k)J^l#DKnGl`KoE7?`10@E8cj^HMUL44<@)^tYwk4-jHKA$hiX zOm>LMi8P6VZ`aA(4~!p*bbu?{n_7M5Uq9VPb&ht>BqhQs$ZZ5q89tLy*VvVmYoWj< z(1fX(AM7!LN27`^Brg+OZ6zF4WDB4nSEGwpVEZ4N!*s^>IQJt>W^i&G6D0A8 zPLFQl6U(!1a}B#0tN)$1ss~$$5s!i zq~hDWO|+)K_1J(Zh0T8RRxp4*=QfS@rr}{S=w$K<5H(GNbSi(nQ@8#C+DI;d$|piz z2z*$r8+d_k_6#z_fu_h{v{v6(el+S1)+N5oehfC4L423NDTw(Hi{4m z#Ebd_rWGM5T@FWEtry7H>Q5<1lhQ#3gUu`KhF_l`vG@^5ZcEgweUL#=f0$$3s(+{c zMVQ3-;(oM6-M8aM<*j%9faUJ;BhpWJBT)YCah!*u4>|{uJGb0%pk|u|L~2B|SlgT% zFVq){t}**H4$Z`$*dSbHNOLBCQs;9%pSUjw^7pnGAJg-o@aRS~61)VZNOjp(f0@ZG zj2~?D$Fy^l<35@`)gG)I$j+1c`kxNxs_AZDU`Bk9G37P1(6R3isnJG0?LEGXA?o?9 z+Sb91>_(NNKfM8|M0hC^z439qK^i>iJfi#Mpxh$$7JtJ_BD(>t8`1T+sMN>B<-bHz zZzZ`}j53>*H~J={H~OgV-0s_W&IbEmK4QErOL?c)CX8QK=j!!q6gFUVF&RFIMz;gN zrerobg38?{xcg=58>Sh}GKtn#3u^^lcT)?9ThZ3cuk*L2$NXJUAEi)SN3diH`P4}w z#(kvaPJbgO0eKJ;r89ngm1AH4D40EQJzx{@5Rr1!=YZ6cbwNjXT;!pW=_&!n7vSq% zRqFL5Qp9Nz@#%B$rP*!w?dHMZJWtbIARnTm8`gg|_-vd zSj6^O^U&?F!ET=*X;f0^iE+{mq^0@qmp9dh5DBRAr{+J$IYvB*atIe8qZAyp)*%>dp*pPTkimox$tx9)&ka$ZB>7C(-H;$ZJc<(z3Z+ciC3MsTral|8DRI68z zQm#K5)k=TaxW_li(??7_@pnsVKEf>=yg1`KQtl>s4yx{Q+d7a?Z06QjmRL{Amj@OKvE&~5zjnS$)u)9x(-?t^`>#c6&gf5j0+HV_=cf_b6IyilM3JZ zqOT;#P=9N=h5O2jTi{8M;Q_pY^4pC*2tHsa?<2u|}CIdvjEjo9X#&Gox zyOhgghQ`nKWc1&-)LwrI!3*2@!CS8FECqRkGOwSySm9pzc7U<>i13%GFzW}n&? zh$9GP@aUyhyUdINx?pW1Q?8CkH;GbtUKOlf$1+@fRPhxzcBwb8QsVSnqz?MD7qA3x zDVaH0JSkVCz7PS-2|P8z5hG|vpEDr6bZ@I*m{U~ zyeiju(Pnye^fuz*(PKQ%>ybNs6hPiDA|}goF|aiT-f8~RSMull9AUI|fT~-+6^<1f zTDI&y?Yt$lG3Fg&h!^o_kgU${-L@4q^6r)LzX5Y8i+DV8d$?^`&bnTfpquOzbY~9I zed7@lXHD(c0$pT1%T~o+119E6UoErHe!li|X&62YJN;ZPMp3SVon*V>(2X!r92tcs zEck7|9WcfO#cDcr+g$?@=tOg5l@3JDLWs zZh>C1VjJ?DRBctxi_BtB&W3vq&Av^c!?8zMLh;cMa6(nr3={Xt?d`E1`Rw4skIJDn z&-BpCk0_)IS9tGnNsI8na$GgHNDlfO2haJ?=mx2A`Q|~wakzFux$O;FP4ztei^Xg| zY@zn+t2iU0QjSpTzk~CG;$5!g-a=p0`fdde^Nbx;wMLn-7yUY8Q)eJn!qWg-!5h4k z{IS-Or^2*;pWakv>^sc*It0y~Hd7X)J|}K7Xj&>l+=s4s1VzNb3k*uZXXAfHQ8>rU z=O3!3xQ|27k_S3}fY88A~h=;;YplxAw7ZHdY2 zH)1#^lWU#eV!{qL_yNggZO`+&+sk}od1wOEN;6-hEUMTJ8Ngk?RbPud5QNmTWH;Y` zZK_!tQ$76LZhNgqGN6b!jX$=;uCt1ZE{#CJwj#bf`}Y>V$5-iy#0 ze>uFDWt>T``&HLxetPq@5{0~t%r|iQq`82?r{yV&E`<_eA#>c*rCZO0o#AqGqiLBx z-bZnHO_FV$$B&Wo+_-u7d*vKl-qTy!c1!3xPBzXHBj~p$>Ig%(g9AS+f_tc*)7d$u z(f3hM`N&9>fhFW_9RNw;n7tCcTJ$au@an$Ix45y<_1DM?<&FlC(p~H%S0WJCF ztti*_c3Soj<9f`TP%FF;K@TAJex7Z)h;%D!%;1UY>tR}5^fse4j!s~Wb z&adf3zSWHH6K|#Ags$kczMhYvHm+9TUgm}$a79Nx{)yBAdF|+IJ`Y}9t!o0^1?fJG z5`t#GWW(!9fqd!STwldJ1zuaNCZ%4BB(h#ZK2AcxweRej+&9D+(ZL-5Xl<(GK={X?PC4W+Fuh77LkRFFMbKDgW217GmZh3B+Wz!}=FTrQ1m?&Gr z)8gwD55}YN-><$0o~L9H%tWuBGA4R~qo5ga_6nS%l-VnE>SYhUrZV=1Qb&;AMCbc5 zA}I1uq+jiP_1c(2qbu#}pHP&*Oq6G&OjA4mOcYU+nNRko0x)fEQk;?>7)4hZ_X9`f~`2fT5fKX$2gBjjzVfv5ZJ4Q~5kR(+0@@DjIh z+w1XsHLC;andTb9i>mT+$8&O&zolAKJ0ci5w2i|_94>Voe9tp8ifUYOSC>K- z2sUbXElL>nN%1t;${KJ#aL<5;H8&v>aWB28l`Pc6?0c!b6~gIfekDa*r3=mPr{(vW zihtC&MfS?5Lq|rH(kk}%L$F(bMrV}aI^m9x)1k(V9CcQ4IQ7E0iNyJi0eAVBY{X=Y6UUJQ_n__gH}4#;_ZtHS<*+%@=HSrDdqod1loc;{)qnE0=iLDR@skW8C@ zw=GNmC2)9HflanW&*imgGkDrY?QrcKtpB}pKwcC!ufex>q8ihJdvShl zAYM*=iN2n+_V)06{aVlzbtgYEn)Eyv+9ZD)w`n;o)6oIuH#OOX=UiG?C-S;>vJ^EOlOSE40I6S>1Zi8*ibWON@x$Hbw zt-}*LaLbs?et>H-y|v`&o7g~n`RAkoXPOB~k)9C5Mu+-xQ%=hWaWmV&D)eUH_w{AW zil$TaA`RQ;?PczR&oRcS1~-RnLv;c~w36Q*F7IPPxz}h4=je39*bc{|ARpPgkl~&M zHd1Ghk2i+A{6-nsYT7d-k zCS|zr{PH@h*bi+uw=VNHV4UkJGv<5zT5jxZ9sZ_JU6Q8&6|KhvvrPK~u_$>eMTg*V zdAKq&71C}#ph3mi3ioo9@)wL!{kH$=WkNn%8%cjyTjg4s?Rt98-EfP;=pCMtuJ#Y5Od?UjWV+d z9%o(25{KqG=ZFQrTCp#Or@l{tyo-HntuM`Ren1ZIdtBlB^Q+i3JblKmP8+Vw4IL|8 z-=_VUy2MHoo*u#NkjFufGOqG)}t z&ui+CIztYBlm+_v@N|pe@KorO`X$klq5(s^es$^SeFUa1hpINVZht8M{CXBWX zTy;FWuW?(q;^kA{Kwwrm3D~pXs zsi*a$3JvwfM$PrmHrMaQ--k;xl1S}){bS2o%9^0ub`Ss$vQINn>}Nig&x{6_$FBsgI*YX4=F4Gonu{RdQI zG;%p_JP{6^eL_V0bxybp9I>CR`vwjE!mS20w*>nN7la$Ps5%3wugxe}1szWV)Tsd! zF`g=Si#Sw>&|OizsTro%kXq#LAyP%qmY0P%)`d84+yM|hk)4v_Ch0LMYlbx*FL@#+ zcS17yt+Ty8ZzEra-YXSK8WikR}uNOjOwz_^#pJycx9vIt_Dw8E=OQxk0qP+^;A#6X)UNy2azv=2*16 zt6jgCW&n?(f(!8LOsjR@-SrB_;PZ2*t`#O7YtWV|y>TCJ8Q-(a+g& z=a#tb$1#VWe}rf3llFn&<5A3rx+Tg-SMEQCE7SHj$8zdCeUWwEAfk4N8c!IsKhm2R zze@~uL{Xz|d;iXe59c0rPIDCA*{qEeioB{`lYKMt;wZSYp=IiNe{cVaHoz)SDj znO5O$#Nxse;pNkxmlTNbePPD*4SD=gvkzU{@pcIQJ|hmJoB5eEI&Z@C9_5%(v(8Q) z%f3!gXv3L8+-c_92fem2I_pQP@U}MrW|ED31N5p$rNa}0`GIllgFlf4lE;1wkuJ;b zVc*R5^|Or~5gcBKxU<2#@nwU>D#@1r+$do`W-mJ>M1APNKC0x}P-!%EyWMj2)T23x z{CGwsv%dQM)C{UG6th5%le7y2KhB3xJJ1BkD+}47WP-acPqBoK_D$>l1Z8lRQyl*3 zc{(FyPkux@?tRfGpCMa%@-TkCvCVj98%{BQO>-*xp`MuN)no0;E4EVPU7ys5M^IYd z3i;)ie_n-YHW9C%L2?|?B)5@t{(RJnk4S`jrd5)=>EQJ0JW0tyG<|Ej01Dk+VYvcd z^XYaEtbaH)b5X9rGuOWlGZ4>2bWcU)7`N9%HVxg2;R_3{JMPa8{y?60w(zX?POjvB zs_@^Jq$55bB-^yWzdp?1Sj1MhA2I5c>Wwt5PYNA%2t41%u|tkI6!99z5}RB4YBZc4 zm$`b*-Y9r*v8F#XKXF``NBnoXU^tsc+R$(swa;UJ>^d(~L^ePMi%2F>v@17f9eT~O}9!>pQPrggS55421-b^8H@^rSvrb91^r_tc1A zbcT*Ji+)Eo3GWH2Z*SX%(j$)2V~#@v(21blV;U#T%G#=4nHQUTT2;h1`)r}}?Jh^e zigC`vh1td6@bl_th#NWDX+SW(*xNH&figbt5~^Ee9jQBwYEpeAG!hOK+-xbJwJxiKtc?sZ3~uPXV%e7PJV;kvOU{oU*5 zH+#|DA1RYP$0$Pd+T$OZzQjv?2A^E!zO8U+27>}_T2!u}61584L>uK_%}nWG zSL;%aOo1*28JvqGGV@77lFId7jBR`FmXT&pk6JpxEeTBB_#(TkAl;< zJ^nFKqiqeYYiVNXg6_l7uD8uMmE6t^4PeB(wIijA)DqXVFM4~$r@i4@zt^9hHhU^I zpEM)6_u?MB@@t*rjMh-S;ektv_d7qkz$@sZ`_jk|%q_0zQAzKOY11fKw`+n|!be&Q zM{o6wd6|h+0Bt#e%9~rgk!>t@k-|jvR}m|JDV~2Eo-7?@0^5(E@cW+wqdcZQY4W|H zYcmfqet4}P6>q#nk1j!WALn$oa-Y%ul?uVswO~a0sX8ZqcdfmZ+W@VMnrjq!2OwoX zlEG#_D%pYYgZ2xW`A@?_%BOiQe=s(JjfdTAB<)cuw;i2)IBlY5GDlJZbNTy9G5DKb z&bF&;yUF4AB0Z)!`|C3t*hr6eQ`h)=&IfM}&N@{ho8Po)ZQrlcB3%kVpRU(5`tQ=+ z#E<@lWoUL%0+62NHO8pu8IxTU#V_t2hTQTAISAW0o$l%H^Wu%DaQ8bN?rfdT6RP0=$a=j zk*Wrfr9!ctKD@}@^%|FK<^_Ap(yXdRWibo_ZT(g)%tn#!O)`RzLzOG2kS0@b92Wpj z&U^R7dF1v)D?k|}Qqxs5ub8ie_Y^*LgWmbCseuEwi zzEMksa;QW&CPkSO6#zNM2FuUu)}s5XaJ-{iq(ZI`V+EE>Qkq>j|+gdWmJZ!4Ci-OU~H z!mq+we!$V$QomjOPB_TER%=6lsjleUEZu^@$p*Hv5a^~hmw_|Vv$CBnPCvSEgI0ZBS2NwZE*BF39zdeMZU+USmg37tLqQ9lMoLr4##Z2-0dE}SF$p^(A^39Ub&uuvL zaEnA-#jn3T7<2u8PK86;GKKcw zJZh^xekF$Z4&(E8h4b^lO1}!Hy@Gk+K4pLYW<*4Cn&9_@FzBay00qZ#*Gs+VC;G`pVUPWo3a(qNs5o^3#}q%KbNW2$J<@Gu*}=LJ+0}Uzzsgi}ZMQdyAJ;ef z$Cr{9JK?)qJon&K>`nX;$od`D&-AtDMg4Md%6RpNGUEc3+xUrGa2@3D!u@3k&e!4a zBDL{Dzb(aUS!KUZlGE=DVZSJxack$NV`2Tgp2}h83ug&)$q;_%_mEPeg1HMHpi7*!v8zw zoN<#5cHqBtI@s|u;Wmyqms?7-oucu3pdGApn(f=P<6V-+eIcKJbM0|e)XU}P^n0mz zeO-Cb`cFK}rd~RIx^}nz4 zxyD5vw$5&gXH^UTH{%2H&F7=s5fRCaR2(eKx{3dC_osQEJ*_KWj_dj^>)1ai`*)dg z_sfX;6Q$oC1Nw(lDc-5fy3X~?wHxPUyq~h^0DiO^YtzS+-R4|8v)+_n3&-W;?jA9| zex@zRX$tWonOv9JJmPb5KP?RBAH(eZr1)TqUuVea=Qg;_Z8-G1O}y~4+mX|6s<7am z7VT)`C)*2`%eow248pxDVsbe2J5ZP-Rr)$H*Ut|W=5AsA`bJLfvcfS>51NmV%X)+R zl3QpW{c>_|7l!j0Ux(%7P8A={7ku1k!y%XaRpF3H%C*M^!rZLv>!CJ&s$Tp=c|YM! zQ3<~s4tqK7sSSs2uN98d;T${bB9?nyK zDBNa4aI^>Wd3wJLo@qiqu616lym920(~t3rxL<0(xGUbpr<8fd#O1Wvf!w=<`9I~m z;&r6zI!OJx1wQ_(u9-b2eh($b&#y1I++6=1iFP`!jURT*-w}s?&Wo~*ANDeq@;zk7 z=KPG@$K;o_qF>thiAV9M_jni1m~1c28^%ipj7-S9DI0JXcOspf8<%k zOI^POq~AKRE$aO0`Y|VbM!eRD{MzKIe(`hVHz`g(GNiv?4t1PCxn^-}~CK zuYD_fk^55F!F{lg_TlPwFg7nHxep2thc-L#-?hU0M>hNVAgA9-;zM6@T*a?0m*+oO zNA%x$Qz;^o&BbM}5*7}h28$9`0ee=Z@E#Wdm4`9rbOBR5jGo+EnU^h!Uc zSX@0WnOw77H)5-}uW;H6Yx~QDbzB=iaQ=Le*UsTMU*)^CgK(-F-sAhMV)QxDFFE~K zudNVmfoD!G&tgV;iI!MPi7xqKZFS)osR+uTut_`t5#v*Krsd$I@iC!G646U-aE|>K+ z_hDnb?0ioDeTVWgv5hN!UNe-%?&8O{a#?dgSt#b_`sEBA$Jby;IevDu+IoMhD4}k_ zb!Eoh=KYFvU7|Y0Uh?m!Rr)-W^YeS9+w;WJ-+RjGx3YMBOz|>fzb=lJqk5Ty3Yjq zg=gD2ml@WNj+e}pleo&o{m+FvP&|tveqkMv+MMH;V%X35WtQZAY6AVj^FD~f~7hS>X6@mp1SSR8PDEwy>Pe&L*qF@K`Q7uGE+$lkr;yqX(d_7HBF#Fm^L z%=y1jcAIm!iS`TU$cH5QjYt7*X6YKbMbB+3a{BqCD zog`lOEBkXi(oE*}|9_W#CGR`Jxt!d28PApOpWxcsO+5PaYa2IcS6yhIev3-i&)Y-& zG)>_fA|KXx;KW?}ZrzaW{JdT)ixt$qd#WETJ*0hkzL@(dew`P7<85Es!}(1_`!Xl_ z`aajbo5^16a6eT3b4n59}ESboCvit95+3=CXFO~o5arG|wWp`!wa}|H} zaaZF`@wZuePNvU)UNe;8TFHMy{B6-O{yrfepOyHz@?YK0{Qr$j6n|^?`LFJ0-Yp~k zIJd>46VZQ}<2;@xtN*@B`g4xta;x|YapIeTxhNecEB`qplm+qk2gRRL!P)x2DTe#F z+Tzl*RQ>}z1WQ9R)!zNJH>Cu z#`#R!`if^yeT+0NKUBj&tm4Wcp)3}Z4a-PJzy9|;anp5&W-3G|xR$hJl&`U&r#zYh(d{thy@YeR z+v~q$S!^%dmy_|T&0hHN3=hu}em?f4-1TwBsShS{bAGu~7_H+<{c<=k=WCq2Yry#> z`u$uvwJoRL$>O($?7cF^Lr(6V4SqQs_W}+cVh8$tR+%_;9ceMn1N?U^!~bJ^O@eEa z3qQ`?W+po3?B)GcU)v+2ji1N`_c*zR0EU#qF*XltH}t!sfy?Q4ZDPY9xjYBu&#n!^ zUD|;k`W+^I2Pbi#(~s+B$a~R%_|xIgC&BG1zLYuty*H6r$5rDq`W>Tugz~1!;41y< z^AT8{i{zU@;Bs;q*De-rgCRJDu++}sc=n*=Uc=S>HzNIClwd3U>i+w%|tKK<(UVh4Twj3~R!4$+EF2*dY>kV&fav)^$W{%2%L zsrXg)>Uc3j`f<*Ga-ZCO{nBew6~9Uf`fVir_K3VVlGATJ;i{TxyVdnOT)M3&euqYU zCAVL{$An=#hi`?eq@dqXiSIa4=~tKgbje+_u?~Z0^S!M7#q$@s=J@_T{Q}rG1+r;h zfB!hA-%i3XcX`E1Kc|GU;JuENQt_+X@m|^S`sfqz6|U|-^!upt;gN5JtE7Nop5}Vk zjge>WxxNnx!+0gbi@My3pZ3Cf{So078Gu9XZ^Z9b<>jN?oL`t1h*Ou+W(RW755B{2 zoKFnHxqc}w=NJ0u5*4l9M_fqr8eWd5NsO`{pM4?PS`agE~g(@&VL6zzbi4}Jq+$0Ad}Q4SL877 zr{@f9IArqt^Xdt|oPNmswXnz}web@<$fb<~XaEk~hS`CBXA8%-D_$7;N2OoApTm!5 zcwaD}AE6((1(b*Bw~F{YB-}!M{Hk#S{dn$hB;l9SkNZoHC=Zi6B1{+magUhT>gQMK z_eUM1opevWY%hL~B`+bRq?`SZ7VX1B*IP$5KJ;|2ZB*<2KA;m$y1+*YNUX0S`tgo@8`)lEo7)MVpS;q+?nT+ z{XE40e&im^V)3WWJoR94Gd?qV-qV@qQk{9;-I?bL`+3GWs&J#D?RRzNsgvcV?Hc>x zM^63u$Id*L?&o=g&EZX+cXsA^NS>6J2>Ej@2i8=chePnL3H=DCOb zd2&C`akbIj;(6)>^W1X|@Z3v0Z|-lSaoNsuCR5@$ND@oq;;9FHrca$G^)e{0IB{=D2L1A)fxf0wzjN)`~MGSkEWK)8DzAC_R6wc2~zP=-dfUPQ~h}NPi9< zm(&=bLyd&zxY|g3+IVuRC{al&lKmm)T&mk<`?&P{qS{Cr1T7NVq1987{v7Pj+boVk zjf7|aBLuU-GnJm~bE=*Ej4hc^%3V&#lQaMsRd(=%ARBEofaiZ7lFJ-P8elsYL%Jaw zYp?-4xv-L?0m$w=|A#C+`d{ErE~6!BfJJj+WSF}=xm4KAb}lG(L&||^3jWlpk~@IV z<5W$d8*ga>$$9aI;yO7w_N{*DM~kNr1*oW+K%+0Ni-+fpnm73-2goGVfgC6vSAJ<+ z1;xV@`Y1%x_JP$W5+Je-fG=Y4X`ui>Y;}W-&5-7+k z#dGC;=`B3hXy>_1!ce>LTu#W}_e-DIFZ~=zzb+z^QL%B|8=ebkUP|Ak5=sslr7t8&zrue@ zdPhIcmHK)9$ex2PV37FpxBWczR#EZy{x+%uVN*OVo=5jfKcQdx@v`VOF_sePdI_?T ziq8uPZ|LzTg>Dl!rR`pTcFo^R~eQ+12ojHlR^sUaN6XH`5)n08u_x{+Jx2suqHNS>%! zxxY1c@AuLo>T&)K?L+2lJw`C9&#t5iJ=I}Ke%h=Pk}`cHMshq%v0A_M4J2Jh z3S>=VW5urteZKGHBw-Mi)KRI4kOt>_J!;`x$v-+cM zTE9hWw_7x`!BjlqE2$yJqZHrow}|WB>Ruo(yUp6@Hnq_g^_;OtNh{iXsm?OA`|QPMi$AyP_os${wg~xRL%j6EDk&IpJWBD&5pAjj>Ws?v zG(z6lA0b5Yp82lp6B%I zxqrJqckb6yv0b)i86T~4G4FkSus=fdsfT9oz)M65{HC$7;?m9*>6TKORIj*MdLGn2 zGJL#$WVlTJ+%QT{Mutb~(&5QEs1z|{?r3{Y3~4et~~Vi z{&xRIKk~GE*d;}ZWh+==wR3h7Vk#={hiXA7}?Q6V{xg?MksjYZD#RhR==i#Hklp*{FJ;lAs)1I~ zU<&+16C`{o~s2MJo6{g&r6$bTn=E8U5{k zbJ91b39dw;f6~=RIq7@Vkx1XZisZE2PwDsPQ#Bs1V|oTtBom8%UPp>j+|nMO>(r%# zL<7pWuOjel=^b~=^YM%0sh;Q6rNfWsm*5ApBsGMCTuc^S-fz(rb)Mo;Y$c0!HmlOP zxTrIQC)aJLf#kt!T1`;}@6do$O)q}bFa3`H$K18EW)VbDOz;6(329XVR=%)EW1&M( zLNJn`u{1@*D*ggdQmKewrCmTFg;-ck+@M-)`;PXzk>@955u&8sVI7?c^Km7Y#|YNeS#cdf?~0gS>#O8*lBzN3R-r z`p!{~lZF&K@0O%QZiz?PNGwZWXD2<%-!+a#-Vb|pxVJG0AFEKMLOajH_bZ`2ZbGzA z_B33?_qc^NLzZ`=q(?A(@=`~h{bOTHl?&Bs`VGz)a^NbcG_&iY%ZL*6u&6l54-zGT zI{j|{C6ENgYK25g`UK8}K2muzQFq$>+z~q^KOdH)SWEgABGsCdz|MC#nXr{b#X(-% z?M=1wU~4CP6Rq6mRq#oH3hiV;8;c4a0o56D3R%3=`>)3kJf>11-!rjOwmBXRXm^mD z6=Jcq(?Py~cK#nKHQQz`FrZR<#7v$ur1a+L)}uE-=KqEwuZg353CKqwJLyqADrQ0~ z9ZvglJPC?b2g$h}^Bg3Cc94h$vm*(LRR?)HyvNy5A;4+589>6Iv}IXG15F$|G0?gC zq(#Cg74pr&_w|tP?9CXGePmAvau8`FCJ(Q(j@OEh?MgthZmi9^LqPIALG4G~@tyDF zzX~Do2zeE^VgXJbX>q|7Kt7dgMKg|zJ?LdDvY@H^UzxA1od{BmT#@{gDD7>dWOGiW ztjCs4lm^a64szi9UMgotqzROH^T>#}_|x|Ljzmd3+E{!jhp>dn@%MMA=x8;+Hh{G!7xBdp6u2}?Rc=hEhmBMeOnBW8jx_w1ok zS2MB(zfrQ?Ny;@$(b#J>5tz?=exs>8LAhQ%0)^&P(U2WOQv)2VAg{LIwg;?aSmT|^ zUk}mb>M<&~6}HsTv>TH40xH-(xZAHMPoN1^+|?U8Xk@KKUT3c-6JY6tgV?ZR#z`;f z*eL_XEk#bcAyBx9-38c>>cCkHX)O*ZgKlQu0kL*{{pJ^Vw-lq(nk99W>$?1U}z-TN1t7ND2!fpoG008E#YxfZ7hlARI3X&>2OoLl(TQSk?wvTQqOgTbB zGpj;xcKWFvrI*&?^Pic&=y#V?PdV|heF07M1c~L;rzISVC!(jHjD&5j`~v_0|Non4 Bz9#?x diff --git a/cpld/db/GR8RAM.cmp.hdb b/cpld/db/GR8RAM.cmp.hdb index aa22caef0f0067c9075e29fb0248ec85fe4f5ebc..9b8cf566a424d0db270da52a0070d8da66a6f48d 100755 GIT binary patch literal 19454 zcmZ6yWmp_d&@PMxNN@=5B)A0#?oMz^f&};A?z^}KclY2B2+qPnaCe8r-EGlj56}C4 z=luAtxu)*c>YnPJnx3v|JOl)UY7E3z>iT*~z2Y|u7grlc2O2IOj!zt1G*a$1cIGtP zJlr&&_&B+^Ik`V^@z7{lxOmu@SDE@uncJWq1b^!xLME&yLlbD3(ZekCCwiFazd$s^;Yp)6FmRE~nVnobW ziIbSvIy5lhdqlb)EFrkPaVX`%4x%fX1V zdNzAnM^JJ-&H%mtFApUUo?hyVO+USQX>aJVS7K@ThMz~|uMO$x-g*_@Ip;l%xC@!m z=y$4GG4y$9(Toq<1+(S5#h9C4MCnB{w1+b>6@_n0PO~v#DwHZXcC0uG;;C03Me!63 zIK00L>d6f>QjIM*n|@@UWn>g6DDWa5FYU3Q-X)QFZ7%g;_p>vm9vDk&FJ-2%s7n4` zir#3t81o--hghZpeI6aFPeav3$dO1SqaMQx^J7Sq+?R0mgsBEqRVOlOs+q*n8NTcb z6wdn0T5k*griKd{`3yx}mE{|W8Dtfl&!UqzT_4tvV`>V7@jF4CYO+3Y2RMs!6pxeh zDksb$)iG2AvYvsSRyNH&RhH=@IFsP*R%^uFMLF8Eu`S%zQ^X>BV9RL%dxHtc&9@G$ zAza)^S32`c33pu~bGCox0iQTKd9%>MPhq)4>AGBgx^PDJO=)@myY;@L=S%gYSr^T) zJ(aINUPYv5wQYXjcx3HktnV%HSf9*z7^!~bGSogi#Vu5GYuy=3@WG})OtAYcR^N(~ z7$wIO(*TVSJx9YRXKAF_~^g9h0L#2OL_=m0z-`7CA&O z((jbF1toa?6Vt1_k`57TLTB$3ig(=sEYnF#L@EaVE5iRL%l`>j<5WRhcBQAxJWy@t zqCPBSH(u?`m2&c*qxU{Hk*T4dG37Ma9EJ<4uJpT3Ik10MJqERT>8h5Jrb$rZ6aU=) zlKVTT@~`x#(2cD0-)Nas);{@% zf&agNvF}ya*p!U`+J;)+Mu_q0)y#J_R#*epI-P*k+M|}_Xo2Jl0l&}y1q*_UutTVK zLsx^KDT2kMhy)+~>J_6#GwoC4x{B;DLQZVPhT1j7ov{Y>X(ka&tWgGF`Rm|wZ@Utv z`!dEQ<*xici;#jX zn-6&w`{8Lm8A5I^ZwFVrCQ^NiBI>M&uQWS0c)lgue#H5Q|EqdUUL}iGh#q-%hyJh_ zBzM&rWaQy;xZ1~5e{AQE#B=IxR6CWiXqm>tLMa3{UG8KV;PdIkGq>|8q3pa{2*0S* zOzxQw*_^gdcgjc_@VN1tXTajJb>AT<9McrPz1Dlx7;+!sj(wMet~d0wk(cRTL!&^$ zW^Uml`*A7dLOQ3McX8)y6Rm=W;<&Ygw(#pxa{tGyhYYl;mkAfou9p%!s~e5);G6Hz zUaS=Zn9@_U^HS+P+S!Z)_cgMahIS47zUi=% zs#Nr`k#6oT6Fb%jtFBzLlDCDKo!^!0yx=AFaqUX@Uyo_Aq30YwOEP7c`Iz#7s@AfY z?$8(W_M2L$Q7h0ML1iWFMsYc5py}>; z)K}x`x@zc!p$^Esr&cXa9p_z@k>}mjCU*EDUAtBWEd{Bt>@zOA!1b>9M_y8&%#_5m zXNUQ(J!#+{VcmY2FYel7{s6y`Z`ql3r`J&Vf1@M(6u1TnqqYyL{UUS*E~832_&K|s zskL)`SLs6g&}z>}c8gXeXe88acP>Y8=gwj7O8ujhsTDqd-fzH{ODyL#0$ijH2K*w%}Yud-1eETi&$Ek)OK>qp${&=DWS;u>zZ*M`=5K>{ZTKK zthE{PoZnVVSf2lV8(LTaq5LuX{l~-H_Cq4RvSDJ`GV`{^9=+)Ah<=@>@oI{Z2}LD^ zvSLggK2fH2#@gkIQ|7eD4z~H$-gy_poK>&uPnLY`!#y2)4B!8Y+Aqz!Xla0ja*{N_ zGT{%u_Nl0HJV*+&8`qS$CjP58yyMmTnK-G8LsH6Cc;eh_0& z+{FmjOG?SFFdAOZy?zLJSXY6qK|cuR*y`94m;w}G9y@;Xa2KtTpRgqdP~w{EbQG%I z5%t?z7S5OQkpYoE?&8QKc_O~28ra@@yLzlJU!LoGzTO%S@1%*+oaZ|UJpvsrS*8hB zTvVkOOP^mQ2qNS=VU&Kh=>Q$LmV0DsF>nO(8v z)v@*d`T~>3Y)^C?9^kV*wp9W2fgz6?bZNt8#sk_z_=3&Z7Pa7nT`-L=LHBJ}Pxh2nIl+zwWlN*+Bf402s z{TZm=HZb-4N_-cm_p24Wmcd$looUs)dE!0}2G@%9gQBON|5+cTK51INsm_ypku!Ev zlcwu$)P3GhH&PK>xwG|n`UM0Af$|W{W+pnuHe0ZHuTo$shZ+rtOHG$H!*Il_8dQcu zdxn2;>C`uBVn44)7P&Z*&7o?uOCYJp7`>|<^ zw?ho#k{Q-V;+sx!w0drU4q&2Zb2%_9f%AzG$p0i()R3K56(`6ahe)-3)ignc;0%NU zw8XSt#YzwpxleELNf$6aW+s8MtL4b?)y61k;3Z)dygNt(yI(I&4!EE93_MDVkNCir zUFh6c4lc$N*ei8P1b$#G{|pzq&h_Z+d@}4|*)6?#ZGx8dH@e^rFi~r0U0L;&>FW!q zZ1{={)VJG^kQd>e*|^ua|0@#3eozZlYf!$4Cr=1pw6K~<&0+01NokiWqIXv6|EpL} znCI%0Yl?j}1GQSCxC_61wEB3Aa}21T5?^Cd6*rw68v+r^vSMjzGIT#He5`36SxCe2 zHiDJsUGuC6aK_LVy`YOdJU-i4zLew=lfZT_GoD$^QsJIK^~;$uqtoSihTfnAt5=0% znq>pNR~YER9^$Vjh`&oYp8~tHiUv6}-OA^uyr8Le0F(S;hl80MCjVzhllNczQ`UeP zFFP+STSiF}AfB?(g1o1h@5{G&&Xn3$FBVM+oemg{#k35B>x}eD!!!)M;!`5-kN(i7 zVR-yy-inyH{y?dM^5;CWPmVDaaR0~FfxHNi8kiHVVFPE^2Yxt(+lIp}WXzc5`F&g6+)I*&X-)gp`a0fo?~ourfzgU$)@PQTp1`G*O{^AXSNI4e;) zL2BoPiSKapXO$cgDvJ5Xfd#nT>64zd^0Q^K6?LedF{MGu?hf9tt{a&|wq2O(C;m^@ zKCvY@NmsEG*V8&b_Wnp?LgTO*W33#9*qlu3-9Jh76v41Cs&f{2$UfeH%Mg^zzpPb$ ztDcDYXBdO#t>H$?QD3_EujjW48$DK?Oz)?8Q{x8XZ}oiD^8N3A#ALj2!=!y%C*$Uf z5#3kUSah(~Qe1{i5b4`a5$~S@^iO%LUpnXJA07lwl{n0ypzCP=)g9yv zNoVF$A~jg&uyFJ5NuIi8*OFV{S9wj~vuIce-tF)1{XQdLfrEcah3fZLN-vN-$itJo z9tXjcFHX2sgwr0!)g%_j)%5i?i}hToHAvcA*%Oivr4%of6k%k05~x4+4*^d-Fjl2+{($9nqKG6JhRJgR&L>kT_QQiIGo9 zXZlX!&FXmhd*jK*HwU^p@6cV#obldSSJnnr2cZ54K@#DZe)C8Emj|c&MB=iv7o`y5 zf>4B7raOjHSKH}6Ce6Vt$sL6qm!)b8kJjy(;7TFDqvvSN6(kF*3Y%*$6^nkXdBuIj z=*RK@@N@KIY}i~l)=dL>^Frl*V9{B6dcs;qI=Ki|fBd^F0!@9gbo^<krlnJvy62lk6K-_QToi7EhDcg{rXLP|imLVcW_|hVvHXubmDu zx^h?IcQ{D`j~}6?r-w02e0lei2c0|5y+IT-Dd;uDI}hkTE%WbbQ zH#1MeTD2$-v(#>j{E=xNA9UP`eVxp2M|f!Af=GGImmA}=J?~|x-%GJEbHxxIQs*qq zhjq(w{uh^YwK$~?EB%yu%);Sv@@_;;*y_RC$~|;8bFao=I$T#u4J@Z?Cw58u`$7hR zN^yEdc*N5}4TT*pIRA!)jy<9e^D=fi?q%;> zsEU<=Ocf3ADa)pKU&mOG41+c`nZ#6%=uhJS)q8xz88o?nd)6#Q7xzrn%d;2dQi`IV z!qqGMB>%WzPnjEWG0KRCqddN2l!M3PI;l?-?iN+5>36vFwB0U>Jv9DhjfI9)&*=#& z;~XQJs>DBNF655|1OyX#Ldzg?tP9n89b(q!jKjf1#E26=i!Xy2T&Gsmn=@AeNKd=7 z>{K0MT538Hh#Vb@`&NSWR!@GJZ#Rk+w_B2@(&VAH@eVRGw>1*B!Ia4N2_Um5XRfWn zN}JtUL|EK+D%~l(o=%b}yT#Kp5W)r+O=_m}BU@dAAj(L>jQHm!OMCRihVea#_+*fTz#4b`M_fBLoI4C+Zo75q<>~aP zj-OazAYcjq21McyDL1Sq@J{y&))NswQutL}LXkA)zWRpmKX)AoWtt|S+lTphQ7u)u zEw)rSO}kTkI&L?ro2I$gHSQJ<}~^**DhP~cROO~vlpjYsJE)dL8ofS(TU9DC0vArb3{uNc6; z<=I7kDZCMLPkA8(W;FW67t8BNeXTul3PnjP8XJ_pb6*dNkm0+^?)V;GOuD?}&6{~A zeTb)>eyG{G{eum((adQy7lSFz!$9yZ+$CLe=c!WtrDB^joe^Q-pN1X z-uijCyP{~WTpv%MBqP%}hwr+I>Q3%=jH>C8rgeA?bSoz|u^R2e+wDgvvFx+F1{~U} zi`-j?ovKA`^$!L(CTsF&-iolR2_b*9PLleoX5vNyb19*B;UFO`(Lbe zqsa8jR`l1fx_tTH+8`VA9Gr;;(T|ZK;EUil;M}_a&Cq_j<8T>B2$?VM)q@YiKIiL5 zlTK`oUyjG*gfz4*j<)&68GreEBvrU32pZ=N}nTiKU|FXJ9Yf3C&GI56r-W!d6#iQlS<5_j)a5f}_{ zxP)^@xmJ2>#||wU+7i*xN(=A*luVp19TNU{My7w^HHsfLQRNJ!t2S7rZowH#?zvtb zkh7>F*qf>)mJXg9f_sP?CR|NIXZ~!%Qs{1@sh3CbI=;m+X;FM zl;yB)8I{-!U0@f)yje@*;+SXP9+!W7@XaxqF_=$5YVIiEUY1%NXXX{Wu35^eN5XHA zi0^5TFym1s_ss@|eA9CQiGtQTNK==STr`Ft2$o8ZrRGf^n?Ha`auG^|z!nLO9N|w0w^4k17;)igvSKcS1v-WNIq$z!wkJ z`Yii5(M@#K)Bq=w?N#mZL*kD4lgOWpY96DKW^_WVWl^nVf`64{tkCATiFw0y%gg>2 zwju8n?j3HCD&+(dF2_(%Vt!D!0$ha4zFU9;$$Ut%ebh(1Axz{=hA&g&b+02V5D3O&tPsx$;)q!?K*Fq&pqy;ytN$nu~=S#UzR1rVHa=s zE*h_2phdgx=+Z>9uxc9$`JWMQz{?(Z7C9ZD%uWWvow{?vD2jb#qjbatz=nr z)O_e`7xRuUgA^1*b(mqL3?X@!E`Ar{+}x026>*Wwr|$Dl*m6{> zZHE;(g*t10dN01v;<`GGM|y9IP1PY2a><=AkTw=lG1zZ0aA0xCyB1lP@W$LSME~_z z|JMy2pXWL`@Nx1{fBU*gC8?X$qRX@*OYMY_5|&CgQNvemBe3 zgrIXnOSgN!hatDQxVufjpQ>JGY-`<=_z$`S?)8z5p8;8c6G)sG^tl(u*fWnlmz_(U zWmrn2P_wSg!UH5#IlulcVh->@S4q|YRGIpa^ru{3E96iNjn0dR|AGy?B3+WE;?QK$qBGmBs2p$941tgCg zZTlY&rTtpxo4(ny?p#0=xXs+rzh)M`ks?$3US4S5Y-1lfRR-34&-%dh?O3&3UCb<5 z{Rh`gxrUtwD>KJS2zo$faD&~TQ;Y>oR}0ICAm@;Ri(wJrMaZY%sRc|q#H^1aU!H6e zrO(X;8EF%SP<}pr*HY9|?G{!~4Hbx{#a~ZfmbH9#NFl7ehki0g8f(=)r+88OK3*;H ztSs}x`c16X5IeTYCRs+%(LdwmFYXVfYHUpEWyR5v{aZ}TgYWS}19KhT#l(8?pT_$Z z18F${o#PLPvlgL>A)h%us;0h=b4x;#=P?Sj_T%{sexKmDwM;l?A_;m48lNaPtU7D z&SBWS3!6S~r#TTsNf#m`;HW+hQhsl@?n)j9aMy$G-2_anb~>nuN0!iTa#~RFth_k3 zj-A=%eTWXqTMVNFo`zX|DB+2t-q5$i%KOnC3>Y&WHi2M+jucVB1 zt@D+*Lx715Khm5C;z1?+SPS>;bJjmxefb0pjTM%(p}zL2+q~<$rMx(dUXeVc-dl?i zfW631!X$A5A<^+uL7*JG{iT=Y85irB4)rGClY1sF8hv0~G!}r*oCEbGD?cZHMzR(a z_U@oDzZR*_=!UF!LOSF_fQ?}->ba&2iTE4m%_IP+jp`h$6O56BQ}%H?KdpP7^Pk!R z4FGS8pJu$Cj}9QZwJ!N=*IO(7JP<*RE!f1l5(HYowbJ)Py!6GhBL5M6PZ(hobI%(A zCcd;pN)1JJie8Fw2=(ns*7K(`yG zh!D~I_JFAIl|Ue!S1rot`0KT z@BMQJ9uWPKlh&2}A`O|-jdI#i^3iRQ^*tQ3c)e=6l-8eqnxpd|;h858TvdFB;I^UG zjXHlCk&OLe{JaQ&%{Lw#BlM@1XEi{?hEXPl^Ydu{#nZZ=(=fTj#G4M38==m}Bh#l<)FDG+HRyFo0~~i(>5KkmnGP>Or);%D4vYT7X6ghN{Jn z0JOB>k9^bW_WmDM3scVyuv93)e%Te}(Fd@>0$nJ-5C^d1DnY-gaC1`}L{=6{X(;`i?1JHjXWpc*rHC z@}bQzbK*Q$!FWBfWgf?)f1#e%FJ=mA+nKB@KSyg(aHc2sG-FW;1w$iaZF6c`=4-e0 zz%^zO;_kY7->5l{_@;M{TIP$k$%<~F!T@Em9c(LyC%o_uLJ39EdtKe z56%U~7NEK*<&4GQpt`Jsy(GwhB^ zi6=>3X!EDDOXFwCo5=jTV6nG1wfUgX2c82h2{?Ki`9ViMh_IbJSzi(R6Sl1X+@%8o z(biOG`Pi?x{jDZ=YYPq4rAJ^h&Hx=iV9Qa$@6Fc4!0lW^_C9SV#pPW8j^@$xImR9>J)(^pj@nQek1*jopmij6CG$&ngN$DxHVESN}LK|`q^ zH7RwV+aiO8Ly|=|s+wqA_{SRkKr5;zq(i)W2{+aO0bt;Te~YS2wkvkt5rtG#w0rTy zV$IG6A^Je87YbswXnQgG(9bD+qMzPS2~e6vnjNtpru$LgQv}yU%jegKkhYI}LY*)1 zDbK=rqp%+syCL=Yk)(!`27)on>-c`5AOeoOP-`-hl7Ii^k2PLR98KKSGwSmj=5vMK zhyI&f8$ocu=%xZ3{kb{tN`uhC>$3fXT+%yxE>M8F^HRr_fhjJ29dTIRI%uEx#wg|n z>BnEZeXil0{OWv>VSk>jUNP#M#(d&{D+O=yPeWEO8ZAP&`yiE9eGU+1+HWik1mG@U z;Gsp<^vNpYOgkd@zhSx)Kkt?W@K67h%Fhw-?F3F@RdPBCm?>RRzytp~2=3E@>$+yl?&t!_l z18$z;f3rQUHz(I@r*N3Z2>;2`0RMoe9El=|jGykDhaTf>{B;0P(yCpNz=@;T!ANFVA<1_@sHHovC z87q(@91~(ZX_LQ-`xkoXCM`H5zsIfo!cfmizfIvu?_ z%EZ~>*h$?Q#<<7%{)x%2MHZ#LF`aakY@HCsNcJt`k>ErVrA&UR(UMqy+eYSweXt-I zhtz?06PoXLvx4O#dLW{n3%hvU%FWd(P{*vwI*kxMs^Q!G3s^C zT+iNniH!4VQQOQ7z3YD#T`Ud0`gMdbsV^y6-*uZS;A>oS!d-z5JmdIUkSx7~rr5M& zyeGz|mTEf6o;!6h8@B1(Qt$Y4po*vQK;BuVgf_Lq?dC<)$Q?h!Z@wEV74-Ld)OvaQ zH+hLRTfv)zIZpkhIS7Q$^Pz&!fVE9X@4H9Uk2S7RcZ;X&w2rF3mHv&!X%P3#c9YO0 z)W6=}ss*^ecDnSn@=S=rKA+57rbc#&ezqK2;<;!;I?v~01`U5;iSnEU8D&1g0#lr3 zO=`ney;tPdfF?)A&GhB#wM!zgkCeSH>O)F9fZ(d1hSQ9>x(Qj>pRN<1*T0%aKTKQ< zt{f*FIIz{~IDGZsz7ll3QarOMOixjhR)*Pq_%5u{;5T13&KYR{E*9hxpU&^UpiODY zS55067^?isbLhHW>iwE*e4>0+VK1+$3C@@onoUWYJ=bSr*pnwqHmcYqT=^+mGHTkX z2FX1?W_5p<)md&Vj77`vYVlTMH12cq9!9GAXzlTSC#ab6 zfvu%1>DwpHA*+h?yklNZ0xm?GPuHg0cWdU9_-sNB5?j$K7YYUjIaldM+13NAd4 z=*{iUrSLCpXI1xK{dj$pYrdxDubOHQfp4&+Wbbu8Tlgh3D=)LL#}GW^fRiU~a4I7R zgmBU|SdI28NS}6oRxVxR1n6hFOrz%uYYSg|#6eTg7YlNHPCJg@DM-_O)a9u?OtS=X zQGMF(5Twf7F8GfG3K#7C_;b1!w3FLw2=Tg3ggI;rCHdmF|Hv6{1GNdw4#n_KxHEJE z4AS@^SBfrNO+{Ji$;;C4K+b3``=O$*!m3m;D!~=&p7#QLshH}ogXQ=%*dFJ2td^Pc zQaA0jc07*KpOtnqVezbjA;0zz0ZCc#qC6U>p~?ku>K&&o;_FZNQc($?c0zLPzC3Df zd(021$QtHM^y?euj9WsW>x>NZXU|kYwy~cbzxAOMn+O}gf6ssf8mD@fenuJ{U7gtL z?@zQ{#-E^_3LRe^4U;<>8hF31H@%Me4O>sk&*D(@`&Y16^lHWz+|AlJ9Q7BvjdHyo zX1Q>d_vyjo@-;sURv2Iw8X?QypEGur)`8{6R&m)NqGNw&16U%UY4T{InDXS<&48R< z=xNkvBJOjUXq3OmN1=yz&6W1Yk01jp%{vud0GH#;KzYn>yZQ8{kI+?vORQ_%f#O{G zuV?#FS4O--4+&u{OmMYIzZ&pDlF{uK4X|k59kk}jclhbb_37l1F*EN8`158T>Yq4< zfBgZg1WKBT58vNaQ-L+*z<>9@L_Q9Dhg)`zIzpiscX6<_wI7g|GlyL9sjC4-@u`>H zENbf02ZG!4SPp6km~*F|pwu=l(iJv#47LgX0d#pf-R7CtcQl%vgBLQFgB|_$pbsZj zwsTA8N&DxnvqRuME%;G;bHm^Q-0wEe8gK@_d>F6-)~uhcm#5~H0rFsDey!m8=Gs?V zHZ%kD+&6!jbqxRG{=D}9eSEm`f35S>vMVWg z0$o!Wy~n?vZ2zU%#5yTa9x-9y1wE7N_Gc|pFZjBJA|amy|0bh|?Qmm>;foE0-U<+>QI(JwyL z5rRbv5$}3#g7iWj#7g9}*+dCiF?9`~s?&l+badh&Ns-z|Tl`f)0u1c)i-lWXg&Bf2 z&h^N=Z3G|S*t!P2L#QbMNfVf~FX`w~72RLnw!$}5gJrRvS+0ncKD*Xihejm`VZvsu97=U zU};R}ryl)7#8*&7aK>$fA~PVNTvq$H@dIH^R0(O%D%PLh+O9D+9i*EaF zP@~o$GOLFBaSNG0(kXZ}xnSxpc=bnFci04m)<(5egYD6v_pC+J$;p;viG=!GCukOw zDg8AOgE&hTiVylTn55gxUxcg_?0I?vEl_|9akSFfQIu%X&l(y(6}fjysIh3CD-Q+O zsw1>L^q&*V!~W}t?h2*`^KO@nRgC6`AhCqkY~|wl=_ty$k6}QBCEF+0-5nqt?WJ}9 zhN)j1XBEXQ`%&bP&nt%>(%hA$v9*Qk0I$cL7g^Z#_RcftfC`QP0!pA%DA`zD9cDdVx7EbKgQ76+y_|?Ipdo zi04oA5akPi`W7W31V;(9A9PoQf9`Yv__0Na3QS!N%M|7de zdC7rx-hhAp?n0IQvIgZm8UK8}OJ8fNgAkOY1ZobvyTU&|-B|mv)fjlUhF?nv%29%C zI3A#JvRrneL#`D;wLy1JiJh=~N7c7??i+?WTmElBExqlCAYFP0f7kJAv9}NcMG#t- zuU+Jw+J$AB*Zsh>vu)&^!38$!B>)q`K@TzN60YcN#}nz;x)M*|GOuj%ADTjc`O6xn zTwEVnX?Go~^wA}Ob@-Q6Dk-5b^nz~mkXb#$gpe{2v*W%a1HOj{U!l|bIoc)O!NeA~ z=NVccsv7ed{zy;2)fX#0Ff%16%S?npz%6HNK(m9FQG@HK0xu7hmtoz7r>4`^*brl)5HM)^1MOKoTeLJ!PIuds zhrG%^d+f#ntL(zpB~^Mud^eNb%H=iNwS{WHE-9`=;3Upuqt!GT%|c!rVBoCju_JIM&|7TO`; zSC@b+dE!@9HhO+O-9!1X1MMHe|K78jzRPI_5SXkcKFpru0Nd}~KjX#ttew|cwkvn4 z!3-VgsIY5CIKnLk`U7r0WDR5%b$flh9w@5JyE4lE5aPl2J#}WT`&IKpirN#op zJ@^)^PrtE?e|7z!wKr}O`7+e^$_yK5bk{s$PV-Ea^-D8TNSWUzKkih3id_4HF{r59 zNMFQjB&g%Pcfgl)%1zEbait-|g!cDfN@5LxS4Sc`owVCHM%}y(n_gCsmEy~?11I{m z8i3^m;lp(}uqpc&Wfsu?(sy({_*tlFEc@hqXr*5h4(WCr`0&;__~-<>w0Y}g5Z^;j zPNnJ3Z^pTWw;Bz2<;?+?h?P#`*5IyHhu^DJ zS(WyeM0P}n14g-@$!>Uy#!tiTJ4DzZGKo)HX@_mRv!Te^A?1nTU!vJhiyX#P!oI;y zOw+!r`QAqiEb&zFez~Wk$AYPhxrKE=Yr8SMY=`RwU%HVH_ux;5^7Yav^LUc{zn6t=H!}*fI8N0w_)B&+5q1ZUcDuT-*v8UJ|yo z8M!OMP@f1#76lSl(u;kg_~LGI)$tBlB_HKR;-~1B1h!FH_`YzrZQk(Y_8g`BvrGXn zZdL95dnvH^Tfgv6P}c#Vfjv{E`mh{-=^h(N7xNQC%6*K;JGCr$p0`xVK1nEgq+`ZA-2 z=6D}PSDfGaVzk=cy3W?YUdgJArhPd`WJ+VjzPNWuvd7&cy@m!j_Rh9|rgr@8)lv5H zWu`Y>6>*q1U0J9lnzyM(`mJPcI^itX678XrwX9J%SY(QD=cy*YbD7Z6KOWo`q}R?` z7P^Nz=j@eUn(@Jop6y6 z9Kl5#L6rE%cR4{vNZK}MMXt@+M*%w#2cOYoTH$VJGB$!EI7@V_R;a*J!YAtvVfdUQ?uM~&l;leyQ6!rg{3eDaxy z!jH+A_7O=TBY3{OJ3N4FtDSxSxzSTHfUJFtdtb*4^rCcHT8ueyGo zeE$8@b0VF3bte7@BBy=FTkMldO}P)rIp>ve?|K86YuzgY)824l6+GOqgJ{tLpf|rH zB|HKzVbUqs^-eS(Y8j~@IV;XN_ zZ#eh5vc$PT)@A(Pzx`r&Df<=^6F#3?ZvGY*Ym8ukpT$Y42#Jx8wr1w9Y6Wg^POyl{bk{6G8X@QZDO+Sw6(X^H{BJ1!%I!((IbI8~+~}>^ zHJ$1m@!$E%9k%d90qO*D3S3^Y325r|I~u>03|CEMwL1kPLq9GLj!)0@Dv(BOQ~85z zvIISx<-D;RCKB+FF-@y1k zFGfGBA%zrGG+MCZAXS+V8$XY|>}YH4epWd2i(1bo1q?a>2C1+k$Yj)zL$13NjP4}h z)?*?8)EH22XQ24bYXL-nLNs*{_1axV^!m}AC04E5@v=O|~eCv<<{1J>3 zWOVhKhl61Efn~gXUnCrV&gO#kzSHFb`Fe_0J&N7d8+oq27-%OslGu4>rcbn`d=MeK zl;PqagRj5O>k&*@U?;i~8*yC^K@YJ9s4KqA)qGsQGdPm{vBxCG?EUw(2XoD2l?@xs z*Z%Q9Pl>G`V?Y6#VODA<2^ab)BUn5bF$jFs-ORlU04Jg$Y%(LC9X`s1t@1ws(PERl z^le8rkRH_d6o`Ao1OBz)6H-$!jfx|787*1vW}zk6A8RWP5w+uodZD9pC|%>eZ^vDG zhiR*dDNW1sjag^Am$|2OZZo;86LiqX?%+(0M11$gOS{Kv?ZT0Ed3aQz?PK*P2Lu-v?l30N6$jyxI$+|`PJWpj0kVH931q#^~>F`2jm|4?cKDg&N?m; zZg*%YKhijZNSkj1PA{1l${AIQTszvB5xJ7ba|_QjTZsyj&8oc*!v`(3aV$swM}G1&F`~6|qbA`Bp0}gFQ(4hJZ z_4vzNL;HE9Z%5P35?)3B^$Ggdya$QN#kNvAmbh|J&xhF)C(EcoFngX7 zW8E!tVbMK9OHj_PtldSbi$_$t!H+-Np!DyHcoiJ`2;ID3>oQGehYC8l6iB01J3Fo7 zV047N-!P+siBhIgYP|VFawf(Jxtz5@gYdC|`YPkS=JjqX zC$c7(1-PaWTQT{1^73neqYzDn)VjNbwxl>h$kT3MXP-ZEUtP_67z)$8&0a#3u7Df7 zq=+dLPDs-Ufn~kdT3YRIE!2PAZ^B>8%+#7ug=a~zHGeET;FCDYy7GAaK&g5nY*j+n zuz4%y?@-EGiAkb6hSSB7Ot3Yce4dB}`Q?DlDJ3Tl?Hz2+luSVX5gr^-Y}Q|W#w9y% zB^HyJ2BpwGj<N(g@N$hETgSQeW9fun}sqUyz35Tbx?GF3V2$pZ`w)4-4@0kZS

Y*$eGTR57pd zE4kPKQKnq(fT)7r>wqY?eH0MKnUg|Y6@>a&5bEPWs80l;t`0(dG6?l4H%fW^f7~eL z_(Rc0Jl$j?@}aBd9idHL#AYwzVj%cPaj+j>qvTg|mkkfOU;n=>)#rY^{0?u4@AM)* zks{nD=2xc(_j@y+0|HY*=?)JJ317ja&ohakK78G@&;9MS-vU?P48QXt{@086KOkUn z-Ma|C_d{;;mUFuoafcUirx$UT7x4!#;%+bE9xvivFXBEg;*Va$pS*}a1L0}izj&4W z)r7jeJekO#a<{^3RZ(~Ee}i`W8$$7e6ZSzP_lJQhQWgS@R{W^2pn!s25P^)Q<^ z3;b$pTgUS{+n(3i+R`%EJ<_wFrLF6^E$yxO?+*b|2>d#?Q@=ufD2nsc?-Aw9Rov9(`O$5Nv)cKONUBT|eVN?i)Eq5-%W(svbiL3IITjF06R4H$ zG9b8rsDF*(<90pDn8(`@aSnL_5OlJ6F$Is>kM19HK4!lT9_E+c47UG7JVvi^mF2F6 zr@%2T2^I+5qJsqjgA0L>qBoyc$d4l4Jrxmn;m7>Qh5#-1S7l48O3H>N$X3g%J**2?f&Kw*};GtuCSAJA{Ev&qyWT{x}qPG*zDIhQyd?1#v zM+)8?d6@UcCK6*8xPR-`O?!bdj*b@ZmrxUDdT*7-5kMTSm>WpOF{5tc2$VQ~se5r-}kq5VK;+Opyo`j1$+=s*mgI-(J)6z9M!`HT~2>TluZ< ztdBmL;=kIG*Bvi+PPz}SQTp-QI`Q>sy1Rk0J_;H9(n&1T)jn6LvIK*zuBj7#d}q?@ zCS|-mEf<@CLK!$kHR&-`_O-y`r+thc=nv5$^z+DH0RBy>PWs1w z9~ZqXm3u1e)O!Br(1-P+fE#bQ52pILCbC>yMsFy^+F8JVE7gOwufPx0SH}IR0lT;X z#N)5{KwQ{R#Z12o@-J5D_aS{^nnqdC|D^h7g2%M@QT&1R-0(l0;w1l=l>f<;kGIPV z?&y?$KIKb&@b7F3{+*ZNL?7*C`F~GwzfI}WQ$F?^CSUw3<+Y@IX-AGR)*Jifte(3e zeP^PNI>vo*FNE7bt2}QHLv-_X;t*UDWB2p+;upS68EOmW(+ta}Sw1<9=RTNt@S0cC z2X%cQVjp2&dB(%oXLw&IcKG_a&ewhZ{{a91|NnRaq*rNd6;%|z!`f1|vahuuRtiN5 zBBcw3dGqGIH>I;MGY=^-j!J<7ra-U}5|j{v1Y;s7#1NNYf~5)iM@315U_^n07;yQa z;RlHtTu_OLKMY{>d}rp)>uZ6QFv*?!=AQ4Kd-ijmCms_0gbqLM}?nF!Ll z73fyh3{s71x=u5l!1Gl<>+xbmTkGbvYg$(|m#%GnrXJh)nVrXJKCN}{kv+8+9+A@2^ z^Wi+6Rk!4+YN6&lYR?k|OibEvTx{L01n#5=t*#4-| znAB7^8JMc2rgaxI(TB!Jv0oA5#t#k|j$ z3$yqfP6K$u(=xiL=5g*P9wX{&m;M+6q?AJS(pJ6{&~t$(rT6cHE^$^#DRNlPcLP6> z)38DeCj8YK@3qq;#?oNB#Jqt|s=f-USV5x?i+XfcEdospqk#>!1Fr?kbPcOw4~;1- z$&6JUrxp5=oV|?g`k@7(ZD(UwbBL6+jV%NV$=Qglja7bX#5kG<9K6|qPiZ-dLc?!j zi!cf=MI5HX&#s%OLxzboAS|j%ch3Va%BUud7cKPb?cEjUu?fQPri|ezli3r~fBxni zNJSATtSd^bsHD}SGh0nsj}`8IkW$B)~=(iB^!Q=P)H=ue|iJVEc*+von$S(8u(B7{j( zFXqv&g&RiR#ELX@Qb!#LvXA!z(q- z5VwQ|G>d!_mwUJ~NZ83HqKD5YHvIVNV`s2WT10hN&w-9=|(JcJm_;qvu1YcrOl zNco9`6kRMv(fi#4SFQsm)yy}A%IX!?zr^gN=khSztHFVvF&y1DKH2^`WSu|*dZt}F z8;ZR0pl`D2wxQPil-cRqD{-$)tJ z4Frzp%V#UczyBCODMxhQiK{pi7$}z0yGr~x8?vB3!@m(yN%6|*oqwT>i{gB$7c&BJ z0;gBOkr%GvOIGJxLPC_^BXMF~18J5%R9#nX;)^ANU_*l~bE;(n zx=q}g!A@yl?n8?~i)4kv zHcyAQTb(W{s;}2!F?2>cNT{A(Uz+O^x_d3GJS{AFMprHF`JDSiDeFRo_BeQ%~kl^ZwFRlzicnJIzw?dBe(3UoC;`OP1S76R}CvlWssNl?1wIm>F)jD z_RbIYe9k1!;a5)VI{X=F1{;}PI`H-7eh@c_u(?8Wae;=%W<5XWU|a&Qr3+dq;X*N{ W`A@bek{T!@zcBWp<^KTy0RR88M;A%} literal 19821 zcmYIv1yEeU(k?E+LI?qZySux)2ZBq2ySpsz?(Xgh?h@SHT^DyQCGNwG60ZkC8@LiO7$5lU5D1}2zN1Svd{ zA`pMfbx^KQ~x` zZ9PH$;(Gw^-(V3-1q%7*Uu3*Sx3m#$POvA5=vD>-J}cM%O8N%`c)dO$$NCjBaTj!m z)m|)M(<|$BRoV`=yV4u9ZOd9O)!Ln9uXYG8wnln)oJDqYw4X*{os_NGJ}sn9DXFtd zoM{k0xj*w<*jqZ_KK5Vk~y0N-ABvME-*N3Q3{+f^Z zFq4{x7E5Oc$*??GJ~uh+TCy&V>c?o=NF)&>y+A>`!dygZgkPjkL80m`sk#Zr!zi-G z{)n-`*qA52h6fq>ZDyUPPFWN)?yPF5QI(`FriElac7O()4yFf#RIjVA55x8N@_og~ z6~Sh};zT!w{aWuXK{8E73#G?4vk{cuS#Q8rX8OEFhb9m~}Lm8x=`Nivv!i zUKEg3PL6z!I7Lpt(M=LPJ68;SPmR@pE9HZk3mc3*pcbnbr(*U=W27ysR?6xvLdM)ao_ttSgdH#`1fvVE`Thj0BTWxegY==vEu?FZ^y)Afqv3iPnebL?%Yn}S zm*@WzEUq$KEZ|rlLt57kcJ}8mLF>_d%5a^KXNadX$&v7~W7v*c(;YVviW;r>_Pwkw zpJ7__Z`)2jLI(QrV}W@mq@@&`?oy+y55ZOJ*n&7e%+6ESz@@$XIMHdA)bqz=(!E5* zab7u5UgrvOfsQ6pcZlWx)#?A^;eQ)k%Sd{}InlmQfJHPnq@`LO&xgi2?wf1IK+Wv> zONq}7mhpb%aZIdPpZqsMbeZQ_6|fI8 zlSQ>+)4T5p1#Dl7fwJ}-gFi4#zIc4>`9 zCjZ&<3j(zuJ>J9cU2P5W>+q()Z=E5RO7+C>%}xToBDq0f+AumZ7ebQjJIoL{@g|0s zA)Ms$sGZ>_SM#eWPgdM7c3MqM+dxM+W*oo^Sgt&i2E|1D2x)aUXuKI8VXaNWyEF}X zzN>V)(DVS0)-jWzS{;;76bznuYv;OAk=2=G*x2+?8itF|1CAkzAbV0TwFO9W%*yj4 zV{FF2`0ewkc?rc~maxz57+2DMreAVws?WFR&XTdaJ5DRWk?oH*0oC19=@%(&!)p*9 zx@UR$;x^rO^nQ?_eL!?lZ8kV0~GY^_p1zXMAx{e_8a^Xzzu6D6_&!fZM5I zW)A%x>O7{oUL9rZoR8Epg}qe8Vyh$amH_wfXpFHfa(_08nbWENfvTLzDX&rsm+pgl{4HMXQ380ZHKR{*YGUn_c zF7Ri;jw6%6VSQKhIQe=Eio~ujwae)Wk`vxTfS-im<)I zn+5<}=I-JT&R<9y%m?(`1{AQp?f)`M&1&S{zD}_3>Cq{Ry1u#i*lgsUeJf2Yvyr(j zzrPE}+Kv-5e@YB8l((3D9p`2Atk zeuxv~Yq7uD_E8J$p({%m8Thx0k32d}c9`kH`y}I`b-+DGu5-bChz;TTK|ZaN&E$F6 zckyfN;Cwb8KY5(Wj zKLXA3^Z`W!=6-=yx7uyAwW;`<46gzDJ+#0kpI(~sXZ!mGzijidi<|K_&rjF5=J9?u zc_!~QE8Lt$uX<3?CGSIikIK?-3cO8Q`xOv@N^Xm!9qH)#=jm-mZ${oB{QpwA!cr7* za=CJcF_H#J(=N}_$nTkJS)xr;CPq$L+*{bZr}l5guas3&k>HqQAV1vl>IJ^%l_9*)~FSbFczK_J&oi+&KFSghI6? z!>KN8h!JpJ#blR6f10WLo0j!_i_)g|K5JyhsT@GK&jD&9tBw-h24%^-y_oIrx4N4s`>9rwr!=su(|m$2N%^xKCt1XSEX(y;FH z?D2EYuH)VtAcng%WspWgAVjEl;l&T-;AKMpiQ_{a7I|UT;9e&K-Lv~`RPgeTh||%L z0iMa{{hS2_wVqQ@B*B`{^I@7-IE%}g(Sc`?wG9uG4}TZ%eaXs~Zf-dlDW8rE_5ThfWxQO+jbkbGHU;xTU`65=ILP zb#p>ekQkbd;Jq;{6Qk@>0uFF}@rK$TqwEYn0@!b!Si0rPSIT%N=iwP&rn-iNNAaR* z>*ADe4Y(h!Ob*8153g*uE!9)UvErQVa$&L`8$fuD@;+{2(97m|T#p&!vFWt4=@JG` z2uUfx4FPxw72b!VA1RNVrsu@6Ce9}DfHx^ZN|NpqG8J3o3|yS4m_o;xik<^ho*{FM zDNY4Rp~dNL>qQJ9&Lf?>uPdxdYD3S|l#jRBS!InrQ4{{S znJ@u!mJp|}%c;wg%trksestp{(%^+>=C($FD#A*z=_k!$i&Q<@l#g=R$$9FU05p#OE(9z}fJhLNn zI`4>C!$;wlMYFY@u>5j@JWKqFJk`~q5zRB{h!0otVM80owA}S=>L1I%_Yi9#?=6$l zkM1svCB3D2bl@%dJGHvg;D4s#A09y#R^(-9#%4=}g%b7@Hu~H$o|FZtgDIK7yh}2* z`MK0r2w511-T|X6d(0P(6T>8sn~9O-YNcieC|G$GdY<0DzCEo%5+m}~g}>?!m&qtJ zW*f8E$5_4jf;=%;Fg^1ChA;bb5M6Gt+!_Qy`Yj2Nu~DQwWX?rS;RR=#Joxri>5Jd! z&vD<|*cZ}yLvF|cp!I^9GRxl6=@7riZ}h?Q?Y53x@hElMA7M(jW33zOK^QO3?zQ{< z0X+`lI&Ds4b(R+`YqU%=E%eD?kFTAMeiSRY;h4jpN1Floi|N>0!?|c+TdMKNqLQAEu5nwk5<-HU1*h9 z7l+gp?7RjNXRM?^;mYV9llr=EbxcaC^VtX)7tHC0W)5GwzEgL&zxc%NzAUi8kb}9p z@+6Qa|GvhbIx`=DRlhSz(R$Xt{FF)6ubB>0|9u}{$Y>Q^$c(2e3U0h2@c5cxsQF(NbsnQ5h8=YOUHhBxj3$5nEdP#7|Bftw?@WJlF6bw| zKA!;5wK&0FedQ4if-b0e1aNT_F@@w-k;VWkyHDu$UoAe0e?LC_&b1Wu$|g;8LU8O; z+c9W-Bj9MUE!qtKl!eu1^iO@ki7ZcM3aT_aVAq06ktegpPTCo$YxIwLweytu4E2t3 zILtF?fAjsg9OyB{?*^%Pj$K8&Y52akI-L28wKQH2atnTFARu0vck=0fys%j5CPZ6r zA+PrJBxMJ)S9`L1Xq}l|{~4Ox`E8rk*PqE16xL*efMLCYO0-mqCs3`;-rDhVHh#65 z{I2=q^f;laV0>d~<_SUi+Sn0u96@kGIfu4{p!Vn0s^5FBe)*C`ya}i`F~} zU-cpUg`I~lyqV0mn9LCW*kok;31$7!uLMWZpWHe`FZfopHkeVw?;fJze5cwMhnvDC z&Z0j_ZU}00kRz-aYFPXK5kpgfc6XpdKy6?0NAIqHmiQh#l8=vX@tF+wI#_1eU(l%0 zKI2s`pFEg*63gKaq{8bdrN0oM;R~zV>27GraO#a;<*sb7Lq0|0QyERsR{3;Cm)(TMUe`wpvEp{tcol zwR$h5>istfhhW^?4Nz7D;~9QEoTACk$3JA8p}%pPt_3GMK~8o7a+nT$K2{NxRX;eo=oZu2yb z<)`y6tsjlv2f+@w+N)Jc2jA&$EU#EPGxH7O$A7rKZvKv;IsT*Z)kTZ_)7oaN&n>;$ zAAvllngTquz8`mmFF_Y)*LC8TIZ81^JQvZ})V#|;9d5*lwS<6K*BEv8;C5SrfORj2 z8J~LF5sATz+Rq&Q?jw;+)S*T%>dbKC<}e;Ycrd0_P+ntrXW}yvdnCl=9!POaIOxGN zRBIA#{BV-Nue(=wIkuBV%exLR16D?>N&V{|aW+H0uaXFfd0>S9F1q z_Y_P`QV2(?;N#xF&tR#-X&w`b6|E5R)a;d=elEK@&V=8L2-4;*F2C-t$+UR(#L)4Y z7b24k{_dreJq4==T=#{9pY}S)L{GRn{UYjnFBoms?{I-q3nm`dy-Q$7ZXy%OB(FP} z_>5A|&fn@rY4GsmU3`5fe}z5gW8>2s#!>UH=Va?K=u(xYyP+g>iih6fAEleg)bHzlVsUn(fSOIL{JXV;Ci36TOet9F zDxQWjCYdx>*5y7*u!p@|cRntb4in2T>oT5@U2#!%qJA+OwL@Id-(B!yKt%fKu+LN; zPYX0TQQ}Bl*>~lB9-nwD6j&IFs+4uQoWQgM4uA^+i6ZcCO{*G$+E?j@Z%9str)$`A zaxmyR+<#Q(B4{iwsK|WNXjTjvp}OwdeF<=w&yyE9Ci8j7%^# zEQOAI!q5q`zeEmab-F^lV$A#XS;;ZSNMu6veCL?AHS|2&iS3Jd1xJFBH8UxhvDD-- zFJY5$3uRFKd8s?W0^%IU;t-Za~|Aaqs;P+1^SG zF|mcQ?p_G>_PYE--FlG3jeX(<55M6~N+uEDLQc04h{O|?Y(c&FEjwt8a)&@e$KN-G z8*P(7T+J2wvulCy4YhGk@iM;P{29pO;F}>@Saxb{C_DL% zX_uSaL7wp@thtJ3-m#_?OSZT#EiTQrZ)iHz2wLkN4?E2e z;RhbGymr9GQL&CU8`6JeHFx)gtH|Wu_HOQ)M-Qp=ca`ej8xPnF zmfuL_c*6W+X|tg2kPWs_+WQK`kvDwfl6>$#Z^{yRQtmoVO?FBrklRCP^zsfRLc$b- zi!nGmRNR|8@cp?Ror=-?e-?D`Bc*a!L&-SU&j{^2T;(3V^OF~&6<^1$p4)plvE^%t zXH4x*-AwVb^3I(a{XDxA45XZ_M2S!WdeCmGI;?W73l>?xwn3)wj1WVnUoi-s(4he4 zxiS$U+A#s(S0wZd0v zgVJ%!$BfB!4^XzgT63ksBwOOWQFF~v1z+vlG5h)PZz;}co0?XZYZ3LT zc%53E*Clm#(mC$R@sjvY$V&Iw$oml=kwaRf8m0VZW>i6#MBXh+Tx>o&W&!^YUwUAp zv568nV$>!9sZB%y^ZJ8zZcokG&Bh7+uEWCZ^iG^DSxt|88&M2#dNU5YYM{4Cu_A`2VyZ#D!}I67?5Vd~Zwur=daajR`RRia_9aPsH@uV9nqrA_7oZ#6 zs6Ja4 z8-`0(xLx-*1KA-_!+fdklXSke3{bK%O}OSd;Tg6M61Dh!H5^_FzoW8O1(go7qYOS8 z_X>xfg*yZ%6~P=?`V|DZmX>gW(MABR^9;ozb@W}-RCZn32tDPb*6ZI^(saP+s7JCI z;_kGa?A3~Fb|#Qf1N&)~00LV_V#M)8KHX8FSr9Yk1zM#kla*Wd4^?wX*Pw$!GkF^9 z3%90-SXHVuOD>Hcv-x)MQJH9|>I-;O;IE-AN>u-1pILidPNfDT4x&Wi!KW zD~j2r_&VDtj25!w_{2?O?7}=nQX=`pi(|}YFIeIcihz+e{W^Nz?~1Q(J#NHO}a*U&$OMAv=wZ?+(3o z3uQ*g>(>NMtY^D94>^GPsLiY=*R$J_V*>&PX?e)k$t7t!OINk3w>weSB%-TaI}cYS zf(v%Mr-ktJe*#`BUX*VgkqVT}M3?yr=H`YfDDQ`l#EzpTLs%5DPztWNaK!2!kWPO7 z{Ejq{Mnp=e=C*nO8T9=D0!J@=W%5N+C=qM@;t_nWM)@e%Eq#^ryCqfp^x#UG&xdTW z+Ea3DyBoAgnQ;5m^EO2pDKWOa_z*=CO=8&dD5+8?JyyyFF_}>xd3zPnma&?m1a~HA zuV@vb5h*Jp3GZV+@7RB0+bpc%5y*Y*vu8mMCEjS___J^({3_3ZC`dNS`jJ*6;jo!g9v zaI_#}6WrX$tY`es@#|+F8XC>|l)9_Vn&-y7e#hlg#6iF{=Xh|4t$+{fDjZcc^vLZc zkKmp;>5T`<+p>JXWMIHbxwHf8{=LlLk=%3eX6YEV54z7Dk&KrXv(k1jL#-LBUzZQ1 zKgkiR^V=kc=&pyd!Sx(@H)7Q*!=0XNzz>qLy{wURSgqruA{=i zitu!T$Qk5KIio+p=}V8YKRdKas0(C2t)Z*5edq5iOa6!~fbvH3W0C-ybh2yak%i8v zurWcuV^J90t8%%t7^E4H=O#&0r!GTHOTB3sl!CsP7m>VxkKru1 z4A?SG5@BLc@W2G5OLcgII9A(AOP`o#D6Oz6IV9;55@!PuTdZwgX$y=LAA}nQKVc7A zjn^CmY|%tMX^IUVXXndz7j5z?r(MG^+;GW@ktF4gw3<@BO)C<8M8F?8#Jfs9& z>Lz@;)<|+EP=VSdq{X(et(Z$Txij%?JA8~$dQT^NoiwzXe!62;zYTX?`^FCXDrX1H zzXb`1oPKWy^UNPd{sF>z6IyT|bIgp3 z)WLxfj#u-aqYT3CwPi-`WwzROx)>RSp)GF@-k5HuMRI#)yfD-06CkL^>pN%en04dv z0KvI!QP8Ji!=QUk8N;Z1ui`qXOmH2clQ67lR{Rrw@ha7}6i8X7fw5LB6W{}VVowVG zU92g3sg{2w?@1g$+#>?sj&izu2_R$?eVOe2%NQR`B*7pY3pdX|edr0tawQ^mw-nR@ z>){??2Rqhm^g_tf0&^9eJiJmX`rVJp?MedBHs*))GDrtOKEU|tIi?R|Q0n#=WWPRNXuY4-H0lTwnKmWpmv!B_Bve!WLrkjvfgaKoXnHALs z{IW}W+=}qBAK)i^t$2bQZ_JM*`0WLQjM)Y4_DcMnV#b|*!*Rq9%5zSCHm)u(sQQDK z+{k+f8QAf;1NHp`*67FsW30FaH|*Av@ zF|}w~008D*MC%1f>AmQPNo9)l^~cKZ9wLOJIG#2rajwEBF1P6)q_6FR?g1FCold_Zei0c0Yb3JcZ=+zei-eD$5$eG z@F3k8K~Y|zbrIg}Z48jzOjG_9v}yG+2^dg39ccWfYD7`Q-8&T}!b{y2`;;$$knX|T zgQky~e-QHiz1=YJ7J`oY;_P4$7b*+|K2rS5kM9X`CiLjaE)!iB_0)?uKCxaunHT+Yy+a-Yj^uf+yxlWZi35x)U3d99 ze+%#paG9$4ngP59Y0s3B1%ObpVROdNCVHN4GNar1a|BVyh1a=}=YbhyLk2AF6^&kX zlymO*ft04YqkT+dmN<%;G_r6v5rJJU8dQxX`Cznr3Zm~`7!}RYA zNo5Xp83+jMH%fNA=QdE+=sV;JC=mJ&R&`4!=fO#oHT#$`P+4~j+0P;7cgz4EIc1`! zy4;|oNpYgIBW7{vp36OJnQ3VdeZF4pU8*JYF^*`MC^Ni1+*rvg((z!v&%}-PjHc+a zu7lGFnBuxsVeR|9feO>~6~ZR^J#oG=JQ)AjN(Ehd-4St&H|0ixO&%qVEs;o>7u*vr z=fHyQ7m}FMyI*tE6R#|nsC)|PGIHQYpRuVJx0C~(q)j=?4$Av8)QlY-3Z(reXfD>7 zR!#t7F!~TsBjSY>37vUpLdoj`OZYN~rbkUVxZ5E3x6_F55nD)-brSLEM~=8tzj@Kof$lh}y`Z`{f1;c*($(XYZx-N-6ro*CC?9lS1`kHqWS1jb?d?QN_g`Dli9X7J zce%QsBYrX|+aUtRZqQ1x#HYV=g%X_PD8NL?iS>pvL%())O&8oU5tz$G%&(4_mBXw_;oMnM$8S%FlO%&eYx|Iua8xrY zmp!61`uCd-FSrtKnymjXkgvmP%w&Q|dfO0s1MA1; z!t`C&pFZ2#1Q#10q7!?PO^h+?X&R+kl*{24`d`~DC}x09daX==A!i#$y=F zA#;zGJwOonmL)n3cNir|toXuu`&?Oe+{q#AF93u* z3|=nhn#7)AP$p9#4bF6AE`G4;@<}dHt60tt|x55lB|Vl*PCI$Uyl>=^WeZd zWAV{ud!0%rd}1eJv#l79w5MrzNflc~z5AU?LiW5X_FCMJq_@S_naQRsNC>qZ{#ZO;H&7^jjR@8y-H9hJ@D4TGM+d|>VxLqlQR~`BHWMlG zKgliED^a}&>L*RDOF1ZB=*MTGhG-xvm93(8#N(5EdqhF(d;NUspl-x_xEYMVT7(>U zkl;*^XdSdK>+#Qg-+WE~DR}UAn&lirgiZYWwEWk=cT^WYmCK$^sC(*s!hD~%c{DFU zn!0H}#7*e?x_rI5H@swTm<`>1(4Kp~ci{W?uN3?qzSL8IKk6Faeg^lb3kTDVsXcZl zGV=zObQU!eGcaBb{z0%UtJU({JN;=(zf_}pGX_@DX>fDZ1x^?YO%Z$@Lzfy?U#D7F z*Z;hy6vTTP+}_dtNo-X^sY_+{%Tv0OeCO+*)@8Ni-OL$+p?GmKx7jv^OR3QBOEp4F zKa;9$%Gj8jO!ON3{>J%5efu4C=XfHNe-6o7&j>+^V05Gs9!htfJ;onV26(Un$xXLh z6#yoGM}WB`FVAbKVi+Mv{jBX?;EfWUKrXFcfM8X5l58n5aGxY2R)(LyR6xHBNIddq z!hz=JChT8E`%a3gx5nWb6$fD$HIk!o1UgZP#2gGb88p|!DC6+wTBDllU#*fobKhxx z7qC<$zd`-}8?H-0?wc9rU5rM+bwrJH2LojcdkHKfI3AIWx{*d|s*@RBVDpm6Gc!hX zhCP%<(F?0v)&F&vcp~5N z&NfmjaeEK1R>#h9W$P0*nAP&QY1o@Tonm)gbkUnX5_R0J7;6%)d)t^#pHFQq`ls(R zK4m}U{V{sv@aWQaod~G7O&QMS+^-z=2GIZ!#F1p-(l9@P~TuO2yT+;6x#Jtj(yG1fojerA7PDCUn=wM3BBRyQ9^3 zvE?A8rcISG9aq%hPe1W7U*D42jb$#`=qkYC=q!*gtNWI3Q|;%GRnG*oUKzO;O5jmE$M6`V7OmsRJ))OIi;6 z0aJd{q0xNPXVzW0n89kTjUjcbJVf@cSMt{Ii-%P+ScjCV145si{_-Ho3=CJ{I1 zqk1uZu<#JmUSCY;iKA!UaH?iEzo9sw9#3Rupm5Y}U1QwebXhRfULWzmE0oT-l<@e* z=c%ci;yl^2pslH4KE189VDKYy)OP}k(b=EL$9j+@zH#U~eB@Y*!!;S<(1jhjxtStJ)Y_B>8xtn{U&6=!a^Z_v=D zXJWhg84hPWSuEo%APy9@|}&H zo~lrDZ`B_F1`Wd6>u=WRM{jCw(2iM84UVRL_R~D&wd>9N8k19ifyI}?2m3242B{17 zcQhSQkVH;P0BBh5u6_9eIl6_xvgi<_V?8|Xn3|c%^%WkHTW_y@miGKb_sRQR5U}<- zesmx|mzMdeP0fvM>dJ~=*Tt7pQ$N|GtWdrAqHJ2rn^^a+ZS33;uZ|fjDa&BrTwnW+ zZy_ekM9^YcTa)W=J9mpFaGYG93){7B{zYc_>aSfL(^8h2wm$zt%t?FwWCb9C`RH&y zJ?Xbsxi{ylz~7g}-GsY_THB=edY(Y;zi?O!1MIX7J&+&E(?tBHwo9*j?I239O#)}G zEdNT~ysK^UF~P69fe%w%1YYcu&W>ufRyn=%t+-M-;alzfGxwDAu4eU>eQRs@f}h$D zD=UccIO2zRT=}l|4qfGAuysXS7du|)_vo~>-f?+FdqqDDZaB-c@Fap%%;vp58RkCX z;2NsKuGmI*0ME-nruZ6HL z-DIV$p8@Hg7X1njA$G5EZV=y_EQ=S3{r#kA@IFrMw^wd&oBBGZW4Onk%@5~QE5jkv zR~nw+&QUk{wM)blx5E@Z=9Xb$LmU@vU{mJ?wLP<6XUn$4J}~KNkem34xb_~SS!>Sy zu{?USGrDVp(@_0MhgLf8TCzChJvoi_=VHdl>iBb$cJme5^+|P-{20LQA;dAN5U6t& zpS%iIIK9TInkqi`T;k=L%{bQ|dd3d%qwEPc?iO0032IA6HvYzeZl0cVuY=hjU5AMs zdIH~sbO%ZPu6lFNk$gL%2W-*58NtQI1Tf>qp{iCyZU6nWHzQ$Qs8!-jzlVsj??`4= z?38h)%*;+PaxiRJK${bPMfTyh2!OcN+;^>1!^?$4ok zk`BCag(?c-zjB(cfBq1u{@ugL(2+3xlv;OM<&nucu?b~OXFNqLCaNH%|2;h?dI@J4 zKYepUVncO1NRnqGWt|!N0O@Y4fJMzJTvz%qva@J}{0Hki8(qdn?napINt~KYXgP;l z3En}LEPKLKJvv~WHx8AwX%cr@35r*&8-_z%eIho9^roDKbEr_8As zw-+16WedfpWK6UPWndohB!1mYfAbE#)l9jyxdVoN;?~)|eD3jn8?y;;CwI7@+=}b< zc8qYx_UK_aS;q$%sQd%NwGt;g==Xvf2iA!@v*bI$y&WY3-b^0C^f!<2S3t@wp&fAH zfH%5_FvAUBUq`{f8Pt_Dr2)SOcd7?spu1WGH*6g_Daq(V~Ts@B1V0*IXo2fgPVtcTe@ggrWpT|!cM}V3 zZyNFR`&uK#gBZr%HR4J1I+pQ92x`lba_hB^zk9%&*uz?`^C{3>EaHjix|aUNtGAZC%NOa-n^@C^wpVCz)=#QMURhw>Wnml0B9Kmi71a zF}ASeIuU!nL)Yr)W@Gc@XG2j&G-?gvOoKBWpHhvwWp7k+r5{YV!%?55(!Q$ zl6vEkoU{M+{cM+p2H{jQC zx~<0HK3d(b?nl(!+P1S&UB4FAC?MO=OS)HC~-XQUTyPy|;PX$3>;^bM>brN2+ z(oic)jo;99n8WGkwfQbJcFAFm!VbN(vB2WFW_u=XO24FJX|t00IK$O<_U-#huvU8c zh%4?i=icy%*MyebY^aIZpc;3f?&u($K3i65)DYDe9A;h#KCnqQ2v1Jp4~2k|uiiXfDZJ z!!i12yR3p~l>eR^VSTd;IZ;L~!_%vs@PRK5w-KAb!*Ksq#de%@Y20YcQ4hb-yT>!; zd-zM8>ig_YTzU@q6f}d^Ny-osRI$Z2B284kUU&4+aAiO2A`wKV5Bs>v3@g)~L@lda!)?~R~{KhUR^eyy+tXrfv z#&_FBjBAnNY;Z)=j=wf_&FK+pgl0}*|F^n6zJ%4wYx%g|@IMy?puOSj(z{K(8Y!Rf zGzgt{BIo0D`56=Sk;p$&8`b%RtH1v~q}rfqnz|YGcRWBqB^NAfFb}uP-Pk-KKGkjb zw!pH&^efwG$ZJ=n7;;T|x1gv_(jzj~*23;S^?T#yYs`OB6O7!wvG5je z3qlG)ih@WolA?|0r*V>e5~ty-#1Drbo%cSgsL@BF(~_b-)A?&pc2Mx`92{>M*H zT1w?yPqli=yJ<<~Jv05!5<_0AH?2xOIJ}}m1cai6-h+dw2CR6s``m1?@ZNHg$OqU$ zEn$`qDEePmQU7(IXsRbz{6o}1t~*uNy0IscX0dcb=QHhpYLR5CBHbuM29Ez8vMTov zIyVd-o12_LQlb<2hwgaQ7sOg@eDRa0$W?3^)j9m;>Y?2MsOZzu=JB&rziJ5ew3c9} zRz^tStv|9es+3IB(J;{HQg0k7o>)hxX+Z*WekPJ8`EYo+jN(#hX8X}tJ8Kl<2cRV7 z&Adf=Lj0faK{5@QQ3zpa(-Q_LK$Er`N?wJc_QUN^g=%Moua@f4pDW8W4=h!Qb(X7% zXe-2BM|#qiK#PL>5}M}TNR`HBpXo+kFJt-i&sA&+Vjo$CKcUe^%DQTG{8JIVbcYWq zq%Uvl2yCA^XHl<_RC+|Uwk3g6Ul)O?ya<9hP5k!P)f{>eL0v?&3FVWz^h|5I_F2jV zdBZ=IrYZCGz|K7E1Mqo%AA3i0!C>8L6Jt(6;M*xuq=cqz3uaW8Xnzy8f~HERS!k1r zin=+xq^rh-$0Ql%5=uWA=7Lz4Xu>htoe<3z_8^d^y~??FpiT!r%@;w@zgNhoRf4~_ z5C^NM%bPx4TeztZnj_8v!)(s)_3E4`UR;8c= z>Yr|Sb&pKI3|K{u5Cu4et&#Bv^UwXF!_0;7PqA%Z;$K#0!_>Y#2J9N>1H#nCqVCS5ao~ z;2i9Q4|oA1LV%HO{{_usICY$SIcMU;utNz%3TX*yUJ|-DYJL*A@e{y^m&hr-OR6EV z-}efG(KY}j&^zTCGHP1@uN#XPe=XwH>)*tK9~DWn#!C3WN$%z+!XtFVWS~M&*_K+~ zUst*etvcyb3tRXmi1ArICOMIrCz-~+?m-;ztEEWbrGT(tV&j)IjiEgpjG9)mes(lR z^v1|2-moInIUHZ7gGsr)=z4aDcoYK8eGFPVbw&rt?g=);K_uDu3C0MST|W^P;C-%e zC;liyit>#KyUP^BAW}Ww0CS0qng)_hSBKtupK!LV_?y|?%}w$gRbS=AlvDiZdaUv{ z>(HO(X9IKv#+4|55)rnZJei4z(Bcf=79TIY#Y1#kX8qR+!Q9)}s|v7aMi=Dg)HSfF_|-+FP~o&s5H`0lYY{|T zkNJ7nN|lyxx$cHUYZU&nU82w z2BH)K=o@P6(gf_Z%khtge^1D-Fkl8@6J_DzNes_s;`%h=z&y2Yh62&;E?Hp91n2 z{$#3tewz!x2qc!d7TvlC(>w4VXk#aK6;W3g+Vww>E9?NrdqedZdhYACIn^1C`uaPh zK|i^`lJ{R`fnNjmDYj;}xHt>}qX;j1H_Z7C`Vo;B=wFYZp{_L_wFi$xzpnG8{J4$R zj}Q|;g=3a?cBFA5Z9~Rg%El!M`KNWb6N6Pokf#x*c3KLHIIn6yTPS9OS#Bx6bFJx# zny)TM%Biu|674*K1(wS-(S#wa*Kf!EyfoMpIlaWBa4FxY2z4|HoqhqsqKw=tzzNmR zg;EsQ3Clw2Ycb51J=dZ}s5IyU=fCJ^DELtMcFi5xt^z2;whB1Zo^kKB&eeMqM2FX^X68jCY%C*LK!m~^@(obpm$q`;M^$c!0 zNP3g0yShvrua^CiFm=O#7K9~{?@Y-whv{2GW46iSZ~Y~b@-@*L3SpT3t`X*9zp3d% zv(DgnAgoy2aI78&&v2KWK=0X9^w-%FpN>Nj=0ZXwWj!;ml+Mk%147#3&91U1fXJhW z-tz*HvfNQLDk>Uk+ykT5rB^5cFy;xm2a(uCWI^H16;T2Ygh28@c$;M~5a6Lc$sbtI zO}>VJcqd0v(ldgp`FlF4yz17y!0SiO$93pkWJ^)=Y@h+u_Vuu4M(TlHaq{N;FL&iX z2sq7*r}$aIYoTG~wjKw3JdGaZwl=DM{}^SeCirB$LGxVU#C|+b(_9n#+R^K*B?a+5 zHBS}q4QfF-m$6N0#J6T5D-^ycsgH!bIu4?yLdes2-7i6RNAzx_p2PxXP<(tHpL|l< zMBYxE&^O9@6RD#&XN+v+7YjP{aIX&?PE~!$B&JzaEb#FDu0#~3$gI(o5Ey*;cE(}Y ze^tQK1|>n$P0(SL1-Ec=E$7bK#Nmzw zVW=m{H=@8aLn*|eq3e-_)jTGsY()>5?PI9$hi8sf{#}9#d^To9R7L}T#(8pJE3<1J z^xE8KxmWY9@M%v>9B<4##D7=~A0|`g+CR-<0pAb^j(H2Y zT^fu53jrtLzHN%AHm?=z`%nZz-d+e#XzX^!gj>nIDnyj+T=jqBgH+`EFH zmYv177^~9IZ%IoAv47FyldX}*)F0&T!~Q=3mken0>~t?;z1PYaDWbP{!H#n?XW9{} zF0TNB*ZiWRO2t{Jiu@nqje4cxm8pvE+-@=Ts#Ha3BU%}GwH=|S*9comq{}Q0)ufSORRnGhk2(yVg&_B4?xiI>t z%a-!ze!DFR9Vowj%QFu>5my+C!%E3kK-iQh$RY=6~C*!h--lGbiD8QA>Z{wzUPNr>xX>b54jEyOWagco{nM*LN(!Tc7$rd z-R%ga<8&ZAkv-S0M436yujEY*h;rp!4u~@JF$YA2?Q?)Q_M8;z;vm%LgHV?Qp}r7= zx-&4_h8$O8(&wJU@BseZbin=J zYrg@mz8QY&Mf}c-_&pHtxb9tqKlmZHdF|ZpMf}l=_>&iLhZpf@FXB!w;xAsrU%iOC zyokSf5r6k0{sDxib^qyAa<><8j~8*T-;w*gO8(_VJm5t<=tVpPgePV%#$H_g&^!!7 zii5nPYesw9=%V6d5cM#dHw*mN){d@&x;qZ)Zf|QF>KmCezpbO^1#O+}`S%Y2QaJeU z+)n)pc?QzlxJ{lYFF$t8Nvls+#ZeUJxj!JunX9;{&C8?PkY=^>FOgJ*CV8yf;?!&{ zUhlYpQMz92ha3ior3uuP?(INu{ZRiJ#U*wf*}Ry7N9~6UjyWH* ze-jbrKfM|3;8A#tUK1+IT@81EW1MnWAPkE>ED$(cI0z|5^Poa`6!GqCds0D!_(c6gU6cBhAd?1#v#|qwTd6@UcCX!+oxOda04ZDCcjgA)Yo=}r!{%NX= zBY-%ZF&B_bV@BP;5h!VX$$_Lf+9XXsCB3~$^Q9K@hacRuf&c8!V_Tf$VZ9&k1A0Er z+fWW(Jeeo!C#UF@yp0N`3H9lba-U9(leAEmtgqZ9=i*bJF7xFzHY7b!f75%>>2`Mw6WJ_i|m z(@8AU)jk(1TY|w-*VGALzBB1{6C3YO?P4)duz_7vlOI#IzYZ+E+Q-C!@emzCzlQSp z;9r~S#Me&57#5WSV=1~F7}9iHHKtfKOh|qQ)Q|SDm@sA=qDQ`;up6Uyc;{xzL;E#fBEU04Ue}Vk7RsO@szckH5XY}P%{|xY$ zmpE{Zz&x(!hJRU_m-0hX{(q%>yj^B+hotm|lz&G`q05xxXKf4Z9H)G&GYa?7@I5h-0L%$qmwb;_HCnR!SFaa0Nvhy{X; zkf4MRBp4GxA%?gF6D&>8KPpP1h)ETQ#DL2W4L?ZK;DSm_{9#zs^PQPHudf9PVUj!d z%{|{e_w47ste7eK2q8B9y5&on=u3$DWFkoGR-jv1Ge~9BbggDOf#<7!*5k#B)|O3c zR=2EdDqYj^R2{bQGb@kNTw3eS2`fo`iJ|G$)nI)qezWuV)!dYyXJ=ha4^l?vHkdw? z$8=#&Of}ndY)iNNp2eM$$GQ5ZoK?>=(w2E!JRi>ES$RXAs+I~=H=PB?z;AT)TiJB( zZ7_t7JYDk*+v-{CiQ*thwf3C5jV3XI2DU$9WRjZdCIeHo)U@t`CVJ7hN9lU6yJR&Pv&VnGR0?d7g0S zy|3ZrefSzl16TwH1)if@N!`*6-2;)ID&~FCoS((#P#VA+o>s4$Y98l4VmVP?IseBX zAf*(lm$veyfS%Q&l-|D&IL}!nrO07D-wpgkPQwSqK*C?T`c4~7ViXOwOUxVir0T1n zie)r!?DokerR!+F0eMR*a^(-+^oG_>`8TC^Y;=wg{u}Qp6!T{M6cUI%F6}1Hz)JboV^) zqKsl#OrGz~(<>zlsgH#le!n&f&7c*(~$g~!d)?Ujz`k;DAW}jFE6vw=r;3BNtl>*h`Nt+xixE432ha8CzQvXm-w<1!51;*6-Z# zDNV6uI@KvGi@r1p#bfk-wQcq;tG|Pze8WFrv&cVgXP%)Y4_NvC3Cux@PV%e_Q z1vrcux~a>@*AZ|G4Vcf=&4liDffh6L@3y`Nq2(>Io+VI4DWQ5F-wXfeqQ$l}SND2wZ$7Gxqb>$8Sf9O80STKp9~iUPWY1A>O}O-2`zzW@JsVjL$PKo!91&^&OIuR*-MY@kx{;BILHP6& zuhEa89K+YRUE+AfI_IlF`S=%Rp77h2c$16mrP3p%KG zRKFeoe){qWt1o=;9%Pp#GPj38U_OH{RF4jOdaANA%{i zm1Ez32%wZBx)Q~O9t!jqOX*!Hew+bW(8A&02&vTbO2>}BQ0YZ+KGlmEfjExStKjf+ zm+>X5b1tF+pW;RLLFB$+FzchcwR2dJ_6`+|uu22#+f@9-W?-cM`3~ags;kupi}YOa z8ps@t73F8)L8%JgCzA;<;AfE7K=Z1S_8qtcNgt}Nt2Xl8lR;>ugSkc5?wqr{ewB@;)looonIbX<^ATx@vLP=ah<4;$1a$PXR(lws(^npPkCp zeRhXt5F!lW@w{vI)6IoF@A8zzT&7R|wtofo%l>ntGZdFocJtnulK{;tx|(hIs$oUB z4zky?AG&m|JNJWsdw#gzP>x i;u?W1UC_$CTuDYY{mB+Z)&phaS59ho;(q`D0RR87ccbzE diff --git a/cpld/db/GR8RAM.cmp.idb b/cpld/db/GR8RAM.cmp.idb index 267102cbda765dd8f9b30a22dd10396427c9c321..0bcd05ae187fa2b6f0983ef05c027929b7d7ec73 100755 GIT binary patch delta 17483 zcmafabyOTn^yW+Q2m}H{Ah--95Zv7%1h-(pAwX~)+#7e80KqM|LvZ&2g1cLA*8zq> zm-pUp_v}A=wojkx?^fTb?ykOF_tsZ;PQ#vEMLhei3S^Kz|LdPE`_sRNzbO6_|GJX+ zPj-8Dn2w^UKDf}gifP0!7aD|8=Nr^m*{lO4>w_J49Y1ZhOD?D%xWk#=;fGcsZij&* zEuGU{z6{S%A%t7I)+oo_ht*_cg;upGQ!omL#-PHUi4-nMd0o`?B)DTk`7iF86ks`I zO~ZlX=ddXJOj>QP7W1=l_$Me7%%tRR{;)ZIN0*IMm4p|>KG2TWk9K+EFsn2`yVT#3 zUE-0w)%T%2_v?&pH37T~`h8;WsZph|evne}X-+6P6mAk*xW;d?^}mk|p#sSsCoVBG z>Npf^y7V)}rc&`pm&Y;E9z`+T^WDVj zw~f`KZkE20bxAm+npdt0$knQfur^@n7miJ)mXgMbBW2c-cnxT#t&+0~emZZhY5w9+ zy9w#fiTxl0^uLI`r9wy_$1YJesykF~O7>sI27MCPFflu=96A#3wp|8Xod zceyWCi7HdJ?gzhM+}cho3zdOvT`cnBx9j+Ch2N}_S5#*f)g8j*4d~r99g@G1Xfb~b z2IAM&*q6kD1!Mu2*h5A>iR}aWZp62Skk^PGht%^r=P5(8O2In=r=mpURD4>!?FlU` zeDe9AL{dt3y?kiG8Xvo$47&=uG&B+UK~6EIg>tfB@YI?pgO*RKcQihYhKP33Ooln( zOpYp1R`L5D!#B6QO$8zmRfqIQFiSUyiCf$z6Oo9xLo*P$O3A0#dy=pw6kBg{=hPp- z?o;|Y_1pEYO)?@8h4a8j@cZrplk3P$Jt7hH^Xy14Q+J}tb@3)G5g;BcHE@d7AL$^7 z+J8aL{^0kTC1DR_!mD{6^GzW6K{Gg0S~%Y81JOG^r3Fyj8c(bp^{QO3oTRi%+~uc% zQ=0zK*ajfWcm@6g$;h6jxm5V(gm#ZA`O~@IP@-2*EtN5+a%-&2K1&%|B596Y7y_lpHyP~FO1#T_zR&Ot9r#8O%x&E7SK>&yd20H>d85ygcgtl;EL(Krx;+Lm14ToFB8;-hc@N6UOQ-c<%mL%7>(dzXZ0Ou}4 zp%5;f8AnY=<0nwB%v;)6nbDm>a=`b0KpM+uS51;mXf5`fI*!$|K#1LQh|+Ti%X7%+ zGjS@d8Vtjw7otPs6x-(5jvS$l*svwk^@W!Z8{_n_E5Fbe}|LsdT zV2o_RIXRpyY)L-sEzut@;=(Ry{Ap3wiC)2oK~s~4k3~aI0@^2G>?aEfVA2Iq^lovi zoyw!H&+jz84sc6wr|hQ4P}d(|4K)L>v8^QMe3!A`^xk8^I8Z~mwi$>(>+{b)c@;*Y zdPcZmpZ$8~>4x=^11-e;m5*+LTwr(0-{lD~FF)383~V?`DDwG~9*H4|k6^XG+ZU9x zQuOs?+%)DeGm*eVY5jy2`mi%!CqW%wLV~Y>R2h`my&QjsnxSFOqJ)~g#I}+Fu&rA2 z{CB~j4}N{rP}vl!duq0mci?S3Y&dhMI{~Z(!)OJC?ZlsidRFeE6ii$So8N!z4NMS& z`3=_8vf*AxTc{_)D>7_J5iwmQiW^VOCtFemhu(-zp+0Ho(!UZ}Vwaf;VO@dvrc<5S zzA#)8=_HR8qK2%z5s`O@r2>wtL;EiOTE7cmpguc{W-Uj>o_!X2hGpax;AV<)CXfCR z#Hx$4@!T--1@?_^QoZPq2E{f5HXJV$i4OBZF=~6xX5cqQFm>5;Pi7cdV&XqW6gct+ zXRRYI_O!dUvKr^$O<)!anzz_4#zzC}CjW+L(cPEpP0t|9z74h=B(cEQtF?mIF$}{} zV^LiLigFh0SpqaNF1M7m8^s?Mh5 zM~F(%`z#a=`se0X#L|?oH^49f%zw&jRJI4PNZ|j1kqR|0V0T#2Pi7L$x)9Yy2_DHi zwtw^kVj{Z*e3{>2?aVHA%0@bGz5t}872mYbobO`6U*EYQCiSjQFaUgI@=wIak7Lp_ z#|LN|ZBe{)A>y}{+f(V}SP5s*UBVNrZDK6~y9^V*uu$xzjr77!75a7je>v-78*9?s z*+)>Hl^gs14uR;&)_`GYVesN*jy&+z8&|ans;~p_!XB1~g*JLKMMir_D8Q@BA`$3) zT5JCs)rjn`b$8;Y({Qf4G1tOV)K?>skijxX#Tl*u7<)ale`%$R3m zc!A|Pftb6=DmA!)Q91r&pPsHwC_-^T!f-x}|G=J28Y;Yxwej)+g?&V46U?&Cs^psl z79GNf87Cb;3HxSLP6%nxMV#z$Fa4yu8~nxjcy&>C1CB3PdnY+#@M$N~B;2tr zK8V%mJ+-YF65z(et-6^?eYf?A58HceSvTgT$PMbc(4h63kOw?mWGCOdNat4#%KuoM zGPy2gqt4S4yUzUHU^(B&z|1~s(xG4f(}#SiipGo$;R#xmm;ylA!%gBo*ARWt3%i)m zRJuEgZ8K~*doS;KzyVdg9QhAHN_XWacX%G~8}v>j^p4^QKwEY}oD*bDzCdAQK>45q zX|K$yaWJ+!7Fzj_PhjT|kF^kTiVIDqbyk*Kye+W{b=Th@k&J55-O4X8u@Lm9W&{gC z&Ied50}j zriOOA7gH^~dI#DMTBPY}=zHp5be|TMCF;9|Ra4w8(cGgqOYg(#cCmIaeQG>2PjkHY zB>1qM_py+F`tI>BaIql2BKV!ma3H5F_{ZN*Hvr*G@wpck2%zX9 z7ZAKH(NZF(5U*3aIEWjOu*1Dh1Ag zryiY2d$K+KXR~tT2{2C#m~loseS;P(KvSwa_}Dg-_xh~uy6F@|;A5XlHKX-A;5<~^ z`{(gC#v@Zqv==$nmoDg)Z&UZ}PV+=t;_ncT;|Tlj_HOmJYB&dKFriqBH?f+a_v8oj zdP+SP#0!= z2#EU0zyA;uTmkvHvAo)TitEAl>!TE`=)WkXa4$vWbdCA&K zR3pi&i@k$^H984K0bzJjER=2G8D2w#*S>>nP_x!()_!J<(7vfd`^T}(5^0E%)>E<7Q${wTz-nKwF9m?8&Q?g)YGQdS&~{>A?meVo&gk3Ev9SKkA>4Vz(ii;GDG{)W_fDl?y40L8KQ!GTa{KC-r zjk<4`+YP<5cN>l5jr6?0`$Wb+e!|$bCIk*ecu}mt{oFM|jf^eLza$SP(8! ztW+B+M6!mmW^9S_PQ92(G;BeLH~86y1%XFhf5S@%#lI@alx_iUdWkV$Lc}oLmqyIb zAPRmB`J$2TzcPM7+b{`iUP3@95YP+A=wED70ZHOwLlG3)LgxNRvNXo9TDC4t4u4I! z??TE)Yy@i{q~fp25#Rg<=1#s?&760UwWOh3uS2<>^XlQu@^&?dbmQMV6WM=;5ylzG zl%~NO3GyyKe`^S&5tzRg_^SLyLzGuHE@^P+d6Hc4s}RpynKk@ZuG+jy%_Hdbq7Cq_ zHGPP3Lsl0G+78VU-dZr)tiqEOi**^6O9{@YS4HGjd^77;j3uKMK*Pev+7eyHeCsn8 zO!nFJMIhMX7sh^h`{{ekOW&pgnmcLn)}O~s7$Os6SlCzq#2kcXM&lfC6%+(d2n6q- z5pVF7BvIY*YG`)b;BK8^Nz-sj5vBxSP!mXxB$Cqa(S&lL4HymwIBc0ThWpcom=XOb zp$~PKM!El6Dx6zXYU%wr>eBm8et#hs+4mF+m{{?FU(j+YC~nZR|F0rR=O+kFNNo4njZBwt z*Dp|)FxoA}VZeW2PRfY zyGmn}xqM5&*|Q-w$B zH8m$#jpr1UFl9RxFqiQ+eYrSVC@HXtx@r?z4{u`FF4NDg(eNt{-hAgfvyP2!fn_+3 zp`!_%r5nk1*)_z5co5=sNM6{Nny>M8ftP&_E4paG%jD;hqoC&TBT9g@RXA5-6r?lW zT?h_Y5uSpIgK~V9LD`I0LReRjT|*{cS$yW=t?}X>Nk(MtC!Oc-ei0GZkfANT9l1aF zJAyTmNosv+woaxWn0$oyL;nl%l%dZ!TSqpedLelvd{8- zRsQTK|5frg1bTaW!Yl&)RGjx;Eja71nH9F}16t2;Jce)}Vp^}cTyYuSd2!nLH#njT zCf7zB|J!g-k)F6mGERu>fx}Ud9QrV&EWarnoGKl;lc%}9jdNLWct@2CXl4>R-0h9r zFF~REbZ1JLr;plxRO6P>4+9t+n9)345`*Ujt!ENpr@&-yX8DCH`kyVzHfrC*!0U2f zMWF|)t{(t-2tmyCOFzY-c(;CpvnEtZYq?@aEUi3j(MSRtDR6FiNqfGdbhj5cGDnsdtsNfyXU5b*6#E5 z^?|V*R8hjBVtQ5!Cw4J)U@j>+ZT3rkL-nFtrN9M9#~gGgM)P}CItg~FqZMx>lGW_X&YX5 zmx%x`WM?T{sD5@Yayt99*obCDPtS|JAC&mV9W6L8NABzw3HbZhnV&o6 z)(CPm7R#^V;M)S%&LQWS<<^3U&TM%|w`fNphEJ}UrTaC9>MA@Q+r^e2eb&I}$KbLs3uSZsCP*QTT~d7{DjD*(s_O|K zY3qpD8=9yQNUH*$;A`Po7HurPgbG8iYP0IHHdz@{V%H!S)@eseV|lWa%zWa76yj}R zYhCZgKqe(8&r<_)wX)pVfwDPY6M=m_K_lHA6!&>14B?(PiamKZCf3U>6WsF~cexjO z4bP{}^!*yGjDY@dbg!Btba4K0KcLUhaNKj(PSnmESA8qE=do;xu=%E9ygpZ9Z6maG zHNNZ35zSOOn{03NEEBOjYQB{r=>#yv@E>n>BS|iqA+}qZHfhcSOHJ320&wUqvb~Qf z07`82n#r_S=tCi_SD45sa{MHoPnXwk+-<#e&vQ?NxIJk!!BVV!`tL0bJp--vPE>^E ze0QqEG_BA4MGp5P9kM*v?lA7Q6MfPBzMw{~R-Eos2*sgJ?!?jNDxlqK2#7{oFeBaF zQm{&kQ=OoVXw?0>xu!sd8ehm|oW#AF=4L;mN#*UMis(y@^p5Dw`GKfN8tbjvfhNwK zsDB!aql?cRw^CJaX1Zm7I0nq22<{fSWWwpvDs%T>Lc<0XDRO%l&B-U9goRI?!HIJs z+}u!y@xymE``wJx&AH8Fz=nQNqSk$3L1hbEzdM+6gUKc&k%LnBTbg74W^1YQyGY~d z-MQZ8@(PP-*t*lkMI&Jo%B7%c@kF_%V75BAeA=esi&Dl?nD_CKYXO3;3|==Ke$9}k ztRAU&Uzdu_jY(p8LBy#Ee_smrF*#1mRx{E#N7pzauQKakHO^86^yvEi0zU|WG;PHg zGrh}%t!#=Kt^*()q3ddgibHko!;Bi|A5pDRivvNdijhLncX<+Ngf2~36-H_7V+;9i zJBN{PkVE-8$0NeFeo9=6%jHAtiX+p)l0;LFmym`oV&il9z5bK%n3>rDDI)Ta^MFbo zEUxICUDXxJ*wi*4?AIRmyDi#{j-7k?rYN!HV4`$mr9aWeE9Q_Bj#iEjEvaKu^~#`) zph!>i)zgv?;uX0~Rp)>p1=WMGmOm2QI!#eP5Q>Hqc2l02 zQV(H?`nI*=lVeEyE2z8d601v*a%`7U+B%-TixbTQMq4ak^9)3WKO!J_V`USq{}`rC z5~YtvKVF@{f*kl=;L_I|B)Oc{5-nW8@=<`fW^CV0Ge2cCiQnbor!@IWvg59u_3jlh zmWT;y=98o9L)0&oHuS2U^zAzp8(bj${sB$NHG2vrQrt*==B&HU9Eyt+!q-3-3r3)SXKjY>(Xw$wr=ZXWk zC*@_%HlC^Om)dbzWT*}ndcNpQl-9M3v#MuBgx!M3iR`mo^gIXc2JRW&T;n;}u9b%x z2z9e$$d&eey<68K`_I`GsCJBAPjV$Egf`YUrcJN)WrdVw**#uxpri?CkXpFIfh~dO zz>3$R4g9)((2I043>(_X|la`7*37rp*^^&X&{)7UR3uVUbYIZ(pe+67OqUrM-$Ks5<2y~D)%WgW@u+EsWt_f z90o<@gL^BPl-(Z=(C?6EHx--!QiP|stW3)&DZ`?Y=wS+KHD1YVSIhP#PaBI~bu%jK zAzW2?R-QbV`k5yqQc0s0?0VLkP+u?g+{PN8YnD?LilRoL$ZMwG4n3KnlQWZYnXz_? zX#Z5w)i1(?(v~{1#2gOA93Bz!Kc%KTv#BeKqRYKBW3p2#r;Un0r>+95-1p1eeO5r) z4Z2NlYfjcMUP5@OoU+M#RxB(Eym7}X`G-(QsIm-m$O&H}=M>bXQ)Q2+#inG&MI6#9 z9)dZ1yzd;(kP0Ltl^cL7hc$mvBx7F0911~;iBIzTIhE`wj1&i!?}*o@xwS!|8p52G zaLwht;To4NmvE@Fg?#v^E>i7{$K-TZbR6a?)xy=C^xrf|i@H{;DjaRH@7gXM+Oad) z*BHLcY>Z{|X@f-)y?U`8iqJ@xoZ8BSH=Eqb|Jt%^^&N;L02F|Uy(}ghlNH8Iw|%Br z*5f}+`2(D0;$|!3ga&$VR&TH?&KB$BB;`c7e|Ajs)$oJl9i!7kt>l(i{ zn`TG9yK}%pZq-3nK@T(Fa_Y-{{Af&Wv;OZf$A2$xd}*Crm>%_lrQID{C=hJ2El3eY zmzT=0+_bJonp6W5N%JEvN9=m+2%N|7#x2*e-Su-#$0)~6Oc>M5s%n!>n{hqc;zg-e z4>>lrsXUQ`V$L3V8|&~YbI1-3h+{VNF&r$EY$U+raVx3{o1)EZXq!tYBReP{L+aK$ zLXH;kWE9(24e~!L?i|_jSPzkuEeG6EJ>;k7wJ+$T36mZ8Ceqab<9yNGS-mxJnN)q09v%R?TU zkJK6NP&pdmw|G6yH1aj8t_MMlx-)NGS}iYY_fiTe+8W-ssp6KE`H3Gf&A*f)qGxuh zQggHapbgx@>d|ml*#xdwr1ZUEuAFWBcP^fuf z5j|j$FmXGni*=9mgJ2@Y%a?1aBnHtvI|fNQZh4{Oh%D9mxtq_z2l|VbfAk;;WsfeX z(Wwv{*EED$i=k_sV^uCs2+^rJ{{dwUyU4-!U_ix%wXaY~1mw{Mld^gn1Xzb4wY8)S z%se+T~u-&?6mCmfLMyXJ&l++3`qA=CIA| zz(z(2ecy$X{)TB(Kk>+m%qPr9G=yf&iXL_T8(L` zpE-A13ckm0*Wl32;_>rv?mRj{*t6?3|C?FC9w~S%0p!r>9rHwW8lOav%7x1}aGAl; zz!vxDyyragwS$3U(^5`t!=E_6ZJET=S;F;Og`D2S-co!@fYU-m4Zh|fe^=KG%p6Cu z2w9Z4;^}bL_q^IwrS@!|6UZ5=PiZ%sC1Bf0UW!|>LUhcqyi`dXk2|E_#)K|-_j@qy zOR8)-OwAx!DgrHLk;e%YHpK5nXM%NVn^5cUEBs-Qyl<}-k;S)yiStF0#wkG8!tD8) zS0;D{63xSoKbtDC+EVGj(q({W&F&> zr>ZyNzI+s(a+}{mwJ(u*u|t{Ldzh24nj$qyvI#K4*NucqA}5`M1p0taeKbkDBOSu{ zqo1qq_XKQ)=2cUWy^aDYo`*P@n0$q`?mlT+KXPO!ptrvlkVqqe{0hfXSbOSaRk2-X?psE zN%O#^<=lAFu&ILG^&)8(!CJfPuJawYH$0oI&OL8-ktU^8piT8qI6uQC`ZhOx*tBVd zsm80*m|*_0TxYmtfs3dUdL4`qcUx*I(-yS!1-Jal5C76z@<8a)Y$8@Rq`<*AmUJ-1 zA*p+DQE{R{TY(k(kr4Q2{`KgD@(Uq%h+5s+cWdi;DPr(w8{AGQ)>4VA@Op8hqu0Hd zV|Se24UnmX{2Ok3M!zFPPZ}YN6h>jp&&;VUj{l!X#JBbeB-FaAVi+ee2NFEmMT(W~ zz+buQPyO9)^BAnXhruTFKVwrZcrGngjV)zRQA&R>z!$Ob zRWJ5!^d0#JiCz8vjin}84q|Onrd6$^xbn2f&F38D=hatWKIil8slsXHhtEessl7Bg zdYeOPeBKjFXZt@Flv zOaW=Hxz=pwYH+o89~J1DCKK3Hc)Zgl~;UtA-P@Vs* z&DxoxOD3UShKHSO)kj->bHNyvj#oIT{KIfikOT}wJZ3JuFH0s#emBqH zgEf5Ih)_4+us~ygg%On?*eD^YsS~a#;m+P#e z#>X1Jc=49Ip5OG|`t1VlN;|I}-K9T?P`J1fkK5UwsMP-Dw1D^LLtFbNr9e>TukiOl zOEo@{S)Ji-YT3X5$i9$>>^p zY-6s_{H@ek8Q;tS$4SU~4Uaw}@hY5lwUz*!ieMCbKcKtW6?8T_7)l-`Ej{e|W%}-_ zvc%HGz?67|?iecnPsns7+JCpTW z*RG3-RhbL=NPp3jxxwY%>{8XAi_vJ|oq9hNbB^*hpA;foZ8u-G^j=x} zhE;$ZlL^R(B~NG>#h`JYynXpWU%)B%3+N=J$BaY!5&3JdLrD-G`aY`6HALyQQ8|l} zr@g-(*Y2#(aH~ic(eJgXC+IQrHO!RZybG6Er<{((y)`aXKs|e+@>aF2-(pv^*jaa5 zOV83-duSC}mWeru9ts{UHY+Hw+Zby_V?iIeSOT~!evsKG*Qc>ah)x)jd&c&M$W`m{ctlWIP&KF;_i)7*q@@ ztO4^llT{BSj5IKs!GVN@&Rfuz5B8Z~`G}6y_db9H8safrqBkMlr5j5$3X+z%ZT^YT zj32w{#95W(U^;>nv7UM>t0|jr2ecoQP@a^gSp4R=IaayKj>gJN2oRoCV z)_r&AADE}e*rLN(qxl|B4V4qU`&$`Fa)5^z5-fmQQ&sEWdOKY4%b=b7pcD1?7{nCA zN6>jNsJrLRq<$gh@4K$|$3=hH@_cvi$#tmVTYXdPUJme@ z`7}lf3uA2Dtqc3G*s7G3nS4C3;2v+A>dR0WmbvG1y~lWa@$JJXNtKpdDyi*hu8_QIqL4%RKK{a1;k^&S={@F% zrg*j1(1rdax--phr+F8l!wg4V_z6RDyyWs(4k;4!%j#_9tk{6qp^4)n- z;iMH*DT>-X=cv~BmMVUhn5BS}_mZ}Ky&HZRbJ`-eloZ?H%#c>%(!drqls?Yph!K36 zM0`}(otPN;b44#7QXMq|fjW|S`A`K3F@D6gY}rK7yV3sI%_Aek7BZ7NS1(}6T|BN1 z)gw~*d=Q1Tp1}pBN5~lqK!1%hjeiXnS|^garq1g+*qL9L%K9Rwy$rZflA)vT#EOT^ zS+{B~`wPN$hL%*Uf9kMUF5aN)SatQO=B#M&$@~S6q+G0JCzL{QY0Lj~i3M-2rT%T0 zCldj+)>0G5{7y5!zOH6?U@f=wrt$S9PsL_3AN_ZWGp^%1&z7Bz`I=PYAw-Bt9AjKRe(S<}-cr+2Sj(b=6E zGkb9O;~pJJbBySX^?uvWh~HK8y_{4(HnDa1R2Nud68XM(0&AApjL*&Jg^ivUlQT6l z*Vng~3tJyI4kB*5%+5YjKusS&4F~r&f<3kLOialc=e#`YC~FEpqjO81c62$}g879N zPS+mCm$eSrbc@-T1;KVrkuKz;+7@kXBL#l@`kV#PP{7HCq-=7=jZNCFKubBU@ax0YWLdkV&)x`c{n9?wvRP?KwK6@zB^A&$7o)+bz}fjNGAmW?>ii14e-|5} zHy~YM*1q%H>U?}Iwvp~J1NE-1;Dr#WeUeqE+a}#)qd=5(U0gksWPmQhZ89M*)uOM# zA`z?B)8(77o}N+TA*U4 zBhAy=WWyKxAx>^qC(g1uinh;fqU48Pmg|xm^NQkz)igU^l-a57+x=lSOHjQN>q$x- zGV89IaVmq04gf4aIPNC=Hi!sRz8*l+?E3M9R~ZS0m#<@XT3dT;a80JIJ2Q{wamw9n zIJj_(8$7$JN%^SoTFZnJaP9UKI6QK5@?CNH&(DWAYFs)1riKl><7aijbkMl3yo5kD z-D$U{jr@90HJf&)$j<$RMdRMHqBeky2DgyCSicdnA^pV=T|1CV7xrsRkBIbt-9;FcI!pD1#6Fw z@Cn6}U!E5rX#TNh4YbkzA;g+DH97$$;W5jh$uLtjaFr%AL`XV%T zMRRy3kq=&jk72$t(RJ~glDVe@mXOGsMFg8?neAwp*oZG^(q!n@I8?`-2jRuD2WNonUAAyhjuCL&CI$8|7c^L+h)6ow?$%e*zX) z>8gIX{_V2Y%Y}!~-Oaj?gdqJ_m=PR2n_K007M4iUAI$|pG$4`RVyOC%vhQ1hLQ3ib z-?=e`8g@QC!!kUyXQP=%H1<_Y;%8r5C3)H@NfZ!pZDWGiCyCEmNoY>8$4kQ3M&awY z28wH6Bbv`?q1z`nQ#Vlnj;`6VnU+Rh+yX8oxy>{b-Gzq<1-GssM=4+BG`Vu@Cw2rQNT#9iHt zr=fx$kY?w=EnX@PVHKFmcN=`DcLRJP5U53R~c&NVP{Gin?dlBn1cG?lUR)=e26_YZOdM)zNX?y%t=q#<-vb4d*OD3~~1h0U7%6u|E*jIl#FR30lPd|l zV`#dKEb{Yh&y%~>mu$w+yU6xl_osg>WhG2LOy_y-ANg+AhvybOv7ZW0{ z+?E4Yd7MfP8|{Og%gq5u{gFQZ$%Dl(PirgGuV`ek`+`!B>t|5csugM{+d8Ws=P8*}2T+w4);K$Fynj>%TP^h&-7Avg z^nPH~d0F0UWS@*kW)X7Cv;LS`rjq(Mk*NnzvqJJQlR^DwTm5o>&1w{){!nrdd{uR6 zCz}e>7D(W0KJBlaaUmT^Sjw?EW)<&qzI8WUW`A3^&bm5!@LR@~Ijc&CWDFIj zse)N+gsMY9l%Rt#l^rf(J!4A*5(}{~9}mU0(MwXhdo>^6=<>t;w+Hf z-N=$4>U7IRIE&hd0?{nj%&}`<{*hsU#_^QMpSNc6X4~Q<4yXOfV(TOhM06%&Xf)7yOZIjbhZn0a z&Ti9e!h%{Cp#g8z##!(Y2OCcpAM%;!Pu3j9o zir^r+Ycxu2{6v6I5k!Hy*8o@S{Rvlr3K4--Q)i~YZq>5+iRpIV@qxQm05%hJV_^0! zKPFC-AiCDsTsJPRwmIrX*Q9;@h}Jz?Dgm#S;4CQRlFsUmldb03-i*U+;B5!ucsol| z;fQXz5>Bc+C08A`%Mc45`+V+1# z!M41oSnYyIy)9fdQ}IR?8}Fa2As=*>%gR$S%^UL19UcCxwqui79zYlqM zKKWSvK}G$*@GkRcNolTfM~5cKr^~eD1H(Ubcm8t}+#}i7xU0=(kIFZQ;@Lq7rN=L1 z9&L7c5-cURkF<~AyaShPIAXwyMF9$gq}$c%Jt+_m-09ySCfW!KK?u0Gt~i*2dyzreA1$>nZXWPRRvF-}*Q-_s|FkIVvDkif zV1oTvtM8$Gac$S*Z38!Z^~iF$Wj3x`t4eozLjTjC{;`})UH@OU`(KLwe+c&fVZRZ0 z*K4iZkC`Ir7v1mfPoMtv+!KDFHJo<+`2Ps{)bc_2air#^`{>lo)G4H z#s8!7kLc)kV_>@vG!{K>s}wa5M8MbYdqsOSKl)GCw2K6sqP zOZ>;yXR3+XNUM;RtZ+fyQX_80DUvH2>b$2yZ?U^(0@)Lx=sR5=bp3L7f1?i-iix3WB5}0&f z;_gWaHN&nF8%I>jU!Efw3|ky^u`E~C=|r4lC2La`RQp<7F4(A;TA{psFD4LMIyunK zT{kTA)W%H1#{tD0T>ab#(AG~|z9N;ilC&9)0)<|JMHjewW(_Bs9x9XV=gdk$FL^ud z6(^1zUBFUFD-8@e$EqwcOHNM_`sU%rGO#UmeW%E>fX5$Hzd{J5u(`i{$#Q5scBhn| zKYji1Nmo{r*FO`%20!{Bmi9!~i)a%jc5H8;e>baYxR^4UeplNWwd8FaV==%L++Mhd zd0Yj&RAQQp>5wn;P~IalRs0hhlpk2PQ7&Vu3Y>0~=-PSdmoeWJiWE9Wa+i~FXJ(MK z{YJw3vWQiO?%2K93qoh(JFE(tthTl_u8loutMekrOfqUe1bgfB48RSPDQw*|__?c+ zz98l$Kn;sWsCDp3fglF5RQp6L+rh#-yK;}wgzZ*A4(B4LIB=e#xJ9Pmdc7TomAmO7 zfcDJ*aly%S`i$j3QzgR6-Lb5v8%J_Egt|aRu6pe2$vkO7g9!CrZB)8^zj7^%BR5Iz*FIH+eHP{1(VXrFn&EY!P2LXiKEI&1$aqfyX% z*oA2|7RMDi!DOZ5pxD|gY+-t8Iv{ld5a8zp^FZxO*$CW_?+lpi;_y{#A98t@BW4O- z?O3((nGfJ%xGLCCwCf{kYnW2YW)F9wV+40)NIkYwV|vpye@`~gOjt*1lbBCZ-EM+X z+T(8RGv4rij|QZRw;&*S*|JQk9F!vQnu{^v%=xP2h2CDnM0e*6w@ton-pot zCHO52T7EU;WY?~sM3phB8BGj$^zDtHEf)ya=5gu18DSuER2}ZnteMwaV(2=l)Dx;s zuzl@7QGl6D+XhF$dM#W&-8iut`V1jaCu|R znB8ZO=iEZx5s3ZNd3y^C*CZW{N#pHO>HRrj?wq#t#H#V0Uy+-8ZqU`>mImK&{oIw4 z%8e$mNwW|nSL>NhaeRa$kkv1dE0Tu0THP#XoqCm*y%N*z{ZVqoGd^|P|L$aN$II?Y znj3qb4zjC1T#o-;WZ&BLJW07EDodwwWhvWGNY?#~+;gag}9rr2s zg>@4*3%(Az*Li!u$oKqGt7v}LzDvs6Dr3HCIZRpjMdb|sg~G|-BgsBrtq&eZ!bFtG z+fiE$?lGn~S3KM}lA@yimmxzRLnrb=;vYhnifQ?9nfm=l?vy9i=YS(3+WWA>~E zSHRNv>wL43+DVs7ss{9ajeF3TSIR()+GoDzAtTeA)La z%(K^GQ`YLY_wNbtjF2SC)&SZ2b)inAd1#f;a*FOtbQifS<%EKp?kV zGP#_wwxhQfSeQ3g&E-+wds=%JuNP?pW&1vB>Ui)v_S`+<=|U<1Aa0ih8H@7 zR%$73G)~tAS{Zro_XIiT$d^YPNLY)YF)RpzZu-nE?BA6seL zWh9BIf<#2M$fPxw1ENZgrv0jYa59dNldKw{H%bTykjdv24d-uiR%&{OFMBk7d3o>K znwW=6sCeQH3mVAF>V|+VEWBlD5NQj1fz9%`h{G2wwG9*9IBxvk`Xv9uUesB_mvp3mWD>x^?xsn`78eOLaiu|0cE{^&CGof(%FzmD4XB){{KO4>g8=OsPcUgTb{d{nA= z_qXq|SuY$oFUkIlmA1Z>_IQHVRG#ASV~Y<WnRNM5(aIH(>wj!1nNzy<`#Z;)S0#_@^`7s()mmG7`SJzkwI?rq zQd%#U?VCSmjmBCY%d~9&em+)>q6-GP`EMT1&2)M+Z`OaW-&<==+?}1U_~ya9CAYif z)<3T={G+m5=aKL8b!!!iU%5|{);*;8dr`$5jYA81-?kmLFSt6f&@%Fl=aog}qER+w z*57a4?r`GU^YF=1;p|<}2kjGIOyBrz>x|a-Q_bg`*`a>y>(b|zztnub3tdaKh&jFI z(zPGcdHS~P+qe3&o45BCJHziKzdf8kxfuQFke3pet#+qp@lj=A;MTK;4iZ1>^#8YM zt>607Uwc8F#^ehY5>e$Mpyui;ZqNOT7kLE%o0PydxC1Msr5G(e2iSb9e{fW57O=4> zxDwP>ymY^^bQOppbZj=b*$5Q9HZvUB)&#aMfsMys*5Qj*=>eOMBK05syE6jYob2bm z@^^n>-WDPTX`Pm9E-+Jpnpe8@@9(dWcIYpsOZ)3(|4MR$+oUZY7eN(GDt#7$Xqy6C zwg1j|1w9P~yB4MO4cf0DANrvE`>hrFDt}ij@zt6SS%jOe0k_cpanzrQVX%hp7v=C% z@zdSG?l+hP_BYT6o6fC`t=8O{-m0P*KE A&Hw-a literal 17648 zcmZ^}1ymeM*EX7j5RwoWB)Cli1PB(~J-7vT2<|ety9^%O-QC?1+}#3$4el~H%y2p9 zeZPPG_q*$^u2o&KYj<^Z_1@1@RhTbcyr@8Z`5ZeuU&7CKRbzVxb6XoA6AQyP1}31e zlev`G;BiJ6i48xspq$=Kf6+|U^KL*LL6$OKf90;(As07Z?=9iLlPlD~RZ z^WsIi$iKH2!2e`loPGaK-u2~C28ue4`0~vu5*W?nbg|7Jxy`pDe9gwtan?q8# z$S^e|O|cqb#OV2> z2K273A7&bdP@igI?DoPviL)aMvZUlb_`*%yPRuav7flf|bhZ&IAQcr)Ov$BbAF-t_ z2^b2EeK2nEpsbS6js1|w%Sb@sCUz0O^=`;8_GPR%1wfKC-tpI!T4MQE4V~J;{5T_I z$q%ph{9e9rm+)xMFUn8al8=p}gi~-xw@RYN6Vq`iPwUtRZb=MH#hy~23|==&NByc# zNC$Gs*|!^cG5ws1{xp3Z{|Fr61GdOtlx`Uhg~#GiOh{%X@Y1^}UNjqJZz&A*#3Eu7 zjCe{VRO8A&?Njg5X(&u<*{5v%9MY+Uti&!*-bgqna`Pr$V-FR@PEfQBI$}WZV|gkU8^;;}AjYCuZ-) zdNpZ}%=#oLkDPiu$<^46GK!?3v+Y<~ilo8Ygz4*qcKRMK%7vk`zUftJYk?sicdpoF zqg&@8QD)DidKc-{gpDpK(q9{>1zr+MA(W>>c4R|_%$|Pr@=_1+M#UfWKDo&SPb4{4 z*E33v$8D$;@To01P@oQiT-5rb6E<%0JGA>lq#inrl8tN$o&=Wwls%MWlKgSnR3ra= z{VK{eDKTmE*sJ!qYmXs7EH3k^+%zyn3X;tPC`_!6ks-hP(MZ)%&mv(GKT46J!5nU+ zI%F05m(oFEC%!%*BJrwMqBF*mHbt+H7MP;f*p*$6Dv6s&_gO=^Hd#hby*BUzBaMbs zZG_E;V`A)r5s$Of$u9^)i>!Uwme>$l>>;Jr(1nSlN8#3oq5aqyiXthw7@03HKteh7 z@*z+|vNp^}Wym6yjWUBGgVIuZKB1iP?~mFVBPB^jjjr%|Es0jC#&|2rznaW-qYGk7 zk$tzlkhccoFF+Lm&A5VQ3*Rvu*uX8XdfCv0M+*I$seF??ghnxetTtc3TqN4`punjpEri;Xp)D`j$3w$`JGzr1DY7$y$FBVv|LFZW6b?hpxUckV9rd@yAaC z_J098tSDmamL>ql6)c6)^Vt14#jTM+QA_Wj#0_}8Tn9j~!>j^EtG-tt8~xi5C#?Bu zbt-+daHrUl>M#Ce3oqtntA!xTHQvI+U^|Qj;Ji|`&}a;>QnWfg;sLni=aeW1f$1CC zAbUs~pu;|*?+f+@fNg=pnbe^TR7dBrnX$UD>K>m-E#)!(4e^m|7~uQr@g7ajEIajZ zJ)Dy;yQH8t3W(^2e^3wiX}g{JF7i7U)n=CN4BV4=JE|a_PY}>X)H^(CZQtiQ5=`{N zc9HHoRF@x|<*;hp0d9aL=>JMsyx*luk9!u>r;lZiZ%i z{gm9I85P*s|9?k#U}rxw0QmYRU&OU?Rv zw^6tLhYju*AQE5vCSX4e81&vC-5YM)!oB-f_J#d~AI8Onb2R4gM%45J^S4lzLLn04Jdaux-?kJ#+ zfaX;p?bCITv81LEWZ@dlx$z+1OmH|ih?6MO53t@d6(HQx8uVZGJ)-4xr*pl_({i;n`ldap%o$9Tadu(f75&D!Y&x@ z0nJf@d+(sFFPUrv_g)7}3o|Y@>-jfV3TmsZTT1S|C0f7+AHECp2Hv9?r2Fj&fDw6& z%~}2{K}bwbe(EUx$v1+dO3|X74BY?&lDD}!z$GMH+&e+u9zWM$r1R0U?-+MNTPWvI zDBt-p?gY1xSi&9Ukz)Y8ZA6o*y#emL!#-bT{ANVCG4=)Xkz&w|bGm>hKA7G586ONF z0!i#((39{Iu%B=Gvrm3?n>zQBuIr?k% z{!ifZmj=8!HrfDSWx(HD*;WzGMhs2eyg$g0@Z5L3<=Lh z)d>sgMOnk|-=TM|2&1e)_(fg;z*m4~Ijqgs?DI^(=P<6O zH)Xqr2K5HW#rDEU*vA1otXqFtzPp>{@!2d>+ zaAl+OwZN(l8W!T)#st+x0J!JTmnjE(LBL9T?XAe2-5$#P@79^)2WH~aL5vU=Qs7Bf zk0?ZT1EuB!*;aBjv7H=TTlwCAZZD7w{ZIho)J!bQ5uc1LwhwcGw4VtK`XF!-pkC#R zM+wsNb#4vNJ>vn}|7rC%6iseAQ~S6bP!%M?jR8Xj?>>DKT8YFvKNDF4bw3H-{t591 z2V~k&qo8lTNZpfbI!DLUC!l1JhUx&jb78HoD#6b+@XD3my#T{#ov?wRK`KRxCvrCiTU0-bZ?{zxnt}L z<)g%qet%BH;KGH-{xKtK)IHfFRi8g>=7;S8z^uEky(p)|S8{Z3b5P#$sfJhcbZ5zK zp-ic*eByi5GRD7Dr|njK-_|Gr_>6WSveMcENArH}@+#72t2R4z2j608m&upj5j|tw z(Oe%t#I@79$ule48S{obR_CCCoo#URIpv+=`Y_FZFhVpiJE=2!I0GYZ(s_c&ZYumg zlYyIO{M#s}a91|k|3o{V;&|6Hh76+Q+N^vcc?=371 zoy&^>qCy5p=c2FZLw-lQofn^pWNCjU+rystL~>-wT%IdIM%}IYwypf%P77tU^3Zgz z4|uF$cSk=sD?E<}1oTHKwphspf8Z=n$29P%^K2LMPH?t>$GRPr=td`SgU{iYM3?sM zv8X$S`47Oshxq3S3Y$X4jCT4CiXrW-dpqqHLJ6m=l7_k+$ASgET5U~{%!i+Cc3k6Z zWYIsaYY%bxO9Xg6{{z`4b{!Cma|t!YdXzvBcq^F|-EbG>2qXP;P9{%xCoS=O=|-%p zw~%^rM0lbnW9V*5&1c5>SJ@{g;jXvOencAD8FD5Q+x+xr{Bw#@Z6L)-2zUT~mPu`x zQVjTh2V4quQOJUI~kA^`z=h zU3A5=za=6Jutf%CuAg;MieVi0CO-g&!=EZ&>|&ztPnuvX)kvTmJI0<71tOixc+iar0nH~V3JYOYY&r}jjm&e$}?!D{P24B6c zbi+WuA;8!~>*Yj}ej8Hj6!L)eh@bUSRVeTi8Q2qekt36j#N;g$p%thf;Wvm9;v8Vc zF`cU&ALN*ALr&_QAJX$+9jM=aczUUTVubwY1pEN|0QMjSd!U24 zNPE-Y8=${ts`5*&`+>V-XqS@Tw52Fnu7DBkA+Sdt+CsuUk8FloNsD1=V%PADVDz5d zL6;PiaPI!|u#kqPz-tA0{Xb#3bP<^8WSr@yUX_1XkT3h{$cJBoI+C!_7jSoST|N^# z_=VW<1Q9Vp&^LI4mKoBM?9|B-6vR9CL*4qv8&t$6$7w-YjOl3xcG=I| zD<}3OmYhOdDhjuk=j9fXQ^?ILYNBo5)-;Akut-bx$=T`)P%+Bvit>gy@d5I4^?R@g zk057Y4j)jPx#Ssqt!ohNX2;s0$DVC!3t94#sU!rF?S8OiG&}hiJxR)5kCMap_E^Xa zU=t4kf*X)7=5W7vw)zjM>0#WReem;)M2@K{0baO;vJ1-N>E|)hQ|M4?y(h&uuXuf4 zjxP5roncXP5$`*%tK3EXmgLZ8ta<=6Rn$kQ9{c-)U_EN!7vTNtT>1Yy3T%17?fZ-d zyaVAP0^>C)Y z@_&n(L&Bk2lyS6?v{EUZ@_5o3L%%ih{SPtE<*%6;+P9lYwi$zBh}-Q?){mFe9Nuo# zn+qY$xBCjJo7FGAFC$W-csdwcw2R z;p(mAPcJkz{sLb`L7ME3x2Ckdlrha+a4(lcpGMwNC#jduHS4x2FD#E_kA}yrbT4dM)uU5uH9b!`>7j#61)l8lP9SXJ-F0FzRl{q zCvPok&T&PQ*dvTXyR@jT7OAgIi;H@E=Fa4(G#|vY$M%u?9%#pb_&Cw-o>03LF;k^? zH@aJrqpY8KH3O->Ts&fjH2**jW2)A~ib7eSV>s zC4^bI99mL@H}rJj#}EC@_1h2j?6#9?ZoQGaFIk`c#l7^X%-dfm{b16{5X!#U&luZ% zgSBx|Fk94_i8?t5$1{SZEaXRAo%v`9OzB=?8X{M9gJky>Y#uEs5UxU`5qS}3gGg>y zuqQr4v5BJMk3Zg1Bgen$s`_m^zvy!~ljC`?;Rx-~s^ZJrL%b#$u7Yn?&7;bLSk4^p zf9pkQrbQ^cK}7{o)!+i&=_?SoG4p9S?=Hfu1u`3x?_~`L2zJ z6Xf!VjQ0a#Uyfb*?{W<1r`%rU-*x#Lsr&_vK8zkcoqWq^RpA!;tIse;tcL9LIV;nq z{Yges)2j+YhkbUZNu|fN7spg&#(@t>uH9l+ov+8;p%da(%fsh>d%5R-XG)Cn;d!uZ zFFgkW;!s|H+*|2on)OioU56`9QfKS*UfoW=Y}41Ce)R5gd{Mz+SGpYzwmEc6j#=Rv zgzv{pa<>p`Wf3zXihf74Zad8?@F7m2sRb^?Jn$dXz><5VBDw8LhMkQ0{twu=Tv0ZE zeOI|EprJ9FNMSzs>#i()j}$OU71hUTr79(E71&WKP4Zhgj z+E*cRJ`$d;1EGQaBHFoVY-&@SG4|RQJXp(DV^bSZ z?q55P5o*kEd{$cT+j|#v&7S#k-)o$^^W+4hy4_x-NViBn^$@JrzqWBIx@$?ln_o4v z;k80?DArV$jwtgu`c&rY+m+|#(=ZN3=F8B1yzr9_s=6C6gR4E{UclGXem~OB zJyk`|r86eZ65AOhZp>XpTtaBUe9=Y)uT)4$8b;lIPu(`_zKE~jwa(m3!9-$v(uj76 zO88PR{n(`AX^H!HUNr3qJ^yi!^GsB=XLpXkydSDvsEQYDZhjQD>(c2+uG}V%W|>-d z4S|pL%SU66mc`9@Izp^edVWk@CMJok%ucgsq^-CuWEXX{bcOEx5J#-vLQS=Llxs}t z$uN_?SZF%$ewZe!=8n!&DhknrA0LSF=tkM+rjFJ>@2JHA~iSf)}DbI?(AaJC9cGLOdn3(_&XLwmE^-0%Iro z8QJNY*r}YP=a7P+s}$BDP)UgR@2N-uF776RvLLqvnp0MUeYZW$)YQEqWszgmo0{cd z-gtvFfu=KV!B1XtB*&zkvo(^TCD5|B(RU#nWTTquILTZ19up$8WTHAPY(t<{EfA?S z%h(Tt!<4*_#)sbtPROj8tt0X#k`CjYMjP`4?utO~H53B7<&$!M{~&YF2u-~x8f{m@ zo9ErB?!`Es3TKF39Lk`BH`p(XT5n!j91@XU(ZnChxQ~w1b?mgsjkAL032Zb6{4a3a z$K3`PHx;AE7N_-Pe%pR^8q~3MI9blpWOMstNyb+vvE3xl7%Pq@vM+qNXxH^swAFd) zz-?=GbU&VYqSOTBT)}_WwB1X4>s6Q{mlZ-p{nVH;lq(lPR($0cHj~0Ov^O)$@x`$B zHGLJ06Qy`~GRw=6%6tdnjuT!{IR^Z7eVnb^nWV6nD7(=v>}41g>6;V~=^>4wTm3_0if)Z7^&?Qy5{U+62Y$jSjhEBM>3-P%4 zV|elc&3S53`@f#%A0PCOL1jgbb;V2b|Dx`7S-#ozr)oU8k%NEM>8prC6u*SPEaUy$ z?YvtEIO)2MD{b(Rt|l<^^8o~syCJSPkFRj`_eB0q{yPc(k0XWqv+NH;Ty*8DJFHzN zW?n0C*t-wXjkg0xUl|~>=ZvUlM3;*Fn`EnVIsZNv;q=~>8*!K_L)!;g9{adpvr+|% zb`NmW7iY*4&=Zl@X!N`@G+;c>n%)SwQjoi&q0{BLoJP3pWqn>$zUeVW)jD+Qua-Zi zZv>>lHqxLh7P2TtZ;I2Ea9PraH>o}GyQgaF_rZvrhQWXf56-nav042k7Li?jh5k8~ z8OvP^M492L*@Db|)(qhzDn;JW@n^ON!z_14)(4P-xT>m$87a@(bjSS9+g=)A5$mPN zT^wE9dA@!EBstmH=?Q$0iZl_d5?C?8DFUm)XA8^?@n%X=(D0)lLTwY2ZP_3C4jpSFeogO*ku&ROhLpYLl$K}+RCtwJr^|TMT6RM4Wy?KL(w&wTva5o3 zg;xy>sa27b3_5?g$KENiPt*s4-`UC(CL0GAHKa}#G+Hj+UA(9xrR+W>f)DH#wPK6h ziP>m{4<*>*^K^|`m9jQ>7GA|6@XC*r+=v)E53Ot5q`~JlgBs5ZRcneX0v3*FQeX@aM%aQ$&&rC}B~!OMT2)xQK%{LB&Aarc z(<@T$)#>iWWU-rDm$5Y_;67chfX2i=2}Hp~Ef0T>wTu~a^J-fuIRB_WztB$mz67>? zFB*hDQNU= z*hDnG_p#Dup+GBGERUwlQA-Nwcj$Ct>+ttnAf#&8GcDLHQ8zn#;@C2^?+S+gAT zum&>gK53_;)8D(J^)Vu)9^U;yDd~y4Dl4D5MCaoCDg+^Gpem`o=-Wb!aaGMQX1qJz zC$soQKO8;LLBSh_8^*rc3Tv3SdN07fs!iYdwEK%i$fMVlITV(XP0Uv=F*4AWz#g429|uSoK$O#ZM4lv_-+%Wks-5DlcuM_*ShOw8aa&`LTrNx!V5el0(NU0A z0_GFRR<;j9`>%R-9JF)4)7POr&0BNTbE+j#;pWPU-MBBfCZToO;JM)E;h9_4*6*9P zG%wm17P_F-gV_P}bcL6rhJ+1|8@8;|urE8&SGk5oB-J$$6&9AY+-?H8owu-Gx7PE& z3)>t0k)3!=Wqv<%H@T*(dNhvoneH7Efj=R^j=9`*Y7U*}Wv@1;`^M_do_@hq%5P>q zB-W9&5SB&JmWqn^Tg_cWU786kNIg$L>D?K)q05|>2)pfhLSL15Z%<~wm0PdDh-dFu zjMs=WM`uTq??Q(x&874rmBX~HyPZHZk6h8+SzoBoAXzG&`WjFpwXb;yfD`ssbRE{uk_Ynnz( zrymxszMY4(o#3+BzzsUt+dp?!uGCf06*hF;hE-XneJ8;(;ZRD@^RO?GBXdnr(UHxw zF?{4d+*d_FG~1}P_%wc2=1C2i=_*?nIY*rw^O{dB4)^0vXGdfPVH6U91G?4@YiB90 z-q925S6_($LMn>ZVB4j{F!!&b|7DZ^p+^5N#~%O6C;HXm1Lbu9{N&+lh4DpK*%M#I ztR+0H>$sc)sW%PSj*b|!Y5fLkBd1Ub`InUbc>k#Nk@MGDe~q`9O50< zS^lT%utaPEO2eDEcK$KH2&HCxK!8wB^6|-h7W>7P!hclU-q5Tk(6qsC2aEnZbtabK z(mr#xf0Vet`eFD#paaqVid>hsHZ7BDp-&)7Y5Tw3_v_N~dm6{~UF0u7|M$htY?Xis zb7BvG0(u%()iAoV-q0zViMz@5QAcy(ubS?s>>k_FIZpJ>CJYzF@X%#( zQL|-HtwcIeRgKDvFqJPSfBy?P^@;RK z&A8v9mbBz;tZ7qouu$eeIp(_!L}~OI&$?LK^782QK_$cP$uN*sSbNYYImokPh`pg) zpfSo;(3+k~sAM?u0Wss9#P|H*ncyMCN)1;MfC!#m)NdO_k}7bVPnl2D5tuOmXpD1w%8B>TS0T&uHCXv=EXjz%)Fki zGO^40raERq0Pk;;!6`z#G$J3!A}R!>or9}C^`NZQO{Nmw+;yX#susNyvgKN$i7yKG z!SDQv@Z;RcrN3Ka5M&c}zUY(=pfS9*_O#8T9AivQqRRg(Hg;{A%}|y>eee?~pUIRg zwRB{9dbv+MSV7uQd-R7V{6qHOG;X}Jhz7GLI(K`K)@#0iyVDrN8kue@xOUZRB|&`1Ijt(GW- z2m;`vnr$~7?Fjpcbc`Bq$@uj<8hwAAm8KPHLBc~KPF8uks(1+YxgsS_DIgH zt*PusDSbRi^@VwTJD>v*m6G}P<#z{!2qrKIV2ivrL0vo0=CY&DRQ_YO2=own!UH!S zdK1MoK7e&d-d=nJ{K~;gIY*Dt-EsJ_V160OU**R>TNj$9wj9px(ZxBCDDR=vbydf; zJrQI+KPRT_AV%7{*O)>?HzcuS_5As*urXA-yz z8oV0A9B;`gmUm>=S;FV2b%Zrsi zN7h(O9GPjC{;*Uue*|z76}tGj;G*3oMyja>!y@`D4Kv9iyfiKkT|O!o$L}ghiZ960 zTH8&2A7iE2l*vPEmwzSP)}0qN)t`zw+RCF@pWB-E+3oPtPDdZh3_`h&rU-^Y+Q6GE zEql_}5iP%CRChW#qs&tL_(OWQfsY`;%wzy*@9SK{^+wb+Wj!w2+F=jovHOq4E81J( ziz&xabN-GJ6NAmEKoKZ5e?v;e!$aEgPq{O_Gb1@`ks39_hE_aT=8J5!p*`)HVMtj# zTV&@#xK-}Xe3yPmp;KjQqRry=r??&*QkDm-!}GDd)Qqdj zgCiIB^7#OXEaq7sbIVHI2Q;@LD~Zi^d&R&9`zUkT{t!-HXYKd%yyuAerG(Y-m9_?b z-O&lpKQp@FEjsX=#Bo-WV4a1_$UO^0^M!zmcQ++_U~*~b1?no9q;+QEdf4s^QPk6- zgtSkM<}WyEj7fAxC@jfhDC1zOhz*g$OHm*hF7Bar={5`}9B2U9yrCj|JfIfGnaG{f zGWxDyF)d(2Dj~k1nW|p zKq5yM&`OVXy3%B%pOtk%1F`3nQ6*!S$1EF_y!>+I$NsQ2Zm`h)l0wXqh?{brzoxet zbPM{t7}poTGFBm-#1Gk_D-v#KFGDq{9>5<^zoFInVd-*wgSqthBCq3|mFHnJKW`-j zR2q<1A`i=|_j~{xR}*Q}Agi>%`~^H(W3K|~Ar_7_r4H*cxLF1$f3-+bh0*LQ4YV1D z3S6afY5vp>zKBM=a+fbtkOkjUPOC59Hl>mq4cG^h>u)iZiCSIpLc8X8wmY76JWR zJlkKWXmvxv^gIX48hxO;1X1=~O}h1*W1PB*3;}n?3)+sqc+hL$pw`L~!jv>W;$av=k{TaETv&5Ta@wBm5LwPtnt%F`eQ&;{^=GHHmJ^-h(cOq{Vy`9jP5WMPQ1n$tUWWWRJ?YZ6V!ZDCD0G|BdWf=+Kqr|q za+r?#d;c(lrly&hF_FHh>7wX@$qf{o38z^JN7jTS1mPT$*k^2Nl)X`S8gm$RG8rc* zmGn8bhU`}TJo?)9i)rGL$1z&^;ikw228A-{MR_>?=0n|gzvX&af7$IZW}};dm zK3-GhN0J+&v7u|{+3ECDUEgt{c{@=h@lxhT(r6bSllL868Mq7OA_@~6pgH%vAs%rG zGE{-y=GEYi3dt-0`qTx3os+(4G~JucDdtqK%~eJI+mbKVJUfP+QB$@_ccN|@S5vHJ z8rbwJ_s9e#3X2XWjQ5zE95D>r0uwI%S9z2ZRs;?>2O9A%fJjU4s2gLnY?yQiEY^4W z@{HjAu7MUdH7S1Bg{YMH^6mh(GW==6hOL{Ml_WYCLwFZBD7{RPT$*Uv=X_inO)Q8fi%WeduLnZad_u%m@7z&D{{zREGwitfkfA z)7CiD?YGC{WVXP+q1A{nz-wAxB#67Rp&CjAMW;&kf2tn3Z-SgJf^GZByM-T%?>~7D zQKrsPw%Ite$Sy2vmw3Ka8?H+oE=T2vyiH%KwuIo=@8D9}h-9q` zX0s;4A1vvPjT`$D?a8SEEb_WXy=x_*$~sHbE*+#{3ifVGk~RJz~$7Tn=i&8I)-pa=8c(r)}Aa zugb!D&_0PsbQyl3=5VKeIG`S4zCqtDW;YQWKIk*#G$xiC;)ZJnr^mkYJ#-Z&juNiH zggEt?*eumyvB@h%#CAlo7oC3T24!P+&X3h!+U&1cI)s}P3;Zu~@qefirC=j>bteU!M+kt`B(e;sj}d4@n=Pz(%GoeE*Rls)_jGtatVow zg?Pe}LV_!)0#7M;HtK6bp45NDo-?IG<^L5?fhNS(Cj3i1{>yFt***Gix6gJz{?-LP zo@NV-7C0;S!HI*G6s|%#HqC7*=N2FR^zQdkQg?#73GRM-V}CW znQHvy(SC@jP=es#v~v_Mr$V z-jg$-VrYy~yGMEsB`SFh>@9K^GsEr#Hu7q#m)k2zVo&E`pM-4V&$E<)t`=y=n$-se zk~N*6wR|Bjln3=6r*&^M=N#kAg^)}|te83Eu3JBYhAU?qAXMWVdvDeD&SL};N&2}u zM|1s)q$ksPIb8daxs5b&hDjKAsG?Ix0n$4;vgQ?BJ=v>{)jxDoml!AuIMue(biTqL zS@gy(uC#EnN)w9)3ZSYfEtOb&ZxP*QL{VFR8Wn3((&&13NSeRci|IE(XJJnwv`_eNb98ATtYZplzP&{j*$G>vN23WO~{O z^Q)mLF^T>d-)hJzZdxk!l4SbV%u*AC6%W>cRplz3Q$_YYx#KGV8{LU;+^bO4^reA% z+Efo!uAV-Ul|dd+mG|~CeM)L!gKJ!qr+g2du2&%#*YFkszUFx~9>AcY?K{Ntu}4f! z?=E;`2}iFeGCVfO6t_TH*rsm5g&J93WJ&c4#?fl{+@%Z01v z?=MDzxkh(OuP>-qx2H9Ev^07oWVb5fBGY+h|5mqlQ=WKM(v4lV_7K$x zusg|F>o=$6pq~CsO0tj{ks>&NY%g#u&)g4f@0mYgtg;mqw?yUhvU$j|X5HjNpOo}N zme`886+mlKoJ)uFNp_xpDvq6}+G0IqWJThLYy`$7sMhdubjASZfh$h+w!)W#8j=rY z$_r7LN9%1tVFWv%@Ogo=bT^fH+s$T2)CTD;Y8ynCS~Z<)mO_H!Yv=W&3{?Vql%(8a zTENBa8xpsk-OxbGqxo!5*WG+?0e{PJ;+r6XlOx`7o9MD1@7mzntQt!6I#JdmN5Lq6 z6;=*=*fiYp!?#Q51yWnfvus@(Xy`NenL}@E?DCjkw3hpuReIdK;cQE2DSxnQ(YHyH zMv|9#N_9E&B9D0(*&$qdp-Yt@42NEfR)jRSJxNxm6pHS;Np8hlQGbJq?OMs;%u!2; zf&X3hG>v-kj0O^D0r+UP5bceaVqPt`LwXS$%hSp6>!W4#KO-gceT*JD}aaLnsJ+`r5 zrfS?Xi56_gVbGEy`l*(4CiJ*;z&x*MbD>9M=;^!A(tRPbe8uWCB#L&z=C7V*qLk%; z@~Nt4KTqXah>LRl$mCvm&U{FaCxxg_7ztiK|B7~VhMrLEIkD83NSu=1*ZxiWqWSTL z7N%~8e8FP)*k~2!?j!TNde2Eua7LTD(%i+8xdey?O{GCftdHU2!sQ~uEK8>gEF15k zVOrWy;(J@DsKBtwFBXo9FsQ|Ic-iEYs?_Eqn{!YIPOJN{Syc3;4Yi;oJ>ioO!BCY; zQKJZKy2u$Id*9&ja~t!4{3Pg|akDGidIfM74#)F>TP1}WkLvYJf*7caU+Qazb1gk1W#Y8h|&CJTU0Il$3!D}i%vP^cCk)YBi*2w1Ek-=68w>c<6_eqho-rk z%X`l|!<4tIuP-qH7~=HC-PMls#`-E=&Rt;JB}?QkX4@*$n)?r9qnpJO+**G49fZNl zLgdo|m!`&i-m6=$^Mm>lSy^2?cd0|^gY@z1I=Uo#R4Q^8`R&3X6?IRBs__b{N2Q8X zD{GK{qj9S=AhX?+Y?r3+f|B8a;el1T4g8=660KxBmQT)NtQSVC%XhfD?XCNT94WKJ z?yd|AB3U`x4OY?b%Vp3*92ew|76j{I>SA(D_5^>cE;b)~Jd39Qderq|Al(`VAUySN zVk_~*`bVWo7+p=U<_!O0{k?DUjLmUQ_6ft~elL}h8q*Ci^<370p3b06Pc4yRN5dwW z7q8jr>et7d9nGa_THiGWh+t-T(}mwiotrFM(`&E$00xZAzE{U;X(t69qkXz^c`P0l zW*V&(#ez9Rk%eGd6?3DGOkQ5Ihg00Sj&&LsBvHBBTPg8JBU6$OM6>) zXVIk%;ac(#jz|Yv~94!WOaIe zS+YSE5T12ib<1&!O!N!zJ>`ygiJ(rbV;$A)bF-H#)4`?mYY(2}X1U*v-qXirnJVL6 zUTGe!!rGShh$ZcrQtv1Wci7&Fn=O6$5!fb?i>i{90o!=&txumqj%ag3bWx(s+R^3g z=ju|f=#zH@PDuklFjr>x-0VW`JgrK+-Z3UL*vg>|DN2W*65KV|yzZ6n(#RL5iZLyg zA)EVll9r%pawY!XP2>NAqyDpp9RKR+8}c*u5&#L$78N4`)xVZJL$0%E1>l}_564q- z|6>xNV!5jVRZk1=&Qdk#>z3G{f`jkHW96&AsVzJRn^!n@dMZGJfe7Cxi=a~Ge-1)D z#lJ!~zb+IF>1-gq&AYV?&Djkn%TgwBZ_S57cjw?f@rY>Xl|2-8bKyxV2N36yY|x38 z|BCMB&4{>x{<_f`AlrUWZ6|%^!*i>)b1BNrx~omY_o|Og-EpNz`tJ9)=b?wQGZg62 zSx*!FeO0Gb@lO@JYww>r8og;Jnz}cebZ!pK^{M8Cqr=HEm6LVnyCR>G?k{X-bQ{!Y zeyP8NyUP$)TK)g6zdvGsyglg`#>yz8QftgUIy$3=+LLvV_64^3a-U{oeYXBlmfwB1Ix0=Ot@3IrUBdlhr>x*k zSB~dTl>;)YrLkjgRR@Vg#@L9N(5w%C$Hs8j!W=jA!`yJQX7Ju!)X^pHf~njlasQO~ zh57kRt;WoLqMMs=slu0?6RfWX+5XZKySDVdu!(n?$0@MO!#TSYlKZ`nUZoyU}CG^1_l_ht>-2%yQkJ<=lJbf zO=>*px9Ppp#{6xNPoTMMX}@|_Jy}l%{AU?;_$$DDRXwA|a;fe~h9iXauHVN=ANq;$ z;&zZZDh#%!v%(c7xv-1M33L1YE~dIBq_N--IYpD$3ruz&jI|G-R`jl7Tn!YH1_{|l+AJ-7@nSOKg8)&+HN#or^QCbxf`r} z*xYgA(?`rDUQ&)x^t*OX9fkPS8~xFCSWasymv`F5T#>bv49YcVQ5x}_Wi!RkS_b)Y zc&N@yQ>J-^+!vk-29IW?=uza|t@z6n7K)-Z-Z9qP*f7O}=lxmHD#V_@`!FXEl!88~ zTDt65kBg`fcvu_Iv0V#$k`H2pg>5Xfty#cZZ?0b^*{a26vf6FbDX`$fBg~kC{n3v$ zlP11^F4o8KrlM5arkDL9EvyO=FB{Bj2UMKv-Xmmrr`ONwR=!)GG(lJTsqH;uLNz1C z#3?fwwzAY%r=fsLPt0;RC|rm{o_Cn=!Wc3-rYA77v~VIE`uYklHA#&K56}zW3CB+R zfw(&hR(2KDY9!-|v#D>%Pgr(Sb$HMj2i+V*>$`AHpT0+_a-U#BL*Kc8HZe)3S=C?n zptD<#6*riLxUemG1~#w<`goQmgkE2{>YJ)}EJfFm$yF7k$tG&L(K!Ethjw4>7x8Dg zs{j7zz$bAz^V4 zeB34nYw;(j&o7YfoW8hk=g-f;e(gNLryR~Ry-_%Cf*(obtv|5uW`4vZFs^C$8V42a z+d|78iU6VZXr@UNosi4n5tM3MDXx<3zIH>AEj96LWx3Kxj3$44$wJGGy0jRihdxtp$?DBRXIoH27S*dmRd?gX z2f#0?dZV0gC~&luDxId7X<%NmmzGA%dym=H()L3%dUdC!qzU=^S{UA#AW>5yuxenz zZR|10L>433p0BN;=#Dne^-m7aLA!vC?5%P1$&d8liijDpX?Jdp(?9@)$ z5_96glN#sy0sYX3MC%gU->8kH&Jxn$toYI4<3&tc4L*aa))q>m;y&~Y#hK5C1rYj= z?v7|uAihcM>!ovtn8;P$u}VyzA1L0);ZqzYHw9e~C#hO-J{%Tu@ z(_Tkk>Uf7wMFH15I}z9AHI$2XrJnVcCI9eMofpGsH!r_}-XE^S;J=jyCllC~(=2;Q4m|A$&RN>16{9l?dauRgys#I}=kn7{Om6NkSKL>9-v7cfW5~wac<<(jC z22zY$ipQ16pPE0XqT$WNWJwcV?9gb}cuF68^A=|{sfrJT2Dt9p1#Gy%gf-`zLTt{f z&wJfTYFNG;iXtdATcUSf%}@Anoch*im>H$E9iY=o zm^ag*mT@mCf^|GRhP^hNtcq4ma>S)!O^jc&zIG@2_-G(}_=z2ocPuup*}%*v;HJ45 zEZ#LabAWEt@%T}VI!T!5FgakPlIcfgmLY*5cNJXPAz=BG?&tOHvX`Qz(o-kps5OhY zlzhVEeXt@~lll3`*6otdZ2*@>fF{VWQe@xELH+9M1E*(1fr(){T{50*bQ%fkg5Nhj zrvGP;3KZh_|Ihn!lfUlbGqJrgIM-(UnG9(gb_c)e4*tKSarLc5z$R18es}||_W##U z{yrF{tYHPTSthz&QRs6sxYhP@_KYvTTk}@;2D?C-c=6(0 z@Znjsl_4Hpj9Uw}G(-0Ze!H7gtGu5p47{kmz8!A)U)k_`|KsL@O=`Wk1nh{9>7wA) z;vqH3uSYe3sK(K2zVwx2nn2O}8cX{*?}kY)2XcWje^&*BfQ`g-fx{)Pn(uK6U=vm@ zX`jFq3L0#E$p!P$SO5K9_4{ifHVZC-+RO!Sr}}?Q^a}t6LY-B>-;nq_vQ9v&@9URD zLtC)~tOnZR`FfJYm;b;6IsX5zV5|w)?ZxaUvN!or@?wRkA2~rC5le(;3$Ue4R@6C{ z_UN4i%fUq9!0AWsPFOQF;pyY#HETrvA3DXqapPs{Y=cOaZtL1S!B_W9l3V@xRHQziP?tbMtLf~CYaTvXzeM)9tykKC1@GTHJFRnH z%CL3H?{?p{Gjw-^-O1%zRLZwx7)0d55np zk$ru$fz|pFy(ULZ=GmQoY>qQ{f~QQ2dAG=O;Y@)|r!KrbzsI9{$`fO~aQFQu*o56U zRd-&waXBu2rb@l=l%N-4zb=|Ci;z-vmq?J#<|_N}W$pQ9-#6M3YL17e-D8;_v7zCH z^SfW7(mH(=k6T*~2=YzOdtB{&V}`;5_nmoFe%zaV!=;$)cmh0nZRP9V%sOc_t@2K$ Q#yis)62-i~ctK$b01Nh-qW}N^ diff --git a/cpld/db/GR8RAM.cmp.rdb b/cpld/db/GR8RAM.cmp.rdb index de1cac38b518dbf53e2bb053103e5e4cddef1495..e3e658d22b9fddd45903e88b3abb2836449c91d0 100755 GIT binary patch literal 16327 zcmYj&b8u%(uy$}>30W820rwr$(y-S^(_kFTaq_cPV?Ox5(6>Y3?2 z2N?(ms2UddpLF@xg#T%EGiMho2YVuBRt6>pW+GuXD_c_{7FHG_CJshs7Dg5(W>z8< zGiP@z6Eh-3BNH1UW+D}7A`LTRA~91d*MH2NwEuhOL_1>*`YX6~C7ppX>IM-2a4s_s{po%@6W-Pe?B|-%8C?S45uk%WKgR!bi0| zWtkY|R@)CH(uexd70mBLmn((6t7yru@Yj!kj9$jwFT}~6n}f7~$up0gfS-@Mhp*8q z+=Q+yF0$jlEs5`ughu)YCih_YZ^N zC(dV$M7R;}>gBV}6jW{d$-2W2GsgWPn>{|kQ!wt)O3=W$wNVR~fhLsnl|NdzP&;nn zZWQDSp*y4#)2W!yOW(s=Qn#Vtc{2j9Q16D_i$3D|7C@bq3(Gb0(2%i>!2LPc{)Z|m zSpVhk4_8cKpb|uOzj`lbGDk~ma1ztC2T+-luEL+L8s(6jg}=O~d2sLjLY4SWLBVZ4 zF^m!XP=ACRXJZQi3>ltZ;*|@wh|k#D@dUq7`=vJdV*n;Y2Mm^0_=vLPw5GM~d^O?S z`mJj)A9Mb7J9Y?hh4Kc`p!3Z$6kzF2)x0;b!&u!yGPsQ^pLdpnyQO#0N8sBXC#DQQk&lKT@Fw0 zPBsp+H^bfSM631^#4Is|ppp@LcWz39MV3*s!9rE0_JZf()$R`09oCR7v71&Ngpg1D zcTQM&$2d?VJvad?v$E#?h;k_b3P0dr2{gqeJs5)Ur*Kg(TaJ!cPm5`j0W04iB!BJ8 zoD+NDCLf>PPJlvl`BO07%M|MGVBk8lqoF#?i5sD9IkL84q-0MDVk|f7YX!yajm!ow!b~2*N4*FKzKcKRWpf zmE2RnU#M3v8XMye)CI@=xVfO3+VLhi~$m@|eUmU*ABeDC&m= zgZ|)fJQ@~v<18xhGWj7bi$sKHS=kq?TfsR^4&061n0_~yr2Z6-&?JBIRtv;vhd#+S zCjj1wgO`jCBSwz<40vJQ(PNp<5;+V7!$oYh>`iDh^eL?-*YB{--%z6IOlYl3`-GHb9{v8PEt!9S^e zo?!O~>5dW@zG4{fzA~yL6s|M7bW4`{ow1F2SpSL2%z+r$@B3M-&bu1c4iR}m!-Z`g z`s*j)b{;Z;)IH`0h{nV!3W(`*ZtUw!LTuLM2~G02!8b0u96_2 zpjO(Bw(NUUs7$WI{iG#i6&Cz1;nfnzd?BTj$o!GxHzHNtNo8w1ft^TDLRLsEojH~a zmJx95$d4aS+8^VP+-yJot?@?3p$}mSFqxrf3y9zj+f@ZoRYK)b*GjNGb8TL(Jf*Z| z=Hks#I4sVN9QK;+@gv}=j`C!uP!#|pOmJMI2dHJm`0w5K;T8y|9}!S1wfCK78bA12 zeYYm?orC%mVoM0P-SCYBx}IjtpDgjuY5r%V*7VNy5%!>(|qe=zUk(&zHn4xLS_9A38)7&*a5;7?qCIeuzosnsAB-rrSPhu=6W^AJO1X%C$n)zg<4$LCzef4RK0 z&o3gruQ~0VpF2EMJSsS|D6hVhY*77BS!C!^vjc3>FBGPa?1h;yZOyQerz|<=dU3Ss#i$6YeBuELvy$Xm!cIH_=xW*nY+v{&Pqs?p|N4D8*HC0ey~ z`}?)gZ}$p*%k8ylqhFYr@?1yrp%P1`)-lLPtQn1PHXI3xfuFDL^6Hq&SC@kx@YA?_ zO(^mR@d;F&D*d(7%KF&+`RFP{oZOk{3+Ne9ql&Rqt+?0#okHb zN=Yqm^5vF{zUbnbQ|xX>-$dZO0kdv#9Hk>JWaNgta6VcuMM({G2%qw3LnUE-dqm26 z+V}G9I|HlN$6tbVTm{>7s@(uk*U?$VW$|0~|`L{zGo zmvH`{*n85DI$}~wNyG&Vs4&1E#Khz|OF5fpYVxkOb!1yWx1qbP&X>kh>)wQYoNL1Q zoib*-$KIzp@_rK~TD|Nbbh~qrVU%v)d#V%U^aqm?h&fHN(k!lFIlfRgakVFpXi-Vz zabJU^6NcJlzg2z)9|yv^42BfS5aM5$-#%L5cMmF+xr-$7Y3-IaT@2r)c9Oev^81!1 zLJi9!l4JW5jW>EyLATVEE@IuF5xl{j!X=VwbHHg2OG{ zzdalhs?^32-265ep388;q+|gELT><`SMC%yZ8i^R;A3nxfj?Wyx~T(ke`NH1GnmGq z*EvZo<-h&eSA*p6AU%Y2U6{2`+g!XKiNghqRH&z)`sdy(kPfYm!j^s7&_F}ZN!7&{ z-mNZOr*8tuv3o-WpUmD_SXqzylOZ>&1yh2l5lftzt}sjd4i*K{*CiLO9j#Gh!=V<8 z=3UFU@EHV`5)vLlZc#Wk`$7(0y#!6*Er#y>`&p+0kGg;H(Oz@%2lQ4c1ZIJcYEqW1 zXOPIvPfq5A1`lniJ5EM39zA8Ps{GEPbXr5GJ+OuN;<|ivlJ0P0r4a*8wB5qcr-FR5;!5QPj_Z4vJHGQ4M=u&l8GA6K#tDeoV5@ zX1M4j=Ga9?0HSK;#@r$!N~$oqclvG1qB05k<}B|vW#Sz&Qk%=}msV0u&`K!IEV4r} zcXdm%Sa^MqTTs2j4-M1CQV`%;2nlg7Jy&>}(8E}OKiu|I9-rZyTaHU%ao#|Ivd?Wi ziJTV=gj9m5m3ao=wt(xn##F+&du-p=ZN4$un%}Fm1VgUrm!rJt8t#ap8L6~de2CM!& z%N%rg=MiMgnGIj=c7@7M}o!QW8ew{I!AI}8uFn^nu;XJiU(ChNT zU6B8NqxL(;2)rIpP{2liwa zBXW_4Kp^TPWY#<6W9}+kk%1FuPE=vY+VtYz7(SZ@YRN>9xOkd7*-|Lc=G#FXsmlE}eYvC~J4YzN@?!(_#S zz=?V}g-dzPNV{#;q=VoDB|@|ZtAy>R{aydMT56#knAn-(6?o8#3moCJE6QG^G+Fm! zioZ*|EZeErdVy>O%mpsq5K4fhgWVJyvj9%aXA9oeP860F*oxO9jR+q<+6SQE==E%@ zi-KXmh*_P)jWn8A|65o}_`FTrgfX~TTyL^0AP1{^WsC6%%WR~!d1CB;%v2a<^@18< z$wTf;BEo@vqOG`Pah`!qu%V;f+1sgQRi$weyH$NPZ2z@qez1KV9R7439PZ&^lM*}M z5_Du~Z$2T(ydr0ZkJpCS=z6hB{0F|#U;5%g2hsiFrNRTJ&kZv})w&ZmWqs@Xcxy|! zy+y6|X1h||x>y@q(5)an!-zd)JszM!8feh}BGfzKa7Jh9e$H|61fMqLUj9kZSiafb zsAh9IC_2Qh@h-=nWZBEkwS0q;14p~a8(XbcP*p{)wdgT7YKv>KRnf_-eIq6W%{gq7 zeSkdktZ$6aEbV7gs$LUlQH)dO5t0-AGN`e=zFJcgyz_LvHKlA)s=iiTW96$G+S10= z+tAdc+tys?Xyav7<5*eO5cI4u*jqe=o>p5eKZb;TJOt%YkyZS(b@im&-k`Lm8l<{a z=I>Fiw6(sN-ry!}UoW4+ijJ9&GenL&!PM_$16eGgPWgnjZet-BQ=d_?wBqGNC$-5S zUXW;1Qft4(VAs>`)2mx!fA(0_5ZWI4@h&$o!KC)b>N`zLWsy4}N&4?966*IA2hEXB z)>5lG4UROlDv3Vb#Z?`?duD>wVxl;9i4@#r=Mg>BK&c1EL=_%UYilct`_Lm8%T`_8 zfW{VHltS655tS*Q+xGDEhAmL+x_rid6c!&?LqqMjKq`e}L)np;hlxeE(w2UBjgqEC z*+7)bqLSyUavftL4Yoh}uMHhUsvrjug=<@fTEWfk-sWwpt?J8)5+4tX?!{FW>_5wm z#ggWI*a=tb%l}T?O@DKSpXE(Fsao)fd}wU4$-A$Ve&xAQvebH!3SrbbipM%H zw7a+K)5qG-Q?7m&_RU>7HC45^Qxj;ZD~DqlJh@~mzij3i@__Qn?ck@2CNwvMmQq3m zq?9;wHIXXx%d7Sv5s}d_W4fYS=rSk(bXMI;)~bIW<}~co-Idgiq`PyetkmVd?@R71 z52$pXx>CHGd$LLz6m|PF_)HYvN`Xs!9BGhT{cW1hzs5_hmm+nDl|uy^?aj`tl=~>~ znn;ae>-tjMJqJ)nxa^9YTtu~kG*s}dFg&bWJ)F8Z-I=d#fV7o2lwRc>cVgT)c2VLK zo<`E{3S)cjpW_B_HUo<%D;t;rW`D4K5>v}E{m+e@FPExlGcuk0zu~`i7TdIrq-ZU| zo0trsIP5Rty&Q#4wYu;IE8>(`Oqarh+jJj^*}AE#Mbq8pm?@AC@Z=Yu42775vAz+jR*5SW3EDw{v!VR&EtMWBasN0+Lb*Mk5PBM!z-U+MWQqRB^Zr zK40=IbOpbA)G$dTXfcq>>#;VbTT zb1(L|$<=82<3XifRK*q+sd8}G?vTpA1I+ZJ4`jw(vMJAkg3XW9*=lpALxp>}xwadv zV;=0^@fR-q@Knd#U~_i4YzdlqN(>Bd%rdcxZAg7v-T1gl%x?r*^nwGWe09dp;=nvt z_f~7bbvES!2A-js?XmuP8wGFlN7O8Yr|T}DQu>q%DQk-E=Z|}fy@=Kznm~i_LE9Q8 zgK`HeEpC3&LhX)$;t(J)LE-I=+)eYQrZgM>H64*oI3cEGhrHg9p>55AeQs!Az=Gq$2cUreP zWf1_9L9H38bOGMjMWT4P>V2a?>3DLuNRGm^eNZ%5IYL%&c%3~kS9U;_;1X3MjSWS^ z64M($@3AV~3I6~HGE)I*IL_Kk6AZ2DhE4H+=}V5h?QrKbLTizzzaJJ1_-;!mHO%0e&5O1{!Q$rWC0I%eAnRLRluexMQs3!zU z#QzZ^Qjc;a1+-~`}BR72l_tkOiyK2q^k2XyDye4kwh zs&O}MjEYb>`)vMDr-D_O+cifYBx_)_@^BUnTto>Hz z!GJMxkG|Mho`Beh4s6CY6;M)7*e&_GM5*63Q!{w~i~-9!e|6$u_s%4{CI#wY?K6~3 z=B-~=Lw4gNk#%=xINmo2xvk5h!O#fCeZ65vH>t1@>WygmRbHPO8$l5!e5dXR`hyL3 z$*3NLOM9G>QNsYvsh%b}INGN%)lr&IFhV`aCfkG~gW6=AQ$lVM7m&`tfcTIhs~J=M&)5r`lKr5Ju|9j+$8Z)!Z-Dxui-_FFurwszH=Ou-9AE;|KQxm_+m*$wszw zk5|yXGNM+o+!h^>mY`P=gs#VEjOD%@yem%qKy=dr|M12)V&If6ag&>1GFvztVk5@r z8k~a$SFJ`G(cq&#S7sy}(ICwl_M*7p(LDL=6wY6NEos32x*1=}H>YPds2#7lLF?t` z+T{Swp-S;M4-K+!h}#7RB!bAr%a1^Jo~Pn8oP~a=6SB3exL&^PTBcC6m#6Z-y5@xD! zuAZOz(T|T*p|c1aNTJg`-ShPPyR9hl@Wn7=&jy9m4TbttyH^HeR_Ln?5_h;tHf8eu zMx`!mEgTVohvh_*BaJ9(>?~N2X|kx;RqYl> z+$4B3SBqw7-9P@j)T*C~=6fC*)-}JeZ^#S4UDG!8Tuu{(F61`}1EsD`+~guju{ke> z^EDrHuk&+_!WTU4NL+e{VICG5=q`}pOJ+=pahd-WL>bEUBwS&~&?6vy4-!1_}P2h71llCl!;OUieZU^RO zg4ykOcvgBh81SL*igr+#Sxb>qxM7w8m77do(S6kutrE#nQn`<8*iylLdlq7|#yGgC z(&h{8VeRET(G==1;l~B*dk8|9GkiRG4$p`Z$RHncl1-Xa8LbZ~BGe~omd@Ug7uZRM}y;+(C;-;3U*cI_+o*^ii zDEEj?o#r+#cfsswA`~49yS|U*yUmA}%S&#d!-Wl$iZN#kEh66gW1Gjnw(h;F|821? zb}VVs`OBWXenoZ+p+=SaGC%MPer}CX&FDH==q`~MJ-bqKQa*4jezQDyw;=Rv_4=rt zRI%bJE^(WXk<(QO3C+=@8Iax)RN{OmRgn10RkyBl##B$2TY4W(7s$K|fHvOK%L7z` z_gaT=rp`SAmFZegp5+WdJbFmxZ&nW<>QlBk3w5H-S=bm6H}y-{tpZisZNrx<3Y&~} zUBLNv`LD@&-DXMlB*_|8^4~`)lE|{L=U=%NAG4@+oT(YI?~q8%mi&8J6>Glga$(`91^3y6F^w0M=xL^IVkD&7gOsi@)&= zcmvtLQ@W9d!qJB6uswX34r2`(&8Fm+OYm+=+C}D=K&Nuo?Li)I^EPswsJO@78;c6b z$L<`xwA+~*ew?5%RR>vzhW^l{xSfXvGw>>; zYq$2RTL@elFO_Hf!bhIa8b*V7|9wO1-dfku5y(WKuqYEz6Ar1ks0>YY+Vm`&Foo=K zq<48AF4CWvC+rA;m|`$cLV0u|B^$5zgv6?*l*qZ5bWd7NA;{K)#2UVq>jx=p3*4g<$+&Wu`(i8R-q8>V|O9s*fUs= zeW5$fV_#B*Bx2`xAy1kkD;)u+LTl+E8EMx6^RhxLi^L;Q2RyolP#%`c}FG3O!Qhw?wQGFjF%`Y;LwyXMiCU28#4o*(?ThQ^`oeB(N{( z`fI_#)r*$Qn#(FDpBs(E0K4Rx6(cJBJB*qEF@XXeu<=NFCx^&*)-${g-E?6}(mfgJ z+R3e+k39!V2NKAYtgL-93lZ|`CnzU9nn{2M>vR2h4)(5FJ)VwO!a)3fk($M^LZWjk z81x8R0gfBpq~^=dw7M>ts#t$Dtj~m}r+m839vHueUr8KOWu6E?Qocc*It?bO5+4#T*)Du=2vS-3<7@m_2N1duI>n37*eeG~<5O zhK~BTbaxkAV4_2RE%MA|%zD_W|Hv@W4!4J4II+4wl9RR7C>Q%w(`VL(=tR9mv%tzh znIR^xuZJA&9oCaN{aqCTNsB^t?~2Brp<4WVA&y zXQ~JVl5_hBa)TNXn@aqmQUH@Yx}+T=YEm3y`cHsjLW1 z@1fHCJorJNk`w;LhWX1Vg=+nnU)(pW{)Q0&9;jtG|s}9~r${9}fmI z2!QUoB6{}=KxXXEGytWG*w_}e7-|Ulm*rT_`KatN-rUsGSWabu<+%KOLJljiK=CKw zp9$APbZg0DKmneje|F6=VzvZpTA-owjbf-ArL89c(5G)4r;B7!dlWwS_qn3}V^ysfY z129hn1W}pmO&-*Aq7HUnizGVLj3*fjF+4-DNz0pa;wSS)ot=;~zBIsgX+vhK^jX8g6Ay~uN5Y-Bm?}R1zQY)q;lVf88l&I!pxwjDj---w^s-yIhKja z$hmpKOergIf^twXvkVwU2vA53_#5_9GSD+I-I$jau;ZUixf`uqfFkTWQWA;rxca4f`?2@(dpGp+h9uU%- znJn$CpoA1Ds0`tU66=iiqLFmBY>S{c_A`lB*&^Ul#57{YkYxQGR4;UR3>xx@G^s6- zgoKKqU;=4Pna;L)G|;)}qGH4xrP8PXI|)D<6a&rk7RS933jjXlV9Mkg6pHC>mJp=Mj7am3r zReW@!vC>&ky;o4!#3Ptz7ZLSyB}~5;F^upp(KCu)cLhb7WqLxPdB(s*L8yN{rIhJ# zy8jL+)El-2b_++X38`p61!;iy7fg#Oj13?(rs*2jA|)Tujdf068b!WZJ3X= zFrMo#HbB7uDG@0}RhF)Bbi}x$A4dHBD|@Q}q|4vkGM1p9P;XcP1@nzF&N5b$yRB0) z#B9>f`kx!T#j2=|mlitdPM=@M@`geRU>$WwA^I9!9aO}ipX&-}$l)=EwzE>+x zZYut2P#Frv{iB5x%hj%sPr>66MD*|Lmmdt2^YxXR5i1ukh{sCzQks{5n>`PBlcfJY{9(e#IEN?rOt^61Bu<@-HUB14F6VXbJKNx)Xq*G zX%cK^BFx^L3#6O0Wfaj@H5Ae7uqtP2crMs*L1V861>4vGb3&HZ{Vf@OS{^_iu~ zAdjMcmqqq%vB~Y~QSo0;^A$qV9BqYysrK%VvM3oF6uLgTTnnO9f3khP-73xWn^Qg2 zoZ4ox!7C?#G#^N;g%r>nqN>;tbR(`1B*ZH-_V8LG z9FBm-)K~k`?l=z}pV4p@$JDPo3iu=aL$q{xRd1AG&Pbzs8fwwIwzBn!c44aJYAJjl zDhd^7(K%8$EpeveqBl{HDRw6Ym_bYri@f(bpxnH*yDQcKTw9MeIR)IoQ%>tl8r>?C zzdCd5rc#ylK8Jc@O>tFmoy6uj-jzR7e-67zT5E*VU>0NQ?YS&G%AJ{Q)kcs+|LuL8 z5!>3ZB3FA6uFlbVx9FV+KirU_N%hxSY@q7yUGQNz-}NTWzch-{=r#6xc{{h-R$rHtTIZsr|C(JX+{opiVn~?H8Hr zbu-@+3-)Z`_2tLR&X}vyur&9@3!)`?#Z&#xX(;!_(0n{8NG735{sy0rZ=|@hQQ0l3 zHjS6S?|}G@^lE-Wdphn;4w%@+`cv*hd^-~xAd}YntlshIKho0sIn%POakR5pRx-f| z=R^GXeQ%)n-ALjv6fkhyqx{*NypK7ACNy^uxoXjQ@3vRHuhN~%bRotpwkPKXn`Ege zm&@rtz+Ow%+w#mr|5i|BA>9Ma<-i@@FPL(#QFoaizYU3a*03SXnrWcgG5a|qG*LTI zEFx2I|24p9Ea#|c_8&iGCRK3EO_ET=U%}Dka5;tE%6oc+p?;gQiWD%BD!Hh#evDLJG!UZj3_WHi5Xm$CH4%>*pP zH*n#9UmRi0keeJf%~w!eX2c-JKD3+0WIJi2hw>*e`v;y#jEs(zsVQk9qpcrKlu?g) zRjBpGA@iZcAkUNg;?G15F0LrM$MDy2n(Rf&>rxT-V%bwefm8`GwuR|-)eTB z&hu{W5H*lA->lA8x==s)cCf{jpI{toW_9p5$aDc7SZ6(0zl%dEG{UVZf17ftjU2mJ zC&N9zxh~aH(RuAAunleJkxG-Hdffs!g6~mC*yvlPg7_4QJbXA~*i*=?s)g?-P%*lS zbG-&U!H$2BNY+NWUIC4hSmmFMB;~J`L@~C`k4hq?sqPh~bjv%o4#(rjY!Iq5;3}3) zEPtSmcQnq>Zny=5s(DL0MON8IIY?%t1nple)o_79DVkVk4hEPfTN?^NB^hf2$`&@V z!-=Bet@uGg%e~ds9z1Ze3VVS;5PwErtK;|D5b8vFbM$bjwnl^gm=f{P%MNxXj@%%m zCB|wQ@#M9{B!Nx;Fb4(Z(@5x61PGhM&tw=i1iwOa94CMErvRMxI52U=tijY%gcgXFq0ae+gp4PlQ_fnVDGBF85oQucRrgO}B^Gt7 zH=sYFyuZZIu;Pf#rQJ-UD~;6`CG96AScoO<#mzDn6&$;d2?(8;hixAzMWK*N(9q%J z{h{{K92y*DNh}&2_qd4CDi)2S5KGyrtt~^bQ(}^_zstkYq6iR6wTz|Eu2%KNNzr7x zFFGB6xw8#r08*q zT;^kG067uGec{ny2B+CTI|2iXErAD#7M@A!jXd-p0 zYlX@Mk0q+3Uu02AWhzd!P@735!6N;KXIf&-q$;D-=1|F|7=%>OGgs!MmmPm;HP|{O z7Gb|R%SqkFxXPOP)*Ci86b^)z1=hIx8qM@5E+ySms*YUCPo$e3L5b;2dZ-tL3^(V1 zOqQL~E-Jzb2k6+PB;cZ$Q|?hnrZ1kq)l4~G8ejjNIDG^+vci1e&n2If7nS>CB3!7$ ze^fpm-Hy#T#GF5adEZUMO%XqxjW=?cP@syb?gSlYwV;kC!Xq>emxX5bh71GG>yb1F zHip8{dXfk-#N<_U3P#N;s|+_`(xAC?Rt_S|A!7hw89QsZsC$0 zQC??>wO{5)e7|ok2i`d#4lV0{D-JT4iPsZ%qumkH(BuI|-Esd#0FB%VbN6&^Q71W3 zpt}ENA0HAi5lkVGruVDFa+3EzGD6dNcx7y{P|vsEblk%`jKPXrHi99408=i#dIt<% zZ(b5BkKz2sWkxJf$o(qRIQ8^;UUY12R0@ea`K18sqBt^9#V(0Rdi5f@AWsIByn6qi z#n!EI>4AlO1nED+nfe-R!Rkv#QqbC&UjL?@eap!8zDf{7Q)jt=84}sXH-&hz(n-w+ zy07#kFtO1#H`Tm<##yP3xEC*tZiM!k^%SsAL0ARWT=!U%RNQX5>d+=jJ1si(JBO5N z1HZ4aDE8N-^+;${oviu5ygqRGDrtviKY@n@nV6-o%-I0{T6MNn&ha$*jN?Xd0J;nu zp{R*Dt{Ww|f~;`}MGL=QSeg8k{bL@M(tyss)`298XHU^p6NPvxQzQRG2fEfiQJGYN z8KSzHGdVc}Ls)q=K^o1>Iiz4sVBe>P@wXv{I?p6Et5 z%i8H|EUkgb7LLGKgfK1lx7EQi6!(^9_2s@%~|F_tr-An(ckPv9*mL>cb#s5F9yi=bFLu)^HA5 zCjypSw-G*tI_FET@u)iAc#Fk(@TuU5Cf3d>J!A^Jw1N`DHciNBGHwd=w^{v}4hjmX z^u?pMxEW87W#WBL3aK>5EKN|ECZQtu1V&t^ znTH9EG3a@6TlX1oxG)3tTS|#E{@&l2#%niyA--BFMnSI7m0K z;}dDkh7^)3Q1P(kZQ3)d6$yA2Sx~u(I`V_^n&>>f+&dRe(dj)IY8AzIU;JrGY0uvp zOOy9sxujEZ7@8KSBy!gGzB3ZSbsZAclhAYIZ0*e=p{m#&xx#8Na`yBKbb2;<^&;Sj zUQhfiCrB_4!AVEKEFi}_r&2cKTc&SMo;IR)PL-(7I$QFJ(cabif|qQh zzI_LJYvT7qa`BrwooiSB3j9Wu_}9&H%I5KJVQU}#?_Vq&zjx7RJguNZzgYVHQjYN= zVO(f2rCg`8d$3KNXvoVrD9>UAss5t6X+ju=Vf;O(~AMjBn=K8;l z6R!PfuMr2_|HSF-SWViyt(W$Dul4mrBy$8$mLjr#CrLzrM?u)BvqpM=$o*tlul(*;~@1NAF za}IREsA7Ac2}(Kc*Q#5t=2ymm3%K&_m(+|R!?cZ8b4m_>dG;gTD?^7Fsh4+xNIp& z=v$*_CH>u~6Ce&^(aHo5sveZR9l#8yiteDRe+rSfUdIhr3nJ$bf)6pCS!r>sJrmSC z6>JsWp9tn@X$OlB`iQ4#2fzZYw8Jn7To2=eD6<yjni$dBz;T<%bcl47o$oNxKyI&#f6OJa&C+AfV~hWhg>jn z&d6yC9ZM8Uupy+PsomxQOuwq>6HCTdHm(DK8<4_00txvbmY2V{>RPTxem7UU;c0H@ z{sUM40mFZQ{#kZ)^87O4bE;}o5Z2xH8{}s76a4c3e>6XfLrP{wu*O+}O2WO)NC#Gl zcc6J2Eoq?kjE15_`c}hkS~`7DM<5}@ivT84?<;ldS zoc1j!hOf?#YjPS_Ow3rjOs~z}5!eL$W#Udx95;zDiDaExyS_`XZGU_d5&aY|j<#AI zt_0{V?O`Bmpiy!LP(|@i{0m|&X1f18=feGDz3c=K8hY9i$aEq`cV-PtXfo|ZTio9h znz3rF`n9!nW_TpJ6xFbAwaeUJv?ro@5HeiaM@2fZmIH#4|EqB8&e}>CP0rgyztY)% zrRfrM5WVp+C?Op%fk>%$VZiUXHl|C3MZ>1`H)qg}7now24b~(Dpc_%^)k6M@!5{P2 zVI_Mpr0%_m6ZkQrW|7orolZ}37r`vFV=SM3(T=}Xcp^+p7m*b58rJhZi7Lt4;ck$$=)JM8*I%eb6E$9f~ zD_v4vnld*uTdj4Po+C{^=l4K-RYGz~V7UJHBQK~9>D9@VdNFA~37tH2G-pxBj6L=m z-ENIPcDI-8wU)t3_^p<>B{va^y#o5_evb~k5bn;L2@zz0hy4yn|b#d=zkOan>cRPCzfTm{{ z%9FWmZz7c1)!k$y<8yS1=XW%4%uAZ}@ZR+`bK%G;oT=mJAL={dGy7=G)*t z`bcbOM~l;7sQx(L_g_xB8R6smN+W37c^dq>AwvLG@x*j~6F6%#PRyD(*rD`wauU4x z9ejFRaYpEM=(P<<3pkPbSjcT6P#$zjjT0c;yz#l;1=D!enh@XpvKW^2*+_am8J6W` z@Dpe=ctLyB;bXa$`QRmZ_g@OWsik=Rvm0f4@8I+L5>243?={e{H#R`4A$XpkS2~A( z7bn7SorY4=-nMf)__dmD<})EOp%9y~OsD1;LiC7App8Qf^>zQk=g5w@so=fa!teMq z3HV$RUDgGWKR3_IyDhTwod5mJp0MW_xZ5*!H+ogEA2wie>N%nqEwIDw`FL? z)6#3`-vm5&zh^}ze(nZ|X(SpI2tQ2}dM@HV$sTp2MvdI_4-Y0GsqL2m!7Y^2608#X zYa@^^pjt#-AM@{~r)m687ZU(XNVm*N0|SDjh=;lT=!X;l=1Jiif<22*JDxbmwuRr!Q<`u3SZZIdUy|`XZmhsywid2kt3BG@pQfvw2&d%N81z_c_=qoF? zDetZ?b^?=dYUiT=MI_hPk1ag6=^%AQV#0#|_4_^j-{@a;kUI&Gd9_oox5QR8-CueN z1o@QlxscbjUc0`&&vW~@Ct@c1yic?Dpe7|xbN40$zIk`MA5w^$sgTnn!M%r4AKU3x zerzB6{)0&)|ERq;zbid=eUDyobDJptqg+ORK<4uKK7OenZl0$%0nx2s_%^+I-Y(?& za`L}@yC7~h{F_mDd{6D;?BxTn>hR@E05GyC z@I$PguVYdKZd$4VeiEdEIW7E+gU|UV_~3!93&WA3$}*>S?OAd*v`)0QU*{C%*OS;& zGkz{a@t2=%Mc*@U=a`6L6E52))`EeA;UQhF4p%M3(6!o$3G3KU#@AbWu~#Ek5Na}p z%dC{Uy(67+^Z)?|9loncl>zs)K*NQ0M85V(xBg^ciP|pRMj3 z<~jHlXe?N0>)KP00(clzN7IF$Z6z>h7Sw?e!wcY^-g?xeZ@GSLrAxY#3&>U!bDR9}>(ZLn&StGT!Lglhni%G0M0jrUw# z#Mov^5$kTix@>+A^K4m3G3;jfbldhLF9}ZoHp8A`sGqT0Ns{SJLAhR7VXCr`PIsbR2E!w4io+-2xZm}CCz8C5oG zsbA1oAM4yUE(rz^lnaeC^i$HxZ9R+bqfMwv8F1z#swZjhWru3z= z>9PjP*!}whGw{7$M^!LpwV0etQ%g%INCzSJYPBlTDvG~4IShPz2KMxb9CG@;D$U*` zwrJx=`Vd_I7?$VPq%?$gDKcBT(h|~)G!+AA2h>;>G8zWnt3*WCM#$IPx#5Ieiy8N5X~eT_|#1Ioh_V9T+(nC2f(rUT82w2ab*snw~h zMMK#9QgAJq=Bqwr4%j9(b;-z=wZod$9v@iz6*t#Ls=uhpS`m1Sc&Ca6HqYr*KJU^+ z%V~CVO!PaXqMgY$+Zgmli{QIp#Kyd`Txe(FdWk2?!t$CQh8#gW?LVf4`lx^{gb z^C6=3@@cyFWz*%$tOS7yR;v!t8se;T<`?zZv8{Cb+gV{X6R)~;#jG;bV zj3{Xl$0aVWeGA`u3)qD3T}TMqiED<#_U}}ueY={M@#h8e_pj6>70c*gHcsxhIiw0+ zaYe2nK-X7kh#^ZaFuTocC^oqg3$=n1Q!%i@xqR|Hoc@6X0{Z#!1XfM#lmRHB4J%mN zR95?eUBFrhIo4^y=!Ik*b1YL>Wt9k6%YZ>rQi5Yr3Sm0UZ3XDYXT1KIyRl@QjHvlW%vT@dmbY3QdjuBz>9)-UD zXK;7v!>yM56La@bWj<2;3%m#z#eG=y+4cd7Rb1C5*T`8PtEt`nTI_w+{SxrQ7?U15-fx0<`FTAxBqmKAeA;@ju z+}A?}&cj}#u^1+8g)ICvMtwVXbK7ta;SY_!cnHFy>(eE;KafLGp@QAXk}Dl9Gj z*4T}mjhEm)cCMzTEu&n@+Ud_{7xgO+E-EUnH%pd`m7S%o#>uwE+Z7UIRE;ktCa+6% z4X`?pkpBD-nD3#aL5RKp=AdH)K5HXLNC$*}#lZCIX!J3uNxpRWRtH~)4@cTxMR+fg zzt_4hYND`Thr*G`WwO}q51xNMZ+m}7-+ocQa{C>xH>N`G6>o5InKkum*UiD3&x(*= zd(i4_m3L|o#CTVKV0L~W%6}1le+eFcU+;fBPkwI?Qh(DIZF_%z;15p0<2PH0-en%y zJu2~?Jpl!#U-acHLaP^F3)GA?cK?jSVD^mg`6B(^uBccPN7wR~Z9?C_divjE-*w{Q zhE(e{E?Tk@b)Do`_P&#=jU_I&xw|bv+a&2iL$%tXn?yba2j?wa2-{;MaWO#ExY>Qn zm*Rte+n_kXap&@_!@d;SJl5epab~N$KIbiSSg{5K`gHQcxddM7d-9z<#T2lgxxjhI z4zNRE824dXy>#%K8+vlFLmuj2`(cefgN$EN3a|0Pa(?fK_oCx4Ys#~+hjER*1*`=E zV1j^WvvXd>g|FH&Z$_*5=I(!=F|Z?M4P_6qbE|OQ_@$iK?*FU4O}~zPnzW*`y?%7d zY-K|ST&tiE4YG6k1!3Unq64y6A0=X;eOvl)c8r4!$w3 z{zbTde)EN$HaeL!tN8kT(*282u3&M2ecuS2&41s6{Q_TD^O5lGcpZuW=q#9=_%+)n zrK{@&CwI24Xr3SIfr4GzS2xKKn`eo}nAvC2xNbgMBLc49y9|BP!s&SyeqMO2ys`q30o zDR{jC{_$rR;@xr@FG?fWxw<29@B=V# z+2AN_^rTOh3+jV3n&CWwRgW(e*eWe^DrF=C6w1u0m8%;-goV1370a>_H>h6|`?=FX zjb5~WL~z6l+Q+$el~3M;GmnM{H0j+jW{aZJEe(58_rj&n5MwJtviKw9gYw5M#1;X) z0UV zH9eeWbr~qS@IlG_u_UUiK4LFDkThoUU?s#yCacJroov;gSP|Im4FVfGc8?dhP5HZW zew3wxnv#9q<+^2-z_GlT4tm`Zh!K}RZBSYm+Wy@pmG3z&GX0wh=C2vuit6!|X7YD1 zBDNrwPA3$r2sl#q$UDp*egQj)GIKZhx5D=5GkLUHdQKquqb&AkmitA5NEjGmi$R8! zfTHPs`Al$l45aefm+4$qyfJ%(+&tlD;z~aF2G==4(IkwIE^kALO;cINIED>JOvBWADbmuFcSh_rx=h3`k zM^!@dFzNm9twbB%R&>6Mqu;o|2wPlIQAGR5;6rIPL~;Ye`Ci`-Z}RJoZ1yTscRY3) zxCG&@g8MKj%^DQ2+f;ywh+er9WBSW+Qz}c%hcIyR)~Ia#u~tdpIG266fxB*jCM=ie z6wr%3AGTJ_g)%f1eYl~&=Bxt`e!1cQu&;M+Tg}?&AH)oTPo$Kt_r#pd_Sh#Xu5V{{ zgm$m9n_urPIhJp@oA6F$GjIA%*q;VMkQD}UlCd!qauJ{#PpQq$w)HmQ{$#db^GX~v z<7q4-w=@aS`*RcUEj!AZh0HJZgQOa-{QYnoqhio?n5p5X+zw2PIu0D^XJEFf-&U&*kgHGU zs}TG9)2#)1zbmTdR~Yi?nr11(Uvk0z>Wpzt0o4&K<0?sWPVY>~YX$&6J_HyUBiG2_W+jWaHWShNt7!RZEARxC1vH(g@?-;r%zK1q zr(yW6TVqS#tz@8D)D<(ey!blrnSp0s)De6>$yE3E+~HC*5-F3iI?f`q@<;CqjuHIa zr9%W=rsX~QlUF*5m6x_LNhj06bD~Zjh{;-cQH4dJQY!c;O5or_L`Jmlo2kI@3xrG5 zqeFycwb3V+DEr|ls)0*lsDy|GwY*#`+Je;do!44^5sk%K-qf6-P_LB6Vxu4@6zzBf z@+p7Y{D$pNpO@Ljr$^-XhT@&?_Vtg$t(x0J${)=US5lc`V@eub*o6a5IvHjqTN_Z< zffp|S3>qAI$+0u&nf?x~_LuUY=emQZ9EJ1j7yGZvX_8#*wnqGEb4n8vB9Nt;-^Qo5 zMUX=~%ZcJYsbFh&it}j^BTCF!(G1q`i!b#5A$F{zb4r#{%_IMhxP2=ta7ZZ^AC2VQ zB}oRd6CajpC2V1!tiZ9>(4O`K*?{7G4R%eYIn;re2XH+|mn zcDt}Mpo#81RH@_?_A8R$iP}#AQ!Vjh+P(g1VjoE|)ua&3<@f|j!w;pw0jT;4JZXY< z+zBd_%q6}wxqF(&-5!!Ja}iA7R^Kaax*WMr=_GaROF~Yx6`aF4__nA6jbw#e5!g~= z&@yVfb$@dY=9QQKGxO9x|9*;i)PESV;@P$f6m$Ws5VEK-%zOOxqnvP${Z`u}rE6kR z!kzZu=XqV~K!4n?1XuDs&}5sn0j`K`zJV(v9dM4I&ncAwhgv##EFK$`^%$sHw*Nzd zQ!=}WYRSHp+zK4S-jv+>ac9@6`}*}O>}|A3{da`(VM*rNEWyO22b&kwb+wP7wmK=* z)BqXeCVEJjqf4q0@#&y$dw4pgjUR>W^RDWbJ?!(E6}rFib(-72ZA_fRTN%(`+WeV4 zBUiNc;mE_!_31gC?aIBd?V)trm!^+2sf(t}(T?8L`xJtWv^-oxi?Q5>U$5Y3%X15m zz?=)xQ`7?tt=0QsK@!0!tHYSFDfQ*4vxs0o87eQ=>5yIR_qzs=P7mO3`|$W77+|^* zu0!mlZeqE1PG0I`fF<5yFqhAB)kP+~^>Z66bp+-IZJ10ZdODtSjuWbN_l&q)Uod=k zA+|Ps9C`p=aO|@+d^P<@wlt5F^&`R-JqJiCOFY6Xzd_8K7Kk4`{@8-lZEg0B?qmo< zcQ31}?={P&2ye`Z0sO-iSo0Z%rL-NIGhfMv*o{x)wAPQq0?+Mn3o^2qkB5gSxxS-P z%r68R<6)m-<}rq8C~tOSySs*EbF?!Xcg82olFSnjOTQt=_~9+!`omx4tjLYX&n`yw zWm5+^OFQCkW3C8yWap;YN-c+2$|bc&=avue-oxp)cZH3%Qy}2BP%f{kzDr12%fHc% zoY#x-u6V0;IvvPng|$F}5tFlE)K!>Xw$h9i`pMD{phr}jV7*2>ubTzzjk0YPHg8th zoR;Y&!bHX|#|me&2qUOcM~(S^$|@_XU%=@Ww45r+*B<)soor z&bq*<@^97YJW85c5>(wOT{`EXm%;}FHIQ#ny=^2i5I(FR@{GA>3%BIiTAG*2SQw#T z=9!p!V}5)40N`up<=WDVCezYyMGGVDeM6w+d_A{@miy}Dk<#e)xqd63URPNIx>ZW= zV`OBD3v=>O2<|_7rRd>7qihgA5uhL(8K8V%F>12FNgPFBJD+&k>DfLqq%TG$JbLH* zuDig$(Pl<#UpM*BN#LNU`oA8qhc#^p0G~ zhf%Jld56wv zAUL9T#;AWX6WDz>sE5)G1u5VASze_NMp2e%pU@SYdyIv{tQ%>W@jK_1o0_;~*qA@} z-v5Q5t}`l3fy!%wgWn8NXS2CM z7!6kCE_{5f3~qmPUu?kHZ3~knZ`_C!yR>$=yS6ITSTA38zE&h_l&g%$W>c7$s7V*E zB;GAS?5*B+?_S<%azdwPci4M)4;nXWQ}&KqQCeDRHsfZem9?cw^p=|-U9)|TerOjY z>IZ-P%&gGLtEePWUv!!owM5t3EGJ`4zZT>LX>PO3+=rQZ(lLZ;6!$pys?_+I7GssU z24#o84yo>Jtku*6?mk~^PcO`TYZ$k7RNULUuOA$IO)M-_4?GMEJX9CA4>;%_uNdgJ ztEK6xX{bBBZ(Jn7#Ov><)~Re$SKr#yrcNYBPm~}PU5Gq47H40ql#C=*D>_6vS7?>A zRV`7_hO31@#!NC(@HB9ikIw0Ae(Fc@&`#&fL=uY}xG*3k!!UU}U&@$2PH%%BJ2*?0 znkLgs(Qwb%BI$&b2S`2kQe+g&gl8!M4Tq%N*}9f0^t7sbU-}3O{_&R>iZt#J)W*kOk_~$8O-DGcS={+O)$;q3M@8|4`7fz?>0Zu_wm8`;;fx*-O?|7y0yGnqK z9S<=?FKmy8pO=@9!fkNPORnP1WbI|A+Z|oHYpZk^u}iZ*37B>}hMg_X=@OVUXFoG9 z-`U&C7O=LOEFEq4a&z>!0gCBQ+OCw0#ZIKWm?c*H>9#y&euI^-Y^suGC6}76mB-r- z#@^dzIwf^oWU?X`ZV6hz)#9C9AiRp3SXtt!^&a@js%Rf1!rN{J{AC`+4H5Xn zvlvP~3rNa|Qrc4f-5JgpicB-Jz5Tu=DeA}KRHmU#^tuqNHw1p<@G=lQs-*xV zLlH6a5YaCx5ULTpL10-bL{lAS7>dF6aHaf-#0_qnk&AwgRRTM`!QQDn50W!o#}D+| z9=x%dle`_U?A0>LmV~vv&7-zK=RAkm8tQ#Q3v^cV z)5poi-zmunlLMECDU$*`AyZl^DiaPZOcMp+73Hb-p{I|LbWe9#2^GmXqy9yn6$SHz zNiwh*ZedEly^OWP_r*t^Gl@&PxGb+~#0IJMSm_s~=duT7p_vHldpj4LV44Ivz>84# zJBwK(=N19)>zXJmP%j)6B2Sl?8W7V?D$`e10KbzujkpW@z^N4)7K=9^_3fMrhAQ6r z^J)-NBSq3B+U;>dfeK+Z=LD9k03M8xOzw5EUDhAas%GdOxVz6=srI;sTA&%S2qP^P z#%dSHRlB?V(SuKH$$JTl%q&CH7IAxd@Uwgo)_Tr_(MOPXpnUA-+VrHTbHn{}lkQuf ze9Y-)85Mtd_>k66SA!`+|MWPIGv;tb!n@&+V7KYZV=GR_fq@sNIc|yp$Yb@*;iRfLU^q!nr|?!=UAN-A<6^ou2z>)5prs#ZZ~ z2?rXvH=o;MfF#RC`P1T(6L59gzXoP~YUf82i*XBfT_n*9r#m@Z4Ewc5n2xE2S_}Tv zBANFnhCZ^lNH3(EMu+u+n0&42pocMv4QMJ$zSYs+O(w!=e|W~cCS_(6n?Kwj6|x5l z|5^O(ptl?mZhR_n`i#p~Uii^?9b_+M3NCT3MiI15Q{$jXhi!!qRy9rV7~T*8!gg(% z8U{*N`LQsnL9(Va8cSR_zer+_4|u16AIKnoGo+y^ytExgzrNm{_8EpaFlbYurUhxU zH)}~bqO=;|gJ|uqU`T_FC=C;QpkN2=3j_9o-Wq`2Iz`3Q-Ho%=)=f=>22iI*mHzUvIgm*^U$9Sp%Z19S%$bA# z7@)G*!(aUz^jj1wT5$S9PC3^?cWu@Y5ea65snpmOfLuyWV3UitKV8Z0a&^}{hhFp= zF>{sw1j16IS5Dfz2kgr13dR3N>=`DQ_me=)x300VhFDwQysM_)V#xhnJf6ecEL90x zd$J-iQK?FQHYjAI1Vywt+IMPH43UglM^sQ(eD~U1qM4F_kY1!Z@ z5rK+UGi^`?N7q9B_iw6lU9Bqgi9?~0#%dFcBCE8H6tY3TBu=*6-(^laui?Z3+UExb zFO~-LEYpUXKVT2X90dTb`f@ErkP;ns_O?h;BtntW zcnd=uX_r7x!j&ao)(9?f!~y`x>t#%APGITMDt=5d?ae#zAu~^ma3&*H5r}b5NVyKm z2L+BRZ0YQxrG)N5B-l@p=@kAlRz;-=O>M7%!~>0sW3rwC^$M18jA%oB2`YBK5~c;q z4M6&IMiF8LA1DlDiwez|HIxjP3NGbgCBwm@4Acg=?a-4Y$d(O{*N6uO%iyS4Hw941 z?yi{Hjc~jqICw)TFlItREBk$&#^tN*l8RLndp}xSgz6KSJt3%z>N9b9%>>m#S1! z&|Hsv!rG@6cH5adkrp(}oM%$`0W}t;t;g2Ut4zrl!_QVNgy8i`dB5Pw6-m?IAUElAP=|fZ>iA+gu5`omk;mV&s z)VB&9k(x8MRzd%AmnRhK0C_#r&#MWVo*A)g*b7Q3k$2fWJ?cqk#>_n5wn&N!aG$pd zO-(Tf8pjB*8?^wxr}3=vx9CHr``a4!oR7A=G|&P4hgOqYP_4*7D=kU8h4BK$J{>pCqb zlU$#6+8}W-3E5z7j);lgDC13{%DH}#)pHt%o;zOXSpR{{Qb%ao6LJ5Z{(R2B^e@Gl zBFBO9?oHB>iv1zJ0A*95f_Is`Y;K_=W$_!=DhYZxTz-genL-Jvj-cZyt(E?`FhQlF zZ(*f60i*WnPb^4O!6y05b6-2XRy7OT26Iuu>Mk`QYbQt2*1-+1PL*SXeLF_9rovf7x?_wc6(0!;jw z-|p2q*+~SJIZ;|P9=AZ`mh7cf$=FcEYrSz4j3{>%36#i@)yToJxMPdz&qD7H+sBPv4ix@&CreY8zHX2$Vbf!BM>HvE2wP& zuy6-63?Y%S%(PyE#K)Q;x&y7&mlF~q{FLK!%$b^8{n{<_*FLXiFK{M`A*K0;3hq4Y zOIp`WeCyB?W@3ZL_A(ProGV|W2%BYBh}`*rhDK#t70Y<~T$5@ylrKu+9UkpX8klUP z$&KRfuDF)9tE19o6|#|@)|dKrEkgxams)q6HYHUEg4W)a*5uhz5@9fkRA!~(;np2c zJ zQ`?-Ue$)vt-~@k*CosU<^af(q0sP6gKTCJdFjU2s@|ft!T;YPJR71)?qk@UZ#`Pm6 zk@Lg{_gKHhy%q!IINR$7fUY^yZRi|3wr!G>%|f1lA^`~Cij!72ng9vsdiBUnsH4c? z!FpTVpB%hvmx(3E`19hqoeN;JZs%=I_x`@mA`8k4r*V1WYf@2@N|d9w5ZP)uJL-Ss*?}tmd z<{xYSQWTc%o}+JB-t>}vbygAd$VkHoirib~EM501r-|7oK0d&2ewKYqR%v!X!W{kk zl0A_Z!_Rufj#sE}^}O`AAf(Z{jnY=okj;Z|xNvFGI**y^V~+7^9tVxhQQsMekXd8_ zKSNF0X@gpL3x~WN`xr>RlG|0;UgK4rf!IC@l2S zX+#G?qS1Wr#?S@Ogiy!hz+kLHuG89Idbdbgh`O70X>Jybkv;LML#|pU>MsX=Ewp^g zvzTcIJ#%xOGDOp13begb!olV{dPq$j`H)~zi3wdBeNr|)o(3|QzE$VdNHHx8OOo$^ zF^WVppPle|J6cG?x&EbH!@ci(=RJPutP@~Z=!uNIyuT^iPvySi1xfV0r9`qIg{Mo% z*8Sh<1RW;{s1O_;y$ca|*||+;;J(9mf}g~jVe0jyae&|ZFoOPREzC~eElFeqfk6!U zy`kkaQH;4q>$!4qltJki&Lf`ij^?8oxxAMz7}hc@`jVHd$UAYNRJ=gZ z(w6qy=$Ya{6;t?}cO{?=+DMaAm`Pqc)Vghmi7Fa$g)}oF>M5EGsKZPq#4)3&3}6iw zx2mFT$jy;W!F?}myk?oM*=vY+AjQZwykmo2Kzt5mJ(V9zxeuv@>=aDk^I5w6(HBYj zamC#=P)q;$I_iA&Pd%{h&kx}75ed*{JJHd&E2C>cyWgSV6ZGZ!(4jHa*edp8=P_i7 zR~culhso*!NU^9v-TkPL z_chKPA9qX7_3_I4{rB=9pbmtp%+dk?dqT*L->Vpj4n@A?3PA{-8zc$nBS^)oJ$W5Ds7El~aNAw}SQ;%m~YkwG*f< zO)Vo+Z*E)1H|8lcaq-vjy<9-HOvhO3E_Ig~BccEl{4GFHm94dQ!n~#&L*(aA->U^^ z^YE}vB{miW`C>^jPSED*o-7$=FzjdIFM@#b5U!#)Szh#%oV&bb0WmK48_@f{ z#@}5Z#b-Hd#k>)br+NndpK%jk^{TVGn*locexMvg6lbUc1O~NnIx%((tL-oJ4B_gU zE`~lOxR41!F$m1i_h}H*-D-J{%IaDp66ET5=zY~g%*W9Zp7DH8)pQUx5>UM8($eL z@XutbX6AhHKrc||#XmNDRS*us%TP#PM^I{rT>=i{JK~(Ad|_WLPYoWIUQ1O4OdU7t zal;@wtHj^CTK*_ysA5>*4y!8_Ht!8FJ!z>Af`1im4BH7-SXMmW#L<+!nR?2!BT9r^ zvLm*S?&Q7jZ+5jt=W#Mb2C$e-)Dv~+3wwP?UY}`Gm~+lzmjv%P4O#8Yxu9SkQ zbNG9T${8uRb08JfcxgF2sujZ?(q2l@P688IV8?b`Ska9VmRnhG&f!Tc#MS-^v_qzZ zsV&Zcp`KLT%a-01%r=eIn@Azg1BdTDYCj%5YrIRV-h;~53iJrenJqi2a5G7h!8yhHlcgnJOc1lMj(@9*zW0_!Mo*{i-8>&u6k5G3sk8~Y+Cl4-dqKUbbtpi}PZ?go&bTD{LIoa2{ddA-72l#STXdO;n z*`eueKCS&e?L1!WU8GIDc=(>UE0Aj|0K*Id@k(`{kxl2!EN~!RH+m0WBn|z@gyuR8qovDZpi`O&zJn5 zT6dEVKHe|ban-XR)k;UHUG{^XcfNYOkxwfB?z4|xPsU!xIE%%%KT+C{b|g?_E8vsb z#^rE1vBKQF7n!Pdi>>)g>2oJ122C8TP52~08nH+E6i}XXHL(FC+D`qg5?s8>r8x~n z?!jkKS*|?#@*y_AYzzkOvl6~0xfi8GqB@ZV76bJQC|_wlA~xC=u`7mfjK)vMW3cpe zKu^XZcy#+0giT29shp0)P$5y&KgJa@qwib)=99u^xyu(@?rpGTH?~Ibzyh7g2Nf993|1)D`|N* zg^rD=Qzy<84&MRnQf7s!dg^Ts9)_t8g1_vNBr;&D7?7MZ>ka|6MynBKg(2D#$toXN z*CcNnR);3!*|DxNo4C*tWXW9BKlYp2-=oy{=SvOu#a;SA4DU>7w`MTPDx$g3l#DGQ zl`ee_(xYu8kT+o^76Bn7m3ZVr3A&3#;te6QL1IWH$~pQdUoH*YfwWpvYSC0OhblP7 z6Ez)r+fdkQcU03PmA&LcB>M7@KE>ho3fM7)vNl>8d3o{ATv$SytCN!-|xdg_X z&4ixsA}X6OM-Xa;B#M&{lM~NIkPYJI>xv0aTPJl3otuR0pejTl5sOk%W97#E zan~6h8e>c-8k=yvj8HEYiXjtD-fpNZL$a2qm%Mq%#ZV{n6;3gWCd-&E`(~!BH#-ua zPrf}|htl0yFFOR6EkWfBJ`)%+T+ZiH5un#%E-0iJdnL~ZSf+gJrG$z~qkwwg)Kt`) z9d5(I!w8o{c|(1glSfY=lOf$E@TpGu#Xbx=;k7T#Yv=$C#JRa$Oq@SUaAe zw8D}~X{t~=;1*v9vS^Y1BblKU!2S<=v{+M1YA91jr1S*^EHjOPxIid(nx-j4v{glN-J$$q#pb-3ev39FQzPDwwPlQB20lnXR=?l8}j| z)V1@xqELj4D+-f~rPmEt%ODTT0(v`OoPv}iS@;sxzNkmvh%G`U78^vjRDih$E0% zIae;1H!cW`GYtLfa_LZh37~lCzNyo5@ld6A&CI;6|9nfzi?lHfkH1(>CkZO!>h=r6mha`Fi22jCsSsaBy%k$65b^X zmv9M_jiM;b)xK_vLroc%QrplZK=l+tr&>F#i7tYOw*8acNv=7QzG8l(bq~|Z^Ql@t zbjQzZ(jxDwb~i*KVrHqxZJ}Va z-l&|c74Bb!$S_HB*_(x-J|KO-ak=YYd0>RuLSb|4>27S8%4?d6FCC>mAl#62dtimT zvB^X(l0x5D4NK4zsGp+E%gRs@lx=Mt$gO;Ov@HAu{L&^nkDP+eu_a0NWk5dR|mgF4C2H8?CoK*}F`PApm*{gLtm_*9VVOBgdgw|3?I*vAf%ofvr z_HmMR9CCrw%4HT8CPY`|j$AaAr{6#0AZ6Dd^1Y#C0OTA=rSo(GZji3BpG+*3ezQ6~ zIhD?`Un;JK5D#6!zB#9UQ^X<5n#fJSngfw*zh!Q}^`RTDHSBy+y;uWlch?co*}T?I zd766nmSf?{%-@-naG{87_SK67q|&o!Bnv@XAW@S`Nh~@4@{dyS+|df-^g{Co2bU5- zy6P^mBOD;M;5|CL@svi1`oI?Cej+0MuZXOM;tk`Z?Z~DK3O?KB|9;k&ZC<_nOTZ6+ zbMGWuljv%_jCD6>X6wj#;IL}$>r9duzoglwc_UQuQ5Yga=-fx8rpT?)A#=-_&%~9{ zw>snqnNFQ={zWTQ2=F*OYg@kr<+6XgL*O#3v}wF>10^N1f$6}JVkf%?QO>h?&|!)g zY_oU5vT}J5wytr7%Z$#$Y1Yu5)>>Kgz+~|a%ZN2VmJIgvwI*&`ykOp8ut&kYqC-2! zV?Na3vjRO|d;a8V)Vy9XuhU_z!xxdr{E#v=3t1;Y;a#j#QNF?Z$}({j5C0K^Plhku%Il3F6OB9@||kb zJtc)shdOg~R&x#6nRQ`gAp-j@|IKQVf``%9LK)z>qnudd6&dcU*ctAph&7;WsWH}a zaH_-0a^7?Odl9p#mcj2ud-iR&ShLFB;NCh1IFQEx39u_DekKp;S3r!Y6|c>T>DQqofdxgKiosXMy5Xo>1SZQMdFN-md}0lA@rwQJ3fS zm7=S`RUrh}6uDT#fhbE5bxsQAenOMDnH8_|NOe3YWI}@bH<+#C`V8tdcHV&XQ+mvT zWNp*3pg6iv&B*Otr4;1%tyjwj`k}@yesYEcl&}rJ0U0|lF*#Nj>RC$~Z1C3=q2Xz4 z3XB5q0IO_yeZ}NJjG``@ zOBU3k#gtA6eUt{QIbAdcWV*&YvzQL*%u+#;jIrlj+!L5J0o5*~+P6H#1=?8NP ze_T_V0yz&1kW$1juKM^Y*Nq<_BUp&5A3itO%E~u;UCYg=e{;1nj@qWyKXCmYp!W~Z zxR6+xIKRmKn5Z1Z2H9Q~`QrI#G)3RJ&gaD;jOg*nrAH%fxV$6zJrnYwi?ieE z%=%@01Evn+E2B3!7Je_OX_XzjO&l~rNt>pQ?;=dguVVtdx7_9Nb}PCoKB^1ba94u8 z0#fz>vXJ$uPeHWlY#i@po|_e(WM^zdXXe1CIz8U#qv^v1zA4k68(s}5 zMnoNfn5E_tE=Tbn$r=()D_xE3If!R=qWef-2Xw{$mpMOM{u}g}+gqS;pCAYU&Y=h} z;%M};HZRS9EMjB^SwqHrOX<{eD=SGX%Y}qFjrhPgKIE=094Aa#y3p9;3LQ(}{comp z?L5k#a9M2XE1L`{iUJo~dS#jNOAw97aZKiN`DD`@j+srCCzjwh%jdvWOTBiH3SV+L zv{cbmm{FsraouTUDy2ic82FN@$r-KI&qr~W5^^DP-#_7>&m-6do`bm`T`|j_QzS%W2Aak|1R!Qv9 z@D{HAWJ>Pu^=u~I>fY~t`a<%C4&P7gJL+n0$&#CEZ^6RL`t}3A+H%|XUWb1!lfVHI zBhnr{bYHa@BxFt=;=8?_POgfVN`5xtx&I`Es{YO=-u6BeJ;xEnggCu_?D?!Ff|Ex! z#GVHz%=59BXe{oQF8oZTnU5CFg1^jSqr)F+S=>E+&eO0>7^E*!@lczuqP^ z5ZaBj+!d}1?0o}6qP@-wPE5(R&5EDB@|b%+xK0z(#e9HlN5SXP&7{6?@pOIQg>piE z$CcXgdg;C-!Kxo!<dFaliS@CBDG_lf8<3S~y!e=rbi4$#jCOGX152 zBxGWm#F9$Hf3Pf=3hT<0zjXX*nbX#L6#h6rfT;0u-~W9~jj-eWd@q^v@w|`CHly0x z<3)~mS~!f(X0z_a9Gm&VM|fzJ`~`w!ddR(;pRyJOd@9Lhvo+d?x%XW{H&e*v&G|yq z^ocerXYYO+_&ERSne%a1{M``B3-8X

$--+9HZyYA)ObX-13=ROfqmX-B! z_x^y(Hj^qkEx60~Fn1dG8H&fQi`Ua8n&b7`1D;!J1)kHycOtI&+V^hua=f3I$^+kn z|9W&e=z1mo*~)Fscj=FvO2?a#iRZ<4F}^DL`0BY3qp5>WLyqU=Ybd4R_2#n_SbN@o zYAMR=C6-|s$agbt_|Iln{-2h3?D)CfO}P;e3eGBOUhAGgV5|tDLfg3^G{42Vr{kot^s{y>3Ra`jAm>&Aj~S&1 z0)1N7d6gDFMeV_rT;x5|j#kS!$XZp=y)CRjY|v2_Hw(v2LrYX2>wzii9$N+@;|BJ` z^c-1`LvnE>{B>^S#;cs_*+9Ik%r>ssFGNh-Q<$ z)`hX-;l%F1Yj{NQ1@+9NRH|?Z^#hH(NDn%?c8ixT(rvky?tp0g?Zlmxv3j`6z6)oj zKuT1=ColD8!BmQ0`G?jxN(+IS6y*_@I&YZ>2e!@R2SO;q+H%wsy>hW?yuS7FcFW8M zb=BAhwMhiUB^~j=I#TJIM73$;SfEHS0wQhlsRHXPsjB)^5yhJr){yB7O(yPF|DcIw zW7UfC(p2lAX{9TOutH#hainaDVF!=b(4Q5Dgr0{2b1#%hqBJ|Ew&sQ~Nt4LdZQN!p zg1}7OLJ>N@pZZUBzMwT@9gEI}0ZnUF9B%9eb$~?#Y`#CR&|O1lbFC>j?30Z;9f8j- zHi;DR=x&GCK(<}O&F!@yBYg^UudV@Lu8vp->X6WqezXFN_Wd^vz@|*6NULZ~3@^p3 zoY$-qgizgT)Fj4gUB^fXT+Jx1DXD;NmN`d~0lc7pO)bx&>yuTSaGE{03EJcZV#6{6 z^bfaks5Yk4cH?CfI|RkHy}dXoXfp~TXy>EJG1P~Cmj=gzm0)x*+RKQuK_|yZannc4 zQ=m!+rA?DVm?OU^5_SuB+U?yQ1)yp05&5d{CA$L$B+SO^{l2YSRv4 zM@bH7_ZauDpxD?JMJ@~Trk$igj@9`%>sdpN8g_U8axjA!x93rdG!iToN%@3L0ktj1 zjGHzeH92Y6^1u^#79JnL}eWbU^jnh2bU_G7t^>(K)pZgdAsn|q^X zB4eUvQl&?*2sfgf;nulW22#=MU&DHzE1*aVN-tW9W*^P`f&&2j{<;AwC2&a&%At(P zTIg**PfK*(0H#qi=r$b%YTJgJ?rR!NI|y z;y5AR1Glxg*(7L=+uh>dyl3;B+6yBPzyM4;*#LtQxxuJ6z-auzCi4Wu&jH{*?4GNb zfytBE)0eBRF&{EhBa2tENG>MLa8<~F5ZXh#J*FyW` zbf_ajMpj=Y!BW9hV;==C0B_6S9S@ry(}t;(SVpaw{zxJJ|U#oI`7x zIih1hG!a2KvwJjKJL#tgXX#oOVgPxhArzd3k8(wy?r$m8j!%fS6-U})34(Y>n&I)4 z=-nU>?c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DjK3IG5A005hq0RR91 z003(R00000002vy0RR91004La#JzQV6v-1eJOjaX z@t1`^;oSe9e?BJoF^r4`KK!uNSF~Po#*mho$3-4zJU^TNasL0MuO}Y$`Tvg1^BPaC z)aU>0tIquVzw-aTJb0e*#Q9%T)b$U9N;(f|Je4zPJ%&ohog5;`#2;S6vfZ`l`=rq$%Z$r<@i43eO9{kV39eXFTPm zMwHrvABE?!^iooKC!ZBX#gF1&wO<69z<|rBv8Yzz`6kdff?vrbmVEvo=M&3kB_D-9 zQqISd^J=6De|$OfRiFxIA~};#T6N7^fvR4L2ZdkJiu*q})foj>6^0lFyhDvkNnYt!jZU3c*DDO3 z?f;Hl;a8UFN!K&Jsq^X@#X}O2)k_(jqOL}*#-%i+B%`iZyeXWYJ+!GXD;`u|Pdtiq zg-3l>qf@=q^$Mr*L{I-xpI>>%U!76CeoBN8VkB#sPJWvWEqa}xeQ*05yt3%NcZJ zS#65}0ddef2WWfqc+F++dsD903i(^^{SCdJ^PtRh%&4t<=bhikVwC<~=$#!x&yQ
  • SA4p?WGRhEudwTcl1+~HW`^a zYz-Rr?JS@;D!l|(-Q}OJckELUrdY3^NMGqh)%gy>r{lSKi#irDiFpG@=?u58GqGoO z*`-&+?5_I5;$fM%s$on~owt(v5*%mgl9crt`OCIfhDnjzR59%Ufc&; zKb~#_yV-HD>NLA!Vw&k(wlPIi#YP%9eZEck zXy^DP4;Qy>_wfDWF{jVM?+#==G~<~!2MioPJG7J^jr{_!wQ~LliFuW#Miq8xzJ1p< zX5_vA>4W_8nbawW0T-2fD1RBRtmj_M-Y(-6a{#SwFSRY>IWMT8Xn;Cr@P0jF)bJ5K z3sX}4ZD#1hljW1N zY*4*W*&3as7`^BC89s24-{{QZm)A?{IxZkQLdk_CGwjk5Rq>fBY(Bovq-VU1pPG+n zLB33oVX8a@7<5Ql?wq@zF$jH2`XKlH+!%CXVvm5`W6 z`Q}Y|`i2`VnsLESa~yZZjQ;v`@{Rd+#yYgL=*NcT4Btj(kahukF3-G0SXm7d=0nDRCzmO8kp_XB)(wpw;^Y{Mh4;dE)I0B_e+MrgH~W z629flzO2an&g43_H|_HTlv|7%qmD6PSUtKNPI~@nBGoBjiI_ouKkt;?8udyKhxiYU z#((D?GT@MKDyfx%We;h8A(yx6t_za!Tey)N&ox!v7C){L;I13Q8>uUaQ=lVkwqgGA z-D&xjX-jgS{((cHuh!q${;>U<>DmU6NEmmHoBZkce-+K=e-j?4fRI-Z0UiPc9c1W_ zy4~p1kmTM}^ip_tNVrd~PuP`KKnA&%>G}-sX(Q<=6P?kIS71LjinuT(dVOavO%?$y z9|G$>Top&7SN;c0xpN0~{36uz`0N7Wrb*=;_(5BNXv9H{2W7_Y(EnIfTNLal`B07< zXHvN-N5gNpE5D?(cWg)VR9G!vE7(*T?htEFgOGmpQM>#-7FN84w8MA%JBPaXTDdrT z8<=9Ps*36q41G?1fd8MaYt+l*;I6462pzWl0zmy_F{tiOwzE|MEhm6_s?qv<#tU4L z{W@SEWvm(~Op%_%L@iHNC6g0H4iO*HxS2m4zsdV6Zbg3dXVa_$51YD?sp{CzC-e#= zyR?MY*0m2 zP0C|O9pYOc^o@viA+-m8l2&>tV?$}FVxBY7e$~$!j1LUE-5|2vM=2Cr1-|J?46;Im zB%uCLkweTa-PGe|o1Q|3ff?Qu=6~gXjg=t1$@*(5*BaYFP|;qXwc-Z5*hi+OjX|{{Vn|Ihbgtmu8g()_Ua6^yc%f~)Ba2Nm7JV?Q<-lCE^lhI7Q8*8L# zGpeEz1*9TlCpGd-2X~(PyH?GP+#~e=m8;sGO#UItb3=)zFMr$Z)-%$&#cFHkI+5zW z+C`~hGBOAV6>-9!+%K60a1WE*PD+V z%>>QAAJ%&)P9M4PSJcgjJ~F&rL*UafjC#jOQzns?QKI|(51w7Twniwc;2dUY>^D30 zLy7QqBprouM3w>{ITJsjj`Bswdt&7^DEwQHiY!bm^!Z0tb@P^LblacIAWxEJ>YGI_ zIuBj=r@1xkNGa|hV+^M5#{MZ(^he$6ED9we9hJBui3anF2mm^PUnOLIeET_^eg4(dDLrJYFI`%7JG=%g zME?LXV^K3LblygQbIu0&bW|T;C}g{YUg*B{RWWb*lcy8b?!#tr&tSPMK+P^Jj>k66 z{3{n$CCp=0(5`bbv)QC@5ZeUPn!kcm>kp9vxNQ_QJU95Qj`k2@BU_fyJ6l0NX} zB;q!n9W2D|!$u>)@n-0vldcv2HZb>x3`C9ymi!EDx#(=Vz>nI`092PNOT>&P@Ox2B~<=R z^71H8N0%FN(YQck5XEXFaT^Ns+hZt;S4lGVw@hA{Yp40rzZjxGw$}QDp}kh+|2xzV zD0cTZ$@S?tnTz5VQFN0O;5k*)D5$ix@l&+3Lm6M7y^3PPYl3*Qb=3#Ag7E1{A62tB z>|-j3c%M$=oGB^=tb-+#IA(*CC4tXKbMgLjRUL9H@AfV}Xr5c0Yts+; zfi7OCRF=5a^8BHm4PyX6&G*mYu&)I z0m>Yjn8N3O3ss*M*GgOZTIt^s;iY;L9X^3gxcZeCI*}eej@H-vj8*iSHq9NncdVMH z_D0WcUNz<<*FKX+m-gjj{>-^!4g2-xGI>^Vvax9W?|K=JKHcH!XGF{7^}pdg=p!$` zT5|IICiT|;^1Tcjle{G2^+8D6t8cTSQ&i8?_1oos5qq?MiiU{%BlXUN*#~b24t)3F ze?FA>0fT}gZ{G`B=_=TX2!WCekAzM>qL-S^QKo;?P^_zc| z)MtJaG10YB5@G#4M*p`XZ->{AdNGswgB9w(AN1mGeE03r&g63g(}e~YF`8?buepgrcO~ z%sKh&mZV*m3ndl`iGt{xQ;p$9G`ZV5-Y0aQKE2H#zdV+0 zPt2RBa?u>3^Zby1`ZDX;)rkv^Fa9TXGqk@_Utmx9i1U$*M>j)-2u7_Y!S+eh`{-!I{hl;4jIMj9#sfw5 zc`?Dbu{&(}JfU3c@&Yf^Ii04*-wFiswZETzt*uP)A=VE_AFO%9&WocI74?P4O+5Ks zVs>RgaTT+zm*O=At2Swi9}9;yuV2RAl4g6&+rD)2PI2%biJ?iS6*z3{=l~#@Vt)SV z5g$8URlBD$XbZi-3bZ~l`|j?t>vR#XE#c~^^FMcPyyH5Z+-^e!67 zzF`nE6%B;YO$rIx6mG7Ihk|MSDk4_JPX`d8wW-b4g8g#}28#|cM^ZY;xFU5BtyXfr ziunGe+MRWh90bH9Xoz@z=ho9fyLrItw(5cO<1#e@amP}(PX?r#7on0n4w$iJz+B$ z=GGD*foe_=LrC<~+qzxl5I-k4VmJP-`@L7z-6K1e+4jE7UzGOsN`wDJ&IuhHosz)p zij0-<5ia1h0)0cFHQ0-RQ=$NX#ZwyUgI)^7ROMcJF)me%US zsgwXe-S*~@hQX=v-uFrQPP3G0?2{9B_C2-9psuFK{k)Y8S|6R$C-l-3?{jTDe(o*n z4>%sFw@@9$&#-n*=rupb9tvN#a$4!8xDQ5*P)hZzUb&X*DBrf^z6qGUOa;X4D5>#i zZmInMV5lx?w?=~lQODbZWxp|2vzc70E?45+M#9}r zp_UqCAn}&5#28w3+%y>tryjM>+^Q{LC!68-F%Jo9(v#kh;1MB z&#u68Q|hTaW7W@Ont&%L&XfMQhqY!8-=i3Vht3%CI1pv8!6ESh0LjV;Z3DcLUj`u< zyJL2-$KQ9}RBI@ZHPJ4~Lz90dtz=Fx4hKLzC z;mBjT8O$En2Kn?}NBHAtI$pydYsa z3&PJH9h8DIq3bL-X%(bwSD%9%MBz805sEjJE6%ERtX3S}5aNl*ukRWtCvE4 zUz}jJ%1ls9Vsq!yve~Nz?^VeYONQMqhe+S@*tH#_b`%Tr1b8&L+fcgj=mdWDpbZ%a znm-5>qG2UMBT6hYY)j9VY0>XN-T2Z)n!3c}HlK6hLO7I5 zuxUy~_JmeFxdx;CW1Rlhs#$+&ogKjrj_kh7MZNa=YiN;|I@|2Tk(OnIyvzUsJSKhN zQCectso=ALDyJroy(1hVVy+&kblLJ^*ev0c5!@Q7RfGX`5wehX3^;kUhcou;XMd9xn9P2*38Js<>4mP zp)F;bus*|J^j<95N^oxR!S2dUrO4yTY1sL|=@Rh>RT_&Vas&}{DP{fi@r~tUtJ%`M zgn$<^9{zFLWVqy!Z;N3yJQ9K!S{2NIszvwmhhug8@BGJr#uGMOMADmOdo0Lw7kRM< zJi^(P@ab+P1pJJX$SPy@5{FiJQo657o%HP9tgESwrS}?a*?GT{h!iKfsZxwkB^A8L zQUwD=2Iu%g`Iz$0+8f_RapBuC1m+EcycWrbhD=Q7GUO@d`{xn?70)kDZbt&^z$v%_Gv>i1Qxz9@Tr$tDX}YKzZGI3CeQDH*n|7LSm9Up$2}hoooz9x&tgnRgqd zED)zNb>3}teaG0`w4TvG3bl7 z?vu|^%lRGNB~b(x8a!f}Pj4dy!zVr|O>ty*i2Lq!>1aF3zC&vcuwpyd&YIki86?wt zLnLHnEC+J30!nkVFAQ?4c|G1*r<6sPcV=6TI$#4F&n}LjTc4x`hnwvb|H}tRS=_18 zID@f*6YxG6>@KA1;;RxG;x<)(OYJ(es+n%U)dFZyGIZ23`J{>h>+b9R`)cA#h{UI+g@&d69^NYZkZix}$cn56v z@;OR?a-M-yiD^V(O3`#0dB;K|Q`vG%{=LGrXE`nV!-_x%%xlA?%Fj|HGo}dT8XQnw z_0_5HAs(lXUYrTzE3MxsMA$wmFMGvxsJd^@VVd4^v3IIMb50McfUKkf-H(69F?){H zwn~{tjUtH0U$;O_3eqaa!7Z ziwYUbqPxM=zehPTiT%rkR}vZI=^xSC?_0r>;cIs*U?8@p;_CBHV9&%wPuHqb50QP; z{nZ4+1lCym&71qy@)xi2nR^e`vOYiP&QWsu)*k#f{|=Ou-K}*AY0xg_YJ8aty>>&X zS*{27%pC{suAJ{k{679@_2mt~1{_JIhQ zo@0qn1R$Wfx~1%hr?2U4>R$R{E$*!nL)-}MX~A&^FbsUA9Wz8(#u#^a*!xpDrOc$t z7d4p)sOkPRo{Y1E@NwYuN9QIzPmnF0-a9apMH3NI1!0JOl)}jq7*wMkcd`^%+QwEx z83mrF4UUznl3)TN?|^F|Td{B?nZjjF_kflhr18Y8Rm0P~xjatBuzuqyWa zAixAXxs5xOq6#9W8AXn3jd=D>V$PXcf6FOsQnv8c9Hns8mqQJQvN#8l`J&90(vy(p%GNkFkeGN}Sh`<*mxIfjlT{ zk$EeX#e(MMN7GL8U4gQyG8dieSE&fED3JkA@%ii9cof?~MIa$94#96jv}*?I>fS_^ z2Q==T;_Ji9_>ZuKGI`zlqUxDuz?crgcB+28E=&M6U!fJR(_B8GeP|uW5Z8V5hp{7p z)}Q*w4zy_?HypI*#|hHpxNoszo%I;O$$XNwAWl#Ma$Jo@*+bNz%yBEl8q@nI2}I1; z^2kw+PG%fqv0uZTtE3_QvhsQ@N%W>Xuy6bg{6NuXV=poO1 z^57R8m$hN5hZX?~OJwskL!LrfTnK}zwD&4<8`7m}d!X(D(4}UdYSuRsE}<-f2|I@S zQV$hfrEo#V$lF2!BDP zf)8-A#1uOsLl&j9|Mhj<)?42`Um2_L#;!`w+jwlEyM?hE{c2B7SxFUsnP89@aXA#G zFf;c(3pRD=dDr!jJ~p^CxZX-MwAo;#)!}OX;u7AFh>vNy)lyL}q@2Y!PkB>p63_)0 zu@u|ew7v+#dyRPQjF~_LuPqCxuYT2sBxx8pDy^tlXx5eo*bEK4(yGc!F78z19he0#mChdfP)Gd2hL9(KgjI8 zwIPliX$YbTj?*HniHv~rIx5H;f8kbtu?WZCmwOmEulUV$VMSa6G z>sFu}a~y;ZaR!o1v2LY55vAj5sUR$^r>6(OgJ)A;qa@L@9 z<3(0YVup(5cUH|D8uL-n`L816f9Io2Tsd+fRWe^s*+-zP8hTWOTJ)y$^3v4oZi9~7 z;zjslW9_nY_9UD%+9SoHu_iDvIK3?qX$t2E9d-uPjn0H z|Bm zAVhCIEV#x^E$*8XXmkE~{q=nzLeObS%Elo!04@k*G6qlvxpTRV3A1)zba(^LTxT@| zWl9*gb7h_*(I7}$_cDfx^SUXp!E+O3uuN-}DV%W$z75s;Y~b3f?tc2ZG)$M-BkN=A z`G8;dw~mE|4LK04Eu>^;b>XI1ElqIgDtNNnWy(^DJ)@);LPOw+HZVtq*B@TfP>I)6(#mYg_GHR8{L1prTn){fdcDx;D#A4!y+FTHXQCkS!UXA$ zZY!s;=c>ku5{t7I8>ls6;d$j|Q`6}`EgW`;|Hxe@?D^Pp@19odwR>IV&|1N$K0|IuxVNU!(8qj3OQ@ z^ElobOW_l9v)0T7-xN1_Sy}SV8&%T^aN}6_2a6eFax$6&r2wbj^ALS20H=!G3 z|CkTXKj6z4J67`!u!9BOLfCshy6#69UaS5?t?VS15GYTcX{76sIWa%2GJn1P<#}~9 zz`gTHB|rqR3B3m_GvFFcver#(wvRXsnw!j6WuC-gL;S`euBNcMQhB}PVd>u1998K2 zb9JIrl3nPJhRmkig4kVUA6mbp40ui!M=*Irg+tPk*O%^W)sfdZwfU{VeIt$)>MXCn zTh>v;!YT-syt*d#3uXCGlu*-R!Zcz^4*y~gMP$s9EW4FN!qVc*btK{I*8%$o)8D77 z%Wb~J(3r4S)mOD-$R>7$sX#ljIGI4^%4%_`LjiwFTmJ=Xi?G;Jc1%A;&>DURYJZ-L zKT-gk1lg}725UvK*P!YrJ0QZu(8}C_G>(5T}_^B5k*0 zc=XNM^Gp8#jsetw@bZL%^Y0MVm;zL6fN&-)^@?<46{OtkYE%w`cySb{;T=3-BZ;aZ z&?w5p&0Pqjlr8d`enGz?RZ6*LidgCW-PNWGYjAcnUnvE`&V2mM`0ZY zarj6QW_CDY${VtAcAl-g8nA;=EpH`Mu7V|dUB`+HDc!5IcNGViwU~}cL6Ho0SD%2= zn1*uLub`=T#ZaH;lp!*~d%vGUggb7PmvF z{xz+aih4eAUW`0<-BgKiTb$<=MGP>^{4Cza-9tJMeBUmp|EjzHZ6y z=^alL%R=stsl<7YMXF62{ME7wHfuxf%0J?$JDd}wZTSo%&9H3#sD>Gx4P3m{`icwN zPBFsXu5Y(O4{e8YgS(c;2};LvoI!p;=VEz%zdWjF=fAc~jS+#T0WXHVzdFj{2KqyNptk6P?*9(u*D*gnq$@PMqTL_rC?BryQ~#l` zyP}weqQJFq6VpTExHS-HK9`j`rZFMH4Pk96MUX zx@PNk=jpj}jjhm;9bxt~cP&f`B-dio%CDy+(Ho04LHT-~WN^yt+Jg^*(7TutJM1S1 z-AbG&F^Jkx%I~W}7+3VdN@Ubz5`IAs&zhWMXd?gS84q;}^T(d;^sh{lA%dMlk~>c5 zj&U~V*55;3;w@3yLb8pt8tIqM?JJ9*6?#5*S7*Q{so>RMD2CTD>nl-o97=23J+>tU1TQ7Q92t%}DWZK;9O_~aDgN%88)I*9H0v}J3h2yhpOF{>yn@=@3oj7YP+q&0Fq;G*DW6xDyW>0yI`9u>F*(`1| zD3JqHR9hODP#g-1yu?j&DZdM7m*3Z;sZRwI^VOGbc1~P5udrs|5{i9mW-uGwCy7GL zluqXlCIPs6ad`IYY&Legqv5;Az4I4TxOaVaL!*9KD(|%|H>>EIXt-%0xqE%K;PMn* zo1$-|9)Iu|T*@pfu(8_b@yy3z=X+#{xKtVrIo7(%(p55IZh`c{$m$ii#wdxlKWFZw zLmmfvP2(ibg96+IfsO$0azB_t-vj@@{?AF{{9;Qa|17MNVZj=;igN9(aQO^z&~((x zIP;jTwez{y2`727klg^c$-7tDRJ;;WaWAtbD)5?hS~OE$80w&WKT={lrjT{$?tXM| z3h21{5pwQaZs=`5_?oF~j9)u0E4%BoQt*}8%Yv3*Q6F_1Bu;FU>Pk9iy`}^H+wFW& zCBy{XyzN;p1)6r6(z66{$bPYYSBU7B!)6Z7y<^9n$3PFnTSg|l_wAK($?9U_d`16A zml4cZCi5GwjkdoYb_7RBQ#$SZN(GOpHOXDw2>88<7S4Ueb=mTkW#7_-3r4KJEC6W$ z_oLr=CO^M!upPhM34Vil+fmL{haahcgfX9BEsd9eQ-_u^mkyb-I_$Luc0r<~__!FqIo%&FQ50ZVKcc(d879`CC{Zd!-Eh}r1hZfJ|j8FV` z$RXO3MPAdXyG|Ibx)OQ6po&7NRTEYRE_L7t1i-&A6|wPQ8}Uz7%8OXg+R5|0V=+iO zQ0EURh9)?%Y)gUOi}l2GTJP@>dP=SvcX!OC)4)@)${{~LoF9^JS=U7=FYE|s>3E2V z9NBr>j8Laaw0AEr&Bzfz=dPG`B z9kqLRlq*dfe<58E#}AKr6EashRXhh#eDqf>+H%r=B6YQ2Vgi02t0lTLJcwL5;~}a* z6sz5riU!Cllw8*l;E>lcS7f#OM68Dvz0VOi3L;*`ag%|h`{AmRNIP#tL>ypqeIV7U zNlcYVAkx02-7|fcQDHhLH(+fpG@4||+wFn#s477u)F zXz_E;qWf4n_~;Xd=rydR6wlO)FRG$ep548vQ5^DeCvPEW9sKU>Z%DM+A!~kyjf-$K7M%zk)8 zJ@Z^i5~{JbrrC$D-jzo zU_R=1z=rr?N6^Z&_)(?Fk;ltuxG{Nvs%rD^BUQ1p%D|bBm(9&;SZ`{D(m-@j{Pomk zg5sfSx<_FN(5fDuK@Zd*$JrnHz=>;|c2L-_M&PP&a4?MO*g*tgAvjMxykVmBYRw_x z-JyJBkL}A$;$H=%09X0DRWBrE9312){zWP;mU6m zfc`s)r+dF`Eq4Vo@Gkgg@v#aeV8_UKpRxilYH%3eXwzraUTlrCnM<6=su`8B!S{xgjFu0yR(ig56KU4bzFpc z8WYAde8lAe!ijYSBfGqhVmP0(xLo+#iApk}BZwsd=Ci8nKOffB)z1kfAZ{;vx)9ed z=DoBOQ;ySFf}tMPpu|4ylpY2{51p<^O5MTbRVP-!ILzibM*I9w+kM?rgpespSlnD+ z5+m$qw4rqWg6yLWKJa;tpTp-}F@0a`2{uEHM|Q_$3nAFy`=U5NQVFuILy?YZcI&y%5F>N+kYe%VIq}GOmuHzSk+B;^bFVqJH7Lqp=S6;$=v;m0U6mvvb z$w8j|VNJ@%E>pSBXN5r9dM?kreu05^u`~0GRT%ZH=GgUqwkdy@2j3vkqn!6cD}8rH9TH{HJrVf?<`v8{bnX59#GmqxsI88J z%+TwW1D9J(zkCN!V(VW>oH#uE>|dA-o)RI5@3ntQ`1U0#D4(5b6F zF%Ik@VHw$BM(+j(FEy=hnVb{Yjx|tMCV@)U=FB51)}R&}BGG3j?a+312imsOT9WQF z*+OPlfLO4m%%XA)>Ra4Q{`7-V@A_15GcB^IcPIRiz4H(ni{D^$m)TXryqf(27sI!) zm%bv(!qf23CSB}8L)1o*z&%CaXw;VZVi4c${wAj7@l38JXSOaW(w6X*vp>`(tYyBwz1$ev-3;o zjP~_e2B{?VUH?LTl@fi45aWbz&l|=r+enO`c|2?|k4XQh%lN3##sA@@@#45~dwa<# znuaIodgbIGZ;&#{!DB4>+^$cdi{?hCpQiJjiOmo0JT!V%a1PXHec;PUQ# z-?l09EXmxrDf5D4ncFpG{!B6--IRHLvdrz9GXE->_i4)1Pg2xM%=dkoGI<7iRa55a z$ujqC%H*9$SyWo#;`5WqGB;|<4EL?-GWBzDwNfIi&Gq|zn=;pExAPClGVhlx^Y_Uz z@1HF5cgZq!L#jTGBEDafEb{@$GJl&aQ$K2&Xy?_*GB;_;L07pT9|#sf*3J zolmWOPzu|j?w0vT$@^hbre61~mBN4T zmdUz!<8Tk(*(s7dOOm$?GOrb%_Rgr2+32EWk@>%pX}`DVWU>tL&MuRk{x=+*OqMmX zOX0tE^Le#$@?lLrStfZQ%Vg(Y<@-yTGFf&lx6BVn=8Kv#N9v|G9(6e3;hr_IHot^8NqRM;h1# zgWJjEvT1fH{MYWhq@V#^p5bJU6iX4AT-JHRf>vvqyq)~kPK)of%`S!i+HL25xAVzm z?CetbuiY{|((Q9u?7jxpUCUrMT%_9f4rB;{u_9}+)S-RVLvl;Pl@8yzagm0st-(5E zaudXr4rIE_j2tk!3h>D-FIPG|nv2Y^Vr6n$FU@yu{-q&BpfB+GIIVs+3v*qVs;OS? zan;>IC5z(eVeRdXeyOSbo02I+0VvfN|)XIuqd8x_V zBb-IE3i2LUX)eNds}60-1g*ntzO>|!2(l%EMUd$-Gjh-uaCrykb5;hMSH@?=qn2!Q z2S>JKFfH|p&DLm)xB~`Vt{we3iBP}NUvfXViPRxqK<=+>^O0s=;_;7zf?O(@H#di$Z?8$>*1w zeEvY%brZ2bhU2rCZ74E%Gi|%3o%&{&sfT&RHO+am_Vh~U7ki0MYGmD9xqGv?tUVEfrC*DseF9SNI#Q@ww7*Zt zpSq{f0ri^Me5$p0Vf8$+(7xCHuGvD-{&UIX43OR0r-d>*L5DJ1WXb%eolJwNZb9mO z-a<#%d}%@Q_QujC^ZPe_-=>}U56>2=Z^fmKrEcFr|F9X!TQ#*a;^az!JFed^Zfb{l z`%RW^?F(h6{jX@q4P~~-l6l@``$?0fO`CT9d%K;BXA8w|{@&taA?m`z1F8d>GXLB0 z>3siCQ|2!uQ!)xX>GnN6Lei1M(#1`dp40T``Ry#}zkK%vmiPr8wH35?dj$Hs%~)E{ z)c)Rf?Z0knzf>N*EqLVm=-y44XSU1yb5rIelBtIqrAJPt{`7DLCqu!Tn}mE_bC%I% zgGcHFdr!LHWEUYVbMae~`AqNkbR-e7e>2lQeYWp-6#nv{?$KA8d}?}Lh6wpuGeYjw z)Xv}iV}&-)=k-nPKa}=w29LVqb3gh1=H}>FyQ%$??b??#wWs$c@g!&1e3>n>%ys;2 zA%CL@EF7lVrD^9+9P=*f&Ydk(--;{cyZt}CF6P&5%KSyU@3%B%^7~E41&_L!Kg1#N zDwNqGOW$Xlc7v&ILGr)RZ{O_ACA#hIPSkF3os;QUT6=mH4whc+`0VxwG_q%jXtnc5 z*|}Y_Hy_(%p7+^IrnB=0vzw)?(D)AtuU?cKh=Ro?t-lX<<` z(e9jsRTU$F=Y>UT{$_4-kp?;AJme3C3nOZszw2zC=Z^+IIf=ABI`At6eZ0ED~UJ$;M zz5lPt=T%ML^?KaEBj@{rn!al}K0tQ+{(Wh_B4~FWDPhd`PLiEIKAm>GJn9P`@i&X~ z746;HK?}s@OEAQmK=B)?UkJ!>n5y=6pIVAXIPK2PYiA2Z=4O(K|LAd%tk*5;zOUo* zr<1Aw5Zf1IzQFZ+x9^ur^HxE7u#M{bP3^yK_vmp=?Rw#F@T;@k+P~^Naz4x!S&nz2 ztyGZIs?r?CDpl2k2Y)fNPm{(3)=Mns@OTm zbjM}`OOMpSgWl{$^0o_dK?Oln$29FM?@?U z!q|Lik@;-LQaDU?muAc}GSmvEz9?jUK{K1$*nGKY_B|F*4^hNDykg3)>(-g}=ar-5 zL}#Zvh^?-4Xm3IC*JgV)?QB7QMB4S69R;ewVXE5vuBP&TuX$!by3C+UJ6}L@=XriW zx?@4SwSeR=_uia@#G~*Iy$PUhk;z}G=}5t0S6YyV33);iGBV;?WPTz!lKo!Lex2E3)0`!42P+-Jeiq&V);L)JhK_eS{(SIZ^iE7^CL-i@(UKHCLwtb zF#IiwbvBB1>!ohzxnkbl&~WiNJ?+gbM;y;4bYWf0I?x(RXAAkNB;?1mvU+S1^Vdse z$f4eV*>sk6e#))Z!eOd;%|7Cm(O9zQhAqr5Ar8S!@J=7sVhtguFnhG6d z^Q8sJFTo4K=F7DIQg%eKp-|6g&e}_x{r*R>#IFw`!+EsUY@yhAnR~(*jHA+jGhAm$ z=OT~Imn)lmMvwv~%3QHXE%QgS(|*s>(bVD*zl_FTraKh7XU_gkAMx8y_8XG!=;NAp zZY-JhYl#loqJ2Xl?RNpYk?RR*zkt_`Tvtf@t+#IE8baO_o+ET4?<_I zv8x{!@dZBF)W+tEQb@hu?@>LaFMx%EaJkL2Kd;P75t(ZC1=O>WbI4cP?VPQA8MQw% zS$p_jiVJVQ_O&qd1&>%O+TZBAV=Z?6G+8^>T$07+ON-1^54l^r?=8%yM_oGdU)sl! z1o(oyd75F;-n;mb=A$!{)(re6_NL8#*FvT~HWw?Fc<;nprTv{}hsgg200960cmae| zO=uHQ5T5N%thGsh?XO5dL8%e^p-^iOHp$E8)wjFp?n`S<523y3p$9RSRuKfLAc9Bn zRuJkz@N7>#N)bGWh(`}9B1901w8fcevQ22f2g7?i^Ub&4%)H$(=!bCtxU1iv!3|EK z%{pp&I_C!>)G0m}W%lx=e1>i@>v$ z$=R+{B{L_*ype7}Q3|x69c310X?2l2%~_=PKbCgbu>ev}+WLrP2<@(p{M$S2r3dsCHY5Faov$X?(cw>lwLf{m4rZ*g+;=s-={ogcj(+bNFuI z9J#2Ahian$kL(x><7s#O!y5IjrXmo=6I#ho6OLC8tLO~3XAAf|f<~ma_FZnQ(P7cU zdtu~$kEf6>4v!G_BQ}18QU>Z%nxGT#4H4h(Y_EL9y}uq^ass0=LMdy2RV=OD+`~AW zuYw%mnY489o)%q`Q?`?ew56pE*`J)8Jh<+ia0^o_iJ1yj-CL#MV(RN|$3P#?`Q`d- ziex3~p>(0FHXh@~&Zi7fHOP)eWF6=CJ(yT7Bc_qW^RvS0=8t9m-o)~KWT{Po_4xR? zxReDSG1LoZ@$_`H{CWjH*ao1CfLCJxgOEjF{B7kqrPhGiT*2V)pr{V!Is_LG@FKYV zn1FFK>K%5$u(DykADe?4Jl0zI6lP)82%NwazdgA{>Gg5eV~s)&`oH`s|D`zHOQKgr g?b_jWa`75tmW!WX(O9A;M*TBsI~3MG00030|4;uZJOBUy diff --git a/cpld/db/GR8RAM.map.hdb b/cpld/db/GR8RAM.map.hdb index ba5d00f5a3ff19b9f28058780be1d00478ac7a15..67a001cfe208cb55027bbdc6a2e7165affac1c8b 100755 GIT binary patch literal 18279 zcmZU41#ld((x#c28Dox_8DnNAF*7qW#c|Bc42hYU8F$Uh_L`ZQz32D8`me6)YBc?6 zdPXCu)h&(GBTNVgh$>{rPwM)4NPOZ?a~D@@M+XXaP8K#6b_xl1YdbRv4o(gVHXc@X z4pt5}c1{Wna~BV5Q*#PcV^doSb_xx73Qcnpif?AtZl5WQKmPMN2#7AR{{aYOjQ^sg z%zx1f@=PBwo9tT(80$M4WZS+6MBDd%68;~Jw46z1Miy8Wv=JEGdlFQXK^S|q;gMVI zP*EKhC+*eMfO2FgMgt(tQN2M$DX_g7-z6&F1<4EyJ_*=ZSoop8;Q9xq`$Z|R=A;Yk zdw;SpvjBLU2t_645sE4Zy2B!AfGLEG_H=E}+g!mc{(CEeW#D!E~Tcymh@x2Y- zS};bY*O!kw)hRR2loWE<>kIsQK8TzeJ#DkDeTsYXE~i^4xtTvTR!YWht^F>fGeP}C z1V1dA{e}5iV1AKvY+T`=(g?EqwUmW=U&tF4X&)dt!aOf?eq2LZupc{1gpn^PQ|re2 z$%~2{u}DcnaY^e@@8^eDYiUd4$vR4DbEMXEmEHll%>Quj#1 zdE00|HZf01duAhHkOfR8rj>Ml0E~x&Q237z<|zj)6@5^b&DO;N^wx%B)dvpu-14_y z*Q6uj=%oOWNPaysz>z%?!QA8FD*1~9xwJelF8K6?@*G#VVZ8H8L`<@rzK{lscb^=o zh|R9)sE96Yo=4$V9{=x7wA^+5kJnNnSn^rLx%0+1!%VF1F|buP&R@@X?YXFH>!>J9 zwNH|6tmy5Z@BHu61uQ^~rZ*Ep?CN+#v)I|wTAj%F_1m{`K8hw9Hxl;U`n0hljhFq) zLLFau>;(Jq8C@8XI1mlbLaqL#_(fJPv%81TC3E;*rfU(tmuNetsPIgF?dW9N_b|Ak z&HKMH$-TxT9a$>+$J%Vq=+14;36*6AV{li4?M0rS@js&ZzcTv&B3sYoK~p0B>kTpZ z_Q9bnhCWZD8fiJTJB2haq>w|7msedkICR$0} zNazbCWPEq}5r7ctV#vd>KMtIZ#%vt~ZbId3f%d@13p0?!`Rz^9s$sxk7_T z#gjTLGUg)h-7w`N%)nf^FBThb5m>+<*L2FIL4_UzVROhSR5}Qz975kOSDkmz?#>>| z9vh8E|Qj?QjPJj-5tiUHb zhYHj-`(}r4e{~=7aCxvd*L_wC0SAU|n`!sUGBrz)<4Rxe2ja;5@p=p-yQFu$NG!-{ z_2h5X4_GX1XA76h2O0w81Bw;@%>- zx^=fnMlL!!>{9@FpV&1zaTQ_g6;elO|{CZ z9FI6UJ~?NOSYUw3 zJJ$`w$Kg6YC(ogo&a}G6JutGx zL36j)pE*H)xI_}IlA8TGqlgq(R^eb0$fntSX+0^a5hoInhFG;~8RpM8M70(?Q}Y$} zF_0ue?rZv*fQFRx>8PyI5zj83Ou^15dxA`{Q1$JM2AP7?>mu1kiO+;dH9=4LOD4yI zP8<0!HOpB_Avk@^R!qFEkO;N1>fSHmnf^49zr+gB)!Q;nX>wmvVlr8k!8&!8n!baU z^|f5Bti~hf*`T?z`TKFq_u&jG`$m7ci+x)5Ci5RY)c5A5r!g|G<#w^(Ua^Q@G$#1U z>rQ?ET^2qts&$D_Q|k-UnI^+`wCuQ=k$`#AQ<|<-Lhy4oo_^V2wnGHhkt8m&^zX4| zEUY1BygSavKOlr7AHL{(HJ4-8Y}9I0;y>h`=glrKO`LM6#f??$34OR^77y}62oX9xr61E#3} zfRL|dRWqN@bS?_PYbR&lHv}qQKgmR$xciNDJV4wwDZgSdwcJX$=iY6j8s+C{*k5|y-E`N zJDVMx?-;DEeVF2%j!ha`uo^*f?m%)kpA_FJZk>eOK9BhOzyw= zM10PXL%8kl>a#{eD%(!ziD~NIHhBdP*qJm(-_azLCnsEum`HSn-<_$P$6Ot9IqyHo zoJ(VzFZS@I+4+BSgYyR}5NX6qo~6u5o5)n+-j7u21iE}0E$%m_y}flI_~!n;!~ycK}AeP!F< zC(PNJR2ATk@1JJJsj0oZ>iQ2lZS6%JebvgG2f`HQrvGW6nZSsIj88>c9^5D9VWT3j zAP$hXY_K~1y<_R_hs|%f4+&%2IC&*`9sIK1!}>U=az?iV*nditTp7t1d0o%T-LC~Z zT=fmNG8RiPU%7g#RVvIYyevpamM0F;F6}^MEV$#CX3Rep>!ltfPi!lNeSrS9J;%F! z-}{~^IIpW~eP3Dqm%gZD)@!C~a(`^;K-rk(N}_D06#{-`*LBMR8|S(P`p-b}qeZ%2!yf$yNeXN=l5jwx~^d`a+qmm7Nd} zCOJM|qPF0HU%qc<#|4TgfCoq&VseZjpm=ng)t_pSI?^R&hJmsf*k~9=($$C1r<^^nbLLTRI_#e!;B7!p$EPASMzIG&DlZ@^P$ zmLp({FzpfdhRUi^N0duVBdB-8LnF#nvQw0OdBc%y9#p%N9c{Mk8J37Y5{H%ZUF@=2 z{p_}R>f&(}Mn==FG_Jn?x()sEZ_pIpOP=&--gRsyq(M_S+`1%|9DX}@rX0U^7XLhr$FBpx6Vql-&4eKB z?O~Ogh{NCexiSu~ACoS-yTvjfP%C2MuI+=P?!#)xRVKH2Jy0FVy-2wp%d%pjBK) z+ZgTJLa4ya2CRrfFP8!ICc^RhnPb4qJ_6^9KPJ zM#Ai8FDCnZ8?Z+{6SM!+0*$!xp@wHFtNx&OF!GFiXniZgNU^My zoASPBI59rfq=z+D77h=_)mQ$u6)FEwhz>W=WCYS;xuA~(YQ_i+V8vD<&6PgKMKn$` zfO-I%ymH-&SB;hf`w*w)E8ABe_`uiuk#Pp`s)-A~+|$qB`?0VH`B3wQRtwHH|Lq-V zQSx*cg?ygZ$>OF*7fJVF@Wu&;T|$7(+Itt%+FM@G2iv*nnLc%P>J1{a7J_|c=44D% zcXC(#S}RJ6Hnu>$R<9>q&+K#$Z0`NMfYOY!L;hsIj$d^`DSOGGKE&%!_|BpBb@E0Vx89qn^k4Bf%QAi<=`3}*0wIikIcPYz)oiD~wfgU^fvdZrFPzGbwdgYhQOd z*!49`*`Us@R#2MK)ki0ViQ}zJgY+yz=~hzMpZ(!k%dNH{&}nFmqv*;XM#OP9MsNd7 zAJbG-R$hLGht&DMpR{3k{9_m@OYl^m=&oAwSL zWAvYhuFng@$sdDZt^I;f;i^Qr^te6+U6+L6W#W0!z80FnLsgwc1enH``()0SC+6?m z*RGYC!bd?^yk7)D{i9p1rj2#$rk(f^f>)G+^<^N(RA5ExBU{2t(evn18e!)`P8)tDlHi-K47 za=u|CIk^!oWjp;{f}L#!sVQSW|xe z6 zYiaKHc$y!+|AWt+@Tzw)sqS@`_$}OV0Bhi-ZT>CEkiz%xUSEuLIk-#E+M_d{7C22Y~qNNrb zzVz>=!)xd52$Z$Q^zL~FHx|PP26B-(TPM#iS}GZ!wuB{ZIb>hF`JjQPtF3VY06*?i zt`jaEMo#!SsQ~(6yuP}!^!HQ+PKM?i94Y}6va{wNllsJZZNCtn%vWy;HI|sQTTHHJ zQ%><@eyGh7Y3rvPGGE7=2DLy;23u+(Xp|;KPotdQ14+tB_+L_3i9GYZk~j%fmYb4J zt#qQgYXLMwFBw)L0i3dza-V>$b}2mu3Aok1{P_uUCYKgbj!N>Z>=%-LjFYWd9$aGA zb9=>C0?uLg0?zQA%IlCd*q*(ogQTA<_)f-)5&S7Pqx&^LXT>49@9(i0p9ABk7glj8 z>MU>243q3E$~kE#ZRV2XF>@*3k`nBt9=Gil#%{=t8Q9KcfIVv+ISlcTJE2>AiRduk zBF6I};{X=4-7+cM{^j7lBgjt;u5157*LD2}ae0Jr|C~kQOI_OtrwmK7!|mGyZC}Yp zi^{{R<1pXja7QxDriH@U;o@mD4BxJP(*)j3d%)3o+?UNldfo*=CB;(`fSl&B`x=gQ zRAgRJk^1BJJ78Z2(UI0=yFG|gPrV#YVFP1my|qZ# z(#aZH;N~hcs(+Rg;Jm{&-~CBttN6as(U`nLti}KLmum-a4ubqXT^$G9pd|UHigLER zb_Joecg`8EyaC&go(=MVTM?ZTA?5Lp;ml2#@viGh<~cu}bnPHdLN`x{ADz1^Z|ac# z{V)TFtG=cq23Ggyc|O;Uw~n&j8I%mD^vx_E4@jw8Q5f8va~mXc-mV4JwnwU1q~M|$ zK_O66MjCD64dMWt*WogC?0p+3qP=Tj6SC7IDcJ*pC-`HAT5Glv`m=byFAyE$c+v8I zf^j&RWJ?s+P-1x-sJUe`R1aKRJ-b~^=@{%;cpCnjpl#afQJM8s;`drJp?lV;KBWg2 z$tVDw^hO!t!!O=S?oaVjX0QF+`)(K>O%ddf%?|lQ>@(DDI_t&7hMZmS!TC2-Qqc87 zd_mXTNt0)0PnTUmVPlsUU`6x=Rv{CW!phAn?QXB+CzkMWjr9j)V}qI`8Rg;@>A$x= zmYGDN;>D=x?}2~DHPngz5{AdObnPHo<57Mll5x8+g@`b?=<%H3cJ$cCG(iP%KZ;XJ z*r~iIPLWf)-qp{HR5ziDwBdg{Y;^#@PMKY{2J~LZ=?D*9&N#^V-B~W4c-Xno70@Yq za!Xv#t(P4#E@vwZtGZOITk;Jx{SajYn4CLsGbJSd?Xqp6kRzPiBgG1gBJ~ooh#0U0 zdC4SL>-Uqlp-)6p-&jO9$(a*bi13o(W{?=n-P2q0q3n%Rp%t}#TgYV!$gCPYV3XlI zsP)}4S~s(e*Ot@u3p}Q~1qr&Xw%rY6_p6C>3(w||kWI~^w?n#bDTnDOJ|-NBc!Q?B ztGt(47?%1j_p*H26hQ7k)HoudHups_^8d9!+$sM+DM%1?R=S?WSIMQ@GL7DSi|YyJRYjP*Su6- zz)X9lr=H{s!u8eQ5lbw?z-80;3qqP3#>CJ4Ta-(3jNtg_7+Q2+C{$+V2+=?{#?*>v znJYYEuTqh_e&JeEMtx||dCN~%9r|1P`xHLczvAe>GlpvwAFW$>Cr&;KCU8j4dkKM$ z-sjK!?y<0a)*~V%^<>_G(e~_)M%MNVCWHw-w1@Y}!xqnXbUGTYRkKdlwn{ZcL+=dT zaMAEj)Eb;r1ly)RvoPZYY!)!W3gXt;43Ugug9j|3b91WHYXm-Gb5qJ?Yt&EI!U z@J{2lEdB;+huOdCEL-@^6)KBMyJa@E@tE*&O(mrBPJJWcGrY#=ZWIYXbzNLWW4ve@ zwyB=HuEaK!$Qc38NSG5~>qVUj_G~oW?f$74Gw1b{UbcTj>Rl#sCnT zNWdI!N#t8aMZ@XYcP}KdJBjFEdqawT&T z6fNY`uN;~t6WhvMN|C%Kys1;&oD&OI5dnpA=TYOsH`W?umSiu;nwXvSj6$j%P<8B} zEtgyb_`k?a_dP=Zzdf}!=_Ka zv^{3RzgAJ6Zm*mQ$k^i>2|B6ZWT*&K%u)>X>8RCab8N=Pm%SDCG6$Jzre!T&k#2v* zr4iDNl)aWt{6b?IgF>UHpKg^L*-ZmRWyP%Mx8pKg{2m%0Nq9(lYnWJ|e*511Pw?Pb z;h3p#zOa3@wyG62!QzNT+%!pTNYy-%b42=I`RDrOT;Y=uM=<-MBF>40WujXrEtWK0 z5=oduF}C$NbbD%Ju7sP>R|Uo~$^r+acPb74aSR4(NB7<0uWf_t{BIz;>`mJUPR#OY zmnx{A@1f|l>JTI0`ePWQQ4n$3wHZq(P=!7h#OIe9~ zn`JbpYKYFb${3iA=rrg9v|Z5J_r_WQOdOj-b;6kgkzQ}G!FdWp)o_=VTV42_&s+Xz z&zn%K=-J*dZobo&;&i_pV4rv6FQHx_j=J-EAk9z2K74`XkUpG8HTiQ;Ibq_4a4#rF zQq%SnfjBp5!Jw>@Zn)>Qgghi4V*1xNxM^zf9uxueJLLSGO?LV~B6FTQMUr~BH-Z2^ zxO9zGeQBAaBgtP1qb10n9t1X&uu7MIJx+BhS5Yq-==8Hm{L%b6nRfwrh-O>9^*;wcJ|Jn2yHQ919g z5C<-1iXfdU54cOpX?yXZgRWjjRFL+kT<@e*-;TZXB5$w?-i(u=jJ9M77+ zdFQtLQTNNNGtx++H!Eg2uJ3jMTi5kr=G*T`o#(3YJQ$BSUQcUREF=|9Um@eb5?Zra z5C#$HdXOIuK+&kT35>{%gz26T<_~0}6SCCVHsGz&bIspa?a~27l0ZdX30ijPpDhE0 zq|>`ke59y8$lIh1^xyUaL)0%%{0|)wE9)26IesJ5d zKz5@J9lCOBBm`}fffIHpnv(xtvB(-a^6|> z$b(PgJ&W4a0jOKTC?JFUY~qfA%U3Z^XnzPd{Atl&F4azxXAq3GdwFUgynPvQa_D!A zBgW}K7=6SS%xMj^nHB3C{C}|<@$k;H)iatBAZXz?Ziio}xt-~U&ID-H5e@46fXB!S4z_TJ$z`+^@mxO=5!8uCHp5~crhIv**)uI|jMJJ#ow;7H zy_xXH@as;YjWCtJDfX}B>@Fi7;AJlc(;A*s{u`c{lvq%!qgNJ$CkxuJpvx`S6n_d{N6Vn1xrFI3V1`Jh%QIXr#Er=79%qbn zfZ&O2<(It1A##J1`546=_D~i-3!zr2L>F)Nv3`6T2h27Sj)1}+0&g~7OV(5JyYgYb zX8U4v>Qne4xY4OP@Onb*@5Y>qgQ41)k4*E|eu+S~;~vSYD%T1CVtN}skn>dpW`lKK zSNM$nIb%iZ#d>V{mc_g$fN^ea<1c%!2O7z`6P(d*E+K^l7bJPo9iVn%@N(DSZqpnj zq`eTYwU@Vg{6&b8c~wMVK~dr>tS&mHB>X?c+;;r7d3c$5Vxnr45#iBRJqcYL;A~(d8uSsF8bB`_u)&~pC zjF^1z+J4Uve$*9YL&6N)4BnM{azQ)dBDr;bgEyNOMM_p_d~vEJ6It*=3af?|c%=ph zZsoy`-aGNrK=gpwH@{>O2jk!QO>6FAykU6xEfzVUQ1x)qJGwjTd&2MGUhQD?(_S!-dJim_Gii<*wx}T_%04UIBpZL&BK#?~B(~rS7 z%~!ALA6aU}fhEQJH1xNrpO!671diP0+w;~94VYVWNuGk(w@>O4-;4;h-QCU?%EP|p zNuGl0!bpB)9)9!MBkb^Z(hGi5fb_$u+voS-k4@PM;^IT=&N^68X`$>P(OTVSrhhno zpeKRyq4O$CzSasB3Rjl-)wlNdt6f+@3+%srw}hyfg2?&g zH|_aY-#dQH#Bg6zj^D#|@K%F;=etraFdbYvke1aK$c%OYUrjYc>8_X3?2G0V&HGFa z7mKUHW=9%)W2wq>x^qs_<}N2Z$sRxK=zJFSrZ^q9yA1!_A@&IOE_`H=?-_i7INH_> zf7F%V5e$NVaZ!yQ_H~}Vc;Mq(lwFx3afL4?z{x86U&px-4(67?m~fC_MujgSW~zhk zPkH|NeSZSpU+M-kt=L6Fwx$NmoLw+74-;DM_-AvG&qu+fTxIU+;1Uo1M?Ma3^f)>m z?>r;$@Silrd1-4cbz}~vSVNwot}grG#C?U5uQ{_b?Ukl0k+H{BW2+_Pfp2>Z=S(mi zM?uIUp*nXD!E2HG3g{{^thzqKg`CPdO+5ksSgs&`*gdm0Ep6^hx_1Tfnn`ibA29A# z6Zn$y^v{Lr=iQI-#598?TKdivX1l?rk6x>u5SHKMQjgw|{?&ga#C}b?qlHq`rUL8B zwa{uhSSAUn=`=jH^t%gxZ~U%nasw#h{|=(y)Wlkdv=mP{N-M|0X8_Ivu1-udWLm1 zsi`MlMjo)Ty`E+begLL-G+CRyJBiM2G`A(HqZ_q5esO>FNa;KKABfV2tS-)#reMA?tCVrTsqJCCH`xMreezaoP zTQ>D>HLgATkkF6nqc zezFf^7cbI`3J(hR_O!3EEe0tQjM_hl%kQKaZ}nvkUZ?8p^Y%;nue9Sb3J=w&e4U_9 zELJEBG_&FqYcNK_X1epPFf(1o5+AtD1)!wIGo78|B08QQ>RiTniqg9xHbozwMga37 z+V4Ey^}|GY>$9@Y75vmDeaWU`@-DO-<-5NehP?#*JdO#gWVE2Jsu{J=Xg-dMn7J3+ zBz~w$csZx~CYH%Fb9*4wUZPI!p4*i=SR{XNu~zQ&b0Vz#_54SD`DgJf${jTlV;cRb z7uJ$jKm4xD3*T$&?#oT|xl1a{h&xcXU+$38bKPq{bnvSkZ<`4();4*k``M!`pK_Jo zy?zQil9YYTVCoRKr1?HkAm!1>X%R904pLk$7G%jvGjRMSbWaS*b@nLb%pF;FzqIe) zZHMom-fZ=#p^Q-Ke$ij7I0-XDbzW1v18Fm{*s=S|y?N*35RYnGs$ZVUFZF(VcT8K= zm$BAy~1|PU` z^Xru8^*dmb*oyvhR)+h?iXW@+DtXHZ3iQ$4@A3WA!5YLPuzvpgzNg2rn$=s#s;G`P zs5@bZZZFVblXUZXk-X|X9<0=+XV~`2i<74cj?VE5a{V}X5WURqXJDG zec|;4OI{OK`88f69v^;OKQ2Tb^2RRjb&paO`BJx4c3vFTm;0*iUn*RGFn0joh*?#XRD|;%KBu8CPZ>>ycXbV7SlhwYx7feHB z^{7mn1PVrUe`jh?UrI*e9w}$$_vo-Mm|yu%RXfJ5I_!f*5yq1#3!4Z{dtl~}!g)Py+Jrm=kan)%p@V~!ChEKlVQZFl{9!w( znL$lkU7(!}C=e#zrS4W&-_8aKh?p6qw8aqRN$r(`cL{f8q0@QVcUteY2~)GSqYqE^ z0dGpl0{HEjIMhMX*>NRmK7L)#8z8bHhWv=Ug;wtch6eTFT`FIp)p?1+U#doV!h6-! zdx=6{F5q33Z21rGkV1ocv^!gVdHx!DrSV$R?i3AvRF8V3_tMqv1oW*~KE3Hg zJ=$L_YIi;cKWay{V05bJbn*uCPVMN!g6O`2bo*XCqr$-CWmkb($gUQUUSON+Sm;Yr zJV!(?TV@b^udeAe3-skKo+G;#d9xQF_)$En1*y|htMf7Fks+!DK`5KL{uVwEC6B@M zh*Oa!7|fW=puup?Dldrf(J81=+z)o`O=f>k8Rak715Aql>0U7-VqOV1OQ-7@d$PTI zDtjK+I3MoWt;UHio2Pr9B8rTT2#u`vfZ?eYx0GY9Iv(;G4!0ZA8Z>RF4f=KZomb34 zW<+$L+LoGx!lS@#pG@WUp`dXlRm(qXMZf= zqF3IvluNeDVJM%Y-(E}B{Eh%$8bNR`MV3Z+?{|_m+l$Iu?IuV~vNy)N*;hjxmTy*) z#Cm!d2S-g(M|yS;c8MQGesa7vUu;@aYdaI0fna_~Dw6J@zu4-eJB)7Z<74pRm>n6L z5iV#i#b8oo{463U=DQp{oAWV?D)FvcTTJe1Pb?rtyYn!w0rewt@r!M?mwff?zdLG4 zMV?lNKh;0yQQSnFvJgm9zZP$M{duLaD~Kp1zIPhTeCK#zN0sS{@M8JLLd>;T)d+no z(;Ulf85}E%7l*&dw=bHIT=+$@op9JxgK(sS!1=p$x3c2?1>C|0kD24Kj9qrZ*A~XN z03b1Yz@U~FQLN1JTrD}VW%LO5jVo!LlTd%S(_sH$>6IOV%vT|#f!s;su)@y;uf|~; z@9e)N(SD@F+jV3YX?0?MsCn?3xa^ON)lH-AMKDi!EqY}wC0m=`JU0@P&14(WM_tV( z+^G7S{%A6^iSO0WoB={YJsHOaIx3mrmmiM@?@`oDuE3?7&zLm0+QDy(SaB$n3QoiE zKLkyWz{jR9&ZsfzF}fjXlSd3mvw6od7Lr0VhD8!eJp6weQ$Y;pCNBxzjN-KaVQ~y< z+3^$^T4`I~9?Sex;47Y8ng3qaPRnhl$aN=eLw#oLg%xTa9a6Gwud*C>h`l3iT6@?P zhi5^36zO(h8J(u_4FHjmSFG|glS`X2%h_vtILLofD3jePHt2xhf60U|3IV?KgdHc; z1OgZJbo4LWAadoww0{PJzS?7HWZir7EmgVGY>x6H`elzolETkFr&XN(&I?JCK@TVJ z8W9{TzpKXRETp2ze5dwdXN#r7&l}dPc3asp!P))Zb6fk)dgM^N?#w) zP-^sI9w~X_#iNY0@Lqkx4kD3kkMacPkrVm(Kb_eXTKP%AIZ-;BR1ldk1y$qLOvbAU z*|AubE)kqGyM-x-p&HT-yyklrpTKRN9If1Bn_IEL^5g&l04^fha%LoM z^UR|)hs@?;l?d^skw-74_e>$`;h(;?DCPDxIE$)aRt;-k}{3Y z!zI79ZDQg^#+F`OgcAvH?x?14yVO}~F+o%2kD_6z?i1jshTVnRP9v9cW;=zq^& z{dX`lFJEIunn4y;?k3WJyZ?Sie`zVDluMXG${a9JdUlM0yRWJH{fhu&Sj;>hvrW5l zR0A$F>mrQccb_--+pD?p1w@nE73$+7`$bR!G%?om{@d zH_5En#38-UmlBmoH`NK-*r>!3@sX-+@X=IDU;a9{1YJ>?J{@V_n)Nv2ZhE${2NFBr z8+qjz*)hQ~x@n4eg(Sz|Vj-MeR?ntIdZ z`;8sf+F`8Gzqw^fkCWEYsFVE)`XxgL-M{5FNuF9W7wI9bf|%vaOYvm;D#j;jF>%SH{G$MU zO~@iTv)G#=GzD1*V_>uc!nqO1=F$X^1sx3A8v`FV?g?_=dSlqipZ^kndb6o1YX5N8 zCnZ^JP7V&1lT-ldm3trY#l!Ty6m3ZHn2@l8>Brpwt|r!$`i&Ir~^Pc|Iuco z4A~6wq5VVoS{UEmhOD$^5nw1LF?P%JYx}1tVp5s?fo}f?2?W9i(TeuUyyZuJZOpMT z5Jjwf;B~b&VF|JxTQ5^|er}e3o@1HQH^A`FNTKHO%YzSnr;uhkFQ4awk!4`uW$zi@ z`jgSA-Z6sJF>%#E4)jJ&@CFNtw`2HV7iMd3?=j4uO|$eRey;hB{1Wq0%i5NP)&~NE zahS|a$@4QJwBQb#jEid1_L9_N?WXC5Q$CK*7)a-c+V=1B9dkGJ>o2tE=m4?iZ-$R( zcWA7`a~*Ax`opsHX7K%sPlc?i+eQTaVQ`R41HaDtCiS_0uIiLC@ee=UCa)Z9`1XtUA zpn=d(!7$&>zG26b#UFht*^92Ht?fupkc;ig%vHJo4*Gdf)@+EX-r7K40v9TZ1xtxH zAv*|X_14SvC8iK-?!-&XolYZ(t8#_DL7aWGfRHI8y!hh-1?WC|fa)g)>L_ZFm z?Cv&=CcvqG{BypI-z=$d(#;0bGeTN_BSvszL~s+vw#WMGdt4=|F?Az`mbC#Ag5?j} zF&hwqQ?Y#zqUWneSy6e1I4iPXqa;DSDM7s}K|MZ&9z2|{z^hLf<09mnVgP;Q>!;d; zQq~|y>h#CIe}+$^=#SS7rG)XWY zI(QFgQ`XwcbKc*_gx0YI>;gPgR&qUUe@|8;Qz;`;fzYLl{GY_8fi&WSMcXzk$dVr{ zD9^8Kdxrh-abc8sXp&^8l4Q^@fw;WgB)JZfpgEg>mOK_TxJ4_q zLkksFPCVDi?SN^0{H=Gp=8mA(Y9wlIwDL6-ZimL0TGuBAcs^V83&Fm+CAQZNJ7|Rm zszD#d;uT?hjEYTA%E$wT)&k`mCt{5st3~09gXY$iCU>GoaQ48WXyQ}V$FGEqfq3Em zc;SKgE{JW3y{@}eVhA2UAeuicMi_)zx92?nG)JJ3m4S-pWhE&0BK0q51sB;;VR_|U z-SF+NFrVGtG~3<}W1w~!)3YyqxEN(l64ed-5iC2>Asi-t80oV#!OaBQ-V57aDBIpJ z+a9f0xg}u2n0gaQ(g9J@0adaaRko>R6Op(L6~h3+ocHO>nPMM|wDw|5aN{cW1b0zZ zk;*Ev-B@I@UhD}qoZ>NJeY*I4n+$EK6@Jo+HK?rlK~4j$@igHkmp#7=qCEByBv$JV z?W${W|8O7fo^=6DKvJVqf{wE2uDT5DGX_>b{sjyG#!t_0E{V~R+c_D&HTrHk@C$`o z!BSzQm5EcQg~sTmO6a^l-hCj(`(xmXGy#$ltNU_4a)Y)fyN58R;zdawwy4R%uTh=_ z(53n+xcsr>PawU!h>gFy@{#?xjm+=4RbuYyGSQyn-J=O0T!ZOz*gSqplFK>&JFJx1Yj1T;w5P}1%fPdr z*@`%%X&NoM#2!QRLl;75Z92}9-7yRmIpj=mL*@Pl+A3>R?FNySbm!xvmqR_Ovst#7XJWmiRVN1?_PQ#$T-4Z%@1h7x0qsNH-j* zpNkaXt7ZqRYx^r+%S5`GkT{|ACPF^-ygbUb-GTJpFKIfAAhH}pgtQ)pst>eN`H9BY znu?C#?z>h@=_t!KOle;WXmQJm-1__Ws?zoG1P3WJt2kut^G z7&v1Lq@eiQiwe0*;1tR_upNo_hY~sXzLO!Gk2ai-=M4A{XO?%fB74Q_xXw2K z-vIuE@QfEq9oS7{cTr^w++o{mWivyh=#2Y?s(L7v2Hr0!N@z7tXx&d}#Z72!fdpg` zwCW!_4k9KD|AZ=`gCz68_nD;c6ozs&fq@f+-py2GtT{2`?bjkzmcx8**>^qv(!%r2 zEg1xZzgH$c*lxsJQWS1Igx#DdKosNoSwmfA_}_hboM?hE~gyo(QR!eNplP_aN#H69t_HM;O)RCDHF zCZe~;5Kun*#$i&^g13SQ?)_M_rVa!ksbKO{l_` z%D22+pJ=%EwEx`cAPb+#2S12KM>@d&dEM{Mg%$Q6YI7iHho*0~p52CPs4e&~RQUn- zk@oA4^ka>>qP1&?sl;>rimLz_w>=4CIB_hE59U+8A)f!}o-eHeU*1 z{_K0E5xOa%Tuoccv#7?`QKG4brL($mrPi^e){&%)w)_QAo|Or1@{EC>A8Uajl$)@H z0uCLLdNIq?;me%ie7NCaW~>NA-kQt6Z^poVV<26BJQKwAx+@3Veu`QttJL4>NC|z? zkak+6`yu-Iv^VXxlFDmp@xcX#{y@N6CeC)C(Ed zwG+m*EXH*S#m~uVe*le>pO@TFbZfjY1?7q5EsoM<9a+LZoEbGi#WE#4jgh;l0SB zD}sbDg4H#4DhLcjb)?P&b;A7U?$YCZ*5Ke5AazSJQR= z@&Of30$$I7sU>&Kj2j9FwLqqID zibO3t={)S0nsxl3yM`%;t(Mk?>pO{2@J>eOIefI_S&zjs0DZC4v3S3l$laez067T% zNkrQM5W>;z=Zc4>$_ z!0WJFr@ZK>ci&MT60F6%+b>R%s&$Lj$q-YEv;z9f*TV1p-AjC_O7y!~&gN`y0Nt#^ zOvRn+adk0GyaU&$V+5ooiozn>NXHpo7f~9-jdPz1I!>{W1_jQ3e}+?;Hy_(b4Kt>| z=nxQKuoq;ZrmY?BH=Nx46?KFC_e$70b$WFS27lG%4$sT;)DCoyM=F}(9}KF!I0!rv zzp*45`_d7R*av0U`etF?3;unPXZt56f%5e9cN;!}$S?o@1X%{A`4SU<7{KWH&hG5( zZGo1s$?V*n^UXKsHD~5};sG&02(jhY?O#$SDycOj6G2+H0^Q1*K`NuB>on5|JYV&* z9uHQuwQgO%u60dw>H5~E>M@OTk?5!*46YN zWn}t5IxmlOX>X*OZ92B4TYm5A&d+16xh1pec}ChY`^5QR9%t1}IaMtcsBSt7#=viK z^xOG#K_3VaBTv_S!?t?2x>Ot@tu|b6cTy+DQp5CzjZ9Kg-DF^@mYUXG$V5MCqs4wj zi0j|Z|A{&=g&N>0FBUj{U|5uA7DdxAoeW9C=OM9(egs>m@#iRdW0s|wgtJn1V5Y+r zAYLdOdhc(1Wk0^gQ3DpqL5b(+R#LY#L-!!$r;52xn~Ssf97zp$!_yjcQ_W)@ARZy< zs~7(m2Bg$N_0m?p7O-=XD5dxBLoV{Jl3L`jp6>>JB4=TR7)tmn*Wc}+PK>9OA&`@@iQAH>(F5`H7JX! z(lheFi!!Q76GaRCdaJ$S940{-p44DC%2bZT%%8tG3sF%d3hRneD=KO9=gjFE6vw<&N`BbQr?IZBVN+VM7K43B1L8CzQxXm&1|1!6DB zHa)lbQ|e;Rbg5Gq76YjjipS{vTF3lfx>^$IK!R}7)Qbi5Yw@PhH!va{ozziBf~;lu z$|y0DBvb!+^W<6TWx9Dy$joR%A%4mTF@xmx+NRnksh7!M*{<0QSj>cO>gtJ&1e{0> zzA<$(p}XCnBN_U4$G}4{;Wk^EHCQ4_3Dy6^J_I+H3bv)Wx{vMLRo&s7iX+ao906GF zxV+qjfGf*%#(wd}lwH_=GB&*LQ6m_KSCLps?+-55G(+7IYOpMdOHpWAV@tiJS@36LFNUD^-k+4OViZS$l=fLG_z)3Un zU7@mhh4n8oy^LHQhRx=SCv z58Y+`%gtdB$mj5F>G7iX8Zk7QTBr(bN_ifK#|&y$ITx58+Nvix^dR z>Qd$Mbyv~Z%+_mP!Irq{N0TRT#&ufT5r^FRblUqy%7|_t2tWr8!ugE&Mo1;aBd2!%g)%OR^QB%)1mPs!UIj;?cY z4F?0c2&*)(z0Ji>Yy(EdpD!4muDV)7uuRVtuc6FntS&!~2uf4< zvY1SOfS+MvGxcjrI(HAIUdB*$UA2iXmJEUq4ff2*mQDX+2qW+e=#)lgA6~S13q(UA zk*iwiur6YB8DrYZs}|uaNo~1LS)c`8hRSChxZ)yN;jqus;q6wZi;C*&br=kTkq#27 zr`MO}{)S|@@Rje{wifKz*UX-1q$_QpsdTTq+ZD__{jvLd=-(x{C`fm1g_UQ8CD-U~ z#a*v+k0>SX>REdWkQwsyHtAfmQ@OIv;m{01gc&-SXYF~qrLgx|o?>$qKKt8&)tE1j zmz%AjIJ_gb?W>#)XkJa#Y|B>-E85BsFYi4L-43QZkAvH{INbF*Q+N-*{K<2NKO@WF zBhyOe|F8e*uIjF4 z=1F(Yn`iyT8Vd>vsu~6Q13GOVJ2MM2E2)T!g|#s$8#@~*3nze; z4Zz02%1)|c;^=B&WJ0QFU}QzgN~$7Fs%BzH`oq}5`QyxJc$g0{P*APH|An9wF#j6| zO8z%?hd%W|PAC4+beA@y3itEZvVl5$ZLkJ=gq4CR^{|lXXH#nAuis5YVaNjFY3V=1 z-Qw+4(1QKeZ{6C|v=wVa5SJ3dl(AR+>HW zsg`ay9nX~)S5|tpbJ=Zx9vukLf=j{a?EFTpW|#Qk0tmM2L7I01S6>L@MBcooBn;1# zlD+}BUZ+km2yMoF6&p!jU>~}7(mx#tppL*!4}2k99=pYC^+0bZB>Kk3NlOErFQ*_% zo_jV_>>AUPZB7LtBYGdK zJ!&!fE4#tcWVGS-B(3u<{CnkMjip82h7aX@YeNTLWdk1{Z$+cgky%>Wx@ra=`!6}X zYQ5VEME#v)Ak9RvmtY%_FXlPog#tJDC&Z17Ux?%+$mGyjRlDw<%7%lO7guA%8V&I^ z|LlI*+0O7jwM4LknlH~s>M5G2_SlS9VRV%@oksXt+hW^_elMQJA?s6@Z1=vD_Gn{g zMvZ7OKVFI>rQS#qNBO>#H|Xb06mfY{5ly1B2DY$8so(rL(;o>GH1kjkE}YJZupB`0ih(e!hf$l#HRm7MI`b=TqQ4C z|9dQd3Du;0nn4H+8+q+xW~od2Lm(CrXG%lnpQC^h#7tsyPtq~i4zBZo)2`jC@vQIz zc~w|GRkwh939ALOU+l8kh=+PUijDGW{qUo%hTi$V=@|8-m%48JJ|dY*DRp9X!YZ`zj{g)pw$tfVa+R;>*q< zP&er6oOL}QI6&Y2F#fiA@%wdKijxqUYD4{WcQG!9x_ zj7+>bKb@tz4&(nPo8r{s6m&04Q>PXG!Nc(sU9B5j)-Fd>cJLsFJ09;q0Dv5Z&y2sMoLH~tX6$)v)=pXc z^h&}uAxSndp zGXkgLOXNW{YOc~%@}BiJ>Y-nG3LN=66dhC9aAg*kXDt|I)b;p}rgp^CIcm~RA@us} z@lo?T5Te{O5$BWGl0@^f>0$<%f8C}e2Q8sk@CU#z? zj51_ozQW1GBm6`BmXU-$ftp}Jr8%kYKF8=QNzqe=@H5VY@~s4>6)t*YAnwqWZ#Qo zJop-aF{Un#8Od1lbhoa=;fkS6blh4Go7TCX(`AUdGRzM1?CNQ_1gA@n)RE5y&734u zrI9Z3*_oWI9Yb1eD(QI2yL0g3qL#Q^iM!>=UH(9*MQcVTs_AM+07(XBWwkpE<8~a7 zrGd-?@{wgoPzh0nv}m8Yuh{OhVPSnI&AWlq#rLEULq(#qkUXdJ&1lxY>Y%^k!M~>_ zjhcZMBSZu-lduC`a1Iu-#bk!jFJp;}2(H2Zs$@yKJc6#II7g`Tc6TzmXuF$sNk&G> zG8gLe-12H!ZZ|zoxH8_%UMC$N0L(4Z=?2$SCZDnV`i)++B~nKYP)ymbEwFC8d%kG= zi4)*u=mz;g7*t+7Q^d)!ki!&o`ttOKIgY%~6IhamXUS7n%&w#Elqlj{37I|4d(RB7 zNB1=DvWTwd#s!{|tSf7&?{v&Cel~ z_XO^d-HbGil>VMr`>*RoK*vL~W&X5#?BoJDaxma~gJ1SwFgMrlw^iOaEQYmK#J5NQ1l_2V&i_>o^Ik*TpTHs?kQM4FBN$zm^HsXw!V)}QL1yN7zpScLF!6 zCIyW~%>JW#0qY&82$gz#vQ+~$Jm33xyl7-K?UmxrIuvy#&dxvy2Al;%t&kBR2S=!I z)NtOWB|}TR;Gi$sYtRoX+%ztx9xLqrkPsFeK6v6DFqSm_!7cmvVFdhMp|5twhMjEXPbJqX|o!x3Sv3+BiTtROa1yUncG;q+U;1>NWAsXdP&(o59N2Bh#$6_P0};kGS1HNg zq+^We%e{RE#tntU#1j4~`EX;Gb0&oc|2z5T{*pGDl=WH*Q!E?)Fv?#ZRQ)bDBsxD; zdrhYH=~O#ol9O(vzuMw=0zrS05_La1TgScn3Raq%cWPQ6dDcIzN11lcVujXnX2z}3_ZGzUH6ThuDDFW6Grs76ftF~+6_ z;f}9y4ElsJ_H*nghe#ry$s-QIxVuqCR$Slo{*wJ@V!=(w3YH|-9Jj}~a`2x|&&IO- zM`n3En{W8+*ne%Oj!)_%0l4i$S!RMYEl4(xOj36dg`;mC!|14H(qr`m!g| z_Y=7lO@Ap111pJY>yCFTmUnA{cdLeX>&T^NU7p1bQ|h@Mag2b~m)VVIohD#wyH)9r zgq&-i-nd-Pb_~q;$6@bY2t~L-3)MjoBKUdHfheG+yYI|AH^+u!Rk0(&HY!XNKQlGB zP-EDh;}tK~bT+tf`FPL#oH1O%z0}|AmFmo(+4zCQiVd_%e0GaE=~24&rtG~smid7H z{p!@nt=GiLlLKlBR#vA?uh>pL?Ma5lxr{uD( zS#H}vF6-|2IWHu5tj17_?9&d^KX5dH{NO&Vtc(8< z6LE}m4sr=VUh-+)?h3SNdX_CYV6Eq^I)f)#xCunJ@(}JI(9H`tN`$8{CCe8uStGbo zQ)7b;TTbv_H&yI#<;1<0`8x%A#VMTB?LJnmCWG*HieS0L&F@P>R|9Gp{p+;R6ro$3 zKEZ!=Hi2GwEJ465-zE>L(Gfz!`wbNr<&3sOA?}&lItjNT>YfNdKwQl$bIaqAo|`PQ z-THj8ZkGA^cD-r7gQns0?W|R$Ti&qroZI}bi`>@_yzqgUW#-)GevxoGC&e!7YWXbn z$j!CPfAH4Wx4BA{>vRW6EU&{=7YGnW3McB`R!3L961Y>_qR(|5|C0UJb6Vg|i6yD@ z@AH|VJ805B*LgKCd=R>5re2Loe=`-Q3~Xo*>%_nO>ADck zG}npuKr=I<8ciYdJ>;e8HaP9GFQcd}3ep^E_tr8_E|bkY^8%|d9}J52dLxs)%Ooz# zGq&c`Y@>~{Izi<5hhS%QhEKdz`0!`85*q@^bW3YpX(y} zBU{JgoXG1C8^Yx!66XTB9ak!F{`ru>SP*qo$|mIY6))Jo6IPHM<>3`_Tf9jgl4m8*(hH_| zW09Pt=jV;{uW9(n;wtj@Gp_P3{0E*NpT#fkJb79()w z;&W_&=3Db(JiUCLidNTWxQ8Z2Yg!_EYTvF^RHb!d5^fV(0VE_Lnci z0}={X_hQr0@AznllU2~R7`nzznl(G^cf3p+x~2WAL7Hn-5ylD4f_+p+Pt-#+fwpLl z(t)-%A-3-pNf2a!C5&2|_88UW7F`rV#!1Q6NG3yT{)nUUkzfaWXV9MjP~A z$6eI>Ds7^OMzf@O}qDRcc*MVC!|g;q;{Sk)|DWo$u?ubxN7e=tx<)CSYH zyReUY5JUG7{>oV~qr+v@2(SPzSLp5x-wSx{X-SB3ab`5!5eAASkmly<0d<3pw3iuR z`hNd(q0^qBW$v)kTzlou#r2el`V0zWr+%cHa?>)54P&qb24^_rHfERAjwV3N8K#v2z;Jw-u{J>oR-HZz14c9 zBEe@X%EO>pK*ZIbU3&PL+jg2qVTQ_njm4Sy&&L3tBW=r+9tZaMwk0qmeMb7G!{a=~ zchM7-6kNMceaY(^cE{_B2#Vc=uHA9#Ie8%ZXhH;yTwDR`@dq>05bPBu&+fkBylZ0`b*qMMrKDSAULdyQR zrl%U*r3!enc8e3M77SW+^y^rC^%P}dB{nm6Gr8@?FkRptO#PMTYKps+jlk;a6vRc$ zG;u~QFll<$|2cd89l8>(5##6NyUlqDT`dG#1i6yU?(K=yawbgGJX)A zbAV`&Ve9iZ8sAlz@d!JQ{&Rp6!(y}7TI#rhIof36L z7A|Dd=Y)Ve9QZUF_I371X41w@9?{c+OO5y6!V}OvZl5EPlUWKEplq|Y&w+EaWDc|o zujd=qwX27@_|6I*kaPNW;l`(eV01ITj@qKG_1ojsvl_q&Em=9B1l#QbkI`Z!fOL90 zdq&1Ix!KkYaYZgA-XolfyMuNUhFW=sqGS;=<=hf>lSz6tCF?$NT@Av~(<-|9Qxo0E?m}4)j<2(^lpvT*OUMV7NJR4cvn$Sc^Yn4fCjM^dW3CyUOtE4mX z=+o1wbVh3AGD1poBfQD_vvVl2@^^J~?RS_|`{fuVwv6vJJY1V{m%|DiXZHl`7^(j2(HvMc5c`usG?8rHVNQ)oAaaTMT+M2Mz(}5W*%8(dOUz0Oq zC0>sHLb62jrE9Jb=P4*Ob_U}<+09BvJQ8D#QSpKF*-hA>EsN6S^=Eyas%BizQH{P@ zrI(g`nhJRsB-YOvZj{|(AbCw_3WG~%ho&BZ$=_| z`TT7;>uln?a%BeMh{9Yu5|7dj&s-a0??rI7nBwRGWniYR6l&-^AC1ytMejB1W&u%% zySH7}#p&JYjaM{$FaDU|>kOO6VYJJ1mX0{DWqq3dE#<&K%@BbvSm&Ih6Rb+%ToIryJ{r*ad89a z*m<*a!6)b+aO2g+X~O?0IBBb1sb{>)apzVSw^Y3=I^e!z_SK}#O|0K3)NJb}G#uG$ zo6Dly93SlM#4kBlBnRZrcS1xP^xmio zepm?%>rGC?nU~zfh3jgZ=gT^^a*Dj*Mvv~p`_hEM8(QDdzZYwMEb&S zfJs6GgRkuw*e#Qk9%ej5F+yAtZK)kU6bQyrF{Of?86-iP%h)*ybNx%rr4v%7e*f4? zMTVpMn#8Ho!n?h{z>fdWre{|*%vWOmotGbXgO+_rZnIjh*$dAbMj-Y1x*0i*L(hC7 zkgutkB3V&4%U70LxlFvM8S55rMyX8Ds&3mMUJngF?~f z451+Qq|kJD3*9W?Q)ch3y%F>H@877@VH;9Tx)EZT*kxx#;%WtQIRyk*$;D*SM&V+M zl*LK-KFQru;gllnXOzrSklwR)$MdJ~JUKb1>K+<4Dy|uft^G7&o_Wi`Hmsxf>+m86 zIi4N)ItrZdxz<81#cII~k3c|D#9nSiDaVM-}Ty0YLa?{#az;{C5JmxP3 zis!5ONBY1@>V@9$&V`*oXJGn5@d+Zn7EZ-kL+bH4AE0|Kpf=8ip1-lV{>XH_K>d`; zb7fkC+xCUh^h5j!>isn<(*6K-XK!}w8E{m6HDs?Fn-zp@cA* zyv7?u@GYvR8`=8_Z+f8ca*_tqAp5b1KNfLcM2y1@*1f~u4S0y2e*=VE4Acv_6JQhj zG=Fn=!OsH`92L{Q3K!v3+?2)(iWk$l(YYspePE9!D92w%tr7&urLYyWd=WOfa4+1p z&X98Ff?6ed{%!6<9I~U0VBK5%r4OOqnO?3vE@fxS619dJw0`vfn=SMT#)c9O+91;37%AS(k_5O0ykR=?(mva99byRSeR{H( zqRP*M5%^}}{RNSPY!h~bCsa4oap@w||B(WnSwEgb@9@ir@2Cp>g+O8pS8SyNi1@lH za?AV16e_%p5Ygv6sS~a}EMVRf0Dqx-c?Z{tjsM)U&nI*bzxPs4a!4X{kJyQp4B5F5 z=JDl@)fD2fdn3B(%(oH-AQacCQM_G*=OO=lXyXtguoLD1!0hpFf5M{x!lb+NbiwL4 zM;C>>p||TLUXnnCzUUHWLpCFK82gIXA4ybD)xe~&Z%@YL46nfuq`k*Y@;>ZAmvQ`j zE=r4iKSGCiB*dV$f$j$f2sBG3ae`W!GFIR;{4*D;u3Ff~%fLUliRxO7mbYX|i-F2M zQMv=Krv%~m(LSAe5>!1szDph)I!pAk6I?k-$~zu&vXqs&43C#}qCJ536I?3$`#tu&fWmhB&B_qeK62Ags; zODmcFR58@JSfV~R!RY|b5XaNrWbnrq9bEh-$H)rwMnBa?T4pu*G8M9B*6z5x)|%)z zqzN#;G*2wrR6G~O7RF{dyQcf0&?JOW;DvAFy!N6Exa-4-lTuIUvh5|c*Rq%5 zHKm;<-+VDGAWSX5wwBW&T#me≤J^&~sh9e55mLd7R(@xb5= zp7c;O=YRb3{!eQ71{OH>%5r#@-wiLYY6PW2@$h_U$8 zn{V6%FN~pl0fJKWn7KH)y+vB-qz%pQl9P65?9GUnHe%Ovqe zR~r-5ujem0+L0HfPL*lV`F>!?qK-j|O){WWWPJT2bykSV|Cmry! z)*8U)mR}O54j|E`_SP+aN3?i4g&`ya&i&)CA>SirqZ>q{dxk$D@w*{Ta0opRIhy?K z#cxR*bgA+)M{IF;N-oRdf`7Lg3lYH69ln!HIqn?`JM(%a>POa=peT;Lx7Qp7hFRp~|P^qi%?Do-HTQ3pPcCmt<{hwrEKT_+hYGV~fJa}*C6(oW(VqWtVa zK%WCYm^3DChjf2W(uv>#M$z_1r@%Hq_(>1TjM$-8Eb{<+!s=tdwtD1)QvO;dwJH3J zS)k*_D#ndAA;`m%qpXTZUhK(5(uv^^YKm)1J;a^hFo4@V*N5cLQnC4!o{)wNeP+w) zV3Fp^wy##y8~&5&vNcNc5qmuM=Nsxv$&5iGA}}d62ny9Ui0ulMP#YrmLlA$>(vx*Y zEhsmR!=!XazbzWF)yy)~DoVl;E)p)IF;E!*6Pc$q4;sjajsS^JGt=kx9;>D&- z4n=g|w?}qy+YfdRF%wBqG?S2!r|k@`!5vBKvwE(gJReG)D`x=wbE-!!Aq^WF138p$ z#-M)RGFp$wbpOGcVNp)?n)xQ<)F(jH%x$MYgz#il)Y44hf+Eet{0@RGbWDHlb_MBLIZN-U96dr=`rXiU&<-y(L|2{cQvrWc2L?uq^& zrHfNOdW$PjzTJf|8OqiSMFInq=yCkc3pg0w{`|1`^@5MWH|idcS5?QZzT7l?KzHpD z$)q6oLn)CeFK5V1?uTQm1fMOoIi62!y#URswf`YjgRvh<(xaqAq zr|TG}k}u^TRk}~qrtqvet>l9Mk6=D4i5|)9LvkEOSjyGoH~`Vl7C(<9yzz11DI3E+ zMPD4DyPkD0~r52$3 zhJ<2d+uM%I%gCMt&4?N!&}k*3w1#Jn-;v55BS!s1?^|W}Kbvs5Pqo+4b_F)yFZ|?Q z&kd*uU6z8>vSLBih38yZyveJd7caQV0xQwO>eO(L@kU>pMb5VZ*M8wyI!&wZy5+MAkp~s}BW$_x=koE!UK|D##b|1 zDKkoBB~ZCr@#K@D&0f3oW^`71%5`&K{J>$Wml}UzMP~BrHl_m9N(@S^qHi=;;cOn8 z7ZZb*V%cHNeXe03B5=_4$ojb#GkE|aORY6hC)rZ9QJVPvu56~Nt4j28_Vb)QO}_aX zWFT{LrouY%WEf?zzz@uJv1xb)T=0cBIi1tqTdA(=2o{%AfQF|YfhFjLaS$d4>uAb! zhpz|qG#rpj--t!AalvUqjA3;a2+Tp1X`cCAglQfNv3V}nxToQ0Oqe^7hVF(s1pHmy zC+CW{LAyr!zb;>~JCKQ2%Q||Zn8LMi&De&tZiX$Mh%e!=A>geUca+GV>(iXW&o0^r ztndZyF#Rdx2h`}XPr3^|Rvo2X3p((<_fyhOu4a0>zU-U}POi>jtA$lq?bA?SRLnf} zH;WZ}5i3OJ?7f;;HBbox=UN)2ZP~6Xyg3)HE1WqY&2NINU9JbffYyCULG=9*hWk-< z8~nVrxleqR*T;*$E&2OSP8@SoJ$5R+Uau>xmuEbZl^KVl0F{gG)%S6Q9dp9csyR<9w(*w75x;P-8>iu+^vG% zuDxTm7MF@a0AORS_PaZT{aqpUZLAC6wPI$j{F0XfrQFW zYxNt+dmP^#{Q%d4T5n6cgR9-%kews}KhWFy!rs5<`?g%jWQoo73-*Suw}HZclDpvL zX^$yM*>Cd!wIy%i*Rq}<(B$b6oJ0TU=5?&+ZJLJr_>@=swlh9?%*FZsa5{OD_UzWM zH(0r8=EtsAQ#-P{ctE1}EUW!iFmsi4)LrEKV*0pu3)y=<25!92w7=M_`{un5WC3;G zA9mTF9|Ff-9wP)eH`I6v>bMg3a1LG>Yb^m458boLg`Fnvz|+y^Wy+y`UO$avyeG6x zTDoYD75oF%UpHpj-FZ0Ihy7JdvGk`#tecCe<1be^GE0j)Z*PS&cf;3FHlugL3(7M~Fv}EM~)W%U=I>Wn_?*%>j@5Yi*Or&G)DwblsGqW)W#X)u*hLS0`Vh@pk&@W15 zQJZxz;Bn zvCm|3F6ot%lr++1WOO2yS!_j)bUR1nafF3I7wjgISnvr_29i26hH)|DNOk|zv+~iT zT*8aBPzv;ifBraAVW-5()eIwE~5yGlm{B+s{(Y>UlgB;AAV5dDWwPQ zsrtansDx_FHt=EozFC6!a7I&J! zdlK9W_se~C&}eVR5;zA{x23-W9Yh@E2Jha;xY5#k(&WAgQ#%Rv!3Oz8-J@NaYJqBl zxc-5CNI)657hYQen_wS25S}{7s@Lmxe+L2xPXlz}e{UG&_T3#&@2(c`!87zecjI1A zUdq?IU%+1+JdmcJ119@VD0~gBV&N{Rquk)!xwJqB{`ViF>@R&SuFzpG9HO{zK;yV} zpWO4Btp%Yu{no&pFd$K;joO~oW$-%220POR?ADp_)!V0yUfc_=ttNGlRu5kfc>U9c zFYX2B^RvEM z>FwwRHwD~p;@0AT2$(jew_f|eaK3UKs8q zP42aD7e2Ul-`(*vK`vW423K!rAO#IjT40!ynCHlLn%r0AP@aE3*<58v%Owqbl+*(V z%|;Z9wT;=)oAKfKTp{FRrr3(*2Jl1ys6N*n@p(8rx^&-7z2Q2P6>+IJGn#ZYs|?uG zFiVzL47o)-cOZLo5-doEl1BJd+qcuwJDoM zPv1z@|0(CNDf|1j80-=z<#w$uAu90i6JBDH@@WoBB$-W`6h0tifLU8TZot&o)tYbJ zfS}n~xqXiFG%oNcBvwo4%h!-I)b6Cs#xqx66`lvu9AKC7J4bh;j?cSq{d-C7a>+s{ zk$IY-raT3?*O|T2jI+gFG|~+}7OKtB^6>q`wX1pGIA_6Dy-169))}eqdIA|!aow!m z5-G<;;JoWtoT@QzG|KnD%_(`I7)kOXi+aOygH&=}94_T6*)TfJVGHsGz8B|V_1A5? zw35Z6O{R5}tg~w|_s-?TJfzxDm~m8gBcW@RozrRSHkyLH=IF)8@A}9IVv@6O^(Lq3 z;mXaa9zJe+gOdFx4?kVGXmx8u7gBBe#2)5qMVsl@T!*k(ZG*eN0RLWKbQixVH_MVF zS?$uS82S1=VEM*9PAa`kZ{4SN<2^Cuj3P*hP-4w|YusZ<(JlhJI6pkMck58YY~L@5 zCC|(mv`fVZHlMj$E5`WO$0GRIC|stJ-zOjwRua8wNOOj-6+fK0ql6@3N)R7AziNV0Af#k7gV` zW_O)L-lzOub+a0stf|-~ftB@9iV24%iG(U6v?x46-CDXs@xN-R1)Xo6w2=y%bRSyz zZ=;Gtf=cuc6NL{KS+P=|(gb|@-ZC)NDkgH91TE9=@+YZ`fF`F>SUa#{zL{$p7BlXl z)=%5RCy32H5c8+}WyDOM{{hWsg89tRnbZHhEIR)PdK8vRR)?dhp6I^e7c~6e?LPY}Lqx z`OfV+(kQ%gO_!O?NK?dJhcrva|;dXbcX=o0}Sw4k(zxod?Vnlnt_WTdx!uhJ9g0EtR zLyr_|Qn{{4;qxO{m7t@E)Np>lmWi}#xy1~w4^^{k zRNqGLy&&Pu*)&`uWZ3xZbOQ_j34x7;120EdoG+)zRllnmF?)JjqiqHuG)^UFsO;M} zLPbWS9eY!w?DO$e{xvrd;iApJo4BR;g#IJ$9k^CbdA9cLx!JpYkXeY+&nMXM#!M81 z&{TN^lBbfXHo&m{w|TnvNn`IEgKuatmM9`FE>R$xl7;Vu3~=+*?}J(vY6oMOI%m~n zWz9#zWuzy3s};`mqV*vwn*UHozZcO`&)c3MiNa@WV(mu8=I@^)9rLpPQ%s?9Y$(-Y zAR@~jLHMj_Lh?m1?2n{IDV}1nDEyb79i$RX@G((GDZhsK*(gv|ExaojhMw?+7ba1^ z@v}1!Xr5+jEYHx<57ji2DmediC7`S4GjDYbJNk6JcvFY_>H0XDw05G5u(q7$uctve zO`v8O-w^h?!2+U(p{L^eG5WQSnxd5(f=2w#m-nh zuRKPDk(nY&liG12yF|RknQ+WH)*Lcl(6=%HNj0zdlTySKZh^AcGhxvV_OcykxbH@4 z>Jby@~LZERlOY~A$TbMjMDU~t+INkJmg&r!xm0x)fJtbTXa z2Frg4Fa@PHS*v%-elS6BV`4K;*a!wVbaCglROBU!bC$%nRm~7s{e~tIZZN;NGxDNg z@|K!ym%Z>+OP1a^cV}`z2X=?9rgA-}5Ta@c>=T2-2#>=E_rnO$C0#<~#a*E3Iqs|G z>|#_1G3T!qxJfe-2g-ei@O_66gen-EOZ1R|4nM1RwYw7`ozf4RV3Qhnt-loE?xY?1 zJ*i&_A|l0&7LDiBqL(P3w;$3w?R9<2Dmbm3%9N;F_(-Kk+t9;j9EnM(zAH<29V#Zy zw>jjHTo+zDCQ|{KCCUtKX(ed2?BHNj)F}jbB5q=`v$1?(dTjGWAz_GK`%O0!B-$)2 zwPwyena1RXJN7_I{!TCeJ)G^7Oh|f-F(m%4tpbnAOZ+SL5a=X)70aM=ugV(_g=p6{1XTYf)#brth?%6NeRId9Twru#j{m;t`3SoX)KwO zRXK54h+qkBrrYLU0!QMh++0?qA$jwYfZqYr#jRdkeno1x!P?G4wJ~l_{P5_{WFU*J zX|_*88>a;nOc1KLjwi~uw)Y{^!G-PW1_ zQTN{5q25<6li~?rf9)EIQmYP9$KpNcU4$7rD!0jWQiAXbRS<073c*#0ri7SL_5adV z2mNlIX?&IDdL%o50_!p5o~Yfp3XP43uH>6-fUuJ>9H;qSo-R3UHr*c!NdoWfp8u?! zg>A*G3o_k5k+}ofO3iHU7=9y6BD+cmaaU++EjUu-bDY9OcQ5B9m{+Wzd8TgW=e)-2 zyu`1+#QQ*R^RujNvfJqw84@o|)>`=iccFm0LcpSa44xqjo+S*PJ`A2YjNa9EA0kW= zv`gX3YG@L;JlYS!1a>k!^GuCx5JLlQX!}r2yb#X(!u?N@}0q(B*4tc%CV?2|QKtu)i!d}KPJTNg| zRIR;%yK2`gq-!QU-*w(E8f7-YS6um%xM-5Ufvp&ihqWPd$O#U5`lB+^X|T*quo68h`LaRx2YroGTiD z=(t@4m#{80X+m*@004V;lWks#cI}0EuVS?Q#b}$sX!{g@Jnhh=H|+KjZ}qXi#$UiF z+nn9MNY}sy;|U!h6wQ))di*c>;)uM@A&=5)QGr$8|9jHj`0<>HnS81lIqf` zH|+Eh?*|`@8<$(BpTF2?%Rgc@@ds}D+`E0x98iFR6sK3>l>!>A-TezUbG$M8>?U*< zrsuv$>?Z9Q_Kf%(ChoP*gw`7N;@b^RVlOl&uxrOlid7~3w*{*FJbv>(H86Id+ENz_ z^^S0pjfFH5&Bq}%(jND2Eux**3%7z{n#ar?;XK|{6Emt|4x&%+_CPyZ5FNGD!Y)@@ zxCYz%Eq${g-^}@362-Qlc`Nm#XX|fo>NLC$D;G`m=M%ECBL%)5zZXWRbDQ+l#;+}f z(xS{y1J@l-N(j8Pbam^;>LZ(oeE%u>t560>H)C3BPJhEkhJFCiMvuDMV4e2&*?xiz z)MXL>G`Z2**5EK>dhuSvs%5;$Chs~J(fmnnE~an(7XqypsDkkpBgsPMnF_1T#zsCp zZC)kxr%JhEgMkRMc#l%Z{Z89u-{NG!PQ5*G&s6wG6U|n`0l&2#*WsAgqF?z%YsTWL z+p^+?!;{yLSf>^-`2OO-{$I8S#B6&zE#YliX^qY0t`r5z413}j{|J!|Ef3m_i;zMf zX#aOaZwRE$#8ag9`KKH56cyU?K&OiZB8Cn}CqJrZX;S_FKE=I@&^3nT4C4+P#O(5kR8s+vG>?JdHsI4*Xg- zA)sCSY+@NpCt>VAzz+zlCA@TZ9fDT+o_pa>tAhJLHuy;P&;Nmt3r1EdOr<&c2}N@^ zjPWkj354emhv^ClPbH7u zll|Gh*Ghi`K_wZ-MUzKl9KDn#{Iq+I{fN6+P}uoPE*#!2zHT3a9UTF-nA=-0kraN+ zK;NQ;K2*%w{(9-MC2(6IL5OW+!PTxQMKlM>JstEib50T`pGd^+36RLNXAvD zV=(>R>3;wW0K5qbcXP+OfcwjJq<1)fO-wp6;XFcxm%^aL>`^NkaXs9R9!`J2zTwDx z#qbyiTTt~1KorZk#z7QQJ!Av!Rw5UH^A5UTC2utzpJN?=hWKvzmwnQ?_yDghumzu< z=t#8zlP7v}Kmc$dr9cm=pI44glhujgSdS-C`9TjBe6rpx#}d+SlT6p%A2LiDvq`o8 z^Utgea?x(0*q3!^Nf(ukBgKN1qd5PE3ZGihn2K8;Xv;S^*@(`ObRet&r_%E?0xmC} zxSvZ(@#&+NhX$BevCC(a9YX-eEd6s(O5#}ntx5qY%1ivtOFZC-OwUoMU@UzUimp7~ zGN6_>*_ij69z>M+UbG7u$u6Cd_>dr{gQNSiVu;Ud?U9!MgXbj-bjRf>$4h=YW7oJem9P z6p*Voto0gy|3F4^FDi%_ZZs+7GBSzyy9+b8odfB%pL8xQP*D$E?gR>Nf$7zX41#^&l75pppLrBLAAH|TIdt08_3duy!*Iva zlf^tIf&i$H?w#1vU<2rS+y9PcmOG$P`Qk`;oVT%7VF)fDKFzu z?o(0jLv};|bgAAi$%(JXiEqh?VgK$l;u!&IJk5!t$ohph7FesBOpJx!IK$gB#-rfh ztgN&KA$+U3o69RRY)kBGd@aS*Cmu{^)yvOeP{Z(Vd@U;7BDP}L1T9xYd&M+0x{b%E zDKS|z8pp0;JWhLfO~-2vh-?~Xr&NiOyMH4bBaG~r#PLtVVo}mjr`_vG92rTe@%-E2a+qxQ<$S7<3IE%z0Y=)O< zqbA{8T$?8jkB@D$Hl|rSJFGNUZ<_Jfb8Kc;S2g+C8x~i5uz$S`G$b$l3gHVs_=5Qr zRS;8V35c>`?U8;kFo11@=WY+#m-F&xixvEQhOL5a_OwhNME#eZKZ%;Gvr@4F(=n>Gl~i`zlJOdyfl?d2UI^Q6)d zhgS)Ex{>TsC>@Z}E|XbEyGV4pDpxpoocTuZnWVP!kUGZ7!C7H^Mb%lJ^L28N3)0;> z`*vd-`F>qrbsi=gcmYs*y84@Ws3q0jBCe4pWXgIeqH_k(P)*gZDd z!=pLY#$USSumG`9?fDTcwMoum+=MOB-B@jrz`oso&N(2Rs5d~29v*NDAd?#~v++dP zf4v&Im|<~>yI71t z`6RO2%I)>_A+5mre+7sKcla!TBBplkmTs@bNU5!OcqO4GI75go^$63~jERqXdt2L9Tq-Pka8J;BQSR){cfR{^yt? z4wqAg{v0^g`}d&Y2lS1`U9mhdV?MaXNy-_sjM<8vBQ@Z`t_=JhA;NwfX-800960 zcmbqWX>1i$6uu*C*$c8mEr^w+$Rbj@P?$Gw-s_Y%3p4YO65^=r5DNqwAwdZtNNP+3 zg&5)zOt3UT|EMU55=>A)i2;`%8h((d!3C9=_`|S7&v$0-yf=vX(MJrWf$fhPxwNLb>A+MiHLJUziF;_=EA}cvT>Wn5&-4*vXaKJA zVS(cZhDC8^kv9#~$q_Yts>B@n5o{XA)4}w{E=x5DXJzcb%!Vg`JV!Y6-rM-fUd)Ek z02aYPf#>K}TDLSq_dw*Qnt7iz=jJgTL<4xk(;9SBO>pimmJ;>V^MCdSQc9tESt}_8 z^sEu(^!}sIdCn>+MFH#iZs4a18a^cY5&qKEciZSAhSOlX#Jqt|tG)`VSVH3-7WMGd zS_GOHNCO*e2VM&n=^9o=D~<6i$>bI7Cls1V&R&D<`k@7(ZLzUyIYcU2hL?ba4^ryc^O^thF98T$ za6lw~&Pchc+Y~seQHZU1?4`$+Y<(L$21GlwoUJVgG`rx<-eNb=*6-Z#DScwg6xAs# ziykye#N+gSt!?J7#hQdN5Ft#O`#Geqf7u`Yj4k1i2Qe2FH0Fz0^q#wZ$Th4;U!--E znjmi(zA{8iB+A%--#q>WePu*?O$gp7=ip*xkeEPpdu3DYQ}mVbV%e_Q0XUc$x|z$z z))8qQ$l}SNDIevF3@BRHbI*=lXVk`yVKYw&uCDyIwvriBoUGyf@g_gBFftE(-fDRfQ)o%cRpB{X| zstX^!57}jjEbL(rm`~#i)f0W+YsAtJ8lfnZl(J=qoe1S^3fG_?TdGGnG?R9t;-Yd< z4{JM7!tywY(espNXFvv-@xDPlF+?hrF`^qGBtL{Z$5(`UFh;c(@!`8)gs6xSb%ceuff#UzxJZ>!Hsx7_$S~s4(MnDO znJ$j%Qswe>SIM%P%~!sLE^%XsHjm_pYqz$*4~6sTLf{)2Bf6x(5#9J~<>(I|0Vw5& zu0(O6hXTFCVtQAJpQd4k77qVLNTq>SPVD#_m0px4sb0(o#L=8yy$?Nq88ca(3lSCg z6fe3DBKHl0Ss!WD&S6E`J5)5nDvhk~^3o?a10(&RH*5r{PegK6D;pM0teTA3HK}S2W@#D=eF_sT@N!gN>%c3n zqLmIiB^%z5b-L`RzFvpL&|BFcrFwdOdEu{&#g%c=_RTB79f{A}Q;l>j4m6eShIe~{ zosb{p;RgAOypM`?=UO-kEi8FPM=kF9oN`f4ysIbg?v2oq?cJotXJ-m^pWUGuga|`; z4DV`vW_3yDyF6tfm+8~L?^}lbvj5!d48`S?-MnY|ct8_HSF)4S$wuB4(N&Tn#4{$?mS$>W)GXYwTTp*I=XZwBr-g|Z~FE1~D$Jpt=(P$?D zS+nK`!y9ef`d~PZ-ook?q+UkppCR=bwx){7)(T74Y0)Zo&VK{F@O8~hJCtA3?}6xa zy?~xD{;dVfzSB7Y$3FmZ#;p^oqI=9&+^*dl&ZA$j`VB4)$B^NF`agldm#CPli1Z<^ zn50MAiGsf_@X@d6kz?JoMpWmHc8~WcJ37kt^E8{#R61@L9czOQV@_z5e6PJBZ#n7m zHODtBt)FS`Jvvc0X1gjuT#!5m-FN*BK7%0*7d2?Z;4Tz`g8_>Ya@*2*V9QMBrUuRzqRqQ6aG? zk|DG)b$=0aOEpuzWQFqvST5Uj93kn@(rqO@76Dt-0rT+0h@S%X!a5_C-f3eGh~|@h4dIFgAg~Nb&i(abTzl)jtOZGlF`n`=!P@x&>Yc8E<1F$m+JG-F5!)dT#ZoM zvnRMOrsU!TlqDRuEA%72frHTd5A9szpao4gKzg)a)!R_P_} z(=1d(W6-OVX1DV%Zl@E<(>#lcKgINwNBek7_wUmr-(Lzx-*975Ns%SRluZuOgN*KY z0r2xlPU+3VEI;g8e=(b$_e8i|u=-w@#_`Ag=yCpe`;AhSy;eNKCS0JFpc5&?e{yV(E$ delta 863 zcmV-l1EBoY3DyaacYjNd6gLpwfhdS3${`6HA`W$d>`Jq{)4hl+oS3Xw1Y*#zvw3ie zJU#ASCvLmt$3o|l{G|M-{DRyf2%FFCo|)!(%mrGqT(;}^Rh8XmXJ=Qn@LC(UJm}AZb6EWgsTWcDcSv2u)>tvwSYhcp%^KxScz>X0zN(mM`tnQqB8XPk zbLa`<|60K8r>zrk{Bsb;+&ZC3y2pIU?b5yeJop2vf8b(&^cgM?RmW~@n2il;+m=jthPqa7WEhk;P z<@g&*3p7)y$bV@q?U1A{MNWlRd62f0Fts3!jx%I+;hr+XDQtD@ffUZtcru+*&5ToE zj5?B)l3^>sR72Xk+B+(QJVb;= z20&J}bv)NIGe5Vv5p`guacMXY#)nJXCrB+)!|AYtcP-gt zhL;g=@p(o15c3u{%}Hbsq{C@yjz3<)c5uo>-$?Fi9`8-E$6<*Rd z9xGHtZ7AKR(aqc0x3@<(C>v#IRQxrj#~$tDE#1FQd)f9}IQoGbi%N5oSk%be>ANTG@_*_;K+xF!H-Jk{oLML9=Whx{mUkkTv3Tnj)N8=9}fBlui*YI?)W<16Jw2jVr-|;qi z!S>Z;GL_C|JVWpL_ISC`vI~Pu=w~SJsMEmy&RIwZ(R3z64gGf&d<6V&55%ni>8U=Y zipte$-agWWHGx3s3A7LNr!A*RznhQfB>0#fRJ74SJJBL5yxg%%yDRTUuKaokAI1HD02NYx@F_H9mBqw#CKj3|qX;j0%3fT%S zR_ZKZd>}3f@gpnneL%dKL$s60OJLb$F<%h2{@|tKZD4m?WF@&g&~ktAot+Yju4+fw zoXc#v=}=VrI~#X&+ITNHj&I;{vXCg08Wd0e;%hVJ0^I~QkAIX^Mb9UipMZ%9S>#-u`jFj^#Y#&k2ljx@9BJn zAd2VzQSkq1I>T(GZ>5KMJTSCcB}r9t9&X2(Hgt6hC+0NWFJ3??lLEyA^Y4WDnbf~s(VDb811LfAka7lW{UC#XUAkdE>$Ho+7l zs7cyC@>j$Xzn)*2NDoSCG(Ku_@Mk3`Q58E^`@h&@U?=j6{_CxX196~izijn#o){Ij zPbF>;!;Wcj`B;s!HTA%>>93ZLPoWdErqHaEQDd5^C%cIPZRgO7s?CC4dPdUAp&K{+ zA$H~1Ki>01q#HC1ouJ-B2_isv@eU@dTUqkHUiphx*+fgi zA2b~VSS|Yha4Ry1vKCx~>~!l*4$ZNHg=%qr#J1#!O4>EQE(wtAhqCmOo5C_(zI1|h zJ;MF7F823rd9TVnBg(@2Ri(vZN;7{IHd-^RRP0{IS?5Pe-y(1RUa;-a@!E zJe@YG_M(%f6ks2|+Wo3)mp2&SxXzh}lx}-(d_Ma$zpfKnE_~R3{&cEBZ|8sQ=28?n z_(|rGm~)q~3G{s5%|4*WDb_0X?`^u?6upf$y0HpMO-s*l=W^WlNzm0^r3!pNTYpE% z&lD&J(B(1vOyW?uNO(()$gLf;4|-SjZ1G!7;Z?nmEVbV-tgm$95)OGvTfV8av$RRA zuQcx{y_Lv*{E{yA;_}&eZM(#K=vV7+Y_z2#xEui;e_mvpjWTWa{#Q1!_>AnHlJuKt zcX&u*riQj}?UUg4I0lK`XYP4+S9TcMc<+GH*vGJkoaa?qr13M_p~Sdk@XANKEg%jY zJO~oH&4z~*`Cy+gyk9WWjtS@dQxyNbHp)cx-nRn356#bCzq-kp24d-0z zkdwrF+&tGv2;(HTbt5>YD6YSc=QCXcE>*9%X8&oPw$Z-q6af^+vt&}`MAdfa=wJ{r zKhfns&n+AQxLmqQONl@=lS3}3TV9+JRjCxQDaX%LsnDch6m3$XCt*MMVFDr$l`fGX zh*TXzzio)E`sW+Q+AXb_3`zfTuT_~qEP}x-PQ*?WlOH<|T z2C&X;@k!k{Hkve+{3{>z!njo`P9nx+vBw|i6f2oH|+%T9pV{bNG!D`9wJLs^G`H8cO{aNN*8W%&4eGU zRwV(AY-zdwQnAh(b#d>uEi?@zo^kX zt~7<1=he@uDv1{GP*mm76tNRp(=~A)76fihwT7*(<(z&r%QS~=U16h#XG>pdGa+^# zSZ+b184b@-epd!%t73H%T9yT6hDdKKJK%v=wN=@&PE*-bxjqDLTJpp1SjBP*tX0Fj zddp!L=NPgtjJE}_YMeuowMG#Rg@T8%PAT0G<+3>(oj^E&F}$t?u}Q(=w(5v@HPDbP+QI^qz<(@omi%IGcz&p^Dd6uG zr?h+sBSw5VzznrH)g6`nE1W?y8Yl4nbTVN6ymWhEBX#t?u$X|908^gsNnzigVV%=&5U*i z?7Yv$A~T99CB>sf1K=PNU$AN|$(#ru$4J8ZW8d6@y35AV(P?J(a#ImaCoAf&CQjg z$6lNb(kweWq2m5ubKk-W)QnYF$bes243lk}W&4T4j?>)X^lAP?*MewDM@a`Gs1B<@@6N|w&8TvCU@36~6 zUZ?4$n(4;lqZMPK0* z%-AweHJViMJX$QdJ`pNG#yD+okBat)Jwp<2IaxochY`FKYN9TiBKskvR)DLKaN2X6Lt^jyjqP!JgbEb;Ba zx2=RfiNG!4343tQ!8++%ll~Qg^c*cgx@l+eKt8vX(D$AzY*Y8vc%Fg{o_l+`0$Tib zZgSynG+a2@Zt%99Ky@t9OF(*d)v@Hvg#c*!3}ve_TRQM|brXla5nGhdS{JZffA>tL zK(RRF5nER`zbk26&{{xcvw$`jqXP68Q+Kl-cGmh2+_~Hc&D$C5kf@fsy{%1o1`Qs0 zM>JGm-4*^3g3f~}zv&*CRzL*BM!K0@n>;>SxOF^P+hzm7*kSgi?*!ilXo_buIQ^3q zwAR%cl(bJc;ryeyaKNJ_;G0CgN%Wh<0_bf-h^@@5*INK~ z4-2D~IsCh7FTl}SD`GV3n!y#d9G<8P#A1-rE$GzP?AsZVIXb;+S+kgfQ%+mQd{=~k z5Q_TUhPwepsK^l^B*57?)a|AM)ByPgB39cMc1Z*< zUs$UoHoO_NOR}Vz;J@q^=abHs=>h!kb@PridB?B0?xG8o4EfA%i(?^V%O=@K>3F;s zx|isTJ0&3eg>#h%94lS5!YJj-Y)cT?O~tC^pPTz^2KIduQ;$O!iIU+rxNXuXmrMPY zYRsbW%9TMDc0RVk_wLR9XWa6JZ(%VivI7(8{MANRH*}AS!+*V+IG#ylUoOm}mMgOf z8GOVyM=Vo|n4{z)0ja7Jk>IJY=sgw#Touzi8!Iry4K{iUVf_StD zSe#ylYOr`nbKPmx;6z-qbRKHe=x2KeK_>4oi^DxyxHTXAZD+$< zsopp!f-$~7AALsR< zblA_N^$|-uwy0CKBe^)^^Ob762fR?U))bl_n^HI)QkGj#ymW1IvIOa!Y$qH76zy&Z z2+j05&P_O-7MAN{QUu_Scl4GX4+>i_Pq-WKtCn^wdNp%XzFpiUpsJ?=T{;m6zuH>D zPdzFc-E5OEuOBSzbqwuPC0b=+l{zovGayWIOcG|?@N{e4)8=wJx-PH_Dn_rW$vZkW zq}WG(Tn&5J%-W*~4RjrosHj*!dr*rst`|QtlZS|S2alErg%ug;(m6l&)kBxbzC8OX zPgF2GKj%_wcrlJaOV7=@#sg=|cMDt*x_0Miqqc8O_=IfT{^^t;Wty1ZX(I6JDa-qG z*zMzc67a_-;j(pJ|3x@br3UtvPn13>d(FxtELC)T_o`AM;_N`!5uzIa%Ll8AS`I4G zmIf?!MD-@SI6`Z3UvjR_tB>?0|KUb#c3S$YCxwOZ%}nXl0wZ0D%wg`wIkxQN9SPaD z;4i4fk;9Rz`(>BDTDJQtLO}bY2?#Y@o*`{QcJ6u~t3s|&0`ZR{z?goMr;$Lfj=h43 z1Ok!f|7nmB(pY=tbAg(CQBzsgx4+&QM*esi*30*f-|PAav!08n)B>6YScmPXDYUlN z#2`FPUlCrPUJ>^CWaHE$N!Q94LDXDB%H5#xd%SU<#p?ClJ0W z{^PtRdKYezel0|KmIrWSL=|NwEdOUXr_&n#_d)$k=41Vst(tW|Qj*n3 zBrB|xAiE)Wh^+SJChL8P#He#%Nk6ZSI~%w2kFubuA0EcfKxsFnT`7Dt6d0rzLt!)2 z$PI2LgCt*CCmd@jvVtFZ5{%h4L{OrLzhPn-W;e zLCb_qHB3)w3dhZl500DNBSr@I+U7LWIMKemo0pfXgTVJEw4reL*9wtKEYme0H!be* z4etxbcMax@g5jjY^JAK2G1n;RMz9sCN=e9Y*-w(~r`^fPV%dOPKF)GHcTADqQ@zrM zpZ3#D@?weiS_3lJnmoZk95xPWFR= z$v?q6FLAq?xba%Cklj^02Z4}OqPkrIt4Bygkw!X0M8?eAPlo7Ic%?gHe7Yy4L6hh9 zAIGe)AwP#*Nsf?T*#|43kI5lhP29F6i@<_P4D(OdO_L&-={EoE}_0Q@Mk2&@-AN0H2Qx^5^ z4PHS{fBw`ZoG!9=1+%dA;fhA!^bWb73Uwc{2K&4`c$5v8W-kD~{#ar7S5&iaXRN~I z^Xp)?`G8Ahq(J-&(VU~!8e6SJ2w!=;t}Z3usfRe^?!>mjZrU@tWdON^_~-I7iB~^A zhZA-3Ge3A6_#bs$kW0eZ?BG;yL7WSs&A`7Ou14u!RzysEFi_;3NK;Y}sn#`Z%^AS?#sDAIRp9Bu9=({q|R2_*i7t zuT;)PfBpSA4UwAhCiJqzeK7X!k$t3cLd1Kp%tfS`OE>Us!>(LH{{E1tz*R){j}N+Q zVb)d-?n}T5`H}Z0RV~W=*lN?M9dr|SX(ZESFC-1pgn#m^qo90(YYrkh>gQJ2{|RZj zP{Y}EVc5}k{&4-Yk*K*f5Dnw{$n(JBm=k|8?OT}{amG0KgN>{P%RC~AkmZisWmdDw}=e9Fc$KF-MPL#r;KadD{ zrJDZ_oNmMGruuPq-EOVkhRz1;g=OGioyBnubybgu0rs(o zN8%Ln-EZiMUon%7kj9v&JZYWGd}KKtVP`?_bA5LsRvU4sOSURD1moioXHN*2Xy1(| z=-2M%t(&+NO}KUUW@b`=MRYl+6YIa~?VC55`IpNorKx+QADs@zEblHD4&TC>qHI#xYe-y5;O z=MymeeWq}*(HPJZ)6LzoSxV0^{i|imBl#9%$++E0r+8w3P4-M6!91eWOI`TCd6;(U z{WyJR7D&0vcRA1wZj`p@>!ivtJ$)CcZcm5#F)I`mF}Lb!XtNV~cXuwI%)ynjPf%k; zV3gL07Jo>K>!V&#-&ucG-0st`q^2XErr3bzZ$^G?Aqbj#9pAH7@ZYaUa6YP6u zMA~wU^PAuN2Nx1yWJ&jb!y%I=Y&tn`zCgWXa{}fs5IO0}?F%ypJ6+7zMbrzLUdcIH zln7jeej--|+*@zi=rnFu(6eYjAKxd9(M`}+0C03W+(lRV#$Mem&P~t5Y#NfF-^HRx zQ zN4KnW_15jL*-R$#__FNi@koZtWDYOjWi{FTMzi!x{rA9Y?v0ZVlaf|!bkyBD6m&Ws zEK_V%%ijP(DJ~oOzweXQ+AC#K4lhr*M-R)O#a#n#xqTuN&pDca4jZ4`f?PM@5kOoX)i8oUC+1_k4mzkSkG%rZI6*a4xcTu zH)lRUDk=sa_%Nfps!KXubsiBfZe5eXr+P^j{irgj!kI0c4aOW}u|s}ZM}*9yFUewl z^G~0HJIXugp!dq1;=|+Ph8@fY?K`QLFz=T;%8Z;tSI>s-n ztzXAPUymJvrC+oqMV|^^|5kD;iii(l5J{*%-|@yUzl}NM3qknfK4&5E+pNBf?h{^H zOo=4EL_YsTekr^tbQkJU?q~@dw(J;Pk~PgN9x@oWAUN+Rn|lCBzsTA?IL2mbdJ@0y zDgMsW0hAD+_AxzYND^2BmVG8n$^P44>74(RiHUktj-I}VB6nZ27Z{`6h#2pFli^>c zy#6qyzJ6Qi#Tt8;sMa=XA?Bo6I4(0y98p@%mjCEZ8Iy{k7%_RQOBGmazPd@75&ict zy3>=k!7t(6Y`w-mrcutYk97(R6A<{?-S!Uiy1!&u1CDi%sg-rh6U|FF!IYuA1nB7( zUo$h{qUH06f3`$)qBiKXwucI&+%X?n3lLB&mmOr)3T?`gAj(oqDtzo zlw#PP(op*40ysF(n(IL-++`8%uZvtSFp3+}hX(#03rNfc{eEJ^7rBABo`a$0B&qF# zMflfM(0-DNQ*nz!X6+Tkd*e7mM*T{q^eGCFjM?~PlfMZJ{k2jsiuI90RNAwG$I+$S z6EL`OaMDOtfueK;=F)N%9_0uN)Jw)_xPwNlY|J$1JQ!6wVs#z3Qgur&Wll+ONr0cs z2TEh)Q#OgAl5XBy(4NcW1L!J%t&WPlN z|47R*WN$zyZeZJ&YF56a>qU;DZ$R~}l944Ag=j!xC<~4@nB%3Js4-VmB{a6<$QTOi zTN{cLCjvzC!Xf!lCZ31T_#Z61ZFwPRW2ksTMOku5W;9v|Ts*n=CawILCk3J*WZMAmh-mY*B1!Z zpM*LN`x-j|rck?Kh*w{rEt`2>$c+p5>zYCxOK~fZ?otGsA%1V=0U1b}t_17$xmyRb zCVnmr03OW7YpzC9-$$>IN2giuzq6l^jd=L$yt6h=W{yH{>3|II^%VjQ0ka)Dvj(BJ zV!%20r6yt(R--LXBjIfK{%pID=KkuxtlHBAg7vK2Do3{+&}}51#-d!W#k{D1N2ZWv zh)c;3cBu6wL~DpfSZ0L23-^X9R(rA+B6*431`aLY`Rw9=OI8 z?#2co&mMp#=(;DtI-Hi38d@+duv=<@y->n1>vV0}YK^Kf<>VSNVQJp`~V3H_AQTjgkW4RRTTcxj!R zbus%1*LckH?NYkr0^Tsppg9{iggpHKo(yIa`0F8pJbiiEpzC>vmzc9EJdLh?!KWnI za5INSe#mHxR_cT zQ$CmxKNL-jlJz9H{({;ZY6QB+9sMvE4{wq>g;6$%&Jb$;ZNeaHvZjoD`50`0n9xR* zoosMz*D=!gFXh|b*?$ac^SLAqdyAhl{i1)6t5+MgKO8r1HZdaWv43**R_4N{Abb%h zyDHLvSTi^xIv-{}=(2r*Dq44F!QdfZ8D%GRT0yj(m%*a_xnlf^++2+1up1exGAZ`H zDr!WRY(L@Sh~VTaHM-d}YUNUXeYfrr+0LBkXEivbp$GMQ-&y?-_a*ZKds5Z5&;@)&1|;qTViMRZ<&}Ka zb}k+2#{TYMNlXinv~j*;zq6;p?an)yV56yQZ7a7Fh+|@{9=XbtZPiQ@{=g{vgicm0 zthh#4UtCYfGdwcMb~oLeYK4SDqA8tSaIj6RDg7v{zA$8k{2lez)6#$B9NL4ca>Br= zubH@H#(-HfP?VSa9qf<*%isK_X0>_-die;|);uw!FzICkwy^{tESiLpx2LWrtRZhe z%#(nq6}lh_>3DM*7Gxp?tnR=R;uo`o!uLfA4GBl_83f|^8~Wok8T!9|NmB9u)RG}l z8mDUBy`EbpOoIPDyhbvnGn28loehqzDkB#+OSWmrM8!p*DAujAUMgsXTQM!HCd4r< z)C-vvB`l{r#G*J*CK^iz72nnWUf$0OY+ZJEEPRk3Xf@TXe)E=gV^&W@h<(oPZkP`% zK&dwj38^7ieatE_42VW@0_N_66A+y|_g-Cs9~p#Ra$=a16`;A&&DIHyfFVJ`ZF1hf zumte6r8K!b*jG$@?+!-xZYMH(`qj9_Cb8Kup-FpyHA3pHtrO9=M=Vp+NlJ zvnyb;P*dx_F{|*SQgN`dFW3;zjsM?SJOp1-p_4HM59ZWu_#y^CT2|h_5p-=gQZ+Qx zw)EK0r9hGLpGJYx_EKr*s7GyqFNpe=uQJ0ltcH$%pWC@qix4$T79dJ!0%$YK;j8V+ znOpnI#{a_pUjj4g@_%Y3To6BgwfG6y;ie{vxO0P2n_o&uq`?HjlWGeP&p&{ZXbT|! z{~!Y?Jg+3V1epfwzjt!htYXO+VP~=5jH#Bn-dY72sEF6m^q8-{Vy)9U>5v(id?IC= zfnC~!T=r+;npqltIi>mRb`&{|IbdwC-!J*}6?Pt59p03&D{DC2EVA7}5XY8YJZaf1 zTaPOam5q6`R$Ow#B;U}9KX$o|tCe>^!Ik(S8P5Dy#2XHDZ`euV*pz9eJKhrAk844~ z3a({rbuLQplZ*h0O9TI?bn9(Xg=Th`VfOM7xFvy=n+6e{)%v4{j7(;w826YKrJA*S zFQW&Sw_J}Sx4h2AoGrzl5r{*WXQz*fbW5>fjD{PPUfxs;4{x2)bH_`YUOLq*J4nhJ zq|lz}O^e7-O6!79N@oF3i>4anGg%0wi@8t-$~+8!2e*d^t|E;zd!uZka-|owDM&^=IF%6s~6f z4BQ9Z?vk)$7ah}ztS5uixa(d}Z ztzN4dqsW*BIH zev={@+@aXUl9-OhHW-hZ2#(V_RnrB2RhMav4%H(A)_%^lRPW;$ATx|-tHu+#6OHU?a*=)-l z$`9ga7yf?c4Oc%s(=bZP0j~tYOj|HLUF6?l83Rpu)qZokb;EMjo$|b+o8X9j_Mdcs zE9k<_$wf^n(_nZmaX_$GN?LixZJ)3Bz&%N?T^Ui@Sd!j!hmqHf4Bry*ZKai)46u+6 zd}lq8EDK=VlGP34EVQEc;V(M!w0YzP#$jz z4}PcC=xkWX)Q#$TEv5;cOj#KJY*axW<@yStItXn*0b`2`qi}P&_7|kxtisZ1?0Gf* zwz|*6keX*Z|L7z>uAje21T%_Z3l=cOOiUAnMyX=ln_^j!WT&7E1)ONs_|cj|bb+Pb z$s`;Q!+|%_JZ!oDAZ)n5(WP^uyqUu92dg`0V zz{(y90~A-IF%d@hXP}Z2W}iR?_NbLkNBG4ivpnzB^}{cwKP;x2WM#s^3mgnpEbCXo zz>W0XgB;u}vQ*f~-=hkSM>Q%f#JPEQ#xQY=_b47V|+1^MNb#fsWufpJfMKAZp-`M<(A|RcBWj zJ2GMpUQFz^HKG!Vq)RA1Ar&)Qocb8}HPv8V1mtM&DG%$?IT{>j#6)8PasXm7Nyum{ zJ&r>uJePDZK0V-5Js6(^5S>W!dn~rVc$CTaZ;ergeIA`r9K1U0XdD%^l!`0pQd1>U zcdMm(mkV$O`!O(D8}*vHE43_Q_5H@$7n3a zM6Byr>{lWQQ7nm19LW=?e^0zvw=U+Ls$lDcuVuT3W8t&Gmu;^-@^?fZSI6p;7HN86 z_gYY2=Lf7U{yR9xd(z1}NIhhUha7r60?Z#NIFxzZpQamr`cq;0;trYUjkkSMH-l3U zh@#Mra8jECiu2jMy9|zaz4Ko=PWnS{$uY4(y!CRSYxG{|$n$$13`mm&e2g&rERF5QNh55IdR}-F9dWa9GnGZm4?v!xu zSOqzeF?2i#U_dGqc|!=n2ImBgo}w+tw57x}3?KZ4`^CI!E0)xhnC)D~#tF-vso5{( z>thX#MteqMQ_Pg(kLC3Ilv)MJ;_*((tTH>5M6^h0@6>Vb+;JSD;9vaV+f;(JpvB#< zpY!Psq?ivTm=8Q~?l1($n?B0v4#WiAK+$b)+Pw9qdwx#5Hp9Q55Cg%UE)XnLvzv{Z zP_Bjrpd!gG%YS8XB=26uN%4ZPtdkL!m$<5Yq1bR8y_fOlmVheRT!Z`RU5bfyV6q3F ziM8VqL13dZ;FZK6PjD+>IwZooa}zJR0bkS%bKSPwDody7qE3DR+YdQW<`4q)Qn>PC zS0;q%7h{kYV9b`BF6UNKQxZ=k9appWD1Ef zewxns+C1+UNK2v7D6Ejj-^{#%eOd+%o2{r>1MMr|IOIF*CYqQ!jan^%R*d<@tyKv! ze=*-r=W2<*et}$Bmu@tiwCpDbD%~0HX@3Bm{kn#tom1goI0RS8oAQjC4yzJjm&c&< zxTacK>&)sz|4@9vMw$C&Z+D|_al1#&mDJSk^Al41Fv&!qZnHK|T2u`L-3k=ua*G<( z10GaDah8|{iisgt3NhCxsH~`Ig47jeLcy5MI3H)X*)JR=S*qKh(y5;dP0`YWLZgW* zE}L7b>@^j#6sWRQy>q%_D)?94gYJIt@Nd?dnK^+HdYdnejMz^!&gSTVb7t5HRVn{Y z#N&rQ>*myG(tns8yoo2V`c9%!WuNhbIsE~s%?r?w(qo|zp`oaPkgQ&4;M^JG+>w26 zQSXN8qu-wub{q#vVx^*zo4Zqb6#EwXVh(pr*hHmPBvXI$>l6~71QMSV5+pDMn-A+D z;YoIw-?(|gKnD6Ad@`e89FGVD4m9Lwue2j3=EqnN&og0%D^y$@PYi%uBW%p~JLM*t z1NF6&^mrT{4s%{^2O+nN<-w==Q!I7cJ2!ihpJbQ*57Qu2njjh^xnOI4C;&j~c{C#{ zE0;X$1IakDn1>R`Cdy9=3c*Gkh^Y+%6Vmf}wFiYaYv2)4Qvofief9!VF${N)qT+=K zDr7uyRnI0uJ%fecb(@J$z!$V=NkvYRtG! zWZS@uXWm~1%_Ob2QjMW|Q78AJgOH?qMWlPeD7WcAk<`VLrk79%WUcg=+M|UPP!fdK zltZaHR(CRFca|*W*^Ij&wejvY!B9x|2>6#;wdvnRB&y$wjm9S*#6bBa0TQ`FI47PY zXE`=L<+vL{{G}2I5aLn^>Q(`%qxts$7OI?gJsO(A4T_kgu%3C0y2rf$-Sl31b1 zhce=&D2-A1lO$z9y0ocTnaE!;+jK$V~E7-J@5O?6)`-ZxehjQY< z^wncU2hb5h4(wY#s<7093|Ugf&G6MM?LR1#gn~?7(Z}?~*o?3dn|HzIt>fXuG@KUFrs1 zLqjWA^W8??EuyHrZH|0=dt>E*K~Ki;ubTI1d&es@0@1i1` zl%!WF7%vr62iK%~M5KG5D7VDO{!`fzU9ET3?!4{jJnphPl~>ivYOm~}&MX;NaKNUf zlIyev&up%ajta}xWqh^GrG>A^>g}t8H-K*;nC>xsqAf`a@l@2tN%Y;g;)<=BYjaXm z2IbhAZS28llFp)rT&nNP&Y(m)_M;{$d+|1)?ql?23>Ht`y!4Y>&(E76N=*Tw(?ziyU>9^e3&VN5PTT) zKi_A%WuOU-0F2v1qS#-*oaZ!nf5++?Etz^@Em4QS)^x4V`e*6ci?yx$*SF)x$sabqrr0X!=QVsObj;6UC{Q?+S$B@hpm~$F8xq61C8|S6K=#a zoX)x&Y_aSv;dJ0kIUXC(;x4<3%zm!9mi+wS7E_n3wvB8Oh5bVK1#KCCzNMNS@umpb z<+c`_vAmndS=))^{`u!dV29g>Xj*pun2PqfFj)YOH5;!3+f%Qr;3Q|BGvSL@=Bu^X zaJSeF&gmUThT}oMrvT`9^$Pc3{JFz=g~iP;w@^o@_%hsG+(|FPaXDHS@i44xqc!7Y z=dDT}!@SPlT0D3pv+*u!Y-j}=e)l{tD6bR3|6t9e&3;&%6Js@L%@mCV;O8A&1$NH- zx?OYA2{FS-T;SqnAAJDTL0b?Y-*LD{+HU*AIDh~8{&DH+%MG+p)zS(>6g|6%R|7Wj z&U@J(20a{IM3M;o=qk(eI=KVM<%u)}`*)FWU!kBc%nwNzA%7+mbc4tkJ^vtxk6myI zd4DKKRD<3TBm@ER#lPT5sm}xGQQ3|zrq^4TWwW<>u3ULEtMS8mPMnO~+yk8G5$xLDcr8UI@xO2zEe zlKq(}5q=mDJfm(&S4c0IOQoc5>|v ziSqZGAY!eT$m)1M=d%7upo@z+muvYR&XhJ!te57U*qg4P9Q}9Q%RYf8u zWaV+kyev9h<`fk8=h;IG&+fo*FL95^W~i(~PC;T-pgmTZhm{K&6mEsDADk7mtPDLC z_jElRBdZIxmY2&G1Sk8fUfOD8DC7qxZZ4jO*`~G<=b;?qRC`#%-*%5KPM4jA4=s#on0L-ZdP?sNhM2l^l9`B!f|r*fq9VK|*Cs z69lFBLhtwfTp!FzB|U?oW@C!_5mMvO46%K!Yis{h?0E9~b7F%pbBM@7&>bD}pOYOtV*| zBe@^f$R_h}c3^^UQZ&jnWu@qQh;acnLwU!9__-8o^I(-q2Qk9e%2#3@IA-%}au7r+ zHs`UxLZb?Ei6U>b#QhWOiesNA6G0%gt|<0;6RB&kIWQuI$p(cdtEz9fQ+LW554w_S z)CkY1=hI%T8MSTo$-DHU+11a1%7&nYTs-`B4n?fNm4~Ncf`4U-EGX-g>q(wg0$5oJh%um2M>ecIg0{MTlJWZ zqA!ORB(dHG@vke^R3or2JFnBEw~7Q}6Ad&PB!Zb*ACMgsiYu(eC%+MNDOnUTpk1of zZO4{1d2#ZJY8{piXmz6#-ITY)%LeHCn2mPFG51S>l`9K-T2gkuA^S{i_zJTW=U|U5p5oKk=!78(TI7L{ zC)%md9mF}j?v=yQYeHY!PdS&gy=o^!Dnr1P>PXX9D?c_6`A0o)rcvT+5~? zpgpt!O8;?jaepX+LZ9xQ1lH-)I1k9a?_Vmu%zbe0WCx02XTRTVHY^e7PWlUN6YbYh z&=3PcBX`o)DgGQ`Ey7IHGHQEiigfqBI?i zSA!sif}bd?s*RbyAZD2z&R^OXep7&?H~}nO74xvS9--aQ6L96lIvIsI48Oqt+l&4e D)g}Qi literal 15401 zcmZ9zbyQtF&@YT@ad&rjcbDSs4#oB04n4RQDDLjw;_fbmgF8hI?t1&Y@Av(2@7kI9 zC9^VFN!H%8vt}k35D*Zx$dLcI$G=DNAL>}Udwg?oCgvjXMXqV>4)|tiO|E8c=|IjwuBk|_Yi&U;WA)ARpQg?Ff5SmQ`0@M?K-{4H zFER-KFZw{92O{T?$fSAGH8A#IBxm$p!KJa!Di>AXO>bl$Ob8Ejr$(T&E2l_6nM3)~ zBVZ1xieZTAO5bVf$^dk|amfBMo|RKFcI=(*VaWtQOsvCqAQdGAdk)FxBwTe~*boa9fuR76yI4s_ykObq?h zIRC_zf2{6qaJL3BlCG{-@zdkO2g81-J2snl^_tD1=)2&y*&X=7Eb+q*e=K&}`W$E? z7SyT^OR%pcE1n2KSr&zgSE^Dg@%M}$q^hXIr5XM<{UbB31XRT*)fZKs_tfpVkByJs==@dF?W6V3b{(fRNw6;82yWVmXcilWXv=&mYgK#mJ zX4|UG>(eycH+8X+_VE8G|No=WJBJc=5ed6^izWbZ!lE0SM{NmIk@py&E?bFs^CENg zxTEr@mXw}W2^YKdRCX4i5{EINqvZur(65su)r#I{C{IZlC`Rf+hZZCEPSh};TlO~- zhY!{3^|v-P68zi^v3A9v;WaGt!3-}OJbD3tYOG{=&5@s^e=#Pvz6u{{CeuIet7@k} zj~|CeR2sZuQkcEX8yxhy&4P+(dedu5zjWu+Zaj337OL)GbTg++8s%J$>&SLaV6PfR z$bC09lU|FBPOPz#$!!t0H+uxD$SGRlUjWv&0bTm3;5 zLN9#0cYSY&sv6(jCVY+wg9H#P7 zRvSl0oa56wUscx;6*lAp<+`aQ*eYVOsphaOuTExuv-EuJvNMZ`DW*jen6`W%{48meO7Bs1S~ zwu!eBpF~t?HrBhG_e2!xd2gzTScIXGdV3gWduceuRVv*@#{Bzsd9kwLI?5epZ;zeR zQ>vrC$rQYMSsO}FLLT4IP*=WYdbzF1>%>G(`U|`5*m*Y1J0A|1YxG8mY`0lw{O1nO z!F8zl!N=1oG0@}hUqWtD;BcK7lgX`taaOJnNB&i>BpC)yySC?$k*9ReU%QbE;~d=l zra)io1=?hqvOd;Db<;BCNxh7f9_36k$*gM*j{S9Z#BCLabNnANpKf{9+#G#rwHPPA@Bzz0`5TE)+8w6_c))0fI(}$|vbmVz zP`CM=!RWp8*HPMDmdml9UJkk^TfK3+`sKES`rVlvh!Qw{CS}hR-Sp^-mkG{!V^e+Fjx(l7Y45;NDbMQ9 z6!GooJS{dtw=f6ac`l~#S`sm@>--6yEu{wQNJCs>_A0V`0uNCy`SsLk(WVmop~5=sI?O;=!t}pPJB;(XecDC!A(MsmC3?j}nGjw$!dR|Z z8z$(N%>DNxO7Uu=g*m<_9WgrwJ4?_DtHec0hKT5@QtgW>v}_p@O$jaW9l&v0l@-|t zzsgjFPLrzeAA*?$b(|z6OXDzJX(!~RDzp`oh2cNxQXigu|HjNjk_4q0dEa8ZA#H3@Y6 z`uiM-=I3KnjjP|F!%->|X=IK6pRbo*9iuQBfp^&R1Ph*6zA>My;im|33anj13T zp9{OTd`;u|5AEYPC*u57VtVD_LF}wu)^9?*m2Cj^$H_xhb*fb6_%+HM-$nOOqubSu~@gA+JuB^=vFlTtM+n#j%t;1 z&%|E~1D?HbnA-5xX48GWXoeo|sy5PU-d{^S5X+nm(_mML=IBybgM04ZWD; z-APl6R*jQ{V{NXI)yH)SaK2f*i1hsC;Vg+IXN)-Y9hS{tbt&cf8(bVww9xkcbf8cp zLF``?6W)lo_CdqWFd5ndR7!GNnS*2Wv?ve32^~605tj^-WD;R|T5%@t=6u@c(M2$H>8zy8UFz88S#H2hyO(SYF&n-X?4SbniAK}n>sP=cLv?vrvZDkrU@?~ zGZViGj#RT6M=K&)*c@;Pwkx2oB&0c<4>o5rS)&h>D+rq-@}C606WjGc%M^Zb+cPE` z8E0)8hy*^8j+}X*ym;FAeMf#Bqr4e8E7;$V%P8~YxGC_#Bpj|Stb9&s6xK|0mL>1n zqyB}b$ti_WK&%O6{5jbvnd!?f=~sN&#$8dD8~N&dC?dhV7yP0Na(%|D4V`TEMLx79TG%FL?v0;48exEqEF zBXlC(A6J(AJ$8qk+s}B;h_}#Du2yO{kagf0UxKSW8X`*iu@>a|V2@WB01fMHvVI&_ z|83bAU$XQVSMss5KtRcn2UndySp9GS!=7svb~-u2&A2S=nH47rZYhF?gs3kG!%{V4 zF=T*-sV<+DbuOX;sXSChTfGiPr8v3DqQQ(Q;&KDJ7*_RHVR+@-+)a9H>N4S*h1@RE zWmVh&n&5;jnO)nlr`KTz9(gi8ishN95a5HhT%8Vyw44imcN*gheY`oICQ>IK?(a~6 znq3LOat{W7!A-I_>fg-bG1vqn->fuE>{V(A+`lol%FRySCudzt%X$lf?W&kr3t}{N z?dh<&F!ZRvucM|GNhCCrusLhiNscB$a&M`L$vzdy=fk)uMsi5iEuPH;UcA4l2bkRLFYep=uVna*Sis zB#K&U3cqDWFv_1Rew=Z)9{hw3+$@WpS34=;+ErQuPg@e@*0ojes#vSAMsvG0McJ1w z+Ewc!ah!O`hHh{A}(Q{!&w5-uA&ntysZOUJfl9!mSKk+*1vr*d+|E9K37fU z$TQO`{V5LTMnA{!j*-uW{k2CqD18hixD`$%kI=AlaQukz(z zr5pl|*Bj2v%!3XBX;N-ihe56)5qd5=*5J0mvDEC9Qi?uB?0bIEXH3^X?CakkYam@Z z$;D9aK_}UI&wa?IaQ>jR4&_l)#oTe92uLpkA-^8I%A|Tos66oUcbEdpMhUsQREA zK)}l}u@x!$YP4+0VebZMR~6vzI>LYLvGluFhi;Uzd4@Ug5`vA5)#~Oqz~)Bf;R#*J zC1Levy#E%s;th5RW}L0)iLH+)pj47wb-ePn0b9n6VaK=qtK@usXof9e=9^M-W{nKf zM<$Pf)<@M_r1Pb!7csH<7d`u=XO0o#8ENaA-&umoUbf?tf{Sn1g6e3QZ{bPfDc91a zWU#@zjA1LbjSiWH=Gn*elLf+HX`e4X{cUOa;rh_b0Lkyc;ZsT5VtW2lo5A?^^pzj# zjB-D}-@K`VqqL=kEngr>s;!1#?XVDLkC&4adnR1PhT#)W;vK%>eC^3Y`&!BU_2+T@ zTs%HgCF%|30acc70E^32&BAnS0CvNQow5KF_YaB}y;^!X2(|_7n@sgwC1U!tDog=V z2JY4SMnO9-4i}hxjhlXDde5G$UDFAqiBqRl zAMn~}fC*HfR+r@aPE)=cB2yGm{ba7t*1{9o5CV+*cOo2oKR`37iH=oTbm2R=GmG!d z5#&>tR7(nOrJY&1Ih4K(fXq0fIv4R%n*2NRQ!OBfdFbg<@~7VEr;5!`Xi*IGN5z4| zqpPrK@cfGIwZh*6v{fao7*m`f&)EJJ?J?n%Q6G~M#{R9~Ou?PsbiY=Ffpn6edfXT4 zRz9qBLeoA7ZQTV^cn!w_5lYctf>HSr&Gya4uR}*K`*?G|L7#%qh|Wj`P2%;o2RPmb zD8l^(@u6CgL;x-A%wLK%^$?{Agoz=r(h)tFKGE)xB5JCdnBE1MF)U!5THHrlj`v} zt?b;?&6VrLJ7X0P@X3wzx zOtat}we>;@nX#FBB3UcB|T~$uQNb#DqLT5 z7Q)*rCDjLtV?J%|Ln}p*}zSnAv}B@wy5g z^TI`kjSXO89LMZhuxdg`^N0!K%hvcE*8Wo?HjFR*A8SvYqBMu?iMGORXzUkDjBQ1T zN8!$J!5>Bnr@ZG??}~zUs4iP<(4Zi##=XRnfrWU1GCHnTL^$ZP3@Dag!ST({Wqa5L z3MbCmrkH+y%I(>kj?9HTs8&e3q}>_Bp+Z8EY-`Ukp`1KXOA_Xqa*Y+RsTAKX;?Wx0 zku^j9Nsyl1!JGEv4xm>KsSo;VRcdu*f|F20O*otwdO|DPI19epSvvMLeE4<6jV`^{ z8f}m&vat?kXdY&y3zsfMwessYnkEqnGzs>;e9{ZH{mlCPUS2Qoe%D(5n7_5j*V`Fv zWX|UQ6i+72VxeuVioQ}Os)A(N{L&?GoZJ23>atF{?gIr-5H$?!oO^K?dVNb)V8Uv< z)`ooGsyZ((3hXtf;#_NZY&&lkfQz6oc3I{_nF;W5RoWFO?l+~gN*ZiJPp&f4x<-!SBhPTVY7o!Q3ToMZmI z=c?Mjm7}fAC(XQqwYE7C%4`xA3IF*jx_tXgm5Q6Fu$_$A;-X?tbaRTl_UNSZU*~Do z@t3_GgWL)(6X$(Zw)SB~69Jl|XO;%HrY&L7Himi?L*Kmf6UOG(cgBwO<3#0w%TVwi zx;I6UaDIQjUD05x8&J?6yd__oFg_C%1-BrLrZ9blb@$>(Jco9Q#+!0TP^{Zg zw+W=M-ehSa3?*#sG-fEbDqw9|=#L3T_PoYgxw>1MndcHQ0niwS-AcKM+&+~RGtb&s zpG~Ifr-5HMI0SkhOA;tTl|^ULiA^W|@C61md)!SiDLp#n<@vr-{4_0}{BL9H9raBD zJ2mt{4{3+%X=@+SbEP$80B+N~+S?aT6HtP4c(>>^MCEM1TG^=}Jl@N<*(0|fZLbf` zX#A!GSg#78#h$X%po_6v|E>3hCTj^(kdPM(MLij;HC@>nGrdyq#V9M+CM#ELeWs=A zh@DOjNvi!UIbiXa_u-c_dr9EOSjn*03qI!9K`gaGkFXd_V>T<-j=vN|XT$Ik&D?Q+ zgp=NkV7Q-YdV@pGCsFjn(DP^d1lf4K;Y6w%QAWPWOi=c+_qYpF;+;~480co~W06X1 z;gSpY^NVWid+|$od7#it&|>kY71+`tSi5ed-ShMJI^BEbVU*ZERuRy2pRu3s7yud> zrSpHb0t+oZZ|=WhPCnb7#R-4@dQHrCxe53fI~YA?bID78Sbr(+{8-{u{k*$oNSRfg zKfLZ8T==*<=61Tab@;TJEPhC9PW<{QAJh?8o*mqD2P)*~|O7`o`sTIH~dK zLj7r3`Oe3v>XKi(@#kYa{qp?9w&R2M^=n%|(?jF6+{^W1?DZ`c6|bJIfM6ppW*W`t zdj+!#3*++z-*bh_A3_Hc5L#I%TavGTm9ZV)tPtb;G3a|i-0u>RaNaAd!fQ?gj+^1e zXfNjU^#J`e)eEBmJ+!@dp~{cwiPWCb;G*AJs+6pIhrAzmH*}rBRIg?0woQk;ud?sA z@6k^MvImb{kJoT_)R!`2TA@mwio}Y8v)KU5(r(X~OSd2qf+}fN-T0%HmZ0g{; zkrXGd4^u>Sq_jXA%qp_4j2d=c*HhNw&0h>Dl=k9kJ{lFG)Vej6s3T*IqvYuypi9Fw zM0U?%Vqco<>3@7;hA>b^;mvx5**it?OS9WCpJJ6!P^vp2hBe7aR?O*Ckpp1SADX`* ze#K&r2K^Z?8^&bLto_Q(N=Y%vv+-N=Vy8 zK_Ehl<(IWY389ICO}Mr9#L+`A*ZQq0hwJB;jY>FhzPQk2fidhF+ZSJ;2cBUU(o+pe9UrC9v6QbGu`WgTS=qu_n2fCP1I7G=%6VAEo*L;ST);tU4t4+rL- z>v5So3VDDI2Qb@-aoe#$g5}`A!v&l6b0#ori70Cv1-0CcFKi7bp>SZ`f_S2?OX$mL zlr?{GL)*D6lr?=gFyq_|ubpmKTYrHux{l2-7ZDj|f^GE3AVQ(){ecXhAz*$fAUnG>~~`!3!&QxG5Wpb!qAv?JrQ zbD9&inM)6QaDj6Yup{HNs}_GPkRXC~S}HI`UgHdZP@hxbwEIL_8;1iA7UWvYeGs(h z60|so3r!aoqpaD7KS0j)IL-MFXOr654r+N^ zKM^)g;K1Dlx#n{pYWXU z%l5b*!S&h^JEA9?<+B83>3*&Y|1dXwZQCxuR=Vi0fG;Q1mwP(e*v(z=Dzrefy%Z0t zJCVuZry9mt#AwfqiWtT;f7V$PfBT$NHfM?M#El+Sxi&U2PD~b;rk2uEmU|PoXS7eT zYecTr&a$EM;}JETBwC(GLBiM!D^QqN8@#~Vb<_zxh&6r2dTEnHh*ho>VeD1z{#wny z$>UT-YqvCm$2gJSAgA3ep-0^^n#z=tUppL8e`vcmWu_Z_aO?nJcF=6?kWfG2T-x9y zYP6)(XVJDgE6lqXmsO4|1Ng7kysVHZt z^?gI4hJ7e~CvGRH3vKhiNQO^?1U?xs^UQNXoPttf)ey0S_y{WsMj~DUB$*~689^8( z6-L-9754P0SRqOskR@61L&NdiG`v)V@#I#n6upq!I<#JKI5efPnq12v(!DZ^k;;~; z%%Z9CEyXx?(_#XYn#^I?99n-!b-8}uKy{uw$7;#Q-Ky$;?kAj#&S&3G>PyD*#s6W{ zdK(S#HA!X_BJ*-mh-q0ApMpt9*cU9mt!ro<&Inh9Ut#e-Nf9=HFBP#AFK&!Cin6Ws z|C18Yht&_oH!Eu0)-JTt^rueL*>SO&&c^qp=!XGvz~a7RjUUrre|)v-+Ab73Io7_p z1?bH{sVR^B3Fp9yHfJb*i2_sc)duV4qNLKGy`*2|Wzsu7YH1!$w!07}&T-VdK)IE# zJHBJ!Ax%nz??Z()M3(}xiYW0d+5BJ9jGBIdDyh}Rb)2k^E0M&L$vtTpj1iT+!xmBt z?r7-)DP(j=5z`~&>!_tIXed$`5fKYLrVU=c4hW~RZ7uEl60~)guKv65Fci+zeIY13qd~G zK~-@|nw%Q_`T-Kdg$&{PAr$@p18*FJDF&Ovo>`I8LJt43TI5xJj?mA ze7MARbYx#TlI-hTVRR^^ud+7lu@Kawd7PPlW>>oMW~2(Fr|a&>VV+MV;;kA9D!*8} zq@5f$@z@kTuv+$2(aFtNH62n{@M2+h22pxwk9hZ*$l9S1RMXRY*@~(n@EDRqVZl1Ug3<5d3qAm%!B3 z>*IGGx%N3{OC!$Zb(?3{{30sO=N{D@Tm{dYL?%{odB0qvvs?lcJMqNVZbNsy!gy=7 z)LOTR&`l5{u8WYCNb~uyIAw8JwWvL|(Gc=WE;AQB&YKeYt&5BII;JA7eMkxdCQeq_ zqrNVErbZ>3H%pVCNkJH<70(NV%<;FD7N2?<43k{Uw#Gi2hV0Bv{yF>#pa4qh#&!Tf z6u@-~xm5}|sFdvju6d&n%#lc4EkWc=?kL95pl%ZPHj<`sRL~dspzxVy8Tphx6ngT{##I*7^tX$cgDlJCi^Y%k5z2aJVca`HDB@oa98!9+Rvh~nd` z+(UC^VlKG>jmZLpH2JB8nV3vkM}Y!P(|NYQDR}DbF1A)=Ujp*ka1*8V_ymK_;$^)S z&xSvzb~brKAEaMirN1Z{P{kUw78V{|6`?W*RN!X4W`rv-wa<+ zmP6xeA9D5eJ54K~^LNesymL!d>(gqn{csTETmV`tFS|WF8aAde8ztfPC3C_3fTUf( zP9KxELI&$dWi^;;=D)wNSzQP^bDV(Cz1pG)$tQyA9Vcfld7dmgo7 za;Z7+w9J#Ii=g2Ljm7HLO8vyJNeDlNmKXDy9?x0oLQQ((IDjILnU-Svv7Cj6Yd~dU zs`pn0P-qBFiiK>P#bs+m?)ZYvajPa;fr45$M~FYU<&fc>PvYK7auY&wQ$TVFA@`+qFwhSjy3#+Q0w{)F;gsL0!x4B!177l<7;x#LkaN5rbpTFExZ{>25! zl3(BF=K*G`?iN3bFsb03j9iZZ1wH3 zv89-VxPNy7P+!*u#U=%Ulitsh-oZ)G5WC_(d{6ErAy#wFJ^uEK6QfAGgDZG98a;n? zmvGD(tvW6Vtxd}aK-&V1K~g2GpfZsO)&qQ@0~x^BSqbC32YrJ$voSr!Jj$IO2dasS zjFG+W0Wx4L<2;GzJc(_cf^Ut&6q35vJ7AghzyUD!6)?5}PI7@rsRswIMQ(gSU<(<5 z73D%*x^6#ja&D9gf!b8Cg-;SJ6)p(J7!*l;Za$_N0as4-j zW;*oTcfV1`>quVO`)HA6R39T(T<@H7h4J9uYp5$PZ~Kt7LZyf$;E#8v7ZaNk6R7E)Zib?(q=_@5DS$)L6QFVmVuLdqju;EIH z-(V`DBC|E0%&n-GP2{>$$ov<0a|LpzgyoQtbi;J)CMI&S#8!-LqALjE-QSo}amxv& zFUjJy3%O#oLbn@bODO>bWiytb?c)rERuP<)ghfam_IqLbx>4Nxao+)2spykE{eHTi z==$c~05cMMU>6R0M+xt*uC^i)O$nhV1n%-@Ts}OOKVyyOrUe`*bIY4p#SZWpOo4u! zLKn^Isl##INhzmFwX|>0y`EqGGFngcJ4hq#Q`++MF^CN6atgbkG<}O-=onf15=DFX zMcn6sSnM(fg@~`-VA8L+WZVGW-oOiEHCsHdX-2OZsh%bmtE;Lq4G8i`ZXWA^K;P=! zA4G|Tlf&KF%>Y<>Vz(=E?Vq^!oeaRX0-!sW?$p&D2maKdL<4ERr=wbodk%{~R9;w$ zm-9=e2G!zRyD{xy=?u|@Hmsh@3LVf29nggw@ICQ%CjI*cg}_O#kcEuKnHj2RdKhRE z_8rCTrQ*@0KsPH6Fcn~|7%*lEP8x+AZ~tg>q_8K5po%Y*2w-FFCZ(}TC-iGZ;Uhv% z2t<6OC+Gx0bWSR2TwpR1_*+S-waU*4Q)EIOL&tIu?d2~QAehmjXC4E%8+C9H2Rns{n8Ouor{F~E2`2pMh~1dK(I zG!3B&%%gsTwBRx#`uQVdaZ1d--Y%1*X~SSvL1zewY0s*Yl8MI3_1n?XBewWz^Yxr% z;0XjNynV}e?(m_e^0nda2~>S+V2%wi4syT?MmfZO5E_KWRtp%absMVn8j2U%GeIH7 z<7hC-+>GKPj;w`N@r2sAOYl_@;$MXHNQZ{Y4^=o*4$@i{I6-g{7S^RKYCoj9Aa7Tv z^utsQV>+N^vS@BiXThA$=Qpo5FtL>B!bQlt<-!qBjfpae(j8d-2w*7E#h7|OD~URf z{EJ)@gdVes|_jov2l8RN-d;7|uc305xGzMJu+ zFbJ=oKrfQmvIYh$8O)BJONwSf&S$+{5}?&p}gdfxOYb!bO}H5h~LakY)@6l zIn1?lk{g77FhLjb{Otscp+W2h_%<}waNu8_WeJMG#W-boTGC2`1EcqhMus z#7*vb7;E-2MvgLFun1ck#P)kUiajZv_E!9_*Prc5zM%GuZHL)EP)#iwa21N zdqGTlkW70LOnZDfLoT&Z+xi&2?UbZVlpkg?Z}TxvWaP|S@2T2j`L$8N+9b88V=-v+qvYH2?!?an13 zk!t~*E5K+sZ6c^MUV zXBRO7p}z3XFhekMR&i!K$R#B5c_F)xS9Qecj##tQXxSBKb+k+aDZ*x66A1=s6qCZu zp@&=N!neyWhU%(M^+_47pJ7F}j8=i7jFLf8`75iWaR6;ad%K5;scG=aMqb|U_dt)1 zBf#|8B{*w+a~ZUV7x?EQcJ2mGLvxK}yU7WaTZg3vg$G`jIQDed%l~GVPTUtcO;sq4 znZOCPU%MJNp+zSe$LFS>bev5f`7o2due!Vzry34-C2LTfWKby;Z8bU6emAufy47zm zO=bfb-VZ+*++2tGJ0C_oi$HQ{_pS{P8 zV8eWhTRq;ZMDY&|$M*09_h3f8HgzY(Izg3nCgtSruL+Fp<4bOg^$+@8Z8yw4%z}p} z)@_y~XDRtLJ)E+E=Yb=|Yx`vW&hLU22s0zw37a2I>wq8+GvG=m(~|GxzWRD%Hr#r! zQEFnV->0B&9NHuQ`fK=3Kb}fJ>ul5dvVk#Dcyx3HeEySLo$7VR1zYO9MHN9cw8Pz= zPpi%&T?olA2Uy(yr)+OUzpHY(a&hrX6Hl=+^ZW(WARC zb5}2PQ0AApP4T(oMes_)W2mRsi ztJ@|pTVH-$2S@j#?;95}SrJJu*=YHg<=U|yLolkHu+D>z^a95=#@+0=rS^xS!e?BG zLXznuaE^HwM{;10F^d4`tocX&AV2XPH;o+X6%dL(l{#s1xc`uy~PEYWpv#MZ{{ zp{h@ZDkXQRfNj*E*FX;yrJtIaxljT5vUA1$K$k0(>@N`yK|oYQkOGz*J_ zdEbSmQ6GYVrR3Pz2Yx4`w!aEhRDLs$ftmHTxo~WUH5j0_E%TrCo)x+DIE&6KszU zf=xMdX4JnIrgF;cP7x>;C+e0kY4?0Nd9VHmHkC|S!1ySIqz5OU*|?ujJ;Fu=Ag1fd{vlV6F}GmGJM>_ zOqqFZWjdvet4zA1d9wcR(Qh93-HnqPUkCIVgxD8%5zSVRfLpIwCTl=bs^}0IceOo% zjAoW23FfB6nj=wJzqPNp`^W)>fHNn`04u>IZZe@QhdfJY9lqIccc%d}4*3u;Qie zy6yWKC`Aq4A_CE$t$eYS4gPW@<}SjrQ#2@6u?W$Ep?kagmmAx`7-p1^9C}$wllT8Rx4 zMJ5B%-_*DeD77_2gJeD%nM^_(Bvhg8+xcM6Y&7i>4HBx7m(8rkOPk^EQXG=>3wEun zgZ<@BsfQ~gaOtNQM{Z7+9Ze=mwR&x7pO_bxxj4<$E@^lVT%&iN_2cTlk9w4= znE|7(r^*_f?Ey5V1kVh4qpippWH$xxA1X-{E2l}O-r%O@ zX6FMdOmxwASkBs-?sI`(Dt{zbSSFxz#D+Ts*%ww__{GEs6w>Hd=@e8nurW^e%6Q&G z(^dY4p>igi+CuD<1oYYw_;nNbo$wSKr)eIJD4top6} z{;Lwe{5|fD=$<_7)p<228VfMbD9fgnmxoAlV0Vo|Xh@-vNlNvCE;%99;SnTL@oKrW ztK$CThfBd|DGg0wbumB3UehA%U>_ICi<#Qufk+sioWsBYXDQ=1T@Wh+^NS6Rn37?k zB;uA$_9n-I1ymR zL^MQ)n%$usB|bE!zfNer}f!OP%d zw4lI!{XuK6V_n(HYbLcJQ%!=ZDA+Z0>iMi0*bNzL-m)Med4D$&^A=2`e-Wp>&Q~Ad zi7l$6$~`~LGpE(jvw4DO6Z&C?wt07n9q3uJ-af$CFpy&F*}9i7n@P_o4*)NT$jFlj zY<(pR05EPp3J_;WM6pMX-qvlZw&Ff^O=>ziFWLre_d>lMzWtsjh#92m^mUo07Dvvi zvoY+k*Hf6_JY|Ctp*fR*1#-%7@dgs{xO3G(Ey r@J;8avxv}b+AldXCA=1m{#+}oNz-$6Y%Vof^WzdXx)1pOepmko9T87P diff --git a/cpld/db/GR8RAM.quiproj.3044.rdr.flock b/cpld/db/GR8RAM.quiproj.3044.rdr.flock deleted file mode 100755 index e69de29..0000000 diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb index f54bb140bfc13c0127f2cc77d51665a2c6459949..40014bc1cccf0d828281ac194e975f6e556f4e14 100755 GIT binary patch delta 100 zcmcc2bcty~bksox2)F^IgBT%<3dUdm|NpOdV`FQp52!Mm@LBvs8gth}CI!py3f&6s s@e}+cUI?TmFeFaktZ_J)q~OPtG(jq*hGi!IR#6pw{v*OV delta 101 zcmcb_beU;Fbo3zx2)F^IgBc-=3dUdm|NpOdV`FQp52#|B@LBvs8gth}CI!py3f&6s t@e}+cUI?TmFeFaktZ_J)rO?NgG(jq*hM`lRZ>y-vdWIvL8zyd@3jpTIAzuIh diff --git a/cpld/db/GR8RAM.routing.rdb b/cpld/db/GR8RAM.routing.rdb index 42275c32f060ff4a20d28f9081a7b39c3a7444b3..5c2267c55e3e59865eace8b0c8aed4b5a20b8111 100755 GIT binary patch delta 1121 zcmV-n1fKhf3yKSncYjN36hRb)GaJE$3q@TBV)O?{ch{r4cS5oebQ27&Lx^!8&V)E2 z;Km>ARvoLS)1=Oasbn(2Xsp0w`c6IWtyAYds%t)<&wqx`+UehDw01u{ZcUG8wK|nq=^jYzSzb{&nCz3C}%vTho(_ z+!Dw=3&?FBu5X5bu-mz4_Sy@=&_KK1Yo7;kb9yq#0A4yYD}XP8xHaDYILioL5y6gv zZ}vW90OwZ(@PAQ9LA&1PkJppg-qG%KG6~`EjHzc&|8u!ApwMO9Q_yb*6_O$?LiM(DC{!L288&nh;^3GG@eTkk2p+ zPhBc3UKT~s|JsXH<73fjYg+V09gnr%#v;Z-FT~1;Re!d`%py8liK5EIqAi+OG>s9l z6ftcE##-q0YE`XfBv)jTW*FTCtjOxjP8aCZDyywlTaA@10~!Xq-~?Fguh-5FowXH6 zno4Gd8EIZQBLwz!8*jI(%UG-xI&_9!h<}Nvl&!4SI3b}ivV2?0@*%?MDxcZ~pkWGO zj;TZuT7PYovI?EC1n7l`&O}3vv{s;lRUF%<0tL(fH;j&jk>E2sYZ$>;=!J-x;h}%b zQ()CiiB&7EVZD&h)xvh~gd1LSinBUZqvTHp^QsUcStEL#msa0MYh9*nwo94eWr5a- zUZ7E{&9KU~vV3RM_tQB=TU{wuc`H*PT+=G;On)FPpn<7MP2~{N;hOnK(-ZVUWI#qR*My4#!z(ywg$TV68O(K45X|W*TIZm3sj`P= z=nldR%#8J}Vg@oSQyZ*O@fy!5;0D$UMd?$WspnAWMZ7{7Db%ena~9*%(<*3GTFIaaLb=swK~*2ONT)mKcU z+vip&Y*21N%+*&+22?@0`ijB1Mo+k*aG|>uRLGQE~9GmY`qCk;`ZpGGLlf?wZeIX{J delta 1121 zcmV-n1fKhf3yKSncYnca)Ib!*yBEQO2Sq&y;_4qDNoFR=-h}od=%rXZms0COO-kKT z@ZulsRgIHPL+ATRlcpf8?G(0~x5>===6m0J^O7`8)BN_IjkCYmY~!In+^$bn%j#hM zae2Hyf4_V5wLc$z&mMT|mmfU!^P_3Ldq+?G@DKHB_po!bvwvD1F2C)rmUR_+>5uRE zgV}xm@2B@T_PJFT`gQvyw;_7tS$pokJJvSq!;>#nByUg+o~^ULmb_>FxFc`7K8?&x zVD5!yZs%yeAdULBjts;S!F3n2dD{pQu4?eFVgI8p*mEgPm zPm#dpiUdAsC4Xq=-Tv{mTJ0b2)m7!o;ki=JkM452(zDR+xM!qwsT)bVsB!Hn>voIB z-t}eRL){7Rx|=b7ibe%0%7P`!pMY4z%Z%M{udjve51<;&+rS=l;X%J zs!VwX4C92@VH1kY3stP@8OniQm^UR;lq&{Q)7hqy&ZI7p?9Pm&6_yV(%T<$bWQ2B5 zM;IF4VSh0IhRJk+S~uVqY*?Fzr2we1QqICJOi+ih07)3CNsOJwhBAp2!Tfib0&=d) z%u*GhYQvTyewRyu%C$f_D<#P0GV@3=*Mz0tLh`6c6owNKIwNYwR3k8QnaUdRi<#X* z$x)E-Yn@;o*;A&#$P?iiF+vo~LdcnvIM*f78-ME8h*VN5@lAD01<$y6(gHCyOGSh< zwLYVoq2yxbVN|HVD4X0REP=yNIsiJ6DX2$FpmMylfH%$ky%S#NlU z9e>5MVZ%I$jZ`}sW2LrZXD{`(6lPvZ>w-3bsI+B*_-!IP9on8k8%UVBu4n{?HdI+D zy$YH!G@pfDTxdTD6HJl~?Q*BVP``)*r~|Qtp-+nL_*q0BOhOEZFUBIEP_V7iIZyiN^~q>L^IrR z5K!=p+frl-Ll*Do*UWZr0YF{6qYqVg3vv*p5A_+Dg4zxf`#yFJauvI;Aj`1hV6(=PzgnlZXN`1!ED=*nNdjKnX%Fpl+b5j08nHD0Bsk!hhI(;a0f{ zp620ckJSf*F!3x1CZYfnOoC^C#7izb{4w#(W3VrP96WzU6kx_Tk71DkIXA_O?^7;T nJL^V#pAv9|+8*DhgvkzahRxwp01?LbDIw2y;eE;j}* z^#-1ado?~7dCO}xL`Bp}nOZ)a6;pIYFc{M9?;ew<$!uPuOU~ylRHk>A2jt67i2#@M_{=f3Emw*kAKry~7ptUF2Ot3DzvP5g* zSqgQigEwKOkWY97SL`{sP^Wa~^SRsLo6M1Jvc_ylzLbrpj3?Yt6hInbSS5Pp zK68IquXP*Xh#|42UYxtR_&J+2{mpS=l~v2F=Qr{j z2T1~_hEYUcxu>-~jg&NTedogsuhH@74|Z6};`2VjZerUxNAu^leN_AL@N|T^a=+$O zWcR;@rT?#|ag80WYp$8~l$bibAYJ{bw0-8vk*gb2KbNcGDHGiibhtgpyGdNW{$WS% zWR%v%UWcYZe&TouP+{Ie!~@J8=Fs-viLtuu{AY~3kEvu~o|ZQl&lHDpHCS6VLY!|% zrb2<=P?>?)@0BvEkg0up4Tis&o1raw#VVYnRoiDA__RYk0k5vaoUtDxyA%o*0AWf@ zpGfT28V=p!@-dtKHTmGQ8FlOL0nk~Bo6t0ji9@+~8=Hv&tpU^(jUcgTEnVTYh|{^1 zJF9X+CGQP4)R{Uk_{aOwDcwZk>lV zq)OjAniggUXN(GQPm!M83nmMM4c^Wl-t6WjYhrPMik>%U$CNGzjWK!L2+WrTy5%3E zm>!}ZVrLML3RgWo66g$vcL{qFBPDIa5NZiaF`w`ALPfI=b5D|YqKZi#=o#|Q|+Ujw*=?f=}1W%l)1 zkJ+4@&}3+0tj9dEe+t=bnMNW&UlVtm>U^5J)^3}qe;S-j4{ZMHF8(a$;?p{_(Ut!? ze6cz+d1p5biVHNmwIRj(GJq^HIKw^}W>x?UFBp~kK;=)27GV0!$G0A`C+;}D!@o4V zLnZ)fd`e&TzXZ}az9d$3*6F9=`B0E1djdAf@N*n52IUMBqhCtznt1gkF|EW`kKGG= zj*D`$2*gK^+3(#x8L_;_%U@Qv6vrdCwXAYv*{>44XCjvn_Auw%_~h_UPkEA(5=^R> z!8jf(#_t#PnxztEniY6rB+l)tzETcZSK|6e_iEH&bge_oBNNxjbwkC|IkLkh*Rw zid&;K%O^M9Ssi81con}KQ@uXFAJ1u==6P7X?2;v2JngJC)u}3kKV-s@rb&?dD~&JB zNMC%r0qO}Mry0|oVa2KZi~;R)Q1KLbG_6~8Bje%0bB3Fx@`hpoGk*qE?y=(5U8EfV z%>A$WRwRv&q?PWrb0@o!Phq@*%YAqyue495e5P?Ca{1Rk18G9y+Nh~zGwoXZwBO9F z7-?B5gUe-f+!;1pXDXx))Mpr@vOQm~soy;KArG@XWzEA4l&?I$I!nxWBI0KXcsX-s z!lpW%M;v%##Kzhg`Sg&xrUM!X$z)RpDwnZ_vpq9W)R@qQ5O6D_7ZGeq;U1n4>|S{& zXQ@FP2{edr)xzFntpBOb!?|1dK)?R!?Jc1 zsAw*KPfW!mK$<+b7n@0Rn=}Pei2==^`rGh0T4YrB=sdi%jJ2LQz4B(n=Ix)MZ20R^ z=N~bVibO!5CfOyDeG-e<=%Fs${5;XJ=4vpO<~`S5`Zm%sNZN#FI(_N#8(hZJ6CN1< z$N5M|9};)YQg2w4yi_?sSq3e$Kh_zoc){XbbMEBL@-d&$e!Cz zOW4IriDFJm=YK`;b5-9#z+NT9)!J-(sLSE?Bs2k4S-9;l1Ufv|9F}go$zm#z|1}Ly z_A)w{#@2~RGp@?;#XgZFL+Imc5gU4x{FxRD!j6(Apdz3d1diL3X6G!o%J;tlT}X4h zUKG42NTQNG=?+U<7sINm|9O;&YF_lb?UB)0xxYo80?eqsLnxhrlK`*R(loYIHXii^ zQ$5=mK3R@fG24al8Xg?^j(w@1s9F|FY%~Ol>52sCsVRfN{}dCRUXn#B#n{ooTV;pwR~Fgv2dj>N^BK-X0*^xQPqG$bd=%Pcv07HB6*ELW*dZ+y#8AREtU zxaVu<{8}`koW+8gR1!N}cTQM{ZZ`BM)qqr(ryezCck)L!X+c(tE%WXgt`FXt-`V0)%{H!!%S-wgN@=SLBU^ll$-unOy6T@)Pp@1w0}DJ$ ziiJImsq7Z4b#=?b1&}q!1nF)*h6tR$=wdb=TOPHi`Pq{sISUN0Z@sAV z?`YFasdpYF`sZQ#0TV|l1uGEEX=xdx$>^qw4F~_6Xs^O{vq#+bM=%X(ni78wlfv3qUi&$12I)&`z3BW z6?m?FeUZQ4c)+|v$b)v;fJVkc()gaScD|T)IE{L}jC#9_dU=g{H`{dGMc!jZZV2d* z?=44aXw6vQcvpriSrH;X#91 zq8G}bdF-wQqZXj%VHQql?89aU_TfMu_N{Vu^ zz_;_-#1@aFKh>eNI&!jFeM@p3xaClI>Jw4ijx;onY!Nw2Pf3Wt0)gP?lOHk4Id68LVUHtDBB+znagTM>Tfs&EQBCo&-YLXB~l)5t*- zWuyZ{IF5zxd1^70Q#(SDLyD0)1?}1LO7W2|Ve(kB!o%3M?drQIdw3Fdgjb+Qpu1uD>-6&lO+Vx(rtFwLON~~T~jBT$!Wpn%f z#n>>*QklEqhj2C+w0}z?cMZAdn0A~HG5Qo!uT@)h%fJTr^q?>ta|0bNO9#aVL~IQs z_%K-L8L8Ig&c$DFF?NyKx51}0x~BvKfO3($>aFL~EVK&m77;f@t#VkqtCd{zLKCw! ze(}zLPtl|+8!#sI6-d+J#IBa4)(FDJs_T1=HH zUbc;tjqC-cL|jV#p+v*jU2r%h=Bfx%Xeo@qq*a4Cw0$=9f5+|0O_$!WWWVjZFf$dI zAWM9}%O;CnGwrN+!UmjB=KQW&&EA~fM@6uE;c#kDEavq0hT1oevjb8H+grBeahZBO z=5WfoK)kigoDZGi^JheS5Rj%_5H;Jzq?6a4fmXz1LB|Lnk}qm4mgX&eGI!A5jE$gc zgl!Lw=X1i|gjGsTlpQLg&sFk=pI!YfEpni&ZwLg>63taj>IY`LF}_K)M{JWOLH}fK zeB>#y&bAAJPF`oBM7=n0b+6~^ww`~xostfhWz+F?xNScZ_WP|l5ZGy|FSCpCyTy$@ z2u0%$$K)kyfFE&F|JNV(SN=G4PLUU=&I;%-r)neIdTFola!PzL=Ou7e6D>+ybQkk< zqNTED=(_1mP)AD3p0$KLO_Kou>$Ko2mI*_l5Y3h`0<>Whu*^56V5+*J&Ji2b)?kRb z>Hd8Nr+UKOg3G65){HDV1~ZI@d+9z@3;A(?bXJ9Pu|kfJh_abo^A-~8xev^3avKd>) zL(3d^MgkUV`}$ZkQhVgZm3)0iN0wnj&W?HIJ_sHLl3?pS$KZH5xDG{7sPe>ubg*H{ z=nP^TN22N<=OK9$3|fuYx5OA2RX1&~z7g=hb7uh(bh_aXD{~3sw|yPKbp2>Nu0?ZL z_?;9dun1e{j(+pBzr8E^z$;l?T3|4y>y~zj)ym`s-r=Wpy_5REE7K$0br!+#7G%5H z&wmWeo%|YBZ}0|*X$h&3)-81uR|W-3-CK}W`i-siU_$UIZ6Uxj&NM zT`bSnM($T+_+!4RJ}kZ`xIoC44s?~#R&HAqXeq> zjt`^e^0qiP4R|* z-J^8OGTS-6Df7<^ubPw%ZWJEgjYaG^1^qm0_ujt!1S0{2N%9{fJ8*pQd8s9av)trD za#DM|*B%M74QgZtl=CugYu!+Ylk<92w_m!+NlQjx25D~{XdFUtA z5qAVuzg9+c?^pvpmJX_rOi%W4My9v;rgfRd_DuX-YS^zPcWPtR&+#qq@8v}>plmHlAQxL$c|OZ!t+DTtA- z?!_o{2yD0K!q6+gG?9|$>R6c0ej=i)l9#~`>aKR$c_Xq3=#W22Wy7yf&gFdl+FBuJ z4&PSAaN31qfp%e%B?q#)UlZmz?9z^R+lGHKW(_YAIJqF8Zl(}&-!%dARGhV@)X&~~ zq(oH-nG!JKs9f_LlFCGK(i9W`_Nk0*ildmQ;lch78{gU!f#Rj%@*wbi3pMOhfHr|% zPNkVXx^2XmL*-ZkWk`ZZ)+zmh7^+vKTm1@;9_L!DxhNc#Kuce3r2RAnR#@d1%?l2B zQ!;)Z=CahJ;x56VCXOK(=gizj;M_XW1q6z5Zl!OQ*K5YX*I_%8e3vfY`N?rSi);?*KX(=UBc~gNopzeK`qkaJilc@;RcUCn zb2`@st{kiWO{zl%xt)d~IRJ4|FjCzxy)&Tu_5*}=vOL9aHRI_iz28Y(A9G{NkQ?tM z=giej5H86kJ*il{v7YqstxMuYss$vqmk4b+BiT7QyIm&D;LgI0w9gm19Q>a;mh)+) z2YM^2S7s~cSLfuJ^OP6qtvx6n&UrQ?*^fJ-cxnVk3O-kE(|?zfMl@#*i(fe~ZTDXf zV@EQyw|`CK@g)jzefzE1)XlZ7ko}{uYbF{*&S}~N6SH?!%Sgx>Dlof-oN=QRS~kEv zX5Y(v)a!EdQ7~wDiUj@lnC+>?kukgoUD~yew!)_W&O6qEnqb%Q|S;tApS7I}w7 zk=etL-0e4&takL_6f3kIfBa$#@ZaCwUy*)*LpfI-Q7*6Ql6TI;Tzm$HTNAOg=!@szd z3Llxnl*w|}8Tv|F(Fu$CSjdP#!U!Cx8Dunz83-Pj9PHjnMwFpFtc_&%m)2UT_6{{@ zCOUFR?)SbDw8Yp~ZIL@09fStATf=|Anb6G=_hEfHHu%20*%-Nfw~fR@NL#RqZn0J& z(0uCKPf&K25fJ}_KE6G?U(dvn-MONe|95(`@ip>h!JuPqWYPP&p?9*YW}Lfd828&z zA5a=o;q`y#ATN~BcQ(fNRb82{?l$8yXGFr09V{J-5yV+BHduI9m{S}kNR@KN+J+UV z(y2BQ*nJH3=#Jf2!`hB~Bp-ODXVN$bqO(7qUc;++4HRp4MvjwGxITIX=y{b)Y)|G> zUK%>R@R<~#i*&QvZ5h1R+P}88vcWBuYA$uwx0k!BZ8lxEKdzj*?q#^Gq>A2x`JI72_YQEl%0Xb02BNWc0s-9KbWX~$&?Ol}H4dj5C~9cjE4hdyKHZh#j# z1b=)dkGOqBAs?VSy^HTw&!A>bqrWvdmaLOclnE2@hOx|~YYB~UqNY|Dr;q2=a;x9o z7Ib<4fKIsc(Cvt#>XDxzoc@Zk%>n4$sre*hB);aO+A!tB$A1(ayo&5)U3s1<8%{{y zgK+my&!c}=yv@PLI~UTq)&1pe9XYXJnt(gI+ncoKt};Q=(bg)SI1*{UsB)8kPm81n z`K||>IhIMS{PHIwy^q+_9EbTB<^Cm+w&3q3z&8{BTBqs6x{HEHzDN(@wH=jaMKU^3 zEhe{hpdanh^3N&O=&o8UoxbMVs4Dy}d_3dq>2FP4XD3t9$I8fR_ph@tn2|;=f2Qj# zv#)CwYwyb8=!>_%O#o7lqt`G`H@An~7+FZ`7njTrpAtTCuGg^-M_P*eYspudv>N=P zyC&O<->=V5ADsqi3o%anyuvdcPoN?D&^93bg>P|$G3ttLNm~9*!PMmCPl(Oni}ZtD z;zlXsbmJh4D|y2U<-8Y>Pl%HN4OW|G+6>z?^vtP{CiiG#wBt18LP$C#Zf?tcw;yii z=O(A81*eum8>M`tqwkxriBtEHJmyWu2dkGq9p~8~3I>x=Zk3Fi+p0;G0zlFZ}~t=?78p^<7BU-diS(RtH8v$^Fq2E?9;@JH{zw3 zq-NAOD_MoKVSN45K;Pbb1cRITEtbF7or`+0$5DOj;a0D3yh=z;yV)kLp1svqFB<+E^9LcjOjJlIlX2SK_Fu~8SAF2Gf_*`;0*c1L1mn6 z(j-lwZAMB9l;X7~y<8^#J16aEj^WI|l-L_8go_3fD_(?c?>JMFp0jn?ZZzEm2 zKJ`zV^RJux@A`TltIcB3fUB<#+0yTd;-WA4cgN+N2D1P9u&4aSD|_73PmcDB{nz7+ zx3oeypzJGQD&~AU&5QKY;B|ifTk=ryHQrmPyW4yGY1{CUbRkB=SY| zON@ElCNoFNhwA5=aW5`TKg#l7)k`|mKJ~BipA;Dc=OgqkWcwLNIF%K+3FGx)Ur27qTqow8j|T2*pbw5e?-74p4~Xo#N0WiLx;gu~DsXOCEKLw~6$fM={E# zXO5Uez^nvC6xDWR0%xC6VFguun3&+%8}Fm`Tj|cum?y_XPu7a5F_0EAykn7&;1@yk zAjuThNd%=}1eVFD4~0#5p%l}DaqYAki#uS5%QKaw$fbhL?5SR%@Wzk?eHIvrN1fD) z@B+GQa9#FF73&h&)$$VJ;?DdZRR-nLMZs7rO4b;%a5 zYastI;OTD!8)T!6S|i_Vx7D1xzd>Qf&46pwRPIH z)7-Ab{4S`*pXM+NctnY;|5_tMzto%^rd=E1x6o*u5U@~dj0tF7$kzg1D;4QLZbXW3 zMl&5izcil>rd^}p@7T)cMQN;2Z#40BZbgIa5ys6lp4$Rm!;W~upY=8#<3_$y)@c0( zvfjw=LTSWMZ)^#04i|Zsna#GD+d^2&L%&?k#nYd&2E7DFzx2wjsx>8muqqSj0BKZ1 zzr@I`YBIfsfEy5ELC+rP1EC@=ovV+v)rAUEm3Jswurx2D9-us&V%vqvi2b+EkCgWv zXHcm6CzzxU_k(Ud+Nnhnb}guw(MoMB#9ZpfK%pAwA1)$7*_W0j;Sxh3M^BzHwx zBLFMezOF1{&y4EHxx-CL(nEriyGfkE_?!r*R8Xp&NJdheH}xa_mu8!NSrOLisLh%~ zfQ}NpXjpK9OqoX+gg|rZ7e#v;FDQli z2o5{ZKh(y=-j*ct=#oK)J!=qLgXD!*>V@dsi!N?|c||R;#`($jj${=;J`t;&b^SG}Pzlx2bK(^+ zSnZZ4{0LK5T*OWkA@;b?vU@WnA@|&OmBD>>NfGB8tu-iNTdcrAP5Lb~^ZOo&n4Y}wOe#+5k29Oh{P~r%ph?C`-kKxE!qR&p%ZElTIm_x zGtF;)KAW(x>?y>_t^`PqF zPt*yo9H|(^CD$Qvtrb8e5L-KUT;oR~7U58ZDa6GuL8S7(3b+e0_Yj8K1Q!F_v=9S( z{7#bf6mCryEKO9l+z0p<@lv1OiVnyvJ*M;lyExQ{}$A&oN?3o5v+k(}S^3Tj$_d0cocFqp5VgjfNHd9rE8 zAvj*VT}_JCAbAaqLb{gWAH15B*h=+t!~Lis{?E>ZnP^}yMqz;TP+hXzCC$&Cg;LrZ z-P+zL<{ZHMdWwbG`EqvcQ6`4;>MP;X)d|*^)L7DV_3H=YnlwMThnW#m8 z{J@|Qe<49ycG5xpA7Ujf44iI~Ofx|_S`P$A*ZT~fTBBw$u-F+4=2IzJf@=r@tDt^V zQdT;vs4QPlQBx|bsV|q;F;Xh(9xwm1DXVx|*AE4Syw)5bP>U<|r;;bYH?i=kkW>mx z@K;&y*2V4y14id&uv z)J9rp)%C!nr6L}U8vnvBtgV!ZPFyodE#OS7N0pjDiI+_q>d*0OuzP`1ZV0FnbK z^Uii6&1CZrE6hLcxDoScwh)z>DEv2L6N!!!!~CO@=d{EsR(}ta#r?puoRU|y{4^=G z`*$a4q;j#I5slL2OmMn-_YN&tUWY7M>9v_ts`w$_{1Er{O;kw^d6=Uu7K2uK^Frj+T{nf8D}n51f`6HZV*Q!h%_BO2>@CZ3`x>?4 z(|V12wPJg0{ZkrWeMfNwT-qt~Tyca`8vpEfVv!g;MU#70=H@YKphG6^!Z~Th^4Nnz z)}Q}Wv`dP4N2qMyGB;MPmG!Op?>pE#M=>?pO%-R@t68qVW1SHhI;9zkld#L5Awm0T z{3x{@gSQ9*G{-U38pRVXc)PidZYAEjSG`8)udy}x*ovzsEHP);fyPTRqhtJ6M7`LA zBVpG$-#jrvz@nx>0*A|f@ki|b zO}BEH!*l?|vZ8N9fYa>WG4zIrUu*!Z_paJ#$*`(e6JQKwJG1tG+gPs-Qd2Y}<+k10S0%Di>SHT?Om z;~)Fj9}|W0<<#!aKIiITJuMXKVfo;wQ!xl&5(9T|0=5kDmg}7hDweLyS<&fnDx2EV z+X7Y^dT(A*^%`00Ta|FI&Ee^<`ujtw^SXT4S{DS*RjpdJEfz05y}oe8HXQs=KuRE> zwhb|>n%1WdqpF;eku#K_nwye0 z_1tL;v~Yc%+KhY2El0%?^5sG9wuWaPQQp7W6!+*24ICumTcwuR&*CFOz4cRt?$3bm zNA+}H;tnKQyTVpuV{5mptk^QAGa1m>_$g+t7blP9-xg`)(Wn6nmwE3M*2BKoTeTre z(@pr=tR~X{Ki_o)fJCr|nBCMV-@iPmT2;nbSbE~L&`zAyn`fyf>-}{rT1x(HfQLCA z1M@OjgZlJxRs+Tf8oL)ZEQ;iZ04+Hr6)JcGZX_{^j^%%i0ki1Fi1^PDLWf5=)la=5 z?qohP;of&Qq|u5WPHs=jmGXcUj>KpM+F1@<)y_O%PP4Kj9b zbd3lm4@}S33yjcGX;TYD6KYf{229lXy+BYxq(UGj4sA^*7?)58K9NWUB=D2JLJr5W z8RpGT$Ve?@EOx+XG{Hzb1vZULC_0wte|tXDK^fBlCYC)d;R}tbu_h^u8m&+=s6ESg zU*cjmzf+ZI^zT#kxzel^)^OIm{S*<4ap#V8FrpV4S?7q*6>4H_n7~Nr-F;0VcC!ySjhDMNBPDDTZ`6obSE4g zhDIhq?FSi8W%aQJMx*nFqmqJ3d&jc6e!}ex!h`IyD61T9WudLY$_EZi2QW+r*jRTW zSoT%0ZSb*sOSfcdqbWwCDu$zvOa~Rds21<6YNJuUHz1ViI}Q8%IX+s+k9b&jB>XR6 zSDZM@HGE#@>c2O(zA+h|8ZDZwR}}+0H0W*-BTW(fO0&0CKgCuLPwpw&eY3%2>$(uY z{hM&`Pt_N2Elp3Kz8HFQUF85?iKGR^+i4aO|FMCpnHDsso6%(tWsYsk6LF1J=awoWmY7K|!r8#hK*oKI~`S zCps9}Rl5Ruzvj6w;(QJKQ zb={mh|6f}iij2PBgYyG=fG-W8jdj@;lR4`VSm>~Uln9qclOXV?BgiErrUM<|JW2Ba z8grU;Xtc-#1ObP18wrP7A2rV-c_-^IrYLoS^YZHT$+LGqB!vihWFST@2U?J1yxxU0!mX z4j-6E#X~7>D8Z3|3lt%4d^T&Rnf^v9hOeQcy%@+)pCcze2R5!+C$>O>rr<*yR&jx^ zkxh%IgqN+;j6e7o@Hpa|CJ?jKrG-GMf+2~%uL`K(&50oY%IBlYF}Ecl>U@TemKKJS znITCeHvPCL=A#-cSkmwIi6zt`9vNZSa42v4pWUjpuvl?K1x$uzux7x-Sk-V+qPNkODnm^qBlP#BRxNs&Pj;=QI-C_wexc|8(i zZwCvGUSvrPq~O^D{Y0|9=^Z&?y(m{fF1rZ~W1^R2G$QDoGS(eZU1rR?qH#_0>M!l$8O7rT2)D z3`4&FuuuY{rLbz$Le=p^Dig6Xpg&>N%&6d(Wbj7|>?4Ws!~ALo#CtN`aH|g^q+2v3J6=S=9WSL|&%ePNghD^@luioKHc;V;wG6*A z;~pZ?tuT@uJfgo5J$g{5Ao`s-)*U(49UqoGCn2YWw5ZOr9mN8gbSX`itO{pdwX>jC zKlJ06XCgtyp291ofhjKpBXQuE$Y@BVze!+oSZGREs0wJGM!3-5PSG(HdzBd2W5CyU zu&nmi<)KR`S+|0)CK~ab%47{Z((BCB2Rq^k@?FhMx4(3b1@Zt~r}f&XkH=+7FIva0 z3h~}D@g6%r|9-v+k~fWzHOfSrmhc7<@f9kPOIYak&lwR42n_}N3|f)F9O1znkwG0_ z#7>VG%7Yr>J#8eroXXK{VWMwDq;FKD=ZH{9Uqs6%+{z&|_9>LP!j(~vH)9uXp{AwY`0DJh{dV_`{c8l^cyZ4xZk2Aojc^0H4G5P+ zm7He1{TEJAHtXy`lb#_WkLrWMB}{H>A@T}5A7NyQFvs-nK`K@Ck77^Ydt5AgV!|Oh zS5U-E04hM#u88tDk9cpDe|Ge&4|T&_X9ahnYswprb~0rPwb_lyx&R}UdcQolqL+^? zi;0jWB8`bqmLm>ILj4{q%yVXcQ32Tx(mUUT32M5vyPj17rVeckQQyKIX?%}az~RVo z=K4BknYpFL=Bo2+mDj=JXlA4z%$n=zX+&};CnOnwz*@9<`+NO}-{3KSP1eEo@Rm|r ztEGg%8ziws_O)?f^hDJGs#?9vw6ICNsy6}QN!({FjMlRczb3m`xf)+p-U5Ea2$o(F zpeqJZ9wc)Gzi4GYk8;}@NZ7XEyDbAVG5_p$Dp;@7BL@EMl&iVhb0(NvCE;I zgGnG;p*>4=+)nD(btsvoO&`VCtFPrZ*j8V52REO!e*)*;JeY}%R)gEbk^`G%>H{=) zv4_jWiZjC#kEp|Q4(7!o;|zhxL7j*>F1>|oZ>UiK%j45<7&I^5^0xF8|^Ws|CVgU8-(lbvus0EtjnzJjmM&f(da22H;aCZ#qLe@ z`rftZgQuH^>oCpjUt5?HXLe<`UgX=#d!$&`2;gbMnO7fbdU$(!ldsbYvWK&Feqs}E z{9_u_Zc`=|VqM32bVR+&N69rB;hAIoop+}XL)x=zreWP9s-6V`1w{#-?{;4^`^agt zhnyBeVM-WFwLs$fl8tOi(*-Ar9W!lxC{HVQ2#?nJ|Z9&MJQo4U-$vJ2UFw{1*)qUJL15jyk-yAWq8 z4(Unto#jT3d%L14eFIieZzh&%l2 z6tUz!2jd?A=^vw=LTk|OR|ApOa>!-$-D4Xb{X)vC4}x6}>~l!4l#^tPtjgQRMwnMaooEC}bi5Xd-b2a(}_d zTO_I4*jyjQL}6%bRPj(?0szYgpkq9F$nhd%#NTG)~8bz6w$?hiU zS|P&4w}@%@)D>0C)=vT64EeT%J2-3mzZ~KQpt_O9kf_7eJ`FcwsVk_MP{)p`HXhy9 zf|+SMe>ZCz_~EkOSCLfzx)yi_c2p9Y6Tg$Ou@xsvb=@kT2){~88Deq8A^hGkI( z2W3}fbDz>7Qq(lU(51X!NPW9_8v`L{!-4=|Eb!343yqu#bZ3Zj>aSscUdS5xi^gE8 zAli~tW*D>z@bZF}NFt&dH7kl+95}0M(}JkPSU5Sd0io#a1ts)%qQ5qI zEF8${v~lR#SvIbp9jIvT3E~zFgdHMli*3w)p@n9?cP91xZ;Y#6-J5 za_w2`x;fVW3xef~2xbZMGy0OPF+UNiM{mTTC-nd(XW2~QQxM||J=+{g2n~)+86%}o z0azqTW%|s%)>UKdKEE0q>&!Ssp=;AIxlS!8bU=56F->uVFeP>q`NfbQYOVxAWP>yc zQ6y>;$$*rc?EzdZh`*A{xcUbasnK1)l)}sJv&0Z-3pS@zqcIG%sl&j=&&&cpKOU*L z8J~)5D32Kc&>=N{mLRU5Ygn9eTUi-o8)n(%%0yh=BxsT#-ESg^24pOGydj$x}9Gv0W=?9D~rG_-cgYwG(SeH-7b-Fp@vWth)`DZQ5$)PlnYYIYE>ctG*CFSN0JWj~G zHJ`T%CT=7hNC_Hv5V9+MijwJf+Yz^i_pzN2{LO*i2W^hB)jkbb= z<1%8ZoLRW%$gW%aLZ9Gl=)7T?LKe}K(5 zqaC8nKpW-RkXw_&D`_C>Oj^b?W-MlPTk?zeSYM#s>v!@x7efE-2O+g%y%YTR=K22smHp%i literal 15321 zcmY*=b95$6uy<@b8{4*>Y_i$dcCxYg#J0_i`NX#Ejcwch^4@#yAK#hzRh_AGs{8az zb#+a56)Fe_NEJNjH+A~HM8CP3siV^$J6mEFHb!Pf7GhDCKh`G1tZb~r%$!UttW2!T zENsNerjD+Ej7^CZ42`XbS%{Toh}BJvh$T$^IDcy*to_#<2nY|y|1gkoeE-Yc zLC?M6vj`;8ucQrB0c51ekRe>9K^|skx<&GbS>#fOs8i|WL4Jk_2xvbk<3a<76+1j7 zT7Y54s_GK1>TZ}s$FygvsF=DAE}C1UrNp<7{!A#r`=4J6sv8Rn8>$QDW(f$+AH^l@ z-LJl}ucsAK>Oxa71bnX6!)hX)XiXB69+RgtZ1(p!r4n2+JRoa?%Y=|$6w6->NrXvW zI|p;fru;RH$qow4NS|=;WXop#9=^Rfct~{hHG=|6{dtr$BS<+~9$LWwTF{1zQEpf- zJ|!AmsXTdhlo7-*6Qnn;bg;S6@GeKZr|~vwkCW^0ezNgUSWnM`^mURC#S9&jZlY)2 zDO_!*$LH0U+TWQ&Q$l7RZQ(aDm#rVeO0s&czSRuv%_YM*1dc*X+HScVZa&l*jH~RQ zU!~!s@S2Yg$CT|Sai7U>!j64!Gnsp=9$FH^d>0Sf{XPe2x4L4kM z_!pOJ@rJKv>e-p5t}BMSZi}tsz>_KSsJhB_RyDUGTh+(>H{Cz=)wZgX`T$gX(>2a9 zPul~~_pJu+Va^zQBaoGPrBb@79 z0m{s^ow17P|5r}>zt$X(b@W@ilvWaB_Y8v#jI8^g>G%GK@JlFoOb-1vH>Scu+&zMM zz!z*9az;);Z)xapsvQs}O^^c@=PyJ#wD>Ydb!kqDGguPdJrTWerVa|MHUFtI zrF{`Y7XN~8gw7S@Oo)nCxu?(h;3Dev?HHD5?^>}^>Z)zt1LURx>bjz89X;QThK4v< z)Xb;7`cV1!n3C99`RB3H97VaO;W*BGG_T(^x%LlEn$lQpJh*lTOZn5~v7-!MWYe?d zNhtWPGO^PCW?2i8uu2`~Ht@3Aw9XifBmT%;`RsZ-x|q#+RQOGe6c`;zm;AsD@`j`b zrABK`^(yPyn5r+e6)vYv+~6Cae-QMxLeX6czf)wO{w!ZjblSZ$?(lIl#)(O!h#zXl zc=jHJ{LrM|vQ<#ICB0aEsbBBY;*&>VoHgUv*N>a}b+qh8-y2oGRq8+YIYNSerc`z> zzn?H8)S9eFzLPM%dP!+-iRH=W{=L{jcrYSJvUei_}M>LeR8-XclDJ6^E&zYa;Eh) z@ymiu=w4c9z6lUB-2@n;y({4PX$F{P|JtuYcCdAE$KiLZo`O)kXSiu}!`C0d^nrzl zx_8bQLHj0Mi|D%pU(%!Z3+9Wu{7<#jh8eDp^(2Q$(`JzjOepCqpVmv})lz}e^>+^d z9Fl5;{M9-eRI|ey%`t^r0g;bzmXd7l`oVYS0VRXlJE&he&?)@!$@6N~2UhBb4afD* z5*Rkv$Nm*37T!iI;lu05R=JgfZ#mG8kLb#}Px<7I;7qbv_`DWrHM~=Fcz0=!PG`=_&NK&R9mIEt(6OW&(JH*P{Ta zpY53_`Ja&dGyT!3GnK$|eg?`RDF`;m_ma!=o3vRNAR{uTZidmBqt&?4nQd%Ui9pll z-H**cbGTq5Hs836H%|CraY6lqK&teeJsr560YaV+X@OnlI?}5`fO# z2^DM0YWU^qm}`D}Q(g8ku*#aM&5CaA|3q_SZ41@pHJ9Q83lWl8+gf_gCZ;uw31Zm3 zD#c13EKMEu;|1`N4@}$sxC&KGA$0bQY&!8(2G5R3WSLU$r}8j!PbN-J^tebjGs(RR zlG^^kHVv5ejk9V!-w&XvQre@}l6YjG&Y1YH+`nxZesZQ|I&sr<=f|^@20Mor-k86TL zKejCKZ{SE1PL%>@flgd}P6-}IpO0X+#{S_l-iE}4bN}N=qAgCr848sR3qe;dhZ3rs zVZ$V&xI|cJhs9h5z45h9t#f(MZiGvPf!7g^3>&Gz(8So?LDBRaobm^mO){c&!6f9M z_liZ1%0Jni@twbtih?`iX1#F8>8oXaW;PODSc_|=7iOIcDRqmtM%c*s*_8PF%~nes zev#>it5tZMS^8yJ6HWq<1h2R;$`#^MZyHfrzzwMETXi@^2W;LyzUrrw90u%&McF(H--TVJF6?z z_P_y*Ew8dFihm)gtJuN};A`tKDD0^ko_U(%32&(T3IzS3Ufz5NN489j33_l$^Mc57 z^D1wx@~F(?Mc#&@(F#UIx0=>rE=0=p{PrmZ(`?wv>Q>H?9#lsxxFH{C3Ffniphzlk z|NJA^Sz-yd=J0XnBv3F#9*hTvXmvLyXlK48kt9rQpsz<0#r>C`1|s^qu;*K+=4q2_ zXi5GX0yS9}%64Q8J#!ZnK{BIE9%QUsGTQ?q^~@+snp8*ta6W?Wm@z-E9T8GM0^`2n zZ(eg)z$PcgJ*MK!Qb<6n1jdAon20YbhRY$qPHje+$^-;vy``D!)dXvzKV$$f=;9)xa3V4Wo z0};ICNyWZ7QSU@uuXZJC(La#1?{16U_EE*_{jlT=cvH28c&b=}xm2%0X=HA=5?Q z2Gc~}g1;e=CVB*&COB80lhQz+NaC#!XCTB!&b@`u8DPNj&wZUEQBt zB?Y{v{LL)DaLzGP6;2~$Y%w-F*;IyuSS=TJ>!JqLc*m!eA)X1w#IQ%j;MOTj^+P4G zr9D(lyCm_^7xU}9NZq}YDn+=tJIBm2^!^M>At5A)vGbET`{0#5!FNuB96WL}!(H6t zZO?mt-((WwXY_!Jn7(3U8!*l;_!jvve2aMCBc7~U>MU!gR1`Y&>yvj0s??aOf5^Y@ zkLw8$P5eS$Fh`3@js^^y_}$UbfM*dg<8HriPl6nA6(ZRC6ik5}x&0@ZVg%0;T3G6> zv{Q@F$E0o0C-?>ht+NlqZjAgrF@N>QKi%gJ(2xTanxUh$sZaeK+{#@;BcdI&EGErn z@qi0CmWh!k=@1hy6TF0rcpJa}A5}e3CD*;SxwI-4-MrtB`G(dvELD!*Jpm|+Rc!_i zU$|Ew`yN4E${JHNFI|4dB#P#LSpeDw%FVH0`DaJA1lqZLMN6jRZxebNoVBq07ByvY zxVphJgAvPnWSJ5=%$yEQOes%K-ZdSjp--BCyh?Q8o1hS6UW{{7a7eZc$y33Af|=t7 z5vP@)I)SQ~pr;p@BV!0eD^yUF@P%fxy^sY#r57l;%Z{cgT>bgV7iMwEX@;Q7ClRNV zRQ1q43OZmeBcno)MzH#D zzDk2ST=nM9G8}phI7PQl|5@?h&wu;NW@c`S@C!nX<5ov;@;BGR!NOFF2-lv49GqGaAjAttv500cSQm?cy^Lluw zrCEeaSfI(_LjvEhI{$khragUk8jRbNmi7Fbq|l%`qU4w5o)ssMU~AtrEa^fuao~26 zD;EZ}9?YOBQX~$0a+U^=f5HV{Xm11$SFLpVlHxKRx&IP$V&K?zqT-yUp?i|b;Sk-l>2zo`T5BsJuU|tfboO+(= zz#y*&6u9#R3F;bkFXe5~-}yh8W-mCr;>?_}9dzB|e_Syt?QQ6BFam29JO?Rr9XQ(f zZ*Rjz-8^|HM6u&HG=I99m!w*AdIH%!83k_Obox4_Y_Qbm%{+0%8#DqQ9Le9fd>)2N zM8k_r^yzILd+T`EE8JtYu;~Ts_rS3XNxOi1fyD&7#*%^t5m3wZs}e~VL7~-%ugj!` zp>|ZB{r&2n9XmU88G`nTneXQT$QnUS?$Ptm1fGf=v!{s2`j7YiP7HYC_<{L z&=Y3g8rB-Z;-pQpZ6m7#R02hia*m*wDL|H*eG6$|B#lEI+ zQ-l7yG*7YBO?rz*6Xo(v>VSEU1)q9p8yv+| zRMNhapV-$v?9tEj8s$E23@ZYuQBnM=!gFIR`=X02~Y&hgcGB&!p;J&&^kJ$y#V4As7{L@?|xCP#H>wVn9D!wd&aZPfSDZNHui&< zWI1nyUwZ#|EAlrI5$qbfPl3;^As-#pPIl0otK4%Hr|POf3~&C{bNkpSzh-pzk`qSI zo3Qo|AjIlw&}XEZ-%TgQAU1hEts;btmL@D(2w_D_8T(%<7AK9o{+L<$uD{yXk^-SJ z$(TlHh$hQTxOR$2z9ymJl}3a7V}?%@&a08w zsOD~a{8b9@zE*PStEKAr#UBc8Xpax~1cSt#l9+AFkFh4md=|mRq?-7XgKfaL8)7q|Jr$zX7giB4s^Ls%*fpL)^TzaClvgNruwXF^| zDNm0h!xzM@UkD~UxFKV1MM!cYG}$7bf3e-q^Pt7kA$UH`rGX*@F?X{WnXBK(GE@n%@PrhWg6HDZvJXlFOlCQR5Z zJ?4!&g}dc(l8GkoGnO8C0q3{Exsu`&Va#~N<_m)N7T#>ojxt<+QHyNY28!n}7CTTO z7;@iC;>-5P^$Y*5dWgjpl#|jSa#we4(A)$38ln($Lm4F@4$`anrlk}in2L!retA=` z-eG>_wxRDaKW6-6;@;1Ph03_LDxiiT>CdS^PpuBc#}AF!G4KD|Xp@bE=C&)hw{C}Y z+wzIes*r#SpT%zKLr{94sDoidN~`z^GN0AC_!CcV6`XJ<1$XF3n40}9wJ^{Kh;bTP z%o6BoGPa&CuDy%Z?6x)PDDblNRWV|fkbZxrkIWwYx0PwIi?2v_S#aI{4aH=xzAk7$W=fn+BK{Q4P{4H+LV*3%qxedr{H#GyXBz| zxubhyYr9Q+qD9M85~FUgj}oChtaH^HY?%M@6?LHreCk!BxutSpvc9R(fWi#m}Gutd#92dMs! zgpN$0>21G>nnA(ieGX{ni&I-$v;vT+<8jT(+N95dXVVbA+eNwTO)(EB|@LR`3a2HiFn z7K4m!GW#;=kN>($&nu*0I2Zf?OJtD!vjM@!O;)M^DH#6R1}VET3SrBqPcuvW@AD|* z#^4B2OExN!ckDcGqu>4-QM1XL(8n`DaQ6+~w)g4sD4SpGndEB*U$X7ms$S6<^x1IGbM@UAYA? z9tV6`Hz+8?iKX3fSJ>o`35+pi6j9;*N`QJ(y)@sFp1WLG5!NpQXkS+k(Urm51kd>n z<#=ECQ$OvN2~Aj)zqQy0W&{Td^Cl0&E(wfyG=FuQXAAwlOy*Wk6wBI(^>aECN9z~# zah$daJV3uj3kM6T08Xsq2J_e{S8FQhKXrH0v~HghTpD?c*wS;GmZ-Kc>6!rQ8$p6{ zYo>bzlbqQ=K<4?$*my;^##VAZ@I{^y)!b0FQhtH%rO)-=wh#O>k>=;(i6+gai68~V zi}Yv(HujbC(L84+)7m8b$NQnI{=4{n0e0d0Pi@hz2ILdyFPu(xWjl=&b@Nl3<}A*x zjyl=YX`3c~#XFq~b5QRfZ ziu$h5PNqA|oyJ&nD9{4$+^x@5<+bQO@>+OBKItRq7;o&6x$}Y~3)=jt{o*kAu5*{Q z-@~3W_jfVFv>Ftie!IsGK2JMBQ-|vVE&#MG+9nKV);GkXJ16)i`3Tno-@{xk#{zao zs0tvo&Co;4$3(B6PQ{=1QhEQ&Rd&4-oPld(YAqY!di(gEh8+tcuZe5D^xjT+MOT@l z@*=7o@Q;Jv%4TUfoq(x5kzwaqVOlGE06-B>+uXbiRY$!3^OF`r+u&H24`nY3j4vU;$VJ@)BdQ?%i^yaM3V zUt4Wpurp8Xt&-;#{!zo0RMs(tY8ATTUDs}VRqn^8Fg4(#^yyrEVzTz9!fowi&h@UZ zsm|_DE1-%X*n`USm40H}=W>{VZHBUd(U#Xj#<@wfjaK+|@gAe!6jw<$h!p(|zh38usm4fGMGttK_y|qaS4k9YeNYlzOnQE;Bo8Ay%W>#a z-z~~^&)6l8&6aV>^Y!x+7JcBR#O8wkKAeqo(8W*H8aC>xU>tuRji!itVBYLb)D&{? zX|vT7y3r}8!o(g0VEej$qIdcjf0@0R*S#=)4PD%Qls)H3>{qK`6|XYh^$C*b1n$ej zTwn^yYbokG9OQclm*rb5V-tsAI?zRGSS_K_fbAvHC}oO4_3R4f#5?fexSVb~}vLXE!DP5YOisDxvXg8oJ{%w^p*_%ki!soz@?f=C1Ds(}N1HUjAa zWQ9CpF?}?Qks5L~YVU<;a9UK6j3j8Ivf`Uf>Bfe}ZRgZh97-!Q&$h_ez41?phz`b_ zR)jw>wsc0)(Icl)vZO(l$)brEjIOAprpr&sh-3FErX}CwRVDlT{w|~}j>4342BEqN z<4|faqw$YQmP}m61`pyOHc7#-ie2O!j(EM`4_->KhEjc%vTSUThU2eHS{-rje>5r< zBC_K2-GId-#sg##2!jJG%J=+!PGRtz#!K#VLcU#pt3`bqsg4Wv2l(@_lFH}V)Qhm# z&DY7yxiG}~-MS9j?NGN5x+(qetwe>zdI@^L-907HxD9+FcwFvV(3|SG4NrbeQ+b_u4fTkt$$2)+7V3UY zvw6BmtC&zW;1=pX8tO&(#%o@58&>i=!SX3Rn@!=tC2OL+r=L(z7UrPfscAKQ|uOB*bNI%7vOo( zxDChwuB9SxkQSjh4Sd4d#xtA9t29s-{dv(p8mxr*=JGb+R(S&-ZD*MI#f^77@af}7 z18^9sg1pJejie`&mFmk9gOGlY^Zo8Kq$DvN7L*Ip;0C8 zsI!okukk1Ij||i`7N!0IIRo^zL$t}y!U+jYmtAxfTm~W zYM){LgdM#%*R4E^Uzcr$p(ebVQV@shJK1%or2R_Co#?P_Mc59zQAzBwQtL*fN`$|H z543+n-!!MBlQNXGW0eic-RSCV#KbFz(~G&wN&)n>XxXJ3mL)8ci(bO?qM2r55F1%b z#0Q#*j}u%!c7WK5D=PjNVPCiUD$Nw4?j|xHii_j_-WsCjH|9$$10>JD4D;Wy8%ygr zU}D8T-Hjw}*&5Se8urq;*_L%lUs^MW)*i~VHu6fXaV>4rW0!g2D^qB1FBIgT_Q{I- zl$wRsQ`#<;d02{p@otU7>!0>y>x53RikFMqk7;~x8!3!t!6X0u(!)m)mRJG&U3J7m z_!c)FSTSLmhl@pj(TD{}`{QKt5aP{g3Ab1E>aJqSF`Npr`d_T>To(NUQ|!WOCa|Nd zm-Xi@?SWPV;M)S{X`m&-Fbwr6;vC(xL8I=(|u1%X_O*umvd}4l9pjeLg0rf<3)Y2ieJv zM2~SrL^&-!1{M$9(4V0dP6KU@;dw5zm;Tx z)|5uqha2NeeGZn2d?uN)#vU$#p}z9wfUZ!a#`V=F(2o&F98b@@cDu0Q^_DtqymDY> zj~&nWc_JXCitxfgzAWU3CXn6evXO!3c`$)Sqs1Rbi3I)MW z_{Iexl3Ival9G&?>&Gf+7AEy=b$9HLc|TFw=8}LI#e5Pc(u$tSNnK&2$-@B@Bq?;T zwHnf4MKYo?$2!Wtx~0?1(GKx~8qYXss%!Eyz^N7&T4%K8*C}Bo6)owa^Z%*+kSMES zk5JP7gHhBpM=fJhq?nXpR$61+iiJYnFaZbETWX+Ww1*2(NM1!nu|tZY6lU_`_cH}K z$mB)%|CkykjDsqyGIk*xOoU;DrgoLq55uIPN`@MoA{Hxnm;!cBn;SCesv8FQR4ae< zc_S}e8+7mmEZ6+m+jfNiIn5I|#T8X5XG_=M_ES&g01jOnK?GV}I4_DD473zh1YK#b;4W z(TVIqf0!_IUEVq?wslT_f}QNFQtg>5ouo(7vZSc1SK8$>C?D-PXF7SN%)_aY>KVIa zseT0@(%i@vECsgeQ8S%+wOiNWC{+Fh$_e$OVU|HSRkt?|BOjRh#HP}Dc9gdd(>Wi$ z{jL15vNB(#CPf|B7=SVIBM|Oifh9Rz7UsyQ0^}`ic4|Re$LgR?g=#rb`cY`z52DfA zI-i`>hr7-n(*P?8y7ohDD_&8Lm4$gW%>$;K`Dl+^CS*M+!L^&<}tmz>5< z$(-v*Q6YKv1%>WZs@IRor`rLY>gUs^Tkl+TnpBlFJG?$-{>_UVd{ zL$73qE_K`^&*a*rijcOdx_=`(rfSXVrcdsWhSlnjE?%RjkB%QYOwmrAW6Ku{4PKpK zCBqdz-rm^{q1d~<`Xi%e6R{W-@1o`ZJc0f6Vr1@+n<-9)D}Q_g>!b6h)>8>t-Mcdr z9UAEijxf1=1Kmly7jW{7(OzU}v36F`NljY3dkd*k@ioWqz{f+K1uV|H-vaEqo5i3qJ@+ zeW3F%LdJZL7(V~DR{tu*+Fp#aokSa?7W5_7 zKtw0+Yf5s!Z)|b}X3}O0G9a4bM;6ADo9WTJ0LUY#gL@&53c)!J4zAW5PGt<|Enhlx z?+#3sP#Xy{xRD#%h3j$pK?puMNg&y{>g?o4uU|{sk(l3(ijZ?6jYzgz%SCT9n?$g9 zLEWyZ7a(n3_1wdD1R#8V=^d^;@(a`h>0E2I+)YKBVDdG;C~(0{ip&k=&5QXQn~nZY z485gVXvmNLNZy+3zcLn=`cRCuGrU>^K-EkRHvR1Gb#yl{`kMDN(Sh!3#57eY%HgMWyG)Z}ey;_O{pnmwn%!86Y(CBC@W*l5x60a(m$n87n0 zZ-@Hvfx>*G;9C@!^}|E<#?F|Ro6!wW4L^V4BefUZ$mNO|&Li&p1`kbA6h<-#YvPqm ziDA^_T~)N=OsU;ru#BN608$_<$z89gq#m34Ew`fq%o{a?92^y)07Xq{A<7Y~+nLRS z?Av-i2d#gH@%o*gE$SU~tQvT=rd=NCBI~!E8V4tMJE{9M917I17iysp28V;$dJkaU z>eo>K%qyr}XW1}-uoctMAmtIW<~Ro15w(&Wb?}{xsSZgasPf6SMLcq(Y48xD+g;T& z6tke#kL?$bx}3JdeK|`#_^?joR**2GyBYW*t-C%^x`8t|_|6dq=S;j?PvQ0Y!N`pO zMo94qeiZ^0XlQi{NLl>Ny%e`>!larp7iVs|+1RIWHP20eni;(m0doP5a2;~uc($l48w(cIB$$p%71d(SM zk!Jw$#9j=^MGVPF49QI_#$GJOSuDm;EXGwV#z8Cw62dpdbST)CByv5@)DIt0AgwL~ zy)@<^dUlXt{A>Z8FU8>ZaZAx`M%~IKrzp%P{gAB#X%jDMD(KEJ(dHq>EwbFe+J+^g zo7m<~h|Wm}pa=0K4)4~GYk%5yM9gn{T4@xyJ@_3G1<1Oc0o=r&>R$GR;C9{B%_b0w zT&TX?=1>2G!x&1Ixr`3%2K5t)oQx%1Lsg083ATm~=${iUEDXL`K{C*AG)mjW--B6v z-Zt~tH3NrUNdDA`_Hvh5MdyAmvIVzz{K{5I>9&0#Z;qonf>RtXk_YYMXx$tKzf(b; zm=|E4zV6|l2fw37o~RdK_5$BS7n{BrxY!H#0#e!PZ(o~779Nr08fFqn11h#iOnkZa zLAdsXx%OZDYRJYq?>#|5=;c0fJYi6VLE`oJ%8}gY32Yh4klC>~Pa9*@jN^;;&h7EM zN*gG)8l~EU@y|j!W)MB9*eQIFAPIjK!c&lT`R9xbjhhW&Tg`XiV z4~N0d|rQ+01MVdzwJN|0)~N5x3-WaPRNdb>dzE@`0e1^DEvg137EZwu`ep(99*?3Ii5br*h^ ztJ7N~Pn>XBnMxN#m;iD0w4{Y)%y5;Z9x8&W{G|oE(F(Vx2LQ_e!*xCU)$*NNEH|mO zln2@Er!fsT+-^N~Kj-_6VzDU;rqt4K!c?%{C%dR|p|P}!vqJ?qmm;72cq2=LbE&bj zG(#a}OJm~iZP$T$PUKIN;pJ36`)fVxc&)>WtF{#YJVGwYdN9wrFqa%VsiG5vcGrPQ&g?Wxa2Y_ zUGmJzB72iz@s$_Tt<-iJ3!VDZIU3)OuXXTwOmsnDuC{J=LL>so;IFTLRS5D%XcxEM zIOA?t_CYSF5wuU-D=IwoE|w#Ohf;>5AQ_E14+?)OJh9}l(TXPeM5Et0Q0=124N&>p zKc9bFk0jSVmESTvAX0ne!ghqfcEsCnCJDGcUhtZ+7oOv7?f2g`XhT58M~tD@3eJ;eB!L=9I{9g^ zvc{mTgQv5=;p^k*Hy4xxVh~aRP66W-VJ(hOs^V||?-)#Y`QyRmP<`1CV?NtgSJ!D( z>DyQ+^wOed#_C~Uept1SFWSp^1f#PYi(e;#BTXZBf4Zupvw?^U9&`qi2BI1YeM_XLbrG(;7p{F4j`uXs3CGQ7WYEgmjbiQzrwyI;oe^}B zUCMK@|Ls0j2yp;Vloc$HwdLRYFj~IuK=A>)@!|EIS<3KZX#rSZTxNC{gB*i4MZOS+ z0UblNE2$nh#PGY0*mNT4F7|G+eWQ?m#IY)b)0Y+vsV~VZ7}c&Q)vn7*7;)2K{(mn= z=TQ8eh-%kn1%|=r6BI_h#Mh$G{D+hcw;(Y}_Of7G^m1Ide?BJla8q|m=xjVf;if*Rakyy+BlMXr`^VoMi?h3r` z<<`Jk)0=r^k;BOc0b`u~$o;pck)(H~_QEuXgTxaP`4DGo=LWb_DEqLIe0KuMU4l5> zqkx}@N|74u(;>|4x-$_m(CBN3;jo#|$Ol?Bq%(u18(Ne;f6*$ss1?0H$m7^^pe#~< zYwR?X-6ZY=W7>`^*p3W?j%qs1y|&4_{}nt5 zeoewY)nfWr(y5SpBl_VbNE@bmvjRxsY#~MSbEyed0q!=0@#yCH2`8e_srL z&PKnn+E=8otvGXGcNDX_U*^FqhRziw9cnMzq+Y&Zu-1r|Wv4EEeBq7`YtU34%+w zv8L90(;L{~f$d1R-*UzFg|Pjnfp65V@`__Xi-!D^q=k-+9Ue!QMWe*|UOhQ@LGAP~ zx~^MLN0%99HjoO~H!OeJ51zlyql=$TJWaPDMt|T=>Hjo<_9)&%Ep9{=Ai+Z>PppT$?iWfKi zIcr@pIHIO|c1N~fP2SMmJT>zVmq@&JKJ<)lH}l(Xu~xUWYD7A8(>FVWcD}wS{Lg*T zd5<1G?K1O4%xP&b0?z}n&4Pnx?cFM;gDPx)!L9Ch0gc6P=shvJSw49}vII+hG00$$ z`f;o`*6eFC2fw-1Z6q#M%;HpwY3@N@d&Xc(xh_q8HQasqPEA?gy?%Y*x6_0=fm|-M zt{Nj?xt8C#K0PKt^7&Iys7q_x1VgSB>R&Jo3VnF_5DJ(S)F z@2&{Cd^F@9#r}L}Iv`Y!3jn@PcSUg1mxq1C`Wd%g4d}gq)+)9N?Nb$BL_5ipmDu2F zzOJa7&PwwwC8Q23&#hJOo!k;&e*jF3tt0I_aBg=j$Fc$M0gI=UWwVD z+<#9z+YhFs`(6X1t49vMzU!I3zT80z)vc^CR57~9s#Cyy7b7^4qd4KjH*TKwt0cmyB^e_OupwZeC?aS_2C_F z#9FBFUfR=}DjID2osG9tc?rlDs4c!LQ(KqpUSW~GGpH&d*O3k{YNO4_P`Iz!p9~+h z>MG-38sjOyp7(4*7A1;;)%};Lu)u0Pj#0eS-sWVSkZDVs-VOw&OXivgVkEBEi>2%Q zep{b)q@I1cZE8uDKY9=$9vZ7OrSK%C@;SD)6&^>-Xd=a-=%ITi?&H zj~l)OYs7N4QdgiEkxeo1iVD*miqq<~m z1?6V5c?rSF@;iT-2s!F%N`2Z{vLGHXa{T_I%0mJ8K1k4_9hc>} zhSzEPpVpA}`mNVT3gR*hEfwhw3^P-dDSk&pflLaj(hP7KOHKeL&6;aaPKfK&>aI0N zCQhiZceGJSK!g{5t2NJzZsBQs`ed3#B^nHu2B+ktKCOz5>5(6XD~gV{=LG~P6DKH) zzs9UUCz9-tWgbzKIl=XkcKhknZm}crYSOGtQAP*zMXKF2aRsRfBu5o{y{Co%Yr(wV zLjbNoUqwUQ()^MW6RABt3Lyw?rI&|L1r@>NzIWzXa0??bvOpc+Y^}vuqeW~|>(ofU z5iW<`*vqfk_ULtb8*{L}RBD?Q4@6~(F!;zy*U5*WiF^hWN2E{guXEeu!OTVrseqw& zOz2i6RB7^L@KJyZ*;hYix(JQG>E)5^hO%VcpPuylt4ylX9ftbeN#ot1mzdE?1aoD7 zyQ(oRUpTvT$l?PWiXK$*8EJi_zzV!NhZiWUfGzeJ%Dk&O$ zLH$yY+7Q#oj2w*xZ41H{nmAphc*X-Cg~?;!LeJ5p!?9NUo^VgnvG$oNNDU6bfq|jd zr2`scrFKmjcn;F#7%rH7hL8*az`68!1AM6m%8axTSc=vh?;RXm%U2T?wyz5cJc9AMoR#1#BSzkxMm1M6NI~hF~NkTdZowQphhd zGGdG({O_s=VO+LS=#Q2%hYm#jIlCDhZjOI>)nHT>vGXvU3La*hr^Ixd^M-_wKZ_Ve z@4jVC;IH89%i}~#taFr3^Z1+fMusp2 zf5Jgvi$G#v3Be7aR46SCe@ZVdOEqv{?6SdKZqmBE?mu+^Ds$jIZjvL`IE=%^2_?GTD`SUmZw2OAaiw4B} z13Sf7>~cCbWD~$usE*`Xj2SfKIq`=L`uioUbrhFb-pqh}$Yy2GX5PziEFFaWq8I7Q zh(+<8t+2s=xK_<}dckwG*V3MKh`_?Gu^m0v5-JnpS7Wc^zrKq1wZ{*t)Ou!`}|x zTW4`2Bq-WF>^?AphBAPb>4Zy@HH+~!PlTZfqb_d_8gtFu>o+`!r6c;UCP%$z2Dq;y z_8eR2$2F%8weQN|!FZ_mGHG0Rg2KiF5(!(o5(_4bpq) z9YP3!1X4cV_xur_*gjITclP_| z*=l?1AIyy#lrH}(Zq$?gpJZ|SKN)oM=sQKbrCI|C`1o`!9Bu`*71U>v$lt6#dT~qj zF8^D4dOpfW{CuC@3&{Pc4`fOO0Poknf2sEJ?bCNJRo}jSc{3Clmu5?ZA&*S2e<_Dv zC|oaxlF23GmRqDl#}4XCjzWwp%^F-ns&z=l%EqBx9)a#%o>Qpb&gIK&^m8-a%6>|o zJY(sz22r5+8lQzzB6G{UcZaIpe+;sY{vz~G4~$5LM2(@6A2bC<=8>OzSFdt1FtFzw zYZ-UF%{~ab$Iz;zmdrwag4=JTq91t3`RaYuJJe@Z=&(8iQOsctCu~xhRDpOxZu&;p{U4hfJs>6oaz5vPuWyge; ze#725sw3dp%nc^aN4~#oE`?-*ZuZfAwfkH6k?t=Qq58J9$##2`h~sVLlVUP>X6vh< zgvlPgnN<;-#^R5s2c)FLm;zRGH+Q$pVYn$oOY3WQl0Ct;e0|E`!<-R`u|Vh``?2wB z`s1Dj9`_(S(+v$IVV+}a-Oxr#04X$_3;L3s^@#M`*-)&J^3kI`2G8Wz_R}aHd zw$3q1ON=#_=s}z(_%O~B^#$p!@WP&LX6M~zwbba4w-whz1h&|L9dhB&G93-mq&2OP z#Y6j=rRw}QIIiWo-Fagw)#)sn)kbF~sVwBXde1_Z9QpgLc{_2g-`PjXiayghyt5=D zsemaxHIZxmpzrj9k8`2n-mZiuoYl;eZl5hPR4tgQQsLBD|e*UjewVfJ`t z>GIdBhbw?H*|0``@Xt*OzuCX}SE+byklRb{P0vuS_}BJ-E+m&if54jLO6jotmo!#+44(#>0|JscnJ*2vcL+8CRVURbT4=9_kg3tpjb&huKmC%;R$e2a=t&;SW+-KwO>Ru(73gFR{Wg7m zukrX?=g%*%$4ahlp|GZ7ordW8-)SyyZJV?8j61s|r8AwhGC3qMfADw?KA@0A}%rgo=BJuw(Ofn|INQYHN5T=^w2yl{hw zoS7lriHTW0i+WY;NS0evTmHUHGB2Ce)bz`;=}*5Y*QdT9pm)Vf16(? zjylk3mGmpo$Jb12Xu?sRFJ&_ER(=(K^_8@APg9EgG@a|XoVk5z`qve`|^08L2P>QOGnLKPEOnL%gYpi&8$wX^E@ zEt|go&W5zD5bj@hqiB##n4yt4)1b2G=7AQbSgcmsQmHFmhI{L1cRh^ zev^+6+#+s$(>ohnEdzZ}EeKB`5g+ZYP4)bz<~4d^C7WdW;HS{e>MfVqn3s}2@qqQG z^y7Dg>GXrY4igNm${7ZNXV$U}bUXJ-*tojX><=8{)2i!2ml_`Gv5&LWhG41oqN9b=8v$T1J_(RxaB@;3mD>GJs9qx9RP z`Ea!xzwvg3x(4woH03juq=OyL=Zz0S*@?Ra$+(y@RZNdU@jApcf|R0Vk>ok{g#lE@ z_NQ{FB+#p+^^vNNtE~`!xMx$M_MbJ9B_@k~;Uj{dDDF!%RK_^H7UG6mVy}Z%E65;> z^E0b6Utoi=#H~dtzCF?k?S+qI|EaYIs50Qr;IELDK7YnFXOhbR?P+RsrHD|!c>Wm4 zg6!c(L^99$ODuyq&|-?U3zqncx0*Ef96QDOzIwnc-_FN%eaOA-%Ll|;i?Q`EIJ zA*X+!F%NSahdv%g&Z2~PD~H-R8oRQ1-9S~Lc3hAOY;(amHFghocflzsxMw$heD!Rl zeA3EGG*~5xk;dsasqAbKJMWm2S0J9Cp>KSZLsQ!^DIMckBX&`+*_-BHT+s|R+K29c zR#_E8(Xz!Nu{aOYwBVJq82%3=#U!R!?1KAw zRZ+=3NQRt*#gS@t)0*xPj27E=E==}~2;${t9d+4j1n{&B@9aqC70M2M5AK8m&#m%X z_-b8esN9g{YF5_EyC_sX5#5e$s@2f2gxsG2qDW?0Mgz_JQ;_id6Q{h|xUw3mZEo2L z)|oY%=%@6IL6p-ZJ+IJqP6Dd_t-u92HpL!|p|`u=IUlJp*b=#L2O8gEp9IgHD4|2U z!~y=}-nb1K=dO-N*Q}ev_U84Imy*6uzVDSQ>I2xJ`?=Wwo}q+e8EP!Zuz6rmYt8<7 zBLiz^jhzU^I#LMa*X^-xN`)U=HJ20VJ{{V3Cdz@}6rhM*>_p>1v2VF;7z7wR8nNb-152sttAGS45S7!ri$22k+xIb1-)h>KOE-9PeS?mLFzNROW#}@ zx3_u}8mQ-kwz6mTa4JsKyL6t~T!^EBu}v}x*|%Y{XM^z=?8AQ2{oT|3jNh=2UnZ?j zpERJW`Iv4>65C{|a$H1%_7)c><>i9<`B4#2#HykuXguo%H(D@YfAM4`hqP|GYz#?;q(G5F?cIi5a9S{Qz@j&kn z%i+L4NgKG<2hZ_$V)u~N-ppi(-pa57kb0Uc7NU1vJI5HTqb{FDEGZq=biH8X09jVJ zuaPx{Dn@HlawB6x2j(RGfi>i$z;p8hV0G!Pom9KU4lttP=^x4jlPVVL$tt~Cio7Efr9>=n87(JD!Rfdr&(bl#}W>#n6%I;j{OfS z1hG$^M7?{}yXwzJw0JEI=kCj0a0|BM=bQ-i$1cdW$v)l7cz|`QTD@?BV9Jk3d72|L0A!=*s3AEJyb>Ma)b^KFH5~9srA}`MPIvSEF2x1~AzTuv|83IS z@>Q=0Q`9;_j7X0B1S=;aa_6dC3fc+9u9*(jr!~cP%C-noOA!&n>(U6b644lvU`&$k znnC0Gk}_*hxKj%aBqx$2fp^#Fo2!4EM*1S>kfW0HkRIar2?(kgfW=DT@JSX*7d{U0 zuQyT%{zhkwbM>2D8&iqr9m+-?1c0d!|`PDrBeQP$UHhwn&)w?=w#TkthVC_U&FU^H} zUUMwb6@m0&!77c_Xi%nr?v7F^T}>|t?rD^zfh-z z0AdhPut64hKAw*CD{QdIKOmW?6yr%m7QhBgV01I2A>Ok~>?mC?o-|F53kA(0Z1B)0 zxl(KghtIvxIhF|4t=-b5Q-wYhuJWL-9wqtjs@LF#&%HBy=zwd`Nz_tm@SSJNHVtQW<1%hOMzHSFh|zp__D_3u*};U9k#8P%F<2;?x)4Ek_LKnER(BaeoD12duqi3`q{WXA}r5shEtk z{lA)Tp8O~Y{vfgPeP3xmt=A?xh8CpvVV!Ili`VnVnnUPelFVH|!%#)K-L*c2Lm}*S z{CMWiBC9_MwMoM4%hjcpr(c~9JxxF#Y7VOskh>6bATSMj3_74Gm-gJfVwu;T&b#nr z3Gz@@tEw!8yKb8EKTFizPH*>&Gfo3C@$b5w+&ml`V7KRPLN@RAMe(Zl07sBOsjZlkqGIy{|cjn}Z*sya{MAmNiT#s_a*836GZ*sm& zahHwDujsfhU(4?F4sae0&}sB(%nIVO*q2T_0PeX~>!(Wt@z^MDE&Nq*QgFIA6-QPc zAoQshjA7}6=O!f1i|dJs^_ORB-(u-E;+ijfXow9D22nFq=%;-HT#B)H`agKH(-t~R;fmk}-3|6yc^ z5hDQvTOVcU+pBGbI_J2Hk@@PP9L$J9xjF28J!Yh#obG^Sr+qo-A^9coH~3{0rY*^L zRin|r?@CRIeRKS(AKsfs7jl5>PbL;A63*-dQpndv?Ha4n<}2trote70a)PNiA!UD*?V)VroY;+?}Mh<}FU2q<^r9zNWGPQxFV%sY7ZH$172Z*WYugcyd7SJ`+~ zm-aLJj8!2j5-nxnL7;kSJZcdW{CD+XfVYa&!+;@*3Lip0ZsofT%OS?{uh^`5ef+zT zex6#Dr?g>^aL>(=%M4VkLoC4$Y+x?XJU2CvF#vuI9H{d9(B;0ofM3H zyx7>#hmH|r(x)U7a$3mFFAfN{2*2)Iv8DjpP>!JkiGmflbd zy{IF7zKM~6dywZ+l!5c=s>IJB3#J(1m-w$Y`yLthktSaTg}CsqotZF*F`zuAMx@n? zcI)Q;lYRpe zjKRx!CsYm8LjHDKDWceMlCque9dTHVg}%J`OzusZnf{n_hF4-}(W+7QcF+=LfenxR4!uwQQo8F|1R}Oz!UMnErMxU-p zQSoeSJyd(T_JDbE(zV!NGEaSD0BG(ETsEhEZzM;Akme*Hng3j;5B(~-{2HuSEPlwiAtvQ_a+}b9jqJ}k?mbneV z`DZl~rq<^Z%@&n%k+I|2J*vO%KP*_$Dt$PEQbbO(cEuZkA+hLrjx2N|H%AvRg@=7& zTjXt(1%+$|Ds%|@QjbAx`+koGOHgkJ16ZG2-FeaMQ0V@jd2O^IIQTztcj2sA?RxUdZyq?gS0Iyz5VLr+-dFU++oiX| zk)+jOMF%0SvQazK*6!WGD7kzqj35v3^-#k2l5*am;PVB zoMuBz1xFE=c59iOy_}cnKxl;Q>)*QZoSI>+2ibEKG}F$C7v*t%~+P{A!Ua$Vf@H^yQf4arfpN-;~lVz-9!|E z{V;{H;8_A|E?aHYg;50|h$EsT9m4yinw7ygpd%K_!&L|DY0R|)FKuL!P7||)Y!!br zeS>VPb1HwC_tVoxy7#|F=H0@_43k~t$)Wp9%MDlcm5WU7(EU6Ro5w4a-wlL+y#n6e z3>kPi$l<+3w52@q9#$b_(EcElZKk*^2ILN#YqVdT1>8MLeBfENnmPLULaEh4$dW(j zOYQbztbb@b5ATMNzK9=wSRX)raL5ov^sST$^ArV@T1V{Cd$w1D!RQba?BP%Bi;P~FlNq_#){>=j|x{emJ|KQ^aK0{}`iAvs%z^2C|s)*ofr5BmA z>O(s$o_N84G+7#96u`R1s|NLO@>B;Bh$1pyee96&lw;rS_)>n`8 z^BneQ^SpS&QdHn7NDp_N@^@RFVjJ+pHZ`Op!GK?=I_~=c`s``1Us?L7ctC~NEZh`tF`3==O)M8o?1vJoXz1mnJRR6$4 zc#4!fZr*6$`A74Y>(AVBlC$&adv`-+_Gdw#RE_gOjZQ5fey*Mml;m9ILh>hTY|-7qSy zqO^4gvwSi#9i?Pr5)7^ix>LvJbr(w;jvmste=WO(jviXAP?Oy(jyQ55w=xyBAtOH% zpi5D%R=W^r9U{JqUr7e|X1b|+`~ZShy=$zNAG4p?{qr%aBh+=V?P`3f?oA$A==Jdj z{Lb>Kesd!3`fjob_pAmU zS7-W|CoIb`H5YnshtSXF-6%!obE!6xXz=$xZMEc8Ow=3&-{HdFf%+NXeW`{z!F&_k zQV*=r=(8g~U#Fype*2SYxWPb+b~-og9XjO0tWD;e(YMiVYqxzUStMC}ly48P-&%0L zENOy3{dF1iE!|4`nTp1<8k$m`r3(GTM@CPNMZQ69_!q|Y56XuoPW!J6et$4}Z{UPJ zv;S||mEtgzh0giSJIB5nS`NM>Ix{-*2A=4zJzsR2YO`lLYbOWE*)J_?5>jaEB}_sp zP@8E5`G5zbD9WXey!3!!N%f5pG5-8Y9U7^5tX zpWm)>$dATkO*+Ze)u-xyeyg22yCa*xarL@7Hgb)|yq|}04iJ@-)2zbExvdQU2`WjT z%7aR4ygm%!#A(wV{fu@d@pw0WkK0Y$nG=+b@iMhJRonT(ZyIYP1(ROd1X{{Gjg(S% z1getj?QEfq9j0tE2i%X#F08*ws0W^2UqrAZ(5U93ug%Tz;~r(mOIN?t6F-u5Gy_ew zv16o|*;Tumc+hy&fy|^`U-(O#B~kWAPHJM7ZjK)l8_Y+0At2MR@}qIei^Qsp#Frk&AEwr7Z4t=w zdcS8k2}x(a-_oCZGLG-6FKtWJ<_%ka{8VYA#^GP@1t7)Q(P$M#5A1GExskaQhM;O7(66c34ew*-iloP z$6kJ1&tBg9Tl&+yg)8-Sh{tP(90BwBsc-#+*TW@!KZ~td_t=yv{vzJcv=oHYc}+zT zWX3h~e6&yx8LiL7MChz>vja^A_p6}YN~4qG}{P)zj$;9?)kmdxpsLwV%*Ig zZZkQE_Se#ph6}fRfsWmu*7?hb`J+19=)_QES*?46ehjBtTN~ZJPH#q&;y8c5ALnVi zUuKlim3!{C?PrbtbhCt5!V){M{uTq_V6i0 zbzjFeQSlc8?|)8%dsDHKz4)~~jo;sYpqy3rm+SS0G-|?lffZM=lb(5*epNKr&kFnq z-(HJ;*$ZA_b#6`^KVn6PJSj|1_9&`(C}mHaeoVk+Q9hC0;Ch>48Ib!mAQ}9lXg5Hh z+ArdPtn-Dsq~!PFd(fKanub&{Q0ARTIFhzx3cf)qvX@962ShiGrhEEjf&L<;7OP`{jJp-IqEM z0UsQgFdfllREu7g%s+Jtrybr0W#uaYo3@V%YZ)iEk5Us1I=Gbri&RWOnMBj^fN?P= zr}7yA>YUv~!TnxaTbsh?uAzrD$d7_+jFaTNw2^6dFYu5fi*DZGvnZXMWmXPUh*hL((BH zoF3a?UO1(h!(W*M6J8S@N2O6@zqS1I>2P*p(x^So0UdI8jm@M+UKcgQ_l1pVxzO)r zZ8hz4ihdih`>UG=4^AW&2TU>aEKE2Q+~AW!1?`xZoKWh2XZhgp_l`3>^#LP#H{D;N z3~l4OoH+|A6~RmHjgen?)Z;aXkvSoMk{O;wRm4Seb`!N3^$TytP0!&#@j`T=8G zA`@f%_g_6Tx?FX{C54H8)#l+JJ0Vv=BdVz8d%ldXKL2RUyo+|@eUeyCO&h_f_uN1E zJk*IH<5zj+-9i>imoj(M{NK-KD4Xc+4=H?4uD_n$uiX>>iSs|HH~^@c*42b~QJ8|! zL^)LL81Nl~i^Y0p+vnI~%~l|VCRlHCx|(V&{W+hg`btHFAo7Ls_q6~%+zRg{sl&l> zmYjE3ib5=ND&Xt2w^hQy6Xy^L&BJERnJf7HMzc}l*`+|oB!-K2b=t|wooiiwtJ=L-e&^}HBxJ~KUYs1m0Q0F z&5H1hv=nV$cF0Hy*bC&g@vKzyCHBNCHl({HTgewZ^`L#vJ@t}EMb-rE5KK8gq5U=+ z_%|VH2E;}_{@-7a-hy|>b;}20bkdH2pO=#cnv|~P$Sd;Y_mB$MxCC%oqc$sBZe6Uy zo$8r-q$Hn9dK$CSgZr8A$TNXuIDPB1Yw*b~{tkEJeHGJWPL+bE+~YV`-o--4Ufde( zH^0V*Pso4uYzXJ}F1!qtAH>Z7$0@WpZnyrhcrTw)zw@2A1!|yuB|lYeC>Sb^pvQdI z<~J7@dH#w*yjSDFI$mTOERR%_&mGYowXM}R)Ouh`w?BcBjo!m5PfJduhkn5qmp6KK zB4g`7^1IasdpSD`UaK_`MI^uV(W(-vT`yWieR)J-Lh5RVg*-(2X+_7tXT?7&R8bRw z-e#AUiI@8qotJ2qD0$5JP{>uIxq8ZW2NVV_Xu4IuN;0R=WLH4?#=y?N&A%kST|&gY z?=MdULreWckg%%$>-!~KmxE=OB#l>YP028j*C&Zd0sDkacwsi(mvrgfu%U|J*YVl+ z*-|XB%3GiY(%g@8=-!}9ewJ9)zt*kNGg$@M{WI@OOS8IwXEBNbW(l9k9&mjFz${7z zWSzhQE-f*KXz>tH#B>3FWXanq)e*S7C^uqG3Kk&F`D1&oAl)}N-TKrl@9#gm!tuT6 zbZCItS+@VV16WHX;A6UVoY~3AZ+!cle$t$SllWcTLW`^m`MI>hbX>E2{KV`gG|^1u zuXAwc+}W=OqCBI{P7=S*MV96KN0rC!gdR;1)!>EXA3`*z&v`t400vw`0^&>VXB)Rj z&73d(+^@S6`t-M_C6ysiW3Ohclto?lSe?r*%)D9%?muO>Uv;x5H=0~qhEVlxZOVb# zvB|Zy={`Q^{nH@i=TGl{UjFVSrYQ0Z8FJ`A|B{_khQB-1w?rrxRL!&dt=N668q|I_ z!5pr&cNI`tafc~RvO31W?gAg>dwHkr@?CdC)%s5uyYz#d!QYYJYt@U$UkAy5YP!gV zyIzEdr|k(oW@==ScU6VfyxL?8E3-w+RxcOi0-qe6(?{97V`>a^eHXEnLK!+1+e4e; z!2X%uI$@@GoiPbCtD{i2^Q`ap!o?(ge}2IIQsmTuR?vM45?{k-bIF_D1myaYp2f=0 z)!Thp((FBp{mP*n8$n^t>uxLeTrvFD@1Rfh6Cb{1i#JGZbZz_Hm}jn3@az`9)>5&h zS&n;5g7xC~@#Lag=oz+n-@|x`wF{wQ3LE2wJzKeUNtu;}9w~sGTMcHPd>Q)J-r;tG@O?ye7cA0 z8D!2~OBzoVo$39X$@%VMM=!o!^)<^p73isG-drR041Z4kswO}Wx`*2fe_ZtTYup+Z z!|>(qh~Pra*6OWJ!+Y2hOgBSeC>wJ)eW$UzK>1kW6vIGsv2%w~veEIhDiudvd56Kh z)2_(}Ggd{7B+d+%vp2>K4;T4D$jpsG)7^p!RY|(S_|oAHHLQ17+PbK4QoweKALw%; ziN75a8Tf73q#_da!D@Z|Wx1vhA+7t>LhtOnKz$3J`!^D3=#TmnM(z&_<9& z{Sn9%_e3oQxLofPg&g}RbWaz!867k6fOQV`GuQ^W%6!auAXZj9jiavS`f8VdUijpF!WgXZGeF;S8b9g zOnCEq=&&nfsLOql!?FS0SDWG@!gnF7%vwAanpwyrRqK{+RkFDh**kE*SuDlqm?No* zZGB3!0j7BbEne&>&NdmDzRp;jHCU?DkH|4>Eth*a)462SKqy}Hsq2;rK*h1 zYiJ79E!A8gEIuo9oKE%nQ$^mU#jkA1s8pbFqu2Asfo7xRcX4uHeq5Qom({t3ghQJP zgNejL@mp%oi&meVh!Isi-k5qFsFxkKH{6pljIU()dGfr^J9;@6`?tR8js^&On8kLk zK9`|>2%NUn+iV`fJT=uDk(9XGsHv9(uUi*)E6i7?HV%5TCf{)I$X?lkUhq^R?*{G6 zV%N!CKS8GMBYR#BW=@aH|dsAIzNBB&P`Q0}Xm_A+i4}Ki+IyJ}) zVTw48!EDAUeR3vy-xJZm_k6=j_5z!`ZY&J%x9Uu7%#fiJ(YIF%>?R$;eHp?-{o`4m zl@2|A=6*;~Xy%qyqYxCp@K|>9o%0`z0!?tpmzoDs>NXCpceh6;(E(AP%~aLWQ$zC% z9MTg+tyT(tzMUD9;$PG%bjkKd3_Xi4>rtHCOE0b zB@HxgZXpg$Bp=4S6MMx^L}PD79g?>qtU>j6piTLShdNkLV zjRdf*a_QI&<6hwLh8ab`ia+7a6>L8y8_+AmZ9Ld!OS0W>$Vt0!Nf#P6+k~Jv`#KtxuN+hHi>V+KbQHd#_`BpkZ#JYO->pAeQqCPFgx59)f zI5eDLp~R|_iYR~=ri~JmmSs^>SrJ zrgxMjT}R_V&u1>wE*2~{E+XgUocIoD!v+>c7MmMZE7R1De?lbs*9pzabN!WLWSZ8O zm8~OR5W~MIFH8_n1;qCIw`aGv_0ow38Nijm?RK*mqgDeU7Y#y0n?k#&%G^~w_v8Fo zNxj)CUl6$BCj;P;FyvoBC1M3Ld%h}?B#$tZ5H^*+R%DYd`_pw}rTY{NYufkKT!#Lt zI833XQ10zKSMmQ&oyUALsnlQ4PS}tfRJE_ldPi;p<5ScT{BAr(7e+HTg*u<<;cmWS zqY@|ZK98dqf*np@-$s8Tm1=NTPx-9)y9N@1HT$+rza5%YL4!c{r-J0zIgiFhm{+TI zRK@i{Nd6Tzeq#7?xN7IRIl5i-msF$6)lW=nA6}Oj8u*z(CotM9LWw%^=tsxVE&d*ya7!BXr+pmTN+gWrt z&KX8+I+f;B&#r+vTO=kWE>{{&HDCR*DkCZyN%{nR@I?DE$I1}-Gfp9KT}$WH&vego zpSGR(%z1D23>01h2v}D+D-a|YG{prXc=Tp+db%@rlkTsdZ~Om!dNqe`sq`lqOA7xF z;qZag6)&py6kF?$-ap8&Aoa{%ZtfpwTr4WhyAl3_U4x$*3C(#&l!8_tsn~rR>V-3| z_r`1VO{?Ng>z18GL9C2m;Lfq`aY!2WRP=4$hG+fAjvN-GhMh_qbEKoOb(8`W zAb%;mraGe>x?$jNPaK4QeM|kmwhG82He&HcF4YK%5D;W_Y=lZ=!&jV$KcVV&|E04^ zET4Q_8|Z`IytHf^P{A5?K&Y&E7W4^3X=SH9=;hC62K`HI^{2RTsbkBH@ZH8no2THrw=pIfoa+_0ZlZ7&+b~(2|CV(5avMu0l=~dj zCrnOgg)0(K^RwXZ$Kz+_4+Xwi8L~}_n96(m*SeXN<6~Yd$#xD5S_|86SE&T$5Wl@E z=@E8l2{}IVE~d+M+&o}D`>W>C_I4q!x5ygb`FF>Bjs3Ywik!8Pl_p-g9DoM-?3%v6 zLiNkIak4=4vzFvau)C^&Q;Vw09KG+(jF+iyfo)2%m(m0AB9tvEcA3n1G25LtwKfQWiKUXTM5+0#_ajnx!(OEJ|RxGF9 z(&^6RKr+%GX#K6$AA#?JiJ;6w7GzW5jDX!i?{!7T2)IG4N%3E;{nQ!9?_X9BufEM8 zMmQ}=Lw~36a7B#L_N5@Y_WK3Fs}q(h`ah3(h3y1^zo8YRH(tqf$Z{t-0cq_5V2`ZI+gSkfb~CB+?9iQc+lfcK_i%2^CEYYb_@~+ z&~g7&zuy^unW|pt zD@FqaVqez6Ar4RwMUtUKpb=G3Bp_$!E)elJqazh*O#-Ly0_vjx+|=Q8LuiEHhBPdg zX!0Bchfu6@7h=M~(mEjvko4t4`~pSK^}M}xU|R1b9yiZIj2S>*&ee1fd#HW7FRxn< zIY}}O?bn2%472myt5uV&5u6Km7{pfDaKO-i+6UT!$lEXxRWmZ^KO|K>ASe^}u7Kn1 zE{YOJQ)Qu2c~Dwe&J1JQ;%s-$T$lgEU|Q2ilB)_R&Y)9xNh3+b*NKhJb+ht(bS;Qc zueS2+>VRx#eK_ZnuE|cb$a0>q7HVnDpXdNtF&b=GX3eu3!li^6(yoqqzKLea6DUf* zLV&V+j$K2$2;fj0Qyz%`+JG)ypeeb36Ut^yPkMn3xV}TuxX_UkgtHgEq@s6He)u=@ z%7L+eLVvrA17Hy&9s?$H)^`hP%)xSF)XZK$HPy(;f0AE%pCATLH~sQIG(Q- zpf`LA_ixXrZ$v&dqw*ySNS8Hfw~`0Fnhmx@{Z5x(M>n|k1Stj5d`_YGjm^R(Cbgas zQG18+Urved#CcKx(Dtx@3DQ)k!Jm)A6>-8k+1A!Ubm1s@jo|YBA?1~@p_O8U=QIVe zHMxL3rw87LQp#R%@~YC*CFkfo#Rs-;{72vufM26ET{f+RkYzeDkSlcGnL^T}ofQPl zvu!v_Qah{NKfvsQc~GP1BLhBpMyYi5@~RFaG>`Z%H4{s^4lN=un@ld~m*(vT3y(_n@I^65x>O-$Hf+uq2(bP4BcL-eJ_X=9JLt6G-`Bj=0ScD!d zdS2snm{o5+*NM=&yfVa+nOIYcf3KaTb0xe9d0)xB6&}bd33u5T)9-~ZNbVlmI)nNxl&*w21hU6S3=343ygv(GbqwA%PK@g)D$ zpHmOqE?Lzt&&Ta4eVYWHb}q-kwA+={uNXZw_))T^aC(AOr)d#(E9`tSbRAYrEulcz zu7)X?hMi2VM}9!kf9}}T0v4hOt`fsygpq$=&bN*{JWbW~fa}Fp2dU%^lAdMtsKuIYUR9-3NS`b?+dRSH2%9SM;y;-j=J@ zvG0`P(lnh;LN=p9Jw`wcSTPr5nM|lQfRP0KwP21>ue2^%#JgQO{Y6xZv$rDBnmaBy zBV_uk^nvy9xR|5Mrlc~A$wE>=_9S5&Lz-Z#fcmp((u5+IbIGXms#5ht+e^n!=EHlcs(n$##WK?>+BX>esm=w1;- zD+ag@j&6*%;z~GJ=1@ zg>hu0vb8C{uiqoZlM+L`Dgiezzz=qNzO8j6J!Xj|h`t;sEsE3p;nE4ismY&C7FB@F zob~n(6Y6My+yv(MgX_l%tJ7o4#e1~(VD93}Oo_0758=qvuKkSt9>mB{f)v%-telkx ztzP?03iwoC!yWk+t$A0FJ|SFO^E{HoTL25<9%Q{qGTrKUx7~;ejQecf*?j|vQ~<5D z(?#D4nh#7^?e9fa?>aniJSEgZc@1kj_$^~Tjk4J# zJTTuA8r+;MtgM`SEwvZxenEJLb$dKdg?e{zVsnGy@?Lk+SQp|k_Ag~F@wv9wiEcDiHYqj0pHI|rUP92 z1h_8VvQLi=2ISqbc*L1Oa6n`Xh~){863^}hTGGg0hjZ&q1@1|on%pH~ZtGc$G2rJO zV#)51TyJH>)>2RtGj8iyuNrtkzSD(uLB-?|*{Pg75Aob}hhR#MFt7l2fRdvP{1iJ& zOJtnck3dp&7HWmUX<;4u5|KD0S26K?GZC>_NEh76+oX51$v+MkgS|;&=#F@MMPZ@# z&hCS>yu{%(6D@bB$;9UF+ML#d!wm;L$0DH;YFe5>S(1ct4R;pQ``PIW?z*{{mT=?^ zvg=R1G2)0N4RUutTsrFBU8L*0GB}>(e>H_uHzWJAjPecyPP5Q3uqMh z0=w2j%^eIH7_s`)&0+>S$xN!e9aH;?y9aL@~QolA!P&XZPivM2#|C@$&yUt3*EVzR7UQd8({CP1{nM z{^*mD!cM`h9p#t4JFMdhju~pjVziwq&w!9gRBAqr{2$c&Q_Jf+frA~&5ga(7HMOi9 zjy-7(yBno;6N+#0nY#Q}Gvm^J-m8H=RCP!Zv-@dPwv&I1e=_%S^U7Hz8GN3s%QC8v zoGt^_tP0EO_S%*;O1{n*a3JcG|K$lhRxmW>z5kN;!p0jHm#P&_-^%yhXWj;n11JBO zE1t?+rqe=PcPeXdp3XjbU^xO{xorQl+B9(yeVN}G^ZO>bKexESRMAFv{)=WNQt*#| znBow(jW$OdI`(h4AnwHmIL+`P`ecbgV|?-UJNmjGX7hFZpyqrd|BUXv<-7m#syK6w zXYAChUxZg1U!cjU=+V40Iyt|e1~io2;iud@5J-6JwerHAIqDaF}&8v(8=vi(8j90f8%N}~nr*!nM-BImWntwP* zF5y%$8rCruCLe1%PQHw8k=lDR8B2xfEAr^p77i)?kt%LAz`wcuiX6@WHPR%s6J_OC zN3GdDe`2a);#Hhzd!wPL#Jywn(DuPZX0SvcOR3}QiKExZ{zrS4R!@_E^3lijCl=g) zY$uW#FYNPWko`A!?a$rVV^%FX!5T7}6S1@$YBu!s1uInwy4<|b8f@p(o7qjbNdRIfmo5jTAH6# zVZ5R<#ApMW_-oG7iE-1e6TXF80@i~(yl3=x3YOhfrxT&KWOfwL5!>M~vCj#06%U^SexzFG=hx80!Rf7~F&9Oc<6e*K^OZzX+R??p^n z$~w--IWoV(0{gx@8Bt4ImJO@--G05%qi^kEx3FlrKzFEr-B_9^wb$P3yS?pmbIOTk zZ0uaXS$yJ#kl;W3qoG^(93LWPR-YyK7$%$)jBJ62UiN%1fyPW172+)>(KBroYv`7oqTEP$FB?Wi>7WW$Je) zEFsMKo}L`V3upQ6ryfkc)(JnyKW$mweD?b}J27Y~s!p$Xk8x9dz|l0D6Rc@Hw;G!{ zEz8QJit4tjc|{%xFV}b*?>nT~%!T9o9_rck>VAOaqb`{Te(ir;!&zizWXbl0qNyYP zrjjR?q-JQ{56<_!<5Xz-J}qyAt0921vgW?V?U<6(#OK3r-#v@;v)qaOVANbXo7%(m z_Vz+?du<(4fJ`w`YCQHu3eLXfRT$jVC!L>`{eGOow}}rU6^go{kxN1wI&JZCsis-Q zJ))_0bSAWwa9iWtgt}6JQOI)R>nr@qQ-5vj-nklw2#a}z!H1Ta9>6!oHJxt-+LdVf zR{z@3N=dtHj}c*!+v+quM&xnx(&1O&)$pKG$5pI8pheG^mo}Ke7T)p7&^{F)F7|=^ z{GCS*RfkIHAYwzu?$gPaFKFZ?uYtz8eczYAhR?e_JvQ*d@;u_Vc$C8LU|5 zfGZjx=BKEBESlCNs8vr5y)>dBDOM(CQ-9=A$^*KZrh_Z?>8V~W(vUl=Tw4QE5oQ@P zMD$%mu0g9D*5Z}xX1$%JjooFH%``vF6mxa1*uJUmV;WZ8aFLt@NyYg(ehYjQw!G>_ zCfc)mX4PFWwQv69IjTHRb^rSJ;1`+SNK32|isSl;EyiqrhHH~lyS0x$4@~WofW9z7& z_^~cjms+J*u1rl#$kXbLp>_6+)F%uNriN>uH~hx-(%0)&8CePcPCwlfrShE^RHCGq zmoU6hl{8(YNNP&@X!bmAA_%#}ukQ%F$dj9IRbg|C)i{2t)~KGb%z7uq%!;*`ye8Oi zf?BFPMp?0DW0IxcE{UvX=ME+5{`z=azqj1yzZTizq^-%%Txcj`nlzgb)>0Ati>{fS zLK0Sk)Ys&#p4Ngh$2B(6j$`Kk47p>%aa>>%6jHt@SZmhA400=Z%pUgZTNmn2O>?O$x3+fXSj&51hS26^U=+6hL-y2mkz)^W_s zN#`xO08HuUjf%>&OYfnoEBMW@hfe6rXrQD*-ERF&oe~#QeFdJ&FPh7TSdxysdw`@b z>)iL5P%!(h&+xkWxJW}JmuV+~y`Z}==SCIc-SG$?SCL`py?Q=b_gmqiHf-bh8&>+} zKg4fbC8QH~YJFKq#2vogVoIpA6N7ZKvh>*9>S3(SW6*qu5BZEd}hm89w0DCo0f4pJuGD?FWe;qLXLmXoSy%%_7 zn$2UkBk9caL@-jpM57n91+2uNd?Fd*jntr#>huS-Mc#Z|AKm_tGX{v?S&no>!3s$S zFFx*iF+M-oF^pMjAA~C2Zz*cNx!cy$W{vjz=14YSuB+ycM8tX?F+nU_w0M$oZ!LC; zwKITkK9^TlMV2Mmp4AT+ zdbQW%v`|7{`g$q~i#<8nQqQ)^ODjrLt zNwQQY`pTv2H7+_IDQL*-i-pgX07uCqdda|++G!$Z$wQT9Rbnn5en0N<+-2$@l3q+~;YhG7y^6~3y8*VXWbI5D}#0wNj`dH~H$G;F!Mi~!N3A%eJZbr6S;3BDFz zSiRu}VouqzRq5W|iX5;QJig3Cx?nE=s&hyljAMMski`&`QtB)F_5-su7Oo~7#x5Bc z=uy^f-TCk7@fE58lz_c_?yqch?E{i`duTugulhx7*UJ8YfAs^?VLZleC+jNt4?LRE zY7R=Tv6}d(OY3nAG1Q)MP$B$wk>l#Im11$+iz}aNqX1a7NV73Oq&fzwh6Ow}U>%+p zyIm_cpXd=JndmSN`0051&A!gj5Dl1*e4J#H8b&j&fE+$3N>Jpw-H)b^msh#p3J)26 zNdNY8f^W_5&h$)@0_a(iy&#DLl7oe^`Yvi>fqR!_gJp|k<-lPN{3!vhIm|*B z!2VH@?6Nqf8ZTubP=EYKa~q~WK_j5 z{zrL?grst!=mwJK$7`+;jL*hZ)>DN0<;tJ=rL6@_$OBEunF zQH1q|>~Vrw+#v8(U=Ijo#Gw@V0aW53C^y#UJdqmr932d9k>4E!Fc#mYw{y_GVAg-3 zSgq@!>VzE&t$fk>lr9{lid(zIP~|T0kC4>u5hqn`Kn=@J%uS)C1vd|%$FbIF*FPKs z(No(L9|(={{)f_{B+%?dOua`5gT?l@i&or*(JaiJ0NprUsbB}yG)#vAenh&UjH=QV zzF3k+-9+{|aQj7i4!^ZZGitO_Iz4cZ2 z7^W~3?KvHF9?}@z;jBd!-2_Yb?tMxek-r!&oywixSeXFG;J^kwIyfNnn`_E^iUhZhfxO&eglR`Md0$rJ+?G$$|%c*s8->i|jw_0sHPw<<897l?PNE z^WMTW?V`RjW!zuJ<_bK_{CgbVTWk?*yVP1!i8{^kT zejLe1^kF-mqmL`uKuryOy>|q%!MLd(>%~$bD=g?$n6G!|yLg3TVo1XwsXxW#78d4> zv0Mx}dlw0em#EY<*zTx2n_wh)_^s=1=N3hfV!23xA7C7)#TY$h4dvbtZ0tDH_ZMl> z%z+vF!DSo@?t2~dYf8kMG}m>3Cv=3XT*YaK5Xgf>85_w`$^)Sb_a01H_cDh)ME;4xTm|A!w;G zkq{efwfIG!pK@h>qA~*+$;~>rajZ$0h7j}wU~8|W1QI`hU3;Y;W0fRJ9Zn`FNeA?p za4B-&J0lJ*8O=1{@RAlNjT;21j7(($2aGsdxdKRlgO;4%%(kq+$%=4`rZrv~%^ z28R8r4sl-$1$H*4>~)Tc?l>Pg+ArydB8~O7?G81*LxYJ=6!}5s)88&A;zw+ z2XiuVfJ>Y|s)m790sxJcNEfip=94Cpilz*|u$d&p&_kQ*(X{4KN(mR~-hSIn@sRbI zDSGNeCIDMILYg0v;CZ+fqCHD}2i~HhKf^+| z7Pkj*jfeQrMFHSS+f>IKe)Uq)B-tAqP?JIOAMwihvBjf9nzIm~3Ur9oG<;lu%e|~q zgnX`A#RlKrP-PIqV`D}cr2@SJ^hO@b6P;|maoE;elD-PYDrVISQ}dLA z7T5F;_aoE^*^=N+!=umx@Rx`tK2CV2S|f8D7a&4yJpW81EG|UF+6LT->rK>K&?yX zUb4^?b9d!>h%C1vp)9U}GbJ}V^IZW8Fj@L0ec^;iE*mZ?aocCF}p z_Xe#KCj2@*h*=34jh6x?keHR1g5>nx_{24!ilFt^*>~Mi=~p?v`U4O*4Dr3hFiYtN z0&9Ff+*ZsJYG%%K5lLVAF2y7FtPW$Mc)R1*zOVsEM0%WUAMW3Q4lPN9Tt!?7_w#Cs zI1ASEV!6HThXl#5X*J7g{jx#n>hn4h1ahGRXH_*3>=dUfFZY*L1VZ{BmIn}m{sXGj zj-jk)lR*{^46L1V%)jFh%xsEJ`8q_?cfvcw1c0cy3EK-tii7nv1ZI_A@(tg^V`|6} zif#y7&DH}r!hw2vg6+3s2>KRDM1drE*ab8sfZCnjTzlThFH691!2zcPh|-EH7Jd%e zf--0l7qQSCtmIzT%DMCakj+Oh@FZmTAU$Rm0nye`OcNcj18z=g&ILF7w6vz$&3X@O zUI6(uA>Y>Y&Qv2EFW+eOLKQWMuzLhLE)|&;$4gJ248)DqB+GAy4rl)&l}F6$`764y zBcKLcY5V{NRe-P{ghRc4^@4WAw>PY^lx)z{=?fNcnEakh#K-~#N48kPNv4|*lYG;W+$+o!hZ z>T}VrY~L)W%nBgK+i5-4ObFJJ45oO}BXAcG?ej@}!{gXwf;Jh1hkH+vXE*LEXd*hI zMhWl7;*Hkd3KBg0`?metpNoDZy~n~kL7yQXZjFHpAq(e0pI}PI0pX|UZ7p|_6sRx} zF4^#&1QNhQYc8pVZfUOm=D=H8nvwQ3zIMUNhCq!RW{3L)9Rr|+HxxDbiQA~N40EI$ zc2Nt3tY&-gMXhlf$$Oy?%w0K!p}-V?R)J3X^AJ8A&5RX!v|jbk`p2Ol)alRsG7f3x zL+LQRi`%(XbYZ$YKaeXzA@uQt7XN%_iikaju+{3Cmpk8;WI_#C_yb+`Vjv+Klp(A^ zdJnPNgUdH`vwCMSzs53H5PgZ;yl@YJ<+#QQ6}<9FQkQf5tH~VS8)5dW4 zGcg{%8{Ls?Jl%+x?JT_p7|5S_V4bTtZQ*igPQqab%_WUbieqgtH6)*k4U0F`=2QRQ zL;uq*XHcEp9auNSYopIQd+wLo)$9Lt=i7D}EGNb3(6PM2D}}$uyxg+;KLG{-mHqqY zA6SX5ey<`1h8gS$`yG2HL3)g~8`#=s=lRb?YX60_tpbB02aqO46f{k0!I2C1;NIr> z9FPa=+L+mANQ~mJM-3zZK2eXhQTQLhS4S^;>4IE6wC=n!OH=6FY|Xq(=Y!rF`|Zn$ zu`DbdK)AmUTN$Df_nw2)M3ip*%lNyo5m zTNF0Z5nXa=K=qk={WEh2rR?!%kjm}mJnhIXu}7Cl_by;--X?jkXq%I6P|Tfun(9RY zF&w4XncSXu2I3m-$`DAX`goXe3tN@!B1V*tgMCRF&f$@Kwkn3d)xo>E+!j-~{ByX!;z7VpNXkNVxjNT9L`MD)j*k#&F^Vas)Y~b45#p(Nj zZIe$bUN0zBGBT7X#IiuEn`k0o7riUFgOO2jE!RJT~N%?z6J zcjukK0_r7;OJ1 z4)94IEU_w2)+(F40f@L34-NWsqn&O*= z!n51W!Pu2VseEJ#T*#R3V-@ZHJwXY`_bWFK>Q^;kZ2VJ+d*XyT>ycWFvJU)Er zn%xMM(XTtn){k5I&iR|A{-%)1n<39kkjE-1xo9@X{UCtzx5^jsqo=t`C~Rh+?3W1+ z)e`FLapRem=N=@|jcq3fFWuj%AJ6BsxN2yG=e)a?F)|keMHP_^8;4R7cU7J(eAH}s zbIvEtPG8QzOFM2SlLCw}p; zF|Qz`!!t9}nb)f?`V!BZ8$D|YW)}63j>3n@P#2x?h~fxa(mm{4#wk z^U~y!j*t7CzA%xAnZ*a22zP7gC$ElF1c=oS8y5E@=0HAMVG2LtE332(C%jz0fjgNz zPgyj@%|i%l%+ll}96s@`?lRfm8{tp^qbCOW6Sc1%KV^&1+f~pm?^)=a+@7vI4*(nK z+lU+&=u5=zK7QOFe!PyHuH9v$DNT=`xEw34`BL|_bMLFHx4R;~+{xR|Qk`3l9CA;I z+-1I<2xj8_7H!YNRjaKnkoT1QzfR31MDH@%d3MR;-EN(D<XK{)eUHR4m#u$eJm%ER;)CeDG{?BflpHVXP(WE{N@ zJo1qMS}06J08JhG5OLf0h^1@IDfw%9%)^1lwno0kLoB7`x4eUHv?)uee%I;ETws_U zj*diuvsB`)yZhu6WkXms5xu_B=9SCkZy(-5v!q0anmpo7uN0ixxzooR|86^~yD|!L zPrsX6>{`bD{l3vQo1YRJ@6qS~9H7k7PmkPxa`jbpssBHRD@5f0e?E{l$^a z+k%34y?_6u(IwK#mUX^IC_l~;anH|gz3HE9oBdow)z`Kb^{91BU*DFqHfKbsspy!Wv`+jg%N4=KrJ)1(&|H$-Yqg_dUo^j7W!07><{O+%=o3=HmXELGHWXjTRrdkn_bU2P3zU_ z`)Exp^<=}D{`{7^uj=1etH>ASz;2%$3D_;C`o;G%S)~YU9}D#KXEml9!{42>L~q7p zqMu&(dXbCGzA5GMB4IUH^=*cqb5x)Q+gEoHsXsYT|C<5{ibC3i+4 zlP|Q|Dd@%Du=U2iz?<&KAg<8RNI3?`TO~4}DFK$CU2~5Ci&%2jIfjV^J2N0P*ET5c zVwac83@D(|jJL5UAAIV>Ie_#JT!4Dhufv>dOH1+%Q?U`0fLl%n5|@;9<`& zlx~0#iSvff6IQ5z3hrapi%p+B$*gC`iKrDh@X-hC!4g`-4ESv;63TnkI$V;W8IcBw zH1|8bGSC=Y-1}Q|0&FUGw)Z!~W{_ML%)1pe?aDMi||U`3y^QyYWJ&sK@jE2%9Lbu)M){ zRWes#)lGw5MOBlP)Viw71nFp=RA*I${7!y3oXO#-Y{`M2y}U_0ec`k7;~Bm#i~NFB zbP+qDuY=2&XcKHd$(sM3szH35N1=mcHl^!ajn8jmD?5MS9#GOlK*4qTpQULZLtZkG zSP)9L>=EO=078n#&#RFP(EftS`@Bo}Z%bIr)zaD%!$8oZv2DajP_V`tArAXvrEew7 z#-rZVqdmgqaT!;4cntPIdcj4JxQ^>-Sk%lIKKK{RrPkjC=^a=J(jlqd@2cC_cCY;Y zwR>@ENUjCk8bV-;yB*Y#J0oX^RrU~9=#HcT2k;&w%PNNH^~b-fsHPCg_VRP?8WWNC zFx^9>Y9HP5LxM>j5Es zc@@|3$zpo+bw0eMx9)W&#w6%Ns2?AzaMdsJWj0vQ?JyCGJJuXc4yb zuCSOt2Gn@wFHbw(B-jkm4kj^5t==WM724dAWiVv->}L9XN7iVK9WL$8~E|iOw{ewefqbn3vPAf$BPaE zW*%pEpXRH5bfCVbX~BatV6{E?f=_ z#s&6ZUNy@QH#M3ZHzOz8L(6If)5Cb)KCuyXe(0tLUk z3vk6cq`u!C9#37`&U*p%T%vOJC?q^`;vr=Q^$f+PA1Psl>UVoAi@3=BIGpvA0KU*w zu^*{2VGVPl*J2gIOh;zs+I#cU!%T0dFFqIL`wM7?n{n-@6@)|~R7LGGSxrwB?Ar<6Am7{qa(sVt`>bJN}EkB~f5e zsxe7H81wn)bQiDHLo3*=CYpH4OE2Q)C}oTh7O6pET;Hi}+nJ|Oj2nvf7jPh2{guwA zuT$iX@ZQc=r2W(UeJN}tt9T-}>X&Vh_$ZmUiivH?l^K4obuT^59sjh~x_UqLig7vF zd+aUbor&9Z{^{M6zHa$yrTr^(UI@4PP8R!?)1v^U)+oVzVA8PPjapMp!~bxuS(hO` zid~R14lL0jz#r%#@bSALpU@+(dlI>`cQuC03Ul*P@ENaw&=bSq|I+!#5)%Wux|`06 zQ{3RDe@YBrdCJcnH+02$`JZ_YBa6*7%{&Y9O(+x5gd(_z%7yW=#PGSh^cO(4!ERB{ za3=JL&9C;tghXT9+q5=v=F4jnVAU-)k(%Yw>zvoCoU%{R?Vj*4yrJAor%7u`_? zoYp7vqWJakm~WV3hV{>ikCz!-iI^S-EvkQnl(ik9Rw?W)7$Bue3x!2R1UPjrnrx2m zWhqm^ni8sw%5g&fasptE1an{0lTtB+=>R;@FdD%wAeLrZ*`E0Z^lApf?(l?y*7jJ@ z96qS13yt)28qvC~d`LtLmH-nnBB81%W31g5Ber$ z76K#(kItScIDBU4Yz!z)%q>{w?uQ2$t*uYna%Car{DUn67Gl5PGOm`OjGPA1(;v(a z^QMQmUJ4fk<~;It`l*QjmK<0y(s$qgLZy;$ci!D?mTC>4l9xw{Z5V#a0uwdfUBKOa z2dLb&G;*=r%YDe-XL_?AdL^@iUpF_7y9={*eiZ@NCGX7*V>*w8vxuoP7ZU-t69$f) zYMqCM1wqLt1Mi$4Eb4AnBa2heV#J@)W7ebKgZNf7wLi5~%n5`y$6|fylUjGIetHW|oRrsPOG=%VvpC-|81H-A-(%jDB{4A^UI*K5`U2z?GUhvx)7~PSd|9Lc6={SQMBv3oOLK~ zx)sA|;;ctXepKJK^Zi6@_?OxC&){sGgvou;emwn})=In1L%ig+B7?NDgeFO=z8rY0 zSyejn7s|)ApHaWmTP}e5xXG00(5oT;2#$RPW3$X#Nlfc*Gr@Td{nKZ^gyci^eu!#s z?+HFT&DR6ZU4Gm9!4PyKQOOk%PPlZtg`FNC3xI~U87-!Tn&x;qg)D~{sJt~7G7PCI zmO~?5B;sJ@NwANXW)QI_)Lacu zuV#)bl_jD--NqhBnMkZjX$Y7<594)Wq7$TfsB8?rdGu24@6~F9kMK24qhV0wjf0~I z&`;1jd^>n{Yo*E)FcAx?xJvbXZ2$OsE>UB6ef%>3xmwEv00Gy0jFnxOo-u_KN})$r zHcyOQy2ScajjhyaH4Bf9#@^B#`Hjyjm|TBP`Rt+GJ3A2;KNg+;=v?eP3ge@=X!&2y zVU1tEHt%;4S)e8?UvF!Qe$XDMhyt%%F6HF?r_7=*_Lod#^4XyI;&Y-OmwIjPKwj5d8MK=(>bZVQs!T3zfszIT zm}+h9oiq1;4ttvLKOdbBJd@#8cO(<^{N`$TQ?%%P>Nd?c3BcIi|SR3n4Jw6^J|fGyGhBMq+^DYYs8~k{W6AiF|YcpJ>}Lp;XbH z_oXoQTI+xN0o#Xd>^qa>s!b1XR>ZRvaBz{Dpvo`n{W{PWTXM&Vj z-0puU(Q}Uc7k@`$r#sBn)lgUtkuJa8EPNzWZRIM%9`lUGycKE#qx6?jQ@+{%VH@Nb zwC+g;c=Ay;uEr|YldX@Tc!{DuQZ%=}{E70K?Z+S{)$TU-A)%_LhLrFTEnc}QyXAgb xw}a*(r8Vo+f4m=2qv(ctQswhBKHVLM9xyOvn|t!EHpdbAb}uNJ>HoUn{{abDz~=w} literal 27780 zcmYhiby$<%|37XKiiik`$SAARTG7SlXI^%ez7#SAh(lr zY-Uk%yn9q0a@E}#bGJ~b#?lBTXZAy`>4Tpi67%nI>3XqL4gPZ;Mwm*yNs6hKp8GFj zk#k+~gSWJW$a}52s15;@PNVl_&VkTG^Mm#rPTo6rnHxHbD4$^QNP1F@y}?`Z349vI zT7AIy=a@6ZOdYtNt@It4oH(B6=L4 zM@p?zbIt5C7M`te4ZB);n`WyH3N7fh40v`eBCatk+s3cG*S~s>+VL-z=kp2-nltPJ zeL1v05tWFPE^Fb6^zSJPYB8;NlKb+CM-stNSt6zRobaZR&!gJ^DP3u9YyA@jVM?LK z@YCeJ$1U}4%-sohEwDhr*;0Y-`6_|#3+ZO3_=FAjn%x=4_Zu}5@xycj(vVS!A()>D z|0Y^mn}aH?pJZ|-z_lp)r4CBF^u%X+W+$AgBRA(NG z#sdCr^t>eccq1JxMf{0x!46|Y*1Y2zgIW=diD~!a(^Wq&?`P!nl=cJHS$fNrWmX@< z4OUKXN{3s`U*K+IRLj^+!htU|!M_$S-C1+F&F6Eu@QAdX$TOPDr=)~z*p8H)yJ@A0 zz14=wvc6Z~@)N>lq7HO>Fo6kTdmiL&|M5pE_2pID86uwyfrcYmppRf}+Ejn*I#exZ z4V<|)L|}SsCzi*($t@UYeuD}tFjqYB!A!}f)w%)0nHaHoTMYOrdQZvGjphu8)!w}g z8CI+mi{9J7j4JW;pGrSHbiNMlptKxz$j0K!{BI&p85UQKWr=mVWD`)I>!yz)nU_79 zEkmhFJ9qE@@VP#my_j&%NP~qI6e(P8SIc;*D@knk93TD* zfVrLY27I0%Rm%K1#pf?np@vdja{%r4q+Lx{=3;H;`;Tp>Q8jv99@J*Y$GHwK-&^pQ zm$+N5&A3C_$m?7lRtuZG>Yk9-gxsLmuytFEDL)A8tq@8OV*hZ{_LCAPd7{2A*Cbt} zDJ{~v`9}E-j0pK_@)Ax+_4@|-yGcFuvxdZ4yUEHvx{oa#>s^l-YGm98>I)KOT(i8m z|0|1h)H*6wF?>P1s|^dFBCzM)Q3US1k`TBsnJ^3Y@WA$zzR^_X^~j1wN-OQE!xuOzuD&G1A#2Hb*N*%lSKA(o+pB9;?@Ol{ z((fF<#%ClmyCvmMo(e-^yW-_vnkv|LT*DPK&%TM3NyzDbSy7j8O|VZ1{w9$-mzW{H zo2h5BvO*PLA=!l!Zc3{zztQ%(o~utf)XjtAPw{9{sJ)7?oP-+Jot39cndXdIe!rwW zzq1z1sBr~eu6`z2MLb;!eldTCY|$>wQIA#5=f=q`TrF@*+IM%`ZhZqeQnm~P#HM5w7hdPrF>wK`)TA!=jGWG zFYt1D+}&t11@-$+)AUECdKEb?D(IO2lDh^!QNpF~oUh%qr0ANmS zlD1c6>FkQ*o`urSw8W)a)jQ`b;INkUCo8fKbPcm@8q4u)8L`qr(V0m7&XRm{-|>qH z@eF<6@{*6o<_3hO{PW%l$eid5682POl+oZP;OnzJR<@1hodN!1Za`*Ie2XaV8xItF zV~>Hi)Q!iA`(4gToYFJp__5Fl-a!2=MQrcgy-a7n%F3O{M?d{0>~6n;KUcP(Bb49F zH-7eMKR*$`ZW?Lf!BXIhcm*GPRU_OMm{Mk8_D_^#lfT?IvUJdM$4~ogU+aVYx~how zLxd{8DW7gyMC+{^id$xPy+r&7YH3{N4S(|nL9Dj5d%Ix5KHaox1Z3y99)1*aX)|V1 zt2^xZh_gd1=T>IX_Y^{eO?}PSFV9Dg_CW8j147Jjw`#qr6&a|J@aX5xe@J1oO4)?K zy->R8N3+thiLLx?BZ2EE-hZL?-#`APh*kPuK4}VHnt3jR?%H|@82286(O=W=bIodd zygTuYp)H7@u{`mOrkz6g{2XUfi+={_+#KltBzfq-EyARxRdKb-eXJNCsG`^XVZi2Z zKX3Mr;M}x`$xq6Sxi<=gt%A?=PXr@xJ)%579WW$6rwn+T%CU-jY$KuSMG)018?$>t z<{B3i7RD58^Y*?5QXt!*@1k^)TVq_WJ!Vqmd6c)QWNWcuicou5vBwu{&OfhK_H1{V z?}P+JW~3%>8>RG-6@3)T`)bL4Z~M6eRrQ7)XZ>*4yT^WQe0rJmja-%?s^N-EoibIv|DK&BCN1I}%p z(*~`@Pu9azdr2nT)k7`o@9rt-k^OXkt6sejw@k+IsG(QwL*Qb;hG4zGPB~47%pG(G z9=IqKO)6?uye&c+zw%`uuE1dP;qc)-Q)Jm7jFs#|Q;Cp74@o)ZvOXh%5{={sYfFNfGNZ6e__UVLEusmX$M==XN>B(7?%ZJ^^FVlMO}Vq%Ayer zi;rAvsR%v5Pqv*fR>`8XOmh`wPKKqfz)zB-bNHeIvW7szrj1vt77NBiU~|U$yS%QE%ROkg@aMPBtiz7h(GYppapy`X;EU<5|QdY z)*lsbbU(`BHA%F<)-W=Lw*HlSk7h10AYu{Ye>OCxwp`l#Gmvd?Gnn~$_ib*UD8Uw&y zkaQch!_==T8bzZE3$CE57KUv}WfC4e0+nCTkPfT8h2Je){>(EH)mHvtXL zvF+wyVql0#3-wa)+}^7NcLsrmD?tkea;~PeyTn<`o{sfM&F{h2`d^3)MmiaiRW%qU z3ur+$e<)8nd~7qu;LBsg_nS(i^V4G*XaxqC=rw%EWS{~FQN%py zjr!M>f=|Fh2*m2DTTOEm%~Hwa}3 zyOedOk5S6L74q!bz=ye04wL?XgB@|uRt(k}$ATvzGV|v_+TYWE8io5C>OW_s3X_4? zrb)MPcV3eot}%7G*L>CeC`%Hwz~LB`r_wZuHIM1p)GVE5 z`HAL>1c)z7Ly#3Z8J%^Vtn7R~^oVH|&4qEI89lg9(=lF;xw8n>cZ;Bw65*c1lV3M@ zSN(U_i(55bV1ub5!S4%UPE)Zj&1Sp9Xn`&@nkO3d%%;ssQZmgtL#RhtE9MSr8C9@ z4dvhXzaRvMIIl5 zu&ULemVG#R`%(&(g)6RG|by6(9_u5X36Tt`L>tmJY1UGiQcg<8>|i zsTwqr<+T9lDj-qqGK?nPJN?_=MwPqN$7KxB(1r#ThHLnT9w&n1wO?_gtNPe~In}KW zX982|F3R=?LGdHhW2XI_4&)T+lcv$TKKLvtbRNexIw;!7hdSUPF`gD94WNa_ z(PCQMS2qBE2sO_VaCzIP7pU)+w38hd4hb0DS&lhJr(TcHK7}ai9@}^>ZgckRY(j5H z`AC-k(XAHj+6PRn7?y9{VP9qNrWxQC&BzX{N;iIR^&o-q&&KiF*`lvvGx#!W9%5*a zVru3$l9ZmOq70)KLHVpt<@KJmS2F{NlY6z7mhQE9QOTGc1sl)ez8!d* zB6Ni5Lo!wOaKd=K>G>{a`ss=k!0&$rU9T=a&j$2<^68as!?;g;-1{9m{~Sw(+=N*C zt4MbmF8KA2xrA5*zrH}uibr0%>SF2`=W2Arn4ukjAqMp=ctA9Xa|k&r`$z1Ii?`rE z9O|Alnbl+%tYja@r&M#30eJSHGwYzFC z!2NWAaYS6#hH9kqN8M=m=kvXP&EH?VZX*Th4xGOXhuG{>tn%Q*9lGlDsZhSEHhr)jWGFD^M5WuN{1px?Z*Ecg!1b$AdV>RlK~BQ+o#_DsS3CNU>pMdyB$ zzEJPb(05F^3)_;+`c740C3NEAB{PuPj|@ z-_B&W)7_d>lKLj~(gG{62atK`+<7Bp58Gz)IM<_c%+bmEY^Zp-yQm$pmTy=Kxu=9E zgSa|;Lv7aAyaW=m8^rKdlTD*;D>d6x4Dd_vZIVDcxhFP)!p8F_5FQS-A&2VxB)z;1 zKx$#2rawuxw@J*5;MqfT9;7IGixel0C$VAROFaxmJBibX)0>`zqPx-riry~LduZqD z`cd7v+tS3un%@taN#P^dqPD(aO0OgFv##PN*H;k@46cM^6ks-b$?c!Ca?s_5BR}c0 z{syoTNYrnYPWVKcqQx)@_*@2tm_pA`>%N4S*Ds-+TAf`aap+$_IM>vv6Ak6kZ#%{< zSz^V<)908r&dD&%9`&cFnm;`k=V6E;G(07|f%Kyf&fnKXjE<}M#rqQ9deTWjnF!zq z5yN0>G{11ys`S?s&^Nu%>~Q4MHA{e-h;zQ2NeE}U{~OGO=BD<%sM65g82{d(E}|n! z{@&4{^fd;Ky|U*P(#=P21R_N%FAzAKu@ zkd6#OvYY>48XlgI@^66^A72V_1B=7+Ew_yo=<0$)wJ(&h>q;U@&2OR2Fya0LUN+dqV@F*x);nX=%zoGat$eTEc6k$GzcqZ+e2q<^e>z+|wPbS7Zk zjSu(}5p$6T5ix(THVG!;r3{ac~7U*tYl&kdL#(0WYta9s~UaX zR5Eq71pIwI^v-oZ=Mvhp=DP~epC-N}F=VIK-1>>4W+$)X?AB&jlh}3b*{b2l7FcI3 zNezg9t*cs-td^Uj(;bBrFl`GQzCMw*=yCqo5odBLZ864{Tjn_thVm4#+KO9jDzn4lhcE@bXEM422~gv z+HtlzNhK~xldFC4rK_ZXkjDt_J^6esP1Zp5vfiPzILXTAEwo5{ByHJBYm1Lm9xF2;9OLyS z6IK0Ia?i$@&lu#(IjCT+qP^d7289}zWMw9c)I_o}gGbRz_;XAhN@9+4eXpH^Z1+cr z&4WcVcHOF#=R#fY+PM!co(^9Gr5a7$CfixlUYc2ZQK}Jj{+7j_Wt@ra`kJ2etV8os z*F^}eX!{;t`n)pRwGB=w%3x{^BjIyQYQ#4zX6N-55(sdwKYDzre-Fml~o zR(ibmivf#0>&TH%u(Nq(+b`XZ{p{QfjQPuarJH@P5^&`^J-@#Zy*^=zHFFq2v(^-l zVtyZyOt4>BfhRH8tn{R#{3J5|S?maVYm!u8Kll+uuGrp)1kVu8(mA^|v(@zIn`;qi z=dZ?TOyk#;^85V_rY^66N@dmV=se|_@zk#8&65q^EENh<*|;)hHN+`eCiCj65f6D6 z-lRgc2Lo%qVf>Bfdt>jbo<45TUoua&JNjwV=Y6!yL$3%kw6tl?^{%v>7d#3jV@EQ*Fh_o7x$gaALxUd*UeSqrBx>4hfa5u2d5zM z2{iKerB*t4h66)hZFrQNg{s8kK6PUpk0joAaXlI|GoEU|(4ZkL2<6^Na>OoCw2 z-n>}Z$j7i1ewcl@Ay%Dm&J*jX(0tGH ztZ=JgLT}gh>6A(yCC%I@RMN8VCY%CCRMA3WT>&Zs#lhxT!76FrmRO~rV&9oaim@k^ z^BqB-Ms_}|1q|31o3>_Elha0;)ApNmgwD7FY5U)2B@^E#PDteghNDi-#*b)kI@C zmk2Ah$7=_&U!C%PK?c97q}9wB2!<6VK09vof;UhDQ^h3eUY2`c?H@6>v;FXi5>mc`U?C`Md)FFYrvp%X!g zA%3@LD|g|*pWkzYw4o{VgI-kU=8dSni-l*D#Rii!axKQE6m)(?bXeB(7Jrp*=Pw6W zKbD3L#8}o{t%tVE1FXdbAKtKCG_d}#qHFh%#tyv6L8GT5dse)RNKajukDU%6CJzZJ zx^Md{+J6iqkObGB+_Ze8aY;hg?`Dbt3wPXt%IR-X-8ADrCp(aN-&6fkN46JXuaiN^ zP_abCi%+4C*Xa?V2ZYs8dNM!mV(0bv3gUYzRO`H=px6H^G5-DPJw02~oRYUxTS3KX z2`}$95u5d|ZC7F))CH})r==7Xe+k|eI`(K!ZZ%=#llJg6G`jG`zZBKobavP0)Lp^8 z;&oR2;rTVXM>fOSL)3Ne@MYHSHrMy253#-4=bp4BnFk8HemeQXmVzrY_Ki#YNN3Sc z2Gl$s5Z1LCgzjx8RqfOj{*>o;74g0rDhA^wt*=_B?}^?+xr5pTyD4@f zQhz^*&Gv$8ZNZ;Yvz2oeMjEN=O|r4|x?cE}__uwMLg)fO=`$`~6jt83MM z@|L>_O-?HT*y%ql_}cWsbjQMb&+#ZvcB0}sXh(?ox-uv?Iu1eZs!iqju;V}DoNzs> z?|H<6Bt{6s_@Xbde7DOu&TQ%xCBgL>D08s7OaJ>$e}1?}Yj1XumNuUS&XVWrHg&NO zP3FwU4ohKQY4GAl$#HR;>3!os6^l^SOpVAs&izowc13%%viM5wi^5v>&EzJtv#~!S z!A+yH!TD-c-D3Hya6YC5yNPS3;^Ub#Yi5SGG|E=~5#SZ+x%Hh$i8)k0`*Ovo)=Gt< zfn>y-r{eoT-_Y1u2Mt%f(iztQRNL_PB4>^GOnu|Su`hgg4|~7f5uy5xKco=ZNMx|| zwXog4brvSAlv}9YvY;LmIOI1RK60tbsySw}Cr%dY(Asix;LSZN8_#i&LJrgKHqV!4 zFr@jUL3W4Gk6s+^C1c~NpYE?U{qnKYY|smC|8?RKO)mKRVB1OY`N2cOXqe<28bpna zP+@$UymHt%bvlUt&3WvMN8Ow5q>$tL8lrzvskmF9NfUB0xnU`1w!vs4AXaFGw}HiW z5Ah|Jx1w|y+TKYlar&OGf3o`$Z71HT5w`t{^niD;p|En zWwj0T#3ayPhpmctJhS{0n%5sw6q>Tvv@uH25dyWJCkCeZ(bb-hTi+}xQ2f$m zu~zc3AV^~yN3uTHO&E47L+oB&va5R}jsJQr{Y0e@nUr*-_<{1`3FGddE#(FF?(Xbw z=PQm)GlxRvIF3!JBPIr>qs^nku?s7pl=xOwzm$P#Yd56j&aUdMHr3=gabe;!yFEl|887p;SYT(iaF|J_?Cjr9F@NPo1(vH3TD%Q!RmGymJ;=?da% z(6Z+K^4<0$tkQFrvZ4jr6-6kqN(_0C6}L@6456`5mQ!& zT-W>0^Fed??bG$ID}Od^&fd21xj)TcVqxJ4S#3feic(O~r%OL9>ZBg*5NKAP60q~j zlSg)ulbG0jvdu`Y(29?A=Jbv5&N7Bv{~wJZp?HBQky zO1KI|D0?<#xgz}qbhd3{Kex^hew3eYCcX*2Dm3d_GP4SD3)5TPJA2K%?3yQR<~cws z4A4qD{*B;~dl2u~ZW=n@W)$pQRWkRcrGCC+x7C07K+x1W#{c;;e`p^M>RtCcDT)l1 zeL)((CZ7T$XMRvw5=pCApMqO!mj34+6HhB=YJDA#jMu24bypHp_`OP3ZR9f~3HY4t zuUd&U<>3K6g^bC$d9g_aZ@Vd`oVCfe@(qpzcRaw|;vLqk1yAvfo$84BmTW#u@{Q%z znf0|;`5oL^k?I>ODpVSQMPFzYJ>mYI^-!Pxe?^8Z*)N^C-FmZaTONEpTd?1Pbkck4 z4*V^7nZ9>GH|~@F#W$$pX?$yz!6SuG-lA=~-Lb2d_=_jcTC?cIVYm-Dhu=$%<$Z^e z!Rxy^vn=V-LDPGBV_{>weF+RrRZ#-ssll!hZFlQ5w!ob64MMO$Q*BS^`CMLPA+m!X zQ?0&5mxuE||9tr4`7z*~*i(-M^ygNwl%w_*$I6x;boPHjW7&@%p%CVr%ld6L>z{RI z>C9LDfr03pfT%|<$lq;0?dbk!Q}RXl2-7Z*HiEVNIM z?X0y{$fDhjH4NU z*Wl&v@U4%M-`@mVQ@nv_O*Pw~zl)9+NoV=-DDv&yWPKHMyRQUzRcM?jdwV71m5Jkj zKLW-Mt_VB^6&-#_g(-jR8edeGdY6EDYTp(aAo{Gt^QCs!N0=Wfh2Abc-jk|$b2RSP zvOL>O>-iwhGELh0@*S)LDDp=Eo%Z1C(c1lADjlwr)Rq%3Rw=l74<^)tno(+G5MJ+x z*Jjy@^V|fH-uc9P#}8Qxl*QaL1~4se!zu3py10+-1>G-hpeHNf zGER@TO8H2eT6y2U+*@N5e$Ih(BMM%Dt8}2JPQ}t-pQFwXuS`~cOoeb6YcTT}k@=!f z0ZAV~sIm7YJ}9q%qyUsm_hoO8-Y&P|C=Xft>R9KL9`)E36Y9-Id zvNY*<=+qA=N7mVL(7AQM_HxuWd0@Uue@MWJJX$P32V}IhbfL^Oa&nZtGBe!usnwg} z4bJ$_Y`H-i~$9|ai@zes{+o~ju zo2jR4Rl!z(YuvJY24u`y#0BNa&>z?~r!w-C+A6WHSQ5MU!=2E%TNY2Xgt47I|8?Vc! ze8#)ecH2VcZwSFzo3c@j3PWxe#Q3y_52j88bbfbTnij`w7Ts>_eCB%bp=8UcGfi&w z8%q~EOYv5e&hPZICao)OGmEyP)0xt(sqD04w5bm=iOG(GrML*T)-AvtSls4(y4m87a#7`tp1gx~e@ZOLv* zXE9*d`QF;|Oo)}nx6CmUci4=(OL^K1lP+l~dPlv0eGrG--?IGl%eSKc?SYc?gi}2(ra@CZaw~<4cz%48S@eWP`6+hHE9i0(xt^x-|mg9UMq() z+X?zKNByW%dloa@cb%8su%JFSf}qI%lr(ogbm5cKguLWhf8OQv&!hSA>HKUOo`avX zQF>xb>|g{ZYPOxWnvCvzmNtsDBGW@q2Yg~=)~~!2xcF^nGxBrD@~8Dxx}UqZ8y4=4 z&j|DCptRKY0xZo*kncFiA~VCLV&60^fvuRf91Wv`AT+J&qXyj|qK z2z$)`EE;9ASb)%_H>+5hR!0Jz)+f&-1P~NHljq-CB^0lij26Usg|&4@)KcGRnJ1Lk{L|x&J8v+Bq8 zQ`z<>fB1#gRf8U_@bqmISODH-8f!OeN$tF|s5(~{TkRhy(bOtuJ}9c!t@vX$f;uhV zYVQqcH$BXHdHuDrw?(qWupDtQD4f)O{I0!X@-)@6*bvuXmwh87YBED3z@S{asm=;DnO%6Xt z{5#?;R^cAFRTQHA%N6{)IAtd1*(;?Yu;rkue8s`~o2CVBi>hYRPbkeU5Z|)-uDi(w zRsGUw~7`OM1XjH}aKj6zw-X^>rTRmRXH2u9iv&X&}xTU@2<*9 zjvZ z^|x76lNi&4V47vc*^@PA74~n)ayNsx4c>yua~nwz>&b$z0CCbpa+!x4;Rc>r@GbTkp0{o`oGkk; z#liyrAOpH)(73r5hj{D%4l#m-l7!* zC`a%8_xnzHgTsGTKO9$*7TFTR_e{O~G8zNJ<+>)YMS7RwsqDQPi{^~adKB(_dn-M> zYY`vwh7Fs4BR=*?rPtflx2b!audeCub?`*F^8ISx@#sNt)OBD|si_p3oK@W^&8IoO z{I=yh>^=PF$a7uhZ<_YvLX%jT>z!OfeU>6C&^(90?LVuD^w|n>({7C~kKX*w6{8vu zmJH5Tm}#AHJLC2K{<u&V z+DUwluD)B27RXvD`C{MHj!aFaQSOgPf93iG>+3BJnhn8*up!rN>NX$!8@>KC^hKLZ zgJCgL4|FV4M3KDIS#tm7Dp00Lp7vfBLGIgzt%jVl6wHawCLro*zqSU(4 zZ)r?M%lNks@*{#j4$AbFtr#v=mt`JnWb#1GTx!oZ)*><)GD_laO-;^ZF zgY9;9Pv_Vhn2b8aBwU_tegETbS6Hq(B&COSrDhrl{EaBxn~@9ZQyLUAs(8si`pzv= zVz)xc!uT4U=7ia#rf4{CO-Tl2kyjBzUz72woUpSM8*S;`=zBga+uQsY^tbKJ_;Kof zm5f&?=Haq&V-@~9`mu-^XdwKZV2Px5?>(AN-`8G}*cO4}`e4a4R-P+M2FsV!-R4h) z%Z3mRbJMo_>*e=}kyk%efs6YDo55C#*;R7Gg|PI?mbJ&Kw}I5io#;oxo|4y&UEekT zUHT#(6cHBvOXsV49%wlS44xMiBeV2ojSIB&woOTK$G^!d9|peN(df@VPguC`Ct5#% zum!sInRG~RNz|}v>wQW;0CvAc-BQSw((J`%<_?K}tz{li<*PVQAN7~EFN*qobzs3_ zdCtIC*u(Hg6!&@KZu5_dK->vV^ z@&T+?aL9>e94ebFR%G7Qj3Pwm9MzBHL;30Xa;*bi1R?=rUWcJqiIW?L3(dmyBA?e= zhK8DD%fycVI{GAUv#7}vHP`kD?}Yw7P-UiPrw&AdWM`*J_z2BnYS_4f#K8alFx-8b z+d~`yZGCcB)1nK~*)K#B zLOVyOSSkMgPR4?6Hy*z8<}Wu_^yQ7D!KM5Il`_vP-if<&wHWNw|EGI@){|rc>c6wN z%R0Qi@Q3($NS!>yb#+l`6X0Dm)*Y3w2>Y z!rh_w%?J1^M{xrzIcj=izYOnbLQiEi`MAaznFdn?um_Ewkt|MzY&qj4tLXOAej`mX zQ2&h`lECG>Y4y#-2MmWM{emK~B0tX;+msx8onBr&rDhyBTEAThfj_dQTL%iA#QCf$ zmVu8%LfivYki>4-3fGtMMk;iv$~ev{wAcE8c_PitjMSCLToZVcw+P<*S{(sz%z90= zLznlu=Z55JkqcspB;Z0#47lG}L4+uxC!DE(gS{wrge3&hOOqH+bUalGeFd;$-Z)#j z8E#c=!OuWUpHr{;tLLdhGMCqR*EQ)w=mc&2hd7s0^;0rEEYh9+ViGdZZEnDf4}|{0 zNXtm90KPj}2@}`F{XHaZU+aFk&cZhzp&FakHh_b06Ol;ii`oZ)4Vy&$vKod!LQ=C6 zyt4vmNUxe&;dI1_?uJbv#lpK!@-HeR$PaVeL_%F$v;dO)XJ(W}9U<4%heT=2+Wkj| zp2Urd+|Rgi995z?Jqu8GEg0W&8qgnz;JJPBrG=f#C`4XKQkBRj~I0J-XmKEF7r!(ut+Fe*Sl$? zqtfX;ytjZh_7b}pZ`Tc*y+|hnzXj%7{DHO~&?p#VgU!LwyT>ZBD97$qM4cr}*#8tS zY}MLXpJFFX0{eeic)|#*X)){VpiE3XzBWg35ZdU0Y?qgN0xotidZLTQDOOdVvgs;d zN}XHP#GS-j(hXVeE~qF$AfJ8R#)=R$o|6R(uJy3{^}=%E3*k*QEzDO~G&DsYL=wne?liY&t9XIk*YUyrn5=!! znZ8pUccjv>xq$QL&no`KRIS;iM1O%akjNn=lIhMLVz^FFN@Ss#*BCfGi8uZi={6$~ zID$rTN&ecJx?^$RtF}2V-@BqD?S$W;nQoEwY{;qe%bYQDiQ2ceZ}2*|F#!8`O%)Bp zy*<*S9GG@ZZ{qenLYq2H08r@VGxrid&4#XPUjrndY4+avW=T{h5Z(5mAshuXz#p{z zC0_xwCQ5?Gp>}JxD3CRagussK_zFm%W< zSdb|G*iUckB~OV{)J%_VKfyP{E)w}I5JH?0skmdg$d5g3I)tgLVJ`k#I%Mj{`-ctT zj?;s#OYG5v?))JncO*2K8Kv0$O?U4!^*(Xw6A*9ycXJM~IPms;ak36=C-4EJf~B%fE4Et zGd>JVF9Ulpqq>O4BRhaa*=Gg&KodeKOFEhl3g0H6()_$~u;)1J2@&e*&Y%=4+fJyM z6(~3VrKQsmNI=#;xk^M#!BFS^j-h{Ivd1ibU-6AcRS9(w$+=jUb$NyW*WDj+sE(IF zMU%r5(TnlErcPM5x6nBNscSNxp&J6U5|$x+3muvuEXkY)r6&zzpfx~PjkJp;G&1>1 zHEDDI;*f8mq1@qLt2>@xaU1b1r*Fy`2+6)v1&eKy!6R7c)W0S3$zi3{e^u^lhniBpZCxUVwUFmlJ zs4HqJ!Z{J1qU0t3vQFP8k=BK7D$r}ErvPr@FR(BO6No5H@JCEDp;(L$2lc0Rt9XWf;<{Z;JRQv zHE6@*-GxfhzP;Vl%%YVX1$0IEfBXRB)#+pBv60XKAsk=~TXgU*Y2kB}`4aOCbo?_= zly*1n``|0Z>VF7vCKujT9H~U38iOYG6Sip#TRgt`Pap#&CSwEc!oUQP(K#4uLm_Lk zZRDTfEAh(^dH)GmLtrJJF~6KCj3Myka9s9g3W^Zp8&gv1xih5--I#bSu)+=YIm4j@ z+)1$)jqAccfFjGXzn|mhJj6#H5kNZzE*)%^nYLZ5vx|9+J;CyoEh-M-f&HSY8zs>0 z;SO7#*>UyCNUKuud*kme7Nh_d*r&$J{fn@W zeN@H&&v$2L9Wy$%oUXHt&06TMISsn=)Nug2A3oBoG&MZ-#aa>K5|8zN4~m(*6~HII zIeR-rJm>0Sx?3bRhyZTdj4LSuk9$5~FMXx#E#A}M zvG!X(CJ9BczGp|oOKez^r%=^GC%IpDE!#@%e?cbC^7aoM9Zf*6$w&K#q7kgu!C|Lc z+%BPtqMD%xu2mE=(hCksl57c$rB=q`YPT(I{31dKR%!J0$+kd_K>4x>Unzd@BW$|`EdsT5=nw{XbpN~M6^o-1XX=5)aBvzc_%08~G; z3%nI~iM5|;*&M;IUR+@|Rrwe5E00?Nx(7krA68(BFuw^{5d3?9!+b!Oz;rr_2+rCY z(?j|IOAg0VjiW(T1E}4Ah;vp;q`^#c%d-XCjov@}0mZ~CNP;m`XF45MeH@QfRK;#( z1v!v}P-NGn1Jlr|ZLBxMgBf;uoN&JH*OA2x5?+RlJT{_EHM!a|a#imT1x>zt1y@=s zo3(TZRWNFaoNp{z~s~6&u-+ zpGTat^o3t>AW9>{n^7Q4yfppf_PciLt@8HEi=| zAEly|O8C=|6GEC{^Oq@|YXU+C3zP5tOTyq5SaEqhkwdx!82i)n&U6SJ=d5H;Dh=F2 zHKRA&rseSC{#xS|>DR2h4BKBymhOQFAw?x{F&{A`k_L}PHuMf{F0@dsv8wznxvLIv zv$A?J)cLaUCx6&@&b=FF^|ucCgB$}Tv8a$@3RMCuP~}ZH@ z(;0@Rv}TJqU@uA@6tdqDCz9e`P#4@~($10@27C4o-7JHZQ%A!!jmIhQibYp3Eao8! z%0svBAho@&bohKOELubc6$PhKkg^M^)={f?aVa&3j@Jf_`GqJhQ2us5KndXRw0kWF z7Pi5wji2e;VAiqne!*1}ff-$x90XjZC4j8_Boycz!79;+Q{6rr^IOr1TDs`(4b&ta z*D|z0Z?oY%4OjIAbNec&SohzL%oE08y%gVfKNH|wY`+Lmlivv8(ucZ>C-UKg$VGrW zqq$2hTrmLcb#~$R?Qm_!*=y=lZOz8u%$_I#Zs6_oIX}bCQG`fg>iXSNdvQ2^+$mLS zj}W&mER3OhYpeYET*kS3Us-yu0k%HqG0|dg3xnaa5}ASpe1YXZMaF$ziTtQPPS2u9 z?HRlda)d6t@cIiE4h{wn_J7L((&z#dn6p zyYVS94xQ^W2L93H!O@INkryXodjG!w_$vq0Pd=`QuE2D*ruToe!s>FZD0q+W+cAcV zws&hB-^m}MsJW)Ma`BS+tETq=Njk(;P<4BVWhiD^LVU*Ib<(8B(dQv&)qHfUJN_prP&)awQ{?e5IUmu{y6f`qso?fDieg~s} zs*pSnbv2o;d_453XfB!v8FItkZ&apXuD91>DewwqWEk0*f4v^_G{g`ZlT?a8#X?CB zg*Vj2eUvWRbK&BbtXQ^ao_=hk9sX1L!_7)C=XWIu4-t6maz&wpJl8Lj1XALA6;qnz zU}$Kj!<#M;6HGt<$YYisHgnsadVCqMm%84#MW7lADfHVKSYh~T+6e7Oo;Fms>n%O(pJhDJfd6GZ7X zBmdt~vt>sdeqbc8o(nn!)UIL7ZjytGpUhdl{HWa*&)+==#H;9c=15rVLdj-Qyh%~T zt>*KLX(COOeT&T=Y_ZP@|8CA==JkB!3v0pK76@2uAMwV~7tNceD!))y|19k#Sn0x| zNLKgrD!1zHYSq1)un}p&#PbaE`Y7JK5An~ciT`0jJdGvew;JnAQR7Cgv9=LvN;oXW zB8#spMLC4)!Cvr~1$(M{&fi1z-;^R3Ono@4YA68*#tXVPO}=2|vV~%yQ=+xtS>U=f zfVTkUj>WEGu@N{f7z@Z3sWm9R+STJJ(yrfzTGoy`;?NxE5B0Mm^*9uj&F)`!K7&hoSC8k9ptpB{3N1oBHGxqSl<4G69RaQ6XnyKT8B zlEV(1j^tkz8TJ3d#KHJ(gWP)OY`QFM(*zm;p$ z0xYIQ>%T8*@DrsV!D44=HpKcrixv2IBp1uV$VrEgUlYmCof!F*k=z<@MCsp*{~Dk4{RP*_&W`j_h;dy)~m=^ zC0SI4ja?;S*j*&}(8hqUsieP#>4#lod`;IdUAbg_vK6@PfnF#fm{Hg*5O|E}`IB$9 zf_83PE6f~hmD`1E!blPLG6|9A1c53aDi9w1X5VJ8!2gwiTr<2}!l=#9l`09%u$P!u z&G2Mhgu8~-6!!;kBeY=zCTA%&`Xe`lkXe7Ed$1SYv?AnsMpWH&EVP>r2$m|c!02tj zyuPVaT`m>^g!qB73L%dTcZhHeriIXZa5&I1fde18kzQk6q7fc}{4lZr;eufV-b)Rz z0fY7%qc$oD8c|r+0IU-l8W>hviX;_zDbrQTXIhQ^K+6PO=Bvcl(F)sA=>2Ue=cq25 zsRSK}MqiimB9(NL!sOAG@``A&!BXDTSxVYsuay*e&yc#P4|J?cS_-47)q^x`vA2vQ z#U6LvDBjlF;4A(X3d@kPh#(-}R;R`;Ztn~_`g-Y#gN=L zW!rzO41D2^(dSE;APQ5Zr+>Zhwn}EN_m3Hs^g`2Bm{OQK{p*+B|2_WOglF~5;cw9I zVMQsa{BKrj*4MxO$E(3cQ!W?U_VM@}1M1_KIrv}a>TBh|uX6Yv&W?m#TMB-^tqfY~ z(#%;4@Uest8+d>3BWW@C4ZBInOuuJ0F94gbdsX{dmnCb!hT9BzPbp?U!$=Je0?$GgYZ z_&tB*tvmA_+P24s5*q#&F7LA=!Lg4gcKHUpng$y1ilPQz)All7zU#GD&dzEng*9)l zl8;yw@U!6OQ|phE<@(ocGy471;~PKpoXegL!(Z*hpips zJ0;@7%htV`E5@IrYc{U%6&p|B&nxyoSKEv43uTlJ<1zq#EfSPvPxbq`wu3!~2B9d6It&tY|EQkp)BXmT*GfrAc@ zgq@40FI{=4-Q)jCa9Okp2++tJGn2sf!uFl=mFi5n&r+(fns}YQSvpD1n+b zXjbC_X9NAfL)bYIoA7j#eV5ce&F`Z9=DJqYA)xHhv zVf-fqZC1eA;I~l;TMp6=Pn8l?BkrJ!ruJ5QM0x`zcA2gexv;8W_BXkn9Hho3l}4j7 zG%9c8_FOq%`FDywS9xvo1`lRm3Nfw9&lsWdUlD`8?alvg5HyI76(Dc6XPYXTa7^GJ zey+Fu2TVMr3T8ah`y$u$SE{@(es*;KK&F3x6sGM)8H|*cv?k!%Mr7b>`Jh&bhoT`A zF^x&ty5M{GWBV7y#j%V#AR7Tylj2&(e)Pg$p4Pj|VsfTyv|j!jjk-rFM&^2|!?aPPHv@zG0& zw&U;j_wlif!{O<;L+gl3tX}V(8qLW+S~}t@Hawjvdc#Sj_{S!i(cc|y#H5hITNL%- z#Tj<3g;Rhh`XoLNgeX@+mo1bfT8P~2ve$cg#Q%x#IoNnsJdDl&t9^3c0XiqhAK_L$s z6k7JVN8|wiSCzUHAZi*1x5b|_0{GNfS>n(zRrqI=diI{L`W`F){P)sEVY-u2t@>UQ z@!u)DOQido;;xmS$$VwBZyf^q%NT_&h#Zbh+Q;nwJMo?7@S#y?>GSYtVNK5kQ8>hG zcuUluyNQ*m@5f?&SyrUN%n1B~@SZ1{lOlhf$fUyV5qO30ZkIp&IIiL~p0#ub^;Hqh zvuehMk4Jq{yn$)F3E?W?EujukpPc;}mhPX3__4mN zI{Y~5zfgF86#uPbf63X$j>A1h`s^Z_vm^hUeYTJKUoX5<6}O7^Y$+b`?7SNKpCtU# zqkrbc&zqwDdkYu;+#>34PedZyDq$r?VC8~*= z*ckm!5&rvz=x=`e9O0t>^db6l4?uW?aOa68j)__QcPi0)veVe|omApBwa-%Uyr{vf{#3n$oz{;Rr->3mAyuVqDmd*0-aivaMkr!EUXIeTgh z41Bg0?t#)}apa#HZ+{T+#kAP7%|BWXiDlqL2ZHYR$Icav*2e|c(Vts&cwOYrc>c_C ztP1$Imhcw;|A3jokH!BS(cEhYe?5Z_GIifpEa<@hl_mQg_d1^u%{_m+tBk`BNkHla7uM__dMWa4Z_;IW&yq$A-wSTxLRrx@C?dXJ%!Eg1i%cK8f z@N;e#?)SUsANy$zzon1OYhCsE%wYXn{Pi;2Ap5lHyWHW&Y)E4NYVm)M903IMU*`II zi*aPOLo5LQReC|5eo2w~8lgpYx#Rz$4FhfgVjTZl`cOuI|FI?SA^=F6zJ|h3?N96yQ5&5f=kL1tSm1~pE?HivdbjH`NJGcY! zR|tWC|2(CQFK{}5&m6B(Vm9cWu^Jx|_@T@bdwml4Eq%0p3;}(#<6E2+KVlNWE&e*R zT%Y1FZtH6J|56`5x=rf>1V;X)aQFWcZalfrwQr7p5gEZ>UwD9b;n=mr=sv2_ z|ERlHZPuGs5`{8H-@7U5qjKBJ3H$|a;X=1dlnDOW+7A~f;8Uj1(q~Jj&lPUIE79Bk zbx&RC|9Fl6+P5meiu+edog$Zj7xUTsBP&;0!*}pp_AZ5wzcDx3{AlaCrg40K+|l`i z`T=d#@&|#d_C2qIKNti2ZJC>Yn|j!_=l|vo{G*;ITI}6n{J$g$0)IsZ{)MaWHO@Y! zZ!`7!@7saD?=Ks)$A^LaWh>P|@`+tSvE^EZA3yw3bWvV7;m2J_*B1OAmUBgCeMZH9 z(}?_6kBI;34)_N|W7A&_b@N>xTyG5u29y?-@}a9aS5XDLUKd zZ6)qNe6P>LJLvE2bI^$TylX^#p3p&`Goo+Mziw&sZ_O7kiu2br;ohUoUu^2(kBiSp2X!S><1mE8;?=h8Wd6y9K}Yc4=K7cR z3J5LzpYQN@cHP>&zT15ov>XCg#EkBcbhwZ-cS3(b{=?I$uXAp*)MnF|Ccqsy+Lz4r4ezw zk6GsYe0Q1~54+4?@hoA{v-i7@UQQ{-?uO4OU0saYzDUP;Umr$ ziRVpDR4cpI`2#kUmc%Zhlm53xPVnAb^ZPMn8-#Z)QbJC0;~VGe-dgrazS)#)t9M5x z)5QNO`RBitZD!~3B(@%OPBHL7pMy2t9u~*Xh}DvDMl1k-p7h@-@)w&HE~pnc{*RG9 z&k!B(-2J%DpH}+(P`Gc7_08d*2r~uhyHNUkDB{QUK&!q60Dr!soyRV@wC{HgkNSXr zviQF-^3SawPK%6KpZrqw{d--XSlUkxa{Nyf|JM)UZ~Ci##VdsW+KAuhSkHOT81c=on)svYqxTjneJ&Gk&KwW2=jl-(`rOOu)6~PR zN7d(dPM@i;zw{0P`}_WG`Zm+eA^?Bug1I~;m;iZ`^Z1ezoBjsB_ywm{Ld5r z2Y29a>lWsh2bQ`No?kQnrU*bE^_}9_s83Y9rO$svea?|SZ*=+y3~sBwrjOn;E8s62 zVm7=vmY6eeC^T)8{2a^f@l-^GWIR&JpzCtWXzy z-b&>Z&}XG|k--bDMN4S4m(54qcfH%`6a7EiUYyJCqL0obgkbi0f3#0dpO;7bTqJ$` zdAXcEpNahG@0W`APGudk{e8E{|4ZT@ZBxJkdL^y;>iY{JnEs~?(f?(U|Apeu4>gLo zfI&`w^MCfXyV&!QFjHWhOPqghweKko=qj1A#N@sD4BDFBGPr91GM5&j+FGe!tR92#g_?#YEn92&r9ycHsG zXqakz&2Qlc)1*i-NSOylL*t*1XzSmyspg3Q`=R*HZR1C7ZHf5jo^AXVqOB9~pZMj? zf%@Bh=nV1Z9ZNABh;It&`xc$}tGc1S?9Ff4f&aH6KK8s+_y@-Og=tbup=D3g2YjBt z#5QOTBqe?C$jJXv;eN;M_vZF$tbY>tE&Yuey%YFW zT%~#+Hw4u${D`J5iV_n3$I?FJ!7&SetqI3;S@cWW{`TL|KBiC9DeG^KaQb}3@s}Br zb$wQZ!~YbA-&fOVr|g;ig5o8m?nND=e#JM82-xR+ZT@AU`>%Ei0ev*bH0|Rs%dG8} zYF{V(u%Vzu3n>9Gdk(|j&-u@S4*HlV_}{P8r7+l_6nu#qT3_SQ72mg47k~ErPnSL) zEp@W@S**B9{}%nT*}vlWmh?G@yV~CbHTfGnmv-gv`)|TNVp9+Hy$<-F({8U;eV^g{ z=cqP*i~mQXIM!G68C?=BwD=1xz`%c48^6W>B@v(Xq}GIm7!xhD_?teLOZRDQ{1*S+ z9sk7q-r_HwA)pU7)devd)^&XQz832T>ieWv-Hte^!*t*e{-iE{WM- z_b<$)e78`DL<=o_^i8c07*GBv8d3mJk(NF>GZ1*FAgl*${uLde#oyMq+>7|}B*I(# zOE%rdLw%KJsyA9QwoOvFXWA^dOYe`GAAefg$Fyr(kzIMOm}>nr%P1{JiR zM3?Zc>TBO;)ETGo_+C9|RRE5S4PfnL{lwsZj~X}et-J|0KG?zY&+#$*u5#@c75-QJ zMO*2ApBu`mH|0)?E~9+_yWb1n9y0yy9#-tIWp8>c_=c|I{Y=k%kRrqPFyPo>PSnSj z0avm4Ca59YBK}(>@NJGT9`-#@>Kpr;u?Q(}Z)YouN45&$$UpA-mkP5CcYof!ME|2_WiQ(w`uUl&Jte`X?N3~y{Q|eKYN^UUrs3HIs;spn_tpO z>hJMQ|0cfI-{VJHR{TwW^g(~hiKmvj^d7ca83n;Y`;zWc?vsUk&dqf#oAl<++J2#u z(tY>V>QT~AviwuM#oVNQ_X9fc1UxY+mX`^eNNk_ISFA@owl1aPTNizr&*K6 zX=a+!uW4?DREJejK^a|%4_MM&7A{z<=La!7R4q!@IxM`*h0O0LXE!*y&^Bo`G!JE) z%hZ6=af-Ts=`GxI@q(l;{sA2nQuVQqL{gtuC{mxjc3q&cHwN1UvTI)-(*ojSq%BYK z7tPss8$F4O;RyU&wJ>XFwCP==UdTNxoOE4)N`9sy7U5UiclSS*@h+8ukkVi9LPeoH zzS~z}W?uy;ec;*}#8U&06`0kH+LWjIj(Xc})aDEg>h0Eudiyn^-eHZXXRi_Uj(zGC z38%SE4x@#1%W1Zf?agWI=a&WQ_4Gz$)@gjhUEg<_o%$;LMVq>CF~6mG&1tORD1VEn z5x+P)5ge{k-;X6bd_ncMu`=RZ2o!YXiMCZ{SKkA4oTV0EB3~_17GWsRilDdju$M1A z?xpsdAx91{N4)V3eQ2>L83R@>noqV2kFrcmy)|rQjT^6$HFV7wFw+eJp!?v-l`kl> zUSQ;|18cF79S1Rjk#inHRq3sqH~+czJ3ExUFyT(@F=`(+xRYvEfQMRdmMo07XwTqG zi{??#Iw6Mn@rHQk0%ZXn90YFu+KwJBgcSrR1klWxj1>4wgcqGDvlh^>nKgx!D&RuU z7Kd@lOfKl)Lry2E8gYf_rC)GxbSnVBSMN)Wgo;^7;*R<;w8fgm~QFI+3l8 z;r}*?XA}xQ`sIG~>eK=9Ra77e`E1OFjw}m2d(qPYhw%J_4)mjQV@Ea~=)h(zR&+ml zr747cvnr6kM5M5ILu8@*#L&UGp;315t&}#n0`b=p#7JQr4fkQUN+WJ7yy4Gp5TVWX zk!a=OXnAUK57ipiv8f0|YKq!8061Q4j(Jp)Df9v2bE4WQVsIG$5 z{Lt2GKp)zB4d_FguK|5%cj)gmg8f|mL)$~YM2$=f_?dwA^KDPZ{emK$bJ5)0<|`>f zYV{|=+QLZK2~@(i@5t19crqD961#XbR_G?vv9z%y< zM$&yqZDeEhaF*b41)#0-{A`+{wGmY-k1(U{Dgg5QY%1*v@vjYv^t^W}(n;eDLF|kI zD69_ugW6iawI)+=367l0kEtdMwzR`~K}9#j@I6kh zzTB`7z-K2>*&<%MglrkOPay~X^}>&J;5r$&IU#`W>l^hc3*CQuGy(jNI(uMkVftGS0snihzBvIN|Edx3zcV5}&y!96F8au~hvLtPjHqvy_VV@h z_&I&J7oe=kKZidm|8*Uo^*RCjgiQPw`%AE$hfj+aO?;2z^HYt_+M6&{`mn;DO8!%A zpY{BMdu0Eu>-J$)YW~SSqm9q8Ug{S0;K!?r9DW_Ef5qyPK>zxM@RcpNj^hK_WB}im zq#)Ql+e9`#;GgRF|1H+5iEr27vtrgYacBVlACCVp0`O(SLU_bf2zEcI-S6n&U$`p~=65_Rp8twWT@ywGHll z6WzVtwv2wryDVlO-OLqMN1skYy~Lulr}-Q2N!gin`12$ZoY_tX;PLz_@AW&suHFHp z?@l?~?31%Y)6Ud`kbnm}z-N{sYkBZCitj+=_~+nl{^PJq_;V1O(kI|I`P??6&&S#e zM0+;{eCDk{tbbj`F?Lmk;pgP`>H6c9!AP->*VD?@6n@_uN>1?6ZtxiI>1|P~N2pVw z`=M{B8O1)d@Bg^=wRXo2Iwm(#yKCF22ukQ*7e8UW(#N-4 zp9c^>|Duy&<@notr!i*S;~O1t_I<8!vMC#cPus@#NR3T}@5dz1XR_fMmqN(B8?m;- zZT!d1(MPMc_jZeGOo7yT3gF|TkE+^!yn^Fzmu1?q+4k1H|0+0jKyjS1d0ye^Dx;&Q@A3KuVYqd%Sz!M%rXv0%}j$UFf%`731R}8(`4$09g=zFnObbR&+7g#p> zlzaBcfh{mBc-ghVKn2KNG*7Rt1Z2oW+==?}QS;!Hff_kosckd}r&0nn|GHA+nWg4B zdo(h&lihJ7@>X-y9Y>-Jb;pq?L)~#C%22zJ$krZuHdK2*&diFx5$)R2wGI9e`2@PD z#$%@|z98z1SEd5(2%LKGoYwGDxWMo^(ysWU@?V?r&y;=C&kE|F3p!94?8C_SIpN1| z*%^8$vkTI{#0&O8^_;ZOC9yRI03)o9{HF;o-is8WAoI`LlQt6?F&ox(d^@JZ>=I{~ zB3oG%j9T9}MrMo?p0Apv)wYxyoc8kgx%{hQ!T;-s&-?=kZM$VjQ^aeMRv5LuzQ5lU z{HLpZ_5@MER<5irS$z(QvR{vV7aHXWioB!4*@*c^9<%hY9eUcjECXvAy%#)g5vcs9 zx0DP_HsG%5AL1mWDpCm6ftCqaj43IsBjAIe5V%k%!hikKb#jLV9GgoGH>M-Q8}xQr zSf)&)W=^+yd0%G_KQ>-)M~t0xS@`m1UTC2g*&X!13jfopF1tQ{y^Kd;1=~rY(S9Qs zp2NFkap`xvF0C5Mh5(t7D_;PF96Tc*vi2KBK4kGXjNGmMPzwdEp5%S73V0a#?(lf- zr+A{Mh<1%Bh+GqS#min$f_(_sj1VtN4#&v17^!*cfbaNthRWFG*Njx$q;#56bj?M| znb9dfSW)c8EOLtIxeb_=S<07uEbmi&DJ$@Lm&*O>jvDjJ;$G)mURFu+_HwQj4o|1H z8ltDCu{@{3%r1QK_0i(N^=-;;p5bTplFnhb8hmSy zOZ6*NV;8IZ5~cVse6?3s=08;;%1Jkuo#BIC>PwwdXz@LKm9cJy z(p9qMwt}AKUv=JvPb<(6} zFS8wWAy2z}Pq=3*=KNnTK#!fHwrQ4cDw>lOAET&O;lqg){D8VxM8<6{;-kM(JWuf< z@*SJAJ|_NO*EPCh1L%ATJQYt8-W@r&VFSiv<`-;-PPEN+(*NJ3|8JG%Y@yBms(sBq zq*_B4_!0cPt<10KD;`dsxj`K__>NW(#WMtBMb9|ESV}uwt%wajA^7u@(l_)q|KbY8 zM=Q^l!!K8SxbooRN6aPLsvgwye8o)!$EU#KNz(h3H{H=2{-!^5@OiHBZTu2DZK3=% ziqH@#Z$`$7Tp74uS3E#GKBRPp;*HAhr1Vmy<{$e<8PtKcIaB5IaprTwX{PiQ=0nDq zos_>=DLzP>(EqVHc_O$!RNPxQ4^*l*4#Iqf?ev;>F;nTqipXUABW0ZXk@E0I4taF= zsp4YgnWJ2l1h7g>c|!{^wKRRz`|l zE>cYKG&)a%EvcW{Vw|?IAib&^1mT1-`9Zk*)b2@d@rt9LvBZDE1_B>)41o6;xLsOV zqZV=u-YzWlj^2Vjo_)y5ilQqucu>zHs^-IJq%4>`L5*Jz~geykz=9t#(&k^BXV_Fg0UMf3JqBl-n<>^f2U(Dq9_wOL+c zb?HIz*CGrqaLuy}Zmp)YFxa3JuP1;{pH;Sq*CefAP6d9HQM8a8z!u`p~9Dmk#%`*6>WTarw-qG;?4*&rF|9Am(Q$1)D zK@@(YG4agbT#z$?T;Q(VL!qDHg3H=7-}+c{_FP(fG)K`<7gg`HT~StuzqpoP7S zHX?Euf?#3ePhnwY6{DSK8}NG**u713;mzB5@B7~G?gUlni6C041~`fKL(bSn>bK({ zlJzv!b$K$V`)M-oS?R6^rlxDPQw*jOWR$8nj~%Y`L2cnTRAT?nXNI6M&O_^`ukvjY zlBY8=&~ai-JFuyYtvSyS+UA5=1D|idX3HMPzga2{H6t8pJ5Awj+%p)jUybSy1*#ts*G%r)`y$Yh5tdm(w`KsQ^SRenRJM$UsJO~NSm z?4ak+i95atHQ7$GPc!wsM2f!v00960 DWBsr5 diff --git a/cpld/db/GR8RAM.sgdiff.cdb b/cpld/db/GR8RAM.sgdiff.cdb index 00eb44ada20df64401fd8f88ae7b888717aeb197..affd34eb0b027dd190e3a670a605c3a13723ecf6 100755 GIT binary patch literal 22067 zcmXuJ2UHW!7dA{$L1`kri%3zB-m3_R4Gc5RNL{ERG zpQb8K${_CVGxz(qwbdnTa=w<-JV{TI@F{&_mP2zROp(Fh?fZADBt+)M$MKEvB@J%` z&@bTo{`m8)jg5x9yrGZs-gMWlU3;v^o0uF#7CsrEf294ef~B?OsbDV{X43b#S1M9R zb0diNN5E0u;0p<`-Z$a3D;~Kt3G8Atic8FigtxU!y(dMe-g9L4uj3wkH%Cv=QiL8NB_S*nv>*d5^`2VOHL>1#26g>*30HuqDd9hzXwU zg7|DMh7jm@@#wi%YMPpzm$BMBmzN-_&3L$&&$Itun{j4rFQ!pAvuk9bwxGVTmo3Ng zO;f5budyw!w131%dMNO&b`9o^cFy@==V)-T7Jw*$)~j3M=UQiz`eTcd8c}l|R$F}1 zp7p8HdpYW~4;&%roy3DzCzMrDEYDou<;tsWrmGJb(5YowkC^bQacPz0*Rb*14AwWK z%9*|~SqYPDUFFPo$&!A~H!Ko;esSO7e1wEkprPEYJH6&44-!z5SGY>nOx6=!?h75^ z{ZshMVKVK6!FIE}C4X_oq`WQmq%?c{y(KW0Uv>o@II_ z9IH2fesRz9HBcoX0rPC*nFGnc+j{TNb`}TWz2a48xQ!R8AMEw1D?YB=OBM;I(R?g=g8y2XR-H3;=!o`rSi;wqJ@L1yvq5KCg=5 z!#w-N*L?S(JUF*~GV7gD=O^jgH`#u8Hzl);b=4%ZgfNX6XV$w)2X=i*oZrVRQXkXY zzrwE7wLGC`aFn-owYP{{F?`Oq1CwvRi!mn|lgEpX>hY3|=I;I(`_m zRi61k=b}->=W(m7?cZVJoY5?PP1v{g+%i`^kVT;`f1p1<|Uh2G7A;xBl~)N zFG^nQUI@n=^dC_jlR{Z~M9ZfGOgQIqP;b6|Nc|pAu9@@tjXuS~e+eOK70Fk_+K+kZ z(3V8_xnum4H8I?z99ZKR5ZCcQ`X;sd=HxS$shLZ!7^y_ZImvuEdzeZ5y^~?KBgf_c z;2V6OUo*>E$|FU+4;B8Q@^>fW2FGmHk6-B< zjtF3cZfLDseElis)8BY4)o7q5Z?9w7Qg331X!v%+NP}{cEZXZI;>A6w`XFut+>`d( zZM3+6v0eqxh{&mIE_?U-%We7AKxkW8tc+VV=d}nki*K)EMC&F~KbYpnhh7mwsY5h_ zOHAv1>zkzZd&Kv{4*L%EzvEclDxciZe$d)dKE8Ny9venf0sRd1aSB%srzTccz%wD@Gnxxlci%?FB*#y}YRLtMq#Il8o-*8~-eFA%I@AKl`8IW&e zYJPP7q1Z{5*~gtnUkTB1j^&>}G6)uG0n0xp9KKEjJW{KEEJ<=^$hvE$j`g^n&VPNu z|JA?8A1{=Q^x?5DEwZ1~EXz#*%HNPEW^2Z#Z*nYEkfXoeM$U1~DQA9oD0%&np0XvC zxAt<@W)>e`M1FRwO)=bFxt!FzY^HYoO^Fj~5Z>t&KQHtk{`OPDXU6IxmT|#*YMcxZd4>^yo2&gVvJ7m>6dYRnXm7Q^P-aoEZaAnPbTY+ zI^rf{xn8BkKJK}GDl0YZ;VYaveIz8{^dvvx?N2)1;HKiJ7WI}N(?|WxibTI;CE^PM zCY037QR(HSMY2*ydvR3R%U-x&;o5=LzoVKs-Ws!CYnB2|{#MCK`~cHl9|k4sKeSAs zS$n3z_0OiF8OHbQG`XA$^r>E@)@{C+0?_1_9!`9wnQ;?4vq%Kzj=px1%D2ZHLqn;o zJh%9jt?TT5<&|2Fy(5nNcKfXL>g`2`c`yI2x25w;!)NYKpZ3KY_$DxUzUtR%RDL#| zN4?>HzxpKpl3V@U^eQB4s}>zC@+{ZpY&rbB&@aJX9EE)t^iUO$YP5VQTPDps^@BH21zQ zqrxNw{+%B4z)aaAW{}m9&4jsUlIeiu`qYbp!(=8|cIl=x1uNX*y=2qSN8Wb)P+YNA z>2fFQ*@{uxyvjn$+%OwXG-Tr3Fe`U^=Jy(J*pm_w)}8jXN7yUQIdl`5pT=@a(>?fN zJVq^;^aUEsds$?k=`FH&WJA3BgA6SNqk9ru3-Vbrk+uul+_zJe`0nsa`ybg)?|<|4<+OxW{Nu44ySe=p#Q9;=UC$o4GCWM3{5pfdv1TI4 zg}HNF@dnMOTLaz2^)1KG8`61SZ3(S8H3#JSFMGwM8!Q7}dfsjR^?LRmG|}8_~K-FbYIB?v`?lTw4XmvSC|>*wlin)Y4|%3 zB(ualb6vrzSjLqA`49~7`5?$Wb-cqw9rCEO#xC6SD429qzFZnx28&=4&DT!q;K2Ix zzbTUF`vM)vTDoUz*)_J!_#g~Y=r;m9zv*L}-JNrGeXcrxHXE~mp z+;0ry{_Ga~n4v;JZ_Kv$WqeHisfVD?Kz4&`Rl;{c$8^ZcuZO9w#n~-I8yojiU-Z&D ze&!kzjIt9bqbz2pdxHiKy2ALQhVJ6mFXgERzlJ#G_3`6*eyG1I$60B0Vrs2J{?oFl z#miYpuAwWlTluk_B+2FZH+9cbN&`smr8_R>KC2WfCXU_SO8qvpn0-ydpv^94^K-<{ zUIXPVWVEho+t0x@C-C9v5VaCMLghsGV98lkJ=j0$C(j+d#@zFS8yS!6_5@7=3-*(| zt=V^$cE`7`SGxx_y8rjG*=6DSDuMoc1k<`E1=7+Vo@=qe~Tp~d7 z(66agKgRu;@dvM=f3kK{hxMIVpT-~O`;G<>!RB6n%Ne*W(z(#O|aB$CPdqh&lkrro>xtVX|bng1ldNlcT@ZyTa#5v^DJk+4lI%q05L8l+tctGjxh_nn>Tqw*B( zdBW}cH`FFctv6%&c75}c+w+)X?Zn2E-!81U2PlUVEW4daKu%zL|1BTXLls_vir=Gj~POR-tjv#94Y z*}7s?`bOKMk@NS@C;Ge1$d!k3Uv_-{I48N(GQ9pQy6X~VSkkVsNq?Abz!9Yky2jKP zYWW~~>l3Q^!;ihEtm1p-G}qf-(L|W5i5rtYdX7HZJ>(g^+V)Fm0h(g4<|T~Wb(*$I z&+Xt3eH->WTmiKYpnhtU?y!*4I`=(;0c_JxW1i8})0SMY;=LR-|;ntF4F2@?8B#0T-n@K3JyR1RP)VFu_~7Q zY%ZMMOwv=0n%TNl^*7e!4KF9V&(#jw`9xz?#V0G8LhaHsp~A1q1@Z~JAtD^)FazmZ zK9?lJ#$9-1_|>IS;8or_E5Pd>#`+S2)?2m`)U>IZ(0PhGi}MQK71RS}EN3Yd$wpuN zdC8|Ic2ne)qr~pc=*d09>gJfam9GNY&vblilPw*uQH!zuym!=?`?3$4o>*48d*U*N27ri0rOk?{rJ&6>NnHDJojBl&9N65i(LAT~BLgo_BdS}dMT=@1?fN7t0= zmiF$6W-FJWjF!vflU3#iBwwcII+gFnC+*=mDsLCL)G<|*nF$rCqwh$IW2R0wsi}zM z*na#f@e21_$dAU8m0FjSaV{C>OJ$7A^|qgR{rT@>N!=N@ED@|jPo9(iI}X$WfAyPn z2{WASogLyeM<2nTK~afWkJq-Hc-&c~kne7gP10|k6brr*@Ea(}+p5l#8oImv>}mPI zl%eYu%Wp-UHXiC4pKmA9?vHXGBp41YdoagZJ(Ulgqu z9rQ6J4xJe*)*z{=Gg(wMPC`byn=;KRefl-S+Yu^RpF66Tiqq3CZtw8QDbV@3>eU_J zpL@VLnuzh`@4Xhnw;E=y1{M8Rq;ND*kQB zaS;=@pv5LH#dJCqU%n{|3d)Hat;J>znr3JV9HW02`uhXAw#B-Blb@`vSr%m8`QT79 zS_1$ex7rvus(I_BOJ-!f1uT^u^Xgjiu06kacC35g{l&lL(C~+(%II2{ogxCFz zq$LM<5b#EdF!>O6^_LDULnRx4eNfje$0b)76{=j+2i^gW^y0if89iOf#ci|GUJ)$4 zuBt3b{J<6qdeg`P4E+%U!^NDR&*HWj!pk(DO^Y*8KDx*LKBpA*=%9MPbo}69S8k3y z4OopZbpBo;=c$v7tOPO(p(3B&VLQ(3@@z<}xS9E|NH1vLP1fbb+P3{O>nv`Ice=#Q zP#x#uc)cDKw?0Ua0xM{^f^Vx=87HqLrrq>_y94uKE?9$n?@6F!z&~o~CSJZ`oAotM zwu#2r(>kptz>&SC8d{XRlj6*)5`}?K;${CfYNC<6wGD$760OApAapXjSS_VUxNMYWVvD7PoQGrOjxMms<9Rl`j!}|CM=vwP&zYyaor5`y63zAIy7|nDH z3avZS{QAj;1871k4W0iK1K6T0X!C+y9+^90+#-H~T~%~TY_ z8V240`GGVeIVhcq15of4hmgOrXpno11mEXC>Edg#ybJ%^vADO% zvcEPbm02m@M!}9}CnG+}ZZQ{`Mt!qB{sn)78-NvSba$vOypfCC1!<#@Tq)^8vOZ_K@gH?}cDJngjx zNN)vl-0ych%)AwJPnIs*&Xd%iWBveGCnz@bB=o{#GPB*Ob15C~SC*U&g#=s(zeyvu z>69>jt#nV2IrG$>!QshC@}WA(QzdBF?^SHTGxM|R=KutPDN++puDL-vt|oBZJ*lVx zCcQod4|dK9Ei3$e%w`9FtOLpm{2dkW9}-picf3Ip*d_EU6n5nZ%>9Tc6GBFRo`A$t zr1sTd?S^BFx6;SW&nb$B)s*?Ii^=a$EubURd4Ep+N+=^Tq)$8S%ZbN%xh{a4D&R+1 z8%{1hi$9>F52%yFpI1c=3Wuj}e%NZrT6*TuRZrF<=%v?w#_A4DS}+t?1O|I$nF> zF#^Es4OFqfOVa|;Sp1zPt^thX^V6%@L$}}t{2O9dN;xBC?g1?#p~(%C*iUvhC&AYE zVcWUic@fmPw9VK@T+a-2QIg@^L|;yE9z6sAmSH^@kVLwT&yOb<~9o> zA(tuc5@}p+Q1t&M??nj9FDNLDfeHLeQ-&5;WLnBV_>Di{`}mSXf@nM%Evc2eRrW&& zxSY%zuhTv4Jn;4AIws&Bmll~(G=_MGp)!V&`w4G^$CK7M5sL#7Qx7%~kVhb+(>@9K zNQ(;Z4j9{A6X`4{N_jersLf2MR~dp3nrn!G<|%-cj3Q$B{eX@mqm#cDBQZMcCr3Yj zy)fTQ1?24L7pSFERBOgSn?6sbKx}lf{!c#FCwKU0zCPiGxBk{_mIPHU0?haS7#jt+ z@qGyRSA7qTj(RH?a1Tt1%o;dE3Ret)zINoa@d$l9*?~MGbA=|ie2JNi$a4QQ$W^d| zrTy~us=QJCO=$x6J<7iuU>}3)=h=DM|9Ym^=n|7Y5kf(l8^7%IXC5Ai3_){yMgxBI_v~1yFDVUL1hx za*VIKGZOhOOJ<_v;_4m=V;=%~%16X>t~tTe>R_8jvySV{M%oWZkBILk0RGzD+S1FQ zMF^g&pFF#)^lFw}=V zJzyS^&kw#{CLI>`^CG2{UyaJQN!W*hTslIuEknv*8lsAmwRd40n)LUlv4RFdvv~5K zPA#DI=k>bKV$hW@m>dA?1g|_q32mc%quR5{48+T1{-q=pC;N#q8V5wQbk`f7cnU2T z_Bw-i7|vbp@nZyb_ZE+BR41IKERdD;%R=PwhZqOv{85_6$Uh0#$((J+?SKnplUI@! zCga-K^2kMwU4Hq`zfT!5*(e`RXUQu%o9bDE!{aeJ-4|uT4f{aqh3vCFo#jN1UW{)n z4yYP3_|x?c^j~vT_wX~pL_M{|i(c~-3bT+-C9cRxwLl?t+bD^1(UX@IHyjA?9hQUr3z?&=@r#$?*yPpu z4N6@4I|iI8YI3!s@^1mQtOCCeYLoG{qP7BWg>9>;inV#}$(Cy_*P^WM+iG0{WDO5W>LC8MxNJ$7&9W>l zb=Ush|JJ82whmz;K=yW~wGDRcei^79`vB8%zBe{>iU!WsBW|1nH2yp^E^wO%W!wT* zXsibe*zonHk5$yNLUGgZ>HEh)=8k51#CrcEC5fk(4rwoy!AqQ?MT~t%1|=7Fpw?ejtT!UvEn=OLT8tnaW7J1sKHk>K@tQP+)xH%HZa(lqn-wInzNr07a4dDVDc-&PVHwh84rE$h zDGZh;n53Sy^ovNdZSF*oKs53thJjN$rix^12dOQ#V}u1JJIK{ndG2D(S808bmSaEz zYI6IMeFK{JW8H6G30$AIruZ%8gjJg??Rcc`^h8L|oQCmS7XVQ-pf%wR6uH3*RF z?`kUKR=6xqG83`R3Jh7d8E-7tQ_hBUlzmfkXF2T=HBBd7A4CGQ+XiXGj}N%^WWSC^|NH$Qc%CHkPdp3?tr*+Z zIUojbEV+!^{sf`@uw`!w>Mu4p^_F0C_kf63=f__Z4i~s3rVBJ*ZkXl-7jCc79dZv9H`(2u3mx~dRSfX^N8AwTA)2H9Y?nV#4l z+kNi^%&YBPFzc(?j9A>F#zIt#1H$jtojz2k_ay-fZ-Q~xdBWjimIMsBPGU&K_GzGR zv`Y*MJEEkNif+6GGP8c}?qjcw%?2$yT?IV~>Tr4bj#1Z|VrQEX1E)Q^q+;b~i1LsT z_ZrsL{eWn|tOI4veNxvX9xzY{x3~#LVB6c7w2!{r(LTR*g}|_65xvg{xC1RP@f{J0 zVBOJSnm@L=rT2Co|7QSYJ%CoLIn{J>o8Ss6GRrV4FySQ|9vM}o@h+6ak&gFxwkVpx z9H1^sGuUe8yv=F@#bXc~*v;tkh+85-d}3N`S3_hAeSI69yH?O9gd#;S2xRzJc7apKc3C6V zA$f&MEFAv)pK4=``UY*+$rl~OV1Rnp#n-ybG#n;`Y(gR|YZs{O$PTE8lQ~rnPcf3P zf(d=fBLmQbRWN#oa26qkx01xS(^ArvPvy!R6(!(es=n@e2<*>9cSn2o)fzz^_w@Z2 zh-9ft7S{|;D~1le6{WZu`|71MJDU9=q!TO6xs$(<&OH+{1v)i@wdX&YRHH>xKm=uc zu-TA~%8}>r&#%FogZYi9{kMR0EFgznl=W`aMKl@Th5_oSc15Ttu~B)2H$GcGNtr1@ z*Tj)OFwfG&`=7Jc$SD0hp z``1+SL$VlQ8{3M%|Cjcpn{0lh1gyTh(_n=Nq~Eh|Njm={0FkNHO(VvYnL4y1Dx4?- zO}E$6Qo}Zu--0io^>FX|i_{#l@o(#Pz^olH>&rh9I zE5%db|A)JjtBnPDkg@S=n2t}W6-(}dDZD7YgZ({)=bic{3#tzO(m+_c^qvk=Egu#n+t&stdnxgNQ)bFk8Yp+?L6LX9cu9;m< zLd`*hho0!3xNWz`?;?(O@rRcHt2N!705O+?dceBn0M0=Vei5mCA1pyyti+0r?<^!7 zPB0Pn8RhTND{n0ba|7@JE>fWOjCwSngo_6m!g#-6-J-j&=>Fv>}C?g}y zQ*2VL$|5=HPHcu243~g<5@7rW*%?Q~okMj0hvNqBbW@c0V!%R|#Z5|pbtUL;`-neW#H6_n$lbxgJi{(|-6b|yN_ zLpym!A3#{#F9fxt0VXZ6WZ-bYWa)$b@+ z-uy#zBNUh&rJBui@<5T~lTP_D$)#Qbe2zI&G_lTSh1KY|7jKxBco|fqt5Z-AH{;v0 zgZ$Da4aA8$kg!fL>8yCD>{|zWpPsNqAKi$;zw@$+da8$nZPNRz5^6V(&xo29?>rs_ zjSIc(|DS#bWwM6BsSRaB8AK78p&UoW&+U1-K?=ev)!K6 z0GTchwJrixv-mE{F)8SYu0TG;yak-Byz|^@BSckLsyko6*X%rCv6W-YVXI5k@)~Tg zLlMfVAxq`b`mvdWZNjEgXLILRtE#n$s#;Yq2Hs z?@@WAE&4+ZkZZNq6jFHohNVniPNbZSI-?8Yu3K*h`k>3#djex~8BD1mjDQTwJUwER zIH%`eYbJ8}FRT6UbH|H;3eIMKYr6@#c*^5%!JF&6%NW6gGX={4qNtY-=SUKkp{^_v zaRohl?CrA(-QDWpQR^a-5~Aw9AnZE&A;@3Po>M;Q$O!l^{P*xagHXI91MZ)`&Iia{ zh46Kr61rd^W?dR~Gc2X^ij6+5MkJJJS-qZ$i)ef~lp zYNtiwvH%M9^5+nW$a{K+vIxzfW_y?I^0WTtK*oP{?+Gvb*}c7OP2)V)jn@t;)=IR- zwJN&jFISARqOL(fF$zjZ(=P&o#-{z7#Z=R*tGJXy_lAw}~8}iHN^>5Pn zMJCbiEA!S7@AsW{?~Z7%5|%9iLC+<&Z};eN%>C<@`SnW%=5o%l{R|(z1K5@HVvdB) zRW83m0A9~zA|?sKepqc(han0OKAkg_1JSd7&HCsYT$m6 z*u?FQVVoAXZy@d9%bh?cBj8T+sR|S;(C{cg+X39Y-LB!}4Oc?@U0Z~8eCk8`-EShpBRla7(`BYCeJ8e|4YlkIm$n#6Q2N4rosj z&~ZaQVz9N_wmDc6?YfH?VJE-GV-2>?#J1tg-@*?7v4QH^$yF6-3*q>QN#8E_!fOP^ z$AF;t-<3!#mK$OTAP3u->SUauQAKE!@HBm&T{T+IW-1d=45smpFwL@z4kd%JcuvntO{+eY^q&t(rFHqTDgIlgJp>R5k%yR$hUfE=h%>+Fe~ z&RaiQ&wGzcfV_Y#UsmDyW(P~|)DhJF)*5UFI!K?Ej0cN9gy_8lo!0JN)(O9?Gm7P! z-HWmZ30N1;c2Br8+i|JXlhw=gA*4bsO4;Ou~+&T*fKBH?2k5Hn#D~ooknSsjRpr&nqzgquGg1 zSf|dffQEV2qv@OA;eS?JrhE1{Uvr(yMWW)-$iKnUZ()?AaE_!OY&k2e3UJ!yAmnio%#2u^I-#)EQ;Hsv5k)YaM3H|CK-ZeSIrZD$K~k&Mf5b?? zPvSMhQ^ANI{>=}Ap?oJzU|MGaCKrs-C5MY#_~pGg!D!5aPrOwhpq5hr=Z-F_n+Qf6 zQR^KO!dHHX!oC5he;6FBv)*)z;7&s{6uD@=Y@)+-QGVnt;R+|*rbxzg*HNa)0I3P= zUI^l9e$)cxLcm=sSSXB0*9Ep$179nkByL(;7Zb}Kk|ET=LL0EF2fvdLGNCG9nb!g^ zu2AL8nG+dWUX69N6TujP1+vOoUds#K8mxn}YOwuWRg9bwQT{@lsrH{3(mNNZtP$#b zWMlkS|K{-jCW0Y>bKiZ<3ta_ewhi%KUx|1NfrKNXn2uJ&KWrSeGVcb|k5WL1bwy|E z1dasSKx%F48uZEATfrZ3f#>^>O48d8JVQa(>GlrZoe!?CBuIsLFLmx>(>`t=wz>u< zr&c#&VnuIkdsI(S1}g4M%i=hHziXhP5JI%}d{S8TTEUNCUuXiv7L@I%xG9}~25huB zQ?4JQvG%VO3|jwQ)0YckNsENM#Y?HFdlN7k?EL0i-24XT9n?WsiCVsavTnaUrk&p( zVf~#SsPm4UUQ_3x4`au3j91)>1_l~0Xa$}m=(mE9$f?se|3(Y;0+*7B{5D6BDrb`* z`|;X?KcUUY5qBg=eQt1wR#614ndf-%>EtwYT)9hUc;tD`4=`4VPz=vJp8eF5I{GXn z?F`gFPMH+{@@`eVMNcePsU1w_6f@+v?9rUAM679iUSJdyzMVqHg0Pim7+K9G`q5+( zJg!Wl%1kCF_KceY=MiDm$J&ubCGAavlqz2IFU9v?aP6$8GA6m%t^n_9`5h5%;)hjit_0uNAkfb~ z95=ke6X4(cy1UAjFMJ$$^=r+w{B&Ukbo1-nT98TiTScq2Id<2Y=&A*wkFdS)R9hmn zE37MhueIE9_F92V3d(vykY}H#Wh5|}x{HS3BESzp`mZehCz7@236)KH3)!~b&L%yy zS2!YDmCUM|V5^?U*rHWgV$bk`+X)fX$BF;)z~C?pB?O9Lv&%&o-ha8wPJ)WysrfDfH5@E1dN@iTi|s&m*nTfQ)KQ6a}(e}Mf9I@G7&iMjs> z$^Z5qOxz}4Ybl>PPJy)!5U_tCW48)1V>CJ&P^o!9HEuBgqTXz<+ZdCHsp{z1GCmTB z7x`@ev4E#HGg?!ebNkE>GTW1C+fD;kv>oV_@CJ_tbb*;jcc`|0c+;&4B?l9qL&t4Z zxM+!RPUaVxjHo)0VQ#s9#tL zMe4f*cKebfVK(Wyt~>Tv;;9t6+0uBoJq>%Aj6ToEMU1@da#zD-EVFRdandY2i@BQTgr@-ox~6{Q1hyOfG{idAn)Y%z#|)Gy9*s05&)!L2uE zuZiX+>IBX126dgCMWV;FqLimanD=~2w7YC|m#6+lBh_yavg$P3+^jS_4qJ7Dtu1j6 zj$%m+tA@0}0n~ZtHjF_ZG%%W$d^e7DtoZ7yrGoBmtoaN*S`uOaaUNZ`^jrkJ1i(}& zRtkNKqZFOt$+3ai{Vo)czkgycQKjOznDo);h(PCb>}MAh4|eKof#tQyJ9pyRuS)i~ z^}0|#{)1tEZ1*DwJmUoM)?fz>$z6zf(QL4)u`bEuSnnN+T~$s*IQ+eBqo)R6aut$) ztlPl5dF2&{F)uOSph=q@efG(nct)^Lz>Th!j6RZBR^W5_ z=|Vs&`J6%gkHq^cp=uv*>W*o3A=O8h3n?ohA^FhE;-u3vDEs>e1Y9zZjDvbymB!l8 zqbs<+icYQKiGH3{?gvubn|on>neD2aUkMTYPTgtY25Ts* z(4=!ZJSuS__OwyQLuSKF(6(QwC36t-`9)`;eLO6Rz-b#&#a5N5{{j`Q&~uHsU~L57 zYnP&AHd3u{B2bME%V>f}hqzu5coVm;z;YybB~L!IP4?QDl#x^brB0?08F*!)h{fwW z^qhk6!mH=ZU|CX7CaM`%DG6r1N0v;wj6OG2k6TeOF1{-FzWr(@U)Zm%tB81g-B9sR zX~bnO+g?jF^0c-mnW?@9Uhxl#?$gcV`I`wBpBto}=6E&>282k_{T#c-N`h}}vU&N+ z9E?A+0N5dgFA%hrVWZwWsJ!MI{H<%=cc@0cu_Ac7GqD>;k)Wgp&JfbZyH!CJUJHX@ z8VjZR0a~@Y*^N|a+6T6fHF0X`t6K{u8PWXK@oR$jaIp%C<4W2Ck)rxQ5PeXPPz}g1 zUSvB=N4=~;dt%dxuH1-{U76)7+J(S8_6=49I0^M3@4a8LL53Y`J~04>aLEe(vWtqg<0 zbTrcWNPB+3TwIL2u-Ai1fdg)W3a%c;aSBSzpCOb&;HWtoQGq0mLx?vFBl)R|H+Rr# z$C{U;4gZ0JS_*Mo(7*A5#N6n;#M2HeCmL?dbK1bCtqgZo}g6I*QW-DZd7ix$vTb!l71y0Rm&$eQ3=2+g}tVj8^#QNEebk>(!#&c6a)zl zqFBr~g%VXgp0LyT19b+bXHOKE^H6K%8wkOcJ30lX3;Gu_18XMUdk^9t`mP8)2)9Ov zYcqEK)6Zpk$l?<4KbdM$gI%I45Ul<1Qf37u7>RuL!w2@|+QsI@lXtM!vF>|ehrn9u zoxS6JGN;uxPFe71QniU1IwPXOU(U7m_F3akA}ne@o`!Q!Wf-#Xd~ylBXb4y7Q57}P z{S@E~BD){4s-DzRK7LR%L24$?jyAFjtn>NQ44%p8HnaFxtxlYy{0eQ4>X`?~9nurz zOV@xR%Z>8C1|j|8q4Iv?eTbVkNx0*^ybCyaq zjw>H_z4vX<=-1WAhm4ThqqkE%zRmPvnAOb3T$+zHC0;D4qtbk7FcWn~o zA?`^7R|M29#_!fwwxA7P#Iivx3iVSJ-r}b+z`3TLbZm*Y?<%L}R}|&B{E9X046{jQ zub2;yZ)VY6A_`Bi-+PM{G!+0Va|Z06o+XVOpF^W9b`aAK2QkC_bu82;Kp(LB-Y_xQijkY#M=V!qXITzifV&o8l4BRdFY6g37BRsEGNX12e5yh0I*!7 zL|?IvXCTs}OHVz(rjxH|p7}@WcZ0EK${cDJnn)@fg`G8zOk~{yc7FU4RL@;XEZQcm%Rpzt4U z@>%7O9|?lxo$)`yWyMJO5sg~J&A-~G9P7`<<-ezT4+*HU%#smwYBG=8&nD>9wLRQd zpH9Rc=(;@I#w~p^CxS^WjLcB3UnGihS42hoNzp{It7s<|GI^D_*R|S>vxh?HX5CYJ^MhXZDNFSC@7dfojGtdq$+V0!CkaB12lPMN?>=B(8wdjD$Npith(VT4@;{G zUdS^0jmFsYI&!c&k=GlU(bR0d_ z_JbEC!ySFe!AZtZCZO>n1yxcLa~|0`Lg#mcaklMgZWi;w1r_ta2bDvGPA52H!F;qo zk7lnpg0Wy{9=;SclWX-~OU{Axg_yv9TU5A=`TA1wuqjf3oN z%=zeQ0F;NA_8ATl$+#-nb>4v5jB&-chx}?Lq=2sCZvC3YHHbs9t$Jpa^3FTh2kS0J zN2^d0;IRi@eAY7Qm1k_w4wx2=9r`0D`Ra7W*f_<2`h(ZqDGD**c zkx@<3$PvG9Ar4F45sZ|3UF1Y{pw=CmbwHAR;B#>gX7szx^2>nLS>#(byY>*KxoTB^ z($v=&nBe;jjZ$~iV%D)L>df>onqHjDD~e;Iq9`S~ORD#w2pk5dh^x|skALVv>Ul7_ zmP|eQJ%}}*_g-mXf&%JQtRpRd#E@y@MTTCqW;z zN*Tg4vE?%(XYNsOlCX933_<@%E-t97vAz^ps-KMvb2PcHdc4{BV`ozAJ#DZ6^T~gg zuXg=?2R5E?+$@R%NOV(JRt-+oYT~ysNvxEEUxccda&1o!a zVsHgzWuUrsagPmO&EMYlc+cDOes!=L3$Pck_u_7az!y(|VkR=HhCyO{*89CA=4Z`A zV#%TWpdMDjg<7r1EC+>SK8^giRi|{d;eekUwDSZqLR}7XzDy!x;zk`FtF}R}fRML> z#$)5Qy#)$m$Rnb-1X!O1u%~#QUbg0{R9t=1^Mq(WrwrVfe_Ns2zb zCZB6T46Z%z zXn&+Wp(yjCLZaG*;6%ISE$s$eCABuEYw&kS^9jAtflkL3hIeGI`dnz-r0V9mi5Lq? z;kj;scr`Rzla8>bj{1?KJsU`gYy5R}!gyMT|6F)y)+?y?Um`{)z-rg$(fYF~!Y@MT zh#DKnPb64dTL$NL{T*xk|26_=;e(m92rzpU#wBQyK{hQq`j4f@IixRA;Q*7Az`WN zA2!`78GrBk@cL#0_OL!{fw#H7X4(2$+QKVFApTudt#GaaW+t zOz_`;v&@=?{cV?$ix=Z7D;U%!cQ`v zVyaF#9FhePxVAIPmy{NWePloy0gSerop6Ir6D*f}iBg}osHYJm`O4FX#m6!Kwu%&N zkGr*nAR|5i4)scq?He;iq7ly}DL;t6k0P43CXJR!A94G3KNX2kFVm;UY2=Ya_4q9w zEI|agY^JRiYLCaJ1WuxAdK~r0+zmR^>|5? z^~_=Kz$+*5MZ&oDr))btVMp_wVDGTL(DI=*SwO7yi3Bsv)!L@U0)lqD6sQfmY2^;{zP||^*EfYrE;yXncZOEgrZXhZ zi!Z@hIgb>&@hG09Hj%~Z7_tJ3u)YCepVe6m`+J7(ILMKd716QRT1q2FD&x!fz+Q*W z=~ZR4Ha=m|_KWrK62Hk?Sc9*wqc==%*{t9)n0n!LThXyllwBusDqUfc$^>9CAI1d% zLyN~;Vgf2H`lP#LM4n9EZ?b<3F-n#eubzla_KCMWlucd!JsJsk6)cGl-K(Ld2at~( z4}6EI6MF>0C_^_CN<9q9g>Oe%znvqyF_aFqf`CO#-pFC@eeHxThlteCxtJYwx!XGN zpFxhiYjo}&SUR($A1cD>XY21@>^Yg+%IB2l4Gpy<2F29fB}czYc=c=1gND&okz zulUHxa6~-yus=sSlfo(8+wuks{OdZlWu>`KzFQ3h<{Q$wd@F^wq^xbKU5kW~0y_}L z8@pr2**5ezX&YtlZ8vpqGK{1rG{IYrBZ-90Bm)k%xYLk_K|NAEqCe!wn$Ua?ONAvC z#Ay>*u0dAe+gO5`Wum4}htD4B$xbM*--0;$xOC8WnqgnskD9Kd+S*4$OEo%DoCE|3 zU98=Nq3sk~mk&zN`9azOv5W)L#tQ${BiM?`3}?@#&}KlHEX#V*ijh^A5tI) zR#7^Px4(o6VN8x+(mOuCf2X>{_6TcP-DTT?v+QGxf2czK<(Ey}9^1??5m@12qH`9` zybRdfh8|D{;;tM8m1H;&0_Ft+X%Rt#vyt#mrXyOV8kElAfQEcqv#v) zmcvG*yO;kC)>yDXr#CnLv|L*nfV^MufG^k+8vT&NM}{*D%w^cm-WNXE3yba^G+6`z zT$I-UM#>WHo@}#@QH1w%8*AW2k{yg}4J_i$fmWgINUFd60!Fx|&L&W^t}PKJ;Sxx@ zHJ@Cf(ZV+aI@a>P{hXvM&hOsMRJg-(m$d6BVzKghEUErPVh z#rIcy3v;KXF+9ESl;;1NHFe%6R{VJsED)X^PxnsP1`xSHlf`X7$RIfqpb*wF$gV}5 z-q9}qCEK{{dd_9qv|cv<(7i{07@O_^P00zevTWK0fZ_`)JdTMxmy@y>f-q&%5uyk$ z(0npsJ}L4Mhep`=4cp0oVNFt9eOa&N4eZfL)Sp=J3E832)LJ9yzD zf=1ftF>o{qMRntSYmyr!>|63wpv7(79apu0MBzgS?85IpywDv(`6Q_Dwji3q>(Y zaO96fv<+V z-Jhdf^lbh3>M&%)7juEk7z~Oep$j-8O1HiO1K-bKFEn#W4`f1fKM%S!aKaAM);!># z;Q>pXjvUQ!p-}pxA@y|N7Zy$Kzzfy=E39HnFI6`-9}e0oM`6ifA_>oG`7cQA!}zPm z97^8Oo7p}iU< zae_Lv`Xv+;VaE#nPBiyevkysb=Gxu&ni$OAKIoVV6Zp#u+#;Ih5i|(wKa`W}c4hzI zMaQ_RuM%UU{NA&}3|J3Q*NfEJg__$wGFuU-ZNN^%xuBJ&Wo->75Xzk^^f$DF8hi;&nT1#G+3~BQp2{NVr|U8qJL#yFwkEc3Cg6#j@Kvtr;_CU0 zN*vF{RE7+W?1?jJR8Jy-n!djs2;%XAsuAG{ek#`T8rts&3fr-xg4=rI`{J2;?8{9@A0B*VR&u$Lo24h0f`6>k6|IDg0z%6;@iLH#Fco$LmY$A zIKwCF2|rpz0Vfm~t~FS7G%~iXfy@~xNKjds8D>M^RfsQh&k09D7 zK=@4H^uWPuvSj{yGkqi>RFYLnx?+q*|bFqKU|gh z1{V$FySMuqvjLdM89JGc8v{9TmQYa^LeR($P~ZOgoA!+y>MEIxslVCqWPC>Q-8B(K0|+~Y zF5Qti&ee7eCY?!+Vkxz6LCP(ZwM1y51T4f>LDM+Qv+4E%x)RTPc1?kl>1{0A^8g+Vp$NeR zp7RT2(2GYW6=2<8%6&I|j@ZxSREVdwXQ zM-SxFb}f0yuH*4K+1j9Yhd;ybcB*1k-Ja!QsvxQI)by(EIk&Ah2e~jwu84Lu-$zei zPiSJE(!o-&h`ela@@pr8Ky8gAN0>Rc^IUE&Z6CrSb!~b>68|V~DqJnzQs-A<8~!iJ z(@3Yd`Z%iaKGPCn#z@*xS|;_3v(+Q73j0}dZ%CK37ETfQd;Q`|B@o>BpJP2*1LweL zu!DPe=YZOo_E-UZuAU+-qSeTv4p1Q1B%XXXqQo0=()jILve7TE*g6WcguIiURMvT6kOoGM2|o;$=+)@y zdZ@djTzs3}#+IWloA-WP3XESgetIYNgFx)BSm)3OMk>$l*UG@dS4wB(`{{crjdjAgVDgvzv3MNvI7S zqSV6g6&r_Y!&;1vlDD+m1LWvXao|_6Yh*8&B+2J@s!g?a;Ur(eLtFgCl(1I&;>n5; z50TyT$UpqXt@Bo4?T_VilUoT6(Y2**8$q{EF^te|gG(AWmp7U|t@&6-WANrOvE6ub zwakHoa(mkccBY3s?Qzb6k4-fE;VH)R<4PF)lP{ohOKoO3HO{Uc<*(d&0#!zea^CtX z+nv!}?lsKr?a6cpGN>}pVUndHItS8$5CAz-NN9Y>C6aj@>t>kR8B?9_1W4saFq#ji4>C?LDV|C@*PI?_jvQaisMaY?%xigKmhPdPuAJ`3i9Y_YWzMsS8AF+vGL^|Z zm7GmmPyD&We@@?VE(!IN`>{K5O;M=KI;-2(r^mJ~q_*|G(w*AN$;nH#>6>Fuf0SBh zJ-a6w&?+9#H{V6EsJ+}(tgiW{$EeQCXDj2`y*GyLgL}b)^5Mc*-LB$uiDOfjB`)?| zYppkXV|d)H$|UyKpq(e-*r3vX2LE&Ee~ae-IV=*FC$2O3Sy-6NP}bN7<}U>G&mp`@ zyy}^iN24#Dm6fNgY$~Ua4`$`Q=8evoH|x5K3UuE}yw&1dT{MB8GD{m6PkZCnQ{RKM|{_-=kHp{QJ!N zm#VVzMk40i&%1d8r46N$Ro_HxugksUHg=j|7XL~|a!a>+plp)HxH2&E3SMe!oK~dj z8xHOK>uJFW3SRBG`mb(lyJcT{SJs8jw%3*WU6xpUj$mC!mwP3l)Jm${Q@y#_hah~s zB33x^^egMR>FVOo_0AtZpU~&XeW|wY64k}7ZJ$dE7JTTfSDZBSSv=)~ZO(&hArZlU5qs ztiq+Npmk90R=)bu5o6xr#nENz{5X=Nsmk{5Pq@BHTD=XSAUD2VC3r}&LCe3_J_&vtiL)@*DwetfTltvb%>vwyl$B9L{t(byu1 zz@Yi;Zk=p@r&Di`uttQLy}PCMz%Iq{gZS|+C-swIhX<{eu2)}2hIe($0OR~U&WtWy zm$v92GE^e^{w^O3^0O~FoDH~Da`(4(%9Zbw4mxWIKR;&ayl%|LO@qX=b*r*RV+8M) q8|XFn-bmZxOAm$)&%~sE>q{MfM&3L#Kdb54U1DDaKK{U!$^0K}3Vcie literal 21865 zcmX7O2UHWy_ck2`0g);ll%goTR|Sz?L=h>HSCA&sr4s_urFW@8KoAi_2Wg>q2py@R z7efyr327g{@4s`-+&#NzX7}vg``l-qJIrKcWYzTK*S+s`)4H~=9esRVJv{`ZWhJB} zqy@D6TtB`Skdc)UkW!G8mXVZ^l9m;C>FDF{>fk6~_RhggKw99Xfqy@1Yp*H70Y z5nPnl(~yzLng4%7rcCpH*45(wY#{j|khYjt2Zi1t{}yF;-@J_ax6kT#n73F59P3vf=nwIfZx z**!KH+_iU%46c2&$1koliVoq)7FG1VG5!#He{x5?|y|aZzp|x&~&fReJW;etPwmXZWuDG z!&g|Jyq8{Y6tpPHWt!txnAw>uhs5T zs7^B{kNg?Bq&+UDT(dD}(Q=bT5|o9iF!N7l?)l==LuHcZBhjF3oiw4%&k7bQaER%g z=zM^HPR0(IUNza}3jqW=8y5cT3%K83sAJKc(4ln|{WEJQ;WhldaR2^wUxfeuC@8KJ zCZ8-p&$yFTI)qO6?=S~;>db}D=$no$?#EMZ4~;coWcgwZTH`5m1CGP{C(m-lrtjd7Z>~CmqFi zd8YAz;TH)RHQ{~?CDLij9{B?sHNwiV?Z5aN3z6kOAl_czhf+wMx{vdU?Zd#Fj z`N0Dax`(bRGZ)?X_u#^z%wak2@3$OO&6tD&e!Z#Z?8F;jm55u&3yC#2DU)*|hm^O4T1SI?2eFy#P{_5-p@ScY< z%a_I_s#`s#b9$0((2eT8(j}O-bLE0mWE+nZ^k>&u{(9V7OGcJIA2#`zMxx?pcbYPj z(mimkid?rG{?4Wg_Qb|I@)~8NWFH6796wXcn*BNI@rWw;>Uf&fTIY=n6T*7#UKtWP zbPw4_OV{*4{1`cZIkn?&%=Qm8s1E-zNAt>7mU;0$&G4TI?$u)9y2Q{gIuaVElB72> ziEd(|8@DR{7}JN3pZkY6MS_})u%eo{uX3Kw#*wR~R+e5YJ5I(Ke~zEj2GjGD0BwR_ z#p%>erP;qIOo*To*;9e2g_XT%@NZ~(WY#Ns*dzC7I$1CMcbTel6^DLbg)j$tHs~JQ z+7-wtX%{f2<=PsBGyxYsExP&bF0KOvL$=AEm@xm{w% z2GVlZhA#saf62GY&H6vj`B(iARkX0aVUQP=(up_6z7e0{H*f%iv()i#mPk16X3Z)6 zw2)7ZZ2KVp&$~=pWIAb1G<>?TALVDlyQ7fgI4|fMzw+s)!Tp(I#$nQ z1`QGbs+yyC{6Frduf(ak+t$(cOI+Wv%0tLsu#G1XGe2(C{77`QK(am$`$nMzp8SSTWO?bkQLYFojl$A z!Zq>w$A+Z!q*Q%CD!S7m@^R9R`@h>?zl;0I?v13Do~K z-I(rrhbqv{lYRJfViEY6q;uyl?~k=Z@*`Vqp~vZ|UeV8O7e(|XZwND04$8asX~lfe z%(0^N%Lt+$)!)3?KQogRyy;ZF)Lh)y`DC8OkKvtu@?B;xJIK4bslucf%Ys;6=1^bh z_tT1GGFjdUOyULoMx!x$MPH8-?^1fU-*5C`8SrQ|zrniwjiqtqURkLX;kKxSXL$+> z=w8H4&Y&Zya5xRc7c8x0)rXiampL+S;ZHIw!9UZuE340cIB(bdmJIyp+^A1#7;#9; zeD?d(-Palo^>VG0-_|teUisg+e0=^;lDR#%Aj+>{FX8AWcyh^cN~*7_BWDc4Qyt7; z<;+qUmHAKpV%*kWxI|smz`UyP(!K-~c6&T}IODh>xaUah^KI8Xg_~#>p#n2gWTdx^ zxkU@Zv{U2KKk}(yYhhDwz5it9h4OOgjc)%IEs-*etnlmm&Tq(lG((Y5;3jmjaZ2`A z;yx3bia0#{PP$DR!Ql1#O6ifY`)umfTdgy?9|X(RR${~OKW;mODRLo@t*_ainOo_b zgs#Q8(WtMwA9kTyrls_^vIhjY9kYeK>{1+hlA<@1xZFcT?b-_LwO^oR9wu*l1n2vG z0iIGz9-sYYgTBq1mnRmq8)uC+sN~oW8^8I+^z^#y-kI ze)g>A3wG+S;hfReMQ)($vS(D|`p;&bo7&c2*HX#Me$2{$l;Um&Tl(lH{r)FM2>LvR zM=zu{Z<=_2`l9N-t&H3db7yo2VTQ)AJ?D~=Aclkf* zB$Qj##(Q0erzK(E5(IeEr#HMZabYjrj)PyZ0DZn3XJ}h9=nkS_UUE`WuS#8ELQ8L! z0|J+jp&>MfY!MTv<3zsss~AgMFoR!PIXhJVv+(dyCJj5g#1UQmF!HtF%F?OolRR8I zMA9cEZam7<&0cdg%Hh$&UtgQIIX`A%?I|b3gIKw>Ha@XiHwvD9@LVVNt`9)x)O;(0 zt81@)!Id&dFmL9kXQbNJ$s2LXwSL^|Y?`%p1}r^uZWg60h)+gr=PkTw&2d?szcqKz zjW-MF>tuCs`)s{0!EMcR%hV-X%#zFcqh5*rm5N;7#g97g^w_P2N!Y0%H(_45x}ub9 z^w!5K=_0$K%A`S-OOs?iwIiRrW4*I9Yi@Pz(?{kIPG9GDKLX~ElNu{csb$}>-vzQp z@P*GLECQ?Fw(Eswe)>81Vrx!eykHLc&oRacBM%Sev79!_+rYGZQoAYFD~)_#=D3we zUliXw^Dh05um!zB)xy%SSSb)7)AQCc?<)O;$h7HLO*L_(`%mSs?nX*BRDo5q8*s%j zSlDNbQ$FN@E?dPnorv7$vmBMTva%l+{F#k-|B1$NA-j6Xr*{D*PnQ0ls>e53R%Jce z8gplRon1%yf(2xJVtH-`4a1AVa)@@XkwEOfLf*ZW7Ux~qQTx6KVaP-6Eqr^cy$E@q z-}HWTwh(irW?Ts6ozzz;TkT7?s_N)p{aiTZ?Y=i%TJ1xH9x0*I&PSr@8zSD!Zxv?e zBxpPBVVx$3^yh!_Aq8#NcJ8Y-^MN!hf>B9u-ncb^|%F+8~kZ(?@Gbm84px<=mKjBx+uB&Fe z`P#5#rE%~3m2=X5<$bJzWj8!n*`*`I?KJ)lWav>^pCvm!nWn*ls9y33BhDiQE^{3-p+TLV@!PJpuV` zA6u=)>ORlHVpzLza$1p*;`cm``{Fn$-b9lX_@s}0tMO9qpCX2*|31pB;Y(=>d-j;~ zAn?&k3J3mwucAu^AnwrTyA7|G{R|ii*N%?B+^?sYuKrQC#5Rt6qejTj9(~~O3*6_q zM_6m?AQbYcpyU6l1|u33J~5sgO)8_6ZwS?yWl~mTmZBvt#_4!f5c{CRno|C%ka+dE zyukw2)b0*nr`p@~hTOWsqN3S5>wioN{Jh*BsF5{!#u2qKD2ddgBwqWD*5@v{?m^8D zcJ@2yU*YZFIP((n7*&IJ=@}1y5;yis`=|P6tXV?pn^dpj169pH4!?6xFh{?#>}`K2 zif5+^Dl-Va)9&qilBA{UWBGu=y57Z-<=!s1L~>7)l1r&`|GsknVN$2|Gt*(488lMF zBd5iE-lw#)nGOJ6$VVE_w>Z3nfD_*DkTSu%cx- zoyx-z`Qu1Rcb78gCaq;4L#~2uhrIMiCid4DXMueEot#5#0e_~owHZ#qze%Ng6&_re z8dPha?h);CPhA#g`J=@I)glu3QBFPkq2Gr-dFk8SNrUx!{+~!b!?#D(6dHZbc21mH zNhj9%jb1M&8OZ|{o<*Lcz1I@Mb00UuHlG%kTSDOJ3)739mb9<~#5J_mY{+#SoSvRp z-18jv>SXO$8GB>Ih0J*VIH|vFGBw9bKS^S*U~uZW{*t4+*%JdYxq1`hmxm=c<@*ay z+r)y+mxszC<#6L-wFanmfkkgq23eu?b~|ky=xbHLXmZ~3O_8Kwl%1T%0OcLqhpXwd zi4@Bw6N626kQW|lUH^s2y!fM|E{;^5jJ9`TJT7PGAK+fU8DQ^Gpt$+~Pc(cgbH3eC+}zYqakGU07LiACRSrU{fV@d**JsI=>wHS&#gk&TH4J%W?ld$D zvB9f718V7?0x~kdWhB9;4=IM`w(=HLPGtq$oPR7x-FxV0;;|9<`4vo%hlZF==Lun1 z^tMZJdu|){xYp4-fGP2nzO9rIt+;9GPo?PI3s8t3TW6)QZ__7khvy0*=lW!utVR&g z%&f)Sx{j1xBR)^si@=c`Z3d4lT_4)-@z`^hZ3~dPcT4u(R`{D-&l-ePPMMck=qfj( z$)iZE(E(-ZoR`KwiY#;yVMa{{*m)F})76WXBwccy$PG|FrZPdB%vq?Up|F=j4%x8}Nw-@oW zyT-%$>5cQ=Otrtc_BFC&w|9Qt33{?1GCgQFGdv@zCe4y!GZUkwb)fW4oDZlgcg`QjL z8UKE1Mqyg3B`UV-FaD<-Y50_GIPPTR&7$?*-JS%SUmaKDtBrISEO9{(Q6~#g3`)PYk)6F|5;PR{Q1j{qj;j~w3HjJh&_Mk|B#`+LWnIH3W!Gn-u|QM$-3czLE~oRS4f z{nbI6;6(>CqO;;=WZ2IWas#;Ex06za3-u~6qOEy4z;7S-iV}zg-Z=w3kUEhhwzo|O z2=C)k7dUD=q>2Dlg)5< zU*-4Mi>%#at$yolkSS|r(;eWfDM3mxt_4RGpe#Yf}EO(PK2bTxLdi-`Yo3uc~%j;z%mE#WfZgtLs1hBYWlTu1L ziE795Z`Jcf-*eN~nDG&4YMrTAY{Aw`0fiS~zZ$s;PE#{8bRnV_(Q5TU6BavDI5wOX zUk9}I3-BWFkUnSyf$(U5m9|IFxa1ECYW)BjPFU_cp~nqL?pkE&+W8!c2Ti;tCVqAD z8qqfQ2t8VN6N81TX>=`K)cu2_kQl4?1>~#e_X!CP*8_s+`ry@CYRoZx{8ka21J2w9 zNSCj138oF7D5qw%2a4Y1$3ZQ_!qVM>tLk9U6507Y=@cJ-pDGTnTv};Dsi8e(N5j|+ z&i`|004>IcWJ3+Qw+>&VBJh)uvPDio6!;g$>z+(_ajta)3cnf-dO?X7?-2Y8<*+A> zS^iErg6S1MRO~DR#A!ah6xqssh@4kWh^qH?9~6MUsL7vWn8;MrbHZj511dEbL&&GsAOX?mbX z+t`X`=%KiO;{l+PdH5Or;2kVu^=szI=9d=6n;>k5LANGN3!s=6Ce1Ov7~`d%t#PV} z!cZ{z)>59KP}JMp({T8~2d%s-ypFJD!B_!e=ZuiGRa@$56hvg|^zVQ(kpQ})&in*C zb?aB(P|~J#S7s?RBC-vud36a7Bg~*JlQksCK57LOMc@MhuROE=I)5%n`BT#UAZ1&n zr_Q8~KmJ0VXFJ46;;(19*BERHYKij?)ufj5x%v=l(L_}H6Kh=O`kmFjPF|q%eNot# zqAbmi;(Jib%M}xBN=50AK7CGUk8LFJDw-dlQS?4-_U@TC(;P#p;G3eCHNRMn#(XzZ zd>mHyuj*vZ+IWseFxGIHR_=#Ea*R74x0%q|L?{+x+s}U);4nG@!(9o1Fbb@w;mzTj zJFc;sx2Wz;m#LqntsiHssJ~}uLj7lXgLH?a$eEzwG?&UD0@N&F-e*cW#4-ksZHEr6 zfj>L1o;6CGc1P+m07AqX_CQt#>1h;$w^>xmR zq2_tapu6ihc{3aXedUbuKnCjRrdwbZ#$RGvvojvik7YX~70y!J4RRs+{{=v3KLD); zFvfpkvuc>^6RhC(%1Fw_V^ zAv+1tuq;eaT)HmHx6Y)^J<-!fS9~BXB7| zab{<20H&QE2X40Dgle0o{9_5xNTgRrRY0W|DyK00OActJ{5VU~*OLKB8uJ{!G0)(Z zf+RpF$j`Ok!YVA_VFS~iX3`;&^`ox~%h?g<0&UFU=;m_YD}@BnirW>B&+u}og2w+s zMqb^9U!Yl@S?<1Sei6FNt+Kvcx7xRde6;`GZ!74jjG;vhROmlBhLM?M3{Af1Wd-PS z?9NtfG)&If(h1bDF|YL~jIZ};FgN_tRj5-P@UET7Sbk(^RU)MG`{r^;&0bSj*#1JP z`SIF+Fmaq4w~v~_d9#~05NpD6=H)|;`vo@mD|)GHcMwv4&JR=A`JEIY^Y9iE>vaNr z%S!n629iI#Jh-g6V4_Ky&I;{Z86vuAXLILso{WlNM>mf?RZ=Hr({466w!u>rhGo083HQ~F6AfYJVb9XwKl4Vn=W2$lml0wgHI%v zA}@n17YFN&|FGx_Zz9R!bX`>prWW)p4uftVMq(!1K77Y^`F?26?2pYgPcjlSRo$fw zs5~n_Pd36RJe29U?0Dqkf8^D(z+NQL&zt~q5<1RQCGrp$Bnt+M7!MN z5DF~AGlWEp!8%A@?E>G|jwjr5t_m*OxmvHBzXUx?5xsjB;N8IR&SIW>k>-b*K}M)8 zxCJxE9eDzLgUdOB_ZAuD$7weA%2QzoAx$QvAX{uNd&_0AzqAnY9wisZ5u|m6Nn;za zzi|#n|5q!;45ob;lqsSP4rOiuW3efipue}}+=bl344HzhaZ!Oj68`syDoV$m;qV>q zJEVN2K4OpMzCrTdkpeRSrn$Vs)DwF#sOA69=quW}a$={C#Gc%&)_EvCAs9?{MjO$& zp0jZikBEbHs0B(mxEd)&2=DL7`i?4z-wIpH9m%$%}^J zEACz7I7Tx}wZB+8rK#U}+P*|h&1`#0iXgd;>xe2Zs%rr>6ZC+VpWI~q&Z61R+6o>c zHepr!DOEMZ6m=SfG~y=P_S6N*CZbc+lkjZ>S?F};9Ip0Kgk-)Q+>Km6SWMZ&1B`VGSp=>#g+{fybO@ZS@_NYEQ-(|{(gtqoA(aU1G3N5XM3PV(r8QBQ^rc7AM5jn zNRF?Dh3)JNPw|)U`+`ROiaG(Hp(&{O6r2(RQ7nd*mOzzHQ$9X{qZ1loRyD8hHw~-s_v`!^ccW1(tK_CC9c zeN_X?GAN?J`d%uJ`-%`)R-2`g?$E4%{5O7e%AU$U%CTBDgrJVw+kUZ<_;4BZuY|yD z^Zky~>0%Qv;&H5aNN3sRALo3Zg`SecF9ut{s1k@i*@_q80}E!^VnXy`HIw8)=y>XN zxSxKke9oTIVw73Idx@{IhWcdAK$GTU|Gcg>U_tbr{3LGr{Tu>y!UwPTZgtM462k*- z@8br*Rf+u{g@}g#f}S-gs3XP$ZTVn|j=*>%?ddO7J`PJ_q{pL%y(ZvC7-gpV$&1Ug zk1vla<3HYH%Np2{{?aKz?$vwN!h%2gCNi*PKT_nl<$e6>9?LZbpJ8(B5(izS*ry6K zR+|Eey?21arMy?irB`T1zmCi6Y2L@p=AWV7CQ7bozQMh^3;0^aU9WWv+sslM;e4qTOaYLL> zn=M+ycxj~H7)gYBJ4AiX&?bQFxzVDcMRzS z%x4v7DxQN$0(SDg9Ww|~$1P@@qVU}ZQn5&OtJ z^?05cuw}OT{8F<-A7^<6i!`U--gb>8?1XsCqPOXYe|{+%h+it#>ErfK0Pj*f*%ObZZ z_w1MFB+82reCSP_$XB1s1^B|-m*e~*sw&FZfPhcGKw2>#SUUqNQVg#0RQ)M}smLpt z$SJJ3=9sE(y2(@&qEV{eOxt;A33E`2YpO^lbwWq-tbnM%2RavQnYuV_HoWmG{G(ou z$h5HTtDxUj^_a*^Jko&})S2hG7e`r)R{Vg=JOZ(BRsVHH&|Ri^U$4Ui!mgCR^l85X zkH(+u#l&Pe5^J)~O^u^4Nxx6FYg@oD%b?EFd-8mDnG$x9s*yiTpVN&!Fjf71;&x3! zU-*-b=>A7R?G>28#1mk{ED?F-)HkTkk;Fbizcf2rMn3ObAa-G$ zJ4E^bO`kRQ2|CngnuGU3i|CbGAJAghuJdM@(UZ*=Dtxixq}i)F2J_^kzex=*6uLHE zR?|K9#U$~0W;1`o1LEATGSLO3+%)cbW{jXFAH+*%9p+m{RMUM?3p zz3DN*{|~a0SvPU7v;KL5wy*!BcNeBM+LM+0tUCCzU6M25qc*-oK}V{a!%pQyHPr06 z5CP@2vU#%S-EXw6A+hX>)ehAJFq?fQLFv%Q(Sptf9hx|n9-)=RcbeCsHBs#%f$Lms zt`+qV>wK_0L+SSCeOZ_7{DPUBTFwP=^QPdv8Ud#D{tJtIGR?~2F51q_WO^u|sY(6) zOfbU}oR#K>2a9u>%buNV2ZX0Cve+aW%5+&Dn; z_Cj%f>=v#En(KSt)g9h4INVBEt+m|uIo)YZG&y1g~m@S#09}4TA^c*TG1roDVl+4W2 zA||i(MIOl0^s!q?gDNi-$%WLulB;gN^t2>EBb@MjBSS^!INExcX zx$Bmnw7lFkK)EJx&=w{@xM8jbgHw-1|m?Xh!l2OV=cgoHQg2Y}Ej zp{b`)u`16mcr9K4u8=X4Sr;KykfBA6tIcLM#J`ZYIzaH}PVT4hM&|tBsuS%v*#;ZH z{^(gEu|Xd#IWiE*QqivrT=q&i@{JSafq-VdmSrL~E{*!D~Pei}sKi=vMK9Zn37~ zKB@^Me0+eIDlz`oCj6dRWr;DMNgpoy%~N&oB!d%T4bRG-4dC5xqkDfvvsp8bj#oHH z@TByEreNCXb~nc_PoA8lrjC9r-%Kwe!8aCI2WgR@Gsj&{%Jl18WXgbcv-v@s4qm0| z(0C5_D%6E9xL_-sI>KyAI6$N2ng|r~PRH-_U|=)&a)DepxbS9&-DiFuuqo+GThV}1 zk#TH4%T{UJv%{`{<=RylA5JB}pT8jsMoV_sLTjLmqx)IURDJ0#RANztpHTCTWbr8k zCmev&ZYFNUR@x2b?91~6Gav%I@692`?sPDQ+a<=D{--ewx)M){b$-y%I!mA)TOX@oKK#*u*HBC_uexmx#6ss+O5?A;qYD-IR79YD?a zj*_1823>*tJIS7}a`?d6j_5Eok^LM>GJe|S)Udg*jWDgv98`jx^D%`4JY_S)*E)>& zn`Uq5TLCB*j|}8(!0)>u4aba6213nx(KH1xPCe*PypXBqHi)?n^jbi3L00qJ_w{4Z zHENk&0Zovqb?=!6j5Q7P=skD_hH6wJh2tf&hhT7t^{|ggP$X+QiERj~6@f=`q~3uyJb}BDLupk|jijx@t1-U~t09uO zEka8fK(+=o+=drFA@XYxX$7w(j2GC}Q{U5FWbx0f1yE#l`4%kS#dI;ZLGzR2hC1WD zeiUZ%!ijw(906edrgwNTFiui-NBH)X&9|0e+H!k>4viCN{ri=DQi%JmqVK zKW?P7CcTJJs!(5y0 zfM*e_>qr{-f+Agx4sQI^f^MB>kDj9oo4k=uefn$lj)a2UqwIBU`}-q6j>F;ekFV!v zi?{<&+Zr0o<97iR=hp7_td zc|&Kq1LgNKWy63t)|1~?moF?HU)DjXZMF!^`Fa8BDbO;mg&%SlV|icI(3hQad#-sQ z?T5?ngE)rm?g2ZY>c7J$^d_+)F8ipP=Z}|6O_b0_tG7a01HL@D8-D?!Rsm>Lu>7*M zQss|OIN7>!)Xs!y?f7XG+L`YH3HSg^578b%ST#)fI~3U7QrK#bLuuqvcBMF2ck17} zu1E4i-zqwXYieJvl)QxShckkz9+vs4@BboKX%XQz&9|xpyy$)uN;~VGsF0%d`iO~i z8hnP1Y_KEIga)nuLrG1;dC%L;1(>#mg^HOE30Y_<*-bOa0rg{M&^Qu(W7nxbGW_1G zxDrS3uGi#xvz{nb@NgU8y6?ELv?9s%uaRm05%nsjEmn)?4}r1Yt(gBGTfwr(EIs^| zOa}d7B>cm_qRL_@g>qD*GG&8;PJZ+gL-fLdT_-}&e<>MuT@$3h-J)ekp6NO{#9J*@t*kF2b_a`lJIbsfs~vW} zRKe=BO6V0Tf~QHW+KfaHAfizNHRjfB^i_Lm1@4LJV?cJ!0&15n1sWbAIPS-4QTGO|W(~KGlI!DwcnaELG5l9yQ(l`8sw=MDQDaR} z-rU9nVeKnbj#HiQ@t6rx&Jdc--B}@gbt39opL_deTKHb{HHY*FB^M1Bt)>X9zZl(D z>O4f#(&Ndi4_j3hOq?1zmmk3&Ko$lk-&yt{MM&N%zs6tsYgpH!9G`ptwQNh2SK`g#4;P(N?+WRTNNe`wHLZ)#@ zje$YK3+ubVywO|DKTaeG!jOKc?9k-MllG4HTSi=>R)Z%YN`YLl`4KERCrG}uG4bgZ z6*9j)L4{bPf71rnT&qit7j@z(PGFCm`m~;GFQigX1LjzSK%@t~sENt%nvu6%?&-mr zczS{U+n|QJ|GiBlJei1}L_Fy7*O5TJspS^_ny8UV?5Xrd3^gvzP3EGE`kV`x=E>eT zzK%TXm4S~eG)(mGWwr`6&%&N z9JcM5@{5VvRaMQ>KGyLY>8fk*>NTl0aweOIe2^Ubj&^P41IS?&zYkZO>$v;Q79PlX zwdMxAaXaVNY7BW;(z(qh5)+do{rQ7$2vqiVODO-_xxagMwk&Ft4HqH%GZX{z^2at) zFj`uBwL=Q4=gM}Qlh3ENm6)H7-|OOLdK)Bpp`Q}&wyRn^>g-bnK{u;0{5ADTwOjNZ zgGGs-CL>$=_`_q>jT*=oxc|^}qZnu#L52&i%6yu!kLVVrH;Uo{1XLH^SQH8}{dV_y zt2Zm0h^R^F(WtZRYS!=U_!OBWs;)J1-p(QS*JMTzuypLSobx~f9H2>YwKeM(6&eyw zib64`o18_qcw+B>VN`kuvwf$C;}n#l@&fUc?+~NgV8y0UMu>p*x2$0-XCr<~&C9v! z;+uz8D2pOD_MlX}M@+V|7?w{$z$2#6PT7IpDon3OSHQ1*rsT)OCBMY8RFu2hJ?5FD z9PEFTw5Df{z%9#Ed5h6%X*F^n_Sf6xy_a1I(Tv}zbi-6AF3xM4s&+y}&pVC-q>uVfcW>w!rt|jA^ zp}dpW?7`)w-OAMgN{}0mqBZHhU;bh91^?&6UiDK~k##scWI&hL#(-2vt6Kaa`qnh0!s)fK>astyg;7|d>12zL?%=1*wb5b4P@b@7k7p-V|S0$Cb^L3c2*T0~#z;|AVx+EBMiD7hD}>Fe;$ z=HBNi#eDc@0;O2;WRgOz=F{@;PeDqDrCY;3F0hlEsh!E91(rA956`w!<|UGI`2d4j z&yUBg7|&a+MNK(Q2S1~4P8#=>t#ZPZonDK@X_BapgV~GB>cU#sPf<(!2VTEUmrnUr zv-@tv<%id!9!@ID=O=hZ$-3fFA`WdL_v~CMj-Xr@8+IMs;!7l-1~})XCf&IUPBQWm zw=Mv52Mr)K0|VJ`TRqvB{2_hScIvwa&A4xmyneXM$KYWYg)Z?6@WZogc*N$C>;WP$ zq&;01*IN}1Mx0gGuJX5W?0Qd!w^LN$6UTMQG!q>V?+N;OfA`+B)3S%djsov@c)bPM zb;Zf%r*l;7Do?hMpE-vCOnL6(fZy0&aA=&oG2d_{-DR*HXi@ia!Fk@m1_AF+t^Fm5 z7LTgK%OFRf@@ZWFJSZhlka4qO)lPOr)t8=eQ5CWCK_&T2S<->_z)e*u`RzLPgX((o zo$$WI#QsSQ@*c|H@;78t z+^m^?#5xTB`UfHDwMGt&UCPh{xv!KGpRKXYT0HBxZWYEyNtYGDSJq(z5r*pRU+}7w zmVsM~UyL(J4|#|UnHis+j-$jfWb##WW zta$pWkF)}sBJk%%yn)dKbKy>Rvex#2^iDp&1$Aw7vggGX|JGk}jCslmo^_B-DdvZN z=CvlqP0l``I09KRoKpL@>|3ZEK9u2YkVoMh6a>;p*)$AYjFm0yAR5s3*{pZa!Eb@@ zMT!yI$_7zcsSXyiFErgj`10Zno}9wNi!|<^Lf6$I zV10UkLE3?4#0%Z);-r_9mpWujrHeP5ska+0nGw8w`9}ljSo~!^(0Pv`JLy`MC0`6Q0aTM52`#`TyYteG~PZp8}=HLE*g0`MT6kRfo!Tg z)V5PEQ{YcsOar|jj;(5;ruzb`IzSkCp>Z)c`dh_^4Mj#WQrBjBo?#z2aZ8V<&03Xm zGkpVn2QbijK@*M^3(=tAYDX{KLM;{1MpoFoJXpnSwns}xU!X9-x22d(Fy>(z$i1S4 zB#NLG4o}X1KPO@XbV9=D6Mf~6_SzZGJ2I&illNCkml7KyX;F z9|L~TNDZSE_T`!Q1Kta8p;Ue*z4f;R8omWJ&W0D&NT0ZWA~6mqg9TGNj{(W>yWRPx z4+*1T7wt0Mi6ItFm!Hb`lmnGSH`aYm?qSP6JZ10VXp&}{tWCaiM7q0vB1GcE zENO^dFP65_aK;>;@nw*~tv9D^z$nR`4Yk1HK@KI9I^_{o9j5t>_mu0BW1-^sS^mK_ zedXAC3`drzwL+EnT%CKWE*A8Jp+z|o#~WKU5>vu-pA{C`Ou7R%xN3=ZQNL!^K6g%G zcy#lhn%GszX$0O)@3_~sr}$UlQ5Pv@a8LC`9&x#APh%{VNWlj_5pcyThC_qNi9&oJ zqi`5*Gb`NGsu6D(7}_Hb9C^x_LDU#f;gf(a?nq6e7_JgyrDc62{y;{%8c6|9C#GDQ zx$M7OHq&p=<~$K?l0-H?M7j@rXY*2H3SJ_e(2R{{$M4x%_AyoX!(nRPzRezOjQE-E z@4{=Y;fFe2e_#RrU4Wdi_BFe2z&=wR+ZfAMMz&4ZTdmj=hBS*f01b#mg>6I9R;$7Y zk|cvM2^$eWZe)e32MG!zvO`UKv`%9<Eob34k-74lhkq&@_%}qmTDXSUdS3S*E&# zY%d1Z6Qe*Ya=mehvUSCD^kj3N*zfs1ubw;r4r$tF2g7pis^U+m{?JWsgeLo%{Z61y zy#O8VYy_utrEyR1hUcW;&FKPKObHVAx}emtlc#?cDQiF!0neU* zL<@XZJ(U|Q+z)+ktOZ1QUHLK>5Q=&P;|=G*FI&P_J%4{c<*Teg{Cr^Bbxar*QX(|n zRU-Vk0ou5u;ut&y)>dgCaq=YgH)LG$Yb@w*R0892dS0rgdeWI*1BMxubEw#7{?`=O zrl&$ZKVqgx2h-rptX5_Dfp$r@Kfr%zS+-GozUYCP8;rGRC%*^!`*NiZfa?%G421GZ zo31UgD;))KuftN@g(eOPhH9OqhFZe^~R4#g11=F zBd+=C*A#mMPiBo}r4}S;dPC!TRRpxM+7K&ole6aVBxGNyS0jkS?<)9NYaf5K1BapL zMp3)xW&CFr@B)XuH&D2n=Fo(vz378VAN;n|`5f|DgDlhfI_kx7tX=}fEo=Sy$x}ebHU_j1)gIfYi61riAjlOt0w#+1*kC;d|ay6 z?Ezg}IR*bW-hZjOpg?pwn+e+n@&KcKtda$ux3Z8|*!>2*3|$NG;!Xc^%7$Mc=l{9> z!*oqF_-S{%B;u!RY0{*i2Dl>?Qm&03C*SHUt>Hg;*bOhHBB^&J?EBhsOzjbvabY+9 z>6nc4;wptgj~&HINE|7Wa-kk#6@)^=9atHNA(-MzA0cNen(n0ePJfuG6E3Dq%G{lz^s>@6qdXcrDsbBViHW0It^2gMP zb^XHBI2NNxljw`~;#sx+Od{L~orwRk@5@^pN6Z(-BtrW*x^;-g(kPsLi2 zOF-QXv5R4VzL`d^7GSWQcvldAW&txxJf_s!mQv+7OaT>(LIPdR9$Zr?U!SJ6k$ZBD zq|-c@+apNx5=cq2R_m{3rCI^(5k-()^Huq|*Lcy7P?PEdUkN1Zdqndp)XIR{@%^LDdPG>Ax!jd*Km(_%rj2Hmz|0% zz`9(QXpFJnhG-ACqB#tK&%HQ75{J>B)EJzc7*6&e)S>(|YX`-pUXL|g&nCSX+GaIQ z^gfK!6~nFu>)anP{2o_UlCq^+r|?&!2H=(<>Z{$Upy|zIM(kNgr0y0k0-4GCWSobr zXv^!-ouPW3|2Qbo9P=7hLC5{|pHJ8?aK2q{CzfG`A9f@^qscrCCvOsBk&&P${toU( zR|!7mv;z@m)aM_mm7wwmK{EB2&}{$2=!#EzVEC!c;56)CRu79ow#nTBSiGI&dYkaN zB3oAwn0tlN=IVi3R>oEN=`S^kg)^kUItwDGk7f0Q6v6X0PImO~Ri*}xK+VHgH0=zn zIQ<1Q5By@PoF7pSe~Ev^cWFrH$pP;yhqv<9`5W$x^&}i1!Zat*IQ6GcXkr+DR2blQ zF}&B$+DrNn&05q5qJxdh+$td0MZv-(KhH2$_r45qI%oz!{D-ZglaJAn|F4}Z|AvD9 z{?>#-$dbL%v?2TQFheRNq>@LLi4yW?lx>C?Gj>JRkStS{lzm@H#*!suEM>9|!x)dT zk1@k+-}(F*-*cW{-{-u4c)!oR_q>*SZvbZUDem(((UPv1Z)e-yV9Yu7!*2Zv&I^E9 za#7;OI4U?{C z4HzsQ0zBO~aIMx6Nuch_&V$zS=QpUQzj+C` z=oDKPs`~my@W-B_qf$Et*EpMk40@1gzeg%|1A#s+ zLq*mI!4ce3S+vj*?FO|v`@PsrY3a4@h=Y|E7)D3i zzQm+|%qE2y^(R0iT}6SoG0o%9ugz~~ihlNZcy#4#;dfwh2`(zGICSd$z+ZXzg}*gy zdkCpK>uSHW?T;!E(3?9X8?S7N=O(3HzWL}*Ti=aChf5}Jko<~!XbEIvKj(ZWSe%3{ zw*S#x9%3-<*oB?#PcArhb0FIi3+?m!qTyy{}|2R^{sr zaS=QUzKa*YYu6A51qT0u_3GhmXse@F01nk#STym+#U2x7Q%Ap7U2XTvmeah_lPk&R z-LO*ZDne6=vwwFV&AfUkEn!DQ$(x=W_%y{iC!7H$1mSrJsjp`O;IBz?O~MjDX!s#=*KJOqv`ruyr)~XCKW#(qC&U=WeTZYr+$#h zBP6P6Z0y)f)_^-()s&@=G#aJEnKH9WA>W5@b*qsM4|{1Qg4L|3=Tw8)RL%)55fsRQ zokT8tz5T|f#nRZwfhw}Stk)?UXx&eh-3SyC>u?BTf?`@<=54sp3 z!L2R8*aBf3W3x5e9N2R^)yFAg7|==dKs5xupBEM|wRk9I#GZMKemxv#urx*s=fciW zwkUnW6-7oAVo{T-NTtepY>@OS)FT%W&JoOK>F~DS)!tE-en{y-?mOw+#qxH7gj>bH z=S@J&K?bH-97kT+<3da`)HkyC#Ri8g#7kLJpX4h4U@=WSa@p_h)kWI_`?Kc)$h;&@Wr%47*>s_)G8& zadf8#c%dVMA==0~n9;ckPQGR0mhfPnT(am8(E+(?7{Dpz!Jn#k<5Qky>(mq}hpdB* z>^+e~?*g!bEJmRDpKBh22Uf_AlC0l0H<`k#lqA*S9@*WuBvjuMqfvu~)B>z`iuqpz zf5xyN`fzk9rdopU!MpP094U*KpfJ7RBl00J#6I<04pc-P}|ri&xiK)$q59={}NM#{JO+vC5cvgZEF`5>3z6k+5 z!enxFE?k<^*l!o4kJom5c;Y36tXz9a5_o&5!xpqw#Xm#nkY?(Uzkz%6~ zZc;xVv1{Oa>qf!8Paj&}3^508_WLmbgRg`pu+< z`h4bF*e6@@@hX0dZ3WUqj2H}6Em2R3)pmM`{TdBq2}ScQQe zh{p)ON#pfg)Bn-36|aS#Jox3U`Zcx}vu$Pq$GVP;#Px95QR*A!7Yr24F{6_Aw|Awq}K19@qI_-U7{`8s6e|r zx7{Qc=#Wf=Y*eX5ZQf>$cPB;60Zfza$LW4L!_B1LCfJZLaxdat5R^V^Agg^s!89Mg zGPx6rA@6e@Mr_JX9zNrf2iY!FN!)~Hv`o?z2khlAT18a%Q-P6>lJ_hT_-jgwPJ46M zAqV9Lc%e~nENg22b)t)IAA@}Ez9vqC?vGRAB13qmXL-WE zxwHr`r`I_fCrMBgck?~xG4tUvy?rrna1@h0C#{yFUF5AFc zYXCSP-kMn@YTMOxMJ0j`*f%L8Y>6RiZUw)9k9{8q+K!RXD&3;Bfs^Ho8Lw1fv)= z(MGkf8_FlF_WJ>(W^;FdH|vH(_fB@FLxL$BbSU4O7YsEO!n-(jjSUFwXfN7Ec!)5Q zqRxDOiRCudzpwL=5IPFt3CeBwhiUWRZ>GzrbLOws_m|xoNX*;)>K{0ePAaRe6T%OF z$%XuUaC<=jqR+CgPO1{kL&#|A2^`S5%vB+S+yx6#oFlKBkh;ZY_&6sO8PiJWu3qkhYGP_3m-@oZs2cHdxm^y6N-~#XClwAHn^$M z&#?O7+Yto~vBR5p$bc7^&x|?!oU7@AhA3wkww(h8s z9ul7xZ%1r&@%-SyrPc7tEYOA)dp^H0CqGy&MxG;k|1d+06otPwcePw;Cy6`bnUB-0 z{cJ26Y+%9KnPjIl41oAdeXhG3MH=5%GR@-LQcox*FgMIcNz~F+nvIINLbZ!1VwcDg z3}`FQGAzY@(KbrC{o+o|H}gjwl>r0u+a8bIZCy*A?+(C$anpCww`#kC7i;C{#aoQf z=H0eaX81yWQ|=gG#iH>eWG;lvNKCy4dist24;*X?niJdST7Pzz$NXhhn*54vDA;J4_$K>IHdxd z1a&$tXMs!-eSimXPg-Ny=TkXEz|>Y=z|zivorxW|x-_S!rO_6~7SHDyr%UqgnT5j!=tC7?sxg-+^MUL!MnN+6<+Whb0f1mSTJP%?rEV2I2Eux4y~azb+Y_m=!4PH28hh z&?0I2>^+G$4~L#QR)}1+mV7Sn*cNy~<3XH-z>#OCD}pxZE5<*s$&SoMU=K!&@n)o9 zfAxr$XbGKOd}!wlvFKyuzD|@(!{?ru-Ta5?XA=15cZ^OG%g+G+SWTb1+8YhkVHFW;4S3&C250y9ibjKzZ#eV~AzUKQ8=!sEL)OETO;u%89d!yWZBNm}1lx zn!!N5r6!!Pnzo2EPO;=(bUWmMtj=3Zi3gchuRYiPsjs7rMqkhPuYCf)SyT&veh*pZY429G33aS!sIg6AmQ@+|dh&k#4BJgm6TE2#r>bz9>L3XM$&nD;O&69Tqf5>0C zg@CDa)2ED8qizIvW8lPz->YWk%#-}28=p@*Ug6HRx#lJ8KZKYTYWt#IMm~0#W(Ix< zDMC8NeIC3~e{5GT8QhRBhcB+fug>sCYll32DKW9g$i5Qyz6_2N{836Ml0(q>rrwT=@>x_sG$ha!{=G;KpU zuOBPYkZ0wC~-`F-cw(;_R->dqnUY+XcKEIji z(=*j`>hw$>v~SWnf}pCJ}bFur?-PVPzp<;$UQE zVPs)qW+hQFadfdTG9me8U}QzYOrj)3qGn=9B4%vy`>Q7sCis_{Z{G}f{xAC$it;~v zUFd(f8`zmQT*r5@Y+EX(um}+8j|h)mAp`L~9mjeS!`yYx1j1Hn&Lc`@QA%TxKlTtB zB-WDOfI$v;+3&zT&-zZ_-gZ|M0m&Z-hI+0@ezSEfNHJv>SobY8odq48jRh6w$<9_d zuJ`t%-}l{JpPHZL)zugLu9usgp1A{5eJ;5C(isRDjWcHX4KVZ5C`E*!Q-CL4u#eMP zU*32k*GE#&2Iucv|IVsR7=QOG+^g7KR)StnNKc<%QKmbI(b_1186|n#KH_)dVP2LH z=1X(-aZxUXifu7HY33xq2rx$RW9%FwC8?-}KsxI+#|8TbMrP5`HH2ixVPTNA-6@nX z=e`v51!r^5!RM)Y8?h*lfLy(ATcZ+72MHJf^oe3VVoPHYrWejp)t-8(Dz9k|D>0XW zxrf6c8(YV18x7IJfLGK?nzeoQQ;}F2J4*gnZh=P$Z)@7sq6d%GsKXnf>(WjH0hN0O z@9G!1v%Ir%`7mpxm`STe=h%w9&1iYgYc9$cJeC(}&xea$ykw`g<7w(PoCeRfT#HB{ zs%sOBS{v$u*MCEKnGhR<$A^MIm^Lod6bRl}mu6eYHnd~$JOcm!gb~ue_74FoGx<~B z4Eo#VIBax$dxs(yBO3kHt5DxC)pF$Wi61R*pz}b5{_@%>RY+EWvu(SgnFRD&qS{z3rx7rezOFdu}toDp%6*VD*#o9#aD29n5pUOAjklu`x41z*0;6MGFU zD?94z?N!jo-*=b;Ipi;?ITqfRiEbHktr_>Ux%eEIos5nxk^cN%W1**PlO#pG52M#AZ-vtHWZqP-j!%p}*Xu{J51Oo_|_!SwSWwYQyn|>b{kirfO-JxSB`zlLll$6EXfYsh3dA zEp7%Y`tdfw`jY)A=#v7kRe4JlX8AD?sw~Ik3rfd)koK7vQXkky(0DuU-sHEQ;Ay-S zsdkRni>i4k3o!QmY5T#pa9*%7x$A?c$LGVk(~Vsq{Ce|NwPx02H;bSIH#gf=_Pc=? zhB{dZ*v~=q?}52wlWZuIyuE=tA{@v#;o+kL%kXd+B=GMYJi+_m2}3khFS2$dclya> zN7ko_Jl&USCi~-)P;UPH$x zCeV;}Vk!&@RtpTsVI{!^0h45Myu3=~^_hO}$fd z8eP&YfV|ztYg$bu)G~71vg=3soPulKvc`dDG75~;Mm*NDqk~vB9|M-rRMi3(=K*u% zS_6gcoSgSt5UVA|p5l7(xad#!TwAT&4%MHlYUwmbp2{Y8BCCs3%H;fMN8jGx;xq%C z$ZDdOq=f7AGBelqYmkCxB0BHdR8Q&vP9ya|MHj66PjaFk_ zhndKq<;HTszTt@1-}So4SKqxbSA>13U+`mR=}l?2v~F76@|JEj{;qJwi=s)pZ@D$x zR(Ec(lYMi{0%(9@kVD#@?%&w0Hct29$l_WC-#`)+#KbXP3-_^MuJkO`m7>obpSXLj zHHkd&&uwR6MQ34jD+;wS$PT=_x020aK5+x^GGcf z+|0G+&j;k^#3SOhrS8i9C+Cw-8`d*lr;zvI-<1V#{~F$>PNqLI&6YoAkk3EY5!VDZ z^WSsR4PK`%rhRyS01KYCPNqNPC;z^W@ictyv04kvyUu^GPD}y2c(^`|EGo%91TL9; zguP*IQ|0!y7Pd5AUH7(Do@OjQD7r~zKHl1u-fx5a(&q%`mTJ^<`kU z547c_TR7~tum{8uLZ^syR*~m^qGF^{>kA(tIE3n(+8)=V(vo2hv2UgMRC-wI z(X_pZtlQWI7mpTIhZeVp)&xDRoz{#9e%D`bnXyEy8^1x2k+yM8>8+^+yJ4_#dZMWNIqRv>U=F*}mkKVwA5Pfgt?<5Ot zYo;%FA+u$Z{2wKs;dLBC-ZNIYB>Tq1jsA+Y(TkmhbK}RW6PG$qH)oy|zK*u+Ga}vd z_>Ge-l=^egA*4{#tZhv^4^xx6#+#CABQ4B zdAp~OWlDVTn`gm|91>|rf$!#51XV$~b^Xd`?D)~f_#uQr?*|}zQ0sVB=qS2j~2vxqbUEmHuRd5bhaC~Iw zDpMVE6Pq&Lu;1vAn^u><&7W3k-jwLWm3-3(^_XZ5;b&30On;;trpCE%6FVyB8tQfh zjhXkVS|Cl@eg+~pmyF+6Uh{!2k{adkS$n71?2_!P&>N8Dl28mYODT@k<%)#+TA%o=GW zsn7tG>--dy4)q(6vT?9HuR<$DZFn)}&*NT!a%WOensVzG7-_K>CeH!MssZx~h)GVn z>P%hT$y(j7d&V!V+GB0M+e06NWeXkKulrYe5$rfs_i{CCv7umjP>`hU_)gF1tdtJ< zQmZR>;-%damE1%@pc$n2=eXuN{d^&>st(o~y#1!7Ww^D2rG1bv!6^Z~zl)K)e*_om zKYLiBZR+dFG@$hoIF6)Id9|>sNGBSiAPoCb&Ex9Vo{z+56yPXcV>BRrYDguoc>P!< zj7`S9;oO)Yd4Z6;sNfeUV2{Cmo>lry5tafeGd?Y=^@B0MPs|K|A`62T3y1=Ktcfmi zhF>m*P$qjGZ~6xAdvWEMKXbaA`rTjFw(-mZ&8j0q-!-2L`n0k$DBHM!vcH`jGmcNr z*k%^~S^TNEofBQFACdWm_<;onnyeb5TqUymP=&|;X)R;6-?f3-#a$qJOB$h+wD9f& z7{Brn`XYnzE0@>%>B6V3;Kd8A7(LXm=2(h@oAYRun8#D1^lqQ;plk5-GAwstDI zh-C_)A^;e+?jGs}J#EZYMmqR&9IW6b7%>4^M-tt|J{ziB(Nui_9`a>dXsW+ImK`6M zkGcC($JGxTds(*z`<;^OqQM5SAXRN`n88WzgDx*Ajh=-Fe9)hyygI`D}}+LQcnyMfgS z#hJEzeso+oJ&XJLEM&)EF&=R8oBDdeho1&5mS`_?a@OPZxkQwg+ab^G^{+N5(VodFtcsEYjq^bwz^ZCd-3}@)iOt>#>S4q`o4^DGJDgLlOyUB=^k7=)~3c1SR{O z|5E~QDiMZT-mIk1_r&-Db{N3crp77sUsdvv56R=A4T+~f(>^w(#;@#ugx9pLmvBQ> znr?P=Ht?G#vdvppSvodckZ<7`Us#E8uhAHKT}Im|(6zr~_9J@ANRg|VH33?=>yhl8 zO$oM7#yNTx(rx@IShf7qiDezXdc z3EfZoTidwD^E-cl1(RONRqrfp*q{;;|M38<-KF_}+lGWaek2$%8zVE#+T4lqlQ!`V zjmI?1glBU!$nP5oM-%8}0;lRW9^}fKu~$$P39Pn5eq|~Y-{Wicd&|8HJj9Z%?+O~) zPNyJ_na_aYH)pu|>)TkMpC1d(Z!=RF%>nEJ2nJuZQD*eaS(z5$uD^7M)5*EDE_bo@ zl*$AzjT9+290f1^EmV$z^Z?+m3uI1v?W?v9fOMHf0Xg?O7m4Ixapl@ z`3xxWdukT2m()zr64%{bCg@vwnOfD0W zr9q71?hguE1>HNpxx{cmb4E>AZGX#??!Bk>{*!-l0oOQyqPfV|MuD zP@e3(T8?geFB1eDw%05{bdd-Z4VP`1J@~;(N z6=|u~P5;34xR?v}F=L5P?e0-xq@hbNw`da?CBs0?SOJdhO#A^4gsIti+JGQNtH!3P zja_H|(H8xzN2%iRguI7qd~FpYk7zD7+kAO*&8xm3*y>8bDqV8t7%MFW!e`lREPp3zEb&zMY4g48<3(eo9N2hnQnlcpwh9i5LBc)qqs(a3Zl9W<&KRbz(#8>@KYI%EBT3Owyc$~6 zqonZte4~s-QE%vYq9Gz?6N}X2zX4NX>&c;~!RL3kn3ibqqq?N@=ugD?=-3%W$l$jR zDH-2dmsb?9w@Z?R(wv@~?;q}CAwQ3XG`M+X3ez-XU#1OXC+Quh47@ZKy#Hi z1ap?u26Iy7`XakBm>@dJ?ZG?986h~x@$(Q}XoGO~vxcyCvxcyDv%D_&*ISdAN4TPC z2e_i?2e_Umgpwo$LI_KqRWj+4tQiUv0sqB07IiOEjys zl-#G<%DRnE?MvU}v8PN_!BDGTNNH3ED+f+>mDU4={zlzDWCL1+e z_~V%v!Xp|xYP_JlDGn&F@~VCD~uL~2CRrD43-x)L<`>)+U(E%zebM# zeuRH7*2;gT*XT3E`9l?*Ev@8!Cai2y>-1lZsZ)M!BAr1k!c5}OWKkKf%a`Ki*5Ank z$ccl~%aSR7mxGTbjgDrOiP}+BG|sf!qzpq_&CSoqrPa^Ao>S{&@gr6ztenn;L5HHY zUm3{el0ZS{0`Qn!Ze>dzW)M}u=1HwiSf)pe%{GRM)CtRBb77D@s6|jn9-J(a*29o# zeOYVsQP5t5O*w^6|89mYRV}CksPB+Twc>Y=b@8OM*^fibkWsDSyj9N32o4$V{+dpr z+wv3vS}MXDU5KN7_JbQO+&l;T$fe-6UL)rb8NL%V8qBje8Q$F2Z=jYqM4(#$A-X&M zS={&qYN&8qyyM71I7stnq03*9I*}kw%+=5|{sgMh;DK?zWdPR}iUak2pKxQ`Y2Ba7 z;DO)VUl`mMX53Um=s0mdMu_gTt{=KT&N+}DN7Lds!Vbph^izg6@O#4C!k7BPK@ec6 zlJnUvcogpv_w0#BofG96M+bbdES8PyeNsXKYo%jSlEOZ zyJm1{Z&aD-;$jfR_V=EB++jb;&SP}!xF}U?+(ap{d2WvuvE4m5*aGm8 zjFco08*Gfg@PCjF`N;#5JK8+e*V5Aon6u&b zM{5X1ljw{MnvQ+sY#^;ay>#7B_x+M~%i1i%N+07qPU{UF^C4OGH8N+zoKais3iRWh zMs{e=av-D`w6E$x+JW7nzjhDdMGu3@10d_tz#bv&R@dr^BK9YuVTsVomkP2drM9({ zP>#Q@oI|<$#Kf?23i+8pxpHC6MqxtdZa6v* zZ;}2LF-go>6plfaM;x{6o8DweD@1i8jiTiD9EjnI#L5jE{Tz8GeDDG#H)pQkaH2BT ztvmVcz@6AUX(QsXU1c*_3#N2O+~C!D5rCXEKG;BHb#Dqu&o$-OINsk5oQ$7qe(BPV z`G(v;)xE(`+oc@1=JU#@Tnaw3*Lfj;q)na^sdMSafcbCS8Xp8nOCvY03jBI0pRfm! z#?O4zc8LeBd0s_ydZ9OR8k^BKU!B-NUrK0y9r{`h>9OHlyE8vTtvxSS>^yGSk9#0j zt>0S6<$!M@*YYwVvS`zT@^gJvFwEo1BHk#=e%`J3<{Kx|Eb4p zy<&K!5iTDz#qOCm51{+v#I!bhx9C?aIh2Exj<~&ulANc4qNt3YeW{bR&sQBUogci;!OWcOMgG5x0EOzJbg3q;5P&H!S8#!0?3Nz|xHPhR6k9Rm?KG2PM7Z}~cJ?Iw1 zQ*5vd5^)>sHdJjSFEM+OM0?+ANIW!Y*@k^}Q1F+w*qK%z(A8 zF64+AE??hp{oiA%Z9K3^0(qWQu%$XB2MgZX=W=HXVYd;oR@e99wm>r?CL*Cukf?^gaZbN7Dn^A*dXNaYXp z;=-WIcJkr3gv=pVLw`FC!SQf%MxUQ4X?l*4xA%+=SE;Xaa+3%5*avcA@69_vfzf2I z8M~(Vs%-8B`PlN^gys7!>yWS3bE`K8!A*HGnVs45Dl6&x>)kUQ|G_u4%PxiF9}_h9 z`BHkjTT7*shpFogEtvNQYnD1fW?h?udb*dO=hu;gW zYwwRM>tFU#uJVO%1P8kmWlQF~19rX;>^a{P>EB(oJ()Eng}eeZO)d`Ca;|(f6%i)w z&XQSMn)s2QR6{eg2o8nxP3xwFZ%%h1ik;z%sVOHmOH)7iGa2WwZhvSYFLJAW{wt(U zcqj^?{<19UIspIXUd8>QTzXZ3_lZE-Ti9oF>W^%?wgY?oo{0|2!-mExFoF9|gpcxq zbBa?(pvL@B%4j>g82{RnbWQn5`JJrur)h;ku53vKzt!GNlhoUOun{wV_~h%O#%psX za0~q?#__YbjZgc!p%LTR+S$6_t`ElN&vB^+XN-`dR#pw;p<~y_j+1I<);?p5n)ONXs* z#L8(-!x67ty?i!`$|)?X(qpjVC%V>d-&e$KV|qq6mHIxKV*G~KTpwY_eNt|?Sp9VZ zwbAx2K*eqK=s(L$9#4}K69E^u=HszBkPhOWR9i@Hwo&1&coAXgW=PdgQ7fw1X#3&) zs-)BY(KyyD@cg0tw`jv+z%ztpHG_1r;cs;?a zBx#6sbmOKqny2Q}(0cpJSKW16TMG3#!;*STpwVSNQ1xN7DO`g-h}J$R4L z@Egscf69E`JvCqM0{I?X@rhGEH$2$8lACjVy+FAdlTTw`#R<>C7Ljks^8r3F%EOD? zo@d_e>(OIl%QZCB1(2qMUJ5|+Sqx} zL7<{SGxA5*t1SPE(!)o6#f3nLKV$r;-YxA=utVe@HOv>@bD0zMA2$>q@1FTF3gfH0 z^L^adr#v>N48HfRVXZm)uS?QvR27U(^lMa^(ny#$qF4N?J?A- zX}Rl)jZi^u#L5zwbe*C*I9rQ^5$H}ry%a@zaheUF2COiu!Y!+`8v>IZGQ+qM z7}>K0fD`l-rKs?KN)z;Gga->Sf*iXs@EB(*BO4tLBU@gQ*biV2Y5>W489}q}Q1RR)h)x*AeZQNrd4x?IBT!@4`^uBH zA50o8aqBD&{vfKVlEGVU(9K0sBle!C4Zx=qLo!#Cl)z?REq)gcPJ`D2pO+ImrJaMA zzp$fwD7`&;5~G)i(V$NAVJTZyd>mv=g_{5_A($E8%l9bdm#e|cDz+>ag#{RF{oer2@Ll4ArWF`%f=M(LG$Ly3^4-;0 z2=n~>=<6;O@3@t?r>#H>t$CT!Do68uJmHlZ8TatGdOD-# zAJ5~1UIpfk?8!l2`rF;69EX!dsr7V@MuSHo{;_It1i& zK@53`2Yv1`EeAB$gSPP(YKLerfj;lgi^gw=^>;}TdWAGc3VfiMPQh)E4^#!3=R(<%x5~pw(a9Qpw{ttmK_Dn}_;61PSqA zHVh-J+W9@C3GpB{NFc4w33?9XeMep$44JqLnMlQLaP@a75z0haRS>s*18;Q*67u}k z&`i+5)ANc5@rY_Kf#2{d#Iu^W0kgUTeU3JrV>(R)bxw@biID&JHG|OR@<2CY=u7Ls z2Xb?H+>X$IM=NL>2Jj^fHO4xvbzsa{Pbp24&fQG7GFpj<^$O3m;^!DWL&7Oi4`i?6?9`R z-mJzki_o1vg!~Pn(Z-aITy3AYV5WaBnqCeT3xJ!8!LwWols<1LoL8a=3LbFgQB%)w zfO+nOvAsb!LQT3;zJj~<3KqAQka@rTrySyWzUCdMNY{EA(%HZ^!cEF?gnXGOn~Hz< zVDf_6R>Z^QFq8t6k?U!o}uxOd1cuMhb&T z;0V1c)CI&O(UFB$tt#@@cB8Xvy9`v{_u8`Q*<`>hIms|`R#>zpU*5*%_Jd2ZQe<1K zjDEXIiv&%hpz+df(_WQv%2&jWW*B!kJT~skjg^O~KtfmnsfauVCF-9yMAH5XD;6_9 zWIHKO{5*-|qZ@s`Bq&x9Hd--6=SjV;L4wT4r;J{VT3Q3GLenA7_>2+upo*z_UJ=Wf z$M6SbX1UIp<~o{E^hS8piYB6ARaRry8ZxkN18#%mYlM#t!#2Z8a1IqIXBwyd{S9VY z!T zB}ZL!{ZF2o+Yiz0$(5Si@83a2T_z~mDt1usJkawOCGWUQ zG1`6xg`qDn5*ml0wC()`jk1Z$2;gf_K@)4uH1HZBQ$$#g^GUFTv85i>=X6Bekc?;iGiT!^|KkN`ri%<-Zn@5%B%XgxnR? zF~N%l^wtUbEi4_Y_9kwPJD@Ae{^Xs75Ze98I)C}>Iw z)*MMesZ9?>M*w)f-^eSB=%xVb&4+*7BT7(*FUfu;d{AXsP+25Aa)9BoWs|B^OBhoJ zvlaqf2+-6D%)qUnd2tSJF?tQVW*(iYz~q5tjWX1d{!Fyw;F3iKc}cC|h=4C|;&N5OP+29Sjn+tgyh&oF!f8*_34Bi4FmE+Wv{I-#zL zei=cW$5>EPk68t8-O}FYh%Gjy)JFYjn1zzfy{ZPQ3t?i7_fG!OE_YpO#?zw*l~F_C+Ta(D zcf>LFLiF)YqD3%)q||2!-F)0D%L}lYd5z=H^7)b89D^#vAaE6qIB=E2JaD8^Y#M0~ zsB*+oaCi43tWy3OALg3bAM7!7S0XC-xuBamAOF;Qgih|MK2V6N3w@>aKg{qVdAawx*Z6mq=R!5un#Tuw!i>a6?$4Y{6~QmGCS|OlsT%LP z(R|yoDjMboW~_I8PjhY1iHpDS)Fd_8FpUk znX`!x+WKzUd9t)v%IC3AL25rOx=P_21@Ib^n7iC+Nz-4S{jMZm{J9`Xs*mwBn3)09 zV=}n0Krm2AmfY7O5cL)(9U30Tcjko#LylyC4(ctV&;3<1_v7NXZ@3ns&#J7tRWp=; z<6+%_=<*5EqlxW^T^oR^6~G7si7nw0x*4YF2R{+5s<4*%i#o8>c(WiI&5|Yw2>q-EqHwh!wk3G|Ux-(ywtQCljr>6vBI>&nm$1{5p1;1C zq`X|jD}kf^2k=`An1gi(mnOkKJbYrEMcgu3wkUo)+AiugVnUPf0*m)Xs06rhBIrWy z@rHJpSW4p*f*V6PJUqQJ=EDd^umN`7ZcJRc`$VRL<;FmwWjPUn zg!Q;!KjqNJ-_3DqV2*52nw#a1^O}4UF9`=^ji&Pb9_Ty}h*MLzDeS|kY*qyY(X|wO z>Q793D#JCTyYt_6G7-ltt5l7|I!jdSI4qxQCFZ(d z&AUQ12{P;hLjy!nLc^+I!gUFQbkPk*W=UqEN$#uyr#oH`x8leId031v~EzMGWSUc4Q$!li&nnj3SymhEnC}wD@OO*R3n{hqY!2_4qlm zShac7FR(ko<4*F8DNu#>22O?XRD)TvFhGB~lfWKt3XUkz?4p>rF(!t}s=`-AH}KE4 z;LJ2IEEnDL5v}^8HF3&~_;CBC5ODm);vejOi)=#vbbir27S-NYW&MX)w?J>4Nl>>@ zcBDw6J(oU4Zd$rnX;mOp-2#F85rPOjP$KPoZH5i1Af7oqfNGnKTo=t95TGL2=VdCeai3-SeKf%qke^kXhts%E?I*Q8;lQhhr+8}lCn#|5?E&`}XN~A00Zc4} zUpIVau3+O{Vf`@8z9fm8re+kqoq$N>QHTEKsr*Kmud>%4lQwLh_$N)xrkr*ct-Q$oBSX5-u zMd_M7usogzr(~tf z*v1y1_glo)RitY_#0xLZ(&}@DeqT<|4J?V_s_X&ysOK>DVg}sL^t*yvufnlacj|p` zE=G5LqwnFIt={Oeal*RrX&%~R3gkrm^YU_pm{Yi)`HJ)6rwjSZxg!=PU^6=UkDflm{Cc3=BpUGAajjg!*e>Dg`6lOYD~+x z6TEw~)`%y0;Zj%e=33Fw_ z+7Vcp+(_maT1Lj4eMN>EWI^N7JsbX4T2F5J_d!kso(s{96uwC&Y7+(vhMw=UGVoFk zE_YJPAp-oM2GW8*znv)mp&uV~igt-xlUap$dOmeA zceGa3>y*ikB4T?Cq4R2dNhFq#ZIvGo)p;)J^GDH!TH_Q~Q!p)yz}s{|1~!DLL>6ASfHY`ADhpR2ZB#hYAahU)Y5Kz zAkQ0JSuBVTieMCsN&Gc#vmEg$fBiO1fs`-#=}EOqNwpg&HxTM)KX9fB+;{oUAC!6C z=$qp%TLD|HB0li2vj6IJ`8W1N*J&5-%j9N+5xd`fY?@m=eM$$k3<)M zC0-C&bU&aAN3B`{F1;v3*K^wxqOZ1QnpbKFz|fPgC^@PlOtJUR1y(uoTm$onUSXi_MaJV=!Q{Jt=W)t675*hp%ROC^xA0x zLKeb$5c-m(Mij745ssqJVU~iNn!mr^U?0$)=SUpngLi8+6`|Qp^Ixtq9QjoUNc-j0 zs<^J;A1Ln1j9ZPN;gR4wkUk|s#EisfkYo(+VTYN5Sb+Q1z(Tk%T6=nZDRjAdlfEkR zV1l~YvLk1?fge=6gw22o3S;9|=aW|t!R7kv>AkBP057t#U5q8zDAts7_|AACH296v28f4ZnWXr#7E3WhH zXreTryZ#j`lSwwsq_U27%(Qr@G&!lK7XO}{loq*R-W7R^u&py`6IY{kYS?oyM$@cg z8HNKMD({gN(gr}xm~nu1$I`bIlUWUI{2fa%$Q$q?MYa27^@*csmPUO&VLaF$g6yy@ zXVT4oq8$jZOL?C}B}tFq3NFQS==a_S!VPh6U1DL_i2{EG+4y{q=5N88<50flrjhvT zLqv(+z~3C%dlu*M z(S{IrQrxjdDmr(oB&cosxlSrxf54Bz-2JV1KzAZd4;+B4aM~YzuoylA!J(7E7n^cA zyXx27b$}a++>cj0pf5YJBbWp?Lo&7=SHBS#+X5hN6l^H#)yY*{fdBR2+ud-FqyFzs$V4`4N1b-5v+I6AYl@{bg zzZ|n25oiOrwg6n)0D2B0UGP7h*LD3)EC(Fq1`x8tssuSnJ*$*PoNWPit|FVKA#cG1 zju<2<>{RqL0>{BGaX2Uax}~vh`TQrrFKIX@doIdZ!MhkPNgTg&q6i%dN9E>4R0mmw z+q1$pGBZ% zfL@o$vxkB3zhzY5zBVs116M^Ya|NV;kd>>VoG5gj=`S01!41s4va z7tW+UhoW!m;Vo0)Pr(=t-5A%>RJ&?&12z8k4Hpn{02FRC6fQKBb|m)!ntf)fT?eXN zI4VOF)R?sF@Wz&tYIp25Odj{Go~+HB#kw~e)N@k~M(ig`tF4}YPOoygYio0?npVj4 z=l=-2Oyqs-9$rQK_BpyHZIWvM%?0DZYx^-b8Mi>47KaMe=uE1W8TnuPBgwh*mPaw| zza*RMiQ@-^Y2#DK5 zl*xaYOU?RV*p&8jwZ2SRKRyU6-(nh)9ER;yI6_XWu$ROM$SUN5JsdW4y`JEHZ3-XE zA@7Y*;un~gvquhRS`EPSKBLC&GIGTSGcNBf%pI1qi%45V*UAhp-|RxT80Tp`jc(+x z+Ha(ats|tVvn!VTj#8W1)vU0;6<^UKuAs;FKaQ_o+#Z%cF%s#s8$*?#9JyV+piFV( z1^Pb@pu7CtcJjG!7#0Y93_JRWnQGIgqTrXc|H}2$YO>jCvrrm_AxtA$ZFgO&U9OM1 zAnImXiDZe*Em^3Q_(8~Mr7r%sJa`K}#(8wPJCX-FyDOKeQF;DZHQp;R^NfK>xApY2 zsWX*yP!%eEElI5xJJp=K+>UU6t?YAL@Iu{s(qdi11n^8HRJlwj+f&v!x9O<%AjplD zbN9$TRUdvy;%QVnG>`Hg_fqzJGn&%T6GqOjy>7M>@O|Ca{W$F5UuqXPemmkzzbugz zIkTJHH>%?Q2;&_>d2}0oec$e7%o?z?i(LDh{Y`^NNhyrtvpcMnyL-Q}MRIRgo<8T# z?%~iOH}@{2Ph~&O$wX8f(+%@o02b3%6*N#kb4EL(WncLcv06WsSKcmb0LcU`9yw#X ze!IRh`N1&y2rhy=bzpL1&v5=Tw+`d;UT4Ht{UTp_5I9S?&CYz=pR8s2E^W%ut(f^K@b$av=cgN3p_-L7rV3_Pe|?c4 z&83+RYP@iKz|VhyeH$z7^-3;8ljEe)Q3Z<9y@i5tuy9haLIKQZn1)fY`T@a^?*)Iz zrI>{LgQ&wkrmq4;k@$awhYQHe9CMi+PF`5&(;FnP&UGHOALTr@Hm-PEqZ(PyF|Kv_ zp;SPC3KP00W%Sax`sK}97c23bEEXh7XsFf(}@!sa2GwK z`jV5>%PRiVqKQ3H)-X`k{7bpfrada{lRsVYfz?69XzO6iFE?3Ep^>SvbH*7W2W~2| zxa#PzM*EC*2vF946tlEuJhT=Z{Q7fwU^9~`k8>|VGBko!UZQ2XrAoC7n6`WuGlTJ3 z<|JAFh|7^JTbF!>2DVZX?$?3|8ZCnb*Ai^ey`k}2|3K~I`hK{UQew&oh>dJ?rBJpId-|^17KY)0$;zc!N<|4VaHYg4%JLwan z$SeU!iq}Kw^XD%&`(cN(1BfUh3FKQCum~+ ztv-6vtG{2f{?S4TjsCsY zK`;db*_cLd*Ax*9y#>;;@F{{@El;Jll|-4=`?H>30_DBo^zbZ!u^MQUtfu9Pqo&|n zmQz3jxe?UN6r-eX6+iP5yL@h(zsZ3MZ{ha+gfD^Qj>z+MM&b{myi=4b>{U$pHs6!@ z%G?sH{8wfHjVML2wvDVzNjc||GeAH6+;+&ZBkx90()gE(Jk{BeoyptVBByl7g&tRF!V z6qLW(v{!9yhbYPv=UHGw4`%v?`d+gG)!H2-Gw@soSy*a14xrE($#D9ovqa(UqDs!X zuCHUJR(BqaNg9-#Dz)=ioLlnxsrS_BuZ{#fn=a6Cscb~dFu~Dk?e(|Fc&a#VhsG|0X+w2Rpa%(yOkz!C%f4|q(N)n+oy=HI_e*McxXddG5*4pkah=_Vg)fI*VX zg=R=XQphXg3aUY6XBGZVpCFQ2j_TkVWDXrP&S!q#RyJCH5zSN@Jy2MwjSj6zeUt+m z*e~cI8+s}Tn}!A!CoCIhvaJc3e9kbKaSVee>ngU;&B> z76*6L0=3cg<(x~=kN_u^WVMy}qv}1zmF&p6>AofCZk?tsP3cv%e6CkPAj59j|05drT0MI-4WD>iOI)-WJsIo#BOzKE+C!tAN3 z#~T$(0X^tJ7O1|Ph4$Hu8=@vzYm?8l;ASb{M#eqSjEe|!h2|%@D_RnTe*tuAd^J0_ zV&8+aLg~p?xK8>ihdb4sp3oZ8AFIEI{zbvXhIIQ@tcY1*$}>u>xZ`u~VhNDlFlS#G zW`>;J2Fx`pU1;kR4pj$4kfBp(*WRZ)%KP5sDp~5n=YBo72KUSHa^lx6gX#9;VD&E!cYMxNs^OPD*?o8bxDYRh}OWiD0;* s7&HMfLoO|xfR99DZPt|ly z&z$bAYE%#qkSci4@6_r06#d5PrjAaQcD5ueY>do|EF_{XmewXDtZb|#%$!UttW2!T zENmnyrjD+b#-=2ShQ?MTEF>z@Bmh$*lAk7)&fjIGjzuI`VY?gvhkN9 znLzau*|-bAnU{fG#T^MVG7-clsMish`;+kua`+s9SAE>MpyC~d>PSpXHcFg=4G7SO z04aVhT2*=(6$c#=;}dEz9Y_phjE98>Vhzr`N?s-A$4)1R<(G9G|ssEM>?= z4p?_|#Bz0y{eEzClXgpW*G1)2Mlq+W^URem*3e4%n`cG2r>94G1^Ojb%K2qQrEY4d zS!lDZ*UQ!E?Uji=Znn?ofGd|p&rrx)?2K-2%iWwy+reLh36nVexrh4vG*7~Ub3qi- zMTy26uK5`Cr>aqAW2f9`xg1^B)aMVEi+Zc#^R$Bd2jPRWzFd_TqIMx1Yq!;0FUMW2 zvXYB(g-}_Q=xM8^#gRpan*9YWujq(Naf$6lw{6>O5gyDaq_BKG;WxF9v^t!`p_WK9 zD{xNq^HCMwGn{`sipRoP;4VntnJjRAyCrQxe_k*bh%=afsHNnJME);MZ$}a#{6tCk zOIZz;>#qRoPKzoH{Ln?XK49zZyUIH=k#D%qq99?b7sHh?M^m)$|4)Eq?*B0w$ieg@ zZ2JoFBj#ZzooJxE=R=14q`)N0}s01_>RJpMB9{8%ywD^3fR zexV^4@p!;5f_&Q~G{+0PU;MCi*(Q{63P;_Cd7b=g98|AGi3Q!JxnZF>e&Ci4vc94{ zAA16X|5`2NSvrL5@7f^{NtwG<;|S3nBYdcrA#;H_va6=)34mm-kQ4BHO3@@ zmE~s_)Rc==z3t!DNOp9biytdQ3+W2YDGt?{X|1Vkb)MVZGpk2|W4PQf6>K(r ziR#l0^=)9xWQ-Bnyyh|W3kNdM=-Q%Z-G7;GR!xXVA(AF8_#=}JUAWXnWv%Is(a9KC zuj&SaRF;n6B$AJ?hMVj@Z{nP{Jf!n=K4Mzvqw=S?jVAw;VgJNFdZxKy$T9L79Mm9b z^bOEo{PEWLneO{FqF_fn{53pd3LL2bI3awRrB{q`ox1oOg}gpm*89q45r^hQDs4#n zoZoP)hgdHeDi5Oh%1E-W#Kq{3BLjvzZwam zer`W|EqzUXCPbM_jdA$2K67l+Uq0Pj&E;+rV#sNQ+8`@rq83hpFFarXhI`4G<~ArG8+pqXaND zPx7rA&qVc3Ws`M#lMC@Z((w84_R20#mFW!j5<$ah^A)j;9^i3yMNGd6G+fT%sQFXH zI53@+sxX*ij*prYqvkrO>?+=UVX?Ffq?u4S)mO`kl)?(L44sfYX&85obW!`%G!1}V zubc_fyb3I^0p11R+V;fX4cJZG1{uHSz-#~0BZSSzX~}nvDr!eg=WVchIy;9?U}B*f zvuTi*T{qpzaPf+0y`8JffbFK`LoRMFZ=L*`)mR)-53yN@uY?0Roe8lm5hZFa6lRUts)5b?r9 zoaE(|f5I&J@v-NLiVINB_u66#zuB6lHloo_A*@RzjHBSAU790(Bo<`4=a@r|--1AE zN&RB|?4N5~qB6FSUvC^Qc?jmJlP`OyxWg?VYfk-gVu%+?UwY;JjJbUN(&o)ES_)%0 z^4)&lXBxmcX%!xmnOHuEQ3KN?e^8xsplj*Cyyj}g(zY6z-6H)%Wv0?VvWc5WB;+@~#-%~?m z@D{Sx_%#8&@;PL)_B8}RYnbuqdkM0R{9rS5C46_cz6v~; zaaupHYx#nIusIOwS>BHR0-k*7bXGo1_oWSrGOsDFvQR5r| za((O#KA|0FKw2_`jWZMrDnCnZ!(?BSnDslB0D9pfvT33AWPG-*bwHT^Viwa>sAiaf zEz4J#ok;DZH3T39I)hQJ&ZVs>sm`TkcxeGo+s?|`+m`bNKLvrD6Zfk(^jCGS-#55_ zgZ(eY7>g4IhPI;)V~zgBZM5Zpjuqi&Ra(j15UzZFRrL|U8DuEUi+Ksgn)j$Jb;M;G6y zYu(7O&f8`%tDT9=4hx&d78=RI?85UW9go1`jBG8$AR>L%F>eEncB|5}5SavGjPWzf;O5p|pj&x@?K54~6&&%nq+ z%?b;tWp)jHU@AG00}!mse|^Dzxbp(5-VP$eg>;1Ps^dIos&t>9NDc20B0^J%MiCeq z9saF*RpQNVcX*Xh?u%y;^RuSS9k>*wvW^~T>E2#s_}msrsEfB!YiPwOJ{@(GNpfvB z=o@c-bQkhYmuZ}b_LXROu}eNRN#CiOey*V8%p+KF1Iq?~f+PDoLQMqFLSGY&i}sUv zK(g%2fQC}dwvP71h!QGPfm0)^GV23uL|XQFO9rSYZ{t|z4f&6?ZffQ<;0SF3MiwDy z%$wW(t;Z#|{_sCV+y#?lCHxV=s|!HMvH8!La*+NjH0B=@BhPU(l>g)_Wmj@GOn z((jYR?L8~8-@q!H2ZSxoIbD^XNXJYd{U{{q^Kt!EyNS@{Z^6zOEl`s5 z&TAF0)#S22al@VW=2eWb;u&lktvvfOFAe$k^fW+QA9KJ{#9tUdI~&Nv4-%m-90UzU zSV=-6uDAmecL~ZSx!vZN zw`$g45fP?4;Vn#`IKQ-w#sCB zA3?uMh%dgmW!*?-o()Rx>56$DYu|vUln1N|)#f_&=F*ERTR&|^NBB_H4sKbWzR}-J ztK2IyAl^l@PN~!;>su6InXDZt6nAtn!;i0ETL%G{H-lDBTZ8LltwOc(HejZ{B=YA0 z7yiNlmxI3{^c%vzArj8UPO>wdqH7$LEB=PLhk-0I3$L1|iKdHDdyDz8zdk~4H_X3E#LO8oZ$RhbCQc3;yDF=F7JCB!K~Q6>egIp= zE7uxb_@*=nnHTlK6daN*V>rYcqNHr@Jn*O<*3?rg3-0O;b@~_-FNqP1gp+gol>xiz zE^fRgUN$m@lWD8f$7nQ!l*7XZrF|QEN^@`U8VxT{vcQX5*$V49mnWXNMq8R_X)W zY+vv5(9*fe6d`)iQ3Ce1gNIf54mr28dQ_-B$ zX&7J!?b3UxxWR~JVS*%o2(1!8!qa{8ARve+O;7P=WZ8h6ylH3zGIR45F!bi=)k`Kf zXyxcN+bGtVGzu*{H#QOKHA^M~wmbIp)mcQP&vqY7wY_=woiyG1M=Tw@p?}}fH1x#c zJu8JV1W@VP9c$tA-qD#DW(`4BeIDhKdG=eidEV@zr6(~WZ=TywTOInL>IDwBGHz>Y zKsQ4Q)qIO#^qnDrYIcM(FrYIB`Objl)_P&s%4v#S%w4{tP1?Oztu`BP{u>5bF>bLp z4Oje>rK~AtN$Xr2HM#vwpD26>_w26|i)f?gyPv|pVRGF7Z{pCI)-yCOB_j|5OYJf0*#H9W=tz7|%nkIgb zd(j?Y{@704tLnT2X<)21`Iyn7@|pyx$2_N_<^Nl$%{gfhX`yQNJ(=FH4t_3Sc)F;J z-<7nye&v594Q;b4QpvR|K_^ni$MdR09p8d4blRnjLdJ|NE`G>pT!`Km);U?y#^{EY z)4MY2Qq&FTu(P|yQ_#D9>se`IPa0tqSHt2p;stFwBdM60b&}R%n4xBl@#s8^u9Oa-p%Ai_&xzxaT&L+$Zd1ejw zb2%%JmG8NmoBPRGCDhlU5f3qN0~; zw&#zfkVjxP?~m}8lYATUqsU6uBe6u_BVlOh3$NXxRg!yZW5&$=D1#tTkgE%j$tRMf z&WH8jaPhi+?s98-ta^G{g#RhoX8tM_kH#&w3|uWChP@<>INq;d@fRP+HdPrdLpW^)Zx^^?N^T;qQ5c z|C^8NMZ^`O@3j%Gm!_94CGb^(hT%&cPY zNkdaP9B3yaKzlOaOkqzrO?7$n#vnMqA=-a<7%J3>;R)S)#h_`;NNwkngxfvIOyT|a z$IeqbyuzGSqa&{`D!aoxpy>rvzX`-@KZOPX*}bj2*}a<9Fh^*GZeLb-vPQI=!KXvd zDUQrIr)JLAjb}Pb(6QO_UE*!U@U4OpJ1cWu9mywu#3uX5?LAj70sVuoOTswJ$cBSE zyy*~Te{g0QimsbGMU6*bO_nv>DOga(!8m6*A%mWN-q8FMT7QnARiY+O*)%9M1w8HE z=15yBkOQYKPK`dkgkw_4Ha}RV#S-x~enlKHgQbdx7`hUTL&s6U>SBJ0svnJrwVXj6 z@^W41*-1`EFWtv3Hy_&Sy(;aF0v8u9e7hxBHGs=cIYWjIsO%Ykv| z(iD?(Y1nNnfLl@3q1X3!L=!%vQl*eOR>k{|#t-mEw5CHQ2*>6_gSCERwS{B%Pg$Bi z!B2RXUMB@dV|OBjzc)`i8{pK}I`Xc;HyB>gK`c4nof@T@i1mYK;~G{SDVc!S{axK_ zNfgTXzQtm5!Stm^Nl-hvC+yZsvm5sCcF8>EkLeXVaVF_7rhuPxf>`)Z68#rb z;!Mh6kJw9E{kuRSpXtlCk{}1?&pZDh}#L1mrZKB z#KRtmKMnLfn1>AY@05uz9qZ2#sjGY@FCK{y`D>H26@oYiz=*O}ijeQK|e!!SI?dK!4T4lM3YuI6DkB9iXhbKaIYU8W`q#=5}!If>Ym?<^?pBq&Cky@D+ z>n=qi34%b?wyl|In3D)3R%~s@zutLU#Z+Kxa%U&m?J;r+idHoL=h8{tMBU+M+-rMm zYE}B!f8Stvh{W+0;VvUl)7O&U&F|IH<2ouk8`Z7IX}|!~Q!&-Z-l@6_YE9Eka4mhZ zjL0DKGU|1F_DA9KF4=CjKh`&J+NH0p%n9@eJ*3K>K6*N?r@neR$!6X~RE3wdlu(Tf zj`cvR=%H_4XR$Z{D+f!u`H^dk1}Q5WuIVT7Q-*b~6~VeA$cKI`yR!YM>@?9?U`ii+ z?}K%raDe*l^LIZGm=FvDSzS(11Dr0kxZfrU4`4l^3Y!*r)=oCeD`h^yIPtp9N}!KwLo3{!2)szIhL z9$=E9PEj+!SS79JZKEDWea*3K2AP!=U(-1KmZ-s~udl0Iq^Fiuv)9YZlDkk_Ptt8e zqNkpv27&{Bjq+827?#bdnoA(MzaUq9;}vYg8lI}T5BrVLx-RXjP>V)g|>!oPNS za#aJbF7$lSG6M0H(f*qB(AxIUQMDBnjV4l-^Dj;<%&WNBK!FRfnKlvJ00 z#Am*#mt{#2a$tM^dd~oyi6wOp_SB?|H{Kks|JD^T@9ws!QRt!rl%MI^s9;KJdoCQ& zW>tHn$Z>W7uUFTY5?-R`T@|cXbu0k46n`Ft;JjWqy-oeO^cAF(CVd?jhS!a$CD+kvMRnB7qFz>l*I&>y`0q#CDe51qdSu;=QSH?&b9Y`lNEoPs5>swn=9op zsxTVumRG8*+=}Tqntt&(IV@rxjjv)lLd`|(bpxnM#Filo*lIm%;AC9RcpifvG2)}- z-0a$3>sjo4$u>p0yxqidx>Z}8636$&7Q7CW)HuqOGv*B4Flu&C*PlG}`tS~V9AA#O ziW-vUehhy1BzO-=;5ZU$3#;1`3Ifioci?XGs$i~IxisciC)Abglie0g!=@qU+u3hO zy($r=@G_EtJ#Z_P^!(FK)uS zwA@N9rw`kBWhE*Sb>Ce1>70kV=eD&l+I(wOg~~(pR^jf7uM-j{Wtrl0(S;05Yx1l$ z@p!Q6ZPsc3wmp!>jLBm#`>u0J&|6Sd_l`KVeJ15KWd#kpdUy3V^zihp7f-6S)HL$0 ztNP89xUSly)*^tSp_=d6DZk70iiS`OEzK*_=5=^R9q4?wD{I=+P+r|>Q*p2M5Mtt^ z%lJ)6>sNf+_S?Uv{$Z7LWpMkU(3h;fZs>cbHq>6O09XXt5pTQ>f)}zti?uB-^B4hZ zy<}ZmfK24}!?dX@Etm>V>GEw^YCt`gp2o%Y!Wsho_GHDynE3WPY2)O7NkUKi$(lqd zt3%7Bp(W3H(G)Y;YIrk0+$N4WFFT3Mq_yvpVo1Ye1>5xwDt?E2^GzweYLLR=1&SHM z1l+KU20YGW?>~R;;&IP>B?Som`3#YMVS5pael^-UI!sw^*j{^Oe9?VK^FF*?ubSBt zV!LH~6TGy@slyHPE%r5gU-!Mqzhcw@+p%GJG${zl1wyEuEuapm$uJ&$PJAHtc4v@|Jflm6( zj`HiH=!2_*>1jso>b7U|6}-x~dHpI}zvBToJTjECIGpZkxXQ@W!%b3v;{D z_tS<{$O84Hz``N#s-6)SJ)Yy&=i1Wl*j-_qM};+lqHI||#|1cy(a+BKpOsDLpZa+$!MxDkV>j!zBER>7mAlH1&1b6?HY9E-JulTzh)vQva=3OE--xWQoN6Urn-f;276y)u*v ztY};)9owaZYNZl1C^CQ0E7uh%VbADLvX9gz)niPLo{jh_|2<0*O~7DurO7u~X-PsH zzZW&e*7%p9rjqy017=Gj@?l^pt+-YER|PFP@@H2+`%jF2&BBT|)G(M!(&&SXAiIJY z%?6hKMa=6Sb+~n45sT{qPBgn1C5m~FJaP5!7g9N${zCnzfk`QSwC;2GO0QSSRp!8N541kGn1ITaF}SI}IWI^sKAamxDDc<(d%mst_poEsUM3don> zz#Ax_-fZ`sJ<-#}i1^%sz?WMBNculpK!-ktQ*Y%q0tTz z)FmtU6Yowniv_^{5iih{!lH)50^sLbCDK9AxQyF4A>1*Y3xohV2D&m>0B{!!2SP&O?ho*WjQ2x~;MdN#AyP>avM1DoL+#iCLLLsPd*cCbL)5=A=j z8;udxbaM&KXMGUY5)ju2bF&O)ZJ~ib0$u4WX1FZ$K^QnKxB^@|cSo|W_o)NFgM+Yf zI^~`3sz}I9D*1jNsLr_59 zz(=ycM_*xW!`Ut9wL+0M5DRiBAhC#Np2*t|i?>?#TnX-IRHy6L1Rf|Pp#UtQ;angj zunr1HI!k0eO9TS64|FBAP++$3g#i8ve1x855(dUWko@27(1HCZ^1#HPBw3_t+UyFkRBIgns&R&87&x5!0PP&G2r=WphP*+!d zZyJG*C>C!BTRb}-$bn0+YYtFXHGL7q3NyrfX)r6w0o>Z^XwgZriABr++R5T+bY6A_ zJ1*Fg^uGawBfO|ay9lNKY6B1y0(@>g;q?H7x>c~4W;^B=j=EtzR!QZUFs0J4qBiiX z&AFg7Az*Whv8pavSHyS?{4$wC{ODO-w8YwXF zAa#Hb1J#MuulGG9JmKccBVPkrfM=mi#p*!*w)4Imj_25s%oUe9|9K=~yi8JQ(Nk#5?%I0KbY6+gZ?6toN_ami5K zVruy!VmNI|-chpa;OCf0ld55hn82p7q-;phrF$!b%X*!!qpU7D@kwag{;otT`H`Sx zn`a=O(IvgJc6%ZsS&If{D>AodX3foz7LRx^-|{Aql@P{luvkonL99?pIC?5OH0rlN z?&8+Yqid2%M0<>^L4|9pBu$gB$?{(Fz832QgL)7Q%dfkAcBd?PNa~*$Fe7ySi35(H zN+hK$ql~IU(O5?Rt$dodk?5syZO+_%KG;~I4bV!Mj zfslEfn8Qh0v1fA2$9O9h9$T4?`H7jX%9PVoMk_@0-sex zH%ciymtL=#fvd!c-a-`oLG)x$)Lzh6)_e4I!rT=8aZ-<7J`Zoy5aGLr{-IG7m_D+u7ehg(uY}1@Jh93mBGy}d2ofYDrd1B2Q_sxQj*s;-s5Ko`&(K!7c7CsDR06_ITH9M zgDO}ZQzIC0)PCVFJD~U@5`0J1adhu7f>AJTKf4Y%7rh~T%~Gi5lr6LBfKx=~+S?3k5QcPl|Ix9Y|opLpG>rqz_C zZdL@8OQc@xp&7CgJg{uvI4cE9Q%NS^1q8 zXSdLeV2Fdda8#_euXxdH8v6DBR@o4Rkl*Uf|AST5pb}-pEl| zCnb%U$PO$~fhQ}AvM%fuI}C@I&FbF`4g@!SI}Qqzxxq`}D{s}F{8LKn(9WV|zg7o> z`)>L~vWj8Fz{bKx?80U&+eR!KsQ*yhW*#6PD_7L1K<%F^DV!;aTFi$T*8v%qNd|sB zVeIb^*Y=?6F+pYzWN#fwbd*9QQlv9NbPS_aB!Vnwq#!O`H+4HcfoWKQj( zV~1=ErAajYturhxu6`NIQ8*R&9$t11C_>4rRI1~}nn=ic_5w(seqweKhpQ6*0)w$X zemzR;*|p#Z!vPZr50nIh9g-UYJ0E3m3OzAy>WPJhg3%1*fC_7{Df+$)C6t6DzOf8% z?X{std2|jmW8Ew-4>Kn_GfUyg(dSEcD>lgSuS`(kXSrZg@F_FnA$v(D_k5OEVo!Ep zgx}GVA~Y1$=1w2UoET|-NT4_qk|+}_i-~3Ii3G}Pkch>W_nqA+YA#_K3*0EG#rd#? zu_NN;RumU>lk6zw$i}>T$xNPoKjN+&cu1m@u)Ik)C*N?20;9IzDhvCZMqhM`iILaK zisH0A(4bBG9!|JCBtA(PA_|=e&Qt?){CZC@crg#5HHDZkMZA+`*iS*8ecDzYNft>v z@T1;7nIq3Ei+H@2bEDKHk9R4M^u6;M&YoxfGs$)|$WOK8%8Y7{tRpx@``!u&)0$=&{-P0(PoaYt)|_3W?uiPYxX}V^>^Y+Hk3QL zI6O_SU%k4-qK2H=OVVX8@%I2pu_kTh##PD zXD`)y91QyT}9y!QF)B1M<83urBFUQ5tV%D=Vg3J*r(pk}9>|FM$M#KiphxYIP zLs7rQ-D@YzZS8{tBdNSQLO~!(1R79MG_(RIAr0o!Eb1Z#4HLs9zCF7?7n*h z2EC}%qqc9%PeBMq@Cnxf%C0~}mxOzsjJK!Q5<8+9RC+i+;Abyajr`QhzXk5}7y9TI z@+7(dv%+mJ!J$779!?Gc?=ZSsCDkRj!p2SSZVhchcBDsfl|aICl}|`4`bI4JW^BU7 zfA;ks^*DWC2RVuUAb3R}`CcV#b!BH>s`ILBvv#koYh~g8H)l;>hQrObF`P|iQy21> zW=lHbDE2vt*rt;nFAx#{bI?pjLDu1)T`}CJCO`OKD<%jGey_m4)#N&GvK@`G9X$pu zyCl@Nhkwb(zopCk~yG&cf$=?N0SMmw&x2B$K0q{!rXhT z+cXDLwxo7(vGQ-}Tl(O)&Vxa2K!*|&dn0#ecWXxjlc*7a1Gjbt_Y}zdO|#EPNVEak zwhuazMc9{B$G^o#1RLc?_0uQ45xjVa6q*+~IfO&p@O+oz-lU$Hc?#n?-yTZ0Uu-ng zL#uNQhWMY))C=K};q&HfQYperzIb^oTXoh3j+PDJTuOZQ6HP4bUX8|%tBRsi4pz|G zeZbL@5pth*O^}Uslosot_ALQK=XAZj{+;YCp5eDgo{hZW}BiYZ!XeR5?S zVxDsl##7w7c+{WgO7u?B=Xm(^D01U;AiJwh`_mCE)|=gC;16aQlve1arf017>_M!i zT}B^xUJR#u2NmgB-dddy6d{%0^*{IoH)>5xyikdJERhuC_mayva<)LYGwkPtop3tl zf!?`95eiNQs{HKVErpONu7CoTv_FCC&ZDH?BXgq?JCg|>hq7$5;mp|_->W6*?`TGm(rsCw^pYKzG9eO6&I~%K zLL!!;`XB~UrN$I6PT`K?P@zzM_KhcG!rbo!c`}FyrxU8Ul5HrhJYoWM?ZiGz++;g>rZr(+J?w;rAGSJnJu?(2&%pO1zsJ5` zT}$s!Tn7$f=0#o1O&WC-doRhpsYf>aL=D2pw++KkKeW3)taU!DwVVLeeCdMryU8br z@`L)ksCLd|TM&P(W_=@6#@GF*`4%k0ULPGvwSH|GqUH}B)O>ftnYT=jtt+fsLwNCq zN8YoVw`( z$cg9kYkqdyj*(&^dk88q~!M1-+Rt+YmvW7IXyg7q3^IMoMrFugwJXXa{G^WGAh#P*{JtHbJL;ziYWBb(O0fXehwvP+TpPSkq?{vpOyH8W@r-8GR@F*}IBp>T}xpP2d( zn`lQ?sDS*Si|}>wo&~sm!2!T=IWlII_{+B;(Y&YYUA|=4jR%!D3Fa;QW#mWy=f}aq8NMY7bj}kjdX~NNt8}<1BdN=$}&u`VAe` z9un1_Jk{Q^{GeTCXh&w~HZQ6hA8L;ina`E@J1C6g`PM$htt8c+9@U;;3+WSI5dVod z|A9FFh4=+zKWf9VF++8`Rdxj9ko?vnTTMej8@(^Sct_e)1f)+>Q^he)m+s6d@ZtoA zFcn8yomOA$QaBC1WsLBU1KWD7(;-7WDuZfuu8P|6U|ivM zlhcSzY2o^+%nygybwr7V_RQ=gjRsrnx1E5i{E_?rkxN1|hDhyZ_MuYc-bh8p)( z5MY5$_@pluf>Z$FpPe4#=i;mnHcWM_bRUHyVK* zzGj1v00-~U6!i&=5)g%;!aH1HaUiqOvXu>qk?^CZnLR4noS!sy7Y0NbPPrskplR+Lx+pti<+NFM2(8vl+{PUQO zTEpjD?9Ek3B$??u$Y)0Q#ly?z`nr3wG^XTEjCfIUOl`!}GYEFI#F@9^;U=g0fDvO>^4%6jL^wV}Av>kWY;ucnmn^ijo6gqkybj|b4Qi;3w6W6b< z)Za(W22pwhH4DzZFe_37Y)FwIW&7Z{`$57tB}KCQ_*30zU05UKHKhV?2<2xsc;tR8 z%pRa?QJy?RP*=VIu9hKR7G*idw&S}5ex5FskKEAke2Wehp6^5g~qnA z1ub|f+cJLy-#e{4h@Y{=xQd@xVthmQRT}quhHhjISoZH|Xnct*_|5U|7I zilHom0kBwT_c7t|)O`?;U`p3FVgtx%9M8fOl%LE$|2a)hW^Jy5F&HMWEwmkX9A`hZ zxo8lckKn9dPbAOmLh47FDog337c)&}?v^y@Sg9kfy9rz#>S$GN{;PACI*T4zN3-J1 zw(hgJ&mc@-M_y`|=}$`3D6hz`L;bley_K2TS)4KMRFzo(CsbwbNqX;}I{Q-qR*hkx zS=P`)pn{K!vhAigzU}-h-SS@gD3B%Xfh%!rv2liwAq35v$u2_s#aWLD!YEQF&!gS+ z(fZ+sD@dc3XWuFm6DJx>i%_At3ay)Rcb{A}EcBmB-+j7Mkyie$ zob?d=zWB~@<WcSAsQE7g?Iy{J&{OE9%YzFq?Ae8G0+yJJ1T=$L)@ zkFSzndo?*t&kQ9)@Pd&4S{X}}U!Fl)jiQ@JZ$5N?o+<`ws%^X0skI#xY9(W%rl_6# z$Sm_5hv7uzM*s5JAH=>+Ufnrjap?CNF;Ne$qv>r?Kg^i!*EaLFR_ep*yZe}kvMIIYK+(bqW;z?j zaS*aBy6r{wAs@Rj#*fbK_ns%;piBa{(b?VEA?2rK31&U3s5+9+iKqRk8)UB`5|L}g z^7!~Uq1*anDzYOW;m;w%OoBUZ8&0qUjdbp|1+AYIvCW$^rdJHOC?ChjI3%qKIzoCZ zYxp9V?-EYCcq4^P)ak1ftU zR+wCUUtYt9BIGTxO*;QQDioNYnf!^#0?e!Cz4=fWn4dHwrp%Cv!w6?0+jhTkLKehc z5!2yIg7NOvc7%rpTrBbOuxS-$BnVB0Jb5PzK+66}gm(MI-ZF2}^oaiZ2jkNE3u&`M zbg&CG9~Xu7$pG)9m~y5(jJY&wu&`1G%}kH_xEm($PSis#1YZm$6%7>0AH!04K7bb< zbph7lP{Rg0npTsM(=FHOPrs6~iJ9bbiiHe2PXClK%|23wHZxMtogt2=hZZsuOsTp) zuQt!)W(Z88$KU0+(m8TR5^bm%kH-P}chM|nRMA2*i^GHeC!Yae{*FJf)3d5L*Np@r zb3Bz-*`a5z;+O2sMOo-Uz6cMQG&j;D`si-;0=j%6!M+dAj$(LNH2)(ui)} zId{cCSdqZ2rRgdGl?=J=MEknyxJ3>%JWtW-_@Nc+Hy~>e5FX-iQwtg=uC@|pS4}|BzHwiqVR9TV(N@F+c#rl zWLWqlJ=qFZQeWk8FT2wdvN8R!J#^E*DEip3Zr=(cW`!xwD7E5_&q=TZ$ZnXvrwmg^ zj&}nFpOr53eF}%F10qP_3AAhXQ|;wF?{XEB+Vq)U_pikLa{k=t48@|7-MqJMGD2fb zSG7!!>tS00960LZrNG diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg index cfd60b5..040c775 100755 --- a/cpld/db/GR8RAM.sta.qmsg +++ b/cpld/db/GR8RAM.sta.qmsg @@ -1,23 +1,23 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741641484 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:27:20 2021 " "Processing started: Sun Apr 18 06:27:20 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741641499 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618741641703 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741642546 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741642749 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741642749 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618741642937 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618741643562 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618741643749 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643749 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618741643781 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618741643874 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.035 " "Worst-case setup slack is -9.035" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.035 -651.992 C25M " " -9.035 -651.992 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.356 0.000 PHI0 " " 0.356 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643890 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.263 " "Worst-case hold slack is -0.263" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.263 -0.263 PHI0 " " -0.263 -0.263 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.391 0.000 C25M " " 1.391 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643906 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.406 " "Worst-case recovery slack is -4.406" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.406 -132.180 C25M " " -4.406 -132.180 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643921 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.852 " "Worst-case removal slack is 4.852" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.852 0.000 C25M " " 4.852 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643937 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741643953 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618741644156 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741644312 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741644312 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "276 " "Peak virtual memory: 276 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:27:24 2021 " "Processing ended: Sun Apr 18 06:27:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741644578 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618810041817 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618810041817 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:27:20 2021 " "Processing started: Mon Apr 19 01:27:20 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618810041817 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618810041817 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618810041817 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618810042020 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618810042786 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618810042958 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618810042958 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618810043145 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618810043645 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618810043802 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618810043817 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043817 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043817 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043817 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618810043833 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618810043942 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.468 " "Worst-case setup slack is -9.468" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043958 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043958 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.468 -696.810 C25M " " -9.468 -696.810 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043958 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.212 0.000 PHI0 " " 0.212 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043958 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618810043958 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.265 " "Worst-case hold slack is -0.265" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043973 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043973 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.265 -0.265 PHI0 " " -0.265 -0.265 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043973 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.374 0.000 C25M " " 1.374 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043973 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618810043973 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.404 " "Worst-case recovery slack is -4.404" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043989 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043989 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.404 -127.716 C25M " " -4.404 -127.716 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810043989 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618810043989 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.850 " "Worst-case removal slack is 4.850" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810044005 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810044005 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.850 0.000 C25M " " 4.850 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810044005 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618810044005 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810044020 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810044020 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810044020 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618810044020 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618810044020 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618810044317 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618810044442 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618810044442 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "283 " "Peak virtual memory: 283 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618810044786 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:27:24 2021 " "Processing ended: Mon Apr 19 01:27:24 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618810044786 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618810044786 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618810044786 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618810044786 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb index e73b492be074a7bd1a129aa357b505de956414c4..c8a53e95a65de1300553b962f7cbed38addb21db 100755 GIT binary patch delta 13590 zcmZ|$bx<77_dO0nLU4C?cemi~5C{&7YjD>L?iSn~LhvAg;O?%$J-9D!zs=|U`u_7& zJzHDbeS6NFdv43^o~qdvhY7m`4WI$<9n`P@n#1LaFfn&-Q{o@wZ7J9(G?3 zFj&G?QWTP&Nv+&gXUC&1Z8{G&Wyk&4FUFN%&^+xDf_)I={V=1tPbRZdTL6 zU9$VQ0767ytSqt_tB&W)#>Uvo>73!s&A-e(<~x3$k8f-t-zp44;)z6gV*406*&TTy zq8M2#QITBL!UyiifhUIe4dK|^#KTQv?D2t}EwBVPF&XmX^sC?8VAJ>MC9ej&SS!9H zd2W*PNr%BC>K=Vc0T8O??7{Xzi3;j@?09<>Gft&Q6(9!T+DT%n1E3Vx}WsJin2-Of+XvarPoB0bT7JY9=w*o zZ)kB+72ScSo$2)eMakXI5f6lu8g4k8{O=I*)-pEIZ|Q=ml!y(5(y0+RJIVJtK4>Co zCP`&Uv^^>(;=2s*k&uy~z`fiLut#pP?Vx{AqA5U{URnuWQbuQ?{z!aL2MXZqt3QaV ze1?u73ivcO%K=3?E-u-uo?TM7r#WZ=h{U4qO#6wRP5#A7*o*yGN33%g(BqJUQzy0* zMB3*%G;Dq$pZsDhn1$>xX0N6=QGiuL##9)7uts>t%{`|}~wy*y$H5%b0_TLxJ?!5u0Rlr1n zix8SazH4dB%Bui(4%Yn6-92-X2WHpl(VW&WRYtA;#kZomH}lEeCtm!GYWu z+v%F`habW8hiJEO#{SP$MdqCN=LHWOYQ{XIfi=g$N8{6?g{u5fR(#*5?Jq7OFVLbP*gsMWX%evj6kfS1v+u{|}2y=s84tZ6k$KzLLUS0QtEo_~I zyF)nD=p%xs2N4IRcZ*H}+rhhjFq|SWaAL?ZI#64rbO^f$gu(3$gYO5CPaFn4ODpaF zHhw@sX;$*f;1eobA{ltWOLj4iHSuj1S%;gz&r*V$Rlwqo$=o^op5#Hy`E9mKYXiNb^=a0?!S|;$r)Gy)`Ba&x3>Nx>m{r^ z)zE{SLw<0tvRq!4hbUgq(X^)+tR*ZWf%e)8ikHxG_0+w5mzq?a*p>Gu9nf_RC@sB0 z;tHvJX;uW9@$C-gE3otB397YsojH48CqJaZ#pD>!)i6DknD?G9NjD)s=rGN zy3iomyYvQPAoxixe`_*hjmr`C&v)7K_FzgDYX_u6c$TcYc=AOdQ$CvPpzf=2)5nrH zJ8z;ys(wN}`X}-BRRF(wwLOI;VuRe8-A%8pEv)>l`}FL#ziPw{R~sahP3niV8WaU< zuy)bG=5r_0-gx8iK=}HC{ESr?Oo|pJ*it~ERNBf6z;biXZ^?_?Ff0%%lHL{GETs=V zOw*xa;#_U?6R-O6>XBXQ)M-`89JlSmQY0TGY&pSROE!?GwrWLw#mdHfMT!i955k3! zgzq44?wz6xk>Tk3Fop)>iN9*%6y8k&>)G7$F~r&axratJ`n2-`zV+I+ji{w>F}GQr zrFCT-@ZxV>yLa<$A_^D(94}Z!$8!Edis=~3$$)i?>s}XGc5>GI!N$uH#bl`9&MeMY z4fXry#JfmpJlJ5wH0qtrWOIB(%p`cHE)te67G{hS%=7gN{y43`kRb5kb>lfQQYA^c?$yEMTbWqWAOd z1&-WRVQKGPb=fF|-px!>RRq~GWeBWd^e}dmk4El z8hdLfGDtAzevQG@VB$QFa0+DS!lVMJy?XB160uD452R$xtjju&*{)`Rq(`Kvf*SEM zHNBFbTgVH@VLCc>r$X`(#IekgzHM+wBvE~xs;%0(<+bA~o2o83*TPs;ar)SOT6yMi z^|A885n;-Kp;WgeAP zkY6ZA92pEE{dv6~MR@KHlMxD>2NW1#3t8ebVJHub&U*wgVTxwAi_>kuZs1X7vZpeT z%#B*)QK-;6a*O+jyoSE9h#}^A+OVTQ8>P#W+SLfHAl3P2n12y$KZj9|+y1amXL^~R z#g^m%+YQ&9wtR>=5zp3R79JfX?RC{>a7gmTY+yh-PWzsp$RB@0?!p)Vhi?tJ@tfnT z-ub2Hk(1p$?FeKmM-P6xy9@yF6S(CVZeAWyvWWKkap(TzTXgIa?>_;%Kj5p#hErn@ zyM82_*xbB(wL=Y;rNZMcAf39TzWF#EOHCN(*!!v83H=Ekb7$$=sZLN}A@y=icwnj1 z3tZFL|6-)3{5a{AB+>;OkA*w&GM_n^ld($Lc*XQ$Y`_8!rip5z6hH7-hmrz_Qe4O z+r88+zIUqw8rq%}`)6yuqQn&b`}C22VwZoTLHQ>u!R`ou{XTKF8s|teRZA@M-2PYK zwbuQNv~+`LmGFe7mGA7LmE?AWqJccPXp6+Xb<}~*7jF2>32J4=xlAwCtoCU(E7<=g ze}as7K!ZQTFxwdz=UOtCo4xd#(U$%p4$`r_KV7?w-A@u}&<;}6-*ppdtzYS!C5yWH zMKCk1Yz^nV?t3!e1ptV$gRTzqu4G-g!voZT@3*PeWzLazUXiA;)MEafOZ&L`pH3dm zu=7sVlT|6#Va^NZ(hB-O^o3Gt?rLe*y=z3l)7-XQm%zI0B|QPB%(NjBFiln1GP$vn zWo{Nt(oHADA4&Wf@h$F=7P!IjRjkE^=nANz=q%zb?fd^%1-SDc> z9#UB9?SPRMQUBZ_yi2VCSGGH%9>1sphN@c|;(+8;OeVuG5qaqr&dOsf(PMah)2(Dp zOwpfg&;-@AH(5?FxeVZjY*vo)ozZ8(-Q|_Ah_TuF3Yyn7y=_LZ5gK>2{3YAOJZk^i zqhS(_$7%yS(0hR13dP(?e-TDdui$CSNPL4)8<1um%&62uvvqV_E90*-@M7^ZI@5Eq z(p!XX5t)t}?jHEkjOGYNKI=uQLOLTP&)(abKD~pI*6&F6l;gT@%W$_gidFu4PPlRr z#rv>c|0nUd$|p8VC^Ux^5%y~#{p6-f=P?ofph56@%M$`D0nw!sy#F0F=Q0cdw@htW zGVsAVJ;>rqdwjDU_NEMHYmOLkz$7Yc)26LpG(~EFF_*&T#x#E6+#wn?7FJd`#;1l3 zQG!u=OiIxR;laYoV5fLdoB~p`RYIXy=bFenxrXc71n79HLRn_rY=EETuYBtrv3b_~ zO`+YbS8;udHEb7E|M0bkMwBc}75cObG~i4~Z5}B%98r=avF$0Ya5tqY){;HK+ zCbAU5PuQ7SiY(72H$+nAM3A{NIh7vz$>z37DrBWwWB+E(q-{r&d;Ri|+h|<$1XlYc zXjC)n9b&Fvj8Cn6+)*ph+cJ@iu-1d#V_l3-_WNkOYoejHuw)yGbp+?cB;ogcxn-1p z(RSd>N%`v8#?3k5+t~TD$pD!X_%9EK#FjzC)b;T;a1OmASmk&7y#)tuwcf6+i!`{K4$sU$yWCy=`72DviIv74p!47m(INxYdw4N5o^>m zyQo$|w7YbLaV1Ki}4 z60o=H3FmqHz=Uvh%^PH`TqD57S>kB5d&0ptadjen%>St-PJ>B@6|N}49tP<_l41n2 z=yHsWhC*I}2#avQ*PR`Q&YwQNg3sYQsP0i0HgsBG1i%^359DYhlJ!Xji{jDLNKNHtgD7mnf= zDjH#9zN$K)7|(`$Y4#UqS$hF+^C#`!|3z!?Y6}5|x@D2UHIHtjSiy=|-UwXzgxLLKsxZkH1*p{s0Rt1;3d$`?x1FB{Y zHWP%OqekK^yZ-PojhAnB?P^qbGUn)IS;2dg7L76IY0;2N)z8=yaPp4b<0EG`8q@%D zt-=$zDN$3FBrB>sAP`C4Mj00lwtwHGefFwAsJP4v zQH$Cztr|;T?ZV%-Mb2Y(xO&_iuC-_z(UQfo=q+Bk;DGOy`ctepS95Fkk&U|P;n?e3 zT`1bSuRkS$0o9omjcM`byGcFwYwiypIh&o{x^J|q2PWU?f-wA6e{g5^aDU(fB0L4I zSl`~ib?O>cja4llI$X>a^a{@F6m1A)A;lQ8%&uz(j#i;bAvr(RH0hN3C=t zI6Wcs+rL4a6>q0zLp9~8uiioss!R?qb$7;^nGa2RkOQAem=`)G{iA} zphVNmX@m;gGTGZpVz)hx_KfXRSqQst({AIf%nmE^F}UtX><5b_YlUO^9I>)($~&Qm8(d~xbKHAL#~ zm@I)-AN?+hl>ZZ=#tGlvXQwC0&`)${yQW>cxo~iEv?9v3y=;tZv?7%)s}3 zXZcqAoESMl&;B&H$AzVUIQIJKVQjf8?Cn+hVT?4TZQ<41d(3v5Yl#TEeuE)KckMnO zn67G|;aY3DKfTJ>;$wX6t&hG>Ipk6r84TuE4BKFPK#PCc)~0Qfuj)e<9lG3bOr2Ch zWAQKXv%K$4c)R$+_SFJ$qF4*FC@!+ofUsUm^-l)s9k6QrOI>5l4r!2@up#vs|E&#s zXIT|@cpMp7j|3y^gvmkFki03izlx?Ah-853x>o$)@LQSorz@_*>h^Y^G#2rzDXYR!*aq6Evk0Oh!)_b>scuMg`q$OW)#YIQ#QIB6XC0 zoGn|>yv%z+AD2#%?%r$;XDeCIjslpkEtrj+QY>8?K<)e%u3+msd5Lb|9?} zqFxepU8^PyR-qZc5mc zeq1t1X{5q{rPtAoD2O>c?NfE`GwUO3tD0CA z4F^M>%lxv$FbBtvj+(Tt3Rb!eM9|FL(Xd77hSLKG_d<)QHXzLkbE__g4Ovjez(7@6uuilXT# zNSUm--z){$=4agre-ClO^lk@3@bLw`sC~U z)LWA0v93V^*Rm471X>nUk0quj8~u(bqHFtFf-GDtEYx$%mPgK3<*yL(VNSaRxj?6X zrH)0i`|(T31?P*2Bp7(Tp;I-K(709_QAE=>jZ3Lzd$8A@WT3C@&?|lq>q8$*BwT7l z&887HW05kWmD#PVTpjUM2~XBAgU4JQbVFmM8uggiHUf`MgbMP(R>1k;;3@sGe_Yp4gvkR^UNR@2AX{WX|qez$ALi9j${hzc;|7KuY&J(&f z4*8TYXlsqQAk4DL@(tya+Qm~_k-wC1x^;Y6uG=lqH>2s9Us1?PtF;imDHH>_U{*oo zK$~m)yvLzY{wGnEPtS!!aDP5w$Kf$JDz!Ay0Z<3EjdgfSKE@h_4yfZO8>Ao5?)8s? ziCBJz$f;^fxw#r(G#f_?PxsoMgji;64qMrvlqc-n_lpZ>qxm9*s?Gy8ISD& z2u)0@pc+5TW>hJz{-3KPA{zvdI^S1a=P5ke7J2VWPWK|Foia}b$nnA5qA+XD1yoB@ zLm+#zd*ss|rg3Mf{7<1~(zQ!7^8BlW&sZBh@TY8Uj9=k$rtz}~n{Y{^ABgS~tAJ3+ zUfw6u4#AE+)}a-ouK6cG9VeFe06Vp%i%)M~)HZE&X_keKmuoPyi>5~gW1GvB!ft0+;lxgqIdvN=6* zR?f76;eY5#((Y*(xf7C^Vo?ngf$y-#=cOR|6lBOrD(z*np)bPr0>l&+Xr41N=8 za*CjFo*)XhReIrQ8^xCY2v1DQEz`!Vfpg?!$`Dubz+4=^n`Fe{U8|QbsHe@M_vkAP!|AH$hHHg{HSudt6!cmU*msOP@LPwY4pgEY+DBtvd5Zs6=AlDPpY` zYnk3cG!*TnDLG2f3L5t(E7jooT?h&rl<7$Cnp3quWdV7Ek5Sg&iYLu3M`rhacCpNi zGpWV{gYTN;_-cemNRd`4*V?YYp3F|sZr3BTYyTk}{{!TZ{0DIVKY&l1KL7Li#{7RM z)&EEH|4^>~(Tx8GVEcbG#Q)Ja{|^An_Wy@K`yWE;0p$%f?t{ZO2axw8A8xaBi}z`T z%Y&!me}t|7!S@ILC)}Ute}r!K{{ia%0}TELcp-!USU|-_!O;z@w^pwF(%_8lb)}GK zei|1dR3MU6X|q_(h0HhV(az?CFhPUGc^sN*3kLjtEB+!HK{PtUubM)(m@$ryJ!L^OaMRf@K%!tbmVozYZf-lGa zdepz5F2=hnYWqQ-~CO|8imWNGK@w1Cg(o9dntfT7Q0&51%XPI6+os zB485X_rf9UxtrV{wer0&aDhuxMK|`ao?b<_8yx2Rz0%kR<${6f&t10G{^jtjUadw& z)c0S;o$x3Givt>eg}`%t8e0Dv3yh5;ikU7qf$6!Y+z&*ObdQ^hI<-HwGh;&uk$7$c zkUKNV?-BEsZAtZtt+KvC&sAOgsA9DQ01Vj0K(gnkFJgvm{CQ^ABcxMq94nt!A^u;N zM|I2=((9$mud%P^|6o@>xvPD7x!j7qG+>6`OR?gplk4Z>dUR$$JQ}vOyT-v|LEAUF z3ok74;*=hr6DHUWS`5tC`6B!)>BT%T<`F^SI_JZ$>Jy<7hW3DWDFmriqryupE*waY%6`9Y z<|c6P7%LrZcpfCBXm&Y2&%U>ggMYvOnxRj~#EFEnvD$GTc^8p#mHxSR+b3PGXeMc} zWyuYfy)+i;cV<+KU8@si)t{jafYRUT3qiAH%c4KtZ8Mgy{Qc$vmy@rHhGVXg^y4OP zcC#?QV%kZ8oE+I|DOQu=;gAL$M`sAQ+g`xAHKj#}C3RH%n@F=gokQzP;eZSTrNYQM ztHa-~jrgb3KcUsZTu7<`u`ZNMlC}ZOg$+yhY? zei=z{?FuG&8FRI?J%2V<^{+bVsqrjm>hP8>|7eD6r2)^a1p%=Pq=f!omdzLg- zn-Bsg!eQ_+Z!~O3Umr94zbX=?TJn1ef2TFe>2iR3kE73^BMJ;bf3T}mR28HyMyp<7 zChr6%YfC(Cwu~3*9!|{)!e@j=U-li$?8To!(2O?c{b87=-2~;79lwT{jk#l{Q@(RL zeMGlJRNO=?7-BZ?<6Z7(dk<`eC!bA_BOg=<87@%Pt8x68SDZ2A@cUElc0lke#2{oI zaJ-V4J)t`M9)V<)H$U`s4bm?q>)m}-nu45OV!Z!Zq1QQMt_j$O!HVs{kt<~l<6Q9n zj4D&;G~UcEnM9H11}ozok1u^sK@0LTaA~(lxK0*UxW_0?G#Q~;%S`Nn9D-C@N^)$* zO{F|c?X2HmDB1(o%3*brfqi;sq|e28wfc+3LSet0Lcs4zmA|p<#1Z^poIy(eC5_Z2 z(1wP38=LzZ`K=et)i|g}hVF`4=Zq3NBV`571_o{I*r$G`Eb3yu6mPU(1<~&>VJ{ZH zE%HWb+Lo<=nZkI|)|~O@81Td*LQZJ3rg@_pF1s82uD2~^Z`8!Q0ifZ`StzhrLL%!{ zytKoNVv=MD4`(O}VoaDp>!yInnU>))e9(}7YuXIIV*Z=NobF_}F0JZGbYdLl5>MnB zZzdF;8l{w3s zRIJI&mwnjCfyDza3UVevB!R{kP=LeqB}af3WTuDVNW|+cWRz)0`-)qoo1pppnEQwY zSs}fszMJ>b2V*YE)@%pJk|&xzVfvK+@HFp|iD|HYYq{ZayS`O#A;H2(&R#{ZXF)=Q zL?|3McQ%b%0r~`4gJIjy>V|!BiAlx&30zh=e~Y zGx1F%3<=h^6q8KEytHf`jpPs|E9>jI2b3VkL zw+z1GbAGKX4Wf0!0LC-VtEO9S^DQh39*IGIAB;aaS zN(;#$LwG}oG{hsoO?Ax-*pQhY@i3b^4P31d{NM225aMzY3$oCeu>arie$~Q zpg9dzdcwkWshUZkTSXN3H=4I}xCvRxgyi#^JAwK22^x^g+{7u0qEV7c`HOn)~}R4pgpB|-%wni zpB97C6E+TBL8hn|Gm87!tw^@y^N#Sty~%`I9)Ylr_8mHl%TH{`E&y9Lac*K5fid)q zAYoZh*u}>u0$C(%EEU3!jTmAy86To14T96#`bZvr_fn=6I5_&ORTEJ#|z{m#hy$2{sfE@XMHWiMc$elFsjsiKFh=|_H|CW;+_ z2^mO&oa@eLt{6`9;lpauKODk9`L|c>rnT@AHLY=%#xp{4t}r$pK{44T#Zw|ApFoG@ zY*gEc@)Jp0Zkf)UD*6T^Jcp9e&exW$0zCI!;)6E~?Z{q(JeS($wKn%ZtEnLgwH>lWh=VgJhZSuv_mT0E_2|vI%713+{;bD)}#U%g=ROLj1rCwZi5jV)XlHP_h$pY5%4r z=sxU;@FQo-34{bZ}w1F}&QZY3B5i(Lo~aPAgv+GU8Ux~W__Y>9TfX=U#R^p zzB`+YQ22aomzmM_AcQN;Jnow5^)V69R{O_?GUI0ZQ*v*Q+YhC(yY z`$HyGIsGl9fQB1GRu*91dsdq<&HSB36tlfM8`R9g?K^UNi?lp6cjucFdN0XR=eg#p zW6s~OGumGhkjg<=8=Tw;9i|D!sGKNVWPikW9&dN@!54~)?l&3I5WnA)QwBn97+Mwn z>18V|ISn<|J6gYVa%POJI7#iO0Jro#Dx?@Pi#nU=AsWF0r6rd)M^~lFCC+=Z>fR}! zG##VJx(aSoCH?)6E?-1qi)-*{?KG)o@n;if!6Z$O`j(Q^%7nQE$JA95DIKN{R5mjg z$ij3sJW(B2-CSYSJB+O+NBzc` ze@T7lzI@Reze)M*wG=EaSi)p->fSILHd`~m$W7K z2B+e3>Z1t>$KN=0AIsDhJj@&YM-Y5z$pxe{32S^1^n$@D7o?1_in*yH75^rQx9PHA zjSnfLhLEFeGgGMiaF|rmZ_N)@NsrwG6le+B5hOd24wUg*454xh7Ax*(mH0XYbAL<% z#rp+~Iz~Sq$!%18W*C-L2MGV5o5dwiq}BgQ-#vz-Z=oj$x9IAS za4`ubqaG2WB(q?j^rc?tE|X;tl@;!+KCYMvZvxt|iig;(8w zW)S(0!}NsTDG`|yQ3($`YdrR{9;!P0ZE?5& zHj^|(kWf9qr}!?wTCnr^@T(0~L0;h@f6*U{gobZAA;`iM2`o=6dPS+Jm87 ztRC}uYu>nA{7QhVeu$?{lgp9hcPDK%IQh9GZA@#}YAcT=lR;Jr^A;G*3m_Ti=WmzLR%sdnKB(!_xo3~sKp z-@cp$t_^BC6I~v6Tx+L?7T?9t|VN z=ZJ~_E>`IZLne#S(WhD=0_v3)dJ@I?P)@)al}_n**rgLBim49DX#SLCTd8 zPQ2hqu@q%p!Ct{xtAjN2iwr7t16X-wSuQE}p&Z3!xqq{UUbgsv(d(F#+mmxT9?CsH!kisvrgXF;CIYsPcy%>u2l8Aw-rgS5z6~@r zyvx8}oJRs)UtgYxQCQ(K2iUI*FpS>gKaV>SIx-&c#9>fdE%r01x@?pjJuZSM+}ni) d-^ie#-rl^RljeDTLnkN2^U^@?B{lMf{(t$DY-a!f delta 13846 zcmZv?byQqY&o5jG#jUhB6nA&G;xfgdP+SIg*F$mF;>E2v6nA%LkmBy{R^U$G=Xuw+ zzPs-HGf8%K@=J0~vd@_rw{EtLRRtRH-@f_xfpGoL*Bj;kuy6Qz{=>V&9Q#Z;V}IXeNzxwLxB)BmVk5liH)D5f~hn$r)`No zM;d1eb{Y~B%T9KyXC|3~d)q^;4~3`1fqFR1H_VdPnX@>*!NAJbK*LUw4`$ZI9pU&^Txt)6Bv7g_( zx%=w<2OyS+i79;6JH8ZuT;9|FU0=_}-_CbRqL(g(VJ(QK&Yv;tS*KGhi3<;LUykCI z+S;0G6gY_q-dht$i^^M|jFv(`idhp3yWNs~wZ{WV=XGu8n6vU9-{l4@RE5|n=3cFA z_;RM{MGQ=tIJ34*5f8jyRT;YYEs`ODThF2&4MewW9bjU~MP3nXlU+!;xpNSV6%N!` zI8T!FChQvs^83@+-;utBpXxFvB8gVo-6Pq@@CsNE);k-R7sHymaChcC{S-!zFQ)e> zUGtqb8_sL;oOdllL8{Hyjo?iBDR1?d_R|+rIrmBtIA-bi-rU{^;+U-=6MK%d(F$ME zQvj5A1&JF(L%cL6XI~{myL(b0pf8deO*YNU9Uu)5k3D}_S(ufzTNdf>oeJu4AfmVm zkvUybs#D@}s4eKVS~UqHOIBH3+1e88m~Z0`rMTC(-zQLD$_dH6;(3Hvk!s7h&Lo@q zAP!GvNbwY3K9SnKkz!MndgCq#ixwy60AxmJXk`3&pyWS9H$zxib>@cG(rc65>6AnG z=y22;|85xPI$I#%XXMjF-tkkyK_lS8O&mp2K-(-B+R|xHMB*1ri=SmCIV1T2UnnIi zX#8XVVhga+W#AT`v;G-OU#Fjy$y-^NQg-uJ&DVHs{q%AcWjq`6R6IV#p025+Q}YT=<-Goz(drmH!hgTq^~8IJz^i-0=xxiVtzkzm6IBn%9S7 zV4wQ*49n_y9(eh_mVMDfGK8%buUj)>ejPvea{!#LBgs{{lRH=DYUp=$&BUm*%oogF zPMDadSmu0YeK)Kd(=GN>#VW3@Wc1FLbN*G4Kn=tNkmm}FOr8K|W@pxtsO zIRHCrMN>4%Rn#qzMrQDGZj&+ZFl@fux+Pl*`x5DPoCJqYh(I@FpNlLnT^K*nPT--I zG*3YPH=V&AwY5P(m0~V+u6HkE*N#6DiJmhamMr>e0tah@W@P}*I-y>`QY!~i}z%4wCw4jRVkvocp*rpL6+eum9@E#h{Y>R zdu`=;VZ^t;^f!2k$8M6=!$-_9^csCz6U`$FY{#%NFzV0Xjaq8KOw<(nY>i z#=cu8-G;u*M+wYYQoPUS@4ASCamYBs$(S*HNgI3C(E|ifPgxs#c2>wDt+sHP37A}8 zL!|#?%x|qs0#xmP_X{pdT2}m}EgJ~4m``1|SVK>hX>RflGQZT;3d0Qabqj`M_1Rww z$|jM7n2lq?qqSw(!v#3;=Eb-6Q1bEMsWno*3sI8|&LQOrdxNk%^*f2XaC4NMbOnhV zk=zeoxQjOc(H{p+-0zkT?=z;@q`v_oUMYe;rUWVYE8r2Scb@9ODfYJ6`|*yh(NT)^ zUZM3vw9%xq&}Oa4>r+%LU->cTOTu->mygZWIW#2j9Nq3;$i%hReQ>uv1KC%3m+nC#(E`It5A|55aSk0nH=|uUAjv>tR z%lWg|E+^)jC3f>)zL@q^5c_Hl9mi(Zn^L>S{Q}nQSmJvYWY^94$~*^#{xt6Dp5pj3 zl$A@)@HNo7GaP=3R&a{xuj~<5YbMPhg=x8Zbni7tEo_y|Nj{Gvv@;-*A$FO=SoY}d^?VN2&}49Z^4q)W zq+=Rb5%&n~0t@bIhXic@RSJB13DnlnWrAOTUkIkv8DQVHS&y-Kjgd}NUMD2dG2iLZ zg(HI_OX<8@wG`VUCd$Ojf%jxV@56VO1fuSQ{YJZ`yC+$YKXF7R{-WLrvJ~jTE++YM zvJP<#I74|K75ysigx%a@Hcm8nHN^6_5=P~rb?k)_&f5^()84b|Aj6^Pj%oec{38o* z2dlSJCHfkiCb3RkNU6sAES+{5bYAt5yZsqGWF!$ZT-*?d1If4EJl23W!&z5n{rwqzxuC2MZ(2Cdd}X)c&?cO_Cn`;? zcsyeCVg_2F{k^vxe*kP*oIK^^1?OG*)GRMSq;01|gFW6~Oe~U^etYk;ztu-HyZ~Md z$!$aWD*|%=YZ=c2Z0oo;;vQtP9gsPN;rSUnz)N(2cHW_5fpsWd2jVo~=FxM`r5~)w z;#zz3z@EW4K@k9iZzH;b?GIY06!b(;ju7>3YvF!M{r)i0Mzx1dj^VGj?}&r)ctg4o z@$_s#Y35)X8T{eA;CZ7~jXsAY&DHL>tN7q>@W3vAW_sz&*4D2k*r_n&2}qx8{9|D# z&;&g-tTem4_J4>uNj&TDd1~3fJ4zpLptMyx1qdexE*eD^lif--$D>Yf@CcIfWZE@5 z^o6aL0Yb>xUFF@~R$D30&PtN|4x)DK%f)(O`wC9O)^m@$-DC*b38h*AIa}}ZP~FRS zU!_Eh=;jZ+hPb`aRess66 zZ##d*+lr|K8SXAG+8aq)U6zmP>Y2LZtKaYueOf~!nSU2_6hpAjJvJV{N zNd|-7_R=M~u_=$q@>%fsw2#zfnBBPg}*$h(Jd1&~zLpr4f1 z37s=p!RpUaulb79Z-W!`<#&;e6_i=eRV6);?-2FYI(c{5uje3H2C>ys#HiV4pQJU? zMa7KaBIG0izhF9v`Z=#q1}T_|eh493FUmbJRt z6z{#{5yIAc$vDghv12}!D3U<0%VOjk6ZfwP7G+*8tpm9kPd`vi1V0F^4{mln#T#Yj zdrgSeOX^OHfc2&LMk8 z{hV6??2?E{w!VVsrI-HD#Xn;dk#}CWQ+?jMAH>_dm4%BV1LN)V*(Vn25ewa6;uN=Z zV8D9ja!@~j=jPvuN}u%dbDaGO4@mEHtd2H9+%u8G)let@F~>5?A&H}@&O^VSU25fV zSqtRsS>ZD1X&w9(FMC`%Xy<*FJ)iz%-qt!&S)vL05C&QIf-%xw8qxpQ@VAG4B4!P(5fq~UAg z5M2(Vw|rss6-{!ext|`T4(LRA&Rb9hDA79#757*_Y_n#z5AfRKCXLq%r=Y=?K*)9- zBpR@g^LLv%M|HWx;VN*xtZJpa5xH%45?r=U8~W6QJYi&k>*1R@(VZ)=k8av=qT92I z6hOb6SKDovgT3C}pCj+QaVY?k$*f>&8zU(97d{a0Kvs1;SrwV4E zy(eNyflyO>^Iqv!#gmFu8i$Twry-~pj-EvR6#5xm!|OH0|7E6ha|StXCZx?>uGDXp_qvu!U> z@sWqVg6Z17yZ0pF1~o=5;i~1XxJfAXX;Lg(eiq+&!4kN*5%!mk>8XDg%F2sf@>GJg(MjZPhIYk}E|!9P-E#$aA&3 z8_-HW!GG`|yrW(_#AxA_hw;V245lKFlUeOGEYkfGAG#*4*#gPxwfoMzBZ|&MD-r1K z_@{04q{mhcR$VyIFOS0W!sM+y-FH6{oZX9ssaZTtNH>ONhCG23X`y2l4Cb_QgIl?X z8ZXOtPIZOW`rwQk;N;ZF=qJ(T8v*K*h$kz?F+LB37cNcOOq43*W-mNxjz8J5)DHyr zl`8bfR6!PqOZj5!;R*)pqu7>{2_FK3%K4oQO+7yjKQ~s26A2Z27$K40AJoQumL3wF zO&q=(Y1sQfk8jXT%Z{Wx>KNJg3pVK(*;s zrv8gJ!KdMMjX=pz+jMeW-)ohJPb^qCWX(~1dfz^TyUqA~Q89ljz;+CQ;6BAzA0SxJ zzuuddd<4xI)~Q*f@hdb-Taw|Y@%JF(NKuiH(#`G5V=(~F*l@ga9UhxDS-ma*yUF~`$$?D&Oyy>}q)wpVb_X872@;dlkUXx0*{#(}IIX;PzkancJygRIt~aSDph}n4jbd(1tcbj=9JrV!RZ?q^*9> z2F~8ch|^Npg=v6$Z4FQ_zTygN=_K5cB^Zv}r+g(IW5i21?HnLQ{a~2DtlrUIb0SQ* z7F)U1Hg;OeK*4-q8Mw*1cWJZe^33&z5!7y0`bnk#zRJ36NY(St=G-cy3q2#ZmR&MG zmuU&mNH6)KCy5))G1678t1L&!4q>hv%=gtu-siyKQB6~@MdDtgn;jan1T%#_vyC0w z9hDZmTd37x{#YJH@_8c!o)LHrW&Wu_DLrNBT3%-vo=Uf9#Rx788Z=2NqZkW!$4Q;Fen9IlAbA+_)T(a+GUthh<9}b$RB77)x6o#qUcb^UIk6?`%cNnpSw<*^)q( zE3)1;kW4Lui#kuFxf(DspxpGbZF%&!wp_+do3tg7InDYH^>jrGU#u60ZIra6+k739HYwO|NX)p6j)y<(5yY$PLpV<=A>ZKBt%EAO5=n|=3q@U}_0 zRdJa>E_TA)Rz#n)A&od*v)Jv4ge&H?u<|(kH(i#cmB5QWhgTc(qFq_$0*?jw)#YZ? zUP0pJW#lk*126RN^WH4S6V7HGcsZ;bi@WZJzjrD4c1=_Nx82OBuahY-N>98a-d>Vg z7hybCyH$paX7D!J_9uS3;$A;9C*RiDRy`h1ajd90Vy3Vq?dH zM)iT}JaQRHzm2eiI|1o0L%RuSOV=M8pOMCF{w27gDGFL!8qNlcOlbWe%h6CSYi3yr zn(YCuhK<9<#PpI34xDoylx+=FnD4(E993N$6k@e?IHyYrS-moFOdON}`WkhK=i*U~ z_culC-y~R?eD_O%+;ItteTC3(pfa z=#bQ3m^D!qPMkabqZ`Q{6-K!C^=B|=25YH84|uI?v6`&$ zSZ)EZuz1eB(^r;*Do=~ZuIkd5m-_s(&$`&YZe=|QemPC<4y1XK%%$JL%Dtn`nYJV< znfHBe{^0G#`^fk#G&@zjQJHyNFfX0NOZbr<4T}l z^j2=Htnt(ZniS_s)zmiaWGHt-RMSj=40L3t`bg<7B$=w}xk_CT{K%*)#&`dk?x?(` zYd94Q&Son4il(>lHoAQ`LeS;&lE(HuB91hjJ8a$G9ZEm(%Iz$eI1>IS)G#u`Td1i4e&VO$ zDIL1WdnAYKi}Z%7!pJH`XQjQ7p4R{BqC#2|oH{*5J-tTdWNFH$Y`GJk>GwKNOJt8; z_jkcaYFMHX>2qaL8Gc=zNt1}yl_)P+*=XPS z%*51FLhz*AN*`Vq){Ht(;4!5XvpK8O`jQI1P}g-D9BCv^=V(8r6-NW8mr8RiyccP^ z2uQ-{J-94nM(kr_F7ydk3bEYz$`}f`-|fU}mgd2yuKto&{a9&PtWd*GRmtt6%bVU&gz5Cw>dsuUA6JvKm%xJWLS^G}ZK~CpEDgN9J*=Gf=i3GO5;t+rrped5 zvp{qf+9U#d9by)3v)}QLB{lG<&H;-xYxsRn8h_7)^un#}4x9aUH>2G!{Mf_>`W3VEST z2|oRDIx5&kzjk`rU2M$K1Ap3EydY%8^+lISyl0j<4CIj*u`7$og3XflzVq#fWE91P z3fk4#fg|?Nh%Ey!BvjGco>nhHsn;mA_`JYnqUw9IbFPxVai#2Ik+<}Ngk|YYrS<+! zQ(2!0+kkc@`z!`b+zshVjF6(DthCfR*r+^m-1wUMcR{6wjs$K-f+^GW+%A^UCw)!op6x?tv{+mNnsdAt*UT&fmoJjCO;H*ce%aN4hkh~SdSI8=L-#m_7Xh8<0pCeG4=Cw&*~h*IZFcbL-d zflwknuC$Bh@ajtV6I_ZB^*q-FnbW+-X`q5E{j#_cehnTqMB~SryWYs83`DAdjzteN zVrQ@x{h6w14vortcs5^gE(NdKOZ&x&@qPfMltqX{>(X-ahXRDz zN<)50GXiWyr&s$hL&y~YRMzz-rGXv>t3Y1VvU;GybddrN{HWR~o8gFLA@oUrvZFfu zw$$e77j=2{YlF69-+_0Fai8*75<3saYdLR*9i&@NUEf5%qxh(lw_~dMq*RhbxDzPg&aMu1mpHVBSceFFAKm6MM~P{_}m;5}Hq37R%5AQ~_*L zTPW)1;%gAChIHF`>tNquKZE3+ZOhr zgJq1q+~f-0s$fYNuaeH(Uq!!BrX=nEB$Ys zIo|r+I)ard59NEq_9JXs@x`x753~eXJkOQ6MsLpBZtuNAxI;MC!jQ`$Zr z{kty2!}Bz930;Y1$>Q2U91LH0N@}lRU0|c_vWqERi6m$i5;--OmKJ}+oXx^=h2uhT ze!ijyzF?G^C_y>pLQbs&tub7TO2-RXdABta4eo#x8wy)^y7rY8|AjAuNRAdihG!Dq zLn`q&AT`zVLIivhR;spOO=l0`0_>6C20BJLTkwP>c-N{3IvC~Ip7fxn)$(=|MN2T? zaWi_$$-%*EgEC&2gtgVeRgJ@(EV3^?3SwUnej-$0Kwm0*pT#Y)u5HOS~FJ?pnuYfCyC?<_Dl_@lLZ zx_0B3d6Y;49VQ%^u^2pdI-Q!A+$=c{^r%cXf+AZS03+FZx zi+~+J0Tcd8!4(FCWikNbv2&oyw~n4V&Y-wA4C6NFtmpBlf!-)ycr^^EbL=E$&(O@w zOoTm+J!s9G(%4z6{;$mUKe9{bQX=~hg}uZg&HviXpoiN1XIAyUW|RMG)>G}jW^?{) z;^hY{@Xzk0bJ73Uz_udUSxf)#;`opM@rmx-^!G{SzZ(<(7tepzE}irL>zm|%W1{`9 zZ^{4qrupBHl%OW~TfdSZcQonlgUsx?4^^L^i&X&m==Rf?jlZg{Bu}=xz1GLU zRWftYt9b2uX)csR#Q6*23Re9bs;HeHTsjpf?=ma$Jm|6Y`m;5 z60N{G@r}UyO7C|Z7uR7aE6?wqy0yyLoLU$0ORLv}O1a>7RIV7tzeWZ5f6oKkjI5kt zi$?_!Y?);)kzw}{TUpUKsy&d$WQU7kpJAzcCu;otaPYixb~@%^Y*uE_EFT z8pLH>VrIWqReO!~e})2Wkq=(8R&O0Bs$ZnhPF*O>f^%W;wzMLs>1gnW=ZwPr0mwgp z`G{$z$SP}mi+Dj_b(GmJU&D_NO~_LLZdHGo>fA0^>j;+h3i}wZ>}iK|cy)jO(40H* zb7I=&5RcO`Rq17tae8H=xd-oSGmny$zGBg@2d!NO>P17}%qA;NkrD4ciPF)DniQi= ztZ?Y_G2sS3vV|}>Be=2l=h)BBz>mq=^Fg}O6ZU(p$VR%);4QMv7oRsu1 z$Fif)Dy1G3IHsQz49F;05ePnkzCZR>EE-MwQY$mmwiSvqf36eq%h2Tg7>{l#p(X{+ zF`CXmQ3OJ?o4{r`^F3+?SrUtX#|vuw*lgeicO*y4_ZuFf-)S8RVpP@ z8`f{rrt~IKVUGw9MU{A52dBLwuB-Tic@U6pi^Fx^W3B2U^|)S`E}5scg%Hg)jli?Q z_*GKYg;yD9D@d1w#WB?swO9{njJzJQOVXM-faBA$OM^n?iSR6z6OfnJ0YP2ni7dC? zpRPp27|O0ZPhl3TTRQd_nBcGy^q)_Ez;84_Qm?-fq^Pl%42HQIjwTT8>2=jCRxg=a zz-50;8-3ev){_y@a!&GhS6Qqbgl$6qfR!2)4#;MpryT5Th*t%mC%mQDGaO6*%~ju` zze~6ISwY8GOb{A&(~^V3k$236oNR({nHU6$*%7GsXy0?=z zn%Db~{4a`#f_Z?^ogA73DJ?~f=viTxm~-V(ok~D-mCM0{V+sypmQ4{V2K`U!uz>>H z4FW!6xgOPZKL*=h=-=hdHjJ`w6EZ{|144{c`+G7iJlMM9db#Z9r@>V%N04?awrg`I$Sw)s1}Z@J*|qJ( zSzrdnhK+xo8)LNV*vn>cX3X%PtHpH=#-5WW9+_oZMB(p2{g!RM?85qwL|?*$lzeKz zM9hQUBB<{>H0)=M|7laz%Yz79Rhpo|)Y`vVY>~hHrD6$LHHF?LkrogH)ORX%YB^_i z(Aa+FxcgZHTw0O0V&jtn_vdpP!(HYi+o*Ltf{q~r|ETf+!Ree1xp64kaV37yLe&ez z)PM3j3~lKimba->!h`syB1OV^Ir-?M;f&oh#3M?0ZLA~3;-Sb*wspTJmnatz_4R_+|)Wdt!w?N5h%l)Na#^uJI_p3<7Uw|&gc{cH>I7-fd8vS$dF(PpO= z;GoGgI^%E(%M*V6$Xll};`ldmyc@LNnEI0QCK$=ynYB`W0(R-FnV1K7I^ZW&HIN^a zcp`NQ;S5w zmfqkV&$@hzN*yyxKreN|+K-I^Y-RfyP@%M^u0|1vEMX?b zg6A4&Q+V*l-8m|9^sNtBFfq?s-81brrf&)dgm}QZVPh*X&0#zCs({sQlS35r96bL$ z6Gz_ZA_|rU%1sU$Y{c@X(-D4dkU|EE z`7gyiG>WY3C>nnV*Qqi5;c4&om;WOj_X~mQ7BFwCyinWT8-qi!G8-CR5Y;4*(7_yRr7J}oBP<3 z{k-uG-u*OnWI7BTerwK-f~RhD7sr?kZ(|xU)?jRcQ}L1QjoCwOfgeN?HL)*70WdVt zh*mZ)-YQbb#cqj$K&MGPXuRcZ}> z4^4WFw^zZqk%75D7<5?Noy3X>11Zqyeb7$)NaqdnPXpp3@V2@>G)}AW-n!Aj5ceg3 zM5P`fxGvtqUSNX~z#tWl96ZF)oY8b6B8Up&kL%n@A ztgzCdvSSrozLCXiys+L`=wR3QT8@$_j(%XsMMxp0BlWn=!%#M7Ov&EyZ>TnKpSK$h z!qFr5$M?hnVfKR7krI|wpNz+*IfVujOdUNVB}%HGG5Q26T%wBp>>~THZ^$%Npm=xU zH`1aLsiWrb9`(ZH!Bt3#9Q!|o!3kDS$}Sr?i50#?6Q}NBHNgs2=#182HSs6Z)cyCX zjP+G%Wr&yJXyNTxGWjdAx9_-yQXa#r-G%| z@-_UBPLstnYw?N$gpkr{r%<*h|0OVel{A%s2Sh-9L-qe@kZct4$m|XSpoC!AlSmnT zIu~=tpJM;9M397gV5t7qhmRGi0ZQ;M-sAZtSZl%c>)$#-{)55}WnyJ#i6GZO=bKc9 zcg2u=QEdW!)ck_;K2hyQJt%PsB^Lh?$E-l&9A}y*w`Oj?R@x5&H|I7f4h7WMCY4xz z5PN<(a9DL7iW5Xg>Qq4LLSQKDedztWLet!S1Pb{(l9!qiG%)D}7ta8b3U4-I8x}Z- z^4(`vVxND+J(P&5Gzt2YFaLwOe3dw{a@vGRb!k`5@Q{-(rD8mp;gF&p3K?0osJf7x z=0IRnxDd%6zE1~9Fo_yzV%jBXE*nT;AQ6%VffAJtA{mm8-P4q_ zl?9O4`mOKM7Fg%jKEd{B8bSC>Y?^ZV&S{eZYyt*U=}!9@q7qgg2X*4;mCrzpOM$DI z#;ZYt5Vi{_J`Rkwgh)#>GWHU&&mjYrytIX#LmW?<16xSmz?Qie+56_a(;x%>M?J*CymKf)K;lWW-mo)K z?To`lBa!|{k#&yDST4o}y(lREpP^|@^vw7-Vcm2=qXR;A`t{dWhb$C%Bt1;Zyn@sn zIlnItavs4l2#;uAA`{L{?~?lZl|;n1GON!p*FtKI^GPV%v8!X)Q_Q?BO{sXO-+h-f zitnJsO7ND7OWEcL@1oRpD#6bTrT6=dZ zgqBm-WeuR(P9|j6+IWuzHlBw5&2)!dYt5AToAc!O5NX1!58a@NjlNvc&~UpNbAro1 zR(;}K*jzl<`*+-}MK+(G4+)(%6b5uvDImYk>Q?VQ%sR7pxz`?Ej1E-Kp0R$_p9g#hxki~yA=OBXA z$^4Ogkm&ysc@miHWATlgViE8`eBzi?N(FhA;FlI_gS=%6^`Y*b|GC43y6b?td;I5) z1u;Io9StcW#zJ$kOlrJULarCICMem~+ZI1FhwB@A52)-THI3LNhJ|Eenuq*ly0*!d zI7nOd2g%Ob!uwj%aT1e4xSo4sb7%s&!c?lnmo=uhqaO~rS>kXvqXIqXK{RH#u{>Ds z#4e?BNo7`L{slV_j4DKS+xKCXohg*6ZhIq^X;=-U50@$q!4=z`yq1jRaK8L&D<6S2=dJo5fc*g4ro1VJ6?nvgGp9P{xp1XZuP!b*oHSWpWNVhe~6RC z$+gCw{^?DV=qb{1(ZkM=u9$MlGA?h*2FFwwJ@IGL)l+=K;V*{w3eLZ6rGNisnPpIz zyD1>`Xfd02-zSo7Kzbd2lK(&++p%2;7-uriCJeo2Ulb3aCiyW!M7BjPv#Nv_ERX#& z{fk)ava|KzBp-4Hg~g@?j1a!!e^CE#AgysJ9GR1R-L7xb5gVQv9nmu^w|fvMKGxrl z@eE77m{hkmaVRFoQ@Oo`7K26!lhW-ix5>T1Hm94bw{akqGwn4H7O(qlJT)pcE%WT? zOLJjXBdj>rc)CCy#<0~-#^n9{?is!x{=_`_=y^+X=6d8YpB^RphT_ws<2VmJ_CN4N z_2we-NuL4#$>&fHSxdL-iNkwJj1Xl1?I;Pcf4Nrf@}Mx>yX+;2JSUu(b9eq|gDTZ# z_T6u7zIzQwjPo)xqiJ5w&`S@cBp;hw&d4Zl;+!LHDKb)3gnjsJCwiUru$dtcAMt#a zED}?DGHDY@bAuw{wl_{329Jw6W{@&BE9H%3Fv!zk*;br0Pbn4q^BeA6=Jsm#(WBFy zj4sRg#Vf*_H?ObmFq>uu#*IClo%T29K_Ev_?T?`ePn< zF~Vk!p-hS8xFLqc#x`cQJ-!dm_v`z)eBQr&|AOzuKC}je2>SOJ)Zv8_MEtWD;&I1d7T2-&AN4+^nZ8j2L7kHF7^BW zv@z>{=R<}s^sX+Y?>qMWc=6#=a{Klwzfx7rI9{x)ryEx68hyRfK@YN{!}#<*-JECU ze%}kXY&&XkIDa`NhyxGi_@HuyCB*(f{DPQZjfPvH`osWf#<&0@Ls#uz^19#{y7G3hg1Sgp zmOQqDdNmvbs6{AWVvUYBeX7*ZI{zyW6nhJA4v_i%1q8eFi3hRci|64-3ceWhXSMl_ zFu!I^1rARwo^93QpO=sGLACMu*(n=`klQmHzDa?sHf&Ig5H>ItAzw2ZPm} ze+NjIFS4d!{Jsw0S!6ca0d-;36~?(HbLUqVeD%EG?i0L1t;65K@++g?rSOZrM^+HN z2&7qe)4PYprWS6N5R^Ik!$q3hCynRX^8kmxWXRlHgPzsv=a=R7XgxlHuKtjx{^ z;36W5&4MVO;6QcxN6aJd*oEOvU}jh{E_9iw2)+=_uUMI#_4Ev&fG+_H+*%=APA^0A zFSJ&E`t-BCKZX5z=9&)MbJF7le5y1TAikx~dX3b`O2XB%zD{7lF6Ie_=!KsWL|N1Y zc&Q;!Cv3+9k?=HwrSvXOYuIYbN}upS#NugRPf0E=qM$OPzu-G&I1QMS5bV2wYO0Vq z+s|kAOUcr*1}oHv*cHw1^F>EQOli?QqojR(QV#&r0L-_F5vXnVh`nElMp}qzw zcKi>gq=qnFKXWEGtJo|xYszDn+1HZ8$QSgtH4@ohh)aFqi{Q$Z^a_C)EHD%bcng6c z_|!77dt&a-%ShqR)#_czrJY^Q?R`{xR0g_2!VOC9n=_la9kVhQh|7%#tDU@gN@MDd zUfDp*l)7GnV&{#dua-6qA;qZ{?_g37mAX)AQiGNRN`XkS)D|L-KvKExbn8#&= zKB(49)J{R~A#-Bt7)pWSGM!9a9FUjB7ZMs*Zf^wk@s3?=4hRzv6=SQafcpV~qU1R0 zFA4cB9a>+Is&?sYvAY}@FDn%Vz9a_1gYAPML~YTR_u4>biHg|E2{^p!(ofW@^^vPd zEiEtxy0<;OVG(zSIAn9MV{%X}VJ6Va;WsMm+m}t^Xg8`QCl`;s>cHloTI4E9)5;0z ze-GTRpS@u0v+?p4ugg7k%H_tG?RQ@wGQmD`fv%0gqTM0+eT}6h`)A|p{pghjXzh?CIfTC3R1mbpkY1! z3=0qJ+JZ2rB{!~aoL`MToD+OC5B95V?hiq1JpPdudFbzog{4d_a>-ce?H(?8U``}R z#Yr% zs_H_NlDOYg7PDY$JjS;f9!E5_l48ZILMo#ba1>rV(*kUTD=IXk6LyQ;Akv)zUsW+= zdim^h``_HW9_l8h36Fe<(Kcp-DQS#qqKhz?b zOX=|N`$1y$#PP+s_|fHK3W5|hamhDsMvv!7>VcQUL`u+6gyyI|?`Yi>kL=5OA|+lX zM5c;kWM}=lGxU8Yhj_6X71pSgRvq0#Wi4^uhz_3>)yr8EM|=^MxB2#pQkQa~+21fz zS3z^G==V+mg~+_eef&>Ubt$>FH0$l+kb*R@d{pC26!~}qccL=r?@{|6Sbh)TKAw{( za}zkKiZ!P>1_H~m2)X50fq z&vZ^wtZUt@-k?yyKUWWTqljto5_K2ND?IQ$=?BG5XdC!Kfn3&1n;F za`)j34Q8e5k>=$Z)e3OF8a(A->0{oTRJ_|!s(kE9qD({dDYQYac6czDFx*lV1sS~K zMD3}HvV{k>!QW@N`l~wF|Dz{T|6xy<^%QTGM^~-ih(pFR;_1pbtJtGfE@tNj%6GrY_*V7zf{9nQwjkiU`ofXR z{Px#nGoA}z)TgK@RmM}usfwMmO#vIrIW5oWk=-Ra@#zV87`u0!>>TS8^l|!kVGH4} z`-B5w6GQKLPCd-djTRJbCGN&<{}V48QdwMiW*}DbT+5)x)NL%oO>aJ*{026CnC2&)oo13mdmp4PJ0f9gjXV?OlUWaUu|YA9F~i zanJICdEX$T+Hk|EmN19m@o3YB0oQxT5eowFeJt%Q808JA)!R*sRkmPk)TQqW11b*b zh#zb2THVUl3`yZXIMAJ&KuJicTrb2w|7Tej7kuVNjJYJfkr~CV@?crZQ}Xi}_m?+_ zTI0fO%vuP$B%c~J`>8N@a5nRLJ(lv0FSD*!DsHU2!jPBhx2)Qin0Z|~<#pk@pHB=T z&R0|5>l?FL1lx`ot7-YfZZdP>@yD`sMe9V3@@8@1KmQTkm8Z(%@$smQ2y0qYq5G)Y zZIaXUs-j~`5}xw(i&q>pY>4xhNHebYLXvDa3I1`RQcGLc%<;W#Ug{6CX)SNSBmBq< z%chkkM0-ua4tHg2Oxn5X2vh5w_-m|wz(}9JWefh{%1~rGpf5TdS2}XNGTc(h;5fYY zsZV)P3Tn{RU7~#-5EYt*bfWdbe45xUHNxgi_S6Z>IEtPAbb1>}dD$ zW-))*#^JfuXx7iP{R_5++t|U=DA#H3QNs13gze%d5b4Nt`l)Gd989MsNFze9pC`8g z_SFLT5ROn1`<&72Lra|0Kk4Ii?!k60h{Wx)UoCao3c0ERF8CmfOBi;e);$?uM0Ebf zg$dC1#FDWX1qw09SprLl+9FY^)*b*jc3<0Vb)1q5Q3)GnGW6fSN3(3FN*ALyIIR`} zohz=1WF_3Q5XU9Yx!BFpQ71wvKYAl2fE5eU6jgDx6QPhFtvR}ncaY{hm%jzACL))9 zL(^ZPwFScA(3xn_d>lQT{X}D|PQlH_3jtg}OjmaMCg7FWz~=gB7EuA9E=KQ&tt=!> z;*bd(zHgP(LxauX<;#(6UPr4@{8*7Xk2rk`8@(c>fVxs@f=*uOO1&I<`)XE5kV-(< zb-UP16PPyn2-9j>=yjp(YUQPW)G*!JXhgjAruuh7X7GEA6izE!O>_|g*P{5x(~}ry zc+tFF5SeB@HlDDaX-uOgctYyU7oL3m?rD5`wnv&+<<2I?c_-DvwxI z`;v{TWUqie_KpO+c3S(pg4eCa=kLcJuubs$(I==oPR^34*UHWX`*%VO!I*+;h>a5Yg`t1gpN%%|8_GBssFP!p7`|@2Lr6>!G zCn*B9G)6M;oTb%BaOIuhanfyW?5+SFFZqtE}yG{LlQvE=J zVFu+7>>@(_V4dV7DopgB5~hkQ_$bv&@0Ph) z$K=x8A>o`;Kk!H`HUEthq9dR2qKKf!YYlezlEm07vClN=_VvOaj{gNS6{Ql03Z>xYm#!@Qty*b!uph&3*WEAiMHozulM?hM} zGFBh#EBK-oN1PvLD$1_2@Pq*k_};Owb&@BIf!pd^nd{+jUUYC>RD8ONDEwafS)M7+ z9D?5|8ErJGi`14U90+O9@LSlug|KI=as$$ecg9q9Y;0LUR+IvSh#Vor0^YVb^yIkh z27DB&($~SciF-!0TLG5CYG5_{A)j{Tt1wmxoO5i2d7C#Cu`xZ&Ywf26>6R)%1Y6n+ zOio2fOe=1$gvzk^&THLVv)Hj?e9DGQf2wpkA;v8qM5zN@E%d$mGZuzzLp`TuGObu>Tbr@fy~L=4(@TN)?RXA`D(qw@ag)NOonTU1Mzd?rU)Woa)Xn%C@$T_tWgo`QDS~oWiDEft+XRCq z?3TZ9Bg?7{eV6!@WleK`h#Bawr>{f6$Jf?I!kEFva{BKtgiZBmR(c(u^+(OT9I)LT z!nl{G7({*SJk=ciQlb5eUjn7^AXS?q`)O)hBa-sYuydqU`9m{zmK5l3}s`00+K%Z z^GD1@Bf%^c*MIZtL(?1r-hwFi5-^#T zhBZZuQRU}}YMIGq4?vA4NIF9cw{;hSuftSpUGN*X!L~0gmsJTQD|1l*@Q8Wa;ja9Fj{AJ?g z&Zn)-OMPMK)(Nrza%|K|0L%J*SyI=Ca^ZnYPHuVG*@R`L7(7vZJFq*I$KFr5b_Y&1 zlDuw2k!{{k^(0A+xrYvz6kOyTd;+q8ve$W0I#)(IoVY`M>crC(P~p5X!I0R}x}rBcjvVu8 zgt1JGWvvKjv_U38xqC*Gw}HBs=AMAk;H$zdlM^cEO8stJG+V6_&%P)`wGEHks-;q* zoHh|Yar>hVmv0(IA@by?4k1h^n`Wjwoc>ISrC}h@<2`L0`h(nRxfQH&`62I43=jzebX?EO-fo;%KJ-68tEwckw{PFJ(F8*U!n2T)Wmyv6bO8K zImaMKgGp~@i5-sBL!Jq9;j7cy92d+<1@eSsgFW6tC!9WLG%duJuRJrAOYYy#3i?{H9)GjN)3uxJ++3%Cf7qHpZ{Rg=pnlV)bCY4JE7KUf`@wd8)wf7x zY*ojg@DNb~|U@OR|RR|SuyGMo$rs^U2x0&Wuw^Ti+Gg#%)L!7H#or5~t6*JN()X7}%;@Lp}Tizf269iNN7dH5ZMmgggj~!^8k(dUzP-s$<<%`qG=FlZuJ`zk*H;0r+#4* z7O?G7#dRu{FAu(h;p0eJm@$5AH60zIz5kM=H#9;MSJo&EMJv?beq}cFLZ)vuvL%|h zSWo`w#Cs6S*zCerZ&obIi^nq~up5MXsn%|D@DJ|J}C=k_9P;Lk(8-1W|jsmN<>UU=xtjvhg{0P0~W zmGBpuajSe;KM(3h=pRv+;p5SY1Z$cWjd_}<%|a_ulql-%el_DBc}bBK^=gvTMpV=g z8G^ffl(`*#gqGWkdH~8apRsVtDjHa0w>14yb-rs(^-8$Ge~AS@Aj`qw3`o z8%kww8U`cX4KVhi7I`$3u#aZn+`e)2k)5$j%1T$9U(QP7wX4Ecn_*{pa;$XS8hBuT z)a4)L_sU1*#-NI_xS=%PF{nQ76U|w%d;i!`$;cQs3MESl{j*8BX}G#5?Ht}dZ3|YL zTL#0v)YPd#;Fs<(b!zbZ7D0Pca;xyqQAPOS5GqWV1U)_No(Og0JsbO!*0Pu$S%EW@ zh#Qyn(F=kERBjIuL^99X2SHp(cSEth)JuTRJ=t*M6G`{NL3X#tl1|ZRQC*@R1}Mx*Uh#QA_X>4*j#5VK zr-8V4;c>=F^hF@%)o_O-E%LApV1hq37Q2Bt&`OGGN;J{I8g5^`Z#+Vo5#V-SSTfP9 ziy4w9Zb3g|d9cb3QL%##^!4RYBy>odHlF)`)LZvc)PYLrGyCG*PE#F{)YxD2O2_$J~(n<$d3ky($;RYc23e-E-;g(+p_+AvfNe@wB12e7eS?3SqqL zDw}Tv=d>(r<^GAOl}D+dENPxiUGnqk2DWg&@6d*YW%pG-P0^VG_XnKiXYYIio3a#< zHNj3EDwM-CMjWt8$IECxQ4agaoZ&-5mLz?%zA&kKoQ7EK>qZ{TkAPY8)mNqE zpq@#@9}`(akjk=%c=Q@-x%96rl&+v}jHocbS_v9GM^T_cp^+2mY0;4OEj7Xtid?qP z8`I4{-NR%r)nTU*D#P3;Wfn|fez`7)1#1z0rz?+f*IIL9ShMvVu!)B@-pwX%vDM=f zdFld>5fSj=7Fic@TYxrxG)5IbwQ$;VjB27>=(xP$<8Nni%n z7dVdX-@t$euL{5M?61W_7}+6O26KOh-th*_?l=B8N-&jtkVnAExq59A&djU3!EsWJ;S|R zoIm^Pp!l0CEO%7AvR*`k5R+ModZL|}EP0p`H;?sy*g9hUzbWfDrtT7|iES1##5DW+ zoL5~_7_}iD$qo042@KVK&Aj#6#iDHCwCJNU)_j5#`hHZeo>0nscL=T-n_EbnkQfv) zt*03w;)B!PIaK?!BYO(cRTt{-QeMyaI(Uqom1CegE<2btd&|$a(@S|b;#McV2l9MX zCEA#xMxj>V6kvyAHwt5Jw=omB*}P~i3MeCz(L@%Prll`Ztg#sH?irv&z1sJYdSztM0mutKNbY#tEB!Fl9B!PBtx2R}{dTg~$|e zmGY={OkEoFo~H{B&C)uFOYN8c^)xjZbR%Wnf(r=+rU!2`K^ub5%#IOcsKOCV)*>x< z$~VtbBICF$Knsp2LYa;6jd1y&4LG}e^AzwlDb=xAFHuGzQusd$J3?a9&vPyl{<4KK zxX;>rsQz!EdeQudCrOqkZ9zR}T^M8Pi%#;AAQW>+sXTML%s(Ej!rHJrC-6++RLjL4(q2?jK+W})MEn}Yl?5*U+GBIBvsYN+_& z4a7{|t)E?w3>~($`8ql69i}5d0p=m^^ng;SdcP$0V};7v5+wja*kAsLerx=Y>Wv z!&BUSG|~Y=ui$RN#&T(CmhFX9lclTgbrh*bXz9tEn44f}_(v(T`vaDkGTXP@^NIlm zwb5tam^)VsZFk^fiR!H%>em z80ddw@G4c|AoD%N3mlWGO4cmMRc3zO8ZML|#7vCa!L;k6|%tY(TQB zhnr7=WwMr=p{IG~JkvN1nOQcfIOZ!yoSu!{iNDgs-X>ZPksZJR@`Np;<}_F`H;d)o zIAkEICZls% zuqLt_4@`j&I4Fl{0W^-TXg6j~9@vhwq_LaHr+8q-GZ1C*&ZZB9KwX^5m~;F*JAQl0 z?@QO(pB=G*&LVz4i1rda1f7=t%RGMw1;mRyN<-!nPyCOAwZZtobo}WaqmwC>8*rgb zCI1^X`aFfWRd(i@Qk_Q-26oCWGzEBwvLF;&316PM0gj*rHX+XP26?s+q$1pi#%T)B z$Ha;r$uoDA1JIKbG<1}dY0fneMe?H5>bLn&hqP9wt?|q=ynGf~7o#TnD3AUxd_d#o zs^s^Xsn?AIzIbYZamVE4=Gyu%i;V07&eqhrgHD9vnX55{1gj7N><4kd;edI;@^Qem zXLX_8TJokb7D1G!9^?gHqO}2Vo`D>q*AW>0*A~;2lsD4fN1Aij!C)e=Y6IY;sSU|*#5abAne$)4_01vX(tfI)3GtDjmi3w)uv=!(E}b0g13;g z$s_&?xLj61Bgu_d$@0_}+48!;>kq}_r*o#0`8}vz0t(FZE-H^l-HJaKkCLYzqM6-8 zJ!WM!30JcRP4PayDhLA_=`Jy!Kvu3>5eIK(w2DcdV%7aj+O@5_FHm1;}S{cj2Y zEv$HG66hZdvD)S5C-P1?%&9I6$H}G(mlq!0U3L{QA>1b{u&k+uJ6!J_&s0Pl4uP?| zS_bxQW6MoLRj@GjFwA#U*b@ZydKFcC~Oh)Gd+h zB0?xLjc5k6A$`$xOm21-&rNZ7o&o-Kb<(hGI1Y*MF?a#iaOh=`}vdo%=bw9uV z#GkJ|!n}2ltGck`8Gpr-^;NE&!Xhz;gLlnL+A5FgPU%VdH%V_TXoyoqq$Upl@wne4 zBvVL$%q+LGnz)%zL1&$>S{}=qA^|CTIf{}9lb%D!kp}N8m@5OcLpWqbUR9*IfUl(}y=q#JG;RGb_7c|{(r#>yLrO2#bavIeX z5Kh>}ei-7g5L%vu_hMP;{94Ov|ASKwu-F4kh}IY!fb-eSSDmjNs~+JVW0O~xowyV4 z;$?NAoR47Upbb_+q5I&M|=4Y(Q=)x^Fj(gtIcA?Q+X9!7ZDZiZWo^(vJEbhCNl zTJ=wIN%UTxD+hbQ0)1#z$#D@&9-)c%YXMEqf_3@nKQK6J(Hs zHvVip5kfVj(eI(2u-J`e?mRv#OJAhGOW9u@=?fxr{4WMr#cPbMJHyg@f}Gp62?pZy z|75{E7(kw?ioe*h1E}KRw|PoDX+j{^&DyQ)tONjN!Dk1w|M&7JnKf|t?A>UQh}HlqweZn*+lU4e?_ zLRac`q$${>KANoBz`z!-NyoKj{N#tVlSzqL5T9MW?5f@1O=8_p_)QMIk4<`anK;mo z?fNi)Ee8GMTY3I`v#!O5ja3CR|JupBdP%K|AJ&>(w5*M$sWXflE98HVf2oxvvW$4~`QBk;SexExJ&kK*@dYHVCP8C0fgO}|X)W*<%tUQHC=_}W}rY)sHxo2(l=v$eU) zt&~KwF4O;&t>7rhSEBK2%_A)S+R-Ckvcn-|0piQN+Bg?K@t`psveuQ0=r`C^tZydx zQ35!7pl994k#AUfgEc1Xt{Gux-uhZ+z=O(V5myf1C2YsUvMZIlU^cKxlUu%ezR&|J z^(LjmnLCoh+a3j$Tj+83cRLs4j3U&wu{m{KAL!3BwlQo?j5bA^ba}H6yL>C-74s+sCXmR<3wC>dv0 zJ#VV!Vf&oVsizY(I#)%^*YxYZ3Oy!5P5B%CsyrLsO#?|IfBiPI7eVn8#(w+xFRO74MCU zvs<3K76DOCNU`bTr=f1t0k(swY3F z89yi9v-r(M!weVjz<=cuwLxPhOF_Ei)Nb6%H~&v&u`^c7)9|BBK;bu;H^O@YS}yzc z>JEwd1k`xL~iHkL&4%7Ie3ku9fB#qVX|zuXKm;6;y-R+zgD?F zBwt+|Zu|Y~6x#11CmTuaJ@-K37Oy)ocu#CPtmRrj*7sv?1A>W5zS`8KE7&-H{yApp z?}5+Gv7`@6u4jrFwI}@D56y9E9L`r8^vY+RMa$@o2Mk633|Gf|395^FD6o>X+Y(lO zinzZ8v#?Yk&DAd->F490ZXOXTHtQ&Tccgb`34_ZD%yVdOxwaQSdBkl=UDqpSvdmm? z{*@)&8>M8Ce*JXckeTrlDHB{&4w?{=_qdC^1I*W#u4=X?R zk^IC7PtwKb=4LxyUB~v7My6g_l&rJ(D@(68Fu2RG(*JA4X9w}|;WWQv2bZz-X~I;2 zRYCG`tQOtCPU?K~a6ycva-_JdBhZ1_4L(J;a|g$6?9$r&<9nimF1=zzeixCiW4{J@ z`B%TQRrks-u{T8vn<1`@_qVaprN5`%r!9~>N?n=W=Pk-7NY}Jm!>cDMI|8Y_HI#V& zUdCRMbUw5=EDtFymX<5@PP&os9yt^y?b=IZYEZ_&rNe~Qk`I$lkdx~6U)imn4e7NnSV>rPn_R?-U;+dmIpEScCe8zT6 z5IL|(i`$$C(XSA8)AR>|D0%6QC$j89g#CA>y1=j+b2u%1KjHGF_L{b)GFL`Y#3SM( z(}=w1vm$RidOOX7; z6BVumBB=dBV(3HXZLcun4>ktJhs8mt&W2I(T*oQ2 zwA%d@86VR*v!hNNWZXOIM*4Z7Kj%T~(L6iTH}9x>I>x_MoMoUBvI`<4>lZ(DBeHHm zGtZJZR=#Xhn_zcWTZDE|3|GgsEMInI5o_(#KF`iaa)KIPeXQ$EG16HG$o_(AcUwvK z8|ySkFmK!*g3_xW|en?*3oUo6VaL85|N0Z7Ci!&ZU?}3%z5D-Sd%?k;s2$1mls& zY}iZ$@{hrN--oKcv!8o4=-vE*w#>1L5~qAFWzK?k=;2^W@VR=YEMOe6-4o>M5Mqvu z&I7`6;1>wlR@Vn+gN`Gm7ZYaeOFV0Z-aAS($oN(GcW>&2hk|}I!cOW1cBqtX6(TML zJG8obt)d69`plCWYjWy?sEqmc1XjE5Pib*zc|-)W_&R(S+b~9V(Ke&LQ{TnaqM6S_6S%P`AFwCf!nTMS0rw7_M)Ceo>nsA57c;x=?-iTG^Y?9L-h4m%AVfH6Ve+i-*f1tarf=2B(u^m3s zW8JGmUFD(S5}#c&5yR&Z-WIWhkC&0VHa|i+75t5}Eq?o-32gtLCoeaWO}o$R$+-3U zk9S_d8jFTnd6P2UH`|4n&W}>-NSXZ;lIy^Kib;9Yan$jFb;W1Fwdncs-G<*TB@EF@ z+K1NnU-Mb21r>v9xhE!)p?)uVQ-(r|-t>~2kF}@HUGd0AGM4Ou>y`W}wz`{Sr)j17pW0mc+oo`$&HD*{ z?=Ed?;~Zp|_r)BOz_ha$+4I*O$dQiR@$a|Xuk<@AYHZLLUDCTo}52-6H zOkX}F9ad@hGuvNUh%eYV6H)F7t^{fK&8m9zFaE|ye@ol;+c>cOkf)J7yvr7u?gKNkEF-55Kux zmo-ra1 zt`4f?LgaSr>qA}h$->GL$zr))Ywpd4~`i-EBKUW*-f(CoKHNX0Kd$f@F}- z$gK5WF@$BJBW@(W2fiBl^O7ew+BIh~K2n|v47)5O=H)T!8D16?Q>E?xVl6ML^4dA7 zK^?Z0dPYQdt~}^pqA17zRwGeukO5A8K|cJi@<$hOPE7<;jtjwM>kH(NM5%aUKM=HS zI!xZBnDIZX*Y8;?Mp2Vq21_eeq0iY^fpIzXUBD~y#Ls*;s%q-evdb06Jc@Dj99KL7 zg|U-=RZ*EIYuU4kb7t+w#rfX`WaGl9qKwweC+<-@Ppk}xhMm0*bdSjOiry&MJhzw_ zxpJLvxx&BO>k=r9eBaw;e?+DA9YNfbAFolrz5h*+pUxn-7^nzIzLFsO` zg2L2+o-Kx{WpW?j4sXm*De);TW(Z#o-slhsSYc7Wdhgfc(h4QVz~n!XhTFKVT7}bD zsS^ERB~FqvCJ6f+=n75ji^6I%J_0ItzlLK+Dg$v#$$b%6ZG#A=#r@O5Ctsh=*M^%= zuYT5I#HN%_QeURo8f!5q<*U124?YL~ky-eAttUJxMMNE$u34JNQpNf*xhDr!X^pG( z_wnAH{sBIW3nmIjE#M9<)n4n`B1my(WlEhI?{DMeql2=}uFFk~@PEU({9#2wvNAXm z0edxf2mbCwF9&{VXj1j3wHsgxo|b-9%dVjf0^AW^`LcQ8%DHK8`i`Q`7D|+C@%@5V z$4bhxuL8tLtbI3n>rBM%XMp>Y(QXfpGuB=P?4Fs!R3Tq6qJ3mkyM}AvM>KYB=^uaa z-*o;e0^h{^4d3XI?RP`xsM{yq$LX6v5?DU>&-wm7qjJvJySoT*IzJXH2>NC9UR3#Gc>$@_p!{@&(gC*+QW23xF{URO6a@ndN+ z6BM*33@yv%1cOBAtKW)i*_*x#cGCOFE#DGGRY^g@0qMBl)6x&ibntdgPkU`k_UA-= z+_sy{wx^DtqpfhFpQRm{ypoa+6dYPHONxf^N#`E|%hN{o1V`voVB`A}-2_;60Zp>0 zbXI_s)gk;j&6Ei7;7bz(4d?J#DR$nrRAZ?(H6PKRBo!F3&D?BqmW!W^F7=x2ZvY>$@_VI z$a9saXzG^YyWFUpkBsPai3JLJIW@YJtJ~AlT;5J-eH^kUs`a>tcTD!?)3+{epR}H$ ztGE&NMYn!@yhzTM-B`TN*E%;3p!Ygz^wzwMZ_jmO$~gjen6&I2Vh{6&-XHv1|FKx` z^>Hxt%=sSJjmmp#8C!V@Jt64>H}xl8kwax*AP=Q@zasO$rGQJs`C;GS>zJ$g>9ffv zcQS;JM$KNtB{P_D|Dj*~p;$0vb8cwx6Xud5$9<&E?asna;oJH3OLsuEzH|F(uN$et zZ1nJ3&xyx>OU{3s%cGzD=<;1(k~58SqaBC$g6mXT$c`OfJt-^MFo{?%#diaPEas-A z8a^XT?ct)B@XpSzp}hHrGxJYbb}!nk;5Nl@a@D?}VEtP&`fJI#5^})ZtaEOmdu3${ z|9KJf2jSG!%-%Zd?UQwXBYIX!^KNJAM9RibS#rz47@d(iq?nIfK4=vT4aKHv54A1Z zH;f*s{CU3Cgkw`Y*|B~n0&?;kMcFALOjdbS9k|IxDK@k+M`lW1dIIPTT9bmh#?Mn4 zyprTzBYYq?-wkzH@{n=u254Q}yUEzdlw7RA&#!JI zb{W4XJt6;>V3G7dw!ag72fMMJx4I6rz3s z>)#>#8RKKZxi|dHoz-cAqWA#M2dAgdVIAC%(6?oVy%oQ2YLv8=`%P{tVc^3Z7jE8O z3KvVSh~LfpK2QKTG@^xyTXJLfDi_C0P~PiwJJUzP%MQs~bZ-l;l7)h zK-BC;zve~{ey$RZeU%hi{^80>iL@z9SXX~<8rmV?dlepFYH%4o4IoLqrr}AdX?x)C z{7ZnZ>&KDg6Ef_^f9CMUI!F|(cn%-)ieQDAMY?oIf=pM!H4LlatHum8xk3diza9&n++Gaz^tE;#8;+LUCVZAB3G=J$^5eskE@3pYCWFKK`u8v*IJ zzR$4*9IqaWm#LLxF(FRWjNTOHZb9Y#24ABf&6zp;%Kj41-0-=7el*VX>5o|6r>6+2 zl>?7sS7luRZls+N>E9GDj?B0x&gk4e;2Lp>>QC3~pe7+ZYRaEPlnvN?=j6OCKT@Tf z;ff9$OOjO7&$nm%xM4nFl{FeR!aqp*S^7ytzDnInwSVhL)ZBJMw&`PvPXjjj=y&Lf z$H2;TbSAF)p1Dy=nFL<(2Qla()+kh^a`)DZ!bk zFuktrliZ`IOu09q9r1_B@rs+;ULTo{ZTW56e7QSdGd8t$b*ph0yzD9#I`+q`Z~KgJ zcW*(76wJ!=x6I#Px^xnG|7%!lkwt|t9|+0rys^MGU=t2M+WUlb%t6aWx^n14t=TtV zT9DQBc*=Sbnv#T>dd!Gmc)m@%ZXQSo5K7vfP~djL^lRrW8cc@l_1gNZQ#Xb0y;1zF ze)ny=zr+5W- z4=fBmk<}Iw)n>dEW3@|A{|`yu9?$gq{$FoJr9wy%LLx#XId3Va5{k4Omr^R{oX56M z5g~F&VGc3pIph#+OXZX@49g*tLmM-5*vw{!-|O@J{bToIv)!-P^}6osdS1`#y03c= z5j$4)F(`)!NoX!vHk)uKElOwtOQ7K+$pG!VrWFq6PxtN)enj*1~ zH65iw;f@$7zG+qrPWM`20itI&@~nDaYiP)!2Q7H&vCX;^{ofBLz1Dzpd-ZuljA4Z3 zT+dR6qJHdBFja=13=03e5X;Onv+rk`TEQA!VQRy~@I2g0B5gcjv*YZ|bH5f@%W?iV zaczTeSXT+(;ZYS)P3L=Mb(mcpI|P5bl4Dp`0hRXoc+^= zFdlyJ_sJTc&+3A95NC%3hJ=l83HW9U&6aJhYaWmFuUlomn$5xhIhr@EIio#}9a|XH z!)trp;(WMGx63v0dh~}B9$wYq3iPtk5Xb+L7r#XuIbmvEEmznB`pca7r*$u9lCTKA z1JCwMC(k+HbpS-}e2Qe^)5P3=a_K$b{Em`Sj)xb%`+2nx`IBpMxHXjtJ6IO3VFf$g zD9A|6I<<$*Uc@5nj$%Vv2p0xq4qnO&-tDkm#pr#0APf3EJvu(>s*czVWWpY19S@aFlSFk@)!0sF&(25y!W_yHqF(nYd zj&hF<_cs7b4aN#R`uhx;6mq4Z_8G9Cjis?$X~l<9@JawD@SeJDA}l}Cg+J+^w1GTO zzLopU0SG^&``bm3qJYzWuPIooe^cN!XR)UJhsrcL>FN*A>GRJ6=0DxNnRgpZAxoDx zCfEBF2yNfa3U@}lAmVqay)UaSKo};mgsy2UD-8W5cYfbfXyVK&@a77~C`i;-&Z#)y`|L_U-O=LC-FxkzEFavg=yTCPYr_ zaZJ>A%uD8B!eWLO;nfHHz@l>eV%`n&-;W%~vgPb<(2GAa%!DM0;SaAeutNwpbk$P< zuFrK0fA%_*d(7`tp7`pj2WouLO?VerYo?a%V~j(K?6|(B=zr^p__v25KDm45Kg&xs zETE)^hFnE|2nl&loMaVqXO5r^QDhRg)QeMbjdzf;Ve)gyXo7Rz!iIQ`zXy#0#Ov3M zDs&KvysGQR@9Q8gE(G+%DFLz6b-m0>!fhjSl5dBWU*(T0W9i(_*F$hm+2(a#*AtjUse@vtU}1_u5UCJD1Ec9IKzuETX|4XBI~37mHu|rt#gG~jf;Og zRgqXyv9HrNfP5x3?1$?y@14>|J@{T4NsZVU7C|q#iZJGqG}$bN<9foL_&CmTPdv}m z2{g^2g@$n0&%%QR1$W#cEoH!eG3&9+4;w*gO4U<&_3(UQ^_fe3(A@xe4vqtMP)<8Q z^+Id$^aclA1L|%f5=3E45Nq!Mi z-e96!DUo#z1G?^1qxUIlL~NKE`?30e8dH$&6$0y0#oi5CVg9ap(s3;ugeqx$`_2UA z7Nvdi!KX(V({~>qS`6s;{Fk{2BBa(A@#Bbk2gZG!y*Uw!$ z>xx|BlyhfyGp}|BeYnx?@bKs&rufE$u7nNu&Fves3Evz4?uD|35_KI2&HmBRey#xl zGqXS_vn724Ykv0R&)Arc%BDyxs@NoV9bLFF6rR>%XZ!;k!u9Lzhe;w^p1`o%7B_HU z{}v)xbmYkAH;?SlWM%B^a;M49ef0K2*sWtOmxdcNK^oTYFsVIe6tIlB)hE|_`2k^6 z(JV$}`{yFd_9Pr}Y^i#Bc6B*gr5nn&p$*p%< zAs(m%n(H^+s8~9WwUS(Y>%;TC$UQ^^{DglcQ9FW8y1J0B^yqI?**Ti>qRYv0AD&S>WE>l% zeNZk?pn`ET<b491CeHaCm>gm+A8WGG`iL>ohnd6Gjb8ZfHaP>Sl=#Hr=-;~O>#wQ7)|13sc6v}XaFbTR27cjP4&*2upW!*SN{%H#^X_zqj+EfeN|3mM+X zP@-KUPb{)L)l(Di-(1fp(mJmDZ1^<{D>)!-j!3{FR%?jtlNj1?vnFI=NxRH?SEXMM z{nGVrR$U6PQF86|K-&uW{4IGaZjY4hhQo)}NM4mCH)HD_N_dcu(-nM6vf&HW=>@>L zrTn^CK+f8X2!<8VMuZ(-yG^kJ;Y-5YI|ccIkmKW1=3A+u+_ZUF;omHM?T-*LNfK27 z2C^^*e0Ofgn*Qi85(cUW_;>E*<5zNNC8Um5(>4Jv!@N6`d#ohCaZ29<7=eWqDRUcP zaAcOYcX=r?Vm!@ir4|*_2zT5FJl4VV>Aw#X0W6;;68`q2H4L5x+KyaAF>N8A$gE(x zV!BsAtwaa0Yh21&dR_mHd4U&$I*u^>y2vV&weOt>3jC>rB*VF(e9Xl;{{hGZu#26S1ZWin_om>nTI$R*-gCR!P z6*3E+4Y_mrFxLhj9{DUhb8e}pW5_P1a07p^oY8tZ9=gQa?qu?Fer$QpFy&F3fdgF* zn6=8t(0ODrfH=L=B?(qQ!nlT2JJxW2zIqC;8zFEbyo-t3kb?;Ox1G?Wpyk)U7LT%{ z9e^Bi_Ttgju_5l&_FR|ot?MsC{`42dwTLW0jD&gf7>o01>rDkn^L2D7!;<3y(4W`H zkA5dwgp?D5B#4png+9Xe?!^V9;{AII6y`bv8d=VJg2uc|tTQ+Li%cWZ;CB_byREh{ z(>sYR#!?dMKCW(Q_|4+Zs69t83HU-<;ju;5!L;)Lg|1G#mr+Z2%yIX~*CKtOi1lEVFShWt827-P9wQXodtE5iUG;p6 zHFaA+I2&EJQN(lCPYo8B?iSY77Y+z@#vt#NUhzh~LhM>yyYZ&8%?kEu~)^G;c@YeQj9zdPLjNRnX3?@$MzNsRaqJFz)}D?SK)hLBv2Mk_zX?c#r`|5G41#H^y7JJNIs zpl8oUrCE7{VdEUy=kjx9hXqj=KR zqD0J}MP(bzTBx)IeVM5^2w(?Gbs4z{Q)TH?6xwBP9~Uob@tC*Vb+r%^hwmnQu*GbY zjHR-jH=LERl-chlG+)n1gRl@c=wpxA8D^F9oDIA_l{mQ-t)AS|?HKw|*%CA}F+^>t_ zwZ&@@%KrKQAuc-3E9Pb7HPiTKrlmC-oEP4Ggyx2ayz{#MxQ+ABRMGLz{SVUP+yyr}t4Z!VB<19$StA700Hc)-$HNnVGhZTdt>N0W5>O0l{^m087Nc zKm1I$p&?JhO?S^v0p|0nfAcgKpCzsi_Y32K@*iRKmkcw?;>p})j zRPlpgB)mN{C)>YHF!{UdW%w9jVgUNdsLXkoj=apZ5rhwt!USAUJdfqTLk}SB-`<@} zrO(ZML`XU}Ze_@IyDL)gdbDbP(Av)@0>^q)D~?VQx{gSQ8;^Rw$aJ5zsBk^aQiDHy z9BBQ46m_q8Y7DSL6+WTRhT%j9aADLQb$rMO3WkLAtQ=@Q)HmTr$p~6qj7vPS<%%$DLE_5!UOGxZZY_Stzp|WMJYs@=Sa*DBtA6+hE1s=T)-tN&NB^5NWKaQNA)C(u?WM( z>r9PvxSOrbeny<_q1Lb8HcDNEl1yhn%twEVP$w<9f4tXu)f)p+x(@BN!PB26yI$Jo zoS#k$gQbSB(A*haG%{gp6orz;WH$Txt}hXOodW;hP-Enl2%N0+Fi=besonkh3jDOg zS@jJ_zLqqN&TBY*3>g?HNJiPeSxir5sxYVO0aee#r4s?mIOhoY4b%s)m-mFvw#hqE5Sc~{+81+-zmuvL$=#it(P&dL7aR1Oo@KD_Kx4$8 zozCN?{;lHqLe;lF4y%3s&4I)z+UFJOds3O;&KJ%R|1GPcF*|5h@Ndh^gsK{H*>z!g}~muZ-#^vtS}#^O=u%L zKR{x#9a5O)@d8maiC>B{HIuT$Gs~($>OP2B{2ZaHR7gHZj1IW|f#iE@W>f3WUpy!@P zehV&bBhm<)X3ky7;m$lngyMKc=n11o2Jy0vaes4ZRi+SdSVJFzU>*cV;*D$YU@dvT9dYALr?OS_| zX@=3t|7O{gjO_w1!ICrFD=dlFuT0~;<3$fH?ryFfNDL3>8Vm;6zt@ec4D`Ed_1nfr zzJ$%Qd5hSln={jmke)FEMKy+N$7Xoo7nRrM`nRduDq0Hi92&xsuxs0LjcR)8-VEMm z!zN#2rb+QW+X}?ReecLpOeXA-L)Rq&oU6)joUX&-UX94>H!*Kd0)WT3_`#al0@=noK2 zdWli>9o0HUr>3h}%I)iBxx?ece54CAGcCaYNTn1*%nI%V8DY7@EprY4T+ImRY0XER zTk{jPzpHEj*s3cEw~R!<$@@aQ(gnuK27YMI-Z>PnN z0vvLXN`7qQ@6!Mz)~W!HzA$mXkZ)eko0y@E`hL}#JS0J2h3qm)j%Ei+3nN!i>o2L(c=@nquUTrhh#brpAuWX z8>Lmf*yOy*QCW}H-@55xuZ&G8jmoOQCf4(rx&seCXTPGE2@ISKKbw=-+RKXj2<|hb z;n37rX2D6;4gJ`h_AQp8CTr2J{)w(2cZfK})2&|s?(bcZrlDv{Inhw0v2Vlkj&^|{ z^zEj2**PEu=vbQy@S1RjZU4A^@m$A?Ez+0L{c_&rq!8;h%ue?{i@~Vc`aq@byB5$l z)>lF`&T8c$l*Df@#LPekIAnRQuL-wo0Pjde(T6Z|O!y^X>@xubsH}R*MepRY@|CU> zBM}iW#a4Q2L~Q=L@SP}Lu1in&_~xLb@IXF(I%bFwaEedmv8Ma2y_IX{nbCYHp64;<+>VCKj!-zHbg4CJC{NuG+VIpte zDiTg`XfcYcpbz*m@D4M`VA2GMFyU;Xv)l5Hj5hg>Sl@ zq`Z9^1228Cabvr_@6XRWC`#-#%(@$pu7&9D16u*=MB=328?u zWe1O-AWjT_N|OVX(3FJKMw7PLO9Y)eC?h^~5VhgW%10ayuL6@#6s8v-v~TPGh&ZA2 z?iLlrUqxMYRWvh0Jw-Y*n^u2!bM!2P^4#`%iF_fK%8E(S_dXz$h@tHCwaVbFxm-Vs z+PP8iwyWl?Y__w^PuCmQWuzbMZix`}6MiVCysazMyXcJicI&4SPVd zr#IH5uu+25ioB&CE`Ny9h9zWq7`*y{N-)NXNwUBnV+O^!tCk?er#1#7McG#^F_FDl zZ-!>>ni@!mgUy*|1+aPTT=FISwG+rts+3FXiMAUVu~>H7g?8vbAIY?d{jL6?1JEcn zGEopt%}t-DndgZWk#osSz<<4|4(%awYL5*09S{`lFELjfvitLHa3B7OB~q3t0rc46 zz|WO14iwzQ+UnwANXLei(-!BuuG%x|v?59~THQ_{9m2FCY%Xm*JOT6;4BX4l*#E&m z71lS{f$zTrK39TN{sdz~$QfK;OFgWKHSQauI0y|- z!+fXwAwmriX$cJWg!2P<7hX}J_n|%HqhC^!sJT1PYperC7qla)rqAPY5z66d#^w{F26K_O45Q}_t zLTI=Q;m3*wqLUC#)WxdzvQu4B*w=5N!rg7RG1Gfp_{^uEZaA^Q>3oV6(?m{UlbKEp*R6}XD%fod z>v9et9WyUcpz0-46OUV##cB)b$A%=jm9Ewz<~(@dD?LL}z1PJBk3n%C5t@M1;*Z=* zRWsI}HhvPFzYCjGDU`XFXce)f6hFHu%bWy849!!4`W8q)Rkx2Gg6pZIL?c|J75|zCJ4U2jk(s0WX zsbn-hremo4^)n27ZX}-X?L|*}m65^2IBf@sv|67){u>dbeleFHqH&ImBMsX|RRJQg zNgrW)JB&EElSUWS4?3PfJR{4&nkAL7p{W&IVlfhw2^P&?k1=h#ug2Mkb4D2MFw`m` zAIzWKIL>i932Y8`;CQ}?#RB?UZ2H zklJy4uGtp1;z`>!$c=YDoryGd;EQk-JF!wjexGTsN1ClvVX5rZ(?8!!VF%=xScT^2 zYOqwje#D=S9DZp=@!@3>)^D7A^eDr6z4a1q8F_Sym%*d`&F0(1D@YGjBYcGJGyhVl zF5pIB%dN5{lY8sMGI*BW{Lu+Tpw44%l`7~@DMHUbRSB>!=C~g zv=cZF_H5}F=kl_Pgy%Aa)>^`|!TL7qa?cS5sU7j#CV*2zvI6d);<> z)UZK3`t#GHF|VLvNKBj;gWR*#QA8Hy_Gc*1u|T}hLH4gcU{%!3_yt-ciWG3@mF!PZFh&!H4Y^7H{6|9v0J!u;&`0k`%g$nipbo6YKhklGbvD*n|nc}M<~C(uS;74}P`qHcR1w?PW~@6AFn z>QpYKZV)WSZ_77tcl>mWsaT9s%f#<*qZAAGa~;(|W@Et&PC^!Qu!Qotsr3y+Bhitn2RaYy5MuAP4_ zqUt{BN%cYawEXoa^Y}ymH)_;d&rPO=>qKkI9;25f;iR`=o1Rh4MGyv-HqJi!KdX32 z&^(BIlS0@Nj4eW)vH=>mYz*;T(h!JXzSQT7X#(?L$`Jb?Q`>rz*50?m)Y-N2h#Ozf zK2So>+p64crYavh{M+3i^UaGQi|)CM{8jr`3r{wwW)S+Pe12jvB>LLNTdb@2^+Rs; zHrJ!2aPB??_>l^uR*iHCo6Q=Lgjt?Kw1<94?y)XcdS{Z?mY);nj~dtcOMp24rR0?I zki@P?S-4mUvLMOp2@Ji?NToiJjR;(EX%*HHeM;K$!)AY_YAoZuMdsR)fT z&;Z3gyezk}h#|sn&WJT<*Z3)aD<+>T$&K~gzP*=Qtp@8OeHwHgWT#Qpp?=>)ity)v z&#>f>L(DM9GFS#?E`|dESME;=or#?1nJXGc4(DEnENCkI9shYdZ54LGxebv~U=lQ( z0QXDiIML`#v0v)YLqqP_!pZll-IDO#gSmr_32B~coT?B$_A`PBmF#1D!xaX7dL|G^ z@#U9koWp#;AWd3S0O)dtRgA*x$&j8;D>Z$`Pj5K7Jn+1ZoTg%`^7! zFNP`04-xd-i^xgQtlvoj^YMfHfS=WgHcS}Gma?P}XKGSH+O}Nk&|%1}kG3i>9~aj} zSm5f+3nch}58NmMip2kPDjw}4E5hI@e?5XP19(XYAsnLb4ZLm%-Uq|_MP6ttRfGM( zjF|eS=p!TmaDsOt8a9;LTvf`Y`TRrUm2H~|UI7<<(5tx@^>~k@_(x|V zULYiR(}2W7MdgMf4~Ceim5?@s9fO7kxp2TW3o(qpVb26LNr>~Cv=}3er<2+x&|Rj? zn!gq^KF$@1pzrns`NCarK(7Z91y7Ih?Y{>!KRbU}FFHk40=CLfs3LWaq!#apWQmg zynF5o*5)NbsLi3hoVXD*~r?-Lktwx%ptwTVQfIW@P;(@h2^bw1r4Zs-0*A;*9zwKOpRyAyxH4$lm~H z9Mw0*ZBYP>#I%S633TuCK>GI%ABVJobV+C7xhGz!%OjCSvaPkfq}hxDzvGr69cg#I zud`}TbkP^`W5=(&B@Im|U=XjoJbpE(g zPu&6+{H&yNGg8f%ekSu2u1;I{OSy08o#LA%<$Hxla0z+$iQ>wbol|DOMaI1zi`>cf zw!}<$w-*0W9HN;xRcMEcn&w*KxYO72{_|RUhDCS_QWjaMYNOr{vA0s15UVBCr`8z)-R=tex_1K*iK6Co=kfvg)#r&J#I$){9KCy`s;2 zuV(Xk?#Yvnffm=`HuF5mabtx6tw49F#7Z?&{EqQ6Ue^WAmdYy$$o+vo0CygoJn1om z0Q9EdWEWi_*x8avDhqkPa|$Dcy;};q_5O4TM%ECkT!Le~F3w4x{MehccF*rS-JO}t zp3Kk3$CXwiVDs0mdW&(mFA8UYvviQJKRm@tbCM@-4z>bj=fGuFi`)PofQ<4lW+M)V z6q*4`b5W+1{8~s(@*?lQiEXw)Bqo8!RnYJd1Mq+7ehj}fgUVsrp*I4rUVC8lmT3$aRY0AUP{A9wm#H zZ9U`Q+uyf$ju|>1mbUDnk~%pxV{H=wdf!dI9Gqg!6q~7;y`b|03P{oOa>#ZX*8`B9 ziP(+sjkp*CK#HGbTG?N8jt=#N#25olskLvoP3PJE=Gdv}OV+@Cde|`encwj=Y8SdW z>Y+v2jPxn~dPc$5Nc)$l)MXh#Ll&Yd32`E#&Vf84X{I<7sdg~^qEN)D{RvM z?b>VpZD|BS<6w24qe1VI@;o~>4FQ||eCyKiDzb=VN}ll2)|q|^43a95JMir`5lh>T z_B5&0BY2VS(t z3+yvgy%cJ5-O4`x*} z@yJk+=*lfB#zxR5#s4*Pp*+ZLUKx1vz{&;gN}}L@ zc;1E`u-2mnS$7v_#CFjqM6cEfZPrx+ZsqGaEVBC-{~R4p-gwjt)K*~k=g;z>JQJ!&52jN|B9YQ?vH!s$ymTtMuPWQj%B0vOPHjfH2=}$P%BD z`JbL_6yuZ|b(qTfJC)@6AX9ZYJ0?^zVr}2cwl+C))iP=iub0JGBu{4af{ce_jE%Z# z72D!6rWL;gTp=@N9FnL8Z1P3&(9FSPU=(CQ{yXE4PK|lmk%7C{Nmm%61vv&dl8b=} ze^(mqmsSnvL;ydX=66>A|P6q=}RIT&TwUyl~nMq!uh28T#{3NU1P9UYH8YBWkPn}OLxtn3Li8U(~+2b&`;uP#|%_KaX zjKe8*Hn^_Yg>-lTx`G5A(Nvo4BIXjt?RDt+$>hlvDpsd)!wGO3sxudomzTvH5ZIk7 z{{Y1`UV zm;pP}ekDVbCuJ{v(Ag4y)HEA$@hbQ~>|=|8K7Z{%g%Wmg?||KxQ-R`7w|#vm4l^=| zkzf8O1Dua~*I%Ed+89gZjvh#t_i@(dLre0}{Mld$fC%Cmc0Tf8?_ft+g7w<`7CR)S zs-*baGcD+#fMEYyyy5o1BirC!ZVGd zm+}eT?jb8LmgQ8ja&gZ2xjRYf!yV#AUEVTnzG__KPER|s^Gr@U6}%hG2~ZE#vtbr| zd4!1hZz@xYpEy%j6eJ5ww>;AE2OuP$Jn^pHQaIxj%Fe*A*2SEFGT@9If0n;T4*`U* zB7Q%YkhDQf@?wugJQFC)N2XCp&%Ex1i_YU^hwxYbFcT2&^LjEvdKLv&1TMYkj=RG0 zN}eGQ$p&3n(2IY?R^`06(BlNfzt_t|>NDj<#1mq`B_ym-YFumQFCgIYn(7+V+q3cw zaJaXpk8%yxB3F_S-pk0RII%Uu7H4wlvI9BxQ#)N^1;L&EMs`%?;Loi9Fw%=kc{T4i z(AFWr4KEU&E&;n6Q6X`NO~B>3gs*JvVnQvM>G3oR^3ax{pC#VJC^hffOB;WDF!%Z4 zCFRYlX(Mv0CI=sxuI~Db(Ku-f5R!}t9{i| zvRbo^T-kT&Rmd*x&Ff!iF-6{{<@h>LEH35o5ZE;VF)Gj08z~}1zR}^JNJXepQS1us z_LB#77DDF(+)BT}R&=2b2YH=v7?CW`#E%uBaH4!*7cvVTYKIXZ5}u8$an|zV>+uU<&v#y8%xF>Ky);OnS;zkbc)zFjzN; z@XKJHUFDs=lIt3=ay>x+%mULL$t=Z-`201z|6kK;>r)>szqfY(-Z%c}05QFFW7EY% z{$qIhKcgFgU{J3uPKMMRD>CVX(9`F%Odt7lrtmO)FXWE76RQ5E`w7{^);{{_;C_|N zQkUmelWPc(dn@>h8<4~&G|bB7dhiF$<=iusb2;DtA}c}bArH05f*O<2{MOj(qWQVx zBmY`BPZ>KYj@#3|?(el%{i0qSs^~F=+OPTF%Bg<$)mS8;h%}u0>jgawCj02c1!m$Y z0TAqp9^J&>MV{R3*a6)UA(|$-)G%eJYGSThHJRJL6D1JM}cnT50@94H~fTB>rDj?th+f(;nO{NxXbkcW? zEY1ylNpX7j)*&~WaCMD84oav0iKCRa72QJyqs!Z{vd0I*)eQVi zAo}mQyX&jr&gny)(&OOQ{4-)nj`nL)dq1~qwEJ|py-IH-l`wWjiJ0Z^FOQQvRB(eI z?lF$(=15cTP8~W9jYBJ}{@wE;x^wm=r&b_!-0&J*vt+alYg$H}l4<3c$#UaApRo}& z(v;kBIRKgaW6T47zg@9cruur*PB|Yx@caqp-X%w2cdgyW(1YvjJK$rvF1f`gC*you zy*A$dTS=-d);*td*P|w8x-B7~?!kF8-BT5$cTfHp_)*^-^TuQuZW=44tEO*1Sx)69 zKNJbldAAj%=C<&!qPNv8wj|&&%8GO|pZQ&fkV{T~^sU88It?9XjX+6qbYd%>e^I_O z(=f9&d^0=>hbyq3g*Q8rS?0>i3DLjcM%P0+fkM%aaXlJeDJM=GP zP4I6|TgGqdmXx8Q-7Gvn3}^O}*!A%i^viDJ=1mchga}mW-{LI)qPE9vN*5n6O47P` zW$OBw!3FO^hwZrTr6#U9Y;C@W@+_0Sco3EVN&$60r|*Eoubp~q-;;ax%cnTy{~)4E zF7+3C0x$P7#PfQd^S5|6DTyJ(Pj`JK*BZLrzCFKJ9z{OCECr(W2l+vDR$4bV&Snj? zb@A3(9N9mltL3pC8|XDpBa}Pwo~*by!pu8#+3FR7S;U zp7bujgoYK6#T4T+i7&}w#%2}PIJw1FiyL7fsFqPneKBx><0I(Y<$ISVVFpXmfE-tM zq7epb-8%WXyW5}7IkXr=+|BY4n|O-plIHahQwW*T^UWXhdL{G0zS|lzVbe+v`i2D- zmH9gocw}c@!zp-2mRHJCQO+qr{Y^ZGc$$|{iA``mLQO|I^WSysN&t6~PdN#|!-&!6 zR8chdKhGJ7&1Zbc#CxF;$1)}&!?X;)tA98AP9bIK_8th?7qa)v{Hfl(A@9smZR#Vh zYXv<_mVm`Wdp>LxSE3Tj!;8T0HSuWM?aT08G3nR%d!kKFEQqg-zC>*$OyBVZ(kUjR zNFRC99mgchKNXgkP-H($49#~ge-aN>0d-;|36kkW?1~XVk>2WVPAB0tp-8#q#RWOR zUD!AH74xcF<`ed$`o!%60TVyHo@6VHy=cDDs3ehf1?5whC*Q|vER()z{_i_ zN_Fm6ue&MdsgUoGvaBp575`%#b~okp8}q&Q>-A~S5*6m7O?l;0g*XYQuEn5vgmIr2x%MV;WptuWn8%QFujx+=ZX zwaPLn@iG75=9yZQwm6DSfBgOQL(C7E?)1Q3=vTSTsx?bbZs);1=RdcaPE}XQE5)62 zSNWA1xLSPQDPF?$l&kQapKHc7SN?ANTG+K%e``zH8EhQ3T>iifOV_2eRkW> zGp1Se{&RJG%gO+sAEi5ajnNgis#i!Fpk1aq%9lLeDg618I~sUpZ`Nqk(!jkO0!Hb| z_)BPW&hI|OfUw1YSQe|C>V{p#oC>IGAHWCx&G2SzQo3J#bwWW zef0yz4{7orAIQp)iH?!M*Wmm;sth!Psy``u9M#S|_YA7@!yAqW|$~J$Ki> z*uz_y1{qZ@j!5eMk0zwW3FpS)PqMdbJ-y#FzyI*i<*}9O!_~R*wo4x$*T7D|UDFTc zdB0MZ8gY9qJ)fn=RFCz>9EOG~9@T`yOR~~`HS2#blW(dTRXLQiIS8qh^KQ%<1+@>e z0%xk1-Ynooyd-Em>jx9mY#2@E_-8e88%HO?Or>$xeSa-De;!HR zYLsuhrGc}%3Py~B$ZBhz!w=JrDWA&B8l^xAqC^e)mL&fy9V_ugH*%}s?H63lBRpKM z_i=B(aOr#ZTt4QewoRk1LeuxiE7$EFysw9NHYs0)=IGHf${d~A&%eZk{450S40kb) zDjqZ1@Z|2v!f)cU<6`|aQIF4GNOLN=qV%g@Z_WH6W^>2|Qk~O%$L>Z=b?IfNL;aTm zYpGYOmm5k>@C&MlKcK`B} z54STk<^OuWy1$V->T9JMHR*wq?EJSr&V8rksja*2>4+MudM&a~>qAI+b<@pSTn_h+ zi>7LbDBIZ-9O5u3h38&1{ z>t`kBPY$#8KM6JH)iSSM#+ZHmoYk!UUagw2snP%%$;_UVq}BX9O@8*1{_NM#vyU~W zGJ?1jk72vs7iPQ3R{rpZ-8X2Bp#ONB+Uq`afR$NGcsATpb3dc?zJqO0g3YgA3BL?g zbclOi3vVf1@@Tx}XiINF-kP?m%qfs<%DG=%WD=7S6x(z4*1u;=&rDV|>}J2ijXq&b zt9`oDt;;zW*tF&WE0@={S7xO&zj>+ZFRMqqz*;73eo(2#+LE_mfL!k6EkG;Ut43i< zpFhc;uyU-2a7-W{9`Y*H<-d9z%B|16U2bm9&Q0}odEj!jzFo833jQnm6r9V?i~TXN zMRk^W-!G^2!EN`Rm)!w=oI=IcvHgcn`_!;gS$O-+)+-7w@-y3F4PK`sg>jpaODy^>cE7)fs=!2ru z>lJPZux+(!y?$SgMi4WnC@4i!P6;^fw#CrTZfu}RLNjUQx`EDEiBt6t|Ca?g9Si6o z!oLOEhzr1bJpFqo;W$R;q`!^<$>B=nzYE`qnI#4^-c83^KQ?2Sfuc`v8$l;r8%|00 ze>J|+Ja8Q}J)skH`9wFV)agOe%=g!7<0a5d+jF=k>soZ10&3L7z&7T>q49lvX-{($ zfhdiBkPwm|bgZnFaIv^e7}lIY(l+i2cm((V!{emPcd6V@I8a`(Jzg zle5Y>y0oe_=4U=M+xYL3o7Fk-FaC|$`K>O-&fTAms{t`;VO1+vd>akb-QCP@!@Zfg zaQm0f3=(&Nsu^F;uW_xHZ}KwPCn>ed_E&$j+zC1xZg4DpmmCQh4%_R(2-AqQunUK4 z$=|>5HwJ(8<A;fE3B96=vU24@@9j+e_KXVy)~6;U4-o&56^KQ<5Z3<=#6AL zy=$i`^p;+jv`7AG)c2yC-Iw{|a>cgAIqKJKSmS=?Q;E#?{u|dy)8<^ON=!McjA38Y z{IN@eY4p;|BmLp2S9gZ(`gFv5yfe=@%;oD4^@+_paYa-A#V!IQWx&L(gAi{{zY z%J!(M&>I`_p}GXzzsWr@BjS5g{Zq&jIpNyY6iG0dwsN^OuGDwpGKl+wE6_J-cyDeY%!|7_NWVNI$o zHGOjhd(x3qE3?y3@#=b6=ia{#GM&^TFXQ^@`*yw$8ofYjc!lej{h5Ax&(0SH#me^L z@-B1s8o`wd9Z5J_7k-INXz`$9MQ9>F{V1ocsL;Zt;uc)|g6A)l+v7iRJKnOQt{kc( z_?++e?-a>RR=vD8ee)674@b(9mKMfUmah8DK3GB_Qe>twN0hs^INUhLwcCd($cbux zdU+I6er_Z2lAhERAN$+1%PGn`IsM4eWSsp`l;M^$$FVi`mrFy_#ptKpUagp2J&59* zy|_n}UA+P2z}E{@EVJz_EWOYui~F5->p3m@#v#Vw^an9sz$?=^bKAY!1}%I=FYj&f z-FIlHBQG%g+*=#vwJMJ~y*}@-H?ti-OK}oM!}BhL`dgG(A?y&nl5d9JyJYz>_T9F1 zC?(qv_|2sOuW6MDG-`kPcj3?FWiwTcn_hO09gUE+96S)~8$ybEXLm0#CbBrHFK}9cLRLpm)^$a@Og%6rq z&n4joLJX1RRw)?DPsgr#jKiV7tzVCqI|xyazI?&9w!r%TXt&loB;sp*?L!e_DrIIR z`$+ivFnYpE)!Fk|{&p(9&ds|~gHDd?KNDUI<~J;8xZVgJ)L}a&X5K8&m?)buBk9-o7%|88mdjFV9wm-}M2>=y+eHs}sCnrLh@vZ%}7#px^jQ zh-COxm>$10z@V^St)kPeUoH7=#pTFhsc{L|OL*u@+ar;N58j|AuG&~*>wOb1TC?j< zA4Y{i+LMK4lT`|DYHr$8xHzY5v8sAkRod+0^M0W^;lki$WqX7Ernqfb2SY-C`YqdL zd55`F@AbLOW~r;CTYfqJkw65W=vgb-uA=&*$n;89X4+may}i3YOJe)epyg?$xR=9g)SIz~0RIGk@#lmO5XD50aN$ z9GZGP{Mb?vR*M(d8p&6lTs{0)vqF_qTH~69-qG^&}xt}0wfIgFE-E$i*bI#6a;>Y{O2%`EfQ^Ou|b zY>zZv#VzEmDmd0yT=9*4&|&;RS=ZLVq;$>OTXNC5v+v9{=sXrZIIC#)gJLandGcrZ z$&c0%&Y5u&DOM$Cmx>Qs|0wQ{Q}5Y6T2>pylQ3P5seCweowh^IDY&BM@O+!3we7Xi z@P!PrS;Web*Dr^Bjcdux+Ny2uy8RtgYD>*d-0ju;!PFh+wb@uQV5~XbWr8_MYNvAT z?PsOfU$>RK6Zv`(w;;)?ZrkV`q7|5aQ_>cdD9? zdD+Y7hNrJ)<%S2DsXe`&cjZhJS8-(1F7_V@)yqv9f-NC)c4>(q*iR~p@gA?IIWi!`FHAe zm}Qt+JipLyu*vas*S2e#4`Sb!EG9@bKKu~qq80FKTl)Tiq~E{cx%-a>Y*mJfAI@!8!j4m?rOU5a zuFP7im|c17I=1h}N@#Dfed*~B_pR<;k@w6vsJy-H#j(#W7LCT+9&dkI`$(b&-LYTG z^ZDv0wyS|fVoGkZd-UHK?-$Z2%5j*MmuKz7pZqib*7j9+ z3!~(!-l1omm;KKcmRmI^0r_Ck@b#At` z)$|+;@DK8D0u_+MW9FV$F6gu-)SiuaE}gyqv&*-e7e%^scM2(6rw%mGqg6|iC`n3g zZKDolR)a}~H_BWJlcXdf$Vpl9D8B%`EN^dbsSjO`u0K_Zel~yO$kVLrh0YrH^|HK9 zTOP+?KyvL?Phh% zQLC-n@Y$VCHdZd*th6IeM;~#t_qe{7CjzhAUb7%7WLCN+_bTO06dRHI*t$BUXJwD5 ztfYfS)(_L!^7&8KlT~jwrSc2C{Sy|i;4ggKm$D~+=#+Y$^2>^^1iZWU>w0fTr5$-9 z(nR?JyRHn~%`r}m^{|$l9k1V@cIb5PO7wLV%Dukxh3wU2`8{?@y9aPC-xU8EJhjZ7 zl*)PjJaKXd-MH!MP3_)x&7u4|lLJRwR4N=kzA;0+9vvyy>6F*#ii|pYUN2K=+he6u z;PAn=l2-$#Zrwce5Edi!%-&B$+bUJ%j_8T3uD7NaI%)=j=%EdJ3VcNmc4%)i5 zjf1vzZdQor&Y6@ct${5CwnC%G-lP(%O*`?7rQ01U)VRZV0Va=Y9@ToVw zTA%AGuwRQ@Q+{q7JkmcPel~Ke+(p>{g+m+73Y{ythyy@HVTOF-uwUG}t zyfIA5s2@C1Pq2;~%(mXHowV&4u*aA7lCLvVuA*90PLw6+s3K6F^CwL^Uc4hsq;056 z2xZ@Rb|!XC?4(|ezURwO*Rx(%gg6zc`Zn75?7UM;x6r%ePvK7i=S@g_ue!U6^q4%g~+5eVQ4dwK(Qw`@OW*$-Sv}Ys$Q(&6OipH>fV5(XZjH#qCaY{4?Wg z1+zjIBTX(B9eH=$pwKgcOgfYrm!#;u=k*ov&7?c_RbWqb-h$AfVp~4|H zYN1OiV~uMrbD>k~P{iwdkyk|H&T15P94SwwpNQ8ju2<>$T&ShFwd+>Oll^|4cU9cL znH|8#M&@>YIxx9) z`Cd%wmf9UZ=OANKrgh}n{u?z3+f#E1UiwVXdQbT7W{)(PB3IeXQs z65dRQGb+1bx#`Cu#Sa(7M6K9&ojhzA`PBI3qoeHG24xN&<$otmgi2h=tdoCv(?rZf z{dh`G>6StB;@Hp59*9kECLW?op2cohEY_`5n0yyW_YC-{gL69Qo~QP*&3JNZD9qw% z%lB-$P}adSO5syj>9i{%6`t8^bn4N?va2yS?8lE;OI+$vUC4tuytjk@QnMc26|_b^ z-LG?*PPyUfdmm+$@ZwFc(yN~GHzyxF)=E5=*0=Jo_w#|e(?4gwmUkAr8m66gc$&KH za@FR)R_GGCv%TVGIscv2KfHA_`&DHt9#`9?t#}kXdhcf(V2mstP~A~??&iu1_ua|| zpQ}tpYHVCv`>_ z*?N2$Q}Xpa-+i&Cr?;7p$clI6H676ifi(*&B*Lyel>n&S_mw1fIZ;yQpYhO^UT;u^KLqyNl0DN!)I-OKHbt*be&+ z9(i#1d|l2g7JJ#-HAA=U4XJ_GAYxmgeD#6Vo##2xwKJ;mW@XmmTRaW+emEZ1X1T)< z+83Y{SNLN#1*U!Lm4~$y*_}6_V;W6vZhq>Lw49EBJ(y}HxDzG^4-)WVo zkMkd33sMU*$!7aMz>XaiI=xutEx*&k`&AidKxfDDr_;~n^95Uhdb_7za|ZZD($g>Z zyz#8ve#xxQDDP2Qz&H1&%QD?A^KY*z7v6BP-g8OAT>8B%Aw1XVt>GIT#lYlqJ2VcI zSR85kd$se}x@Vovx6g&2b${1v9(A}jsB>bM$m?FGQov-MdTjmnbmn%!Rxf4iVflg~ zE6=>@A16}@X=i@Q#8>~&+O~)8uCM&EMY*4`xh`SZ$m^3KnRI#Q4TIAq@v$E&=Iy$y zK5V6Qz?;F|-kCXZJPZWQ|>){#vVb<6Pc4Kk0Vcq@yJ&c){v-)$2*YT)x zy2rP9HB2_hevHn?>U>Q+5L5e>+ZC72Pg=liMUU^rOS8CNh`ImpW~8aP`iQ1zoW%%6 zk+=OY`qEO!V?^4zWlPBUW!=a4%{<=)(q^=Y5;T9dx_O(N{^r4B8<|>B*l+ytiC~&{ zPdl;j7hHKXJz4g}6lqV`B311d=Qh{&VUo{*)x&4I9t}sI=1VRYL#osFJ0>?_g|Ctd zC+uMP8#_Z5p^XI!WB5&K$u~+rT9h^Am>tXx#y^hO$J>fVEO#~79B8{W%P6QGT)pvc zZdEfq6?XqwO%-ac4&5bDD5bR3SUX~aQB&)icvo4?s`D`Xw_lE2arZ>(-POO#JB5B= zQmxpPykn;xMIJu8ZP(eFOg*>epHxj*g+sSk&v3CKigVfTQ?4Do<+rG%Dshj2BWt7hPe$tvaQOB??3H*KI!Lh?WNj$DN=`1a!)6V6S?edMl>Zt{NKW1 zDU8;BW16>_4gc4ia+AZ3n}w$Fqn-nV%?T}a(j-assjCRT2cH2yo5Bq``XnZk@vBP7E&>Bu{Ww@c< zDt#!N9gbz;zQ%t#uVv%f*M&_xZ~q*RXvYdSlW8k$%p)`DcQO&`vwtx*E6mMoY!=V8 zkB-@uBuCLkbG@R4O(3KiAy>w$FvZJ^h|xGa`~_r8ns96?mexVk zYx@;B&Yp~1Vz3@}h37;&^%-HDb6A*GMzl;uw8qH2#B!w$o3HroYw*VklB+Bu`(b2Y z(t9nco@f{Wo18&%pKj2jKN4X4IXvD?9c zB&_Qcq|L%e^94(w>xs-AeoD_BQp8uFc)x!dVDeHwLb)ucY)eGr^@U<8iWT;nEIWlX z)5QF7YH(|3k)ACh%`BUZ;8kCHDs5QC^c>x>>N@&ZKbc~mkX$1V_wmT9$v1*O#|CsG z6*5U+(Tnuy^VgG*mH`p96A+X4;XZ%Js06RYm&HXRPievni{oz_K&f=`vdiE(sU&#N1QWgl70On> zrT>J&P+}@~E$(ay441}xwO0v4!vrQFfreeiZw8YjN21qOe#)kLnS3(6X@-n|vX`4- z43uo>`w57{i!l9WU_`|dW1a{%Gl<&Vny%t_Y7DS@-X8+H#dsD219QGo6#XYX3bFtu z{g-xW=C8@a?UVBV>|O48!Y~?cc*RS6&I^23hv2M4C4O!!bnCwfZ~DSK%26}NgAUO{ zj-ZKzbu9BECW>x$d}W?kN5I?fdTVfq`JFU@~x~7`BD%u7GfqO-CJfXa4n*WNA8I3oowF+YAYp_JwhzF-3zY)B2p!%#EbS<@U zxZx2|WtZgY6m$^7sxcuFc;D&TlB;>ipVAq(f5?B>OFaJPOYM+rJ|QxXcg*7JTs919 z3goXzllvmaS)rNi^-VK)w@gTVq@Vkw8GI!|hGeOY)0piME7CgVUWk({#Hr6d^(nyM zrj6$@yJnJYGQpRH2WjEmFh(~lb+;M-ybxGY)svIBT`jwSw=-7spYrfFs2+2ab6*)T zZ5)4hjzLOu$8vJmli|IHqW!&KesraRm$j%>cgykWv)?c$R@YSUA*l#psmu5{@>jhC zh$aIG+|WLU88Xf859n?MLnQ57mkL}*NzGV;>r{EUk8k8^whGL98NUr0^Ma5gz|r_s z;?S-($VXrUe7OQ>?-cwI%e5~aBQix2pDn2L{IZ5844%aUPu)m^QuWi8Ktp9I^1S++KY)7p`E1t~!Vt+YMlsVk4!6 zU;NH8#xCD(DasXci}{nT1OL~~yVa65ROADhvM>iJ$Z#@1c`i(uAN>`h&J#?`)ozGTE$`$A(C7RMh*;OhN&+D<%XjD^oU63J~?Qddpr7`+l0pgH3|jd zRXB}O(G?JYu7o#tF@Tw^FfwBbc>ZV6d6S&)+W{!q;F##?wP~dEN`pC0*o5uQ(;N)d z7?yg_0^o0@nFVVNAd4Q0?CuPYkz5_8E&gr8m`%|SFm(4Zyv(X_;a@PC%Wlyump(cv zp4K`Nyp_g;5l+6(S0lwEZIb?j|5hFt6nd7hJm;Q-rQYKKm&Iqd(`K%ba4FO=$yFYG zDr7&k>wG3Q}WVMCZ@xm1#;5;EgL&G*f{Ey1dZkkn@9=ka{lF3-KC`SUYq{#Oo zq6_h2z#R3~1}GI`AwK92xH55EL(RTm=Yh(?2k{&mCBDU?(ons{dKka9cxM2snU4R# z!l*H76d54K^BreCKGY=)Uo=Q^?#m`M)8WndAV~tYx%dFET}&9-V*?{(JHw}?V5GFF zj!+fCr?_DovCE-G)Y_d+Sg@?5=&$gEFcn~2P z7`zinjT*j1_G1?7;f6ed5szMT_o%O55YH`xJ$Z2kkVZ21;E)*iIaaqF%sl&!$oyL1 zKlrM*0*J8azHqp`CuDQ{dJ1ixUYU#{vYGDX7@~5L#aR}uhBkR2(5)WD>BQULea(1zgPlYFYtHei6z^I-Pj9GKVy zZzM7gGF;C{G*}Iq{|&a&CzzK;FxqQ?s35R=HNv1VIwpWe`_7n){$zT`j0n-%5kB9U zw}1^2A>=ZIWI;$?Lp}xSS;EGk|5ysl*#VfdTpQ=L7}@J2+NCd*rU1ivp-1J|ovC1E z>h#%|C|1QO9$+b?aC}P_gpt`mFTm{J0ONy%dy~`t@@7MOlfhxUXqcKgRCWBi9}F=b zn50RtgbJf6dT#pFHGH-)~1(ygz-4IhP$M!NGYQyO+o!Zr$4n0R45;u}v-f)2XK3-LyC z_VGI=8h~s0{0_O6#Dx`cR*3A`+bLyXt>Hy$WQwFo4Ej^JQD(XO%V9>IzhV0KgE_m^t|8>oU0Ue65yZ!4<8 zHM7(Sp=L(CV(6-k&8!b<$C~IVFN4_e*#LrB-GoNu4k|g+Ioi384sDwc+d$^-{oVk= zTNr_G$G|l2^!g5^OOpO`%K=97zD7gMt%88Xb#26;w=-c|s*~R5Q!uVD7-B!tqN1(} zkvo}#eMm@08sHYrujeMgz?lppqaA5Cwu#Fv0PjI{t&VX-ai|s+cRmL3L$X@&*jD(! zB>d|nl1gp}Sud!l0&g{P7Q!D9Fl zKLm_H!5Ma;h4B~h5$6w}dBTJ=mh_QthO#+_0vv)^G%)y%6{5>`uD*Bg=bQq>Z{>X! zV}x%B1N4bf^qD=_WcquQDo5kXz1GZuVefI$i!c^5=|v?$U{!x*Qq4&<=-jl>UI2wT z&)C88Osr8L^a~iz9M_n#=jopkxF{ckH=WPLED(5syV*vMdCt{!)NoHcZm^%hMRp^M zbTMvca$Tw`hSN2gO{WJPG4p;}jNrWtZ!;Q;@vau1BXcCoW|xL-V!c(RVDzHMiuD_TK^I`&TWaGBHg)$CB+2i1GjF5uhDFFT=M2Q zgN)$XsXwMY%uI)A?ge1TJgL9#s5}fw3+^1SZP|B`an%)zufLC~O9)yAj|}+CR}GP{ zD?|EpJElSj6mUF0gkQ5?Z6x<2#N0@)1{`0ZtF|%I35Nd00rZn|7bcX`!MTeZ3IRw) zOXe4FNqG?R9zWES&bX+8^ z9p`;iV1x=Whob`XkS%FRP`x|p!U83v$j;S*?MJ1gI=MN1R^?xRCas>%b!Ob(vNktwC zH(Ki5q0gt?<3`)i7=jVpe~w2Tj1GZFWyuXrQZ__b0O!F2xq1fs&(*z_Hsi!k*`aNb z7IlTV*k)Wa6*JDcf0BssCon~#0u+b<1~?MrD!H@9-Jw|^9cew?tqH$}3iqu0EgI{fK(Ta^W@DzAF4@D#m@iyPd<485%xXjjO-zN+B7U zez?Ehmq+hSc7}I<RlUt!A77_%E=t)Rz*l|_i zlxP?qbLMybG%Lh}02d7#R>RHk_*Ojl9`FfeHfHMsv1q&)AbUKx2*hlIYX6fcnqj0^ z%2Ctejq$z+)Hr(>Zvb&1-g-h>C9&Zq-z1kEf|x;o=#3ihr}!vW87C!>F34P;nTF#Tq_aABKvJ+_%fD$}Ij{$Rd40gmjjEwrGt5?_ zk-jo9BzifIq&dv|CVqF0N%+jwl;AS5hfGcD);JO3Nn&CF5iB)=2uLXCWg+XW;rtR2 zdRJt3GEx`r0?1F}7p5??!RIf(9vE^uAJ=_q2%!e#mu7Z=`;c30HDA_svt+V6w7v*2 zsIYOC?;M9JSDDOT*@hv7?g9qETIsb33*e8YP^HMl_MqQn|M``Nm3YN&h9lVXQcdF8 z=$bm-02#U*OjNhBoTmJgruuL#(LQxn6WmHT0-=bq)>lapcLxpwzsupsmGYD}kE{ z!x|@ho%(8tu28~vQ)*MiWO$`JA3ntj)u9tk%>d@k#*X0elYd~eu5bi_&YNv1->h-6 zhKQ{-qDCj@Y|3t(^trXK(#A9&=jhFUn8R)bV7jhPE)}53nLQ6v%Ye&{(QnyDu_%=H0EWFB~OFp zh)2&Vz+A#G55OAW>R58q{o>?;%KNaz=oWRN<)~6d54bLW0csA&Cgm`tXG0LK#@JuBkweajh`Hh}{@hY-bFoe&YN7 z7mfHcL&1~lU5+{103x|$;UNZl*#d{vGa@r}zgG#Xt#NKIk};Y^{7X};KnX&6&q-Xy zbE=rd;arGnuH6wn}a>t*!(KkkTIG_?uH1!5aVU z2shF-MR-RX>jiQelh>(#4J*w<;)tCAfMapiNG!ZGA0GvzBpx=3N`~7)_V$7uj1)IK z2py_Q{|Ll$#-GPypG<2v4*LLJE#^8&5@Yc2G4v;HG0?L%65!qIKM<>^GmWCz`k06| zmc|QO4~%>7+Mjqb-B}(BEDh$EkMW*KRud>=e?wsN1$kty3Q)*3@wK(MTLd~5AEeM8 z>5dK$;s@-*Kpw7no9pw_NztLl{_Vz*LgoL9!j3-Idb`%gv=b!2e1UR6?pfa20ie&~ zVE3wPO~ZuPW0xUEJJ9**a180X#{xQ@rEvyiULCYWd5GZ2jB4`{3In@{HUqrhB4h4d zHzsJAKTqg3KX~K!zPj;gqzc>h$w?r!!>IS%IHq|tm!>B>o0pf5@tO_z*Q|2^SdupL z!++p+f%I(-wi!AxiyV)+io2+U+V; zh*4X0?W=_@FTjML-JrkUFp4uoF(>k@;Zx8&J__kJgJ_Pv1vpZ&6O@y{e++^W1;S4t zV(CwcoQgo~BW2_DgFrN3bc_|p?<*$y6x)c_uQ5bvoayt^i#3uebW*+`4KeJjOq3TDI!jOkQ6Q{o+ zsD&-Y(7nCn-{5BonM3qVAX; zZ0g0BTChXgHnYBQiE88tA{1t%o0-0<}kHSPirv1?agAPlG zXaHY)@JY`H@^Fk=68JPHEQ!B30owvv=KUe^?h6ekN9kPBuzDzP`q}kpwUXpl)*pnS zc_QY*0!<{0F4py~5j{Xt9jF3%gZ?J|pUGikUqDbp9?=5l3pEAW85&W5dteT+!TS3^ zakJ|g^yp89BYm})o8O6!OGF{C6kH&vA^9gWg!t|3)+;%1;0T!QJWUSwZXU#(2(cLw z38^&|kgCyX8hjdQ2&w@@$aJaa3;i$YKNqBRehyJvtBMAG%ENEt>ih7UQ`o}*vov}j z5ftpbiY7}^L>xp*YCvsv6+H8|4RTYt4W+=4_NN?6li*2QD=Zw zEGwvp@vstjc#FWJ$PfM`loRpa0j1-UHY02n@Nytbut^})a*`-YmGB)NiuGV<8s*U1 zSAH!piabbc0u#cbfmi?rkc$~o#>78|^xFkC%W#8JY4cI|1}YoBm7n|_>J_*Zo{#>r zIzVbTp6>{8j4}lEQ2TZ;UQ#5Rd3J`>lZnxo{e?Gt0G;L)De(bGD-7M(EGP0#U39ru&)28i3;k&Y-Q1#NgN9G~Rd5|0PLQazZZJZ(1 zW&Ae;ClUU?Jp@{G`x9U^*cLREcJmp&=x+~%`9j(SVF-c=Z&>A@w^`NvvP@Q35x`Hb zv_4bIW|k%`u&P}=e!{Z8kw8AgZ{Q7)gvBtLzkfnPWXx3_Mjqs9bHgD9MN{lLbN%>qnUoC5nwpr} zmf>xDG2c^BmLBvZn<}Zm;lV}PYQyTfI4Y>o%Hlk>$~~p=(+d{kAP8*p%md$PnggW0 z0@9AB+v5Gcz(KJxj7oZ(fFH}ioB%F=-ESD5juo>S@0z}64b*pie8eNnP;lZ}yTo`G zF;*U-Kgs85lm~&h3(|VZ@)B%^z=K!cn2xLB>7bw#Mqr{QxlhR4H}WJP9+7snK$FIZ zk!VV}Aef109lI2TQ4-W5iYz9wck!WtM|a$?Hg*UvXMFeRJW%MO{7z^R>8Q#Jss<5z z%t;^KzKpz>gaHy|xEf*6Efl@9skcxN_QiVPz2DHyl)E78+vkVeUd20Y4kHJNuEM(5 zl-C0#04CTwgX`cF&7wfQC#ZyiERzz@jg7a(B5ko?I_PFAhWdmCF&ToOK9&&rKy{vc zc-pD2E4f$nK_uh}LQP__oHQ(1+q6-~R2}TN%D}=eV}0zw+20A51}Lf{Br)J(iOGLh zO~RVXOu6(an!XDt!kNTi8SXb8BHmX}h;qfmjuIl|2^3}y(C!LJeUK>=LD_F3=)$!X zjy$30Z-ylp5y1%85R7(dG)2E4kEstpPE&#kGb@kr)2AGJ(`Wq#sCfFM4ohX=!PCJ& z@b&S8!3nnbcx|-17Z1=3c-Y;W-Yaw!c1?adcJU+M50$PIQT3&ZC@SAToKgOgmqTz} zs>;KfcM!KvQ)E`WKzsJuRiD2yeyE-V)rKzO!ZgKh9&>yigbVbpzx+pxYY!i~|L(GJ zB_hY^wICv046V8!K8|RP6s-g0L5u;}cV90)Z-Una0!es5$O#;F)qrI4KA(v$%cC9j z>z>`Ug4}F zzzM=|+7Rh?cf8LEnm#`88aP3r9Gz>y!t3%~?|CwT$f0SC!d*}RSsNzW@3#)R^7?-h z;Fhx~55{&&fuvW!-55Y=4^pEN7#S3>(}2SSs#Ax}K~izglSnJ=hKbx$_@~k<0Va}p zVZKm}PW{te1?+P8bwZVP4y?C}4X(?pyN~7=vBQ&i8kT(ZlQk6D!zohcvO(4|xVZ#_ z)kfneyfE3`D$oe+^mmGV8&Y-32OQB*z!*Kpllw4RIUtp$R2?M~IZf%4G>!1-gLGsvzI8VUhh#`h#B;q?3WF$P3i|d${WgmyBTi_b4?a;Xd ze37)kg_y>a5Xy7N1R%U%Y`j>E=ql-vphC;`o8$a-n15jRokkVZKiJ_h z4ugX%qio)tOZ>Yk0EQNMq0HoD57c!xDbeAs&-9{@Oc?be+CCaw;ydMPn@?z-CMu1b z0Itz%i7G#fGCqd_$j&dIVS0B!=}WG*G@HG3x0lAIL|0sl@Z((9pB@+luSp=E{=sHG zqjr%$;`&mkqLQosGy^!cj;l=QGxt2*7KRVxWhEFp12$+rgv^!3z@CF-hnenv2G0ly zYULK?LEHe|dM*<`DsBk?8j@(83ZzKv)l694 z7yi}f0xI9I=Aqp*yg@NPWEXaFpH z(>{tAWfFnm)#j(eOfcL%1pM1}LA}PGz*{~+Cq#mnkPgd*C;#Q$mh8m};9aqD>|fjZ zr}$5BpcBma>}9jFc(aDjw#hhJB)%_^Yh`6i83NOAPW)_q7R|^t1=|MunyR7^v`}D^ z#K3EgPID5yNJ_PbD8~qWzY*UGF0t2}{w@h*SPE2TH`U$#^?UL5Fyob4YNI+lFlHTrNPdH9b zfl+kXz-JnUOSC%#_$PPLEHr^T?DnbmF6ey`xNjzpb>b;&hq5V{4f<{Z0lcbbmsf$H zy{bMVT?V8|{sNkFh^)%vWIg2wX56Qmdt?YX_8twiD2gTZ$=ou{^}i0pJ45o;5y>k5 z-FMQ@z9YPPDU#2T1%v)kjv-ve*4nCpHHXKtmv?+8nI^zR>x0oo6JtdQEe06~FtwmJ zW0l>=p>Tysl$a*`s4iTyi^ntmZ^>tAa3EIP*|;LeGt4KAk|+eRN#kz7PnP#ndn>9T zLxJXp5#u3t^T92XVAF=>{tf!J+zKchw>3nTPm6{GSKr1(PVw&Q_R<6dM2g<8Qcj}A z3KEduKTG$fLi7SaGkBclClNZ?Kwy^`6YQ!X{bxZph)Xlk1$B5%zfp!Ru9UR7f51I$}b!n>d<#gfWoxEFS$rx!mfin&5T0w&Cqr3*3t2oYQa+%7i zHd;1HXF2zgAt?&cJ{5uq$R*!(0-?aS1l1w}W)E+D0xtwyiYI4(Eju%ggEVD)4qVc>WYyYK+0Cz$Yewv+Zv zBf|k}PsZ!>e7=Hzz45Jv1pj5V%ko;;HvIbdV5u`r_!II!V19+ft zN%Ka?Jl5IdE!4U7rTC!(wrBGbPW}H6|Ci?@O94o5Rb@Y`{?hJNlujO<*Ww)y%)`fNs z3GEwNXxDE(oux5)!PoEMSez*jBl$E+!+Bf57EUwOG){9K2O_<8tFs*Bs5pF6wl>La~1 zc9?bEjm%lLFz^*7ydS-|xwc)s6>(Eju5rQLu3gOvaUybV9C7ge#a)jVol?E-ob5=< zJYLcv%U^wNBi*(A&B)~8>+MlWQY%l1X}{j>C;rMDd0}x=A^Rb7VfKW9mqn8IX3wLY z?`keG17}V&v$Fi_6e15245df5ryc)W>NGWj(3rfKbT`Gz0=56B^~3IF<+b|7u1q7d zBS&9l29EOGO; z>l4 zx%tp#vjJ`k|2VsUYt}^7+z*z^ouSJPP7+q{cVIZ~q9XoZO~>!nKmX$rn$Vz;R=w?I zlH`_O4gQ|@8#^QzLfZpNh!N=9U(e`kXh~d<-ud=rqeD01SYzh4!`TDs-bXK~R~#~= zn*U^;OU=6cq(b`b<@{UzR=zN4|ci*H(VUwfB@eR zvBplHLV0tyOiu`XI*l?X{n!@Hyg{pcicAIH(27z)t?yYI-m`T2D=xI)cKVq`?QMT` zwyo4XMfIK`IpR-j4L7avKeMUQ=8(_%r*9qLDYvk2@e__;Lwt2kI$qfE`=s_%%u^LR z+Xm$O^mA;D#|nE6UJmzMBu(-DSuw$9(BwZf*jrlGuB(q77*Xt8qx(aT8^`QNH%^Ri z4mrC1xV~!A zDbe~8=PVQVUcO<@w=S);GMxHDadi>*4Mh9G&vpldj>+HMm5B=O{nIwyMk-*JU`||v z5B`<)Gy5#P9<=)DI_#d}p$2ipO#BZ9?-5hsv-U0At*$RNEw`2=cC%|7VriPWG@EwX z9PLGuI5M2kDLlI6uE@3XA2ssDKJN4PDrt~GxJGa%8T|Q?iwlW9Vk6g@<(BIR={p=< zKdDS@X%lJTbXE|C#bFb7gZj7ymS2R*>eE=LsVjP#dY^`ImB&@I)5gCQANX8$m9lUt z@Ikr~kNx&rKq6x3WZK8=oZBX@9WpjB>*m4hj_3c}`@Y}s?w8Di0qe1B z^9Ht}_tR7>G+zAHO-gl44YHb)|K6hT*tJM8^hk3N7iV+r`A0?0{y;_Bqi`Jey4jM= zl0gsEOuj_>c2e1WcrRwB5Bk~3M^0-s&+}TGaM{uysBN2L9mNs$Xw`s&0ZP1hmQ)|I z1Zg1qntagB_lz#iOnuzDG}iR#ai8~uxAz{m={jMMLnpB=1!Hxl4`*caw7Or19XvdH z{0H&Ts}@6J;Uq1~oim0lp^Ai~Owv^suN`FVtn(Ru*^dv{u3Kgl?h2mQC78`Dc_v+% zvHGeTYcO>`$?Cg_p4uaM_WJQN*{>V@`tL`cn|F;alHhn$A9IQ|=+7+N9rW8fN$Fwe zP{^6=cfnCwd8IXFGqxWOcLuA59RF0X^9k1fAtnlw$QF6>^S;8=@v(b>YO*+{zB z=^=-jvKfsJTf{OkuX<@y659?2Ir!DN?Z5^g z^)26@c>Id6&Eu8p|nYTBt7Y0dIR z-1+7B+#7L>nk*!)9+6n-wUO*TpM5$HPI35Ro;QsxbkzEAd%C^$O5R~Jmmov3ne6#I zyPsIJvsrsVPY%!^6JW8}n7 zmnKR)1|A323$rYLm}ivX6D<=`9!?w1-CaP5^Q5*=)cx5R=ZxFlt=WB8-kbD8)=MVY zfp*!KSVTL%7PQAEI6JJiZ_CK7vf_OfB;SGT)4jI;6WawO`kNdiXNx7Ti?Po*xXuRm z9qxg-)RKFnyub7#w}X+d)45?X(J-y&#W|%~?iX&8CD=?|x&NLp_IL_URbyT9=SMI4 zP`-OVL$j3h7*2xyDu4R@ ziPRo$Q(HE1fE?Mc{y{I4vz_6yvQ6GMXaP1_c6Q!Bk21IH@0hN80;wbZhuUe>^rin~ zoQJuxvv?|6uD5fHD_F*+-!+cnJLt}LP>bi%F`i2$!K>gap!~)CCU_LQK)rI7dKVd& zwTxZ$tk{>@bEsXL8p+hKYG!;FLf#7(v(MYa^4_+H_#Uym&qWd6As$2=Nqm|4OB?fF zfh(olRpOF{PWpdpzftprC#d-c{sK?nm&M5;J!4!qwK>OR<9G59Up~WEk8?i6ITr@+ z80TFC?zX`dh0C&V=c!rVs0rn4#qr*-c!hi!?z+KcyR$!EMb9+!5^xy?=gKLCcEv_> zd7c&vafWyG3>z^|qKA2!!te9IzX5;T1OK#Zaptiehn}@J^>=IiV(>S0oh{&ZD&LKG zC2>n|M;_MF3g7lT_+%}u@ovkbSNuNsw|MZkgV+DQ65C^~1KI`;Ib!OFw%&s_8f~oQ zdiO}B#-s3$(|makNoSsg+&iG%EtDwCGKX6r2%(4DXd}_a z+h`-uCfI1fXc0DA5Zc!^8j_yP7{x`44B&Z_}@lJX6S4|woM9an3NCqX^(U$ybM z_$6-;{VHdU-2x?!6Uxh+E8j_ajwNU*IQsga31F)JlF)>3pN9Hfp3F5z?R^4uobusYz=M!eZWm%J8%Qo0bB=m0^`8W;2Q7) zFb4Dm>$9+f%-;x11RH}1U^8$p*aqARwgoqXb-}~n1M>5e4>hTh(`X>td5tj!VY55ATeG)w?#(4$HK2`PBSNsSP!PeOU}I&G zMl3`SA^{5_ors2j2qHoR6|_oYlPaL^KiRvz^)8Ts*}Zx5`@fm@v6rGw>L#M5#f2_@ zQHrN>rnTozi$ImME&YNDEZ0{$XZcn}1fZyaXR8sOymDp?mSLU{<~>i_p0sDR>(9s< zQ5$tIc<H14r*y8F zXkP_RHb$@;gA+ZRQX*%5`#WSP2Rv4sCAz4eZJ+gXQ-j0&8<(|lVI^}qYZbCJ*=VK} z=1QIG-}ptNp(YR+w}6c2Tsx535uR1jc_}@e%R~ikqD{W++U19*p)F@}7r56Pw6#m`6YetdwbhbO%FLV27IZ41wXohG=V-KJ#8=DX=J zUiF43DgrDjFW3RYb`;P4fx6tIsEp_Ovzog}a<+5WG-J^4_R1E%n!3Cj;}05se*D+V zY97J!JsfdKINGt4Wqji?WIE~Zmp8Af`LL7f@QsFl{b_L(d^^ud@nE1fZpK5z%|OnB z^ad>D?lT3RoUGtcUUn#GmY3FJan4x2EGknwoe5+y57dldb4HmTU>h7W&mWbEHjXFh z1S1!ZFSbBE65@8Y=+AOS=7gW)5iPga|p5m8nf?mWpM` zTMnd_Ga#B;TB4bfLx7T^l7a$)$Z(JE_uk*{-skr(+=u5m=j`X~v(DaYug_Yawf14t znl)=G)z$*X7~pppIG+o?5fh4t++l5Nx!=-y$Klw}@GCoPY;AVzx3jXgv9j53ZM(xK z_(oi4Q1FhEmx97}Snu#TvBNj`@{WJ5gx&<6lqx9$V%DrV+W+5a&G7#;*R22XKkbCI ze+Zzlvjd}Y%)Xd2XYSlLIez!>@z?4FU5|Gk-xYK?SiSzrx}9G(f_7fXvwnNv*qyJt zJ@2UJ?%nxYLc{)Q%I-l91=_Cp*)9T2L!uA!kPNkHHC?no<~6Ja(Y%D z2$x~25RioybD}KaIy;Q8SvdK|u)e2_*>G+$Z1T-F`CE7k?cHLuv>IZW!;`-yRYmI~fax8+ldAAxVJM^`5TYZg3M04$$hvMeGnbV0vf zJkgjdA81_eVCQ!R{d%`J6~sF`1B?tg&=JF1<~;XLmj9p+)vrEKMMDAdBPZ?ZZ}jBb z*%y6tAJ}45-pgMY1MUaFF332u-&okH5Vk!2n}y|zF2PekqWlbPqIwx~f%6V^?yM}H zog&e_zJ<(vqEpFd_Ztc2ixsK)j5ao8-foD4u`Vw z?_lNhsW;2#6GM3u$QjnUO45YP@9gTzSHN}Z7i)Uu%~D^Tj9K`wY=IOZm4_`{kV|pV z@=?f?5J6$a<>mPjmLyeKQ0HDG?70{5JO281Jp8NtBVFDsK0>TZ{*YX`ooPYsokY7G zX>!On3#|;E$?WMs@5xS?(EtAr|LH(3e~B+v8nEEI&fxw7*LDHa!dZaSuMJSEd27J{4Lg^40s1YdEx^P4+nFmdAAKA9F@ zCyf|j@X6ATu)MI`GU1jdU82#Hu>p}s8YZ4Dg>Ah&_gI*7NN%?!jH+iIp6WFyX*haK zuP65zdY$-0kL-tU3P!J?qqfF@>L!oqlQSgcZF;J(;bqb>m!$Lr8jMFtcvlM7=X(Z7 z49KMW6a7mBH84A4?rX+e5{Sl2MQ8Jdm9SO{@a{1&&P2ldj0v8rye+FO!K~Nj4XewJ zGgR-))!1N1rdg`VhMC}V`Js^vUZ7-@Oka;m$x=O^TsE9St%+V2)_TBaMBO8UcNaBN(Z$P8f8Q8wi%6O?(lnXNIl-~syYeXO$>V_eYl~&{ zkxm_PeBbrQGDRF>h7b0am$*H~ZsPkl$(A*~&HkQz^6|LZYr|(SO(cc-MjP|n&F0NQ z%a&!|pycD0@%zRmF#R1ol@Z@mv{k06biqsBGEi;>-ylvdl9}T$qciX$&W_VBo^Ow^ zn2?)ql1DxzY~~XK&-P*T_{^{12!k@vA^OlVXD!A$>YT=?eZKSEV0MP-H`DNZy;Rvo zR;|656$JiC^q`oCWL#Vj>~T-*?VuMS)b7fu*}>}T9)0rhSy!cB%oN{?e#tLy>JOBr z=#40cQTS1*@walkxF_^w8?%!f4Jg-~ZCo#k-(ym46g@k-C1iMF=IbH>gjSc#wJ4|OH zm~-}FdQ6GYY>Ue@_2%4c2IW?(8go5%Wqhb=XH}qWKTL}s(hwKn6%MXdC=a&2P?-Oa zQ#VHX+!&ITR z<2((B!ETgFDJPe^Y$&~c2$~A$cIR}GN^*R6mOTAxQM&vjziBFHDPII1-&U`^QYp%f zBL*#BEqjtCL)F7I(}e2^J$>)8;_`Ad#}N6OxRD@fAh!x6JyL;6&}XSOM>}gJ=a+z) zopVdq!dnRJ&Iq`Rld7~XaxA+I=M#W9ZYkV7hVqJL=2d+KZ{pWCMJKrmiXZ9MYmUbx z^sOv#*R=$`qB#dho&-n+@5=j15OWh41#Fr&M4O?DvDYIl%_yeIBK71pvw^mo_ts)7ZSmV_e-r-4K1^Pp_YwN8 zm)H%6?F|U(RizZq;;Ju2VO?vpDLLdgb#eJvG(+R&Xcy$YeZlkHqET*ywj@wwXKgk9 zOrsu68xYvG+tK$-n>L_{chJ*MrZWme2h@>tN-aY}OMsr7{q=3IJQ`*-jcY*CU(x2Y z1ikGzuU9nHe(<9{Oe(rIUABoorzNKJQBO?kwT3CdeiGkX71(7b0t(e06DMZ;OBhU( zc~WT_j(0GI*(@SdkLh!86UFxv>C`S6q92d#vpNbt_)@+-c`_XhLJ?Y%lk(flI$L(< zm;co_M6)Pes?y=t1|&82(H`AflHHeYy_ZnuCO>*u?kpbE5=Zotiu&X!MATud;ol0v zIScP***Ypk*(-_N790NV0lln>pmvd@ELEV=iuy_05{}d<51UthX{%`ssZO?mwK-x% zl~8<4SC(_XWE-FGE4p--nWaX{M$>~8s_@5*+;O_8NX$iOx9mejr)s{b@o`M}*V?y% ziHYT_rO6bw9=3DGzoc7FTYZQ-RkJA-pDL^AtGW?!t|CZY83=f6N#`_4T}npTW=ilJi9sK$cF!jp1EY%KH;R7x+WgC%Bomp2HuLvICGXw*j5cs4^Ap}s^5|Lq z?;BmMm00NA39uo5v_a(j3bSL0OwvZ3NsYflf58Z37zKH`n6_IK+rLVfR~KKkEN1r6 zH?3@>EO1A)TL8zGid7;RPkkCtja=}!H?d_azqv7CYi%<9csRXSqz*#rs*Tp`6_BF| zPq849B{`{GwWH9%>W+V*)!)%D9sWv#1O9JJB!k_Q(0d!cr6Jq?N-dKWVII}=b^b*% zJKKPFDPJ}D>`2j!-y}pgdp*jGMc0Jv;A(!uE*34I9QzS^FF9cT;?Qg6@)7g%N!Xin z(lwOKG}%ci&AlJ4-%y0R61zo`szg#i!%{WVu}>Jj?JFjt9SNLPPCQkIN~Wk6K2(#o z=tWe=&HY{Sxn^ZNBT9W*2MA_p;+Ah;;?S(~k7oRW*QvPzHa4V#@4K_2Xjjv-_20ie8l}m!wB?T*}BvjX%LvWf*Fs;>Fidm&dY?(sxe# zsY@UBxE|@O?VE!9arI7O4HACjeNdmgwT6EJ@&0`>Bex(E|20GwvIH{pkHJVz50CP7f=dxbM8Mo zw?_ymjE@TSbGwLZU`Aw8I--z4Z=-6qII^F7`%X0v*KeTtA0XbneI0~FFbsB!;<@_( zw_LpT(5RsE`Mks1kd6D4rHFZlWg`f?6Y@85%SuTvX1)03dQ7KWTO6AzTesmepo0D4 zr9)O%C3?C;>yZ@jKbZ4}APyzz^`ybJPt`h^$#qvw5=~Hksdx>! zBiXDi-$aDu8iQb4WXY*`O}QNz;FE5s;1%D4{LIwio#&?OHOAp?klATZ23)E4{XV|8 zr-%iTmT|pCxtKgoQGs=QWUpzcfwOTFmhBQsXB`;>auHX ztYac+3YGi`HPQ~=AknV><=pCKigIcLALDv)Pl3?plHOKo{M@WM$vz5<(Gz`6_x2S9 zf`}@rc=(p{*OPRpRgu$fN>a_LKvOaTgo@Ggju&xTSq*5&TD1Qwn)Z0TiRcUW#VTnn z(xk>bV4q~Ii7))|bNg04bu?N_dZzQ`oE6Sls@S^o5cDTMVW|xh#Xw^mwb6mwG{rRT zArMUu9h-_(1V3Z2$oOMiGr@rCQkzDs;|*_@8i1q+r&p8+vp~n&SRIExbOq>MvT1v~Mga9+UDp1*Bqf<@%)OZ@&$hHoeBj}e-wFwSZe_E|;!}|0bCXE(mv{qUum9myc*fVs`b$wk;D<21wcH zj1p`Fa^z!Pv)AZwFDIPttB>_egY{hZy=^z<+=YwY%mNVK0&}(pwKQgF4<#B9BN+Im z=tWD^i_dN=J^S@?X1|J`B*Yorsa%a3@{L%FAh5`dYoP$8p`KyX=`KV0+!1B3`YRO5 z%@62OSYSC-O&nA~=N14Cj{zz6X1q{FJ1Lt@u!=2{!(>_g6^!MU`9$h^WT0EfHo5Y( z>$@fOWLBV9;rRUNc=WQCwDS-?X>qF7LR_B)6AH30S?Kl>A;-!*l`TFk`~F;JAW}); z)espx!cH5l|ytJD;v8nV(8 zK1v^kzyE5rUOYD@BkmOA6@|=@AW?obR4{bQ>KOL|m!be&Thu|?D;Y>9+M&>l_;hs8 z$ACc5SUM3B(B&42f=-`zG9w$bg{TnMvd_C@F~CY*A8(^+fM;vNSxbsqrL2IuG`47a zS7{9Sff9YK44G=5M%tPXugCJ@`d&^3v0x2FLepWGrb3(ByHq2k@Ru5_IML6$R$we;HIwDWn|saD)ntbmqfOC7d&%-=Q@13y z+#9qlUz#W?1O!zkaxq*v$vMO|Riqy65wDdSjWfbA{V})AME5C;F5CIwEg^*VKIn5U zLKEXaUiC{2y}?M&+mrCl7*o-|&$L<5QBk@$ao@xbbTXpPJE%C?rMYCwmIgY$xs9`a ztgiI(vOJMRfA2=h07a6?aTP)zA?P-dMu4BFSVt#!m81395$<{_5UfrK%b- z>f6PwfGvg1vGY}>-d`<>(brUz=Hc;hB@VcOgx-^quQXqugc1FdvJ!2xhGzAWS{ubu zCgRxG6mE=`7|350F<%6u0F6tRIf)8D5Pg~%Iiod6Wj=>@WE`imx^&H3&^Ovx=S25X zR+y3quC|tVoC^>h1)2q!lNzsqGNmGn#se?AGRKcM7_y+XOjG6|yq~k`YNUs*PsX(h zU^!V&04f#))CV;<8v#e=P)F9x6s@9|7USr`h3INRa#}}~A)gH?;56{o<>>@S*;8ht ziky51|InedPwK*`f(ej;KDbW+Sp6wyFZH7-F*@{edc2(p%D zGWEe1Yq%z4*?vH5+4pROW64$*sl>Ohr_# zhPEUrVX{@A{$j9s9n2!im2*`BcnA=d!#JxYQB8QGB;Q1gOqDCaP05%Pd@h63M!lN| zinX!(<1j_X^jly5Sc0KtJ%Muff<=exu~XdsTTD#V)+d4^i6U@?)qGd`buL{|*wucJ zK{_g4A92l0f&(BziAmxHryzQ32Al1ApsJ7;jSKg=ZytG9rH9R)&Q5ZgvH&3ypLqwP zh&jo7;9ywtY{(~MsYD$M?Gg#vKVk<{)<*Yq3RH3hVq1?fSX}Rzcg<{^B8lCoZX^vZiZHit%TO$TBqa-1>O3^oWOJwPk zQ`{9T$u*HHs49pVn8I#0te^!k;#Fl;qnMoAY+KuCDF2qGTA}|BWgso8|0LF;y+|F6 z3n&UE8D^*udQEk`y$>8w5Oxi&7O~j1N6Mf8%LuTVB#bw~t}IxsoPvu6K~hI<3JASj za$kwG2JOdKX-cq6hd=Mryd_kV=ujzo?N*>MaK2>J zyG4UsA_%gM<~(KmU{gZ`Jka*%(qI_NHVsA%t|I_tC>@8#I@_?<=OrH-T9?&byST-N ztTcIB?o-}IyF8_-VOJEIW3EW*oWGJyQikU786Wsw30gRGKDTs|R;$`~=LCpB_!>GUu#?yjjbv6s!=evFkEXSnd z4H`(6QV`KAz)C7tLshm)Wg;_FRyx|TIYc_BM=bPo6W4DtuQ11-nXU8+O#k1VoAmS!T`Lq1@-mR73o8E(*9JrD+s|tMmmE463 zR9AVcSqmm1LL>pkcU})-{}Qi>En;XeYPDK+qOLNCPy;gb*mV6Jl3oLc(#eh263;OZ z#tA;6|B2OKXIOSo?2zQnK4ERKdrA2XvCEq*c~KuptAP%VzeC!#dP?!W$L^>FVl}|1 z!!@w_?0dZZ4IOWV3{)XSRca9F@+VU^+$v4@36|abRM$dq5K)W%B;Oq=~F?VJyShsXF!5v`On}}w(1`6;TNKJICibR|)GZ%eKVF!eZA>1&4X5e)gc3ym1IoQ-! znLrD%!^ZRjg_CCsyzzX~1gPj%t2DWPmFo+;z5rA|RhR*P%J6Nas1arrJ(POBQlrjnfjnFTRR?T9yeP#M_mS?wu)@2^un7jyAUBikeC4Y=f!6? zDcoW@H1g6m*;*^PJ!)~f#~9V!PZ?Q0Ll|tXN$4(>8%-y>l!qosHL!#I@;t7eHqm_X zRQUhm*tE4p5%kz`sEX_^yB;9aT9C60y0J)wi_mIuLR|tp$-i4srvNANVW)(hP605d zDC8I+)a5o@)j-KXhME@SBLizJy2|y|YT1B-rBc&?-Gp!BU{jH9R5GHb?ok%l0s)fm zC)cNvu#C}Gx+gbc9APTT=28_xs^9;5@uZ-*ul|;|J2#K}7DO})z=oamM>X?{bgYaM z3`FTlbVb?a)cV^w8e?#`Ic3bwsH?Z7$p{e-1WwKacE9KV@>0R;z)u*e?bu`74cz`! z0|qLZYGZKU`ZVZcuH!b@$<$TMMaiB1HIk&XN(E;D`kU?@A|ilj$`Bhez;;h?Be|PE zRU9RQVuooe!kzp7UqqYD%ax1n3B(xRV@%iS@dXIpndT@ zS<_F|ETV11$yDKOxM9pJ;pbpEWzRAauZ~Qf|CHU%DkZrry`%xTH}I16Y%tKH8|cw? zo^Xt(rz)jY{a2g>=zK6&O$!CD0vCG*Km$@_EhWB1LshF37qSXUdfyB_MF?u)qBl-b z+bAbQ0h&-FGU67tm{H&6tv*JIY}$mrz>qodOhkJ@SfKW3EZU!tqePA08m}g~K&6e2 zcd63447=uUf%CbI+UW4F?g>ZrBbhB+nGG{QsGAK!VxW@ke77#hr&zG$UnLS4eI*sT z4!VmBP6Zb;f*7hQXd*kqZ9GF6(kEzVa*t?1yxTNSaB&RP-69^Bph%|-uBf9(t=Jd= zM3rtjZmUbPBX|9WV(u?()UKA;RPR*4)@Ha1L zTrmiu2eT)$+QUpmIIgF*Bqjksjso#`Dh434WWBa>Q_t^#)@=eL{fPS_5!B=xDtfHM>D9ZvfpxJ0DP69%5p&XLJRqAjLA`6!GfjvUwJFip z$9c~4^W+Vn<{8^^sH={w-_(BF6`+yhkoV< z)L(-q*i5fH-yXL|+@&BCp0c{S4fa?+W3CUX#YfAX08jzLVsGNAYD+GQj8il}9fO^4 zYvX7J(7i(?2SiyZ!b2gFJ)-0kID2FeKv@*MD(sps_V4DVS0uCP$p{&+T?!-|P215& z8OC=#fx)>%VW7)Oq6iT7{{Y`(27!^LEm@6wE3(F1SwE-in!gA=ohEx;B9w%S&VuB? z_Na+yncJh?0!ICn3Z#u#hA|gd3{BD=vTEy!u}Fu@QxHn`KSxhLoX$v@rht%i&DGMs zM3tOm+CVH{{;C94=j1YuFkH1!|KF&G$N+RRg zooD_)uO2v2K%OZ%Ll0quM=mL1RMCtjCEzN%SiW|GiBr$}_8`J7Qs zn;@B9?0c=qYUbTCjIXRmyg1;)8(_>`T1P%1Nq112JA^C72-nScZDM z6p-f0k(>E$4)WX6$0Mf6L7ar$jjlbLz zJZC0aur^>8=Ma6kqftc+4+0PQtI6C`@rv@jWa@4CGe#~0`l-+%j2y9z2JTpn=v#3p ze+A#R@*ehoATh@=+AcL;mt}g0!>mX{!~Ps86fk16P%)w|5K&oDszgN$q4Z-W!Z*}A za7rPhUvxFGWn{DcQMnf|NZ^x-6WlWH7El#k9qCGbL562JM$gt4n)j}hI^dKE97^6a zt`kyGZ-%;&%C>(2JHfT%fZ|#aL!d19g+%GB^i-(0Pe{!Z4)@7 z7KL=5enp6RlpIYz&h^)VtRfF0o+G``g5byq4^iO;(oQnv797h6A>%!{00-6j4}oY) zNw*Rdj804yrfDAI0*q6;MG2+_6iK+ZgsU|YlqCoq%}_6IYiI)UzSq>cW_9%c@eRVp zmiSLP@{0-hyJoVm@et*CCTV*W-R$gFvdo?xE1ft1qi7&YIlqKCmjlq@ZB{lPGYzLxNFozpLy-;B5cp`;Hr+h z?G_#3wrfi^B{VBx)X~>~*XxT?Hu*|&+6B$M_rG2C~N2g4e$LG9VPO*$p$v zq|bJl8{_*iI8mdzw#6l?GDJ|N;=Ap$P1a0q{cAx5EXYodoha94A$EEVMl9gh$`3|` zn#&IQ!E_sjY^4{taE1I%epsy}hH-(yXSZV32W%biy^UZI-u^)K>3O7VA^OTn7+q@zLJ}{niZW;;Z2&qW zJ}vtG*BRimKxY!D2d-2l@PI24cdS19WRjvtMV8@}<>sq*_x4~_fV-qEk|(Witdk@1 zSgNa?RUuZZo%1IfS49E*7X(PAh9t(VzUW0eboE8{7ZcB|HvL1blJWf+(YlATIx3QdWDa<*G|rr)11Kw5Tl6Bu zJxE+;PFWYgE$oOK9&RzjY2-iZYllYKLzKKu-+-B`9uD zp|^V;&Ivqe5gKUhW#qS*=zp%!!fXR5YYR0X_{2V8Vf|I#ZS1|g!W`F-M_1`?n`0vLXZxSZCrVc~S1l`JmJ4t#;eIiLJEu6&d#?V{KD|ge`mg6c zZ6W3K9&{KUqkDojgt*W(tHUhaSdfD$J25%7q4zOKb!V@Ull%=Ke5qxm$M~4PLEYVcl3B1PC*A1*d*#~cmHci`X7Z=^pT(E-aGUDU&ki?MmNyEHnBjcQ zXEUyPJSl`#|NG~xiLRRUXWR#ekDAAtn+GyOS7wt=cGZS2TqB(_3;`$msE zyn_6AJlN{!>M_aP!-impz~WHguCtL{qpUr)gsD1A^?7dMmaJYYXSK&3cw&F_`N?9= z@uR_)BMLn5KV!|uu%YHXot1&!ZV;!bf5V+kj0X^%H6s$;ftT54aUa`k-`Ry_fXOMJs}K;ML-xucYqVLT9qx`v9|pxilGx)6u>T`K-_9=*S(Os??DA?aywBxrXhpuOJ7@d40bg!D2%_j+I*nAp;esU49gYZtLlsbK&p*qlA;E zw{-q(P&Qgfv`ftOym+~qfw#uj%%|?=`4*03w;M?>T?@yA&hancb)S6yd&nC64XU;s zR~;TO8W0^9)YL!2e(YOl^z6L(G!F$v0f}D;-pVv?YPeafV8$gK_yZnc?Wmfdk zHc`>I*Q|Xlu$7_&xCtc!`kiBz6uHvx=p|pfb`5Js6_H%*9 ziOxYyCoD{Fruo$}j#{nfh)FC5_gIJ}AP>5stcG6D0-M}d zdjpPyq#s7OJb2h@73XkC9~Y&gH6%jBCtW#45P@Ev5&wUs&3}-@?k5DB7p}@ z{`aBpTOP@VN=PX-c&MWf4|e)B$#ME@I5L9d^F^q})~D7Pb|2VL5hO9ciBXEn;x(>~ zb6QUEkky_Y0iTKU`dumw2)4J~TR@r}B;L63ni@lJs@oU%+zP}45PdxkT7E@&P^u#VguiiwwZ2^pGeDDjKcdpBUP<3`QW%82Y zKp525rQUY$7GLOeLK5mVHST-jr^hn~>w|NLYo^X$iH0yl=FhSf^Y_PI8Rq*xq%LqT zm-Tz$Z;Y~>90(0_F`CX&?Q0)2fA##J&1mk#C;w{;D9!=D1J7jbIrO-r6y3K_>+>3X z>uRM(;{^6U!9Q08$7bJa5FeWPtD8XURrB_lYAn)|*xueJw-7-MW(F9eN~+{>AB#IGQ9SE0w{rpXIhv__fY;wa@)honULLn zKV;iLuNTH9v7^=$nEKMvZhS(g+GBHcM0`hW(rc1p)VE`3qPVIzkxH?$qhM3(xFq1`JsTmu)cmxA@Vu@WKSbP%V%g{G-bX$2~e1y>AdV zHZ(Za$qLAGtw*wtA`P&-A{e!9D|X=7b?4-W#Sy&!{^VJHlgW>T>m~zbUKq<|_-Feo zm#a7C^cp&C_^P$ruW;7hQ)3q)o8YwJ{Qp*1MK9hcQd+lj5{8i0?E#$a*-SudbO&k1 zwI2wqbp(T-^|_pW-8z}Y*L&hivbgxJt)^oD+aj)10VW)0=mnE5z1i^vc*ej@9MUHl zK(7ohmv3j+e|+0MI|%Hp_`R-2``ZG7rBi%F$thAY~2Q0X>)FHO;Ou^Y}GW%4^M|q)!EIium<)4FP*g}%HGQGyIU?>(Dh=Uy-e&GRi zl3M&8q-7Gsd{UsWzlt4uf6<-$R`ozt zqxei{Hd{5i#;@pwbF$mB-kjV^U}tIC{vt3bBVwGtSk3Ho^RkNU($G~~n3lX_9yJ6V zyObB`KHFfesYziy?vHMNJcIoQkZXjMUfeuM>cCkCmr=*W<3)SC0I8#n1hJp=z1<%R zoG-K-jJ|U)R@}H>&_4Tqix*rI@NyS{7&D{bGi;E$`&`d=R|)pW@RG;3LQKS>V6Q*p39XZjR|>joL%IO+p&!`to1Gn};0=9aai?K9x? z(B^Qpr2ZM_wgV9Qo$B*8i-KfU1thnjiHgmSBfM@hbrg>l% z7%g3+GS3Ycs$`y+ltdHDuZ_k)CdL(EFHQg|NBP(3|O5QDP9VEQ;^v zz3}Wcf+MOwqi`6tGIXCXsCHF+`fP?JAiknc)5wTW_4c&1L=YaxF*>T_SA=_L?HTlJ zBz7CaL=Oiey$y_S^@>3fRL(T>33PiWLND$*b^rPC`ld@;q1UMk(}*Z!7C3@FdI?zh zQxC6GkFUy&LNyqF55uhBx-y6rVY27t;HOn_(f7;2pD6eE*Ym%(9>d4o!|Kggc**^5 zF2Z&B7u*t!12bn){myHcamkQ9vl+ANPP5czKF$w6%K5ObdEeq&kdGh!7->z}%6_qH zv+U{Zi9%TZEaYqTt;{{PGHVavrTKJ?C~@W`_UR$rAYW45Cx>%a*O3j&?5;Wr*v2q#*FJWpY%2?Y<5$M1S*D~T7JO7P zat!{@!<*+`%Pptz@kqlbcAtNY$>Wi)-@vft<`t*!!ts^AgO4xloe|%A#eZ{#=>;0U!%9M`T9=gb_Yu4O1(t=`jKu)}I}+UFRt` z^APefN=T~LTDFG!bn}xQxjD-_-dpWy-Vj$m5JuSQaRLa{4YZuz^&(u$<0|*fl%loz zzR*3zL3{Ii8>)DlbBvkKM{Bx)3?bYmC*w;(Bomjs8D|IuXYu1CF6Q4y7kJS)s(_G< z6}D}NM*cDb!m;hwu4R+uk84Wz3In7iY~F@PMB}FAltih`&%CEu_fY(;@*~|Ft|0R! zPZk>18$4=!8Vc`zz;+R=T~;e74jFE6iZQdvx1O8hPkttO%M{A=&QDpnm%pU`H0=10 zKfrD}_S|bf$P;6Pw4knQP4L$LXIXjLbWIlf*`=;5yI%MO<}ukTY9jp4o6lW!oXB8) zHIK2G6{ABN$u=OzMMIi$-aR9wTCcdfOyq?p1}}_?3bTLmua{TFJbm7b^qneYqh{do z5Tt8awEvS(Rn~xd)<}6!_X{sPauD{$#0!3bFv2pwS{c-{SRG7p=RKl{#|eA3vok7t zHs+uzz>o*1KjM%c8}T*x**~?rz|Fm(Cy#=YGwL+FuvC_UGqib`v%ny;ZL3le8$U{Nb=~Kg^a(`{U6-}^wvj?+Y zF1t9~Fck;0T#HlaKIcamA!_VJ?3+1r= zznuM5c#xVwg01`Kn)DApqAv?S8y_H4`Q+?^@4WBR^<(8(o||Cy;05D^a|OIaU9f;9 zev~s``4&p*2np)0fC&o3wKjj;sldhjKgpWq8eO3!< zjX7tv&E76XcELON1Qv+(ZC_g0e1)MW=jPl=kPK1>W2y_J9KZ3iMDE1 z21zdSo%E`<&m_C`(nlF~c;-FaxpGX^Y z{F0COoisE!P5Zh$y}jh}a5@I>gbnKVc`D7D@bbcFowT-iCDFl=a$SZ|2fO3r#7UpS z0tV9wfB0P`EGwbo1HYG;&7}>-{#ONU3D+hiXRB=99Pfn(EM(Sa^X+;~0shEpb^{Jf zz<_wJtB2@EwBDTiUq%MAcEqB`><+XwWcxO8_;%Z2P=%Cv=eL?J_0g~D<~S3V1QpO& z@3~OD9KzB5kD?SW{7;><%U~w|$UPqv^ng0^dsinfr4PLDcbitxs+>6jb`;9_q#bc6HQ%)#D4H^se}&oep3-gcM`lWq=D z*6+c5`bk;I0OCY3X-ws*t=T8If#$+JvD^CEF;jD&r-&@s9u_{r-OgR;h>7rjT_EiD zvVx9w3IX3ak>79aWrZ2-jCUr?AG#2Jp(~H`7k;u}tv+W%=^pySlI$2+%tc+fVJ``S z%#p zDfSgu!?|bXAk6*qa82qj0 zOsHzt!JH@b!8-mG#bS?skpMoZ>J!HXh^o1J0~wOrn@J*_N3;n?tM70_zG9JV$Lzq0 z{nmJvgH#`NcF3$k`|xKAQ+$oD!hYgbHDqLhX}7AQ++`Wp7k@_(C!6dC-;%+8#(K%M zk**;Dxr??%Fd^t{-LrcWhe?9ABf&leE~J#Nr$1N}+;Ncm^y4$*w0yi-7;Pj$b!@%# zA}+s@rg(04l0T7u=X_NhQa47g{NWGkOts}U&9l0@hd65GFWZna7Cz zT_4k#6KDL-mIV#>TY6;%cJ;3m!dCh>&JO)_>7LO{Ey_N1d^1J=8O__`P!fsPA7)O7 zr-I^MuU-Y3JxFz(<`-RRIybEW5XM+c0cmW9e(hn*h2~FBU!S@xXj`7##FDQw``6=b z5f9;A{LYrs1!SrHFCP_$mtopw+}dtJuCHC@YlbznU2DnT#sqTZ2XTq3x;S1v5 zeVsGVo%keU10UJr0k+iSm)Tpd%hv8ec}PG@6F z>Lh$gjl$lT*>Z;s{=#o!d7L6T(}2&u&ql6v#I1T(#2!s!Ucc+ni2UYVa`>j{p94CY zcxr4*)xgoCz<*?We5lM5Q;3)^Ax#d|z1c}w42{4-vfWDLEk7Xil(u}}*hL|EFZZ|; zA-SO5I7ofl88-vjU_8W>e8z5VSu9W}$@N>53IG`>5U6p6~1nKH}S6c>UPQ zVYPF=X1vp8(ES&m4OOF8?zI$`CV&Z#qXUiDj`xA0#C*oA>~Y=8$1@R@5=FT=9+<)P z+Go)(+&PUf-d_AqYOqbw{H3r&uQPa9j?Ytk#*(Qo=iDV|vVL7QuUc3)(tiHqM(Q@&7CwX#G35=dkgR zrjv|z2kkjyeV~eo(c~w;iI+LaG>>2oRM+GjN-#1tn(n&pgFX@tY`b ztVbR%(gD+24xYxHmZ|nk#aMWZ5)CR`f;(4QKe#A#R+PA%JHNE;#bIcYrK@g1my=~L zWPhM<;Vp)#9&3mGuq2}5L-Bxjdgxcz`{;WE^(mnS;5)>c0XFgBFYguF%p7rJdGME! z%$B}59erQPKJD^Z3Fp?^KejT9IN7gDTYW#?#Wf8G(;j_GPD8&OfUEe(!&{-cYJy%9 zylmMk_o4arIZj0}UTY@S>VmXXKi31%gUpKPd0vjFGwq}7=LK*seY-fR`U*Md=((4D zjPRl{ZszB*N$}uBY(v~z2!TXgcdl!Ono77$g#KS7eS199@B4odLP$agAqh!QIhznV zIFyiNt0Xy-oW{2BmNQY3m}4lXiJWbta;h+fIZr5?VGbKMJN#as@9(c&9z5=s*L`1y z=k>g<`x<9EA58u0Z#{W!j}XEP+(hK*lo{Beyjp0+*3O;SQ~hL4?7B(n5xySC!>gX| z8`Iwy6sD`^4MhWlJBTXDYEGp=#hl6CZ33xX0e@*w_`)?B0XMgcgnPUP=h2JN)B5b& zKXeP~Uy+ER%-f^QM$BB2Q}|(}T`7j(6QB7%tzbywRqO$=A$mdLdU3Q(>vCRZ&T^M# zP#19}Gum2O?a|Zyl)*=+R{c2tuynt5nqg1hP1uE5@<2k#IR^9YnTc)`23;s zq-O!;fimR&r`|pvD>QZ3wRVULxAtcp@9IpF3;)X;Q0%;7TmkQR)J~K?(dRqGOmq-wj6X2^q8MN(w}cqIzH=^?LT1o z_f;W&WP~wyF2vVg7~C4q-qY(NL*msriVtB(FdMa( z4Fo|7S5g(xlN6o3$TD6gil7&WN5kT`u@dEb*jMiJG{=We8eZh$sY16|8Z8$_+*mnQ z(E41?B}Qn~4gG?Qo5J0kR$Eb^GZ zjb!?B=?tcs>>6abJ>uKSq;H%i5~$s{Ds{ta@tOtHi-w>|7nGc4DfZ-=)1dXTyouXg zxv-{fZ`-15+)#r1A`OZ{2r`*+J$qp`ZX0c^c;baR4+s8y>5q42(FI9sIx2HoA8RPuZWu%-E&s1xp)g@@aT z39ih4!v3^dFS#rn0a{&gihTWVsvX+B#>w85d5PWW|C7m$->agwFaDtuiV0sLEI)$8 z(JQ!3v;Kvzp6G%m&w7qi z>HrP6|7G}=YzG!|x{4I58@CaBR%aOp>#p0Owv8swH9m(TiGrXM&l?|`gWGR@RLdhn z!k9i6{I@xENF@9sptC6tD`x=BxxK=oTLMai#EPcV2*dY~*inHSP#Af5kw%QieqJq%yY3h9Y_of$6la$Ie9fh99#h_4RB_=`yoyD~DKAScY z+MS*Wre^rGrzX*IN(gP6`;Y%sn0{DZpgs8Yu9*_q*w~apEVw3fd@Slr&wshpv>nG~ z)P7cF2#=rRa;aCsSNEw-({QHS@UJ!=u8$%6I!XPfb^`1V&HiAcyTaqCA7n9eRMW{p z!{0({ZGz~%oXY>6teHrfjIeql&b{D3cd|-m+;5E`xjSav#BA>`cu*pETwWcuLi_3X z7V#26G-PKlNpijF=tzz+txe#rFp&J)p`|N!BZ#+E(U;9lI$3;V$++RzII#gbGt!xUV*r_s< z?iVdA^%WBSq)Z6N@fsnTS^#erznJ~=w>307NnM7pPt=GyeQ9v7#*A)ZwBr5?AkQcX zkO%06w%Uj9RJRQdA>4Tf(^-X`5NgO+FGTY_=Pa%5)q6Q|LUE22wOAt;{6+IKs;Wg_ zvi(wd)`EX+S%px~wo6B47U#H0l&4=?J@qYKufW33ne1Ju{2CaAf zAVK~zNXs)~KhPM1Uqaq64P!!SVw2I^pV0oF?(Ppmi;q$4+XGy`-Kd<|dm`HoGF{;v z0$k=dxAurp0S$cUuc-416kd60IV1GA;;)Yr#9-)?s+sfC28H3~=zD+Xo|{f*x!hW? zMPlR~E)Faak5nMuzVdCq^pO}A#8i8f9D0dKK!T}?&)Q!kGFw&8Or1~rdaR$h?P-`W zwyn4^wB7Wm{cbwVzLd}wdiqRmhL$hre+~m4DUMRl#LiXBNuZ`OvFXx_xFKs|vd%W) zi@!F__Tihey0wH`+C>P7@hLLVk|@R2rVUwE1SSKMI~qxavq-ohaG95z4DY}pH#yoy{V756eiIdR>0UvU9Xvz-6>Dd2lhB1$Yjw>3beo|1EI zGD6Eio#euzJ821H5A6-m1*Ix^TqHukfIUEJEaccR-q<08fC~?`R;?N?5Osgs*KOl| z;;}j$Q)Ce=KvrQyhR|?bR#Qub?Mo`ll_d{ZYIEF4r?-bgyeF2ca3s<5oLbTtH}9KO z`yQ3Qk_(CteE~(vd7|}J4^|Lb#j9A`b#`{#)35z%4Y^hZY$YX5{DoxUwXu+?WCrqR zOE+ry>z5(D@{W{kLZs`aqM#g^RZ)z}fIl4Nj%aw#4KVJREZpCTtmpoPm1G>cp67ri zWK>`bHeN*#*B2&vZ<{RS$}~2MQiiS(MbTMfRg2N?<<`pRg0b8jbr+Vd$%xZ>cty+3 zivH)O0I~M|?$3*-ZTqkXjRwf{-k4DFLqiy z42`Fl0KkW^7Y+nTw)0xJZ)a^N6iuvTue-e0Xy#15Kh;P?{s-=FDaamyhu{22Xm~P3 z70sYc**De#d?n+PcJ^sdA);q2=l=L6_qlWhy)2!`&IgCyK$)JHr?pX)IM+Y*u|kP) zC9J1EqW))E$FJMR)5A@(A0~UBt^Pq)e5GD)@=(t)r(@EjwA32)U9_bigiq93U?<*LBT@V;^@;JT4k zI~3=n49&#=4OUZ-pm_l$Oqx_)ho1~XV;AL%#EuK^v^L~pTbPhJf)Uy@!R$)K@};35 zrd(f@pU9b-QhGMcwB=`kVD<@OCX4)Rx0GeIj3Ur2j;58dF8xn38K$)TJ5xc}zNc4$ zNZ)eIhfCLFz!iT61~bDSS_~_GJ7w5UZjL9LZY-|S^z>o2w6-1NBWyANO&UU1qmHAe zu3xzcCEngm8|t#?ZvoT16=f3>mZ3ZL1heI9(5Ug-i#Gkyb$#P%)vVd`cG{lKS z^2=Q&O@L@wR3J`?-Fa3)fIUU)g}EQkSeH9ufjBd@pP#Y5O;XrBQ&*Zl6mwx#m&pTE zjwm6fZC)=f0mUSc^cil{!@Ew;s4@ zUD7k>+DK*ed>FKzRc73%27b-C`Ggt-$Lkqu@ss75|q^04;%Vhb~LtErB9c;61?qmiGM<*bL0VE5?AA z|5`k%$%kKpB6AeuK8yNN33n?oQT*tv&9rB4)5-hSm}R8UTUgBzR0^1?6`Dqy!axJb z@ir)r{xT9UPC&!cnOa8DHb8g{Alt#=RwVis(gFDA+Ogj>j@qCNfQNb5|LiR#>BA^2duQ zmJf&A>ihR<0|jVtNP#TKbWqs}Z zzK!~}m#aV~SffH0*`usc>uvY^dtU5n%Gas$c9fe)57SU+nroT>y{p^~bf|oD!|eDF z`9fMk`ZEwvwdk>3{NsMlcDYpl1}>0y{~LJ>!i@g+Y~qX^!fk_kz+=oD>;HWxi#vl0 z^5sUI>%*S^D~v>x&2!IIw{3I9#cHDNEd{d64YPkMMm~y$Q&@DSou~b`@o)|lW__D; zYGT(8B>i-;p&n289_P@|bUumpq~R<0BVq4u*PRMNV^(^geV2wIx;DG-pV)li_?Iwp zq-ENWLGiIg!@+0K<0-U(!(fkVu%ahW0QGNBS-Q2RxcZ(opZ^PA65JqYw=(*%HkH=r z965s%90V%-kMDdpak+JT`KJ;4s#!Pu0+&>R?3^gBo24x(X=VD1{% zK2QfiV~D<-*I6OrkwX1{zw!=kB?CfsBV90Wj+&@Rx5t8pWZWV{eOxQ z4#3v5;50pYR{~(9P~Q%%BE;KjQxmnyP3JhPz`xNi=7uAt5A9&KZ=aM6gmg9qGp}4o zYesD%2xPTyZH`S!91Pf`>bZa?HNothf{Ad*Nl#|9lf*J=Io#S|M}!k-$dxSy=_Rsi zh<7Wp7#_Dz6dD;KU_IuZpn2|xZGzXivp-$FVO#M-F}FkCl^elehyQr_`-_t;2+QTy zpda3m-2g=aOd9^E>@!e_K5O2Ol5BJt()5iUC)_ygi$aD!UHsEppf;CbI4V$3CHD3> zW&OMYkaNEgXB!fK|ULyVCLG$ID-VobYB{0j6QYmKAizm zMt9R502sf%5U=;m@=L8~R1cYMVCtVkd?=vLo+S1EGkscCkL|B}soX1p0k$df=!c?EvX#2Sasj1LbFS=@5*p`^fiIdOc?(T>g`v3~XwQLD9u& zIJW&_P}ut_xRme|8g$&=+wvpzC~X#rIY~DGh9mg3;3*3$LA32hYWnO`G-fK<-;WD) z*oldFXK)Vnq^vZBk!3j6IK||I<%&8C#_P{TV`IWz^l@0b4dOI3vUb&Y3`kd-B?lcX z9smyL`eIEE-Zxdz-gqUh%MO$t@v0%KpzuyTVBd~N>sk*(JmZ!%&^RYrN6EKOIm)G6 zpn3#9{Wl01`+Tbdwe3a!wz=%HjM|&Ll*`Gtu^)4lJwX;U!51#mZpIjLXfNIW&?v`_ z+Wr!Y0~iG+$GN`M_3m?kR4}(Dci>)T-)*JmnKl${e^bAo^n~R%?nP(WvbI@gXg<^4 znVbk(N@A!dDn~ms_`b=Ci$?FbkYHFw(71gSr8B9iaGAd@hQKkvNX>Cb3N7U!G-E?+!pTmXd;4uoI&l&ZfGJ2;2E~%Vg_tYH zU~g~^zNo2>^?H*51Vb+0g=})`d_z9M_We}*c_sx3SGu*fCSdfPtoPq%H19(_eKx8Z zwR6QQ2ni5qqLpZT>GoSw?c$wx^M9tD%%hBowY$D=`{IZh7{o_vJlLPGd9R<@_0FR< zDztZjsIo=a9}NG4jk<6_5lyYuL1#eQeW@qk|K$Ik9==v1hTmD?YyS>-l4)=>DJ)IQgkusyJw+efB9Xy4ew-9FQL6d;+9`h}sJ z0Pi*uQwMWr>6KReWEs>gBRO|z$N%zo?Sw&L`~sBdzzJc1gRdA=xe;XmG$Jt9^Q&JX zq`Y7gemc-Wm;SwzQg^Ssy>Uh#0sCj=S@Y08A8Q&?N*TF+fm*sVShjkQRzRUAuB(To z(!xh-d*?Mr5j`QsrnYD0L%KTA8QE0Xvp{qHWT zjh-uT0Sf2Nu0H=*-Hq;dH=qD(Q6>*`L=rUKi<3h+PCl|^x~%WD{=$nCUF)eHM{`vv zEYlT#{&p07@+7+X5m1h(ZTeq3WIExvCmlmwFph;@eo@}l^gMW_)$oc3hHurPVyJ-E z3MAj;`O(FFpj4mm-SPpo@vN({Aw)xA){Q#>_=BJvc{$%9470}XMgx+}p4jdlpjcUbDcxy&?%E)P zC};Dj)#Y>PhwZ}Dq@N$+-~Zm0+S2e%PRQDP2B-|GIn3uUcH-nqf(zc{jlAk ze3uOmVv6tCm-&ecbldu;k_PVI zvwlYR!*Mb>TG6YyqNu=E8RJMv1}VgPVo7e^ak$Z?@XyGV_epSd-tQ~On1c0wJxgo> zp3=T~_UFj43PO0@Ma%jtPGQhlz41s^Fbf;b9Do0*)}kbg98$0#H(&f@^Q+({BF@@r zIdB(W*(qhjHGDKneK=PUwZTCoo<7RJjlRHO20;R+s$2KY3Ws0RJ ziEh*oPhdJ+K|utGFrhUJyTlH#5UWVOeEDlzz0$&6VmXWHM<2xUC7gKP(k>9oCuVp4 zzxj2k8Ksu?AFtao?2=wXzWiBYYtADFr?Cq+#_rmuwIADR1kjjDo&UVNiim z1dSNucCJJ*)x@J1A-@mFAQ3tX(sA0a*f%X{SzHAgJ}&BVNnzYe%1Ni8W8dy4ffnR; zviHkW41S6|Ua2jefFvy)g3`6+hAGpjCZv;sYrn+V(i6HLFv9rmz`p&Hd((nZJKY6? znI~)9dd#qATclMC?l+$kWXsJvCz1#W948XCl*>ruT-~8p@1)XD$A_^8?S@`rtc?rP zNYcC&UJL)!3R;|#hSWAd=ESYO#LYI;rWgrg*5i{IjV92}(lleEG_nwCG^iETAjn-A zieBD6LDP(rQy2ybvG3zD4iL0T2<=807FdmzVsZ3b_ievIe(CAYyB_gWAy_I_n(_1R z1ri~3=@;B`OzZIarVVB_2TMJ^v}?m&1HT5HriUM1+n*FIio z#PC1XPdotaURIo6PhSEZK=lRD@oTf?^94%Dv=t4CxE@w39N=KdHMW^#9Bo-s zVP2en;$y84P$Avkj%*BkNI(}@Jkhg!CQdy(jVi=y+jX-kw@ zf0OA5-j)J!w(5i~4^yPeU4EFFR-avr6`KhVNB@hg5gDsZC0!m0e}yTIO%9=$?Pnzu_QO;^B? zg6GHgHECOv3nY)|4GN8i4XNC_47&PSJ4$k?!~XSOf@+`4(aF!uyO^efdZ+3g>7S!# zkF2*Og6p%j8#&_Wjh{IiYL+x8_5LPNHfsMGjTv_6Jc0NPu^_m~je$*2m=w_cPEFAd zdFd8bsf7})f7))OVg)^Z><1LvHTAmw7}b7RhgUJe#({(N`6Cmqg$*9M6Ra=QKA1{E z@PF1%jpe*fgv-9w5WRU75oU=!NP9hw6m={FOo`;V{OE_E!{+YxC?{A)VbS=4JiRb_X z(Xp_zIF+^-PI)2*WPbPOcSc})B6zc6bfx{ZcE1oDos(Ybm?^&aUEh#L<|6!h>X)A3 zKvMQ^hHzxQ5c*RMLd=7jxH^-qe>MPg6&v|vdF=4*neuo}Z6bJA@-*{)P#$0<2^PWA zGBYOPY(C(ZZCk`1adZJU2l;(N&LQc$9Zndbr!)GsraVeG5k)*CBWAhvo#@i0IK#|j zZR}=WIk4+siCSix&k@o{^bX=9+U2v0*rMGd`G#@q%R)P({a*>dBvBoOlqG~1I~PY3 z45+c;q~a8L*iYvR5=mH|a4FhuteZ+nq2x>#&Hqq0m6I68 z3a=j{6&`GUVu?Mf&%g-jo2iOYuWGX#NMq*FhV`~CFg+46aS7BF;TX+~b-R>>J#+nb zv>nf`vn5eDf6FL2TI=L6M9_}mo*a#q7^ZOIx2l>RTB7!)w=d>gda(hibEIY=5@VY) zi={TWW_`qB$YB|(^;W3;J>q67--84j%(@`O$kZ)v|3ZmZGiULu8CPMLV(7H@YM@sf zU7NJh4)WQy0&Y!cM9CWNX-|9(#P$`u`QK_xc*3fIkW8V~R`{*8Na>jenJl^aY!l&^ z`^F^%;*m^~!k2j}|C5Hp6aVq=dRn5qKGSN_Gdc&PhAFNZlU%)^FUVa9#3WISc>=4n znZs5yFZ?(rbvHCng|WCw8|peGSbmG9>;I@w46{{oj=$SO>u zItbD1gUkcJWeg6%&#uDt?xhqJN-$N6xCU7uA?8pqLj1wL4QB*5bP(nhgtepvE>NX$ zSe{yUOYGU7wbtV!z;W&Vq1yFnm_mvJ#UBWu$8EWQx1Bz0WC6-2E@iSpi?LGB*^rSK z)6p?r9F?aAQ*~c@IPd`bGHxX>?UuqYM5I=YroFYVoll@BOqtrKrJ0DZ!+}#0f$*^_aW@la zpOX-JVda3Tc2W;;vvC>LR;e!R-PmUzc+e|cc~Y+=NwJt87*2nk>0v-|h-3EPSNif) z>LJvFWI21?xP9Y#ZqzD5n2G8dkQ^q81c(FBfKwsPE}y6EzIv5)EVW0>(S8sY{YBv#Zc4MLzWHFBs%i&Cj530fpvR6(N*k2ON5@jx%;jmjcQ5Di*<{^bPLf@`zhKu zz1oKvwQsb=*q3nHvi%ULnG_u0667+Y*|R%?w1ETc1#y$_#T-@UC^&_r^w}-l^iNJI zDO7&ZGiZ4Wuri9_t0l$vTmNe2%RjfFpXf272RsLq8ak9^RWGXc-^x^dCXs?!LCO?MthyYs=>A;SBJNKTr9W; zbsjTQiiwg;hA@rU(-)s?AH%UE?OJpS@iE~z$N}~?j;m6SRcT=tQ;)1?_Hn+s6x6~A zYiNH9JT@7kq2KL_u-{+rD^TfDA556B<}#-c>j zHrowLAc8O`s#qI4s|>Y~o%5ZLn#mSN59W;NC2}XKe=Lgv7P$88b9?VR-y%NyMda8%wV0KJ;m{wx5Y^NuhjxfeiMLn^+3@%NG-vTbz+ed9MPp zVfN03?@^sgyCj%!0!j((~yu?1s)8^D7*9}-*tik_wK~@P$HO!9y8!} z?}b0MFFdo+Y#A7ohAe)oOdu@ZgM$I9n2@X$9+&mmT(9 zA0>X$7o2ewXUF0w4}1=^#HldDi-}SQftNme%)DmQ72~3e+F)6PyIRD`2a7MQky7$G&7Pc~zuP#`Vv>)fukQEMVx1wG|9)P8~ z%+VDbu{><~iPkXl52XlUC`|8PUu^!hq7mK5ic`xvOmmZHp;|o}Xuek=6B!cM{vJc# z+)qvfNAKe*#x+Z%Im)DXtVRh)WCo5?Ol7&NFjYF;&4{jj*fS+SKDV0_5Y%o-OHtX4 zCPl@)Nq>mNV1o|Oa?BJLC7ZX4h*f4Ns^|+_n-E%7^SS5d!drZFEww)a0Mz`s`9+sD zo7wFDWZC5c$?dj99pzmj{37dt0<+;WP;6o9CH@k|?H0oWQb>{sl0_iNnRnvog$-kx z^~-jZ5=B9FG7cgZu$>488e8Mw)|rYsP8EsZM7>@Uk+B)x-bim?#p9PSd1C=6`+ zOwU#9?HIUz7%A|`>CxPi{nM{!5~P-d=d5cEgU;1&M=k{(VI$|;tioy!&zj%@`Pcga z#SNZ4#KgwBnUoO1AJF}QFE)uXEFl>DEXVz7c2a@XAgLwxn6yMv!lR+5G?Rk?e-kls zJ0!QqzAxX1b)nXO_=k`Z{%_Zfim2csL_v%HLD;jacKR86)j>1EdI!XvKx)0n92Fya zwCwpY+kqChEA@^;72+k#&D3@mW#}+}<4tQ?Rt*LhYx3}JNC6WRKf2IR*PyD} zBzNNxP2=;IaT)0(F$ejPX6Y6?aW><})r;suGj8JO@w)rON&t8Hid11AMbv+$0ix_EP+oe^7%J&Ba6QBV9OV->}4G zt!^6kG@TSmJUyCi7>7(b1%Ywxa(Td!323+iCxr~MLaki*YKCHt?s)MTRNDU-9ZJeC zR5l8{*-K{qcr?{FSd$pH#Zee!pBzr0mSTi!VU~)RjJ5+U5M}1t7lE-*;!9uYVd9}X zkqqG$qB68kZc7EwTAG z@h!G-`RXU?WT^(Rz*6gxl^Hg zEu7SAO}mfK2yiq5*;=9|J{64hT%(OGln45J4%B(_iEsare_|fEEqRk!kSN();5}1* zu5UP;a@2_{_ndQV^7DRoavp!LUM5mE&T;0;(pH5ZqBEKtye|r5QPJ5g{y9DV|6=p6 zde30228qf>^pmj*VM@V!X8{FNIvcQmEPGx_J;;3TGE(Chm)tp=Kp1o=pt5k z2?K)b=tMBZzI#nKNZ67VJTsR@s_M2SuH7L*GK-y4r?CLEqHN$Rn*}a_JM_xB6_)(W zPF`q$8!gmDJn{O}+}eefnv_{tlL)$LMaz3!v9J>!Z7XG+BAJ5nuU%FOJM7A@iR&w!!p z-JJpR6I4qw7OxnWpro3KpLyvuFOM`no+$vZX<R{hsbCEP^OP%lPU@h5r<2AqUe^^7L08OFOIWyY* z`)ihU-SW5%ruNY@4ohIiPhvRyCAb6?&-s_g;U$qPi89PJW#%%@+J?g6!P|&;G zr}Sue_b(87_5QPBKI~m!vb-A0E|Ycn!t)C)$fTjrOjg&06&O6rVbo)y15>n@Sf$MT^##&|e1(0P%!bUr+{lyOG7`9I7R{E&QN{ZSFsE*= z-~00^OO(jx{p2{r%Vd_RHym}YPYgkPq_?!2z9eS9y?=rxZN1fA&TtoI!c?FpawV)e zAvQo>ocD$|$HKY5vFSR&hY~ofM2^(!8?Qj$=v_mm^HCu$`VVY(}TaJsp zIWRSu8I3dwE3@CTP=?loyoxhA&hWCL-CuqY!#T2~C^g*R#{Kvo&Mt!#D*DA%0|NUQ zKV`EJBJ4nU0kB++Z8gON=HE{?oZ9(-_;vMF1A{EY<(3+fn+PvQW;jrI{R&edmh&T# z^EAk9r1?C}+M4#MrX5*0wJC1$Q6w~*_9QGB47 zXe$b+==VZK-4Y88hs>8O>;aF^fe~vFp}D9mezf6)0kUJW+VE&~Zn`gEaqC!J?R&3FE0 z0@KCS0~%tdO-81LXU@~u0w?n%4cg!lTKh&)OBCjxK>#PP$1K|?lt|7E*D z2}C5nXVxre+LC4;H;u%gyS}(4<<+`Cx;XvuP(0noq#^1!JuK=pB)I(!&oeiG(nS`v zAYYFV6a5+;#H<@?TKqFsqmR-_eTbW(2nk33X8y{ll*472tTEG~&6iQ~<1bvBw0t)}c2JbidFP6hpl<0}6k!v^(FaX`&JHtHPkMo&N3D;#Qe1_+3O zONG?}0TBS$)E`h2i=!MH#`4<*-vf3rd+$eZ;^u2Rhm)c=$q7Yz??U*Ek}bk%rqYu# z3pkmXWJsCF#i;IZNW3`F8*@+w4wqlLsEs;w=vxm9DLp-z$Ql1D&hYOT3sF-R(+8H9a}4I3k$5 z4ZO~FpR;f%TxmLaTc{7NI}#goA_PNKt$<3*u~Sr#IY9 zcBWmN%`<-qo5tS^VCLl?wLsP5|MrhfLry}9j_RQcYcJ#4f2_sXaLxb(23uyWg#&1! z0MoY!^ulBT#B%}x52^xFr8!=;5AQB`G-|88v#T|MPcw8(11FjkT5i!z zF+Mblpb%?7;{3&N{_;WV z@NSOUoqbTeX%7nEP^D=Q>n+82@D4Qts|zfKc9}!~msn1(4F<#b?A1evfwuvoBOofif3&y?}9WNor;Czz=LS%17{ki7UtLJaoz{ zShA#eM`0S?a{3}hmVN7JnUYlGHM_m>szHcHwBl-JZSay>PcN|}Z8NHj_U$vD5$Yz} zwoV3~qXAU?pUqR)Kr!V6)fQvKVvn05-pYGYUfVJ}P&%^4HquD0KW)gU!TRi-i2CWVI zv_Fa4<9d$Uqe1(FX?ivm`x{?{3;caZ>e*vv)K#7A%fD5Txg9)dm@378-=te7q&G6^ z#G5<}po);azn2e=@C@+!*>HsW?x|;Cub<|+GLO}E8a_Py-O7LL?#bcNM88vR3Yj15 zB$Ag9Ytq5ah@$-la|X?;(PTn?>)6iC_oD$JiyL0mX-xs!ik^l4j7+wq?^|E#(W%fn z5G~#EaB5RwdTLZ95BkDk`q77{RyC0K?B+YH6~7Fd=4-vV0lesUoqkvRd_Vk4yzPAv zyfrGm1o^pBF#m0oqqyVci7=SIX=YMhLvUL@xT4D!6A-x*N@>vDxK^aVeJ4_Hed(%c zyU6~CTm-pxI*ph;&-6_Q%RlTrCF(E@jW(VrVV|-Hj>y6UbQX7>@BCwC7XMGt55!Yj z_1b$sot?4g{)1y`)-OEGJ2@ve)7?4O8TWGez}Q6fz8qGD;SFgUf3*MStM)|W#g?c$ zGiUrL!{%n)<^B^GnVF|%FpghHk*OEmASj(@Eq%ULpjj*EXpm76=j#U00otk5iTn2i zGToJalz5_9+9=12Ap8lxJR4(Jb3E<-{FuKGI;=@A=v1BF#^bnJvAu>a#RH?NzUZkS z%++RvJ%KI(X56IM+PMu`f!?8B>SCut_kbnYX!M}aj$v7HXhr={sYhW zJ0(b874_)x!N+@k9y{701a3BdJe4sq+#+e0^X#;Q1NR_^>|*q4t;mREEW^4Dd_$3f zh_T>=^x;p7siuSxPcZNKg1}ROMLEAOfV#I`ZfX8ABi3HM6V_%LIjZLR!0Fj*&D^Wt z*M;@Yw0Kz8d|uP{7Li4rPKS&~`6Xz7cV}G0d6{xZ_RwA+prlDz&(M#NC z&3%eHbam`>vEYT#<_Ne=WQCU-!!(m9qHf3bNgm7Od+@r3qi;RsLdkpilUuAnm$lSS zZ%y zy}f&9^I6Wou=}oiVH2Vk$~6P*&!u&{{E*!Bm^H-o>~E20c+US5n?Bgn@u=Mya`{8j zpUmp_+I|8jUW~;``HM?a1C#2e6Eo7)f;9)%R#F(>!d_ksoTwdhNh?=3UPvPYKVNO) z^Y|cgss-yH7o%1#QQM+OO1@AoJbh5gyAe_~w6aU;mgs4-c3ah6zv(>F!70+)xJSEq z-cO)KD0S#{hEt3^?=r8?Y=sBz-oqk~=3>d+_;U;2Fkrz^O62|U9$Q~r_thW0g>_bW zJjSyk+eu6REw0IlpMO^deEVRFJZS-*Qhvf>@@VsW(416SGx7L`k|Qa--?WZw)m%D% zP4OI5*o!QM{4nP7BXa*;{(H5#00$qE*2w5o;hDqgok5t5@D0WCi^8X`Maq*Rus6_> z-c@VTn zr|h&s!~#Y+;0}#XCRSMT`OZcCby1gb`7c1*`fe!thAp8wt7eJ&{!EW=dkuZyl`Z5k zx7rNNbH!*QrLQnzu-;F5VSybX_eG>8QFGm1nk@Cb<-eLO?pKLP$kM)g_6eF^1y&Yy zH)3m%aT&+&waAWeW3S=$53v$oKo~+TCw!)v*PU9eh=MEeZr)Z zW!%+{+iiH04h34x>2!{rxmoMEf>-+Hi#fXb+8l{ZS%++p?^6ubk?E=>QONkzBGYXA z!B3R8Q$(TG63FE;7YMJrhCM>oirpru#p>|pHhu2!_~OR#uCBsb2o3R9z)Wsz9+_?z zw_c+}oNCcv&Bnk;MgsaT7o28Zd1Nq+HYAJ{@|P&amisXX&I_&-^Hp69h*zEC~YeKX7^DeAW?4_W?4u$!I&~=Z!t~)5ok=Vr|X+LZ1 zeA1ryF6Ch{n_C`K`PQ!Rj8Erc;P2h2M~I(qIi%yLh!oQefk?HFFRaUV`m~4H?^Xoa z&``&{hc2%rRz;z9H^?vUC^P4YAUwNhcVZN!UY1f=n=8nV$gm^Z^Q#-Ti)_KKZ+2lH zT2F}W@JGg=l=afAH`FGELD|!$jUWMdw<%3nP4{6qf`L$&p9cF^K2=OM0f+Am68jV;zmSVM?@kqgor#jc(W>`MHIge*Kr{2Vu+2pK$y3ZWVnJm%jw>{ff@vd2Q z^D68(1okeWT(Net=E$Tnm@}?fW=6n;vt0dwi(F)3tCR+bQv* z7xR_VYW_yc6Vp@m7n0R%)3@gAZsaC2z0#@&quzQtay-RHg+7y;eyja^by4&E6VGo| zmkX!MeRqA;Q(rczIld!{BIb5|T!%vr$o!4n@N^nXH-IFRUi&7!Q=hpls#B91|K4?2 z1eWysLgfdwYdVBKgs;re*_D43$KU7FyHtp~M+I-*ApP>vr9$2f_%z*;RgcVw{Vmw2 zs;#=JdgmJ$@ALS;`ajsvbXJDz6>X7IRM*0Ed?co0=LuNoovQVVv;zRkzm4-79LfIl z1R1P$q5o;(oqALJ+~p0`JF&l0w|JR4Zt3xc4R4Uo0!;XSb|9VUheVRz)_HXsPUKFG zD8M9S-Mw)kT2hermyfx917F#FLK(v4Ovvn1+a#*trO#?%a5*G=fpOF7k<2<;Klb;a zS*~YlfUd`aL}-Cdzx5VqXe6oiIl-@R2HYq+dc?VgpK#Uuoo&)DpP)e9 zy!)&Yc68R#=uRmCi)U?bJ$ONEx&PpWzgoksurtB8!E)2NyG`%L825dk0hb5sJJjCs zxou4~e9Gy(^O?+e@DMPM*M554QH7C(z#vf=@ibFYxnq!h=hdnCMk!o z?1GGVvHI-87*tjhrBtPQz3*{N$alY!vks+M;V*|OpG1ZIqIF4;9cQ*oPh)<88lRjI zikj3wYr`TjKAh_}-d#kxyG0k9pED|xZ#uKVr|xQOAFrKKQTxCpPlk5-i==&8PtWZe zm-_3|S;vTRJJlJrmAd=){60%k{9f4HceQe+sEot+b=0m{*F-G|WeyR%=60izV?S@-9DQiI)%ex^mdMZMj#nMc z?`}C|6m(Tz0U`$0NvU{cYk0uL-8u7T>X`J(Ic?Rz(3-QZ211wLXIN^fJ3lbj8rw2K zDN!x70@dEyH<9#;qm|ksG3C~T2hmz(A;+PtdRO^890#b`eP-dFGLtEr!oJL z#tju*H3y5(svQHX`R^-zYdzt{p4o8IzdxpqUApz`#q<^8r61>hX&J>|L}mb*;TNie zSru(*I+uGrR61nzQf_jIs$<0EUxP(M_4ryI-}BFESY!2N&Zj>p*x$5ReD9el2B`o2 zO#7#wJ9GXPd+YE*=1Tqk;pI*5O6+8HB%oO{j%(z=u}ARg(;<S(1=Y z*-a`*ic0onNcKvSWSN;lM3zuw9g!rRWx?hE1KHAdzP`j-%y)bzcja`J zU7j;JB~M(M={-H%^t^qoo%pM)1rbyOqisL&$7a8SCtCWWM)m;&d-S8UbNf5qc@u;a z`S0ksr2fsuOq>km7V!B=z3108q$*=PLS=rZs1JjZ|J=vgPBf3F;HQC!Z5_!wPv7}uM!Dy9WbKom=#x_6x$}9L- zu@9ycnFS6Go;{GNy=Okn;Ing8^g)|qTI44)H9FVgx-p^Y&~^HZdzJDN1iQv~_MxT8 zRPgD+!HULweyr8JqDQ_DF6MyUxnTJtR|-l~4HXLIB%JyFrZA5~aXXV170$q|n0-}` z3_r8i@^Cj!>da@|U-15$+L``SR{aCBr1;j?g=Zyg?=tZg;Iuoh%tQ-a*$0KZEe}eb zn2%9FycT*UorJn=pQDu;r+Vl*bzU*0|6H47xv&DCT*i5x!RbOj3zVmxizyrguG6jby{lLPi!KC_vx!zQ}fqaUeyuQcNwg7Ll zVKdB{LVA$7Y?9XH63Vye8>~#5&-D*lG6Yi(qo-KrhHlw6&b_`vXf$PHkIMF5B&34b zw%3<^7k$TQ{qM2TJmTe(=2j}|sbl{NlNlcmDU_)v6;K~v>`vBqI*@99iS_XFb{%?b z#tp*D!&1y7MZ{|6r@UlO;ens6pYJ7KYY$fJi2J${WmH-+n^2Z|?e+x=o|AHS|7j*F z@3YFgJFToxmS>Az`C9i&)bop%zmS56cj^Kg8@;aZ_)*98U6-FGE!-9wzy0y9tAmgn ze;PYzTlwgMj$k|0EV;nniwO@dTDU1Z%MGbtjp z>OtOvUJUv47bH^lyH1m@*2>s=#gMP%RoOQX*(!DoCclMcd&&eG+hmqJr$3Ozw0$sh zNu6Fc3GeclayKRCN6)@DCSey{ZL0SnY$Qbs)}qXeuJ?+v@8){y(tXjEtZh18)8t->aR<)^=#K}@}MvJ8lX&si=wi}p_<9R;6doVv-^`5Vp zcA8zxzWaHw+qnI!X~icMv6K3*pTzCSE6OU4*1v6P$ggboOG6a<%ZvXgmXvK5H+FvR+75J9K*uS%Yl@Ol>cJUj1@-}{gJg^% z*n_#-elp2I%7XnpUrQ_Ye684B_OB`_M3m8AIprwjRg6m|A0cW{E*Xq$=*s7~_52z3 zxfFS|{(!4_X7p-h#Bq<+Nol8(esFf8UcXI+e%BQ-5_7#^r5!0zU3SVLId?Sok^=PZ z%`dx$1$lvH&;A}Zue7&HC^%Ih_blRuJbM)poj8C_zHxgY#lt6(x7e=G_)ltVKcC$@ z&t|`d)9=2HWoDb&x-9i`M9?AMa%2&Q(RJ@5o<1|#C>wQC^tDkID7#@ra~KXNxOLjd zrt_{1`%?Jfly~@O&f2xx@2wH5r^*c_>EUWr2ZZ+cNy|un+u{CvzqL>+ zf5&S{A?R@4{jA+5%NKj1J9S-=X1-cN*v@X5(;IWDGR)Hhi&m`S|J@P?-PGHShT?`q}YWFvAop!ve?0<4#fOkLt_u^!k zxjoYVosg~GbTRv3#jysFW7l@RtUS73@Z|NE7e`|G&t2MSAYQC>=*-KLy~hnkyhKhm zSnU5SQm~umXZq@Mm(yjn1kndW>c2l%`d#%x+MQAU@MAH&W51V?7dub=ese_6iANRJ zBX{Kd)45xAXJ4h9F=}1nt*VESM9om1Wx^*3e~zw<@pBcOj$7aY14ao*Av%>*V6SZ#s5i1%**6lRH|q!v=EFBJK3nk+8u3;n|>^= zdM$_#Y2bB3sm|80=5>>^sUnQCd+VV92 zYUJUi7g(G-HBhrnBK;$xVo!o>+p@LS+f!fkD~?@0_4nsN^>fix=Wgr^yW1l8RV>fJ z_K2~V@0XDYj~C$n55#;wz;+~jGI^5woKL;)@awSL&xg|Pwf z_lAcy7S0?ySmtrbVrpo1=vl8@pz?_OZ2r_9+fI-0m-|fKACBb>J@8Gp_XWS4f~IE8 z^Vf+B*%z(}o#g*&A^lOp_&}I#-sL-%GxB@24)pCl^V_WWlG2E*!40Z&Zi4pyUp5C` zip%+5MS2}7SeWqm^Z2aj$JgJ5+g^WSTOmsk!^q-m{3S2vc0cSn?e$$I?&=AC2|ll| zS--XqC2f1ALcObV!?gQ%9lhE6G54$LosX8PS6}D>63%%6J1m4t~3X8XoO3GzV?AvuJ!?tCyn=7kxhx7xc3%!+dibuL}_>g-!3W4iFpC3G@>1@ZcD?C0nH9^tzh zuaeJb9U3&aR$;@Zz4q%@;;;u@Au9UfErnAjCqtiJP>*lkcS%IO*j}+&)p;mcbhH1J z^YL)=!e15(#h3P^9V(EY^g4IvO7;(4Wy7IUvm(c~6~xJWepnH`)GW&*(kc5&oKMnZkDAF#gDk&(yE_wxfc(kk(;6CSQrw+~;-K^lsTlcoeg|AHUV18gc*EjbTwDk#h-_e%PfV2vy?|T+H8mWY9SC z>xY5qqG;7_gWLzFwFMp@-1qVB9_*oqu&c2QH+XT5<1$t7=-?o&DWcU`&p*6(=W{+_920&ORS7Yz*O6Hh5L2rd;`NJ}4e*|FaXr}3^q z>6tq3tFs5q-g+7Q`7(1Zs`^^U)jw{&y#0pvmOAQOR8{z0Gaq7oA6N; zBain7-Y%VZP@3dy*WTNUy@#x5E8@5540`aYq)ya(uG#in&hn+3Uu9jAcaQ9+T~vA= zayx8MU{t!tT-Qs>NKPU7fRD+G4{klY#f{co#$&Gd{ipf*Gv2ugWv46iSs*^{a7mha zxVvYSs);Ok6%{pn+ugpP@um=?BJpAe>t5<}mxNmf-h{siGI>`Uy=&Dv@xB=+sdA>z zpm6B(=F|?G4X;De|L%S_`5SKTw|h`&uj9Wj=tYqjF*h>-;|^oxtbF78$6kjTkKfE> z8<*L>y2#r>ytvQl$a~$7Gt^N-qbv9KU#L0uN!#JPgxLwj#`awWR&qi!DcWd_8Le*( z1KaW}lu4syul6fWUA2n(ByC?I`ch%u_wr20zCw$;*AqjJJqWtQ4=$VYN?_Oa61$k( zPY+r*#rKb1*WK~)13hD&<{AAYkrK_nv#CS$oekvTYyFX3I@-6;}o-|OMXNW)& z+wK-#vv~gLLh>>3PtM6X_f%};HC9QY!+`KZ)VP$6DI}t zQiL6q^H1pGl<8>CHWmnvVn=Bis1QHrrN z_AIw3vAAo~b%Ay@dA#GbL{DXCadJ6Td!OMYbtUSLJ%X_j(GLW}eV=!}c_r$cJEGn% zd%ecC`>=6L|L^Xrmp`Wcx*C2!WjD8T8kCa(gUJq^3M<*v*=62ug)>=1)9D>@%=j-zmCLfphw;@#8vbA|XzOS)d(W zdnl;*rEC5)tiwmOl79T>{-4eq+eWnBTNQ-MTTFq$2#pquUNTtB2)#4eNO^q0H2!g9 z8OrUuN{v28UQ;k6;6m~)?B2RVb#3GYE_RdkGU&TofX7q!&Dqy(m)Vl{Q5Pl#e-^yNO z@(RO_uek1WgAc2H8t{ozDLl|YX6oNfR4=3Ish!nmM6ZVM2IU1@wmD!M>>wv}F@6W` zLG<9wo`#8(d#GK^{ui9Wqb<*bFJx`|I!`cVTMxbzc-I46g`62io<{tLvsONo*(@*Z_R;I7^zH~mPo5OSNXP!9{5%2uO zM3ik<_?%DT!N8{2GGqNxxBpRQ6#eeo6FDKc|tsTd8AdlvGU~c`95qm-EO-M zJes)^GvV~WVdu%%F&TrpXd%)H`4@KBY-M5JU>H^!`|>#3M@@n7h9Jj(>tge%hK5rY zl0FwcP5XH6(yg8q0mMVTy(>5WT`B4JFxg*8)H*0}GfU}*Q_!}8lT-YAOM_PyM_rFy zRI-j$nZW%0@b%B$OHfrCdN)h7sA0IrKfwi}F;R#9jUR$3J+E;gD79Hdd3lvi(A!E} zb5qdkL;mV2j~_Obc7-}3&lm*;tm^F2QU39kNLbK4`?x>lSELw6`3Jg*P@PO$>$>i! zG3)w0SUg(v!6RGfL;@)Rw_{a#uAPuD{8bKhbd|kbDF>nfIXA-4_ z`B>PuEL#kh`Fg`?p^A_0-7w3p;^W4)fE^s%4a~Sa^VJ3w;@!=hyM+&1nL6oOpN0J& zG}zWHc+XSMcNfHuWU|+0Ta&2HzW0FwZrzhEWn>QT{Ry@Y7YL%c=p^sQsG*b^IL-zt zTuP(}_jEX$m!RGW6`qZ^`L@T7XY4QQ;WBl}TFD`T886EfU0c<7%~Zx${}#x=*!FY~ zp032pqB`Ef1cK-cWg4{45iu8cOu+KMZPzB68TqSZ(G@;F$wv*d!z5~aGwu&_a5n@- z#<)3)X-`FSJ2>|nPCFN5+tncbqpfedJs54Kx){evxo9G-d)^RQJMk)NNF8;g^4tux zcTMFL_x2oE+zB0B@idH6#XCpx)YBQgD-JEp;V`PJ0fqT=Uu&}rt{4N}kQT#Zr;RIo zvhMb&B6M{C8fQ~&jJVqtP<#sz?*buIc?su^Hm!C4iKUd{Lmd(JI|=({@ynfP(FdN; zz%$i8gR?7GIwVQ)cRKR-^@R9(qD)!6BP(*&(Tfvgv=J;~d&)YHAu4mPPe3 z@Zko&W?OIL2255t6%Q5SJMbOMio=6^%hQ^k4V76^4tqB&qt}#89=v_EX?!uA&bJOi0(rOc4bQ~tus>gu| z4%j{5rl^Lb->3$&iq9KD9H9BYXW;@|42dGJ%1~ydM`>CEk1LtRsIYXRI5s^U=&VN$ zS)BTdT&1~U@Fqy6&MOvlZ5I4?gg9a+rPo|nE=2cLr#l+QX{flI2AokcqX`B#n$CeNZ}8Pnb9oI?l{GfF0E0 zp1FEVc`lYBAwCG!-?0Q*oq^NWiW7s6wGMiU8-XvfXalfuR=fD>U>1w&KILJcf?{7^ zE1dS(z3LAB)`rqvaS(=FCF@ztz@oWTfE<-_ofEMi1P`k=k?10}3d_*l8sf9BN7tYj z_M9nu3!3U-N($1?C|!P9f`! zdj*21P~7(C$7#gs7;}M2IurF8Qt=D1P9}zd)8J-f#hsgPz>ieFgG*~@!ajsDH%&QP z*+7&j`3X6Yi&PpKAmT3g65^@B+kO8#YXxH1fiTK~w#~-w{DPx>feBn-Fhf*YNrqb3x(49lj>y?Cln|3%d>lLvC*$Ha9cRd6 zu_`-Yb24yYMB7K0L>p%ZoFaPjwX_QLZ3Q2tVI}h>V(p{8l4hgA+xbbm16);$Yz^4j zXMN$9&<&>YT$iv>>GyxJWLS-JeX{yXTI>&0Ge=v1R>o;z%&= z_Zx^FL$3IOXh31^>I=F!T1~kC@mbdcY%!ngU@D>g~xuNG~ba! zK#-7%hJ5M+4vn{Z&9gn1>E*MMI3snGHHGID<5eAtYC2UV%aXdxRi0}`?TItfNrJrF zaUfT474M;nRo8b@wNj~p2Z_C*<5b$!aH+kJ=Zam=QK@(}9Cs(!rnV|uL*G$aW#cDC z)3(PBHrm7tiXk@H9+OgY`^B@dTpgqen-BR_hr$wU0x- zkDCZl3WKQL!cf+z)Q@)?J4M$Pb^aFMrC8r*rJ2x4u3b+Or8z)q5%*z3zP=iD516;* zEn{pFcMK%#b>&WG;d%Z1>6%vkHXJ`obZt7Ax)jYRZKJ#n5eTB-rGAfEcW@(LQ-a!1 z`@qMGj0MKtDb$fwVIwS$9?OwK|Cd@prQV)B46=U{-wK8oG6&;Jm%_V_1@9C7lhLI8 z7i7a{VLrMfK65{$6L57OK@=Zola%XMWRp;0YuMIJGAq?xd5(oTNX|FcL`t?(dqcAz z^kdFg3@u4V3s&k>JZyok(x1DD6rN@JiMC1!JPI4PU2=O+*pE8BKImnniO**QFysB0 z^)z+8UVj<2N~3t5dRmwyr!+PF1EIc zqD~A{utRS{ss(J(f#O-W>*<9h)(joxz62&Hgw9(NMwtr4NdGJV9gHlD$MQz$I4ewF zs8XKpr$BcxP=Vly(7$#_Y4Td7^S)Xd<_`JFtmv5HW`$r0i*n40ieL`Jd6UwiZD#0iN&C8 zmyWq3>d{T8=qB7Ye}_VU=gkVGR$NLd2|yjjNL8h;MxW9p9CT*t+G_9~Rs{&m@9twY zg5<#j3nyhlKgod*7*87{io0w^X?BP)hu?R84}!R0$fI*(QiYsBCVtxN`TzJuhC zY04z?X(XlFuKO(aK^1!;=Wgam7F()?Wn#536zaJ7OomI;!kWe&69^*fAmColmeC;% z+N~fbj_s{)D#Zx^D;~uaHbCdtR)&D1K$Hl|{WYmqz*)J%(@gp>iB5lme{>m-l0b2A=McC zm<`U}RKo7tz>p=n3R}Ak8gPz*#YhT(s3JBoI?ecw!vo8*HE>z+1d=aD?*(xynF+fh zv6(Cv0pL51Toor|`M9?rMJI@bI)JOqMrpFQIHf;_aEMzt0cemEeEeygiu@f|h1axD zK2CzW)Wy+!8`urt^Md>tyy`Wp`^fLvDN^%GHxUju5P*EdYrq1D7q zo=Yu31>)tO6KO)TGjD$Et`Z(>09+4}E5Z15Zl(nPfbIbw!kEL}n4>Va`2bZ@iQhy& z*}QkA1a95aOGqX5nhfENt=oO8rjs%WS@^&u%Q@VkP0Au2ZS7UnsR%e9$cTkXyA*^*tC^*l){b_g8nbq5?u#8N`nL zeT0Ive8HhnGWzJ*60ZuOQbJlvV~E8YD?|{> zo`rHJj>hcQ8y@M=;Yze~xFW4M zPc5zuIBG|c>sMB=KLR+tgoo8fpx`NBmW{ygU$9bIx-VI+p;?>Wjf|5F6;WpiX9GM} zC2C{w7z#VV1ZF{9)>@X@4iy0KA)-@=P~9jo31rNv0978Yb}OS<6KmI_gAK-uE%em! zuh&N*z-NV&#PQ#BV(|~;17KH10zt$YO($yJYp`{(LX1->I1D-d=}-sO>b9za_KzJx<-p1cR~N;8awT3$-$-e@013d)-5XiQEvY|I$aRN(M^u zH;Z&Q0|hcS!FRzDw~Zu5d4k-k52}Qp!8@6Q!E1mv2hctSJX%BD;R)greg~2s&j!@X zy8s}19|g4z!Bha?(V)YNj_(a?xTfzw5Y*F)HMsRGU&%Psny0mmbb8 z*1vg47yX?FFK_d$0uS~8(~p~C&$inWzJru}8*Yd3p|C+>)_dpw=XeS9u!9at4_bK^ z*&&4<#*NG5sb>We)^*W8Ipe9UvCu58aB9jdk3fkfR0}N<*X6vEf$q6NE+Z=D;X9l8xk0`|yAI;(6?JpmAzmH93CX236O@b}?wF z=CKP#KAI={i1~7@3&)xRXGH9O*@Xr6g^sgB%m)Ss{1PSujeWRF&8&v^a|EGH5PyVm zO)D}tHUNnd<>KPGdw~c*f<gx|t+*`+QDPQTL?bDOgSo=LD1+7n zrEYc$;3jr{qsTO7aWu$cTrws3sq22V7*J`^Ad}e&p-G%DQefaKf*wfnA)H06J9Bl9 z>WOm7rBL;(s%rAa`Y0|Cy`drTArh1k^m^`@iOM1zZz;Ddn}MtuunN9~OSz2$keOc* zFI9}-F4Uv-lgVMEZ&=vt|LAEBEp)6MKQj%ICg+<@h|33f%6;Tk+U{!en@=tNJ)}(qRbs zuFzsV6fi&)Un;H$M;76Z$7?_#d!W?}RQ*p~Fivhp7K><9g1gbG-2iOcKMG*>5+#Vp z(pLlW5e>C};5=-VgMWI=2v7^KnmxV=^8D5W+<`b;eny5H7KP)xgzAx}bKOdkVz z&N8R`JQbF}BBDE`0BCG6Hdbo2n6ex}p(c}iZT}P( z+9eQw>xIA(E?CT?hJh@2J(1{Kq|)LCJ@#6b0u{DCvW?vmRHCIzS?n@nV_OK-$h8x+ z4B#sBHnaIVQ_5dR5AoOV{YeDGd$N#|Chy3Ypdl z8%>BAO%n#wo-0G7=`92!7q*m?=Lx9t6YCF-U-9QS=~LtvcdKhAbnSs>k7m=;`U22}yY>KJaXGXiyz z91P@`rA+7ogALWhj1+1?2vf2)sbbd=(>3%v=(m^nH5e3~z`=lFHv5YTIoH8P%Ar~Fo0B&t-Y#f! zt$x;jz^u0v*k21bC<()SdsGw3surV_gL=JpqzGL^N^GQEAE!?04VX#Tz+zpqKm`Ru zM~nrmAV+8Gq}&ZCoC%BN_{;{T);p>TC{mDV!J8gWN~AdH^gp^WG9afgsogMJHp`4c zIVxc?=GelTk<5StUI0d_LT z*VLH{Y0x0u&fG25^xj4c)ydIO@qYk{*bP5NUtJQ#Xp1YdP&|uSJ2p_59rY|+1seZo znX@V4zbQdRAGU$4zg3V&(s5dAgLN?N->KW`Sxcx*u7@8vpM$)%#2)D`z=>!r6FN8* z`)MgWRZa-ILcL~oxg`+rsx;H62;Kh_JLIcC>VYg3!W&S{VSaKObaQ)l0zp5=h2Yz{ z0e=#b85`IJN_-d5HM0(yAIvus_!8CSL#FDaN>mPh#VKm)+fjHT7(nG&zJesUg(&@EesfYDTcBa# zfk(~_1XMIJD(gA(>?x%p0M^Gr+aZA+1adp0g(38dWV2dz zfK{HYfptH5ruR0X1`lkAJoA!rx&*iUTN|hoH1z;AEN?E351__B*b!(@1}6;2Cx}(v zH3~mO2bZPcH0TcA$W;!+yRngkBetOdTOqx`NKnB}OyFbG?v_?>d)#0^8z|?=nf@-%2txo2d%|sQXOCI3l303<+{NvdG8uC%^>)Y=w(# z;vYCH3>2=XxS`>%?tnohH8Y?nE;RCm(`m52v^6|)|FMeAeoC+~Ii$X_B zfvSt)y6Lt#wz9(brMKC&x$#8a2_nT%w8RPE2~kq#D>csRza_o^w(^nZ+8uyK{>vFh z{ZK@|mX%`}QZ_y5==Ce8VDQFMFheAmx%cMZITQ~dDxAS!3vw>BeQnFQyL@4uEJzzyw(K3Tk(mYMwiE93;ZJeCWs{(IX8lQWN^5&Ak6e|d_Yx^L zVr3Jr!^Hlc4S@pF5!RHGW6%E-< zY!%_?W=Q!P^bDwcB&eT>Q{-4R_y7hwvr;R;g|>(-U^V3*;i&u$P@r7?hU4Re0aY;{ zr$bK5vzCgvhj0SzAoy9RKM2=d4yUAmw{r9oqP~F}B2saVzo2g5{CJe9%7XAcu9gw) z%XU3T#dRFEcVok4ivgsB;Urq);a@LO*!MMN@wN|-(Q+%!>?R+=<9a7x@kHA8U;jX1 zjdw#J&yq*VtqHb0Z_Gf!;m;+_1SqbmY0#;=fozY5WF~QvTnX@KBq*e>KeDftkg&zX zQw!eM4&rqoE9Ve9)Swop-W?C66kuYl;iD!JAoJt+{MEx*r8=}+V2el*TvHE9o2_hJ zxDLb-xbi~%6i`kA5R*?=YYuY)8bQUuzNf<3P~jv2iJZbIsvbn-N@vKRyj$)v9Ud8~MkGFH(b^K**)+YU!`cfc8#t z21Cc`nGL^CjxD5CkYU82Lz*wnv{StWY45h=#&No@gd~IX1F7%7MP-8dx&L3|qrrWc zylN2TW`?rnrKG#D&je}XO)HqK)&)yyAdNzL&V|K1tY3ll{O35QS7Lv@eqXxfSt_@! zD#p!di)fzu-ZCUBiue}H1?&nGatx(^9Q1t_(JxuPyR-0v0SijjGISw_an8n(_4=D3 zVvS}3+<$0{2bn?%qzR{3boz?4Bw67wO}Gn0%I%f}P~MO2#TxXrR`zX``Vz(nn?ZUb zUEhbR6}kJl5?d!{>;8IwAaRcOmZx!NvtXSsxDJ0%hk(xV52ei^HKLAl0D>@-Lr~6K zb_A;fwBVr8A>5&LLbL=39n$*cv6v!Z?BzHxXXzV=uJ)eV18j6L(Cf^?D7qZjHV9k^ zQXu*~V!~bm0ggLs01-;zttWsiN@!#1WDrxjiCd@aT~`U;^!d*bb(|RXLy+g8xh^Sn z7lvsc$a zYl769|LTUx-1c??>d%$~&UWKA5^)M`1j;mM9XX><|`Zp4*uf`T|(-s& zf^PCAqv0iiirO>1Rc^>m1?=Z+0D%|})qas8*IfNEKFNX(1Th+*H!E8vJUWFkYJsd< zww{vk3U`!KW45J%jkIqhccQCKfD(euQDtv{)mTq+@&@`aUW#aR|?!;B?4lsBEH2k!LHBsOsTHEdi7R{ zw-$1%i4(R$xWuhIi2_7`8B8P94Aq~dqTYZclMcllN98x5G{NN0LXodGwoPel0|LW8 zm?CX_uPzL^laT;)O-T4GcU=}rxwoY%rPp#(V*}j{X3+s(FXB;p?i`6$%3-ZITVO%^ z)c|b{+cb0Qv|v=o!63#o6Q$4!dVXxQGuPogNAD)+2KE!)*n_5MBmhvln1g96(=cQEoQ*^gAzc8q6z0Gv&TLiNzh09Kzq~budC@Z~=w^Cqm=?Zl0$s1a z@$FG5Hux|$a=xDgx2Y1EWDaH$0S>T7)7am;(;Hdutl(8$j#e5mw8)YSz`YAGv6@I+ z%x1?HvJnZMqXgIvt~o$jD>%D$|Hf^!7-+}=IRX$n-Av8^8%W`7ukB2!S@D{^WLdzp zO9kj!B7;WQ88&Euv8@RyGh>$cw0M;14zpoV_hSnBhP+3~O8_C3t8=YZW z9h%Cy%-In(+ZP0#BLdm#Td3a35TiDQ7CWG|vD_4PT85@gPodeSg9f=yCnG)>*oov_ zM7Ep^N=`xdw~-rT|4G2<9cRXI*9#%fyv717E&Z>^0mhT`D@a3kJfXtv0(OI4GR_bP;BE$3a zwZ2&ihyXByWIx%Qvdn`1?a=|{4b9FEuL8?{gpz}qCjeoJRSkZ+(#(OxxB88ox;@b0 zY0|A#K(DjVv-+rwZM1I8F;xB((;MVd+BbcP-y2e6&!Hxe(9s5zycNoB@HK`N!6~HA ztG5TGLa*3Q2kRIp>GAiFnPS{{(0BZgLdI9~w#L!}ag*CYQ<*}!tlP+XPahvS)$)Et zSr2xuip$=gd*}ytQy0{|g}B&MG5mdwWFxb}$&LX9wGaeH(abCwKM+5pu8u9XGpj)b zVBo`f`fLw#TMLQNhThH+eK5}+k0mmU?coa@x(~qs2pjDbpH7VV0T4i}v3Hy-Q;x6< zSO79RNcn)t)muonW=wiDwoYg; z1!y_$-UISGQ*rBVlBM7RbZeB#FZRa6z0=_0>RBA6i^w&}EqEz1MHZ3RjWi@^t-Ei+ zH8 z8|Z<-fC(+dtvu0{2~j>HYj5G23gF<>`K!o?{e+TVgJ7UR=AvCJ?C_qU`aLwVdM9Sf zMDj$^_7*&QOQY^G^CP9SYgO9dXTnMTb(|tIq@*m2{2!{>WKwBIIp%;C`*1Swob?Md z#w9@6izVQjT`SmM))WQMFhwWy5x^58wpRUc>S7$p^;s*}_!M-77L1ie)!F%MYv?%5 z67+8><@Nf7H4dc65R;d|(WeK2d{4kmGj6R0^ZIUKuhoQI1oJe0>j}@WSiD>uFo6oz zBo~5ByIX=8#B=eJXpv3yN5GLb$0m-(a|`|eBVk3aLNzO}U0ZA^B@|L*x&vYeI?)X< zF)nJW)Z+{?WLiI>jsQ0+M~Tx&>wSdSp{+j5_Bp*|){OY97$A>Quf`jS8iH;`g4+)s zf>I2iYyTB+a!hXXrg?qjRv`jjrTvOT%bL{P>U1lXA=5Kxy^!V0an7&RPj0mdta3}@ zTG_J1B7EP*)`~8rm@%0jP^lUNrZh(-b11w68am&wrP-}GL2{G4IL!MkpFj|Y%^m3O z!&mgZ9@xRm_PC3#&$lMz3%>~~3i%F$g zHnr`$78Vvn_N|}vxi}oG^}8m#tovPJZl|}$p3CE}cOM!!D5RCj6H(`K{z2-Cebaf< zj5~I#UVgl@GS)-tujCeae%Ey#33>J6oPo9D(mt`qrhS|b{Ib6xyMDeg z?O6Honwhf1!JcqyjhCO0iM-y4d(tP`%+fwxXo&or|2*_&np1@6_q?t*S)U5LYN~eU zY5hnG&G@8HB3H!Iq;A|la3J}Yc2RKJH>*9~zu#r4tQ#LGuk-Lz`7;>)DXqzK2dD63 zo9I~hj*j5I9Us%LB+o3}SikY-WZ7=-SmWRBd%U&Pe3oU03vcTsT@9Nj?0U!8WmRY) zQem$ubS{BML3y9H-NU3qd5b4ciNh6(ZVqT{SMy`v*hy=PIyCk>gw->{cyhvHw+?Op z4TkNd+vMNhCA^;$b)EXBMmy|X76Ci1tL$tdl=|$s*V*aIxA+4a-8&xizZF}&@t|FX z7FUs14^zrwy*Ow1P`?1R;@B|ySqz@E^!@VLhTL2prSx;;qD#Ayetds2uc1{V;+;SL zR8p%n$1Y37hqP-{@yl&mL1!rSX7}asPoeLQcnQ3_Ibbyb?pl}WjFD?`6G{pl4woHE zw~ps-!@^E0@>r!64aB(nH4Wci;wki=)-&_88=K1eV3n>>Fzfh+@6+e?laUqkcUBYH zDF5!*>S|REkLo5=sgD&lYeccV@^F@L0ogN@gu`!VTU-;LA5`-0FKg#3oE?|-t@#{b zuNuB$Ju~)Hf#$xN=zb>8pLyq4sm>vXuw#5At3S1D+eyI>g$v};gYEY?;XZj&i5D( z43%%$ROT3~^~et}jQ!~D_bSr2d!AJDd843Ywu-~1MEu+Zk+Gyr?~Uf3PUHO4!Z&VZ zb{)}`;;U}F|DMqBmhQ#DoeC8ilc|^xtAx4uv=QC!IgnjN|MmJm{dgvZ=K*QPw|4 zKnX4~;3yECwUSdI$nm@G+3>B>;m^atGF!uQ#>H8m8o6f+y%oG_5uQcRx3^Ve*SK*UC3 z{m!I%>TcJ;>1{Y)=?CovN5r}D=ha`9e649*Q7-u_=kX@mJmt5NhI4D1}5Jw&l~pna(%C7 zu4GJ0q2bw7&6m&joa&tyTF&*Cd=)8<`+WoUx0)|(;>l#P+M8$7?zY_1mC6%~l0v*9 zq-?uT)2vrrzurWlv)u~%1ywOhtBBW(+*Bj{Q5$oW7MJ;YLBebd#LrOJczbd4{(^d* z6w7d;cjCZ`@i#@z73P@6O91=8T-_|mGK95Cx)U9~UqfBYo%5_nca1`N`7Fr+g= zKGyB%-Zm1JQ}D}QaiYd}Q8Hb;FZaUEhbFy`j?2fds6FXwcMVnkX_&2dalb&|g{hu) zkt4#8){vn6_6s~R()t5WE?iA9!R}?zoi3j}8sqo0@Q+^fBPEvObgSxeyEwDqb{1Xg z-sbzCJ604AIy`StuXc~Ot9xs`$Lh%iRrJ#Hkraz>Nk6m^mOnMlBL#gaZ?ifkB{i0J zPU**$NN&_dZR$mk^qx!Z=+N=@-iABT!fj2!gZ*}IAeC83%+VQU&xPj)ADthy`7tta zr>(xtzFQUh-uHyH=!4Klu7W<)*OL7`i4^?;S($u4A^C-Nn?x3#t)wsQD>27|}vsXoAhaDGR zV78XNoZrPie7Mme7(Q;H+LTm4;O~X@958I|UmHN+B^y2>J z9@GObGE!L;(448g7sFa}=DcinJ1m=cbZOew9DNn>KLJ$-s`y{>qny0x_i#Q)|AF8z zn}_86qd&DHz1pbe4BYK-am+W{+qwbt>O z0^jKxbAv8AD&l*Mf(O6~Xbz$oO4VlKg*J~2#x1SSK<4lnr@VX1JH7MK zRI%|)_;%FZ$+}ND^OeaAg2Or(YTknx|J7pQV;w`#3_)`NvMbNXY{zpix!*YRkouwM z4w>Wc|_yU{je8#OzH=Md;_N_#WI;lO(SpdAhmKr_hj>@*=3P|{+y&kWo&#?O&wvN;tLXS0 zWL&`+yPnaw6W&wsu7Sr6Jfe9YFOlfX`zM7p$`1h&&mz7^EPuOwLi|tS9>gyi5YNkSH#yuD#-*L{k7-UH&7r(VFJeEc0rfBxk>sMP)_!6kL|4kCH9mGGlPF z5&X%yFRw68U~gvGv-NZ0Z=GkMHRCjAJPLhZtCwHjpe`+b6bPLF6_a3p8{ z5N-rqQV91R+<*}7UAW{B?j5+-LO7^EevX{aKD7kpm*Qu^bZ6h>C*@ol*CozzIH~uK z252^zrXlhAV)TA}cM>Pr*H1&f>w3bUkZTgc_l7?XZ@(rN*(VZxMLsp8t{?m{__-l` z68us4IWhQTxFc}0V{lNB<8?j{qp=^KE&IPiKfDUr-#ggfGhk_O0{3ZO^1Ld7k;DUt z-vg6D{``w01?CqR;bBR|C zXd~E&5G*VdL@+4W*w~~I3lW4!z(PnTq9GuHh!8;qtnokJd@CaYP}IP))d){qK0OZ0 z5Kjp6o~Lb3+OyjAXJm~iN$m{Yz4>bxqQOvf-c?Ej^%Z*w=#zK%u0SymDgvRiGOzi- z|F5-=t}%1W<8)PU4JXV|~I;oFspY$_RgM<7V zm$h+WC38A!6|yziXr>kBN*(K8`9)))CJ-67fQ;u{JCNEDo>kI$DLtLbL#@DcNxIozyt5dO{Qx0Tz`PY`McH9C1-N+Od>neB&`_I_dA{*DtI2u#@WWjfQ{qad8!V z8_!DdV4x&7;{oDkAm@H+1D104nF3EuRq!Y;+Y~g*OY5;XXDnY9m8qT11hSY1YQ~T` zqs;fS4UU=TkIF}+;&!&^&vHiQgso(dh6iZRfiYubw=yIwk{yc4 u(1vim!)dX)L_S7$>!YStk&gszp*;%=PZ16NPH~U0hhZ}R&i?=a0RR6wQ|#ja diff --git a/cpld/db/GR8RAM.tmw_info b/cpld/db/GR8RAM.tmw_info index fa9643e..05b4338 100755 --- a/cpld/db/GR8RAM.tmw_info +++ b/cpld/db/GR8RAM.tmw_info @@ -1,6 +1,6 @@ -start_full_compilation:s:00:00:32 -start_analysis_synthesis:s:00:00:07-start_full_compilation +start_full_compilation:s:00:00:28 +start_analysis_synthesis:s:00:00:08-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:12-start_full_compilation -start_assembler:s:00:00:06-start_full_compilation -start_timing_analyzer:s:00:00:07-start_full_compilation +start_fitter:s:00:00:10-start_full_compilation +start_assembler:s:00:00:04-start_full_compilation +start_timing_analyzer:s:00:00:06-start_full_compilation diff --git a/cpld/db/GR8RAM.vpr.ammdb b/cpld/db/GR8RAM.vpr.ammdb index ce5a0ae81c0834b9b24406275c7c29f115b0bcaf..b472823401a55cbbd081bad467ec9f64a49c75bf 100755 GIT binary patch delta 778 zcmV+l1NHp02IU5jQ-2H)00000001`w00000001li00000003nJ00000004La+*eU< z)G!d8-K`MqSHC!q_YSmh2jC71cYsS!K;;BkaU8xuLIT3PH;(OnB%4j4Lc-FfcIM5S z=Na2^`@ZjOW%k(6E-dk{S(pD}{Lp_Z$Mya9-qx42;Jw*~cz@VC480})jp0Aj-ved; zD}X(4@+a`m{b%;IH#=jm)pHlVjx5u9ZfO5@a=fK@v?H-8>a_uC`le-{4K_@n!l@vB|&$~RY^;=hUWLj8+9&sXire@(r38}n7Y zir4W{{}w-%ALZ9^N4@^Y^V9mN{SEUEr#Szfp=)(LEb+&F()_gZ;dx5_cnE%nw=EuH z<j@uyfh&=&yW< zWL00WPwdxXKQaC>y$D_Sa=cg6^P}wlm&{kNAV2Ro_Wi%P+yUCw2jf!D_3!qv^;6<6 z#hV||-j4ahKCLIdCu%>&cc6bF{xSbCJq4fnhWpNNe%%cD&iSeM_&zEA1%fo^L3>_6 ze_0Q?-hY*E{QIyaU;X}mq@FM3KGgC7I^4(5N4#6Xhu#aAX^-_(`63dmaXu(N>3V0* z>%XqQ;QKHUFM-mV#vAk|TIcQWh5rftFrUz?{HnjrH(bN3_U7zS&vQ#~@fP*2_hI#a zO}*!4{&__`_VkL!;d&Uahw@#Muinp!UCyJFDOYw*qKNq{&1ujuz+seLZq()kSd&|!9JzJSO46@RL}`|{WPw)49Wy-VXQ#sABE zdU>tG!&~wn^0$)xgZIPwMo;y&=i!(?e0~7{0RR7Z0b*oeWUydhU{LVv(E?Ho0zmBO I>ysP<7-1W>g#Z8m delta 703 zcmV;w0zm!c2DAo{Q-91400000000I900000001li00000001-t00000004La+*ey} z6fqF&-9(7wi!aXOy#pbK2!~iC&H#rXfZzlZI1b-ILIMU?wO^|B?5sZ`K`f2ec6V1l z-SW=!JpVGY7x6Q@w7_4pDg9#qG=7`N7ddC!J|hL^W@qFF{eKnrKW4LYu3EgF{CDDQ zEaP2KYE$qg?yc-q|C;=$J-5{N^JM!inx6f~O6?;VgcPsGw%2%FF`rNV8Gi#}AL|nX z^>;`6YW-?H0vO4E-sPW2k5kI$j(Bsq4@%#YzO}t=_Yi%J$$l69Ovh=@z6k`31$}`; z_~-V175`c~SbyJ>{-@}Fjzg<^y-#a&ji2s|{F(QQQT&&*52)^++OPU_KPunyL-nXW z)jt6QBjxWcsT6xsVn*17n^*8l*D#z5fPyN&VHRW;Y^0&F(QvR#H zem+}y`1dW>bCM6l_j<>&=uh>rC%xXUHskG&{Qdetra2Dq z#h(rx_Nsox%kPt1Po=&Sp4vOPHH-0AyrM7FYr$Kn-yQKi%CP?n<9}b?FD)Ke^Lq?y z@P8J5w}0mnWYRwfUGu5t6kB z^8u3`wXVGWFD&3F4t;;By!Yn|@**F1T=|5(`lIsK^tZmZ*4|^WFyg57lHV_Z=ZEj3 zWBjz2`s;hjahCrN==)IlwV#dBHQuUk)St}nb~Ko^@#^{C@`JAYr`iX74`gLeN$W z+DbuNIcTc{ZPlQy7PQrawnos_4BA>jTRUj$1Z~}*trxWQgSJ7?HVoQELEAWJn*?o9 z&^8U)WAG8Mq?SP;?FlY}7 z+Jl4kkf1#@Xa@%EVL>}6Xb%tC!O$*TP}~=|;0Qo#kA&9fC>y|!1~WRw2Jj(ZM#tI! zejJ$5@iu@D1v5Iq2JjQXjE30&J{-*GBpbj_1~VFA1NbRmMyJ{Uej1q3NE^UMff=1{ z1Na$WMrYapeioR~**1Wm17>ut4dCa28J%wf_yu4_7uo=R5tz}%Hh^COW;EIc@Jqpr z#@GOU8JN*n8^Fhb8C`Az_!VGA<81)H63l3V4d4^OjIOc){Aw_xYit0Y1ZH%t4d9c( zjHcKCJ{8R9Ivc>Jff-G=0sML}qZu}U-vDNGqYdCUff?Ow1NbdqMz`7kejAw4?KXhV z1T>1Na?aMzd`IzZ1;pE*rq_1~Zyt1Nc2)M)%qPejk|8TpPge2QzxW2Ji>Lj2^N9 zd>)w5d>g8!V17@_? z2JmOWjGnUr{CO~=7i<835zOc%8^D)<87;K|{ADnsS8M=(70l>08^D)=87;Q~do$O|1T$J?1Na+YMsL~xz8cJEjSb*y!Hm|~0R9%3(c3nFzXN9Ut_|Stff>DT1NaAE zMjzS${t=kb$2Nd}0%r874d9=F8GUX8_!nSCU)liv6`0Z2Hh_NvX7sHM;NO86eQyK! z4`4<=+5r9&n94)=ek9<()rwr0@Q3fkI1TPJAi25r5d ztsk@vg0^wcHVN9Ipluqo&4RXh(6$KLmO literal 13288 zcmb8#YjntE90%}SY&N#pY_qLh*lcDOY*wk%8A+uJx*H`|IgXyInVRvIiKhI;`jT#d?F$uA|h)~eO8^TuZ;p5 z4YmQ;7_hNm8-k4k8xOV-*v4QJz$SuC0^0;^GT0QbO~IyuO#_<_wi(zAu$f@9z@7v) z8*C2PT(Egyn}cluHXm#O*p^@m!4`pS1-2M$Yp^9?OTm_bEeG2MY+JDHz*c~*1lt~L z71)!(o&xq%upPjj2KIEYXMpVp_Dry6fvpDH32bMuXM^nm_8hQX!JZ5DJh113?FRM& zuor^82<*jRyMyfkwkOz2z+MXWGO(9}y#j16u)V?d0edCbzF@Bcdo|d8VEco;2J8T^ z*Mhwc>_D*BgB=9+2C##{-UxOG*r8yDfgKL^Ca@#G-VAmm*jvEf3idXzw}ZU{>?p8z zg1rmu-C#$9y$9^QV8?(R3w9jX`@r50c0AY#U?+l|1oi>2lfga+_93tjgPj6)D%fdY z9|8L)*vG&=4)zJK)4|RFI}_}aV4ngz3+!yLPlJ61>>RLj!OjCaAMCSWp98x9?DJq> z0Q(}?m%zRZwg&7%u&;o973?Cgi@`1dyA>98ifn5uB9oUb-t_QmT>_)JkfZYUkGuSO)KLxuL>}Oy< z2m1xsZD79y`xV&jV0VE18thK6yTI-S`wiG{!R`V39oX-|{s49_*dM{}1N#%${a_D( zJqY#?*q_1v0`^z1hr#{^_II#Hz#awr2iQNs9s_$E>|bF22Kx`#f5H9-_5|3vPp`GR zUMn)dF03i74tg9FV1r!UT{PGRU}M0>f^7&k4s1NwMqnEU*s#7O1lX{?B?j2A+@t^- zmfIx2hUF#)*s$CbuuZ|Hf=vUP4z?NC46vDCv%qG9%>kPWHVf^7%30&FGN_F${P{to~>jg|la diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg index 483f3d7..245b754 100755 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ b/cpld/db/prev_cmp_GR8RAM.qmsg @@ -1,99 +1,96 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741509217 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:08 2021 " "Processing started: Sun Apr 18 06:25:08 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741509217 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741510935 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(93) " "Verilog HDL warning at GR8RAM.v(93): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 93 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618741511107 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(274) " "Verilog HDL warning at GR8RAM.v(274): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 274 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618741511107 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618741511123 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618741511123 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618741511232 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetRF GR8RAM.v(269) " "Verilog HDL or VHDL warning at GR8RAM.v(269): object \"SetRF\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim1M GR8RAM.v(270) " "Verilog HDL or VHDL warning at GR8RAM.v(270): object \"SetLim1M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 270 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "SetLim8M GR8RAM.v(271) " "Verilog HDL or VHDL warning at GR8RAM.v(271): object \"SetLim8M\" assigned a value but never read" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 271 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(34) " "Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 34 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(117) " "Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 117 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(125) " "Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 125 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(132) " "Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 132 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(325) " "Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 325 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618741511248 "|GR8RAM"} -{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618741512795 ""} -{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|RAdir"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 20 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 21 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 24 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 23 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 22 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 25 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618741513123 "|GR8RAM|RWout"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618741513123 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618741513842 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618741513889 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 267 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618741513889 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618741513889 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "309 " "Implemented 309 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618741513889 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618741513889 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618741513889 ""} { "Info" "ICUT_CUT_TM_LCELLS" "229 " "Implemented 229 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618741513889 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618741513889 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618741514092 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 19 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741514217 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:14 2021 " "Processing ended: Sun Apr 18 06:25:14 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741514217 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618741517420 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741517435 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:15 2021 " "Processing started: Sun Apr 18 06:25:15 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741517435 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618741517435 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618741517435 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618741517654 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618741517654 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618741517654 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618741518217 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618741518232 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741518420 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618741518420 ""} -{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618741518764 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618741518795 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618741519123 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618741519123 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618741519264 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618741519264 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618741519279 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618741519279 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618741519279 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618741519279 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741519279 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618741519279 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741519295 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741519326 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741519326 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 11 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618741519326 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741519326 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 367 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618741519326 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 29 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618741519326 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618741519342 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618741519342 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618741519373 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618741519435 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618741519451 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618741519451 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618741519451 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741519514 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618741519748 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741520139 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618741520154 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618741521451 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741521451 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618741521529 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "31 " "Router estimated average interconnect usage is 31% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "31 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 31% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618741521982 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618741521982 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741522592 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.55 " "Total time spent on timing analysis during the Fitter is 0.55 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618741522607 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618741522607 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618741522639 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618741522904 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "372 " "Peak virtual memory: 372 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741523139 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:23 2021 " "Processing ended: Sun Apr 18 06:25:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741523139 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741523139 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741523139 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618741523139 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618741526014 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741526014 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:25 2021 " "Processing started: Sun Apr 18 06:25:25 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741526014 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618741526014 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618741526014 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618741527170 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618741527201 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741527732 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:27 2021 " "Processing ended: Sun Apr 18 06:25:27 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741527732 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741527732 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741527732 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618741527732 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618741528467 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618741530717 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618741530717 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Apr 18 06:25:29 2021 " "Processing started: Sun Apr 18 06:25:29 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618741530717 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618741530717 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618741530732 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618741530920 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618741531904 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741532138 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618741532138 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618741532342 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618741532920 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618741533045 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533045 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618741533076 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618741533201 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.001 " "Worst-case setup slack is -9.001" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.001 -621.699 C25M " " -9.001 -621.699 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.279 0.000 PHI0 " " 0.279 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533217 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.567 " "Worst-case hold slack is -0.567" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.567 -0.567 PHI0 " " -0.567 -0.567 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.390 0.000 C25M " " 1.390 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -5.009 " "Worst-case recovery slack is -5.009" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.009 -150.270 C25M " " -5.009 -150.270 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533232 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 5.455 " "Worst-case removal slack is 5.455" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.455 0.000 C25M " " 5.455 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533248 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618741533263 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618741533607 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741533717 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618741533717 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "283 " "Peak virtual memory: 283 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618741533967 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Apr 18 06:25:33 2021 " "Processing ended: Sun Apr 18 06:25:33 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741533967 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 24 s " "Quartus II Full Compilation was successful. 0 errors, 24 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618741535451 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618809604705 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:04 2021 " "Processing started: Mon Apr 19 01:20:04 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618809604705 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618809606627 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(79) " "Verilog HDL warning at GR8RAM.v(79): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 79 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618809606924 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(259) " "Verilog HDL warning at GR8RAM.v(259): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 259 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1618809606924 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1618809606939 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1618809606939 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1618809607064 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 14 GR8RAM.v(20) " "Verilog HDL assignment warning at GR8RAM.v(20): truncated value with size 32 to match size of target (14)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 20 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(103) " "Verilog HDL assignment warning at GR8RAM.v(103): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 103 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(111) " "Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 111 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(118) " "Verilog HDL assignment warning at GR8RAM.v(118): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 118 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(309) " "Verilog HDL assignment warning at GR8RAM.v(309): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 309 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1618809607064 "|GR8RAM"} +{ "Info" "ISCL_SCL_WYSIWYG_RESYNTHESIS" "0 area 0 " "Resynthesizing 0 WYSIWYG logic cells and I/Os using \"area\" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched" { } { } 0 17026 "Resynthesizing %1!d! WYSIWYG logic cells and I/Os using \"%2!s!\" technology mapper which leaves %3!d! WYSIWYG logic cells and I/Os untouched" 0 0 "Quartus II" 0 -1 1618809608674 ""} +{ "Warning" "WMLS_MLS_STUCK_PIN_HDR" "" "Output pins are stuck at VCC or GND" { { "Warning" "WMLS_MLS_STUCK_PIN" "nNMIout VCC " "Pin \"nNMIout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 536 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nNMIout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nIRQout VCC " "Pin \"nIRQout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 539 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nIRQout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nRDYout VCC " "Pin \"nRDYout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 538 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nRDYout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nINHout VCC " "Pin \"nINHout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 537 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nINHout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RWout VCC " "Pin \"RWout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 540 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|RWout"} { "Warning" "WMLS_MLS_STUCK_PIN" "nDMAout VCC " "Pin \"nDMAout\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 535 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|nDMAout"} { "Warning" "WMLS_MLS_STUCK_PIN" "RAdir VCC " "Pin \"RAdir\" is stuck at VCC" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 534 -1 0 } } } 0 13410 "Pin \"%1!s!\" is stuck at %2!s!" 0 0 "Quartus II" 0 -1 1618809609002 "|GR8RAM|RAdir"} } { } 0 13024 "Output pins are stuck at VCC or GND" 0 0 "Quartus II" 0 -1 1618809609002 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "1 " "1 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1618809610173 ""} +{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "2 " "Design contains 2 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[0\] " "No output dependent on input pin \"SetFW\[0\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 253 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618809610220 "|GR8RAM|SetFW[0]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "SetFW\[1\] " "No output dependent on input pin \"SetFW\[1\]\"" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 253 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1618809610220 "|GR8RAM|SetFW[1]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1618809610220 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "309 " "Implemented 309 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "28 " "Implemented 28 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1618809610236 ""} { "Info" "ICUT_CUT_TM_OPINS" "35 " "Implemented 35 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1618809610236 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "17 " "Implemented 17 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1618809610236 ""} { "Info" "ICUT_CUT_TM_LCELLS" "229 " "Implemented 229 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Quartus II" 0 -1 1618809610236 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1618809610236 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1618809610455 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 16 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "301 " "Peak virtual memory: 301 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809610595 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:10 2021 " "Processing ended: Mon Apr 19 01:20:10 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618809610595 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1618809613704 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809613720 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:12 2021 " "Processing started: Mon Apr 19 01:20:12 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809613720 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1618809613720 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1618809613720 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1618809613876 ""} +{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1618809613876 ""} +{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1618809613876 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1618809614454 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM240T100C5 " "Selected device EPM240T100C5 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1618809614486 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618809614657 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1618809614657 ""} +{ "Info" "IFITCC_FITCC_INFO_STANDARD_FIT_COMPILATION_ON" "" "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" { } { } 0 171004 "Fitter is performing a Standard Fit compilation using maximum Fitter effort to optimize design performance" 0 0 "Fitter" 0 -1 1618809614923 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1618809614954 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Quartus II" 0 -1 1618809615251 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1618809615251 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1618809615376 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1618809615392 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Quartus II" 0 -1 1618809615392 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1618809615392 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 2 clocks " "Found 2 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 C25M " " 1.000 C25M" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI0 " " 1.000 PHI0" { } { } 0 332111 "%1!s!" 0 0 "Quartus II" 0 -1 1618809615407 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1618809615407 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618809615407 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1618809615407 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618809615407 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "C25M Global clock in PIN 64 " "Automatically promoted signal \"C25M\" to use Global clock in PIN 64" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI0 Global clock " "Automatically promoted some destinations of signal \"PHI0\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~1 " "Destination \"comb~1\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618809615439 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI0r1 " "Destination \"PHI0r1\" may be non-global or may not use global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 10 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Quartus II" 0 -1 1618809615439 ""} } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI0 " "Pin \"PHI0\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" "" { PinPlanner "c:/altera/13.0sp1/quartus/bin/pin_planner.ppl" { PHI0 } } } { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "PHI0" } } } } { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 9 -1 0 } } { "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" { Floorplan "c:/altera/13.0sp1/quartus/bin/TimingClosureFloorplan.fld" "" "" { PHI0 } "NODE_NAME" } } { "temporary_test_loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 0 { 0 ""} 0 372 9224 9983 0} } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1618809615439 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "nRESr Global clock " "Automatically promoted signal \"nRESr\" to use Global clock" { } { { "GR8RAM.v" "" { Text "Z:/Repos/GR8RAM/cpld/GR8RAM.v" 15 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1618809615439 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1618809615439 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1618809615470 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1618809615517 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1618809615517 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1618809615517 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1618809615517 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809615548 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1618809615970 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809616298 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1618809616313 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1618809617485 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809617485 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1618809617548 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "35 " "Router estimated average interconnect usage is 35% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "35 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "Z:/Repos/GR8RAM/cpld/" { { 1 { 0 "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 11 { 0 "Router estimated peak interconnect usage is 35% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Quartus II" 0 -1 1618809618266 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1618809618266 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809618923 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "0.58 " "Total time spent on timing analysis during the Fitter is 0.58 seconds." { } { } 0 11888 "Total time spent on timing analysis during the Fitter is %1!s! seconds." 0 0 "Fitter" 0 -1 1618809618938 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1618809618954 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1618809618985 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg " "Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1618809619391 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "378 " "Peak virtual memory: 378 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809619579 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:19 2021 " "Processing ended: Mon Apr 19 01:20:19 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809619579 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809619579 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809619579 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1618809619579 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1618809622188 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809622188 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:21 2021 " "Processing started: Mon Apr 19 01:20:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809622188 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1618809622188 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1618809622188 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1618809623282 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1618809623313 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "293 " "Peak virtual memory: 293 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809623813 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:23 2021 " "Processing ended: Mon Apr 19 01:20:23 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809623813 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809623813 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809623813 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1618809623813 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1618809624578 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1618809628937 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1618809629016 ""} { "Info" "IQEXE_START_BANNER_TIME" "Mon Apr 19 01:20:26 2021 " "Processing started: Mon Apr 19 01:20:26 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1618809629016 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1618809629016 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1618809629141 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1618809630109 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Quartus II" 0 -1 1618809632281 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618809632593 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Quartus II" 0 -1 1618809632593 ""} +{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1618809632843 ""} +{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1618809633593 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1618809633812 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C25M C25M " "create_clock -period 1.000 -name C25M C25M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI0 PHI0 " "create_clock -period 1.000 -name PHI0 PHI0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1618809633828 ""} +{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1618809633843 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1618809634000 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -9.468 " "Worst-case setup slack is -9.468" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.468 -696.810 C25M " " -9.468 -696.810 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.212 0.000 PHI0 " " 0.212 0.000 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634046 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -0.265 " "Worst-case hold slack is -0.265" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.265 -0.265 PHI0 " " -0.265 -0.265 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.374 0.000 C25M " " 1.374 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634062 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.404 " "Worst-case recovery slack is -4.404" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.404 -127.716 C25M " " -4.404 -127.716 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634078 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 4.850 " "Worst-case removal slack is 4.850" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.850 0.000 C25M " " 4.850 0.000 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634093 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 C25M " " -2.289 -2.289 C25M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI0 " " -2.289 -2.289 PHI0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1618809634140 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1618809634921 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618809635265 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1618809635265 ""} +{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 2 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "283 " "Peak virtual memory: 283 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1618809635624 ""} { "Info" "IQEXE_END_BANNER_TIME" "Mon Apr 19 01:20:35 2021 " "Processing ended: Mon Apr 19 01:20:35 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:08 " "Total CPU time (on all processors): 00:00:08" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618809635624 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus II Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1618809636734 ""} diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt index 67e161c3046dc166d6abca84e70e3d1dfd9719e2..741c2ff8976d93b2c750ab07dd1a6f309f9efb66 100755 GIT binary patch literal 3106 zcmV+-4Bhjr4*>uG0001Zob6p*PvgiEecxYU<$X!Y`IZ2Qfy{31z`(?#%fo0D1<341 zK*-I2G#J_tI<68@9I(@)xFA| z1n(B3yPF`ahC!uKmarUFq#8uS>9^7KFt`|8-v$yy{|PRKS3&1yG>^YoI{YOF_HT#Z z`;&*!cs}dThVx+leG-pvI{Z4E4#(HSQt;PkIE}AN4ocSuq)ExF0hzty4;@Bc z-Dr$;YK#pa^W@Xn?~J^r$r#(z5L-axyTSODk=F+Dy63&~v(86Gq*TyUpPYPYP;V^; zm}zkm5oj)ZCnO97!6wFG$Vo(?SsD2qv9bZ$6V~DVmI3g~_s3Po)FFR98pb2#17eAwfBIqpfNWQsA$QBRu zloE>I6Pu=RZlh`xws9!0A_T2GI~f8a5GDSN!(|nrD9&)A$?!VroN`8L0whkQH3X#9 zlEHbA8UjeqZTntx3Ai7|)(i%cgmtELu z2tZwWj0W)=hnzY>&MBLW*AZ-@cSo#91e-W6dRWXUkq9>9~P+%%}Uk+qGcQRc&; zv5BzLjsBG@*1wCt^W*dMmB+?I|HH-Se)r?&e&@7%){j0PeeRbI zKfd2DuWwunE$@^H#}5nRCu||IX;vF2^^BmJpq~_pu$nCKWE|2oTIxa)3MOSfu8eP# zV39Impm;>COD%-gv-7CS70_A+MLy1oS|(KrQX~RUtVyzMV^*W!dKfK)pJaMS{In2$ zq7!n$&6YbZTWuS`htp=;WWD1M*@oqb^JT@ScS0pHZP;k~y<3@vlt=`klTT+hN**ZGa>Q?`vVEi!#;Bm9heT)5aq_*qW2a1C0s@*dd>s~`Y%+0utp5P*`} z2ic{q7$jR{|FeS76N}7rMyY?7tdiwtPd|EmzZm^nQ3_WR+$y zI*CE#Cl$evKq`wSlM={gAu9?3$t-lOR3Mo}K@)*=7QUw@kj_H)Edfz%ehU@S(=7Si$|wMEhL7szf<tq}nN^Qi z4Z!f7?$in-x~M2!Mr0S-P)#aG6$Pju<%O@(3S_+`y*$NGVKAQr-U_*}^%z}t6k-j6 z`le>M2F0biMy^SLmPD8l3x>?;APq*9d_ocoO&%0Tg5e8`0y!|NJ`F$nkO{+==LJ$> zXlkNBE)2a_K_C}~XQB#Z!)SVR0vRzhc~l@JM#Ib$6IzVMNFg~!L#Gr-kWmza1yW>K zDycw*jE15qkS4>|f&~&~G<$0Va%B`dF3iX>? zP#}=#qS&4xW?UCC@Wo^olBXb$?4sEU3+XN|H{h^rxK1sbqr7cF`U_9=6i9%1xrv8m z8{}1F8($y~=H-4JmJQRZce?z_j21)kyaf_tmTV}+XpPvQ?8HHG49yb}NRH8LpcTlF zQBVK{vSc19m5SLiB33KpBB1dcLxG$ben(sgIWsitKZJxCn*AO^!VJIn7xHEJ9TcG% zVTLxQ5b|C4t#%=#pYZ3kKr)DOCunHKtRPQr2_dyYaSTNWsTGk)@UoMmvZkX95}wqG zsIr5V^7OX#!*3@$&-M9n{qc@u=?_NZo8jLFrAq4J^73=u(q#JZ_xI9aJlIJ}O!u0NQGz$fncaDr=q(uI`49a=wkG^Tpt<6pR=5{p*LjS#n0+`rB*0 zrJfPrw74Iq1}dA!udZz#zV-e6)ca@2{53=9mWcgw>b+Kx$+l7Kv=d|{ne(y|TBl}tk#cWS^{iO5IGSp?U!`hVS83T# zY29gln##fU zs4R}As_x^d&<~H^bRQ*D{fMVp?$^H14^1_-sYH%3x*uy_L+eg+T>Jd+=;{DDzj#Vr zNw&Q9x%cRGPc-EoeN8{I8F7xj6tlh1nrb`G`%>)DLTf6sTWZNq`H}ajQ;8g7bU*x5 zy8vsSADU9PTJwviDt<%>?JSy;rL|A(r{4PFudR4H$4TmdphDwB+~Y)Dbhqq#-D^tx z;997O4*6-S<_G#}1;}On$UEGp9qK-g<+Q`OqH2DycN)&?-Kh<8h1QgsWRzo!;75L@ z4*B!bRNIf0FLVEvrIk+`&{>Yqoii;`8zu{_DfeM#%a346-I2~uQw=|Y1a(zDKTTzB z*ei~vL`_`F5q^FcmAcrRTO%L;pg0^hw33%F6BAbRO;m7 zLTgIgJF2SMrN2=vUK>w4pZvNXtCV^%&2c^VBl1z7ypo@$)Qx$|^%3`#q|7tzilZs@ zX3_jK$5$j@4T)_54T*0$9u`CBWx z#kOx%jfYWdeTQ1}w^oDKux#hN_`$S!R z_WB#&>ec0B=X+vAeOBe$?7wVvf`*Rq{6b3*mzDa$tHK9pDQ$g`aFxaY;GkNvluAG#+8s86zfWR0@^qihsCOD|YwGzYv(>H1m*)MOtHWRZ52JEyX|5X~0RR91 literal 3122 zcmV-249)Yb4*>uG0001Zob6p*PaDY+ecxX(^84bc@wY@GkwA8R1NmU0n}=v+8E|$j zz;JC7ZKT!y_B&&PnSkljr)KD$mhzVPqug`4PFHo+>1Ox*dO12fiDu)=$&1Es$Nl5I zqn*p~_2}fJ5#{fFo6Hx}tJ#Z&>_{W}-_3Zwyjk48`f>e2G#o~)rs z@s32VZl)J!QPN7HxZ9MZnZ(kH(#ibW^mGz^7@wX;5~cr%K2J`fgR|)}`)1?iFHy96 zKKVYnzM9UKi_v1TjF#W8v+>O*Unld)>~zwI{+dqa**n)S8qL)gieHJQXW4ign=k!2 zT`VW_+mB@*%pdmUVfrQhW4F2b_xk(Ije)HO6JYQ|`b8*%Ensl(>}>u;dX&vJuzCFY z?Ez!2V>QMOHO4Nmx&QI#cg9}NW{d-Bh<#x4)p&N!*c$+Q!}rJUj}G23CM8Bwy}$pZ zOFgw%aHh{$M5OtAyid|l5N$FZCY(h?nw0~QP$J^w4^EmyyqTq+DQ=4uaoXG<5p&iH z201~9KItB7GC}OgOp+E6c~(!JkTD1GCnq_#`X-1!8OceDh(B*$zkN@6W`fw0jgec> z6GWavcGXA_d$M(?#aXoB&Tw{01;+$&CtrxT9HWJ}Gy8lnrwrOqCwuTC$|$1FijU-z z+oWvSKo2RSh(4KX3b$;utkO1)Uf`P_&`v*Uww9#bYQ!Vs6kwGoLvu)ESW zV$hHcY;8oKAw5Qm{EcHy2QlZ6-Hdk-ZPHhJtVu+hEHApcSyCnuZDz0cQp%teYbc9| zH2Gpd0*P3Y=l`5bcC7Y39C12!dmnD??bw7J&SV#nCpXDGde zQTl1`)2Q+C-J9L!=7Srf&8=49_+epupDjf8>}umA&WNfx`$?0Ct9ghg^N^lZs7pvH z*p&IWGQQOYi?j(7#XT}E^$}l>-ls#ZfY!Gt@^N0&x2aN)CJ})$B+0goU5$e4Ve}Dy z^64S@(?|SC_sI#j3wPXZbznsw4$Xm0yyF--faQtztBTL@KDChrTjyXPWkm} zemOa_@=CiLo#Y@|Cl$$%Kq-r6CnZqLLRJ(6idpDdsX#G{LM8&`EPPK*pqz#7TL_f1 zXqsOFH7$9D^>6kb)d&(OYvGkqHlmi+AN)|EvW4FI36!?*1!J*Nwve6=r7enGAq=%SKzS&3a}N42RS#R^hE$qQel6{vd2dwGhX!el;AycKp~ z>oNU&pfGEZ)b}*QwJ0uiGOA3_E&=P-v+L_e}48>3pt=O|$0_8Ibm5)FL4KGL#sG-qx zmy6u8ieSb+i;{-hn0x2#WcDP|sv zTwW_S({?%+QcxgJ=%P5DA$D39GV#S?7gDDnQ0$^P3Jc{fPdDJOZ5XFk%~9UBp!|gw zdI}W4Jl({@wk`HmWE)?g4(9289kva(SFd#YD?3>Xsq+>nj9ICn6r;6LgR&C`#WA!_ zM4&iEbAVQ$LPjA06sVH9l~gKb%Su?Su#1SsYYYWyX803v3DnHcs{aHEW@z<$0tGYt z*(RmzVa+x+~I1>FjLs_lrhccysmkdKJ2RzU%qb z-`^WAv!PxWj5P18Y<{@8{^jihDN1bUp%i>rHJIJzHmsf-!2ABTe*_D4rhpI}l$-Wy z5gdew;QcC90|f7tDFGpPU!t!8g7@(;AOu@=S_k{(rqd6Cy*jOf?GRa9CldF|t;wDM z?9>Rsl2Of5I#?qgEbOyGLa*KX0Q(^Zl1`mmuot2WmR!3lPG&+3#jSFKt~ii{h+wBqp}1RaQT7yy>$ItB2U(e^ z(WXvHmdib{xK7zjFT^cLw@xhXmm7>91pDR6>J=jxq7O=)5G+|~^Ry1uDIaW=^ue{V za5+A?nB3a=Hk~hT#utrfc5^v8y}DTB0)x%BH@FpkBm2)nN3IiQQ)5x$>8`dCFElL0)2h#D(X za;?OwaH~>zLS0;4o!?wHqOXg~QLZ<8(HN#{cC9|USp9jh{J$Fyyro@h{c-{C%^St# z{2uaX{o{8(vJ=O#c`5%kzPKq)S-jg^z4X*a1b-xX{1N*w;A%WiMUZW<$`)8bgnXXI zkeb2zcsvZO$s<^W_11?2@a?V7H68}m))rVvZ>{Drs`J+88V>_&`!TFiy|QK++c6^bQ=C?I_Wd^pj0Z7(&i?ZT6#wM!YfE&FiQ+%> zsyB3wGhhEmNfG|{GRHe)?^%MVtG`lFTXUszME_;nb{HCe^)RbL zsuxBXS1nwvFiM3{A8Gs7IjXx8j`tn@*{2SajKl#*mi#pB{yzh`jeY)H+Qr>|wUY=hcpp1WB#F0yd(U?;Q=n6w$7$wi1 z{|sFnQye4Zzm%Z9Rm|~%?th&!{!yd)Af?thR2cih@G12*H5IZ?U7q^c!YrHlc%OWA z6ZPlhmkzxC!-@JrLD%(G@0-p - + diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt index 648ddda..e165956 100755 --- a/cpld/output_files/GR8RAM.map.rpt +++ b/cpld/output_files/GR8RAM.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for GR8RAM -Sun Apr 18 06:27:04 2021 +Mon Apr 19 01:27:04 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -45,12 +45,12 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Apr 18 06:27:04 2021 ; +; Analysis & Synthesis Status ; Successful - Mon Apr 19 01:27:03 2021 ; ; Quartus II 32-bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; ; Revision Name ; GR8RAM ; ; Top-level Entity Name ; GR8RAM ; ; Family ; MAX II ; -; Total logic elements ; 228 ; +; Total logic elements ; 229 ; ; Total pins ; 80 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 0 / 1 ( 0 % ) ; @@ -161,20 +161,20 @@ applicable agreement for further details. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 228 ; -; -- Combinational with no register ; 125 ; -; -- Register only ; 7 ; -; -- Combinational with a register ; 96 ; +; Total logic elements ; 229 ; +; -- Combinational with no register ; 126 ; +; -- Register only ; 8 ; +; -- Combinational with a register ; 95 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 122 ; -; -- 3 input functions ; 37 ; -; -- 2 input functions ; 62 ; +; -- 4 input functions ; 123 ; +; -- 3 input functions ; 27 ; +; -- 2 input functions ; 69 ; ; -- 1 input functions ; 0 ; -; -- 0 input functions ; 0 ; +; -- 0 input functions ; 2 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 195 ; +; -- normal mode ; 196 ; ; -- arithmetic mode ; 33 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; @@ -186,8 +186,8 @@ applicable agreement for further details. ; I/O pins ; 80 ; ; Maximum fan-out node ; C25M ; ; Maximum fan-out ; 100 ; -; Total fan-out ; 1024 ; -; Average fan-out ; 3.32 ; +; Total fan-out ; 1015 ; +; Average fan-out ; 3.28 ; +---------------------------------------------+-------+ @@ -196,7 +196,7 @@ applicable agreement for further details. +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Library Name ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ -; |GR8RAM ; 228 (228) ; 103 ; 0 ; 80 ; 0 ; 125 (125) ; 7 (7) ; 96 (96) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +; |GR8RAM ; 229 (229) ; 103 ; 0 ; 80 ; 0 ; 126 (126) ; 8 (8) ; 95 (95) ; 37 (37) ; 0 (0) ; |GR8RAM ; work ; +----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -236,7 +236,7 @@ Encoding Type: Minimal Bits ; Number of registers using Synchronous Load ; 33 ; ; Number of registers using Asynchronous Clear ; 30 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 22 ; +; Number of registers using Clock Enable ; 24 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -263,8 +263,8 @@ Encoding Type: Minimal Bits ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; 3:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |GR8RAM|PS[1] ; -; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |GR8RAM|SA[11]~reg0 ; -; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[8]~reg0 ; +; 4:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |GR8RAM|SA[9]~reg0 ; +; 20:1 ; 6 bits ; 78 LEs ; 24 LEs ; 54 LEs ; Yes ; |GR8RAM|SA[7]~reg0 ; ; 20:1 ; 3 bits ; 39 LEs ; 18 LEs ; 21 LEs ; Yes ; |GR8RAM|SA[2]~reg0 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|WRD[7] ; ; 4:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |GR8RAM|RDD[1] ; @@ -279,42 +279,39 @@ Encoding Type: Minimal Bits Info: ******************************************************************* Info: Running Quartus II 32-bit Analysis & Synthesis Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 06:26:59 2021 + Info: Processing started: Mon Apr 19 01:26:59 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v Info (12023): Found entity 1: GR8RAM Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10036): Verilog HDL or VHDL warning at GR8RAM.v(269): object "SetRF" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at GR8RAM.v(270): object "SetLim1M" assigned a value but never read -Warning (10036): Verilog HDL or VHDL warning at GR8RAM.v(271): object "SetLim8M" assigned a value but never read -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(34): truncated value with size 32 to match size of target (14) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(117): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(125): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(132): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(325): truncated value with size 32 to match size of target (4) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(20): truncated value with size 32 to match size of target (14) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(103): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(111): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(118): truncated value with size 32 to match size of target (8) +Warning (10230): Verilog HDL assignment warning at GR8RAM.v(309): truncated value with size 32 to match size of target (4) Info (17026): Resynthesizing 0 WYSIWYG logic cells and I/Os using "area" technology mapper which leaves 0 WYSIWYG logic cells and I/Os untouched Warning (13024): Output pins are stuck at VCC or GND - Warning (13410): Pin "RAdir" is stuck at VCC - Warning (13410): Pin "nDMAout" is stuck at VCC Warning (13410): Pin "nNMIout" is stuck at VCC Warning (13410): Pin "nIRQout" is stuck at VCC Warning (13410): Pin "nRDYout" is stuck at VCC Warning (13410): Pin "nINHout" is stuck at VCC Warning (13410): Pin "RWout" is stuck at VCC + Warning (13410): Pin "nDMAout" is stuck at VCC + Warning (13410): Pin "RAdir" is stuck at VCC Info (17049): 1 registers lost all their fanouts during netlist optimizations. Warning (21074): Design contains 2 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "SetFW[0]" Warning (15610): No output dependent on input pin "SetFW[1]" -Info (21057): Implemented 308 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 309 device resources after synthesis - the final resource count might be different Info (21058): Implemented 28 input pins Info (21059): Implemented 35 output pins Info (21060): Implemented 17 bidirectional pins - Info (21061): Implemented 228 logic cells + Info (21061): Implemented 229 logic cells Info (144001): Generated suppressed messages file Z:/Repos/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 19 warnings +Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 16 warnings Info: Peak virtual memory: 301 megabytes - Info: Processing ended: Sun Apr 18 06:27:04 2021 + Info: Processing ended: Mon Apr 19 01:27:04 2021 Info: Elapsed time: 00:00:05 Info: Total CPU time (on all processors): 00:00:05 diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg index 26e8737..f40de6b 100755 --- a/cpld/output_files/GR8RAM.map.smsg +++ b/cpld/output_files/GR8RAM.map.smsg @@ -1,2 +1,2 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(93): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(274): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(79): extended using "x" or "z" +Warning (10273): Verilog HDL warning at GR8RAM.v(259): extended using "x" or "z" diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary index 4cc6e47..fb1c00f 100755 --- a/cpld/output_files/GR8RAM.map.summary +++ b/cpld/output_files/GR8RAM.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sun Apr 18 06:27:04 2021 +Analysis & Synthesis Status : Successful - Mon Apr 19 01:27:03 2021 Quartus II 32-bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition Revision Name : GR8RAM Top-level Entity Name : GR8RAM Family : MAX II -Total logic elements : 228 +Total logic elements : 229 Total pins : 80 Total virtual pins : 0 UFM blocks : 0 / 1 ( 0 % ) diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof index 0a71b633a27f5361b53b0395d9efdb9a9c948263..087285312750f76d1129ef24e1ac6e02b907bcf0 100755 GIT binary patch literal 7879 zcmeHMdvILUd0*id>0r}zaEsd*X$UFP>EI{e1S78@u@f*4H!k*2VhID1>l$f^A6krj z_uvvc&U7F{8B81@DRjo2J|bd(v^VN-W=dvBmDy?%8v` z{?5HClbQa}|N2kS*n4!&cfQ~Eo$q|Fqh0^-+C(BzmzWcMHskZpc5m6SYxmBE`|fL4 zzU;Oqw(V+IzazDE$CkFXmK_ZnTXyW+mTGTUx_r@+MN1p*-M#JUry7GFmREjv24J=xN*e#?{F8}%6nMQph2+Kj%HT(I zrbr7Shlow<1MWz#tNhp-?=PBt)DK8Azq%hfVlK2w13#EvpT<*=FYpm)$X6tl)}h4y zX}a0ZFz1>^4bHUQtR`QB-W=gadPwlei2tg7A>>0yO&@8L!$0hc&Lyxcd3UnYLhmN~80K=6vc`lV0bt>Ud z2MOTkC__1ATQ&Tw8QoP5bjb)|^a^7=WsN`e8*TI#DkxDEbW7=+PmU#ObaSqdw-M&~ z3D8wabL^+LVtOwh)4?jH0)=yy41QHhmu&oEw3$|51pjl`&xH0!Fp!MjgxZ1~EQ^~3w-{qd~w!gDwFe`w^hb#n{X|7^?t znV{EQ`;+}ssc#M6c1L;PFAhZdZ~oMAUP^a_&I8}eu03=2((Xye@zd$k3(q+bU8#cy z4-{_syS766$@aqQD~H}VcyR5PZ@$-l+#meZl6EGxpGUl1Qx^A%`_P?Qod4fbVY`E|jG(1{`ckmB@P_)jal7jjG-8~=5( zq>BH9{U-i|8AGQi0^h0mJwqN0t!$5xkL{&_38btv<g%P#fhSu1G5?m6e*2=E=f81R z*V^35buX^WA=|=!QmCFB3mq;Zzcqdge+oU}MCU_1=u*&$`=e5p#Nc0 zw+FZjiWAi0k7q+aWZXuQ)m7Phhh*My4SZS^@mqnx=?pyhNnQ?c=s&7OC;T6{k$jBl zfgIM6r%ea<+!A zan8l4PX+Fipf+OXJGePN;T*<3UFUTQc0EqQRZ7}QAx==oU`wMP;sN&Kq>LWB2>K6@ z4`zoqNBl}F#78J#UXv$q>yXcaBKC{O|2YSaWLBr-d48UdM}~alHaipNH|R^H3G(}t zN9}^j3KAhtspkUdjr&RVqg@&iCy$(D?h#85Q95+fvZTh1r-5E6Z`1T9H8z|6E*Nbr z+yBtYh3x(sZHnY$zdw=bP?ZYZ`O!x?*B4sud3*jUf8EBmd5J!D_zR#H=dWHH@prSyorT^#Yu9Xm z{dTE6fy?RX1Knh0>^D)a2PR71MG|^D@)0Cz>eK42Kp47( zie*L{UX{wCq#V z7q|ehAt|DhD*Nb9k3n1ad^S8LnaH60s$!U0F$532oko5%Lzh$ z$wmAgWm(jhzY2clkf&v+5rM#u{hvus^EBp^*AnMOi;~CHPU9<3{G^Ft=}G7rRynbE_Ry!HM_c0laRume zpI_V9P@UJ4xcl9)XY2O<{k~8CRnZo0_`CHt-qr(S_4exOV!h&~)fT-xdD90|lfaMT zPdtz?bl_jF2O8flPVMWuX@j9Jh~(c^JUMu3Yb!nYjn_r%+Pjac%L~fx@aBb-C+B;Q`g*sCR;6Ky#7n%c~JKAHKHib$` zI7b=^*7Sp%5@mAWXIf11KGiI>&$XJ|JYn=h9~J0PB1buaU+KX!Ql)(4Bn7VM{9Iks zv<`DvVnhlDYU&F3>^%Bvj7CnH23krK9Iy0tVqb6w>ke{FL??m16!9)};4{i0Kagwq za>lM0GYWS9=|-naBL5#s2cL<#u^i6rumS9#En zc@Ful;s%Trh15EqhZe&Te@g{%fUX|elZ%G|;s*_@k5NYaMV4v@H0nyow3NO@0{c-P zJHlH1A(^3p@I*!nybGfGUh3qe&KpFcGMRU*s~v9a%sQM(C`|p`V{kxMY+<*~tPC79 zI{I?z*mq^vr1@*)Y^?R~Ty|0_7!@=FJC*$uH4%Cc;gNG^vtHx?bp)WhUb$m_;)8~&U|ju zFUP;gK3UxQ_}m-zPc3LFEm=+TS0^$?zjJpD4wvR!42I~P`q|ZUw7>jETL1ieRKDln zfZ?~=2j`XM92|R*epUa_)VX)xC_mbx`3vOy$tNf!=AH%z-K`y^fBX2SBTu}S+1Y)$ z`|xlY_3!Yx$bMyY$v<#E`cr?=tNsJ4Rt_EcYR+ArTlbGgyCVBoLMRR2REkq6l;H{K z!ogTDBvduV*YL9sikE3LrEd!?2QoF;v>82!p_eI$Jjuok`ieF=VV8VKW!#~m7b)mL zTzR-HhU?d52-EN%E2>vfd`caRust*?wBvG{0$%b^oe*IWK5sUDk8>g(m7Q1G!+sR4 z^mKd@_6726Y8-iXoPJ~WaT7m0lCL=QO>Sr9tfcnTg?y1xc%KOPC)TmIOua@giMV1K zn=N#1ejrO_%E$b|^mDK?N8Ip?K0yRIn2${V9R}wN^Z7T+h$lF;GL<^R5YMY>_??D7 zX#+zKkambO?T%9UpJVwZd!RmQbwZ(k%!g%?Bf68}yiJkZvq(wcXWKeqL0~8xOj9%T z%yAd)YxbTEaE=~9^_XlpGSc+ z_{J`syB+7Ttcd*|@)_1aYnM0{$XWzpSsT819raT=1bayIK_pBT)rxt#Mz88DF&ns* zDb6k8p&t2AD)C;8o}@~1SveI=Jv4&+E#7K6dcw87%IKpSz8hvL-XBoditBPbMpyQx zirwS6NPbHN`b=i1@w)2d%~M&t|8Ck<`uL0-T98;|Ad>iyZlH~;=?NjAIiEZ(nGy?aa2=y|JA?vJK{`e*S{C#f5&B2ee2%J+#86lnG$&a z)jxge_vc@3{M${L_HDkj{#*Hjdsi<1`iotMMZ_;S>749T%BJTi@OLBob&Lnvs}hUoOvnmHG^8-k68?^d z5qD`z*fX+^1P8G`eG&Euw1f9t1+9Y0Ibd}G7g*=?-7N2s^RC29;!%QubXTxs>&w1lA=l@Wg>n>By|!2X>fGNFb{khKz}M~ zbPM_io#>N#^Li_yi{tN)Tq=l(^@m(CeuU&8bjgAnRlvGAMbr3rUuwKIDX5U?d(baM z<7afZc>Eqj&QXfRe8@93eAq|BBd_k)6$VF!%vdh+g+Nmmk}&pBN=EuvkW-_lO;><( zam<4lr%Qfjf5@Ab4@}gtNUrr;_z@0dUe%`_cqY!UM-k^0f%yRXTq0rqeyT}C`LVWN z#`{7(9MQ*t({b8?Lh<@%<5hffjA{AF-tixg5G(F6`DkQ($b3H#$({C}&*uNz`ZWFX t(cIhrwA=r+U2aTVH}mQ@LJj5{At?3v&*v8S+yehkEpTo0UGb^m{|0{#XNv#; literal 7879 zcmeHMeQ;dWbzcW;!C;I%-~cVQ6w=b^Ou-)tCc%}~0Sx032Nw#=q?HstWY;y)4mQ$) z#oJ3mvFWrn{fGfYtW`45DMKZNF_t22-d+P9k|z3KCfJHC-g_m)tJvnfXIbn%?|Xai z>F?gRvdLflum2RyXrJyq=lssO=j)!g+H}vIi9}*vqDFtV;PcNr9@_py$Bw!U8|vy; z-}vy>C+aqBPi@)$P+ME;_PPgJx9`}RYOh;Wf78mFR@L3svGuV>>sHsVu3Ncg#j4dS zRY_Rg)3wAO8U=#g!8tLpCiv$_XcAFf;f=+-A7nfOxTawu89Y2)gzt^A8s zD_7orbK;`JrP#W^{fVtlJl6VXVm^4(&yvK#-_w7nj}MfQl86L;_v>ep^m>{|KD~cJ z?d!E)ea@{v_w=;fBb!UTBpWstXuLu%i^?st5|W~RmP(oqd7lE{wRoaPN61&W0&Ax6 zt9X`r3a0J({JyrsiR~;=0J@R~KKNsNK{6mJ5~U>QV=S9<2~cq}(dCRu708 z0;(x5CN+PiDtF#~B1hrn^}a<_I{O?Qa>}j<_*$==!?yx2@+jZ}wyCE@jPiaLnSm4A z$H4E?P9e#W{i=c%H+@8>3y>GHu9oi$b)1+*k)L;n+Qg6#d6-KBFDm`O({@ZL zAZLq7Vgza;f$co#eW7Pdf6xYW$V^H8WCw{}8C0mqS=x5URD4Pv zZt)eq#jrk}A`{Mz@u5!BM@XjE=1kk?Qc$OeoT-wp{9N@9iKY@c>9#T9w+HzxZaCoY za?XLyw7ezSrS$XT*;y|s-!Lj2lwJ+o$_4qJ0hxXzS7cMp{^p6EtT|<6R?rTdPy4@b z&FCuc*%xTz?n8mR`kwT%XmMh}Jr&^+>(~BCj;<=qUr-qP{-G{ele}Zu@qc~>^tY6L zb-uRn>Bhoz?fb^FhiJ|B^7XE$UA&8;Shp~%dcl2dlrqmY*6*p*Bo-vkfnKZi zH+6h8di25cPpyr6Chok8)tj@wf9>A-D9&QHBoP@*U;=p#q@)t%c%wUh=b2N4Hm!}9{UWRnQZOniPfQhxR+YY}z& zJVJkvB{)yy)V_)zCvQU6JHvScle!>}ea7SX3M4SOZ<^$gOfl|~&%hlv01y0R^m;n} zC#1$hUUeDm*f;TuErlOQCl!e<;-0PghZD$r6p2|D(>d}EtOAzXr2rj_`!>V`$}N^R zr=$~fxn*J=@)mi%GY@$a4JoF_I2JmfH$dnM9QzFQF(h>z3O|rRMgjVbsr2`S(v?9V-Mq_X&PAUT zQZfcPr&@pT7ZVor`R%B=CS_lAnoP*%mHbYkqsV`QKukH2E7H_Vek3>z><7JR?wn~f z9AGza?vy4KetBsc}K1k&hm1SOs@1HzG)Vsf8|AHav=YiESnT%WIy8N^9Qwm`Lu7pAfo#t z>5NZx%k}wN$b0a;8OyS?eBbbk$-+e+JT-sup<6O9KRIKb+qHaAV)2>F7hKn`>nHQl zdxiNQ)XY!3ds*joe_UB=Kf8RV$0ID@-WP#M_1i~yzRX14YNeOjdU9K;_n z*LKTbpoyYLH8$*P|Crl)k?(4)>Jvqvh26R5FwF|F#1pT(w7g&l9e~N<+w?T(OhUJ(U*AIybvHj#l2Hc|Y z82k`S(2*bzQuM;k2FzDKkVi;pc^6D_5;(xp{5YGMhs0kwcI>w_?2pJ`lH=a9G#?ld z-=;a8KvA{K6AU!@Lxnn*63gTMnKz-k#9%?oJk!dIfhw zl@C)+5waOFgh=gpKhIe({BUgZqV+dif9v&2U;Wp=zcV*{_nzEv ze127bvpg63%lA5vU7Z2uC=`pbPY~vd0NpUUQz;EIiNrQYx$y-^( z(WkWvt)KG&m2b_&q=|x!^Mip8`UV2bPqUFglE}QucLoXgmmJ@7d?xxF>i44o6Z^KL zlF3AfdLg0g2vlAbdr|*^2pt;aL+A59tIp5jd8|aNMd9uz zC!H)={Gg7nJAi#vpU7$HaG0<1bR-Zw_OC&k(WkOr1Yv3OW$%_no5f zj#ufqlhXeL)f2UVzo95D+uFRM_BUecbxpV6yHfHePH{-d|HIj_sE9cn_{pib{%A9K zPK#;adX)k58;~Zlf;pv-(eh1e5)0);iHnW$do{B6io_mD{J1kwp*>SI+COeGu}IDb z{TriK$l6QZeU8ohx6-DCmE!QFv42a~{6V26ad_WVl^5ieYZCLzOXihkDj#RdHQGN0 zeSKcx>f8Qq--m~;kXsfdo}+n*(#mhmWRK0$^2XaIe)E26^SEVYCpLGos}9a&mwEN< zz3i1sCRKhK@>6f{=ih4I^ZX_Iyc=#ku&3|qYsU{@{;aRp_6zQi>{5PI_XuT*k%|K< zPN>ML{iuNZj0ujQaq0sflW#iG%2ld#kC%KJ5K1m;p^bFkk!HzN9iYzCdu0*w6lh$O zmWAOh^O7GLoNM_bs11yTT;b=59Oy%Ra5B51Dt-nx2+=|4EeR9$Oa?Ey=>Mr|elUzi zSc*u1KfwD3;r)-DuOHZp{6hhe&1{;bzDfgc#)T>f=LSviyp8&blzk<^I*`Y064#HI zjpJ+Z5PW>Ci+UT!^a?p2=8-t9{Dh~ZQ+jSFO7&g_J;+FDlSOfV2mdMX1>!WU-03ij zjFeOtL5QS zc_aE0>i@t?My{lnwK>-KK?thjX3H`|r`@U4|(VR!OpZHI%2#_s-g8_(7D4?bRr zx_|mqUdda|m(~^jXx?{QFFri6sQW+8Y&duAj|P`SXP15XRi@+xjehz*D@?yL`r1U; z-gss9OtyPmz5n%=?^E_4b3E_GU$=X%hxyZMJTUg!%iZez?~UOe#9hf-mWR7ZSmtyd z>+-Zm9wwqOUpyVtk(~jwkvGR0FkwjVAii&j^Q%lMe|(O*@jc|Q5PYCHN71mF z1A$khFpZWaP7vM$(6^2u5A0Nk_pA}EU-xC-=jD^=r|KRGa|4+Y7pMbGF9V}$NAFPw zBNWEB5;n@|xqTxjIld?|@6(*2=K29>yv zu1kIi&TxIZ%cvUJnu_oy6RU!xob@8Mg1WTnA>p%#L0V$IkvK=p(U2r{wFDqAqUpX zMhx=lJXsN5YxVpIdm!h~K?c8Z^m7~?_yYSHAN(ol2apRnaHkuh70Hl>ee=ND3-n;B ztF_T%;iTnQA^+5--+qAkhABHt*czrf5bt8omtERs(8QI4bTwI{%aB=6MATEFewm#{ ze&zFTzixEVDJ55bRx0@;A$`^B*&S}2{4ls}ow!k)yQZ>>jAEN*O&$gP1kvrMvj0N! zA2^d+ut_A0C+Gca7kzVf>H0hRHBLxZ7Iwccmd(7w_de5IXPkTW*7 z6n2k`rH{|>?>*DKZr{1`Nss<^cG*|2&nW$APlwbMn6n?Dk9*SfyehuB z0lH9i#M)E6q6zQg9`f0Qw`2dPISTWScbf7-0uOHGlAZ2RKpXe#FlD84iC+ z8$P#)Lr&EvzLcO82@IKe45ByFY*GfCL~afrc|6H5t&r0^h4*?2QafFS{%dP_;KlP# zF99b&e&j;ntM{NP-B5NmG@-sE50IZ`)a(%MXT=XbC9l43;6%-l+7C)+u@EU|4j+BN ziNHrY)tp5UQ+Av9RZrC?LV`SeVmqLt2kCu$#Q3`YbX~;!L}zh+lYR#u_czgq4Lo&$ zC|rE#CvgfLthuTKJfTn0aAbnNYCrnh+&=t?1@^@Sd4+?%0Q;)^Bx@D_d3>hvyW+L0 z@df7p^Zf9y>MOI!aU!P;%`7D37XV_t7oNa(Vq8b-)AVHT^baP86`yJZ|HSl|`u~8o z_dNdj_5Zd%NB`pa`S@M%=l``|u1PGs`22r_>ePRP5ZEt%zVN^o9{7LiflKuNir@XO F{{cEjae diff --git a/cpld/output_files/GR8RAM.sta.rpt b/cpld/output_files/GR8RAM.sta.rpt index bbe6bdd..96d55fa 100755 --- a/cpld/output_files/GR8RAM.sta.rpt +++ b/cpld/output_files/GR8RAM.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for GR8RAM -Sun Apr 18 06:27:24 2021 +Mon Apr 19 01:27:24 2021 Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition @@ -86,11 +86,11 @@ applicable agreement for further details. ; Maximum allowed ; 2 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 1 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.0% ; +; Processor 2 ; < 0.1% ; +----------------------------+-------------+ @@ -109,7 +109,7 @@ applicable agreement for further details. +------------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+------------+------+ -; 102.16 MHz ; 102.16 MHz ; C25M ; ; +; 100.46 MHz ; 100.46 MHz ; C25M ; ; +------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -119,8 +119,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -9.035 ; -651.992 ; -; PHI0 ; 0.356 ; 0.000 ; +; C25M ; -9.468 ; -696.810 ; +; PHI0 ; 0.212 ; 0.000 ; +-------+--------+---------------+ @@ -129,8 +129,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; PHI0 ; -0.263 ; -0.263 ; -; C25M ; 1.391 ; 0.000 ; +; PHI0 ; -0.265 ; -0.265 ; +; C25M ; 1.374 ; 0.000 ; +-------+--------+---------------+ @@ -139,7 +139,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------+ -; C25M ; -4.406 ; -132.180 ; +; C25M ; -4.404 ; -127.716 ; +-------+--------+---------------+ @@ -148,7 +148,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+---------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+---------------+ -; C25M ; 4.852 ; 0.000 ; +; C25M ; 4.850 ; 0.000 ; +-------+-------+---------------+ @@ -167,106 +167,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; -9.035 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.911 ; -; -8.936 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.812 ; -; -8.789 ; LS[9] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.456 ; -; -8.787 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.663 ; -; -8.764 ; LS[11] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.431 ; -; -8.734 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.610 ; -; -8.648 ; LS[7] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.315 ; -; -8.607 ; LS[8] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.274 ; -; -8.582 ; LS[1] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.249 ; -; -8.524 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.400 ; -; -8.511 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.511 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.511 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.511 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.511 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.511 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.511 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.511 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.387 ; -; -8.417 ; LS[6] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.084 ; -; -8.409 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.409 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.409 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.409 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.409 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.409 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.409 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.409 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.285 ; -; -8.392 ; IS.state_bit_1 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.059 ; -; -8.315 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.982 ; -; -8.304 ; LS[3] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.971 ; -; -8.297 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.173 ; -; -8.288 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.164 ; -; -8.254 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.130 ; -; -8.218 ; PS[2] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.218 ; PS[2] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.218 ; PS[2] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.218 ; PS[2] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.218 ; PS[2] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.218 ; PS[2] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.218 ; PS[2] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.218 ; PS[2] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.885 ; -; -8.198 ; IS.state_bit_1 ; SA[0]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.865 ; -; -8.156 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.032 ; -; -8.116 ; PS[2] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.116 ; PS[2] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.116 ; PS[2] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.116 ; PS[2] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.116 ; PS[2] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.116 ; PS[2] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.116 ; PS[2] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.116 ; PS[2] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.783 ; -; -8.100 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.100 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.100 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.100 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.100 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.100 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.100 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.100 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.976 ; -; -8.062 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.938 ; -; -8.061 ; PS[3] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.061 ; PS[3] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.061 ; PS[3] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.061 ; PS[3] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.061 ; PS[3] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.061 ; PS[3] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.061 ; PS[3] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.061 ; PS[3] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.728 ; -; -8.060 ; LS[10] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.727 ; -; -8.059 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.935 ; -; -8.039 ; IS.state_bit_1 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.706 ; -; -8.034 ; LS[9] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.701 ; -; -8.026 ; IS.state_bit_0 ; SA[5]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.693 ; -; -8.009 ; LS[11] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.676 ; -; -8.004 ; PS[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.671 ; -; -7.983 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.650 ; -; -7.981 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 5.857 ; -; -7.969 ; LS[9] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.636 ; -; -7.959 ; PS[3] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.959 ; PS[3] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.959 ; PS[3] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.959 ; PS[3] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.959 ; PS[3] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.959 ; PS[3] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.959 ; PS[3] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.959 ; PS[3] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.626 ; -; -7.944 ; LS[11] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.611 ; -; -7.893 ; LS[7] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.560 ; -; -7.880 ; PS[1] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.880 ; PS[1] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.880 ; PS[1] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.880 ; PS[1] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.880 ; PS[1] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.880 ; PS[1] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.880 ; PS[1] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.880 ; PS[1] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.547 ; -; -7.863 ; IS.state_bit_0 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.530 ; -; -7.852 ; LS[8] ; IS.state_bit_1 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.519 ; -; -7.830 ; LS[13] ; IS.state_bit_0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.497 ; -; -7.828 ; LS[7] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.495 ; +; -9.468 ; RAMSpecSELr ; SA[1]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 7.344 ; +; -9.201 ; RAMSpecSELr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 7.077 ; +; -9.122 ; nWEr ; Addr[0] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.122 ; nWEr ; Addr[1] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.122 ; nWEr ; Addr[2] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.122 ; nWEr ; Addr[3] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.122 ; nWEr ; Addr[4] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.122 ; nWEr ; Addr[5] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.122 ; nWEr ; Addr[6] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.122 ; nWEr ; Addr[7] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.998 ; +; -9.097 ; RAMSpecSELr ; SA[0]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.973 ; +; -9.073 ; nWEr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.949 ; +; -9.028 ; nWEr ; Addr[23] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -9.028 ; nWEr ; Addr[16] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -9.028 ; nWEr ; Addr[17] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -9.028 ; nWEr ; Addr[18] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -9.028 ; nWEr ; Addr[19] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -9.028 ; nWEr ; Addr[20] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -9.028 ; nWEr ; Addr[21] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -9.028 ; nWEr ; Addr[22] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.904 ; +; -8.999 ; RAMSpecSELr ; SA[7]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.875 ; +; -8.954 ; PS[0] ; SA[2]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.621 ; +; -8.925 ; nWEr ; Addr[10] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.925 ; nWEr ; Addr[11] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.925 ; nWEr ; Addr[12] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.925 ; nWEr ; Addr[13] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.925 ; nWEr ; Addr[14] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.925 ; nWEr ; Addr[15] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.925 ; nWEr ; Addr[8] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.925 ; nWEr ; Addr[9] ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.801 ; +; -8.873 ; RAMSpecSELr ; SA[5]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.749 ; +; -8.872 ; PS[0] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.872 ; PS[0] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.872 ; PS[0] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.872 ; PS[0] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.872 ; PS[0] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.872 ; PS[0] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.872 ; PS[0] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.872 ; PS[0] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.539 ; +; -8.795 ; RAMSpecSELr ; SA[8]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.671 ; +; -8.778 ; PS[0] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.778 ; PS[0] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.778 ; PS[0] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.778 ; PS[0] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.778 ; PS[0] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.778 ; PS[0] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.778 ; PS[0] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.778 ; PS[0] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.445 ; +; -8.765 ; nWEr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.641 ; +; -8.729 ; ROMSpecRDr ; RCKE~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.605 ; +; -8.728 ; PS[1] ; IS.state_bit_2 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.395 ; +; -8.675 ; PS[0] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.675 ; PS[0] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.675 ; PS[0] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.675 ; PS[0] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.675 ; PS[0] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.675 ; PS[0] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.675 ; PS[0] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.675 ; PS[0] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.342 ; +; -8.610 ; PS[2] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.610 ; PS[2] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.610 ; PS[2] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.610 ; PS[2] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.610 ; PS[2] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.610 ; PS[2] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.610 ; PS[2] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.610 ; PS[2] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.277 ; +; -8.590 ; RAMSpecSELr ; SA[6]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.466 ; +; -8.589 ; RAMSpecSELr ; SA[4]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.465 ; +; -8.579 ; RAMSpecSELr ; SA[3]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.455 ; +; -8.559 ; RAMSpecSELr ; SA[2]~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.435 ; +; -8.516 ; PS[2] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; PS[2] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; PS[2] ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; PS[2] ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; PS[2] ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; PS[2] ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; PS[2] ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.516 ; PS[2] ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.183 ; +; -8.469 ; RAMSpecSELr ; nRCS~reg0 ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.345 ; +; -8.461 ; PS[1] ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.461 ; PS[1] ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.461 ; PS[1] ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.461 ; PS[1] ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.461 ; PS[1] ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.461 ; PS[1] ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.461 ; PS[1] ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.461 ; PS[1] ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.128 ; +; -8.413 ; PS[2] ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.413 ; PS[2] ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.413 ; PS[2] ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.413 ; PS[2] ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.413 ; PS[2] ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.413 ; PS[2] ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.413 ; PS[2] ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.413 ; PS[2] ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.080 ; +; -8.403 ; IS.state_bit_0 ; SA[1]~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.070 ; +; -8.384 ; nWEr ; SDOE ; PHI0 ; C25M ; 1.000 ; -2.791 ; 6.260 ; +; -8.367 ; PS[1] ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.034 ; +; -8.367 ; PS[1] ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 9.034 ; +--------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -275,8 +275,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; 0.356 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 3.102 ; -; 0.709 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 2.749 ; +; 0.212 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 3.246 ; +; 0.711 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 1.000 ; 2.791 ; 2.747 ; +-------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -285,8 +285,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ -; -0.263 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 2.749 ; -; 0.090 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 3.102 ; +; -0.265 ; IOROMEN ; ROMSpecRDr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 2.747 ; +; 0.234 ; REGEN ; RAMSpecSELr ; C25M ; PHI0 ; 0.000 ; 2.791 ; 3.246 ; +--------+-----------+-------------+--------------+-------------+--------------+------------+------------+ @@ -295,106 +295,106 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ -; 1.391 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.612 ; -; 1.401 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.622 ; -; 1.402 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.623 ; -; 1.404 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.625 ; -; 1.413 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.634 ; -; 1.453 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.132 ; -; 1.639 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.860 ; -; 1.796 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.017 ; -; 1.800 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.021 ; -; 1.828 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.049 ; +; 1.374 ; WRD[6] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.595 ; +; 1.375 ; WRD[7] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.596 ; +; 1.396 ; nRESr0 ; nRESr ; C25M ; C25M ; 0.000 ; 0.000 ; 1.617 ; +; 1.518 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; 0.000 ; 3.458 ; 5.197 ; +; 1.653 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.874 ; +; 1.653 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.874 ; +; 1.654 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.875 ; +; 1.657 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.878 ; +; 1.693 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.914 ; +; 1.768 ; WRD[2] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.989 ; +; 1.783 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.004 ; +; 1.827 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.048 ; +; 1.836 ; WRD[3] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.057 ; ; 1.837 ; WRD[1] ; WRD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.058 ; -; 1.919 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.140 ; -; 1.950 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.171 ; -; 1.953 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.132 ; -; 2.063 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.284 ; +; 1.942 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.163 ; +; 2.004 ; IS.state_bit_0 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.225 ; +; 2.018 ; PHI0 ; PHI0r1 ; PHI0 ; C25M ; -0.500 ; 3.458 ; 5.197 ; +; 2.084 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.305 ; ; 2.107 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.328 ; -; 2.109 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.330 ; +; 2.117 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[1] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; ; 2.117 ; Addr[2] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; -; 2.124 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; -; 2.125 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; -; 2.126 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.117 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.338 ; +; 2.121 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.342 ; +; 2.124 ; Addr[16] ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.345 ; +; 2.125 ; Addr[8] ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ; ; 2.126 ; Bank ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; +; 2.126 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; ; 2.126 ; Addr[17] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; ; 2.126 ; Addr[18] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; Addr[15] ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; -; 2.134 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.355 ; -; 2.135 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; -; 2.140 ; WRD[2] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.361 ; +; 2.127 ; Addr[23] ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.348 ; +; 2.131 ; PS[2] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.352 ; +; 2.135 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ; +; 2.137 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ; ; 2.142 ; Addr[0] ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.363 ; -; 2.143 ; Addr[9] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ; -; 2.145 ; Addr[10] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; -; 2.145 ; Addr[7] ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ; -; 2.146 ; Addr[7] ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 2.367 ; -; 2.158 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.379 ; -; 2.169 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.390 ; -; 2.203 ; PS[1] ; SA[10]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.424 ; -; 2.221 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ; -; 2.222 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.443 ; -; 2.228 ; AddrIncH ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.449 ; -; 2.230 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.230 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; +; 2.144 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.144 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.144 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.144 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.365 ; +; 2.147 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.368 ; +; 2.165 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.386 ; +; 2.212 ; Addr[19] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ; +; 2.213 ; WRD[4] ; WRD[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.434 ; ; 2.230 ; Addr[21] ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ; -; 2.231 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.231 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[20] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; ; 2.231 ; Addr[22] ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ; -; 2.232 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; +; 2.232 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; ; 2.232 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ; -; 2.233 ; WRD[5] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.454 ; -; 2.235 ; WRD[3] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.456 ; -; 2.241 ; Addr[11] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; -; 2.243 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.464 ; -; 2.246 ; WRD[5] ; WRD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.467 ; +; 2.241 ; Addr[3] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ; +; 2.248 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.469 ; ; 2.249 ; Addr[14] ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; -; 2.249 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.249 ; Addr[5] ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.470 ; +; 2.250 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ; ; 2.251 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; -; 2.251 ; WRD[0] ; WRD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; +; 2.251 ; Addr[6] ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.472 ; ; 2.252 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.473 ; +; 2.253 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.474 ; ; 2.261 ; Addr[12] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; ; 2.261 ; Addr[13] ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.482 ; -; 2.327 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.548 ; -; 2.331 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.552 ; -; 2.346 ; PS[1] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.567 ; -; 2.346 ; PS[1] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.567 ; -; 2.388 ; Addr[2] ; RDD[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.609 ; -; 2.485 ; Addr[15] ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 2.706 ; -; 2.559 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.780 ; -; 2.589 ; PHI0r2 ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.810 ; -; 2.645 ; AddrIncL ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.866 ; -; 2.661 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.882 ; -; 2.673 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.894 ; -; 2.677 ; Addr[1] ; RDD[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.898 ; -; 2.688 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.909 ; -; 2.739 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.960 ; -; 2.749 ; PS[0] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.970 ; -; 2.750 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.971 ; -; 2.763 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.984 ; -; 2.891 ; PS[0] ; MOSIout ; C25M ; C25M ; 0.000 ; 0.000 ; 3.112 ; -; 2.910 ; IS.state_bit_2 ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 3.131 ; -; 2.914 ; IS.state_bit_2 ; FCKOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.135 ; -; 2.915 ; IS.state_bit_2 ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 3.136 ; +; 2.269 ; WRD[4] ; WRD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.490 ; +; 2.280 ; PS[0] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.501 ; +; 2.325 ; IS.state_bit_1 ; IS.state_bit_0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.546 ; +; 2.350 ; WRD[0] ; WRD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.571 ; +; 2.529 ; Addr[12] ; RDD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.750 ; +; 2.545 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.766 ; +; 2.551 ; Addr[4] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.772 ; +; 2.621 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.842 ; +; 2.627 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.848 ; +; 2.675 ; WRD[1] ; WRD[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.896 ; +; 2.701 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.922 ; +; 2.751 ; IS.state_bit_1 ; IS.state_bit_1 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.972 ; +; 2.848 ; PS[0] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.069 ; ; 2.939 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.160 ; +; 2.949 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; +; 2.949 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[1] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; ; 2.949 ; Addr[2] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.170 ; -; 2.956 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; -; 2.957 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; -; 2.958 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; +; 2.956 ; Addr[16] ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.177 ; +; 2.957 ; Addr[8] ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ; +; 2.958 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; ; 2.958 ; Addr[17] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; ; 2.958 ; Addr[18] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ; -; 2.966 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.187 ; -; 2.969 ; Addr[4] ; RDD[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.190 ; +; 2.964 ; PS[2] ; SA[5]~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.185 ; ; 2.974 ; Addr[0] ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.195 ; -; 2.975 ; Addr[9] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ; -; 2.977 ; Addr[10] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ; -; 2.990 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.211 ; -; 3.028 ; Addr[0] ; RDD[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.249 ; -; 3.045 ; Addr[5] ; RDD[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.266 ; +; 2.976 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; +; 2.976 ; LS[8] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.197 ; ; 3.050 ; LS[7] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.271 ; +; 3.060 ; Addr[10] ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[2] ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[9] ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.060 ; Addr[1] ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.281 ; +; 3.067 ; Addr[16] ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.288 ; +; 3.068 ; Addr[8] ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.289 ; +; 3.069 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; +; 3.069 ; Addr[18] ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; +; 3.069 ; Addr[17] ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ; +; 3.085 ; Addr[0] ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.306 ; +; 3.087 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.308 ; +; 3.087 ; LS[8] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.308 ; +-------+----------------+----------------+--------------+-------------+--------------+------------+------------+ @@ -403,36 +403,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; -4.406 ; nRESr ; IOROMEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; -; -4.406 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.073 ; +; -4.404 ; nRESr ; Addr[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[23] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[10] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[11] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[2] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[12] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Bank ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[3] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[13] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[4] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[14] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[5] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[15] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[6] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[16] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[7] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[17] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[8] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[18] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[9] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[19] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[20] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[21] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; Addr[22] ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; AddrIncH ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; AddrIncM ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +; -4.404 ; nRESr ; AddrIncL ; C25M ; C25M ; 1.000 ; 0.000 ; 5.071 ; +--------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -441,36 +440,35 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ -; 4.852 ; nRESr ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; -; 4.852 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.073 ; +; 4.850 ; nRESr ; Addr[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[23] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Bank ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[18] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[19] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[20] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[21] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; Addr[22] ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; AddrIncH ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; AddrIncM ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +; 4.850 ; nRESr ; AddrIncL ; C25M ; C25M ; 0.000 ; 0.000 ; 5.071 ; +-------+-----------+----------+--------------+-------------+--------------+------------+------------+ @@ -610,63 +608,62 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; 4.086 ; 4.086 ; Rise ; C25M ; -; MOSI ; C25M ; 4.156 ; 4.156 ; Rise ; C25M ; -; PHI0 ; C25M ; 2.007 ; 2.007 ; Rise ; C25M ; -; RA[*] ; C25M ; 13.145 ; 13.145 ; Rise ; C25M ; -; RA[0] ; C25M ; 8.916 ; 8.916 ; Rise ; C25M ; -; RA[1] ; C25M ; 8.770 ; 8.770 ; Rise ; C25M ; -; RA[2] ; C25M ; 9.694 ; 9.694 ; Rise ; C25M ; -; RA[3] ; C25M ; 10.921 ; 10.921 ; Rise ; C25M ; -; RA[4] ; C25M ; 8.022 ; 8.022 ; Rise ; C25M ; -; RA[5] ; C25M ; 9.447 ; 9.447 ; Rise ; C25M ; -; RA[6] ; C25M ; 7.054 ; 7.054 ; Rise ; C25M ; -; RA[7] ; C25M ; 11.195 ; 11.195 ; Rise ; C25M ; -; RA[8] ; C25M ; 12.820 ; 12.820 ; Rise ; C25M ; -; RA[9] ; C25M ; 13.145 ; 13.145 ; Rise ; C25M ; -; RA[10] ; C25M ; 12.352 ; 12.352 ; Rise ; C25M ; -; RA[11] ; C25M ; 12.575 ; 12.575 ; Rise ; C25M ; -; RA[12] ; C25M ; 10.684 ; 10.684 ; Rise ; C25M ; -; RA[13] ; C25M ; 11.303 ; 11.303 ; Rise ; C25M ; -; RA[14] ; C25M ; 11.601 ; 11.601 ; Rise ; C25M ; -; RA[15] ; C25M ; 11.467 ; 11.467 ; Rise ; C25M ; -; RD[*] ; C25M ; 6.155 ; 6.155 ; Rise ; C25M ; -; RD[0] ; C25M ; 3.995 ; 3.995 ; Rise ; C25M ; -; RD[1] ; C25M ; 4.067 ; 4.067 ; Rise ; C25M ; -; RD[2] ; C25M ; 4.049 ; 4.049 ; Rise ; C25M ; -; RD[3] ; C25M ; 4.540 ; 4.540 ; Rise ; C25M ; -; RD[4] ; C25M ; 4.083 ; 4.083 ; Rise ; C25M ; -; RD[5] ; C25M ; 4.258 ; 4.258 ; Rise ; C25M ; -; RD[6] ; C25M ; 4.044 ; 4.044 ; Rise ; C25M ; -; RD[7] ; C25M ; 6.155 ; 6.155 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.456 ; 6.456 ; Rise ; C25M ; -; SD[0] ; C25M ; 4.006 ; 4.006 ; Rise ; C25M ; -; SD[1] ; C25M ; 4.019 ; 4.019 ; Rise ; C25M ; -; SD[2] ; C25M ; 3.688 ; 3.688 ; Rise ; C25M ; -; SD[3] ; C25M ; 5.362 ; 5.362 ; Rise ; C25M ; -; SD[4] ; C25M ; 6.456 ; 6.456 ; Rise ; C25M ; -; SD[5] ; C25M ; 5.261 ; 5.261 ; Rise ; C25M ; -; SD[6] ; C25M ; 4.435 ; 4.435 ; Rise ; C25M ; -; SD[7] ; C25M ; 4.250 ; 4.250 ; Rise ; C25M ; -; nDEVSEL ; C25M ; 7.656 ; 7.656 ; Rise ; C25M ; -; nIOSEL ; C25M ; 4.029 ; 4.029 ; Rise ; C25M ; -; nIOSTRB ; C25M ; 7.314 ; 7.314 ; Rise ; C25M ; -; nRES ; C25M ; 4.265 ; 4.265 ; Rise ; C25M ; -; RA[*] ; PHI0 ; 5.399 ; 5.399 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; 1.137 ; 1.137 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; 1.471 ; 1.471 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; 3.056 ; 3.056 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; 4.283 ; 4.283 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; 3.449 ; 3.449 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; 5.074 ; 5.074 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; 5.399 ; 5.399 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; 4.606 ; 4.606 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; 4.829 ; 4.829 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; 3.556 ; 3.556 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; 4.175 ; 4.175 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; 4.473 ; 4.473 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; 4.339 ; 4.339 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 1.302 ; 1.302 ; Rise ; PHI0 ; +; MISO ; C25M ; 4.861 ; 4.861 ; Rise ; C25M ; +; MOSI ; C25M ; 5.054 ; 5.054 ; Rise ; C25M ; +; PHI0 ; C25M ; 2.072 ; 2.072 ; Rise ; C25M ; +; RA[*] ; C25M ; 13.938 ; 13.938 ; Rise ; C25M ; +; RA[0] ; C25M ; 8.513 ; 8.513 ; Rise ; C25M ; +; RA[1] ; C25M ; 8.082 ; 8.082 ; Rise ; C25M ; +; RA[2] ; C25M ; 8.927 ; 8.927 ; Rise ; C25M ; +; RA[3] ; C25M ; 9.459 ; 9.459 ; Rise ; C25M ; +; RA[4] ; C25M ; 6.718 ; 6.718 ; Rise ; C25M ; +; RA[5] ; C25M ; 8.293 ; 8.293 ; Rise ; C25M ; +; RA[6] ; C25M ; 6.561 ; 6.561 ; Rise ; C25M ; +; RA[7] ; C25M ; 11.859 ; 11.859 ; Rise ; C25M ; +; RA[8] ; C25M ; 12.000 ; 12.000 ; Rise ; C25M ; +; RA[9] ; C25M ; 12.068 ; 12.068 ; Rise ; C25M ; +; RA[10] ; C25M ; 13.578 ; 13.578 ; Rise ; C25M ; +; RA[11] ; C25M ; 13.170 ; 13.170 ; Rise ; C25M ; +; RA[12] ; C25M ; 13.525 ; 13.525 ; Rise ; C25M ; +; RA[13] ; C25M ; 13.254 ; 13.254 ; Rise ; C25M ; +; RA[14] ; C25M ; 13.903 ; 13.903 ; Rise ; C25M ; +; RA[15] ; C25M ; 13.938 ; 13.938 ; Rise ; C25M ; +; RD[*] ; C25M ; 6.255 ; 6.255 ; Rise ; C25M ; +; RD[0] ; C25M ; 5.390 ; 5.390 ; Rise ; C25M ; +; RD[1] ; C25M ; 5.017 ; 5.017 ; Rise ; C25M ; +; RD[2] ; C25M ; 4.088 ; 4.088 ; Rise ; C25M ; +; RD[3] ; C25M ; 4.968 ; 4.968 ; Rise ; C25M ; +; RD[4] ; C25M ; 4.673 ; 4.673 ; Rise ; C25M ; +; RD[5] ; C25M ; 5.287 ; 5.287 ; Rise ; C25M ; +; RD[6] ; C25M ; 3.485 ; 3.485 ; Rise ; C25M ; +; RD[7] ; C25M ; 6.255 ; 6.255 ; Rise ; C25M ; +; SD[*] ; C25M ; 7.093 ; 7.093 ; Rise ; C25M ; +; SD[0] ; C25M ; 5.171 ; 5.171 ; Rise ; C25M ; +; SD[1] ; C25M ; 4.574 ; 4.574 ; Rise ; C25M ; +; SD[2] ; C25M ; 3.868 ; 3.868 ; Rise ; C25M ; +; SD[3] ; C25M ; 7.093 ; 7.093 ; Rise ; C25M ; +; SD[4] ; C25M ; 5.421 ; 5.421 ; Rise ; C25M ; +; SD[5] ; C25M ; 4.486 ; 4.486 ; Rise ; C25M ; +; SD[6] ; C25M ; 5.447 ; 5.447 ; Rise ; C25M ; +; SD[7] ; C25M ; 4.770 ; 4.770 ; Rise ; C25M ; +; nDEVSEL ; C25M ; 9.132 ; 9.132 ; Rise ; C25M ; +; nIOSEL ; C25M ; 7.291 ; 7.291 ; Rise ; C25M ; +; nRES ; C25M ; 3.835 ; 3.835 ; Rise ; C25M ; +; RA[*] ; PHI0 ; 5.752 ; 5.752 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; 2.416 ; 2.416 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; 1.738 ; 1.738 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; 2.742 ; 2.742 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; 3.681 ; 3.681 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; 3.673 ; 3.673 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; 3.814 ; 3.814 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; 3.882 ; 3.882 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; 5.392 ; 5.392 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; 4.984 ; 4.984 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; 5.339 ; 5.339 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; 5.068 ; 5.068 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; 5.717 ; 5.717 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; 5.752 ; 5.752 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 1.031 ; 1.031 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -675,63 +672,62 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; MISO ; C25M ; -3.532 ; -3.532 ; Rise ; C25M ; -; MOSI ; C25M ; -3.602 ; -3.602 ; Rise ; C25M ; -; PHI0 ; C25M ; -1.453 ; -1.453 ; Rise ; C25M ; -; RA[*] ; C25M ; -3.814 ; -3.814 ; Rise ; C25M ; -; RA[0] ; C25M ; -4.347 ; -4.347 ; Rise ; C25M ; -; RA[1] ; C25M ; -3.814 ; -3.814 ; Rise ; C25M ; -; RA[2] ; C25M ; -3.955 ; -3.955 ; Rise ; C25M ; -; RA[3] ; C25M ; -6.045 ; -6.045 ; Rise ; C25M ; -; RA[4] ; C25M ; -5.238 ; -5.238 ; Rise ; C25M ; -; RA[5] ; C25M ; -6.852 ; -6.852 ; Rise ; C25M ; -; RA[6] ; C25M ; -6.391 ; -6.391 ; Rise ; C25M ; -; RA[7] ; C25M ; -5.654 ; -5.654 ; Rise ; C25M ; -; RA[8] ; C25M ; -5.683 ; -5.683 ; Rise ; C25M ; -; RA[9] ; C25M ; -5.667 ; -5.667 ; Rise ; C25M ; -; RA[10] ; C25M ; -5.110 ; -5.110 ; Rise ; C25M ; -; RA[11] ; C25M ; -4.902 ; -4.902 ; Rise ; C25M ; -; RA[12] ; C25M ; -7.857 ; -7.857 ; Rise ; C25M ; -; RA[13] ; C25M ; -8.476 ; -8.476 ; Rise ; C25M ; -; RA[14] ; C25M ; -8.774 ; -8.774 ; Rise ; C25M ; -; RA[15] ; C25M ; -8.640 ; -8.640 ; Rise ; C25M ; -; RD[*] ; C25M ; -1.834 ; -1.834 ; Rise ; C25M ; -; RD[0] ; C25M ; -2.186 ; -2.186 ; Rise ; C25M ; -; RD[1] ; C25M ; -2.114 ; -2.114 ; Rise ; C25M ; -; RD[2] ; C25M ; -2.096 ; -2.096 ; Rise ; C25M ; -; RD[3] ; C25M ; -2.111 ; -2.111 ; Rise ; C25M ; -; RD[4] ; C25M ; -2.085 ; -2.085 ; Rise ; C25M ; -; RD[5] ; C25M ; -2.079 ; -2.079 ; Rise ; C25M ; -; RD[6] ; C25M ; -1.861 ; -1.861 ; Rise ; C25M ; -; RD[7] ; C25M ; -1.834 ; -1.834 ; Rise ; C25M ; -; SD[*] ; C25M ; -3.134 ; -3.134 ; Rise ; C25M ; -; SD[0] ; C25M ; -3.452 ; -3.452 ; Rise ; C25M ; -; SD[1] ; C25M ; -3.465 ; -3.465 ; Rise ; C25M ; -; SD[2] ; C25M ; -3.134 ; -3.134 ; Rise ; C25M ; -; SD[3] ; C25M ; -4.808 ; -4.808 ; Rise ; C25M ; -; SD[4] ; C25M ; -5.902 ; -5.902 ; Rise ; C25M ; -; SD[5] ; C25M ; -4.707 ; -4.707 ; Rise ; C25M ; -; SD[6] ; C25M ; -3.881 ; -3.881 ; Rise ; C25M ; -; SD[7] ; C25M ; -3.696 ; -3.696 ; Rise ; C25M ; -; nDEVSEL ; C25M ; -2.655 ; -2.655 ; Rise ; C25M ; -; nIOSEL ; C25M ; -2.809 ; -2.809 ; Rise ; C25M ; -; nIOSTRB ; C25M ; -6.729 ; -6.729 ; Rise ; C25M ; -; nRES ; C25M ; -3.711 ; -3.711 ; Rise ; C25M ; -; RA[*] ; PHI0 ; -0.515 ; -0.515 ; Rise ; PHI0 ; -; RA[0] ; PHI0 ; -0.583 ; -0.583 ; Rise ; PHI0 ; -; RA[1] ; PHI0 ; -0.917 ; -0.917 ; Rise ; PHI0 ; -; RA[2] ; PHI0 ; -2.502 ; -2.502 ; Rise ; PHI0 ; -; RA[3] ; PHI0 ; -3.729 ; -3.729 ; Rise ; PHI0 ; -; RA[7] ; PHI0 ; -2.895 ; -2.895 ; Rise ; PHI0 ; -; RA[8] ; PHI0 ; -2.728 ; -2.728 ; Rise ; PHI0 ; -; RA[9] ; PHI0 ; -3.053 ; -3.053 ; Rise ; PHI0 ; -; RA[10] ; PHI0 ; -2.260 ; -2.260 ; Rise ; PHI0 ; -; RA[11] ; PHI0 ; -0.515 ; -0.515 ; Rise ; PHI0 ; -; RA[12] ; PHI0 ; -2.384 ; -2.384 ; Rise ; PHI0 ; -; RA[13] ; PHI0 ; -3.003 ; -3.003 ; Rise ; PHI0 ; -; RA[14] ; PHI0 ; -3.301 ; -3.301 ; Rise ; PHI0 ; -; RA[15] ; PHI0 ; -3.167 ; -3.167 ; Rise ; PHI0 ; -; nWE ; PHI0 ; 0.063 ; 0.063 ; Rise ; PHI0 ; +; MISO ; C25M ; -4.307 ; -4.307 ; Rise ; C25M ; +; MOSI ; C25M ; -4.500 ; -4.500 ; Rise ; C25M ; +; PHI0 ; C25M ; -1.518 ; -1.518 ; Rise ; C25M ; +; RA[*] ; C25M ; -4.651 ; -4.651 ; Rise ; C25M ; +; RA[0] ; C25M ; -4.868 ; -4.868 ; Rise ; C25M ; +; RA[1] ; C25M ; -4.755 ; -4.755 ; Rise ; C25M ; +; RA[2] ; C25M ; -4.651 ; -4.651 ; Rise ; C25M ; +; RA[3] ; C25M ; -5.587 ; -5.587 ; Rise ; C25M ; +; RA[4] ; C25M ; -6.164 ; -6.164 ; Rise ; C25M ; +; RA[5] ; C25M ; -7.739 ; -7.739 ; Rise ; C25M ; +; RA[6] ; C25M ; -6.007 ; -6.007 ; Rise ; C25M ; +; RA[7] ; C25M ; -7.911 ; -7.911 ; Rise ; C25M ; +; RA[8] ; C25M ; -5.340 ; -5.340 ; Rise ; C25M ; +; RA[9] ; C25M ; -5.100 ; -5.100 ; Rise ; C25M ; +; RA[10] ; C25M ; -5.525 ; -5.525 ; Rise ; C25M ; +; RA[11] ; C25M ; -6.207 ; -6.207 ; Rise ; C25M ; +; RA[12] ; C25M ; -9.577 ; -9.577 ; Rise ; C25M ; +; RA[13] ; C25M ; -9.306 ; -9.306 ; Rise ; C25M ; +; RA[14] ; C25M ; -9.955 ; -9.955 ; Rise ; C25M ; +; RA[15] ; C25M ; -9.990 ; -9.990 ; Rise ; C25M ; +; RD[*] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; +; RD[0] ; C25M ; -2.698 ; -2.698 ; Rise ; C25M ; +; RD[1] ; C25M ; -2.624 ; -2.624 ; Rise ; C25M ; +; RD[2] ; C25M ; -2.734 ; -2.734 ; Rise ; C25M ; +; RD[3] ; C25M ; -2.609 ; -2.609 ; Rise ; C25M ; +; RD[4] ; C25M ; -2.625 ; -2.625 ; Rise ; C25M ; +; RD[5] ; C25M ; -2.663 ; -2.663 ; Rise ; C25M ; +; RD[6] ; C25M ; -2.060 ; -2.060 ; Rise ; C25M ; +; RD[7] ; C25M ; -2.167 ; -2.167 ; Rise ; C25M ; +; SD[*] ; C25M ; -3.314 ; -3.314 ; Rise ; C25M ; +; SD[0] ; C25M ; -4.617 ; -4.617 ; Rise ; C25M ; +; SD[1] ; C25M ; -4.020 ; -4.020 ; Rise ; C25M ; +; SD[2] ; C25M ; -3.314 ; -3.314 ; Rise ; C25M ; +; SD[3] ; C25M ; -6.539 ; -6.539 ; Rise ; C25M ; +; SD[4] ; C25M ; -4.867 ; -4.867 ; Rise ; C25M ; +; SD[5] ; C25M ; -3.932 ; -3.932 ; Rise ; C25M ; +; SD[6] ; C25M ; -4.893 ; -4.893 ; Rise ; C25M ; +; SD[7] ; C25M ; -4.216 ; -4.216 ; Rise ; C25M ; +; nDEVSEL ; C25M ; -3.398 ; -3.398 ; Rise ; C25M ; +; nIOSEL ; C25M ; -6.712 ; -6.712 ; Rise ; C25M ; +; nRES ; C25M ; -3.281 ; -3.281 ; Rise ; C25M ; +; RA[*] ; PHI0 ; -1.124 ; -1.124 ; Rise ; PHI0 ; +; RA[0] ; PHI0 ; -1.862 ; -1.862 ; Rise ; PHI0 ; +; RA[1] ; PHI0 ; -1.184 ; -1.184 ; Rise ; PHI0 ; +; RA[2] ; PHI0 ; -2.188 ; -2.188 ; Rise ; PHI0 ; +; RA[3] ; PHI0 ; -3.127 ; -3.127 ; Rise ; PHI0 ; +; RA[7] ; PHI0 ; -3.119 ; -3.119 ; Rise ; PHI0 ; +; RA[8] ; PHI0 ; -1.376 ; -1.376 ; Rise ; PHI0 ; +; RA[9] ; PHI0 ; -1.444 ; -1.444 ; Rise ; PHI0 ; +; RA[10] ; PHI0 ; -2.954 ; -2.954 ; Rise ; PHI0 ; +; RA[11] ; PHI0 ; -1.124 ; -1.124 ; Rise ; PHI0 ; +; RA[12] ; PHI0 ; -3.628 ; -3.628 ; Rise ; PHI0 ; +; RA[13] ; PHI0 ; -3.357 ; -3.357 ; Rise ; PHI0 ; +; RA[14] ; PHI0 ; -4.006 ; -4.006 ; Rise ; PHI0 ; +; RA[15] ; PHI0 ; -4.041 ; -4.041 ; Rise ; PHI0 ; +; nWE ; PHI0 ; 0.091 ; 0.091 ; Rise ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ @@ -740,113 +736,113 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+--------+------------+-----------------+ -; DQMH ; C25M ; 8.310 ; 8.310 ; Rise ; C25M ; -; DQML ; C25M ; 9.180 ; 9.180 ; Rise ; C25M ; -; FCK ; C25M ; 7.598 ; 7.598 ; Rise ; C25M ; -; MOSI ; C25M ; 8.176 ; 8.176 ; Rise ; C25M ; -; RCKE ; C25M ; 9.862 ; 9.862 ; Rise ; C25M ; -; RD[*] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; -; RD[0] ; C25M ; 9.246 ; 9.246 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.959 ; 8.959 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.827 ; 8.827 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.971 ; 8.971 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.952 ; 8.952 ; Rise ; C25M ; -; RD[5] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.563 ; 8.563 ; Rise ; C25M ; -; RDdir ; C25M ; 13.177 ; 13.177 ; Rise ; C25M ; -; SA[*] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.251 ; 8.251 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; -; SA[3] ; C25M ; 9.041 ; 9.041 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.336 ; 8.336 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.314 ; 8.314 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.312 ; 8.312 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.938 ; 8.938 ; Rise ; C25M ; -; SA[9] ; C25M ; 9.480 ; 9.480 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.331 ; 8.331 ; Rise ; C25M ; -; SA[11] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.717 ; 8.717 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; -; SD[*] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.052 ; 8.052 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; -; SD[3] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SD[7] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; -; nCAS ; C25M ; 8.009 ; 8.009 ; Rise ; C25M ; -; nFCS ; C25M ; 7.588 ; 7.588 ; Rise ; C25M ; -; nRAS ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; nRCS ; C25M ; 8.737 ; 8.737 ; Rise ; C25M ; -; nRESout ; C25M ; 8.496 ; 8.496 ; Rise ; C25M ; -; nSWE ; C25M ; 8.362 ; 8.362 ; Rise ; C25M ; -; RDdir ; PHI0 ; 8.885 ; 8.885 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 8.885 ; 8.885 ; Fall ; PHI0 ; +; DQMH ; C25M ; 8.306 ; 8.306 ; Rise ; C25M ; +; DQML ; C25M ; 8.935 ; 8.935 ; Rise ; C25M ; +; FCK ; C25M ; 8.986 ; 8.986 ; Rise ; C25M ; +; MOSI ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; +; RCKE ; C25M ; 9.311 ; 9.311 ; Rise ; C25M ; +; RD[*] ; C25M ; 9.599 ; 9.599 ; Rise ; C25M ; +; RD[0] ; C25M ; 8.289 ; 8.289 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.191 ; 8.191 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.316 ; 8.316 ; Rise ; C25M ; +; RD[3] ; C25M ; 9.599 ; 9.599 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.790 ; 8.790 ; Rise ; C25M ; +; RD[5] ; C25M ; 8.643 ; 8.643 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.747 ; 8.747 ; Rise ; C25M ; +; RD[7] ; C25M ; 8.857 ; 8.857 ; Rise ; C25M ; +; RDdir ; C25M ; 14.351 ; 14.351 ; Rise ; C25M ; +; SA[*] ; C25M ; 8.845 ; 8.845 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.193 ; 8.193 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.141 ; 8.141 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.105 ; 8.105 ; Rise ; C25M ; +; SA[3] ; C25M ; 8.558 ; 8.558 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.552 ; 8.552 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.678 ; 8.678 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.540 ; 8.540 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.566 ; 8.566 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.225 ; 8.225 ; Rise ; C25M ; +; SA[9] ; C25M ; 8.043 ; 8.043 ; Rise ; C25M ; +; SA[10] ; C25M ; 8.058 ; 8.058 ; Rise ; C25M ; +; SA[11] ; C25M ; 7.991 ; 7.991 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.845 ; 8.845 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.866 ; 8.866 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.821 ; 8.821 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.866 ; 8.866 ; Rise ; C25M ; +; SD[*] ; C25M ; 8.494 ; 8.494 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.488 ; 8.488 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.053 ; 8.053 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.494 ; 8.494 ; Rise ; C25M ; +; SD[3] ; C25M ; 7.584 ; 7.584 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.442 ; 8.442 ; Rise ; C25M ; +; SD[5] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; +; SD[6] ; C25M ; 8.445 ; 8.445 ; Rise ; C25M ; +; SD[7] ; C25M ; 6.950 ; 6.950 ; Rise ; C25M ; +; nCAS ; C25M ; 8.325 ; 8.325 ; Rise ; C25M ; +; nFCS ; C25M ; 8.074 ; 8.074 ; Rise ; C25M ; +; nRAS ; C25M ; 8.416 ; 8.416 ; Rise ; C25M ; +; nRCS ; C25M ; 10.070 ; 10.070 ; Rise ; C25M ; +; nRESout ; C25M ; 8.766 ; 8.766 ; Rise ; C25M ; +; nSWE ; C25M ; 9.380 ; 9.380 ; Rise ; C25M ; +; RDdir ; PHI0 ; 10.495 ; 10.495 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 10.495 ; 10.495 ; Fall ; PHI0 ; +-----------+------------+--------+--------+------------+-----------------+ -+-----------------------------------------------------------------------+ -; Minimum Clock to Output Times ; -+-----------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-----------+------------+-------+-------+------------+-----------------+ -; DQMH ; C25M ; 8.310 ; 8.310 ; Rise ; C25M ; -; DQML ; C25M ; 9.180 ; 9.180 ; Rise ; C25M ; -; FCK ; C25M ; 7.598 ; 7.598 ; Rise ; C25M ; -; MOSI ; C25M ; 8.176 ; 8.176 ; Rise ; C25M ; -; RCKE ; C25M ; 9.862 ; 9.862 ; Rise ; C25M ; -; RD[*] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; -; RD[0] ; C25M ; 9.246 ; 9.246 ; Rise ; C25M ; -; RD[1] ; C25M ; 8.959 ; 8.959 ; Rise ; C25M ; -; RD[2] ; C25M ; 8.827 ; 8.827 ; Rise ; C25M ; -; RD[3] ; C25M ; 8.971 ; 8.971 ; Rise ; C25M ; -; RD[4] ; C25M ; 8.952 ; 8.952 ; Rise ; C25M ; -; RD[5] ; C25M ; 9.363 ; 9.363 ; Rise ; C25M ; -; RD[6] ; C25M ; 8.359 ; 8.359 ; Rise ; C25M ; -; RD[7] ; C25M ; 8.563 ; 8.563 ; Rise ; C25M ; -; RDdir ; C25M ; 8.609 ; 8.609 ; Rise ; C25M ; -; SA[*] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; -; SA[0] ; C25M ; 8.125 ; 8.125 ; Rise ; C25M ; -; SA[1] ; C25M ; 8.251 ; 8.251 ; Rise ; C25M ; -; SA[2] ; C25M ; 8.098 ; 8.098 ; Rise ; C25M ; -; SA[3] ; C25M ; 9.041 ; 9.041 ; Rise ; C25M ; -; SA[4] ; C25M ; 8.815 ; 8.815 ; Rise ; C25M ; -; SA[5] ; C25M ; 8.336 ; 8.336 ; Rise ; C25M ; -; SA[6] ; C25M ; 8.314 ; 8.314 ; Rise ; C25M ; -; SA[7] ; C25M ; 8.312 ; 8.312 ; Rise ; C25M ; -; SA[8] ; C25M ; 8.938 ; 8.938 ; Rise ; C25M ; -; SA[9] ; C25M ; 9.480 ; 9.480 ; Rise ; C25M ; -; SA[10] ; C25M ; 8.331 ; 8.331 ; Rise ; C25M ; -; SA[11] ; C25M ; 9.935 ; 9.935 ; Rise ; C25M ; -; SA[12] ; C25M ; 8.717 ; 8.717 ; Rise ; C25M ; -; SBA[*] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; -; SBA[0] ; C25M ; 8.878 ; 8.878 ; Rise ; C25M ; -; SBA[1] ; C25M ; 8.389 ; 8.389 ; Rise ; C25M ; -; SD[*] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; SD[0] ; C25M ; 6.937 ; 6.937 ; Rise ; C25M ; -; SD[1] ; C25M ; 8.052 ; 8.052 ; Rise ; C25M ; -; SD[2] ; C25M ; 8.159 ; 8.159 ; Rise ; C25M ; -; SD[3] ; C25M ; 6.924 ; 6.924 ; Rise ; C25M ; -; SD[4] ; C25M ; 8.143 ; 8.143 ; Rise ; C25M ; -; SD[5] ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; SD[6] ; C25M ; 7.570 ; 7.570 ; Rise ; C25M ; -; SD[7] ; C25M ; 7.576 ; 7.576 ; Rise ; C25M ; -; nCAS ; C25M ; 8.009 ; 8.009 ; Rise ; C25M ; -; nFCS ; C25M ; 7.588 ; 7.588 ; Rise ; C25M ; -; nRAS ; C25M ; 6.944 ; 6.944 ; Rise ; C25M ; -; nRCS ; C25M ; 8.737 ; 8.737 ; Rise ; C25M ; -; nRESout ; C25M ; 8.496 ; 8.496 ; Rise ; C25M ; -; nSWE ; C25M ; 8.362 ; 8.362 ; Rise ; C25M ; -; RDdir ; PHI0 ; 8.885 ; 8.885 ; Rise ; PHI0 ; -; RDdir ; PHI0 ; 8.885 ; 8.885 ; Fall ; PHI0 ; -+-----------+------------+-------+-------+------------+-----------------+ ++-------------------------------------------------------------------------+ +; Minimum Clock to Output Times ; ++-----------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-----------+------------+--------+--------+------------+-----------------+ +; DQMH ; C25M ; 8.306 ; 8.306 ; Rise ; C25M ; +; DQML ; C25M ; 8.935 ; 8.935 ; Rise ; C25M ; +; FCK ; C25M ; 8.986 ; 8.986 ; Rise ; C25M ; +; MOSI ; C25M ; 8.773 ; 8.773 ; Rise ; C25M ; +; RCKE ; C25M ; 9.311 ; 9.311 ; Rise ; C25M ; +; RD[*] ; C25M ; 8.191 ; 8.191 ; Rise ; C25M ; +; RD[0] ; C25M ; 8.289 ; 8.289 ; Rise ; C25M ; +; RD[1] ; C25M ; 8.191 ; 8.191 ; Rise ; C25M ; +; RD[2] ; C25M ; 8.316 ; 8.316 ; Rise ; C25M ; +; RD[3] ; C25M ; 9.599 ; 9.599 ; Rise ; C25M ; +; RD[4] ; C25M ; 8.790 ; 8.790 ; Rise ; C25M ; +; RD[5] ; C25M ; 8.643 ; 8.643 ; Rise ; C25M ; +; RD[6] ; C25M ; 8.747 ; 8.747 ; Rise ; C25M ; +; RD[7] ; C25M ; 8.857 ; 8.857 ; Rise ; C25M ; +; RDdir ; C25M ; 9.747 ; 9.747 ; Rise ; C25M ; +; SA[*] ; C25M ; 7.991 ; 7.991 ; Rise ; C25M ; +; SA[0] ; C25M ; 8.193 ; 8.193 ; Rise ; C25M ; +; SA[1] ; C25M ; 8.141 ; 8.141 ; Rise ; C25M ; +; SA[2] ; C25M ; 8.105 ; 8.105 ; Rise ; C25M ; +; SA[3] ; C25M ; 8.558 ; 8.558 ; Rise ; C25M ; +; SA[4] ; C25M ; 8.552 ; 8.552 ; Rise ; C25M ; +; SA[5] ; C25M ; 8.678 ; 8.678 ; Rise ; C25M ; +; SA[6] ; C25M ; 8.540 ; 8.540 ; Rise ; C25M ; +; SA[7] ; C25M ; 8.566 ; 8.566 ; Rise ; C25M ; +; SA[8] ; C25M ; 8.225 ; 8.225 ; Rise ; C25M ; +; SA[9] ; C25M ; 8.043 ; 8.043 ; Rise ; C25M ; +; SA[10] ; C25M ; 8.058 ; 8.058 ; Rise ; C25M ; +; SA[11] ; C25M ; 7.991 ; 7.991 ; Rise ; C25M ; +; SA[12] ; C25M ; 8.845 ; 8.845 ; Rise ; C25M ; +; SBA[*] ; C25M ; 8.821 ; 8.821 ; Rise ; C25M ; +; SBA[0] ; C25M ; 8.821 ; 8.821 ; Rise ; C25M ; +; SBA[1] ; C25M ; 8.866 ; 8.866 ; Rise ; C25M ; +; SD[*] ; C25M ; 6.950 ; 6.950 ; Rise ; C25M ; +; SD[0] ; C25M ; 8.488 ; 8.488 ; Rise ; C25M ; +; SD[1] ; C25M ; 8.053 ; 8.053 ; Rise ; C25M ; +; SD[2] ; C25M ; 8.494 ; 8.494 ; Rise ; C25M ; +; SD[3] ; C25M ; 7.584 ; 7.584 ; Rise ; C25M ; +; SD[4] ; C25M ; 8.442 ; 8.442 ; Rise ; C25M ; +; SD[5] ; C25M ; 7.562 ; 7.562 ; Rise ; C25M ; +; SD[6] ; C25M ; 8.445 ; 8.445 ; Rise ; C25M ; +; SD[7] ; C25M ; 6.950 ; 6.950 ; Rise ; C25M ; +; nCAS ; C25M ; 8.325 ; 8.325 ; Rise ; C25M ; +; nFCS ; C25M ; 8.074 ; 8.074 ; Rise ; C25M ; +; nRAS ; C25M ; 8.416 ; 8.416 ; Rise ; C25M ; +; nRCS ; C25M ; 10.070 ; 10.070 ; Rise ; C25M ; +; nRESout ; C25M ; 8.766 ; 8.766 ; Rise ; C25M ; +; nSWE ; C25M ; 9.380 ; 9.380 ; Rise ; C25M ; +; RDdir ; PHI0 ; 10.495 ; 10.495 ; Rise ; PHI0 ; +; RDdir ; PHI0 ; 10.495 ; 10.495 ; Fall ; PHI0 ; ++-----------+------------+--------+--------+------------+-----------------+ +------------------------------------------------------+ @@ -854,44 +850,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; -; INTin ; INTout ; 8.886 ; ; ; 8.886 ; -; nDEVSEL ; RD[0] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[1] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[2] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[3] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[4] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[5] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[6] ; 11.984 ; ; ; 11.984 ; -; nDEVSEL ; RD[7] ; 12.044 ; ; ; 12.044 ; -; nDEVSEL ; RDdir ; 11.832 ; ; ; 11.832 ; -; nIOSEL ; RD[0] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[1] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[2] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[3] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[4] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[5] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[6] ; 12.291 ; ; ; 12.291 ; -; nIOSEL ; RD[7] ; 12.351 ; ; ; 12.351 ; -; nIOSEL ; RDdir ; 12.139 ; ; ; 12.139 ; -; nIOSTRB ; RD[0] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[1] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[2] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[3] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[4] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[5] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[6] ; 12.165 ; ; ; 12.165 ; -; nIOSTRB ; RD[7] ; 12.225 ; ; ; 12.225 ; -; nIOSTRB ; RDdir ; 12.013 ; ; ; 12.013 ; -; nWE ; RD[0] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[1] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[2] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[3] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[4] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[5] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[6] ; 11.133 ; ; ; 11.133 ; -; nWE ; RD[7] ; 11.193 ; ; ; 11.193 ; -; nWE ; RDdir ; 10.981 ; ; ; 10.981 ; +; DMAin ; DMAout ; 8.238 ; ; ; 8.238 ; +; INTin ; INTout ; 9.741 ; ; ; 9.741 ; +; nDEVSEL ; RD[0] ; 13.931 ; ; ; 13.931 ; +; nDEVSEL ; RD[1] ; 13.931 ; ; ; 13.931 ; +; nDEVSEL ; RD[2] ; 13.931 ; ; ; 13.931 ; +; nDEVSEL ; RD[3] ; 13.932 ; ; ; 13.932 ; +; nDEVSEL ; RD[4] ; 13.932 ; ; ; 13.932 ; +; nDEVSEL ; RD[5] ; 13.932 ; ; ; 13.932 ; +; nDEVSEL ; RD[6] ; 13.367 ; ; ; 13.367 ; +; nDEVSEL ; RD[7] ; 13.367 ; ; ; 13.367 ; +; nDEVSEL ; RDdir ; 14.924 ; ; ; 14.924 ; +; nIOSEL ; RD[0] ; 13.917 ; ; ; 13.917 ; +; nIOSEL ; RD[1] ; 13.917 ; ; ; 13.917 ; +; nIOSEL ; RD[2] ; 13.917 ; ; ; 13.917 ; +; nIOSEL ; RD[3] ; 13.918 ; ; ; 13.918 ; +; nIOSEL ; RD[4] ; 13.918 ; ; ; 13.918 ; +; nIOSEL ; RD[5] ; 13.918 ; ; ; 13.918 ; +; nIOSEL ; RD[6] ; 13.353 ; ; ; 13.353 ; +; nIOSEL ; RD[7] ; 13.353 ; ; ; 13.353 ; +; nIOSEL ; RDdir ; 14.910 ; ; ; 14.910 ; +; nIOSTRB ; RD[0] ; 13.766 ; ; ; 13.766 ; +; nIOSTRB ; RD[1] ; 13.766 ; ; ; 13.766 ; +; nIOSTRB ; RD[2] ; 13.766 ; ; ; 13.766 ; +; nIOSTRB ; RD[3] ; 13.767 ; ; ; 13.767 ; +; nIOSTRB ; RD[4] ; 13.767 ; ; ; 13.767 ; +; nIOSTRB ; RD[5] ; 13.767 ; ; ; 13.767 ; +; nIOSTRB ; RD[6] ; 13.202 ; ; ; 13.202 ; +; nIOSTRB ; RD[7] ; 13.202 ; ; ; 13.202 ; +; nIOSTRB ; RDdir ; 14.759 ; ; ; 14.759 ; +; nWE ; RD[0] ; 11.850 ; ; ; 11.850 ; +; nWE ; RD[1] ; 11.850 ; ; ; 11.850 ; +; nWE ; RD[2] ; 11.850 ; ; ; 11.850 ; +; nWE ; RD[3] ; 11.851 ; ; ; 11.851 ; +; nWE ; RD[4] ; 11.851 ; ; ; 11.851 ; +; nWE ; RD[5] ; 11.851 ; ; ; 11.851 ; +; nWE ; RD[6] ; 11.286 ; ; ; 11.286 ; +; nWE ; RD[7] ; 11.286 ; ; ; 11.286 ; +; nWE ; RDdir ; 12.843 ; ; ; 12.843 ; +------------+-------------+--------+----+----+--------+ @@ -900,44 +896,44 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+-------------+--------+----+----+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+-------------+--------+----+----+--------+ -; DMAin ; DMAout ; 8.665 ; ; ; 8.665 ; -; INTin ; INTout ; 8.886 ; ; ; 8.886 ; -; nDEVSEL ; RD[0] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[1] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[2] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[3] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[4] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[5] ; 10.928 ; ; ; 10.928 ; -; nDEVSEL ; RD[6] ; 11.984 ; ; ; 11.984 ; -; nDEVSEL ; RD[7] ; 12.044 ; ; ; 12.044 ; -; nDEVSEL ; RDdir ; 11.832 ; ; ; 11.832 ; -; nIOSEL ; RD[0] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[1] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[2] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[3] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[4] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[5] ; 11.235 ; ; ; 11.235 ; -; nIOSEL ; RD[6] ; 12.291 ; ; ; 12.291 ; -; nIOSEL ; RD[7] ; 12.351 ; ; ; 12.351 ; -; nIOSEL ; RDdir ; 12.139 ; ; ; 12.139 ; -; nIOSTRB ; RD[0] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[1] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[2] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[3] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[4] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[5] ; 11.109 ; ; ; 11.109 ; -; nIOSTRB ; RD[6] ; 12.165 ; ; ; 12.165 ; -; nIOSTRB ; RD[7] ; 12.225 ; ; ; 12.225 ; -; nIOSTRB ; RDdir ; 12.013 ; ; ; 12.013 ; -; nWE ; RD[0] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[1] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[2] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[3] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[4] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[5] ; 10.077 ; ; ; 10.077 ; -; nWE ; RD[6] ; 11.133 ; ; ; 11.133 ; -; nWE ; RD[7] ; 11.193 ; ; ; 11.193 ; -; nWE ; RDdir ; 10.981 ; ; ; 10.981 ; +; DMAin ; DMAout ; 8.238 ; ; ; 8.238 ; +; INTin ; INTout ; 9.741 ; ; ; 9.741 ; +; nDEVSEL ; RD[0] ; 13.931 ; ; ; 13.931 ; +; nDEVSEL ; RD[1] ; 13.931 ; ; ; 13.931 ; +; nDEVSEL ; RD[2] ; 13.931 ; ; ; 13.931 ; +; nDEVSEL ; RD[3] ; 13.932 ; ; ; 13.932 ; +; nDEVSEL ; RD[4] ; 13.932 ; ; ; 13.932 ; +; nDEVSEL ; RD[5] ; 13.932 ; ; ; 13.932 ; +; nDEVSEL ; RD[6] ; 13.367 ; ; ; 13.367 ; +; nDEVSEL ; RD[7] ; 13.367 ; ; ; 13.367 ; +; nDEVSEL ; RDdir ; 14.924 ; ; ; 14.924 ; +; nIOSEL ; RD[0] ; 13.917 ; ; ; 13.917 ; +; nIOSEL ; RD[1] ; 13.917 ; ; ; 13.917 ; +; nIOSEL ; RD[2] ; 13.917 ; ; ; 13.917 ; +; nIOSEL ; RD[3] ; 13.918 ; ; ; 13.918 ; +; nIOSEL ; RD[4] ; 13.918 ; ; ; 13.918 ; +; nIOSEL ; RD[5] ; 13.918 ; ; ; 13.918 ; +; nIOSEL ; RD[6] ; 13.353 ; ; ; 13.353 ; +; nIOSEL ; RD[7] ; 13.353 ; ; ; 13.353 ; +; nIOSEL ; RDdir ; 14.910 ; ; ; 14.910 ; +; nIOSTRB ; RD[0] ; 13.766 ; ; ; 13.766 ; +; nIOSTRB ; RD[1] ; 13.766 ; ; ; 13.766 ; +; nIOSTRB ; RD[2] ; 13.766 ; ; ; 13.766 ; +; nIOSTRB ; RD[3] ; 13.767 ; ; ; 13.767 ; +; nIOSTRB ; RD[4] ; 13.767 ; ; ; 13.767 ; +; nIOSTRB ; RD[5] ; 13.767 ; ; ; 13.767 ; +; nIOSTRB ; RD[6] ; 13.202 ; ; ; 13.202 ; +; nIOSTRB ; RD[7] ; 13.202 ; ; ; 13.202 ; +; nIOSTRB ; RDdir ; 14.759 ; ; ; 14.759 ; +; nWE ; RD[0] ; 11.850 ; ; ; 11.850 ; +; nWE ; RD[1] ; 11.850 ; ; ; 11.850 ; +; nWE ; RD[2] ; 11.850 ; ; ; 11.850 ; +; nWE ; RD[3] ; 11.851 ; ; ; 11.851 ; +; nWE ; RD[4] ; 11.851 ; ; ; 11.851 ; +; nWE ; RD[5] ; 11.851 ; ; ; 11.851 ; +; nWE ; RD[6] ; 11.286 ; ; ; 11.286 ; +; nWE ; RD[7] ; 11.286 ; ; ; 11.286 ; +; nWE ; RDdir ; 12.843 ; ; ; 12.843 ; +------------+-------------+--------+----+----+--------+ @@ -946,45 +942,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+--------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+--------+------+------------+-----------------+ -; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.329 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 13.389 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; -; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.794 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 13.358 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 13.358 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 13.358 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 13.359 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 13.359 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 13.359 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 12.794 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 12.794 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +-----------+------------+--------+------+------------+-----------------+ @@ -993,45 +989,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-------+------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+------+------------+-----------------+ -; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 8.761 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.821 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; -; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 8.190 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 8.754 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 8.754 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 8.754 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 8.755 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 8.755 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 8.755 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 8.190 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 8.190 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +-----------+------------+-------+------+------------+-----------------+ @@ -1040,45 +1036,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 12.273 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 13.329 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 13.389 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; -; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 12.794 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 13.358 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 13.358 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 13.358 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 13.359 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 13.359 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 13.359 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 12.794 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 12.794 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1087,45 +1083,45 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; FCK ; C25M ; 8.570 ; ; Rise ; C25M ; -; MOSI ; C25M ; 7.307 ; ; Rise ; C25M ; -; RD[*] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[0] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[1] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[2] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[3] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[4] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[5] ; C25M ; 7.705 ; ; Rise ; C25M ; -; RD[6] ; C25M ; 8.761 ; ; Rise ; C25M ; -; RD[7] ; C25M ; 8.821 ; ; Rise ; C25M ; -; SD[*] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[0] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[1] ; C25M ; 8.085 ; ; Rise ; C25M ; -; SD[2] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[3] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[4] ; C25M ; 7.697 ; ; Rise ; C25M ; -; SD[5] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[6] ; C25M ; 7.716 ; ; Rise ; C25M ; -; SD[7] ; C25M ; 7.716 ; ; Rise ; C25M ; -; nFCS ; C25M ; 6.445 ; ; Rise ; C25M ; -; RD[*] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Rise ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Rise ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Rise ; PHI0 ; -; RD[*] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[0] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[1] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[2] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[3] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[4] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[5] ; PHI0 ; 7.981 ; ; Fall ; PHI0 ; -; RD[6] ; PHI0 ; 9.037 ; ; Fall ; PHI0 ; -; RD[7] ; PHI0 ; 9.097 ; ; Fall ; PHI0 ; +; FCK ; C25M ; 7.684 ; ; Rise ; C25M ; +; MOSI ; C25M ; 7.765 ; ; Rise ; C25M ; +; RD[*] ; C25M ; 8.190 ; ; Rise ; C25M ; +; RD[0] ; C25M ; 8.754 ; ; Rise ; C25M ; +; RD[1] ; C25M ; 8.754 ; ; Rise ; C25M ; +; RD[2] ; C25M ; 8.754 ; ; Rise ; C25M ; +; RD[3] ; C25M ; 8.755 ; ; Rise ; C25M ; +; RD[4] ; C25M ; 8.755 ; ; Rise ; C25M ; +; RD[5] ; C25M ; 8.755 ; ; Rise ; C25M ; +; RD[6] ; C25M ; 8.190 ; ; Rise ; C25M ; +; RD[7] ; C25M ; 8.190 ; ; Rise ; C25M ; +; SD[*] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[0] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[1] ; C25M ; 7.009 ; ; Rise ; C25M ; +; SD[2] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[3] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[4] ; C25M ; 7.401 ; ; Rise ; C25M ; +; SD[5] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[6] ; C25M ; 6.992 ; ; Rise ; C25M ; +; SD[7] ; C25M ; 6.992 ; ; Rise ; C25M ; +; nFCS ; C25M ; 7.093 ; ; Rise ; C25M ; +; RD[*] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Rise ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Rise ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Rise ; PHI0 ; +; RD[*] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[0] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[1] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[2] ; PHI0 ; 9.502 ; ; Fall ; PHI0 ; +; RD[3] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[4] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[5] ; PHI0 ; 9.503 ; ; Fall ; PHI0 ; +; RD[6] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +; RD[7] ; PHI0 ; 8.938 ; ; Fall ; PHI0 ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1134,8 +1130,8 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1371 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 85 ; 1 ; 0 ; 0 ; +; C25M ; C25M ; 1369 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 84 ; 1 ; 0 ; 0 ; ; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1146,8 +1142,8 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 1371 ; 0 ; 0 ; 0 ; -; PHI0 ; C25M ; 85 ; 1 ; 0 ; 0 ; +; C25M ; C25M ; 1369 ; 0 ; 0 ; 0 ; +; PHI0 ; C25M ; 84 ; 1 ; 0 ; 0 ; ; C25M ; PHI0 ; 2 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1158,7 +1154,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 30 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1168,7 +1164,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; C25M ; C25M ; 30 ; 0 ; 0 ; 0 ; +; C25M ; C25M ; 29 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -1193,7 +1189,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 42 ; 42 ; -; Unconstrained Input Port Paths ; 641 ; 641 ; +; Unconstrained Input Port Paths ; 643 ; 643 ; ; Unconstrained Output Ports ; 45 ; 45 ; ; Unconstrained Output Port Paths ; 118 ; 118 ; +---------------------------------+-------+------+ @@ -1205,7 +1201,7 @@ No dedicated SERDES Receiver circuitry present in device or used in design Info: ******************************************************************* Info: Running Quartus II 32-bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Apr 18 06:27:20 2021 + Info: Processing started: Mon Apr 19 01:27:20 2021 Info: Command: quartus_sta GR8RAM -c GR8RAM Info: qsta_default_script.tcl version: #1 Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected @@ -1220,24 +1216,24 @@ Info (332105): Deriving Clocks Info (332105): create_clock -period 1.000 -name PHI0 PHI0 Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -9.035 +Info (332146): Worst-case setup slack is -9.468 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -9.035 -651.992 C25M - Info (332119): 0.356 0.000 PHI0 -Info (332146): Worst-case hold slack is -0.263 + Info (332119): -9.468 -696.810 C25M + Info (332119): 0.212 0.000 PHI0 +Info (332146): Worst-case hold slack is -0.265 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -0.263 -0.263 PHI0 - Info (332119): 1.391 0.000 C25M -Info (332146): Worst-case recovery slack is -4.406 + Info (332119): -0.265 -0.265 PHI0 + Info (332119): 1.374 0.000 C25M +Info (332146): Worst-case recovery slack is -4.404 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): -4.406 -132.180 C25M -Info (332146): Worst-case removal slack is 4.852 + Info (332119): -4.404 -127.716 C25M +Info (332146): Worst-case removal slack is 4.850 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== - Info (332119): 4.852 0.000 C25M + Info (332119): 4.850 0.000 C25M Info (332146): Worst-case minimum pulse width slack is -2.289 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== @@ -1247,8 +1243,8 @@ Info (332001): The selected device family is not supported by the report_metasta Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 276 megabytes - Info: Processing ended: Sun Apr 18 06:27:24 2021 + Info: Peak virtual memory: 283 megabytes + Info: Processing ended: Mon Apr 19 01:27:24 2021 Info: Elapsed time: 00:00:04 Info: Total CPU time (on all processors): 00:00:04 diff --git a/cpld/output_files/GR8RAM.sta.summary b/cpld/output_files/GR8RAM.sta.summary index 8110278..353f12e 100755 --- a/cpld/output_files/GR8RAM.sta.summary +++ b/cpld/output_files/GR8RAM.sta.summary @@ -3,27 +3,27 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Setup 'C25M' -Slack : -9.035 -TNS : -651.992 +Slack : -9.468 +TNS : -696.810 Type : Setup 'PHI0' -Slack : 0.356 +Slack : 0.212 TNS : 0.000 Type : Hold 'PHI0' -Slack : -0.263 -TNS : -0.263 +Slack : -0.265 +TNS : -0.265 Type : Hold 'C25M' -Slack : 1.391 +Slack : 1.374 TNS : 0.000 Type : Recovery 'C25M' -Slack : -4.406 -TNS : -132.180 +Slack : -4.404 +TNS : -127.716 Type : Removal 'C25M' -Slack : 4.852 +Slack : 4.850 TNS : 0.000 Type : Minimum Pulse Width 'C25M'
  • 6rCXgYU*+P#QGjYp$n$cRo?+OnaFU~>R_yc;5KOlgvzdb3OS7z3}gS9R^CSwJYagE(Baq%YdQXtn_>m0(ApTsDSYW6D~V=pXG}H}e>N>+>7pa_ z{8=Q`NMd;B*WkL)m@l+?>|tEz&XswcFb9`0y#w-UXbui6Pp9%Jp^js7NCcJ0aXPjU zV0wc4Bs2m(U|?*80mcHT#1ri5eGxL>jK&k}>dnLUH}3u??Ta8Q40!hbE6y+4c_FZV z7_n^j7Wd#4wRyCgTpnXov{v`N*tUuvOt0Mk_&YR2);Pf50q5skSx z7c49r+x7(a)Z_lb0HYAxz!U81Jr-OennVG+#@!4)$lSeX$ky3Z zIMA^2Ku$Q$fUAr@xGBDjD0|1nA{JM4V7viFS|~l@Ll&P82Y(mvj(A3ZM2Etl@;e6) zb6t)Y&a8=wi>;m0c5Z!Ryt^Q^yN&`BHe!5Kng0!azhDMzPjGfdF1A*W(b%^j7Ek0= zw7!F2=QaKld2UFA12P+&2kjbsLXb~~gQNp;9hs&dEN8KlRAibAqoANe&)%lB9lbSc4I!}m96{`~LrPPOl9}mvueR1a4gSOcQ}k2ww+{59 z(`xb=`_l^1;5#f++leT6yLKc6{O)iCO3(mBhwj$?7SP=_D?GdCk}t(jVZwg>eQTg#$3uLD)fm2*23pkqgr3%YFW7ZUzA zX_24L_3}P4uy!nS;XD*V?F{$VoPV4i=3w7ZM-oKa=U{YXZ_V0D&NAj941V^s<`iD00CkBw##*XbYYsz61KM%R%%hTBPYG)WG;8k} zjrk0mTSxNJopv*#vi9w(7OK}iKc7yERsJraSz8H#P4bl5nX@e&lQnA-A-pq?TFLXJ zsOFPQRATK&i!^J98|Hk_zLInTO<8ZomM$6Wru;{5CYDmM^s)8fnHENaiqXv;_DQ>pI)+!Ai z#2?ILU@hz5oiri)O{&0g%~g41i)7ZeLpDSGCQ!NHOTzha&AFSkvrV#7(P96|x!-up zXKy7X^QD^e4B-4P{^sKR^RdN_)0(vnF zw;k!nz{xabeHLqx?%n4*b1wXKEz*$EeOJ~}O>P=H$M6+`@@*{`*eELjN zam|%1-Xd;nMUvDWdNA2?$5u_dJg7W?lONw#pC;VSPaj)#w&KI{aKvHfG_S*2p5z`z z{*zSY!Fp83DL`W2Tc>vUs951>mB(mY6${rKU*hNEXsXPisE%u~P#kb!;tn29GBkHA z(X7?=VoT*r>2I_?U6S4}$wZkS=C;m3M>x{McjZ$ERi%R7?IlsA(X91{Jd2~rv7{hn zO{Z#PS6l;Ga|Vi>Z&UqzuHMck`hKeQ-Gj;6c~Mensev_@)N-MGzsVPynzbDT3tLIF z)G8w6=7u!~ysSb6?u--GQfbyE1NqM{jC^O7f|NMp*#C0>Ijj79x>Qs-)Rhe`L6^B; zGMcsBMJhNJYN^eEE~g-94)OM*y6pb99-VQV*HR0IJS)Il<7Z7zeFl_^Dz#n^*lCU} z=FPA9Y4g@`QCT|)T_#7W$+peUr^v*-0(NMH9tQGk2yU9S(VGuAT%kvlB~4(@_Eh>+ zQ7TX{sr3((5cN2jHW$&PASx5%tMxAtd3KU}v~OSrDXE-VsBR1oGWwDKU(q;sgO^S7 z^BI3e={teecWo#%BgU;cZ(caS5u{n$$|=m8%7eEzu@N^9&q=S|kG8IB@U%#V{05mn zZxcwVa&Dv93sQS$!wDR@@!={^+-R59S)D8F(1jDMpPHq?K$C=nn?0<=RO;2|D9vr# z$&%!UOByh8<0@o+vP}=_dVjNMtbiUjR~5FA7Z+abSDHpfFaG5+X>#oG^V#1?x!_+~ zW3SMAHW<+3ZglIgW3RRrEFl)DAMRh@(*;)-SYr8WqBE=nBJookm^*rTKbf!M6Fo(NMz@ndjF7n~WhZ zMdQ1w2-PX_Z!-(IwnVs}&$e}{w5Y9R-Wd{Uh(@P5ta)_Qc3uGvvJCv`H4m(D#n!Ct zLRe`C{;D_JLvQ_KIuCyNZf(@yrx?=*^bXz=K_vpzzy3(|BU$m%L z6>)6~Vqe@*em*UFDr@PYIe!AXc!q1VA+LUQ(6L`zi;W!G8UtH8rz3qZi;`DC(`_wU zHHJ~XVhpF_a(WS}%Uayv-j*L7zP{wYti_z;=QH@O5-MigQX`+?ahqE`%lCGNb2?n5 zT1eGiPI`RRJo*g;{|ByA3xpsbl-t#Gjk)bjH+ zn%RD}b>*Y&9~+BUvyn!GfnIMn(TFL(Iq^jsi_sSHoW_m0VCyCtFj9f71i#i58zJgU zgk&}H_4XguEk-VjmPY~RlFBx^#2rjyA0HQmUf`G^OMg8lAxyJdl=M?Ze=z2at8R%0bh9AjqL$p%1)vg3wP1!Q$bc-?PHH&srTWbrDp`t?ndV*GS6n~ zIT*$fz_iWGKoPsjG@7yTGu>HK&GOfa)ZHL+4xs_Y$A^Px+N~2Z?nxX6WnlTxu?BKU z=Y(6R$u%$MP)B>Kr{?@5XkUambSOWbK4sfUr%wi2ml?U}yD$n|A4Xw+xwU|P3|E$l z&LUJ^%nmS4F^i_N`T`p8@s-fNp}jw%m324FyjM@aK1V1hwD$NRa!o66CIf2`=LECB_=>gS0knJg4p0V^90PGOHOxe z5y92q-gXRl=#k?q=*T728;baW{zup$OmDd0yA3nw{%9{jzDe8K2UpjrhG2O5dRnL`sjWWj;4D*F4x4mudPM&jS9i=I>^)GuIgS* zD4U{KOCdlu0>y`p8>#TC5A-UqqqSZq$;S{&HMSiyd;N(N@5Bzub9a%|KpRsGfVU%& z#{DptDz!RKr)Lzg9_nN<1;~*x%vtCA(xvk2#ZohHsu33)0eS9#t?AxXgBw{dbVLSI zMlFb?$fJq0E#oEW%?7AUaTJ`hC48a#@R3x1;6(v9iA+ExSli(awO86 zCxuFC!4!X{tx31mTjU)3$a<%(ry#Ser#zO+Q)*hQq%$dopnnH`HoO3G1!R(=g zOL8Zn{RnW50X(n!buaeM;Rh{F2I^jHc^FeF*zJph;j}p-S_YOebp?M$tu>Twf&w#X z6`8y-rUMY&_x5Y3+8hPuXr_)AJO;Bg9Jt}baPq%=Mh1r70#DP8u)abxE0f+JR zqR>mcU|~;Wk25vr{iYAI7-fKzRDz-#1phd0Fnu~OU3$l2QA=+DhoHBPihHi_ccgad z5%9j~orOA5m3T$yWT9T}uon+j$V+sbj)DT|Chep<$(mUSKmW>O-!ZTQD4g1akD%wl zPlPtom&7%Awp|#*)J{~U<#mUY3?RD!Dv8hcYRsO;N75}SMH@S%^LE{PzrbJ<2<=K; zQaj14;{4j*--^Yw#n!F>Z!IJg?7~k{sK`a%Yaq4v@De?4>>4zNzDnx+&cj^C&K^l&Csp8bzPJ5<^1_%p@-lzs_Y${#(j8bLDhcNC#K^(G-WWF_ zuxVpzTB42^Q!13u2tD=-_Az7Q$q_U^ms;{%!2^4dox!h>;nJef3jJK;FUKKPp&SXI zlC{w4%DH@NetRZbUa+aPjs07smK2b|xU%)oA#|^?@)B?6NTik>${L7)Y1RrQ>tHc4 zRWmV@0Y(ev#E85!lr}U}iyDv$6Xiu1gwt^TV3ue!l=2l-;3nD}7+@F!z<^dsnrrc` zzqHIx0(mfl?K0WM(a4uQs8HfD)_4d4hunqZlFv51md&66&9BnyI4i85x|B?Xn0lWX zu($MEK#}XN(fJf3tpz-8srCegGz)sprO%n(iAuE4jQ*S~z!~HhUa}a>ZINSX%X?)Gk9a&H)V{!5$ID}2vGbm^-s3Ee!4P*_ ztcafIxS4X!{6zhey|=dMfxThf7^M{6$fki?zsfbTCju*2;a8O(fyUDL}Qb5 zeSQxblRZV+m?{Q7vKDCfXN~zAY0%`@_JZn{Ozr&sv4EAHkxN|L3xUN)v++<<8Xu^# zK}DTIahurKk0$@&S2X5$VrSLgXIp`qwX%RDVkwz{nKo1X2C7EZq>Ymx>p=F;SamZM z>#V>ojWtE2pNHaQXYS2pHdWxXd_u%h43Rz-^rC6k$h6<$%2esQA3k(IGiU!+inr@K zRZ8}cwM-jRQGAHStQk2opQNSP(mEH$?`xgboc{q=z6j(o3yvrMDJrHGEh3|UI=p1l=~rQ#hbk}NKuuMe8k z_qNm5ygzBWwaE%%YmvaU6Jv@*ljq$=%Kt;nOXLLl_@lvss(F3RCi=6In$-ldDT)iu zhV;9`qBQc;9Tr&wwJA1Xyk;y|>fvhZ=|8fjJ#3 z0lTEO4R(H+FR@<~*hNQ9soqE)7_m{E{Rh)?f1_N)E@}`<^?s@~_7q7-F4S(2cXtCT zzSbC+QpcS^BWck2TcVP?tSC`QYUFQ$>r^wX$Vo>Z&D&@wGzXf_qqG=E~M|GBD~Ab?vpK+;_B+P`tF7+7oO|2xSxQFHigPJPyiZhikFVv!w3RVI+&_`6+SKky=bEeH z{}~TOtcAy9*ms~+ov3=mz2le~59Ih5z{t%Q;IGz+-qd&MK$?7il+{WjuK;D;1ckQQ z7Se#e&qSy=DKqGFP-uF5T~H^S9#m7{k~(mqq_TZMMk6mWaI*CYK8eE#Rr{iDNi%ak zy|%8?)!!ysiS@WI^AOBgk&Gg%5lCgaZKZ>)XIP81%tv|gz$yaQ?c_75Y87S3%0L+T zax4dIg_^4SK!Oqm^L7ntl-8)JNKD(bJkN_k7FAen`ztk7hk16mL+m_sj4#gL1kfGC=L10^XFNyAoMx4gB? z=fhI5cf$C1=^4eUp4K@(#v!+zw`2@vFiY0>m}DbudVPml%^YIMCPE;80V-!8+0vC~ zQoip8C{vFg))7s+v!-HvD)5PFr^w@Ma-)MaKzk-)wY?RlNPWiBm`*Cime4}I&hj%_ zgG{9=w|%}JUn)Y?4r)|nfXPzer3(*m7j`0%8n2Za_Bgm;{&Lr7{=kIJ4t^i4uiBVk z$mV@ec;>uJPp2nzUM^n9TERh6lH@5UCn;!W%+Z`OC00qY0Y^m%9wx|+fMj*=JH579 zOLfTYis{gK6#pBuY^RoIR9r(41xBMLK5?eBjqKHT((qC$u07JGSP0j}hI(u4zf4{? zlQ`?`=w?M|Ms-(V$3*vo^38sSnSAMtvh#Tzu#!TUiB zyEb!Tl!&pB{#gElC~SZ=T+2Kwl$DpQ+3Y$Zgj&p2v|XlH3rKt!Y{y&&Nn4EMY&D{8d)+YPSx~#ib;aQ)(8=Qx?w2ZSR!F*sg_dGj)7{Wegqy+<- zwKw%<$sG?VOh%!cdkP8*_fDg|jwRCD<$`+<_TEBtTV_tDHq#VXYs9z*{&DZ!E<0cv zRg0y-Yy`@IU&9iYRO4U(;zv+lP9;)V$YnVkY z&!&()7pd8+LDmoD@`9SD1rTbZjWel9KjooKwXOAqIs6IEUv0VP^E6wfhaaX9sf|Sl0^Riof=S_{fJ|fd;ZjAfKeQ9i(2^4x~sU`P0(c67& z?LLK0{&r1*<`r$JJmg;?H}#nydL35g&r&38A9W>{H>1Up- z#eDO~nyrPN4*vZc78WMd*iA#HCvYYRYGPeusYwy6iEs(MZX{K%qw2LhEOW8*DImKV z7NvV9ZM9Z$}|w z<$*~S3_@(#!lr1>i?^3X7Eq-{q-IU{O9}oi#NJ17rYP_>4!Kgdv_RD94c2-t-Ah&v z1+J>y;2vANDfQ&__1}FKJYFttpha#RVpFu8Ov~^DyC!=%L3}67)f248Wh4O@N{s?8 zrrqEK6#4=RJv(4EnWRKAoa7X18-ZT@X~fRq^f*F+^Rsn{hYrD*t3qmeqPc1PUB_gR1Vf5}^Byp! zyh#Sqs&-|ld$)1cT@9|wR%L`jV+A^mqT1)zQswL;-S>f4Ay${>i_J@yf@yH8OVr}` zopQTW+~6C=?ZsL(%Dvh|S5vD>)1|Qx9)lT^8~a476V$1@0>5Qz5wUzl+~-XNw$W?S zW?ETJfzz?I7)a2^xOhUS;B~N>sj0=36=E&VxVMpm!F!;MB^a1z?=;+73Q@k|*WuY4 z3Hm)$dK#*(faZ3Q6O_BDqMcMHszN}%3yqfA1M`~u0Wpo5xybgMMqQ5QJb!kIHBc+` z719VV{|A`QPHdzuU6nb&a^*;YL`85SGvge}`&6YG*cx7XK$AO`YP{AcC@ZO5`8 zN;^b>&udFv2y4N0u5mZ17G$;10~3fe+X6?^x*cl%or!yk`@6wfYWqjtu(oq=Nzj?# zAac#+CO7HoVyE~R&d9ETY#az|zG=+6ne*MCs;L#&i@{>7f3sN`*c@Rtd~$>4byZ;4 zhxC31OSld8&v(5+iF_3pDOP%C1l~U&*@cI1(&Q^{+QJa81lz_Z2=(=*n>7850+-Z2 z)|+)_?uXj6k4=eD&M`o1bTCTu?rcnE^3la<-QM)nujdxaCG&^Ol`(;h$*%ltH@zGY z(;4@3A1e~naQp@*$^<{W;+#rT7XGiO2voWxMH(#jbCvWGy6HBbSu5{w>i2XOFq4oV2%6?v@JdTC_Zd5XLvt_{q0tTWD^C zLhh$sa1U&)1Iy4{67rTRJjp1x$8lT1%~~tyyK~v@G~~1LvDJ)D9Jm(@#UD!7LQQu% zl{HIC?G($$9tgdhscTWdhQ2iG)gCL2))-rhVeWu06n$}y@^y{xY}R#^Y*3T@-GX&v zuY#APpTCHzH&#o%E>rvyoXfXn;}=n@dI}8ELVgPj#r9%z@ZsKP8!0fHh_5h=IWYLe zNTi$dET%OadT$# z1LH?1IZY42aCER++y?;&cN;7f774kWnQ1-8(_?K~C!1`KUAYa>mEES2P zWWG()Cb-}#AlEYQe)yI3M9aJn0`^f9FCWvNrMBf0IGZjxY9Kj{22kpcs^Xsk30Wxn*@zMkF|QD9fl^kUBN6jp%Y7_@T+yq#_*o zJJtBxG_Ohq=h~R#tbWXzWFp?5%6row_(*#?m93y|8@e1%SS$%&IF@NTEm@}Sc+}Pz zI4cy22Q_UiwS;Fw-J8U&B8m)Wu({k^L}B~y(djOuWU*Fg$3swB$pf>O1cg!euIlEx z%MBV~Os5edcJ>IPyiFANzRrS4n5yB$#%^omS;mdDYG|2HgE=^1OgmbSr&Z_F64*2? zmd4O!HpJcA{x@m-+<4A`z8kH2+*>Sl33AjO$R;574%+ZbV>*>+#qs|w`M1-X5=!P! zgn;%UkA(sGvK`y$St|v0#Wj9d)8FU`^*BeH72NhQGS2OrZ5D(}xskk7@y63Iw z8S5%AHfRX#Uoid`%6o!uUvu|%L*&!gW8su&t^)HTAkpg+P7R(*duf1sFqF zYUbcsm1s%loU&;s6{j!Z{0tbJ4HfMCI+lj7IwG9s;ZQ1JuQ$hPQ*KJ=amT&Z-o179 z3@gVT2Txj3d<^wJG){UGvnIi#6skRbsK~t)qr=x0j+fJCE_L3~-RfPf9@K_>&m3PPd$hU)bm+Wbk z*3qE^SZfSVTKae_l`5|8U=Qb#LFNXU2Y$?I#bl~|^{MpE#4;EEc7wMU9!tyTDi=)5 zQj^|ESYu{S(AFzt-?~n4JkYH1N}6HMW0{-d3fH8fV;xlf`$h|^m~u=3YyYql*~Qmw zr>cG5Q0z9f-4E@wg$*Z%Cpo=FQL`B;)#TUH7S4jU!P|HYvwPATJ~1y$5QX+(A2V_= zdftNKZ~Q75vsj^1?Ti)vaMO> zQN(gv4=gP{^!rcR;tpe@Ys@w7A*c)R?cZ4&$#!HsMy>F#td)V;WQ0roE4U<#^uLiG zhKbi>TZ@7m#2BGrltAy&XgrZ8=A{8KCU4B^)1&bOFW1I&1=AJ`KFYwFXj3c-nJ-{) z6xtVc?}q5O4&{d@@_#gIx%h+qG`g3#gu2^{yJtb;+1mxahmMo}Q)Az`pAq9fH5QXe zn-BhzHmt>#T_T>eT|ym;u=fP^=m~bQHW3~$7`1~Z_$sG&k@+=9Bm=J2X|DIYP8Oe3 z0h>SAW=F&TU*{P5BSLL&b0MFJ;va)Eu4W!XWnU^Vo=KB<9vd-Bz&f6f7`xE15rV)G zfkIC{tt?TW((L!4H+^GyC)a`NEi831vu~DPZ#WASu$(uh&$Q&hFLbJj<~r2&8c(Nm zd`Od5jtg^f*6vFQ`85c*-eBvJ^u7>d3PJO-_H=4G{2`S~=I@@a$+glH zNjrraecMh+lZ>$b*2vx9!H#kCIk#G*7Ta`#@Tu*MP%0Q#f&E#iQXC|}z?MTcTIRVX z)Ux>M04Yr5S+AY^)gIlhH`VB?HbV|)uy9@i;wubW)4f+G^^u!zGK(FJF+KERW50K{ zHGTY2U&g(FokM7shB;JbTeGWeIqS3UZDIkJVm(TNJ^(vHI&!I)i5a-b;!f`u5qDjQ z%5S|RP$CjvR{#U6issV2HdLgWABFB1Wt9R79D5k4DepvC-fA>GNWYVcHEm$I9*dvP zf!_|rz-E@_t?{2XQIVNjE!X4WG1%gb=OFc}%_$n*Ig(Oem}|AxD(Q|gHXVFn*_8Rz z>VewGf!rswb0YimCXxW7>)!eF{j~x!F$KN}shvV&Hl9cQ%cu$)sZow32ue@kM5lYs zr{sUD-kTYnsH7gVW+q^v8lCfwp{J8~(yEn#?pt><|4xho;`8QNbm975+IsJ}6|6b` z$z&B5pI)A$Ud~)HJ!j6;5||&B6urQCw*BX5XKDr3MUQ%;mSogtCC*c^OFq&Y3n9XJ zX0(e@jRrqFN7M7F-XHXoX&lmLB~R9F#T;%u(yR%J8EiXdym{lPer09It^{3~?ZRjV zQbPuhr!++rxQ!M|btp^94{Z*YGn?MywY7AHtvT5#jQl$zXmn?X6PvuTyzX9(5eBwY z387v)6!MRpVZ@ME!OVC=DR1QX)%(VnB~~IWm%7M;PUh4+F=8bJbiGd4?T814#K$VJ zLd~CuZvWKnPD!V%6*ox6&LIk2#sGT}h{wG3mF92HkUeo7-b#N8KxHFuV& z)cu93k-bC`+`IwgJ+5hVek$e4r{=(6dKN2}CB`)xIm-9Gx_nQ?9gSfyrjbv=Y0-7H zZMTLF*e~EjBQQE0TW*hU>b`sK3lGS{8ZcgE2&8oHf<$UACprc1`-3?!drt|ZqcwsB zyoRMAGs#L&mh2VR*&t=MW{z~c=Tr`!JMpVqU|V1BmZVi>GSTWb4|N+DPr=@Ld+kGVAF5j3wMXlkJ* zX_t>*v-LlA?&sB(9%t+)1N+7U6FV2yzC+(yY7>eqb3d^^4rcZk7ah6Xfa1+^Qp3`R zt(2NK*|y7%Vi|U~X6NWz*jI}9(aY+^0}~%RhltE8WVSJXzdDb?;0;#Q@PoN>Gp)O)*>C5GJ&HpOu*Fr9;c@Y)gVccbNg;JVA3hat4 zc^SF68G}#5FO3|&c&1BZO)+F9YTM2DgIhs3&4--0g23>Gkw?*3K9;#?jGw3EM|P1= zw2uYOAMS8H*!~4vWky0dy0Ic9{g{Jh2JW@`XiF6`*BFKQEa5q7e&D-2tb~O_@iBfn zk6*|G$qq^xM8Urv(S{zyi5`Q&;V@*+f~+{}NJ0-f8vD6(l!5Vg^|DUfXf?8c18r+L z>iA1+k=}hF)Z`fV?A<{WQbb8jdm!fi0Vv3Lj;6_VOvWs9}}F&Y7#PxR>?^Av*B#pubUZ)HU+< zP3xSw<+zms!6xca`sx)LSS_LRLFI*(yc-4JhZs{#*hG$$OQ}=aJCtSWNb8pNv5Xkg z4|r(uOXI13t2bijxXma&b^&#ZPZgQE9m2PFN z(kkHx=J|O+&~B5vCsnwynbO8?ZgtYxpeMYfG-$t`KAMJCQsMpxi%~3f8#<0hbkMXD z-W%h7_|zYKEr7Xx9X_9eI$WdRh(LMDQi8`xAcy6dIah~KhlQ$c@e*da;cat#3k%2F zcMEAxH#P1@-Uwb`Jhf5Rs{)XH1QsuZ(+oE>9^VRv4Q*ccHp{6+g!c)0rwOO+7ugtb_y=TWJ0C#m|zY*uqkI}$@)&FmWs zO8CYev;$AT5b9uJG-h7}?OI#d+4y}v>xvE@eK4@y2=^~G)u1z#Nw2sxky+`cIZ3$AruQks5~40rI%mV@IGvn<`O^v>G{t!d&gsxZ}l?sKBV^+ zpr9Y4+>&mT)tn=fR`~U76Oed1Q5L@%ojPwUrBcYPWBSCccz;glP!FGwr zJVOmI`DG^V6pIZFa+eesnnLD9AY#3tq0pV}R#zRkZZN?900k}lxr34qyFxRT_qO)x z!1WcqKf?V#7Yn70SJkXWE6lhJ;rOx)jno0-sOmg*&teCwMB*AJNq&$R*HpN-la_PH zjS>~RK`aF@y4;&a)7*h7D*naNklx(w*WsC{T=`pIey*tf>(cz(Tf`#YPataRM1>cX z@U6b+hkoV8zGNq@?AnldPaeOz1xkN&XFq*k8P|y^(-v)te1CzT#o%$9SGx|UCTElr zmDf&m1;s&W;L^xr?OA2XtBKKZ8=Uha+oOH$)?~Wbo29mWll4RU_f4!Rw8XdUWe!uf zZxIyxdsQogu6i=EJY~P4G3w0-8j$N3ZDv@cR*U6nKZC95$do2kBllzRpl`&2)B;+J zq7AdvL^G0kk>`oxGQ^OFC5IOtKpXQZFf=G$@)dz=B^)^VflCa2!%~1T1yb`o#xQGA z4Dt?6eh~<)MOQtzb+=BByR#P$j5mq7Z{1b+G094=RWg;CG_IglOt~nOF1Ef%Gk-g2 zHPzq)+|lOy8UeBb}L3AxtfiV)vf?MF<=eW=iA!*ULzR1KV zGoMz0^%tCH11eGRT|2`}j&W~0CUxo`@sc!SRJ*sY{s|=rBB6k zBWUoDdlEbvF!E?VrjfrYS>CZvBmaq@lnk+@4Zi{Pc6eGUoF>HjO$#*!f)dXc+1TTT zQum!N1^EcR-YwKMEH&2zyTGv~jyD>4d*B@e_N$F8NwZ>PqQhsZSi9KABr47T-t`=6 z%#x9#so~#hS)w+N)Q#K$|JIy0zxB*p# zqsLRzd1uhQzr#Uh!PdGQP2;qt{^7(HQrGKz^kw|mz|Ez!Ak#kDKe2_iLTfAsZ1^Z- z)2+c|s#;y$O=ZTFc`O3wN%@;OIbtNWI-Rd@@)oJ~#E_z^(AbekV@q`c4X#lBk5C0V>%7{;Uy|~$y zXgZC#s}giLBbWO85@@G`8))R%weNZZODT}WOXAItWxnPvmit)2hlM$H?A2p zs$8a}X3+fM*HOREI2s;8l;G-YnDK4iQV8NT)#SMls8Y3PelMY-_ z3ei*GU=Sj#=W@&k?j+UTbm005y=W)GZKNF99I`;wf#6)}*G?cB+RFhjLjXoEHFDep^$3 z@lWTSv^9p|#8S{s?IkZDbAHnV@BKLtKo{RAQ^YeX66yIxKekc+Kdog5nBE=TTKI_) zWi4*bwZ_`jJ?HqBXV)gL=|a#cXh9{%2chVTw4;_?OSPcX9hOS7s0* z@{_z5sMFO1qR>M;FcHge=E}Z=gpxAdQ0jO}E$#C%ixSH`=<*&0rYo*ouSz;f=x{F~ zcexi2pj7E%RAf;)8dSKU<*M#?bKtTEpuWi3D3n&EI4X9|E~+fm3wZgBhi*GB&^*Lq zZ0db`;gCy1M8$In!|3Zf_2e5ISYk_GknP20ZSUt-5qul2z|fN<=r16<5K-In5a;zg z5%u%`2e=(r%MqoE))=M3*YSwU(j1`y6p3Ko@f+vZcaf^U)Fm&rAc#(CN6wt z)zH1y!VQE-y47!JY1gE(@pe0FEZUu(zRS8$q9A38J#q?dfoveg)QbVeSomwHa%^-q;z@eJaBRC|~z9@NHko9hB))q1Mff7C*&ug(V9QEUl?&l_e_lU}Fj?=iz= zP9*i(3J5F=O^w!}G&-}QjqRC|sIoymFVNj{Hph=`s5HlQ0j~-~4|@$*-_kn+1hxu| zmbv5BBgYC|?Qv=0Z`4KebH-?TmZ&UwSNmqkd!&V7SF=0p6Wytr&iT)pE0$VIaDnjQ zWZ-t%K0BT>)7^>|>2bTS81kwmA=X$xzt;ff(@Y$9Br;{D<~$cx_F9;p6Z|!>`o# zH<~^AK=!JP&h?)cSe>;>7NMgYf0vs=-{xPHTyj*a#By0`jcUVb?gq7Lk%`S)R5FWo zqwM@a+a0uZ%s*5o@lW>;H?&1e|BC zK$w6d`FkS__Y?3Qjjm8YWITbGe*khojlahSf1U?FNTKw-AH~yc#^tK^>bz*}{>>P8 zlbIIC>y;}_+3IAbJfk*R--)vqbAVK76bdd~90B(L`(2)S;=KmwZOhoQq`f%5j7iEdDMFDz4i| zZW853j-W4oYC)wnn{KqAsWVZxCZUpvB#*s@o%80I0Ar;;-=c0ePc(*1BzQZ*zsDo? zwFw$e!~bzVu`iiuCDKR`N~-9GD3oub2N-{$@jNQ&OwIkpus&^?Pqb&nboYbK#enk;nix+OQP-|9WPgiZvoyXyY8hFf_Qq$6>Gll;MsbKP zc>hs=nFBM)XGxb()mI99NxQ)WlykRGS81sg*gq7{cmzn;s|QOx1lA(o2Gf*Hzus{u zn7OIIMu*=Bvh7MwLMUw`wV*PbNlG@5cRtG#YC0QWx%Go)y$*}pUe9Puz1-Tje3<){ zI7e3#%fd2Ssiqx2*Vr?5d zpa6e$?Lfop_yBenWXBNYay9Ko6N;*m3Z;_U*0QofU5l3Yvy^tU=kC#txm1iksi-)cS(B((2xJ?< zn6yx{lz;44qvLrtC`-7QT5>eiJW-Z@D-&+{XxevRC;~n`GnBj&sCU+O*M-SFcxX*z ztKV|=rT%Nwogl4<;ulYQmrvg#R29##%0VX zw}P%@yG#ok8s6CGBh;`L(P+uTGwu8k>P{rJ>7Uz~H(PIUK?$0Pp-`cdW61={ z`9R&1Y{)rRihn=YaDHT9JutioxHxkphRp9U;5;E2IBh>V_=lQ0L_$$G@_BKy8KXP( zV>DgQt-z4?CtNTML1{YU;?M3wnKpkP3u8)%(Rm&&a3G&GKSJi7$1aXcv2*a54{(DM z5WIGxQJF_Nj_Amg8F+cWqHWLjuSWfA?+7k<%^A>drQ&eFPX?ESE&0pB}OrOb|ePUx55nYv&Mzq44(M?KomrrAp7 z{4y&QnNEQ7A~07i^O+OJI~r@53wN~fbPLPLA12gF=5cZ2_YvzRsu zZ)h-Vex}DZO03vV$r8kM-u!;Za`hgEO?Mrk?`Fpi(&R$l3AG|#6(+%BGV0X&SX@jI zXB2(-sHSU;b*(5A8e!72AjSrIw&6Ri%+=MZ%~F%z0+yzHnh?4=LQQh>@xUaPxWU>K zQJ5Uo1=&Icz{+3uTAQfXG_cxmuHQYAw-qbvw~Sq`!rk;gobnM_+UQ8kcV zf&imwqpSgIQq~kh+~~wT?cnAk`Q^u>C2;lDPSgU%B#-E6u?(pf&FxhH66eAtmDyeUR!OWd;dXt0o#CT06G)ai8!XO%@qEZ1xH)H;Hsn7MHyVJ#y+@mJ=m!WAm_O=(3lAOMJr|Iervf^$Hd+LaNL|Wz|A{W57*DeG+53hM;Lg zHzrqE-(Z5h6g=Wj=Y}fXnJ35p@_~dP46(H}C;;60t3 z##0%03$2nKQ0P3AH5z&2;8F4%w3IcVua2o%XVQieYHl!vRU&20C~(z+mF{vPN2B3x zl^kQ%j9g6HKD9WAGL=<}mR^id(#aNhDBqj&H!`gXrY6VTOP-BEvncQ$0fp#?sN@)u zZ}I3VA--PxyE{y=9;WA>OF^!9&aY45dq9Yap2(x_wJ3+)TA|y#Cps!?H)xHT1YFoN zW7eUkY4cG&`;wJU$MZRe$h#rbj*YL<%N_BYuhQ}+P((7P+l*X4SL zid>Qt5-QPYFvYH@X745W*){i!YAYg$Mvgl@ZCKx;vDlcvRtD#(bGM;lj`gB%TbHpB zs1>(k+?sQ&VISAMJ90;3J$hdZ>P>UDs9V(hK$$9Ir(sO|!*A}bby1#1N(kCT06tK% zHy!p?Q-@C4f#o*rVM)Wke&1jm|JHn@4!L|sh`ke|FVTDi)vr5NI4`e5?hFQ*2cb5t zk|KTTJ6vxPtAyZ@2Qgs(;WD)Pc4jJNKerY~alyZFP1lwmsB6PS&dlBmENrF_sU?D$ z4aeXE;%uk81JwI^{?_B>?L_{DKL)R9cdGf+9dg05I)ayTqUiEFZSB4gyP2aOInJ%7 zTjy@j&WAg#w&DYPMFUvsZq$k&E3KgoMfimR=hs`UHRsDQ{}ULufZv7j%VF9javmP|&Eg4#I(VgxUueaRx#U(HXWN{a<<`8f)^}gj{mpoo z_JW^XC}~SoGtJTIou5Ro?NhL|qup-OXAXO)&ztJrzr#rRt+V`m`n`T+UpX!VTf=>1 zt*0z#FNe8CKV&@nU=9sn?4AeqGl@doC$&ORVY4Dsg*^sYrU#JU?B0(z{ig zpDq<}76`uC$BR_rW?W~h^w+HTI)A%4pA+L2MffIl8ormU{W^btg*-?h?z;k;#qxdaYIT`{esHHZlZWFzxZFx=8B+q z4oFrD_2<$yZlM0tyq7waz(Fn&x_wMzV&{$E{w;gX_81<`vl>*kr@aCUV^I&x~O!3*|A5>(Z!#TMN zznwA4Fzdh+1J{qI5=HjX)db1CXKLCYZG<80)k&JtS)f~a=bhant(YuJQAu5f7_k|b zoo&f1TAp7mB&FaL6RFQvAu@I5iw#X1J5(xaOKl9P-GIg><>*7ZW2(77=1?LQehkAl zRzY;1jSuwI;cy;bGS0d#EOFz_WX+qHf3H6rN=?IdQ>QlTt=HO^+VI@UjzI77-Ph5v z3;$5?>YmmKPIF=o)xgzKc!^)yP#W@4C8_}S3wM<(lsx0Pt9;iyME$>y6)N$(90oQI zjrI~K)V1>83*F=iFs;z?Ef=`A6#fBQ8w1XtC0a!-@7<#z#m`u-hZ%Uga)6N+w=_e| z9n`H|T<4SeiM-cpjrkz3^V3Bvn{p|f(`puTzF8V-ozdxi3p^k@+pYaugSnKsuv&(C zqU-aE2rD8tRFw#+wjps2yhx>h~1KC>KF4(3*8(C11 zxF+kVClFzqdJmz?6_pFJ(3Gv62l7zNo3sNLdeKpmh1fa41BC2G{*C(+7t|}1_H%Es z^E`~#-r%WkJkfhzN5vIjx+WU^MDO+!r!0O8fQN?)@)c!|(%`!BobCLISmpI7%L8vO zZp?9Qo70t9(0$kw=SU%8^_!~n;a3zWCTyh#7^{cV=?+XWQm1` z?F3tIwn?K5kRK?ufxg-wY3)B*tkzm;Zi*{+7p2=YnegLBc)ToHs(D_2ETuTEr1pt% zioVmrOZI>@Eww21+DBl=8zhW97kkmPZ>nD7oe0X-VuSI~Y;dmVaQNzOM;9&8*9hga zk<98O>`0^D6sHHR&bnV>I16^(hNG9=D)v_DTqTY(^Otp2sCNIhm~;FC(PiGSiN@u8 zC=Q%RJFtv9DHQs%`B3ILDQ!#dslBT$i_zRCH5FveOh_wOdXN?L8yXPN94O-uQ*C;4;{qU;mxmn^KHyX`V?M* zF1EdqNH~Xc?t_M7fWsH z8rZE1W9Zcrbr0J0pmSLW?31UZMv2HKqU~tdV~(@EsNVT#5qU3yM__c7fEnSa9fxw` zGAJniRXc=bDH=0>ST{8cH>)Uy!J1YN@4TuIwO~Yk0m<)Mux% z)IB<$JCWh~!(6qsAfAuW)^ZbMGeM|2p1(`c!}_d4&znd_-VHLz(|RmrNVtw-S1D>; z(f*A|p}ZW%T=AnAwJI=`E_-0dsr0pV60mXCA(~Ki;95=tES|%u*ZH{U8_V_u)1-v%cXkV$=>rIVu{S4}c!l*76>e z<}uWC+FI%zz3+&+-ARzoY}uPm{XT?ZuQ(NL!nFn=_ho@=bf!>h=XCzv^SoN-Ibr9# z=gGF$w^>Kk&O{J?&jJoCF4%|lZKeSBA%`c^fzRp&*ack#x96(Dt^#Af61b1XcaAT6 zzz675a~P9c-j@(yTzoi~sx(v6HN4VRQjNTJf}e*lOwIZ8B|F_eB2XN~e-KvZ%4}Lm zADi8jO$FEkF03ttiLXTjIT$dQx(2GX+#JlRte7-|iSvdF56crFd1|b1bdB+oy2`)j z!wuwm&l(*u!+6Ty%&O0O z(mJnFG`iFp>yuWA53W1F*VtE{Eh9VkRr=mESl+cSZcqu9Pz%lSDHEve1a%)6PA}NE zyJBjmMH492Yz1zny`-`$rfO3hy`9{*6Ke8@99pLRP1f5BT*Bxv#`5}rW=<|$O(i=%{kgssrTdl;f%ugGGQi3i>yZlr-$ zwNTqMcA=&Viu8S=@Cw6G+Wq z!QE}~#oZToPjCVRCpe44;_mLY%P!91i^Jls=hoYuo;f*Tf86`rKfdpendeELlT%aO z)m3j*%k*?p*KKkR8-rpYT0|!Np~?5EwoOB5cRe zLujR+4EL+qLq!w20{#B}EQu@l);k)NF|83kd#1jOoyea0Tkgk_*Ic%c=3kY2?V}Sc zuGuJ7>|kDL)dI@dSCY9fDS2zOC5;gSdWI~Zq-`ZRjk4H7G$ZQ~V3ftC9?$ANq8@N_ zADM;b9FL({U1(EXKJ3G_rD6GZebjp$dy=(5YMV_9>}M8hpxQ77yEZOtJj`bVf-aPMCFZcv%N1cS;x7J3GPEbH%q?Roj${`xbrc-f zjrh|p#R_`V_FuYR;+bxZp!=aMxrkPJ$Gg`Q_&l9~S&Q?mb5ZX{V0?>YZ<)XMjJ4ahjTiE;8;eBc4borDCULana*#*X#=qq|(7MQ$$ zw7*A+B=*nh+W^e~r&?q^}vD)2p`A?X5<{ZMzYF!fwx? zhpo>Mj-y+y+A%wqbA7f_i|BGQeLwYcM&L;Nb7#>O8gWE!rr(%NBJGVR!fQV5;aVh< z?B*(X_*@QNQJk|c{V__K1o?@0J_m3JMf~x-M3Ed^L$vr9lzEerv2%!c&I;obT`(w9 z{#`Kj8uvY=HJgV|bf=Ydb5o)NqxBC8=Qep`*^v~y=LFqp9bzxNvB_(HoJ&pn%8g2t zduuD;oXe54N-&oibd+JQl|pJ=IA=YPL_80Tq?Xq3l0gW|RoeF@^hZ(5%eokZ*jF2>%QcF@qo&2mi>s6Kr?+H#M( zt}5Fm_fFZ7@`o1UbKlvTNfhz8~NelT1Uo4Ij zk%=4V5Uo6tY$?W5(WKHOFBMWb;stB`*jKYA=du49S5$_3QGRNqH{-OTZN=sOYbn^j zMLQKUqO+EY~HHQWt~! z$Fm`n`|dWHKcK#TMd7>_q~hZbJf4Pahwu_{*~~rQ{)i}hI)vN~+p5gt&ZwdWqBpi4b zK3TDAD0?SY)75_F8ERTDzL9$Oe0!^rzmQEO)}g0-zD2Yy^9`C>qmw>gDfJY-gh4kw zD+kl`U(V33>cjLf)!RFUkoJ%hZS#?_)G)4m8_8139`xj}ORB5+`gt@ifn1T4oM&qU znC`+Px7cAl$A3CQ2MWeFdT#5g_f$|!1E9o0awl3CJxw479?0D1Ez9MTd9U7{vTHGj zGZzMpfnYoneFv3!f$H=0V9s2`mQQG-+M@4pF~J5ZomqCsP+Ni4*AUHvr21bQ=t5^n zW=Z86p7HoTmkXkmf4qTy>}Qji0Qr<{?!4PTG+2^(xvT6_?L})KF6Bh|{icYuHA1wt zj6zQ;NM3CAG>Uayo{qpxrsOvLm~{n8P+qdDKw0+=WwF0lepgY5HZUNF>U>H?$NkFM zD^w<<+aStL+pR7IJQ`1{{RZjh)X+hSg*4iVQIvVjR{Fe;^sg8V;99{-mGLkB*J?EO z#Z;QLeWT7t;mKDg<_rf2=vHGkg}3#wuQ7gav7eEP}|YL!Wbz2_?I%|qE~ z(O9U=uWy=bzbE+~@!St3B$zgx;_@**pI@!hhbo;nhv$nkmP@zVM7v9Urc$q0>vOqm z(NHaesr?W+f2g&Y3YC=y5GiI+FRcuEqfL%%qWzg9nJW_E9JpZ@W^2}6+C;muOY%3u zUXziVDSJ&xzQa`!tsw8p9^$Hl*r$993mO<+@=d6(0Mkmu-BvyN(67JC`BW~@tNj)N zdULZDWyK0XBPjs5^)rUi+j5edJx_8ULA@t1d!*%z{34Lo5V-*a5GB}&#Cc=nn;MCC z*;cpa21_9y5d`HQMjhDZ$bffqlw}`6*<)c<)$_p7Xxo(=*oCR1(L#=`vRfjX{O7wJ zG`9Rzno_uj2%7fbUffe4{Ty0aP&PG@4JydpUd^VZ=_MJ90)+$Lf!1E38>>Xa4hMF!#7*=ux6!<@{zP+F{0UL;#FfIUjRi6a60+%||UIEAks6iKS?~QHiX#U81*W z799NeKCL<&&HLiYMl5$?jcDu8aZ+&jbR{Qmu@j~2O{rSY>lAW+jnC|sWluv_vMIFo z&9Z-ib1s0^ysvcZ2Et;gA=)%=C}PK3?xxD#-aUx+3%G-3cBJJGFe2$tWatY zBC=9yJHM6gZ3-?|q12k3nJaVWR@8NCUdk2nmpxf41WJZ9&d{wCU3*9UPj=U_mP#~| zzasO`+>mZ)=--(0Wr35SgIE6F! zT!z+C#x6ttb+gfM_jpr5 z4D5+DktG-H*ceC^4DQY^M^TI|vh|1LkVixPU3~T#ds6h#G<&xsk6<{6mZ&2{o5xvL zor5h&b)9u3ok(QS0{5g&)RJy5?Jm^9D=?%J39?8On6LsZGPfYV`n?-1G`b0H9`ehM z$!~z!B#5uLi=OSL^H5N>fxu!yLk?#!7B2vJ%qM{(T<1DOz zovU$0{cqL!^9%iaDw9k;~KhQJ>8GXB1l>i85&H8E4%5POk6Oxo#JF`d3lv z-|4v?M+J}Pa04`Yu5}&W(e04bM)oWfbsYI9fSd?!P#$@`Ez*q!RF|vYaCD~tlPKZ@ zx)$DQVSktQ=H+7{T1QBJKK~ec?UL^@S!#(52NCDCsJMp4qL3P33Qn=trfJtS(C49b{_e}G>lJsaw_a2+H z_iID_nyPnN2wejKrbn{A^#0gk0SBukx&w8uMS%HcYv5ai{Ga>uQfu|#MBPz>(%RxP zeFT`qjLC91(Rh@wc;Xm(+jgQTyB1eWvs3(20G_`lq15af?|%ajT?A?fiR{zy*V@>s7y`mEBDsa>Y_O2Fh6y@x? zONe$Pl4yKt4>zPn8H!oTN3=Z<4SM%@lLxY_LbOs4ttJv>(3=%Tx%uS`d2I$atBwcZ z{Ic=a!~xXcWPSY~6_HQyT`pdE;n7w#tV!eZ&7!5z(+BXj$nx6DAD-i=N029#5tg>1 zk$;4yXY-37izx?QaN%)%T-Ah@NFNHj)o5L2HZ z>0D+smA)m(gV<1Z=n=J0TDvi)w>y<;#{{J)TImC$Dp1(gY}EbI6FsBST6K)S4q`_Is#;_J3k{FaDCWj=D_-dnU8={d z7FkgIW?|GgqwK!NRgdOHWUdU=88MF0oRhJ|qxp1-JKiTY=3$gQxpH4h)mIeNoXo(ib=sdcFZY|q=2qc68%@>Cbq{DIKFg2b!XRinJ(+6no*z{sx z@ZS@Jy_HrpeDUzk101#gi!6_o@+L!Gh%2}?p77TG2Y2rOk7TZ`ydavZ90r=+Wgo=k zR8}Ts6H>r|cXqr)MbgJHYHk^=CuT#5c8pI=3g#^mIk`}HDfNjjCz4_$hyc?Nps+cT z>raVl3kS{ z{x`Wp&~x|rmW`(lQY~n|UEo$;(tq3wxAo8wrOIo?_|fD!U6!5~_(Qk+pb$VBgd~3Q zEwmtIEaPRjO5!+pp;Xa}dKHJB_zvz((bfva@$XuB-7hS69kcv<1uv@|KY{T6}Rpz`SJck}w ztliQoH0E{=LYE4YmLl`d;Eo3F#~->^FVMozzkLIjci*89*HkD~86@&2rjGt2 z?3KxO&i#X`x6M_cMi1)tgg1X~SwTO<^%j|*h0x7`mX^F4X==KILbM~?B#X>*LFiwh zc*Wg+>{fe;xX7G0g5;RGbrbbF{+7biPt_l)sK|#R^5i^5HBI`?p!m1;iFa}{aoIv^ zx1rA@e(Xull8mC{MPyX$tfrYo=Fj*Zk6+zQR3Z4SSYp;maoZJ}^{yvf$hb~OK8b}9 zog$m8B*$wQso4F6y=`)|z+UwD&t-!9Gkb{uQ&ny!v>%}x)o&?q>A3rqYC|_7Zs75V zE5q}SRBUh|@=q78KT-7-nRh@ZI7z#4w7<+L8XAAH@DfpWQO?)JN(#}^j#)-k56IX8 zw-v46LDsn^1r&dx;S>rUB=tAU*S$#idTja(iXI}#jB#HmsPOw1g9cbhX zfUGQbv_Kj6F69PdLk#Y;@eZv;f+**tRCI1mPqE>(Fv(8Ta57iK^`gaan)gWVrfsPu zKg!<6iDxOFE_`K&B^o5ROC*T~R-(Uq{YalyhwEvS3%-Ow z_aQzfAHI~5Z;<;^VFp}OECGrw$9Ko$!cE|ls_o#{mE?nL zP~k+$IdgfRw?T`kxW-SLS?OGMq`bf_>MiCz#A@_!azBM=yv8j!5;FHHZd8OD>iCm=)wnfFfp$2&d~kCE1Vv7iSDC z!hP5dS&KJl8XdZ^P;e*Wiiv*e4OBT3BB!cY)*K7$l~}gquI6hbo)tam%OL$$4C`D?AHj`y&(SlbfHu?gKSH%u2Ym955d)K z^afrh%%UW>CFsyUUUzsXd!Sl>HBM?m?n5 zzj(2+Scfen7c%VUUXQEzp@CGuCF^}jNuCxaDZ!arO6~ojyj#quLAd=0D|OJ0jn7no zeyovNENIB9z;uIeaUH;o1@m8^t`FZ*;InZ3q=LhHCV2QIE%wk4^C2N<>ibO=23#7lH8a@6M?HeBJoz-s64c3{t$be=4qJvK01RN8<(M`6SL9C;g58l z<&f7+jIG7j^sP@**Oalv-V%Ei&KshGlLd;8&KoeDFDDnER%Iv(4?PT>UqhlI)69{% zbgoH=P-;XO{BbNrGvC=_G#zd!pIPiy zOEE6VeMHoi@VysVR{M$2dr|( z3|_FO%96>{Z1WEC&#>D*T7^%R;~^;PP)jjzJ2lG@N6fzetI+ZT44R4^Qri_Ll=3~4 zIR8e)D)U${?QTi#!DbesiHeCtRcyqiP<@CJ%_h$rGMnDVyGZW9Vd8~Aq0}L$7%%hT z{YL&7M_)fY5eCIrK`^pZ9<3VSTC@H*@*ge9Ik{}%f*ja+f)8X>_M>x0?VZG$LM?y7 zfxGScg9==aW$gHLO6M`X?^WsfCaM_nh3=Maq~B2Xwr_gcbts+sDC-@r44MQp3<;2^ z%yF{PIAu_5r>pVaP`oPfbr`wcJQWqQwDJUfP~j#go`=!7XOfJEF+!s1rtkwWKJjWtD7EfbmdeE1V4syU8lta5HRrfDQN8DHsY2-z`Uh3`d=7A0 zS2Tt1uk@uhFXY^PT%HO_fk}<&Xu^<#R=)hjK<&Pq3bNoZM%gA3Su!w>=oducw#Z2Z z35j>+7MV99@@T(dCit7=@u>IDnCtl_c_Fwz{3o|)u>5_?W}t;U%)-CEMh}}Gc-kG4 zV=+&5$qJI%x5@t? z^TIHRH@9WbgHXU>n8cgBjjJO3`znCUci1s?{jgf@CJN^_kS|X|g=qUG6sH(PGf?+! zyL9fU$g4AF?&5bTxzpBe8$D|x4_)SU0`g*wcsxhZj(xf{h0b){CSCz~$E|@Fm&`{K zTaXQ^ZTqnoefc2$8|!jKuO}0V9SHYVJuZZvXUg6;Q0#DIp+e6-?S$>$HQ>A5PT zo9JcbSVp%VdGvNlnZ;Q`*94S(;lR)I`PpFd>(NN>s9JvwIA6_5asZe&D?I) zymv*}d|nnGI2Dokp_g0rCctmxD^8A0)V-y@@%Cs&-FnFEhG_hrOo%H}-GUS&?F^xo zfn2sw%SD8h@mw*_!8MJkd{v3mGfFLX0m{c3?44)N<=W&HbDPkQZA{UA4^-`U8tAhy z=7Z9X?n|HlIxKL`Ofsh4g+BQOHoK>Z9hO*WzCF!fR(7TX8Rfv^*Z=Nm;t};j<^KHY z0J}fjKs}O4Eg=jUD^`Kw zhN_qgEqe%?%^Kw5b>ZHse{N^4UKegZ>o5HodMc5xgg1{;ofC14XlX0zehN$#tl-hA zWjp$Gbv7M}(@f98b`%EPX8Blo3Z?V=CC)E0lc-o0a4&&fROTgj=hIDQ5*2I8LU^VD zT4Pf*ry3a~9LlJQ@d2Tl>j?0u#s>M5l@YTRqU_ODRE)kln98q~7Q=IYAEhcV;aHc% z%E#=wt7BbC&2MWzO>F}Y{Q*{OIIT5B+di4b&rfUbVLA&^{R^vxCrxX#iBUy7P365m z<+U7cfHRi09lfg1;ui90s`ZGv9^3$f^+@Cge7|@OY>TEOhe3H-&G%9U-5T?ezEPEJ z-{e{PnTk(KW86u*!CgQFu9L6^H?bkE%DM8;>h~jrmsk(>^TA87>8R(`_@KaiNq9Pj zFFX|&4|+#IBQt?VC7Ql`gxgf2odH7EeB#c_tHo8RdjU6k^($1uEv6Lm6Ad95-prF80)k_P}__4PX%_ggu`Y7X4cZd?r zCZ`@)j~*EYjSPLO7m2F1jnlqR4p&B@HA^(!jW|Rr3$10y#d~oVmu;veT6t(~C$v_2 zOiNTPjJIa;c({ka3!=vb1vYChpZgoCV&{Qje$s4rib}Q`;U1te&jqx+L#HTr)v9!{ zoSRa=*loYWaTfj)2fdzg`?u0KULwBd$trz@k~~5}{uuglGo9=8S)gT=${eR{YYQOS zyUO_}?sYjEYe`-hCTR-M7L5!F?Ak?2-cU(C7>xUng#t1b&va6m4+UchWT6(%^t^RW zx6Wj?MVk@0hk7oGZv2x!nZ1_ECeLeEoz^|-FC<^DGPlVy?xmoY(L*Dhkthds*Z))Uo5|gQUn@(=%PPs&1L7hJrE|OwY)#(%WW{s!KJcD| zoAfu5L(|rxb!`fZ4Xw0=F5P}a6*Jz~LljzSpmkjUi^Wg)iqaoQC~!Vmk!{J_U(QX} z;`^Y*CeNB46c{5S>>XB;+hnEloCi0%YeaHjaZg~{R+Or2KFT;NUVyc5$L3ylBEIp| zQ&5O@KvgWWrIy8G11W*w6I+N~P%8gO(x?Gv5L!j@Ao@Ce@9mr}(UERf3YqsS{J@*Rj_i|fadRIL9oxH)QjJl^E79418z{2tu%Fwd>Z-qEJ59*2Jr7v`g|eKIeV ze&2~2C!Ql#fjy0&YOHe)UaIE}T(=abUA=u)fxQN0D>FwT_v9UpMcGeLc3f2co7{y^ zb{-_&WQ%({=j-|%S$LDJdP6-Pe!t{DxP|skq8{Jm7BA!!_07DClKc!)WT*0*+{ji- z$&$Og$uIZ^QSZ`dEW|Fz`A>AB2hrxxn}6TBc{fLS&4X;%w9NDMp-E3V4;A+ArtB@= zAG-vlUVq}IpKA^mNVV#nl=bO}1*LkEyDP~f%HR)gvc;VRLW_I6$!JT2^-M*Cps1}Tsx~`%Mt)Z$fjb;^<*F2 ztS5P4+SBzj=%2@prq?aYQO>r}0_G^KrGc?_^8A`BeA`!AxYjLt_q<$LUM&zW@sFqW zrG~WYom`h|X-!0lV<>;X(xAX3eM*UnS?xC-%4#v88zoxXbGzM}l-5KM-4Qvj{n?hT z)(WB(^SbC`B57?r;%?Dnq4a9U4Uzc+enutW5FsIdC~mCsV9=`;PR(h!7zfUdHix2CeFycl9QBeWi1o zJMFcW^ykUEv~5f(y^pe38E8b@^ZtDaN_kvZ{SD;;II0&^6 zaFhm#LMjfdxTS{3f3dx_yGYc5717Rp{?_TjYqETy(&KZ8_IpQErTU$#L1o(bbfN8v zEu9|}m}-seh#pi*jmJ{C9>9T>QnA|)V^0pSvAe0p4`hS2wBfDk;3fGs5>5pYgWPGK z7-s?A6~pQ`EA)ghx2q*4iq+~jfN7l4+9~cAc)E*G?2sWej$~}%0;SfvL$v(JT#1H9 zwQMlyf|=L_J;O0YSEFP{8k|@*?^~4%cETXH93I9fdl#QG$o->O-@!#RhZFI(7a^{J zYs=E0eOW{s`jb-XudD#=Is9;9wBq#i!GA`k)DwdOuNgT6)~0aTK1fw%<3JkA{S%^2 zGa-OFkmlvXclvAv#TP(ATL>`w_DAYI^he?T+>Mo23UKE_QfE(IYV}&~bADtBnlnskfj zdJxw#`$e$6ga7PC2O`x)eu2YJ_P8vQ{LZ(z_>go>tGXj7uyHRrJio0>QUoxo4j)rW z#S4K}Qxj1Jf3*Fj73D}JpF!_eIJe2F-k7bi9_-s>HCwZ$${yW%l*2Dv@N*a#{pG{6 zRPOJ$bhAM@y*YcRSWLm^mvB&m(Qh;wN?mKqhcTR|Z%kE^|1q+J{Z1}cMM~6O;zw8k z8>EM(Wp44%v5N&JC3(ZW^WCc>>AV&^v}}`R(2!_3$%9hUtTqEQqI2TU_A-7T!+FsKy}_T!9~?faApj08?kOw zEE3rrjReI)m{3uYXUsF%olCW$;%1R#e^ra_yK~Wu(jV**^g;_Q+KX4zIv|blwP*)@ zn9}*pcWkn}=%+S)6h^Cd$lW0dsTT?sp_Ed%`L9m50>_?RB9y9_g}|;cu!}cY4X9*& zR-@kD=diGf%lq%yvQBZaznqU-3k3*LOC)Jc=e?Rqe9`x=@02AKiJd9LPb`CVYuc2e* z{#YZ*KT4c)Czw~x11;0945HebWQQ!AO=vIVs15nPiM6@VT3!g?eU(xU+>#l!Qy5;T zvN87eW3q66!IJ?~f1lzGB)@dB?8hvcz_}pbf>jkaBuB4DcxV-_vQ%p6UprC$4l;0^ zQIcZ@?48qdCQ-ZgCzDAs zF(&3rY?~9?wrwX*Y}>YNJx^@gwvCCuyl?H3?+@5#`>1Qx>gv9#S9Nu-8^5cB#uxbV zK>m_y8f*s+=K!JI2x)n}bsSxAobnov(=cXmJdI250XIN3Qn$lGj1gt@70#NmI7dpq z@9-?_naH&zD$6%0l7d~&ND5h9{|si$bDeTbu)F&~k*mM#m1UbCd>hQ4qWMy4Su4@sie@V>~RF;q{vWh7pgNp~sP?Sz$TN#mO@>7&HYo{SsGRuBHN{Z|0H3 z!0@C^M`VT`Z+l4_XGKiU{rcFz3j1Eq>lklGD9@nZ-qrd;H3IP$1#rq!UoQJOe*NJ$ zk!`3Mo!PSUWBhC9tOkFg7gp@(dm~1ahL+U%VG?~jf5o5uNgl3jfR3j0M;XQ`1lBOG z%zV{~hQ%yP8GF}gjN`B0!ezG_x^{SJx(8=u$&098eY&tq3LAa#g~ ztIjkRYvd!=SVx46G~4Vu6d~M+`(t;s^PdXrT|FzUB7GR>D%wKt;Q6pK)MOUpV%L?% zMeVm$nqnneqZkzQV8n%-kv7us%#I;T)P)|%`-+}jrqyQyMu7Rb{!x^os~$ z+5S%^o$VAs#}YPj`3s^#v`{k!HJE?3n@5kfl$8gpn$*^#_QNiEH#>w5yPv1D-96?i z6ljt=h2aPM?xansice*USIS#jZE0tV0#xS)e2AZu90KZ*s(t{4^s5$RU(fN*tY?a8 z)5JtYhE1W|}{bg9gmv?6@=c<|Lb-rD5-`@R8o&mP(A+I)#{B=w1&U{%; zJbIF)>6IoQSDCfhw%+AW6Ui{L7ZgvcPn0*gtGMp48L}dX^?5D`Rtac?4`#GjHbiFQ zlIG-#E>%0iTJUf2dK(Nzi+Ch?^1?&M0*YcsM!dP(C?SKF`)|&Y11Lj?JT!?hERUP*7eR_D_0OY~uV}gs{lD4i3aXWD~VMzmZ2jN4kEBz4Rq%Q zpzBoH!jJ(P(>mp`bAl_fmrJ6;yXa5W5}gqUQZEu&ch)mLPZ4@NkTZ*a8>7Zlr!$TT z29~>G2@8U&(~+vwI~<(yj8f@KSsq)^MzypC8Ne8GlK&AiFwY&s-L%jA891?J>jBgC(>nQ_pA#v?}aEAtldhu z;ard5D$r{m#f~1lT8S8qEa@)yO9Kr*Xlq1&lE&E|f`>g8X zAARGPV2fo)tZfFC6JnWFu`_4$NIWDSVaFPoK_b--yVw9TY@Qpl?`3kqr5v*3?v2Hz zt`QS+RoN0l)eiO}E^$vv?BEcHGPO z$ZKx28Z8m+HXD1zIbFD_{g!0C#-c``;G>AKVxf_GIt_&>^l3$12d7!HRTDPMU(7j!JNwQEc@qmN|iU333Y z-KmzW?867P+@^Q=4)l`h5V=OI+vQ?97#hVmxcA(voVj5Rffy!zRdtIG9idf?H2_yG)8%}GjSN=rtaR~tEIXvlG(--yO$#(}Bj(eOQ6 zi6hJE-99rawNg6Lpv13|ShjWpt-B^kjLj{0{MqXFrl9eWD7H(ZuYJWD1E@7#%ZN7q zfv9Efpi~xf;d%^%R z+V7(PkdOZ__k^LF51MQ$sX?PE7kG_pQcb~un(0-JRzJ2jrht*8B=>0rOJR1$6gCdf z=o@Tzf5+EW_`-J!X3+yd`}%$x~c+3vPjo@~P|Rpb}wibB5U7>PSiwqrIq-+c6sb zW8gQ2oGhX}35f#vMOuKyA^Hw44(1TQ@4(PtylHx7lGRi=QdYp|_9S$K^79$@fozt9 zlmA>DNg$3DRt9%!8J(p{kG~v45H?3Oc4*o)SQa*WencAw9WF&R+tN?## z@SL#>Pc5wZ&Y=ve2`gYrKpN@;GU(VM;)(7K?Jx5fjXxRrqqj1_?hZGYFp z@wcwlE}~UeN>b92a|bUfbQT@aa@Q}%)pJ}RiSBKeUnNlYERcg(=iEe;bl()-p+wJt z`o~w|=dnXwWoOd}EtT59D8j2`Gue!_!}V~BA*`sWBelyS?AzXimns%BJSV`>tDw)7 zxCNi;elL{FtC#Dpgz`=v_lDR8IO6RRG|y&x>l*tq_SyUt`e}W2b-Z5RfMCw*#u~KPvt*F8OwzAZ??iurgdaQ~mNR54ROUf93z1mxCOc@hY-^CDtiXcCbcDkw1THed`MBuU~Fy z>k43@#(io7);(s0+ozoPKv+?6E|a*K+OQ~@&%jh)FSMaeJ=0j|utnOGv9&B?!DE>U zTd4<+X#7_@-mPo*J>KP2Y?mQJ_RFiTMAO%$i#~3;>XJqDt$%VeV-Fs0YBW%z!yz7A zTo6&AO+{ZA46xZ=UIl`#zCCkkyz+^oOR^KGu6Jy1m8BLnp>%UxHQ}lssSG^kwJdG0 zHLDqQcwW_i%EnhU?|<^ne0-J-gi9^x)fIK3H$bNMYKP}i8>5W7Ur(CNO1gb2Z65i4 zYJC}2%rrl}h$4&#=zC+9HTe}tbKhj6$iUJ&G~yP&a$;@)NG~xN%`qUPnQvm%b>0+s zY}113^rF5%Zca+<2a))N7>f9ucsD3(Xsx3UlrmJ_X+jW+S2N&B_pSaiq_%hi@fd2d zYut1{J0A40B_fIYknm9W8?BE-W;56{7sC9Y#=7N{{ygArXSode$E!!&*&Gx`08m$P~|PgmYa%WOGGw;+?(q;m}Dl zm{QO-#&t)~-aof>I)LSMygb%<|4uZ%8;&0Ni!4%&-KTQ*qs-a9sRie7=5k;=x{)-M zX3PWVe=ncqHn1f9QvDdCDsv6=0`TM)*zr(cJQ#Og#N$+85*5tGAjZS}|?J=qg zv6Dz#8ME(dw-RsD za8Nm@a>);q+b7t?pU3*q)?~7kAEOb2cpePtp6~kPUfM-zU*5$hBw(;81#e-Xrxdbw zM&Qm}x3c3-nGV`sjWB6q=*>_>BC62igmVChi3+0%moa1wbwUvZ-k#ewfHSjYpDQYrf zr{WRnQ3KLKfNVCHFEIaxwC<-UTQO?CVbsnHx-4g^w89$RChSstdF-@CNI;k%)p z1h9~#FEfQWQ|zu%s-1IEKa%&rX(&ogc2?v!amHqn+?nYfN|&gb?~nUvv6iO3a0S0q z?ebYxmuN*Ma!#>Ia1DSPx|4d8M^j0))tItgrleQvD(b=hT^~lIi>|YShS=Z}lje2n ze5k*vWT`Q88Tq!?e|88sDt)k7;f-wh9{X@Pig2Z9tL&2C^LTorv{FKEKYRxB43ykF z>-1_kx*xiY;k1RIBAJQ^>l?fd67T9N42Pb@StCtZY*7R7g9em|R9M2*9r1-FIi-#q zX^jPoLerZoHQ9@}=vvnLFGut9b`>!Mvxs)n-eW+gPl#V#Jc#r{?*4QE~wgkC5Y=~=XZ0`Yss@%gB_&`5EjypdF zEYARgXZ@!2GI4ga-6wuS&fLz;Y;HwKirGsah`Z z^eUa7a_C{mS*9i73q&3hJ=O|i-MiX^h)Wi1u?YDMN#jUMRl*o40t(Z(dM3uz#;19HWQ0k z;@q$%v7e4k9tjKK92oNz6NYNxSPSXgk%G@LFro6koDxB2oXh-&l&L7&EFXQ#@!%~*5wHqAzQb5# zHaC#b&dX>-*r{76L(MwFCo&*XqQy<`& z5>>c7z!;XQRp+yjtn6H{ZO``ZxJzzN88^+rED3#MH9BlpD&4j^P1*_fmc^PUT^eo* ziWIho%S87PW2tk)5E=_eO+S66$8HdOag9BFNEG??$YoGIiW`Dxn8Tl=Gj-F^XZ{4= zHqBXl%g|w^d{sn)aSV?dYkiyMPIYqFi!*QUqRxZxnksvE=Rc%SW`$yzLl~6Z0S8rq zhokXQ%hfd7Hp~s5$m#CLNqIde$P{5cZz(j}*8252Cfx(hrOP=k+1@3TX0n&E|DJSe z72yRzp6T)m2d=;4E^D*Q$a?X4!(0G6qW~A{rFXoEw-VidZ}vrXG-c@W0YCdH711iZlqP!_(lCAX=5)#x06rHq#!oDt7gy-89w?*Ka$ zm8}RRX>qdhFrE}Y2gBfbJoE7d-NRxfh)1rfb7hAP1cz)JNvJkIM6PT{LLm(gwOh<&JCVpIvjkjq&$~f-IvR(ZqhMeiQhj*&d6nAo%tp2Eq{Ukn1k%v*WCG!hY5M!0Zu0e)%uNlJ_$B)7Q3QH4^NtK1nRmY zU3VvClWPMK+QvfL*gtDarg`N))vzMlGa^p#&KVb4s-M;pbbM$}&UzoBV2;8|&4-$d z6J@&oiVnV)lSQs369ah?-a5ny0@AHSZZ2kq)$jEpaby125==;?vnBA(C#*{*h79gf zT872CEp;@WDk%F~W83K@4>VWLd`~a$ZbF}1+NrfT4u4`c4qZ21UUh@vDzf;HJKb;J zFhA1^OYVT7AO^s1E}T=0men2e=T} z8T5eVqp9R8` z*b(y7Dz5>dp>k!v=5IYPu$t=f4S7hYbAyo0i-Ku1Qn=?RG;85J$k1@3yVZ8_jpIdr zA+%kU*Ou&N6i-AYXCu6w=9NbjpLJCLFal{Ho<5q!js@Ey8odw5dGc;;`Jb^!oL=ce zF0`)~Ljp~XDeLTM%vw-U)>jfU)ouq8jt zz8tJt6w9B}?Fm&#cw!?^(?YuWO$2`GvbBTB-vAo0#4n3-f9_LcCy6+@Z#Q^oTzJMp@2JqD~VipIuiOK zu-oElHJ0Rz1aAL$jAfIzx<&l|WVTf$_Y8BJ$rD(x%X88{&LhQ__=*36YZ8>-T-EU-5}kv|xwi@5BunfFl*h1BTOFrbvbBU%R`Xp*cv-@&Un z-hinG^KJ+U@A1{9AAs&f-8?~;$6)CWQE>gi`zSQaocR9DuzA#T^8JX@qs)=2C0pj? z4Ws)H38CqL2>*QNfY54PK}dAUrB^ktqo{&d%thd25BUOz7#Ml6$Uwa_j!c%IF{n@Z z>f=x5F}~BszkanBW`mk#ta=aPfN+CJCwvj%=CL|IV>!)lXlbKa;)ONxkY2-vmZ}_y z8+yt-t6M9~_~xz;45JW=dW!~7qaSy-&KfL?0XKm8F9%BAYmmypPC-PM7RFv3t-P=Y zpC`LBHCM6ZOa3(npmvD3^>ppD>L&m#jW>iAu>{gN4KalpX^fhJ{zv=N%{BFwY8BUR9|d zF^!>5Kx2X~Q-ZvC)&oOGHJWRVV@JOD0%_&~#gbkF7AAtGOOLdYK66n(o9BaQ=a!3} z{Sj&d`U8NP%Lt-PkTek+uel%lZ-t>hdTV|C69y_5UYLd76?Y_--JkMFOV$`m?hWl} zu`J`879FGrR$GXstWP#HOQcH8wTji-E;H6F6`BGzwMJk_d}< z44^;oVO3!8bveX|0olSd(w66W{uUxQq!*`TuB$FUql)d>On1TV#(RYOz&~cXNP@)E ziXaCi_A=58BBX(TfSi@1UFj(=`PT(trrg?L=K{`!Kc{-2)$NtSgb*>0rTegwm$a;% zG%&?n(#8j^)#`+c%oU4b3xn}`Q_CrcrSdTuFYp(HK$+{!#@C){bhF?&;vAQFl_wTG zC#lNM*p|!jV9SlPO?+jIw1P|vO=Ae*r}Bq&LXFKm8$RN&xzZ|7yDLu!wm~Q()e9p? zHMVM7Px%Tz+3dY0SnhCz(e8#Tz4HT9rz=&^Kl)vyae?go@s%-?oJfk%QdL10P5PcfK0GJE6m5{eg}*CWH#Q2QXUQNI!!sDij4_&lo9z=9 zb0_i7MA6j1QM!m61thxN9fZ09HlxA_u3H$7t;o*_h}Sd+SSanM4A*Nc&vh;#_dT%0 z5BM;q1ErrRoC#IGF-Zn0_c;y4h#f8h#4q?xMIfKBy<$h#MaFEu7#;Coy5_HR6AxQT zpyz+Ao7xZ~Ya%>lM*|%Bo0#Ac0D?dzsyMBGv+))xi8>D1(&XPU+I42(HEus>XgZ)U zPizw#rh2OTA{E3gq@7{1nv&v;bE*;LA*D%H<&@6D7;?NTDMKv9vBG+&vv4^czCRLGPeZ;f|t43q*QJDw!NOY6{9^X{Mui! zFaxVAkl5U<&Y8J;`UcJgF&L%cQvFV2ZUL?SXe&?Rb8`tBgg#Ee*@Id2Pt5ytYmyll z=9CX+^&IG6#tG}B!o zq&r=TxZLTJfWL}o4>LYd7Smo>9&!oORZbSY99|vaQZBS;irGb=r#+lYg(Bx{o}73NS=HWz;o_rxq`yro!hwvFQgY|EzJZ6eSl5Ohcv-2ozT`1AB`R3J5)DSV6u$1j%_FXURB4_1H9l4Q`jL8Q{o z*3@=XcWby=7QXugY(h}lv% z6_-dLEpCz9G~|m+3u5gpB4_XPjlak1DiJOF6#vZ=@e&$}MVqh9tc90<3PHBuIc472Ommy_T%RZ);%(i-7U2|*3 z>{S|(S*8g(RO>mZ_Jq%hY>A#crtDP~0SC4{(@{J2Dh8qxR#3|5KQbk19B>&;98+Fn z_dCQODXZ!uRSR`Qb}jvG^FDxU?g*Lb%nU-`{eu+>0Xv)+bC7~=0$x4zCp;7!_az1U zxgYOPz7@;$@*|_hUqTXhiokUp@{YSxc9G^jjwrIZrxODYLNPwP%PwT`pz4TY$ET^r z(?!G2 z4;K%W+EH~OPxy4(!>^MVp{etW8A-w8_DaW?l2woLgOuc!U4mlUuX5ou`{Fs@PDVE= z)%=SPk>=m>dKx;$jWineMmo^qSw-HB6=&|8-lMg`iopwwX&tZ%I!kcrP4cjzZ@sat zrhxmY#$7GnnNO=y&_wA(*6yPccKvZ_?bBjue2E3Vh%C-91amAtmy zNr(}%<1^Li@0`*?O%&idOFngvM&VZ5}LWJP#ZmQ69fmR_%#r7d9{Hs=S`$IF`z1Lcgvea8C zYss1+x_vMXO>(?v&v}2ixpZq!@pNi(?xk4lU!!+p7rT)t4J$S?6aoS+E<3x;HuG0B zZ@at4F8~~B!XA7G9+%B-y&Fp$;NkZREvxi63d;1yMPx4M`hU(TiF3jhr=zpm{_mJ6 z-bo~WQ)gR9n2Zvz*Mr5$E(k@(&2IPKX>;N%k=ZfWue`y5q5Ui;w5qSx8MIq6p^?o@yP{*jFMA+J{x{!UI3HRb?=wH|4e6!%$gH} zfZhMm3xzN#Hv9icJ>G`H1OA`X|D~t8^NEHV4=9W5nzTtB!gb`zNXzN+d9;h?pA%?I zq?O5Tyt3`TJ+toL)$Q_i8ry?j#P>Yd-|pXpq`5T5J<=_>$yubrX5x;X9I58-i;~rb z#0uiUpHj8c{>F2F5hE&ztId{?@-YLPDrEoXyk+{q0{L0O6Q#R+j-9>bv}3BJ8hZgx zEH9Q8tLj1=-oGUuU#tz@M?%c!Lu;gipS|@~E=JPxTMcc5(h?Cf|1L)|?vfz|sT1w9 zeBh8T=0;YTX)eE>^h{L69n1mUc!BCZ;8`;2NAq=>yb4?`vV_NH zQ3vv#k!s(*XRg5ocg0cz*y%(P$*`0w+4Lkma%B9EkrjcU@d;a?*pz-^i5R8lEgPTn zvw_+}I8-pI7-Um~H7nh5op?>pHIn$GDZ@A*U~{dIpgR^<{77hJoJMhO+29Q}SX9i0 zX2Rl-H)EBQ#1K+d!Vdm}BIWte8a?Aa6^k3O+j-v#{Z1)Ui8ZVTb5{bY+P7_GT=&r3 z_}>q$mL6qddO!8gdK1SYNI?x^9@Vz-3c8P`Ak#hwOV`->4b%zltcNsdiGcdqfC`oD zDUsfDSF2g=#0SJy4SYJi(=*3a>1U-jO+uv&Frh5zdR`oxm=F1kf2|cp zZjVM5n!Xtw-kj(xw?bE+iQNXVu&#}lSvoSX3TUbKG(CDTG{}~JXFY)oZ-c4k_DD!y z;~Z=eSMQ!i`%wLK;$bpr$0pVMvW}?zTBv@yOjNOy-pD4tx@SKhv?cmSyQM9?sCR}o zcXJzqhREKx-@&0mPB;XU>EqNZRk$5_Ov%5W z6DKx7h@Y7aj87E75V49e=+StCJq$sWjqkR`-?HB{`PH^`DQg$1;br?D>6u2baSvs@pmIWL1Avqs zmYnwHjCOw8I63Zcp^``nAzMckYu;mNhcNDatn-gFFyExk0%BZMLLk^abP-bgB0_jP z5DY@HqMnX8 zRse>8jU2aPO%y#ZEuL|~6ZW#pcY7^5{@usFIup-*ifAaoJQaG|bU2@c#ODF%%|AYm zUYOOHd}!}RTYGz9H$EZTaK7_jd;d`Xplrkb-g@D8*W03X7?pe#IrpaBs4MxKpZ3tf zSo`&_(9G|{-R>!!p;XtZZ>rmf^@!cNJal?TR*~*81U~jr1YIkwA48Btv7g8W%m;KD z7S#<$1`o(0HPbzimPvFU&KN$eFT1Z=PrnPCi#A0FKG05Q0QJ}SHfr3on1%Xp>n5%v z1?E+Gp%24$!ymsJ9!%!W3tN}N(^>H^8C^}d8-_ZUzlZdaOaC>%vsldt6PCIMZ%v9z z!QpVMPKT`(H$`XNx}g&QmDmpn87(*4nKSXleD$D<_KQfOj>k$i_-|Nj_B}P7c|AW6yva#BNV9OGY9h=vmemW;&^B zInk4{wDOI-m#-ae8Vx!i;0TG}@R3+B_$(uuwIT_R=H+X_H{^?skPJs7>`exP^^2zo z_A#MnHDtKgID^x|Yy(#cd{Onbd2tYbCkLkYPz?SQ3Zry|pmJ5@U?+xSfdye%OJai8 z{_S_6K#_`mZ8Dgahx=VUy=P+bk~lGb@>YTL@vT(=xiq>;%7oM=M=jBqLbBF!MVFLm z2u|7nVH+-pV(m{j%xczR(8M+egmoyd;SP@@m@fex2BYpit(n7-?&{eOb{oPg(z4Uk zDvib3pe`^f-2)u$aHj~{U;59#j}9~jou;x1;U}Ur&r*|~d65f}1%jiP(0CpFJ$W$16w2M0+a_ZldBbG?Vhp5fnYNHluJyNk&kFHjcyvl*|`vi3u zWO`2GF}KBBBf@riY*E*<^Xaz+S|5z??*T(G;T`#gAm`ist*f}thl}hvqXy@Btr!(=V60nY>;7guPxNgfe*8D{R@9gS zi>ZoirY2XEpO*{vu-s48kbnSFoULOC#wXt$Hm@4L>W1HW+|qyDRCW8LHn~$cblwW% zPo_O@1d82(R=LMd-oBAD^t)oE^0zFvX4A9;3A`xG@ZHSL8{-JSohVaHl{;_AZ1YbIqtavi_!njxC?UbAsYfGJ~i80X?M_%|? zWh3#GY}z5b1w9RO$nPQX9P4)1_gQmn!lJth6kqB-I@{Dio*G z9jO}h75cwSy0V`6D=5H33fwj0h-FH@YG?c#b8*qqkzGS=Qi<=3FiO4m#)g!p`V10J zLK!to2U}Yao4&K-mH1dO{=hj=o29AbmC|YmPkvS{#RE^N9($jJS}0{ww>#<=4xr_6 z@kU4AUEWd=+_;^9$N{G10sY>#0F+(-9D=bZ8T~puuaEC@MAOG9=ZwbS_4KH}iQ@`C zr=J^sgG#yl9oqQ(;K1@<_*09VImqIVh+>j(FE}RSQ;9*PzaE%)=e?{43l#SSgLtWd z*)7fJzo`m5&;T1x6%m5h3rBk%&x{vA%dJh>;%J6pncL~OC>yU^NfO&zv1)8RF8i)pmra2u?pRJZWtB7*?NY+8=LT!40Y_s^-yo-Fd$x9DcE7x7Nf)9cl`?S%MHUqJ=-0 zE%yY5e@oiDxfcF?s*@iYGb0#H{iJ=oDost=?nJq)r^;96X*iHZ4~tySU!$4O>V zuDS^&Y)_nQ~%3&0+fCba*rlKTQ6`0=YOe`otG9 zEh7j6GuXRr!__^GGKX%tEuu4XO7`>|mENT0QIFd8vC(|ceSDqYJ>b@$Tdt4jb~PUN zcO4w~j9Lse)*dgO<{@63oyFcVtS5M3!)^01y7DLO;Bhs}%3Pm;o!70n! zTB-9do~brE_y+&Tq`2%Ol!biq;!`YJ$$#3@@E%O-f+=C`^AZNSr3aHD=#<-=l%H-ImI4Jg1FW2Z{c zzfA((X;h+IL~Lu2PE6NojcVFI>mhYw^OV|+OB|md{|#=|rp)@XtE3tF z^Z^~{i)ZcumlEk0iK&zZy?t2st(eqmdoa4X3M#g<9x6wmf2{s_bm8PY&qA<#vVw9V$Ba2l1k zJwDm){|p&2DG~O+@$JC%05I>;i;ras#VPdJ*URe$t(FB zOq>0a%!TE@3^QR$erpWzWZk`%&fqkIkub@OacRSN_s>TLS$I$Jvj=uK0*HIU_#)*U zXw`pvP%x2vMhA;erZ*Mr$|!k84<|>Bn|>K|r}H2MmfeGKhcit?UmNleWIbYwgVujh z=-}99Ni32HK!Zc?fEes_M^_g5PmOZIMCI)LE7GpC$%8Kkzrr8m;OzHk)if1;Z*mtr zV(PlTJzoz3cuXdehsP|SCCWz{At`jM+`=LKEXL4o_$MgNMuK8I`d7eE&TjZ$$lQ`u&M%J=Qmc>bjS&^K2NWx-&^1gCa_Qcg*$9s&DW$O{kShx=`0qm|UWO zWmh0VuiQW>Wbl^X4&4^~jge1`tB4tDRK_1066Cm7*$sZ3>JBK2{amDRflIPBuZ{z~ z8vbNI&Sh0fw9a1;v%Ty;twr$JAn%Ljx8sW5$D^Krl~m>FG#SjECGg_AMJUxN^>2cx zW;MdMI+T(e8O6~f9979>R9B^#l4Y|HD3*u6h$WxLMmw)`LTs3UYyqNdfNAth0d zEk`myLw0thNwt9!nhszO?xnzgX~#^srVd!Tacs$4q(DOY;=tI1CtyJ5f7;^K!KZvG z$hh+&p>rmK2IRd}DkFx8tHL2>yVo#qP=4>;#$~P`Vl!_wX6j3p2A5{jFI7%P&ZSn3 z3-SW9&jr~ku0^2CiJQE^tUJIanw#YZ`UQAl7@tN3J{q71qOI}TWd^g1c{r;iFKnyq z@L+u-nVZBmgkmz8W$xtr!F0vD4SqKPy2^OI{rhEZ$u5(?M^NEOYVPZDuTk@7`F3jl zTkuF;j2;n$7nIWWh4ZKkKr@B+-=%>IR%b z3#DPqqw^JulEJeEfU>hMx=Q7c2q{g4<}`T{2Hz>j`%qq+d_KLC=JwxGMG?1rpWzIk zHOY=m#yaZFVN%(126g2b=&p=;I!uFM&~KJ@#oATLuqJt%Epu#JAP=df{Ev}&bS$hl z1~nL4S;tq8=;7nduWplxuk$c(nR3Ss_nh-oKxN9W#(nl^@a&-wxQ(8yi*Q+Jm2EVK zW8YStfRh7)N=XYShgZCtyt0!9HdaC*SBvKdHl~zMNVkrPC{;^61|43a+$jks_2qo4 z3msL)>=@q1W8^#6ZxBqvD8J#OW^_K9WRrysOLqQV4leg#_2^4+tH|>!b<@W`xO0#D zL4v!STkkB1xc8ZFI@=g_ib2==skz561g&S#DE1-RM4K1725gD!WRu}8vt@$VUG_wx zTT$Bp1VtfbY2`ANj(S}=7ar#>EgEh^TJ)cKji(V&QxdD|;^3bY1+3UGno$jAET(;H2fQ4dR5JR^zx zgf2Z_(6O1H8UvOFvJhX<*7 z{CDHf@03+B;7Sem%Z0N|4jY;`h1eIvUa9xu=cjZ2^<0od$Be>HI`9=aYYo^W2{)b} z1Ok9Hj00fS0}mpxQh|}bBrxeZxyr^IgbOhXXc+?su@dFk;7kD=>de=@>)KJ#3Q)>y ztV(pG#PV6wA5gK0;yP+z{$71!qOrvAW!bF)Z_>Kj)VKMmgtM>n?IhVb1|Z7kCDZ~# zE;yWpOKfgT6ND^74>2ToWa66-yYUFHZIG6bn<`dMA>sd~hRF;F2%hNr^6(Lk%=>1Z z^W(z~QJN#FUx!}>MfSVNo155&+N2XqKDunInBG|ts4Qp5so(f&6sDid9b}MUqr}_@ zN>-FInGZf?#B>KU(XtJg!Q4)g{uSKC&?^W3XuWSE3y(raBk3|&*y=-EKH;9H(9{M_ zDKDbFuVJ5qAW|%z?0`vQ&@bE+eJt)?Ej==y*QJTsp6jjpgfC+H=XZiIZHQm_UIXmq zM1re(jYe=pn>%h(IS)+$;9I5Y>*#F!LAjTJ4IRxpBsROO0f?UGfwj+0SHU4%5vX5| zxec+N5*Ro#IUY>sUbEmO4;3@&M){BqhJ6nCaw23JmE1HdE1~#Y&qcVRuCs>_<28GJ zAs^7hX#cXO=xFpRT5e@gOSb)>NeBd1_L_#M_6Y6p>u8UbG&;~~r1{B$FaTJ=CX~U^ z=Re4x4cEF(FD4j!i82*~mHlK{_rlCai!E7$n(K|xic>?xixKh9jWe0)aUlt1-|D5? zM7&SWGvPoA>m9Mev9SrTSs?f3%6Er7w&Lz5zNzwrc5XRdtTu`eA-8X+-yEll#}SVm z8V@J|ZgKTS6r{rLvC}>j*dF_XS0e@YSZ5=ld)c*nsU!ofjC=9t!}=I^M%fnq4f0IP(nEZpC5g7i6EhOf6CFSFcxWEuBbb1?5$Uw&TOJX4i z`7?z*SWsP=jTc{ywq>BUd=aSKGv(8$;gTd=c7|6-`i%_0a_<>XNp?s)JmS3`Cnv0N z2r?{PB;7z_1@~n-Gi$fbQYBK#D~ED5s&*7%DGFKNL(hH%nJPx5bKtM>i1%?!lLHj33@~zGu8E8n3P;0I zB-Frnf?Ubp#N1`k{sKfe7W}WTFH<*Z`ypMyPiY-AA9fooLR8_ zZ3h$EPA0Z(+x8vXwtdI8C$=Y^*qPXv*tXxC?>T?PTTk_GyQ{jd>W$h9pS9$XcBOj=CCgnhjKDf+U$J89TBQrD%u zSM`5ITxTP1O|J_TmXI4E&dp9-t}dnWgFUsT-F=MaMrjawkVI=*$(B0uUb5_)pKg(B z8MoQ1CMtdS5*Ipim%3}~fBO=YOL?hg0NZ0Wl^|`(F?3a>zui+1!dhSIu<)KIRTeNHpLnuBV%@6D*>sBZh?lFl+)_= zw`2J-D}>M1dv?|F*2dCiLz3@YsA(D6!fnD!1&$zEa;f*-H1pZsesvC&ykrm3qR{3l z;E#ljiRY-Xd+?H%L=d9-DP-AeRM|(u0^rJI6VXqIG&S>dS=?zF0@KkDls#rkSNXQ4 z`$P^0etfBmLfa>g6Ur`z*H`AX?@BbYj+yjBqnK*#i*NiV8u8^S z3l3E3pn6?$&98|3;4TyQc{~-gpW1QDpi$j~&77K_c>jFOFhMOUm33tjU&FZ!W4mg) zMWxhhWx21CBgVZwMr<(5xe&7zuekQTy3YrYDpVjlT6^X6JO`CFM?t-)6BN7D#;w(? zNK>1z5<}L~{eHeZJ5zcQZJ&F0UKG=$sAmoe`sZqLWuM8T&>S$T;`Oiw`$X?R-HHy4 ziZT-%ESo)t3bsbm1x3o2RI3@^X;3p4CjUeYsYP1%rYuWkB* z)XAWaVwz0HApf9A?Q%Xb#;FBtzab>rQ%P9-uJycRz8fuzb=z+2;TN+$8%G>B6_n3o z1B~e^oU{^^oQCAZl6)nyHz9~_6ssg00k0ilkO-jVR-me}K< zs`cFJ$Lq?MD@$;?d(A1rF3-@QE0yZ({Gfb}VBujeCR8RWGJql7&H;!EdoUF|+m%&- zM}OUQ$_;U36#^gHRiF2f^sc$bS*AhHykC!aH}q3<&fms~P|OaQ;!)*4{ubU+3vi5Y zaj2rUqi@SlzB8X(xmwKo?FtdnfX0~mgBAlt9XzM8TgRc-afr+oLic#YLnVA$d|+$~ zM`MQ;d|9!r9d(Qqb5>K^hRuoYpK=6L``(h4Hg~gV$7SOXp?;|K=34;wYCkQ78qF{& zab(rcqhFuTdvcx>(JH&kPnJyuZQhW zW@ZM2<)K$m*PoP6Em6?mRii@I3+ zI#$0wN4L@49J@zThF;YVGQ#xZMgfiO6ARCG!t={21gTgX@=O~Xck(V1oyl^9vJbUg zd$MO^*6)HiU6L-PcOBAcY3|U>rjf~X>;2!EUPpMitI{X)qFnNphMBAiPT`B6gT71w z4TYKaKc?Qe?v@KrUq-V_1IBQ6cKe%<6zvEi%2dB3|A3`U2sqvuK zPuU>TeyxD|Hr&+GUqr1sf9I$7{2a;@(=K+fv&1F~ECdq2CZF?>!@rOPGe|=#`^IiH zy5xYF;CUP5dkc9Goy?D2%aEXU&6MVG7E1ExRwyy^{5caO=~LKKJcSi8tWTb0EosvZ zZStlU-|QTiF~{gh*`pIXlB8sTFZjR=HbAQgrW<#P?jK<38erKV@%o$@tTrea=aJmT zggA!j($;9-8u`+ni34~*^rArv+Ac%5oDKvG*a@Z_2m-M=Xv@*r?6yKTn-ZD1 znkdC)H_B5JN1{xEw`84|{IJeym(HVEJOa648w->qS`au$04cF%g@jNFha2Z)C+d`k z2PhD^!i_hk1ru6pWYDekPO7cdtMy8tzLr}#k}p{%2&C0}p*FfZ9#D0Pu0fhqlt=C`)%($uw%ph@BWF} z<*;8mRSDsiHPACLRJ{o*P9Vh^N8#i^tjkD5UU-gDSfrQF(wDVKQbvhc;$LLxPsn7} zdxNk8vy$^D!qzgnXyS_!76oxnGi#5GpN#^Zs4=Ck?MzFiV<_)X!?vw5q2i?Ua|lx%5WWafA8qW zbobY>SH#0j(mpi*M&!`DS+;qWDs35UD$ogkhr66cl5ehD5Pq$yexrT$`DIgjIoo|5 zqNb6CqYA#~{<{HnhpvRfKB+WecRTKEQ}eC}DhHPDqoao^d}go>XTOU^e0Tl8#h%%{ z0sqc83XVbxaz;fcuxZI=zoBl5)29c%O;12^*`3nNkCo#SA(@h1G*OqMsh?fGinm(^ zk0x9xCZT~_ zEoYVJ_y`)@ifRwb;&CjC+)V#(F`JYp6nq)GW0%?4^l>|f-%CbwODA|Z2kkKdSe^d^ z-4~NyT|trA#)jIoxs|T+N-Dhi?C2|ae1K0;C@g_?8+7-ZUs0&~K}Fv9d%E9Y*Pf%8 z`R$tTR&>7vc#}(zu_C`mlLv>NKVu|*Ff7mavPLBVNyYUGXF$3W1^h3A<|iN>c1M*J zPBsQQv5CvJLCUBS-j75lG<(v(j$j(;#*d~bwWo13{z;#VI6z$MXhjC&HoNVoY$9q( zMczDD6maQhhVtYYps-xxuQ)T zSd{&2?AHb?yC%%rI0JB`MAvKct2B?h(@e>l+Xw6@y838A{Cw4;25?fKtYp?x#N^gH z#d6qQ9n;xiZF@H#5rwZH&E%_zibdUJl)Gl}+Ns7htVN9C-|!#aPcK31-7Vd)2C=}a zMn|xH8q`~(5Vxc5Dzn@w?G-#d?)Wx?l`7{i0+3XvIk&B}k*}py2ZDf#+PuCJz9&Nm zQUgntmml2f^Yg~TpWH7lTing_`}FT#D`sb^jhn)I9vMwmJ#!jMYfPfG`As)=XoA;^|5Apm6`7 zGC0Oj+(=ub)J;j3b%sS`*&2I3|331QHpf{1Sh8M6#TJR}!v?mk!ugR&qJH9Qf_j%6 z#&JegTfKpE^ewVX4R=N`MpcwhD6g>8BKev2)jtuOiiQz|#lQ92ru4(90pko;sO`q{cV#wx$k4FD_+0Fa}^F z{@I&LM6_0#vFV~Kd+OYv?b7a3x`VSgtCRg3#GM1%(JBE5*gSPl^+w_G*S|}wN^LoO za|Ub?dw52K`cMc;WXA;kbZ6p)Gmo%MENZ-U zN58;&v*Sy1#1vh{HSma|1OAPgL+p*?kSO|UM{sKmAFkyq#Z5!s93bW9k<%i-L#y$K zTU0FQU)xKfGPjvV{gm)`lDU zZu#tWHWToap^{p8hF`5Rb{^#W5Z-wH=|xzD?c-I+m^$^9;5o~4sPX$O`DcS#s7u9t zLWojz07P_pbbZHqUqU81MXmxVt0rmnr4Q2}zG3B-#$B+OfT_j)fPAbe?#!Bq`-u zMPx;lBi_M!;;Nv_b1R*I*V~22ryuSSyeocj?8XrXmd(znRo?68LivWs8I4b)P$<#( zHO?hjpu(&q$+1Q5tYNq> zDHh;$9l;JE<*^hT9q!Nvp}$TXV{meH<~Uog|FgbsQic2^a(|88vSRhy7w5h@eob`3 z`tBCl;5;iyv3(k5q~SkaDO|it=RQQWc$LzENh7en0|9jqBiuZr}Z+hZ``zUh4HV+HL$BekYF<$74XxVRC)`L6yd+ zMfc}~-_fBrr+VhjB6{UaQ#Y-ee<8g~mwQuLWoQLh22dNyQ`gz`eogj-X9>-E#0pWg zb$6Ul;bTn^8)Xa%Bl!d2DfXsSaGhGONS#LBb_ud_KpoX#4mzBpD&Ewppex$Z4h4Rq zZu_zZ&F`n-V^DqRkUN0@#J{k*K4?g;P8HI~sJL(ODV8R5`S)M{JGf}6JCqUK zXjL)$P1m3ezs@*;4CNC=gWVeO!a<8Y@cETm5)zVt=s-4-U}0eP^t1&=1mV{jk~czBY5A7 z18IydycwOSRS6Pnp!Ae4WxE6{i2OHf4gnvM6G&s|X}07=YvkUbP6-udhFv}d<2&P& zMB7+vs@2cZ#d3!G=!O8}rYkWT!%I6nl`g0-*#S9_{H5D(qofbMrTEo`yPb)7{rBi>dtI@=gbu-hLy-w_I zQd=&+C3~}ft}B@{Qh(0i&2~e0V&8QJidEVedd60xer4~tV8$ZEAebtOTY3kTsQnxd zAV=A|BA1+Vd|17)(f$=tqk5T z!`P!~s^FkqImJ|2r^`iwA#$;3ss+7bhv&$sPTMr5o-U{&`C=b^k{1(zP7_hC#uk=( z$18oRc0}-Y(NB#i`dP}U@f))Hg`MfW*9=1cPbw;4fTqp}k@l-??R^{f0QUhF_dsUn zKQ}>x0h6WCqqSRR9K7Tmn4sy>R{b{X)7Dpdoqeqi?ZsZzKbqg2%G>Jg0E25ZQ>%U9 zVV6{=Sl7LFe3<#f;l*BSaMlovin|R7%g1e*Bv%%{Ae`1XEB5C>tB%MvA!p)o}?mE*CL7>xg(PM5wkA_k@x`5H`S|!=ck7^JQ_W$m|uiyA7%14GK_==Qwv8) zF#}R*BILTK`(JE07;$Uov@9l%)96=|x5}D$#B0^Cx9-pj?x+0K6MA)ytD$vz{y{(3 zGQPAA+5tDN^L+X%rT9*r0;&Q%$yaT$TOaw=H!G0^mZMQ3BOfp@y1oLlRXjGH&x_PA z(1COmed?wEx~e}Sd|3B`z#bSZ_k(5PIDA+sIn z@unXSHL|Ns`2E_WK`@rfo$Kr#akrjd76X&wBfY~u0Y`4g%(leopZe>Dh0B(I<>JZR zrZ!?W1i{p1Q#8A$HVtkoC%)?=pW7rdzDn=LKL$M3@;1q*Cx|d!^Qs*PobHbSQ1~Zs zXx#nFZ;=R2#?q6!F;tRR-oqN*g&z)2W&wNM;P=^!GU}m?G9qr9?~L8roD9oNOiswb zG;)gC`}}HlX-~>}_y5{A73VUXEq8YnG)CC>{n$>zqhIPq&6nYP) zCi!N4rW$ENv2XPgO{doK%!`)Q%T)?je%)ItenLT95zAtH@|y2a)oC3G0}GeVu!rzT zy!N6|0O?3jG@|6c^Nep3d{aC^+G=)mFhs+)i~47g&d)o>bm!h#9U`F%;mvg9hm01^U|E`^wn0h(YL&25s0I%Pqv8N`!x{9=EAYFLvL9PE#Dom7k zG5;E;SKJ%VYV@i0&GIjwMhU|$LG{mjp{<*EQFJ4C^!7s~o4DFILD=Y+H3`|6ooM;2 zy65fs^?}#*wr{2%1{0Yx<}i7emY;LUEL#nyXtZP_*nMI5@q+LXFWVRq-@dMI(bA76 z_Z+br-Pm*H7qG16&=iRxu-J*#%XppT&!wuXO@97EVnn*9?zx5Y@dd-1N|8JVM1ot2 ze)4sl z1iWr&y6ftkQ+Qh8PLUCZ!q3|4zW$3I4<1;d*1UN00a$kMr~vzAUDrqX`Cxs;e-4pN znO`z~TcV^qj6ut{YF?c|-Js*B)LG)r~+(x=Fe4p0QoQ1joKVAgn)LTcApHYdbBw6mR2Y*NwIIxq_G zvT40+$Hj=foobq|OM6f}%G`P;N2^U+70_c%g67BWQyMNf2* z3MCOC6(My-jN(BqL@7iOM+`y~J46;D=0w3o!A*35cKyi`0RkN5CKLIdEkxmt5F?13 z`5q(@LQo_w&;<$E|F=H(4<6)9Q4sNceAL4Ko6iv~LKL(79*Lp_;ECKnlt$%4B|;_k z@&5}#^1Y+d|IJSmD?|MUs%VsWCTJ8NjB20azeN89`Ol72|AkH{2mcS0^8WwmozebF z^k0z398nVV|3ZIvcK8nzmGgh;VcVnrL!9$J5V2`u6ySfM$xem-1BL!S`ml}v5&aj0 zjCyleystah(;ojdeja#d(F*Qr1U>A^qHZv5;oRfIrg4#ypYuZL^|~tV#Upn6A*e|Jycm5IJ!jum{46Usb;E$Q zIMrrU>Cve|eLRTUQysy{dK#(QaWYJ~`o`J~Gr&`h3sYPp5g4i|OTQ9q&A- zxMO>fFVzI*(y4K{&zcj+Fvi0r^6jN_Bm>&}x@>1XPh*rY1SrR%wqYO-PJ!58#1a;X>zTCY;LJ4Zja$K*+%#=v6H^WQ#a6`J1K`a^t*sF8H*ryyA@?+(@AbfRX)5`-3gPK7;S4%5Au7DX7c&XSvy=lGqKr;dxwt^CR;(elQj$Z zHfX5mT|cG&O4>8yROTYpsCbrec{zdWTM)(g>AQHGC&wrNb9%L-;6Le8cJ5bh#6Zon_xq+sFyQPIGwb9TVBf1#;%9pe|g)--aO@C z6q5^`qg5fC+k{WON)mPeAE2(@QF$|;(pRcD;MP5+iw*9kIoY%o)7VF=m;I7nV^Qv! zTD_*qd*L$v5S`M#?Y#c23K)iTSR;7Tl+oM|5V~|qdR{X5+gIn@H$$z^=%jtHQY(yM z(o${j3#$&ZV!ypL_#7}4lVSN@N%r+y))BGyatp<$Oq)S)0Ltkw zx$#Sx+Nx-S1i8l$4|F)q4s_sY=!rIrc>bQIJKwT!Xpv&(?8~DTJ6y`KYh!O}5F z1oev!?)(a{;YSM&f@WZr^Sdh*Wb0{w{DJL=Qzr%~9{&io%vY3)m_?VNaw)fWfb8jQVP37r8VZULLwa%t-JD*%hXqpCF|#v2O( z7S)v)CWJyUvSKD(7$$V+*+Rt!GyM$%9+)vh)z~YQyl8CY_M4|8CI(u}YcTC!KP`U_ ze@BlCv5}MxhSa>=KYG<-;`jOO(3QQmr$BXDm6z5Ub*}Gm7TRP~zNG=89>aO9+DBpI z?FcS~Hz>t{Q!>%!e?`8t(ReXwx5t^!YC^Goh+3dpZr<7hz)8|+-H8ib6B6S5Yg4c7 ztj{9vpvm6H(}vz|CS-oEn|}~<@tzHyRbfY}44Ze;kl9;VUk^`68iQwjVfoC+aN(Lw z1QjRw&lU6qg|_}u>d(^XKUYaxSLSmnSn0IYo(i4ZrgP-Bm_Ld?@TFC>?U_0y<-B}| z3^KlA^weJ&z~p8$_Lb{?+ejWuIdLm~S(CvACfbk4L+W>hhhAyH!2L8rd%=+UJqv8m zTAMIPAoHDl*~Uvp()CKcN3_RH9O{d6GH}qX%=?N8rGW#w_nd`kfzukf08gY?yzD9+ z?a6Qjazf)w&i9FoBWgmaiY|#$Ximf0z4UJW3^fsXPk3$#4<-` zKa3|^joD;TV^3weukrZpsgc*=m-Jp5h`QH(*E?1={`N#|nhtq_#$1wczv`jfU5*Ec zuu%=?ia{y(%x>b>Uo6T4Z)8yj^@1c|L`H}X#Fv4In?+7UmsRk%n)_uB4WRM%Q3nmi zF%df_^ZoUGw29~+zVz@%zQoZn{aRBfvgFZki&j)WR9e zvOf%CSDZk)-#e<_##VN-5r=DcR|R~|0m+n4^ut;OA~MbC#Hs}xMwmOGLE7f)0Hj$e zh>G-7#|#Wn>$Bf=vIp1hg`jiH{+Sm-_=v+%!`H_t`|&kzX(XD_+qw3vFa!cg zB}PASv;;|{Y^{AHQT9|YyKaqD4fFD2ItTD zOI#**u|13N;mujXGu4rD`7!O0zd958-W=X7lI3&2J9aXFVk`S^F`74f1Ld0e#9G7& ze~u;MSei0pju&y@ZM=cS+VUGGW=s^OEJQ9;GMSUZUrT5(!FqT+R9uE%9zTQi+slJ* zs;~Dd=6K08;|;;#Q9g;IjVP_xlLp;E6?OT$u!eF&=vv{VEQX%ZS?#0fI6bE96fos2 zFxRWWNBv+c;ahM|0&9cKJf*}On?!~Zk_kDKTD_lR9?fPPsWi3)fhn%TOKgM>GseqAT>F{AM=qi#IABkcW;zL6`CMF!lyz$V$F=t zX*4X3G!6wnW>FV)%vapDed#%9+{>~Y)Qi@p#;7TREG$u;W>Nar8uhe1SpN`qPl9jZ z7?Dw*vI4GZAd;JU8x9tk6js4E{XvfJo`(H`uy*n&0&29H<)+2;(JQFEh~A<#ED@{? z53iQ`V$D#JLYDpkaUR+BcjlqMEZuc)`4d+%oI&BxYi&!)d(mfEL}p(qD3RSuVu z_cGTtMXL3zHPZ+mfEvz&a!;;}O8Bgh+nhV8NXe63V_e2F)o+Q8@t{v@#8faZU3L*1 zyX{~k-{hzHEnmkj6&LB7@i-KE7~*8i>@`PZ;VyyriT)9keI*?tm&b_ zhIBEwwFeqMgFHSE(SIl5o5f6?;Pmk#TI)+dZ_kUpXyCbcM6JswZ5WU>pBx7W_gf^3={J73R#?Cvc81 zmIAlZegauICX=p5ti_;8N0!sBf!gyNGTY$Or>j6)-g+mM_r`oFl(B_m;h1My^1RApZ?U;LwXwfaZQkMLwc3`Pus|z^~D=N_T!zmoC?* z%bWKpQ6}Vz(F+uI+xlW#Ua2h<#Vt%Ys8u$fd|D9B52Tg!H=~m~JB`6N`?qu?qE-1I zJ@>A^H;%PDaI5~DFKHwUKbJ(`R=H@ZslE`eJ1$`HaJw>+h+MOzO+Pv@mP%j#Jy1{z zXE$=gtL_h2+t_5MOP)4qtA|YpuU2-YbG5to?$w6k1r7Jq_RyF{EH$hslLK{{uto&` zbByLXphD1?hX9&0toHIP9Gc7#-i)D=)w6>%BD0%St@L@lV<`QWW0Wmx<0>?Z0h*_Y zt5TVut~n&^22oB#hGEvndxMSab-qO(PuS-#+Hels%DhiZzy9*Ctap8h1njK}9Keij z|M`?4tg2EjF>&+9CVh=tS)RJ!#Y_2v0x?5_G|1nQKG>p`|E*m8HnW6)A>uH$aW1g2 z%2J56RkgF4On^r_h+NyU`OGp2Om-HlFGhizQVm(Vik~=yUOk_4Ozr6tc|E8r?*sp z>_>fY_u*G_%|5Tm+oAtNdJRMC_xQn|=zI}OCr3DMC)?nJLj}R02K(*^tp0YdzniaZb8k_ditjsYkv_M3Q`OjQ?QE)iFUV8RgNID-vDxB%P z1mieGrU?)xGc3mZX%D{^+yziFI(&yLn@i+8NKG>G^{}c@UE^hLZB%@c3 zF~mo+H^}S-qXMY!ImeJ>j0x&c3ok+ghtu#a$r}uAY1L0UNK3WtRZOLv+(w$1ksDXp zTtTe!ar)yKNZJ{WLn2~V=OMI5wq|qokQ!!>RFEznc41w>0Qz&7K2ShOXGz>8|Cv2~ zjM~g9KS{mxDVK+f$u-@V>^8V0#&GPAt zKp0ra79gk#(`$Na0%uLmx*fiFoGQ45DYM*uQZG;*9v<1sRVVe6X0sXO(sBtRdrv>Fq$ zy!Pi?`k$*^1*Q29k|wZ4I6zGjYN+5CT5WpZm9lx7mTgI$o_Uxc4HvIGbuJ=T_OkF^)arfen5;_l335odh z$6EBeo{UtUqT%LUjhx+oc4iAreuih{q(a;3W@MNp_ItLzzp?hUi#@8rx~$|C6BOO; zNsN*bAkN+D25@sGe2@!pO-i5XQjEWKNT|4QYor`KGeS*q=X#(dUoy zc5wS{XS5ZW+h)&DR?IyeJR{%tJjZZcr`0?F5jzxh?=v*pQR#AU!>x2tIlF6be4GT* zud)G+Ulf_v4X{dK+07*318f+bm>fDVXL~}eeF=#RzqP4La~5PLPRBFKej4jk(qkeN zw!0F)1N}o{=*M3$VsHy>O$cQ%911i1RX6cQz1Y; z+-{&QIUgW1fM`(JXVu2hM>0-4{|s@?HL=f5K=kOz!-dTuYxD=gvjG;8;X#@35x!sa zH%0dDto`VfTs&eXINnnEdmdcu8@`$*YFx0MAh~IU+Ko-e|EhNFBr?G0-|G_%&yfRKT*|(S3r4qBrFef!xoeoOSbyMoCT!cV9M}>wx zgJH2`*=cajndr0?N96Nw=wpr;uq;LK%wu@LR_S(UbKklW-KECfPs94!+ces0U(m01 ziX2#woEx40JQFL8Nx{$r`PUgKsffES4HX{%{Jl0#(zf>{GNEPh=`FD`@leF%I{#Dl zP2#~6T=8QC?Ys0r;3ugb)}@t2W4b3xO!4h3)yo*s*XG`#>6S)mmK!3krw-^++K%7~ zWO{SXkGcDazhoteD7yg-wyl75aW&4Du7t+XAu7YPAxEVo=l~)-GGXnKaxzVT>nldm$pO%YQeB1T74Nw5slS7eQR6W`31iWU zSu82P@j~)bkD|#hd#KyITg0cDtU;RvG~*TvopqmpD1BFl4e^?AnFZBg{I+RZdG*&{ zjnOX2KE0{(xm?$bh9|h=f@j3&9XU22yJD=$^;#cfNUO*RB35(8JDVweV(`upe()W| zj4_Rf<_|mVTsn)gH-{GZy9q`exW4TdS>k*-+WYFTD*p$V04lTA&m{xkX?-(S);15TA848V0rRzcT}s`i__^r_eC^!In{DDhk@5NpfBTb^Fw<8s^w zenVhH0s<-60_6#6VCD4u(^#8!uS_?3x$&U%woIBVos8=Epv?QJ6f>TZZ0TTzT$RHF z&oG{1Un=)(nyAI_w6tU$d_dA#hgA|;!2FFh&k`QhW68C9YS|~qw^elKCmI#F$@Sc685v**pojZA-9?xL6z2j(t_nWpIB_8(@G z&XCsg*t@Vv2T#8n$`HY$ql+v#*F?x%M+)lGcHCt(#3W!pzoXcW)&?;{o7$LE&qH-< z4k_tT1E2-uNhe~^UT4r7G0UW}|4`ME#-K+6)Frbux`LkWwYo|#4zaZ+-da!KiK z38|nMzLA6B+qW8g0x3e=LodRp=`-1ahN{GP#Cg~e#VEG(`OX==V#O(iTv?_T5Tr>x zev%`mH`Hmep}iS7KYc~FU3JG@$?0G<*eVLXY&?-l`yhHqG1J!W z0cref5vjk?XLHfhODqQKk9m9&lUq3S3rTfxnJ!i0W`GvR;bV&Z4#rM)bw@)qAEX(j z7xr`7tb$QG?|~We6fM!4oeh3;D?eK9x*XHmWwd#7H758z4r&fD*CEu3g8stb^3Vn| z_?sbMg62H*FJ34Y)Dk=PE`(e&>xx!F zN_mi$>9ZlTpb#mto0ghliz=qcVMN^}8?Rl7?d``E2*J?-DKK9nHTL&K)MwJMevp-L zm0ftE`lQZ)e6D6cPIx2#_>R9} zem5njlA>a{X!SolTKBQEEe7iA_}1M8Qt1Zz*1M~!Tc0UP>;e8JuXa7?LKbfvw6W^v zbr7IDKSrmzVdYFdj-07uBGpTwT8N}=8-FQ`8oAc|sJyQ1**6c_x~j(|SMS*G0iOGA z{X+L8#y7n{WqWT1!O31!JyMy|mHgFnpjU*gN*~$Yz?j}gy5&9lDPvw%P#C051u2C- zHM_h)gI!6eP>=y(DNK?oF;OE9`{Zwl1IiRC%y5tjaWNGWoHE8giY(_*kJ=NidpUU= z(GoblIG0`BT6_iMTt!OwJQF8(gcF|igTN>3g+$njrE#(v3%G75aEK{~EQv|atX4tpQT9t3naJOiR zf3SCJq(X)b0Jl$k0|J3TNXXGrPR#zoPDDTGU&p+mAT#ZDBb%wsNTkXdeYZPOCR-ym zzn$bE`;IB8m&)W82&%?f9h`P(=Jl=}CRHQbMX^`shtprxK@pLAYs zzDl1f7CHl^msApRW3SH_!cHj)=M1Ht8THeEQWs<^SEUuW2~3Wrk=X-0cc@HyBF3}O z9AmofEH)%QX%+4c>r@d=ow^XVZA;1E@@Mt~{Q$-`i7l$fzsYae)-U0e_Le^Ukc}@V z1RC@N=HZ)ybNg5jN`EPwlI{(J8Xqda)|{Bc3$Djef9-WisItV1e3eWl*!R4*lT$^L zN1;WiOk!3kJIs!Ep)FL!VgT~3lS<8?z~jO~W#kzr8!dh5)YhaiM4Z?I_JAniE9nLu zxSrYAg^X}A)QIyA)f!~dX*7!(3&COX9(5TnDIB6LHma3^RzvwHXt2YEuADOJ$bbjo zv%P83DFiR(y-D zo%gyJ^g=$Ax3v`)Qb3+P(6=uuM)SplofnB;WJ`AmR(VQXCb0e*Br#C}d2zI_mtr<} zv~}Z9==h_q{+-xW^gEeK- z-Oi1xzVaml`-W4bc`XIBCIqZ&H z59YGQh2@TpGqY;fbj5@DQ^nn-h(s$$_7j5!TYy1y3hFbkUFnEPIhn7Rrd?Uw^q6A7>F^+xxi`K#oa&3~rJbg(b8O&nzN6tPSNNP^-$ zkO+KeG+a*`Z>P(J%p?$)is_gTym={ct#5w0c$rv&l z893|Kj;TR;Kd*_`(KbbjyCm8yzF#T@-}r;abh>nT>2n;jVA+ZB$eX`tJAUKFvw}*q zk_kSfrP5`6IRgUgyr${jkyK@gZ5CTg<{T+iSg^4UORxhm-2+Lhc#>zPCC-(NMZI#h zV)MO`fWoZ;#Mh;VHOPbj8$};+u#-e1x(--DLEP}mivd>^O&>q0{cEYld4llbVTAe2 zb&vrRWWK;hF#63u=)Bia!aBk;&Y8K{>OZqzW;z&Gr)YH@9-!kyanpl0Q|+!wfh&6|2(sPV?AXZyw|`%R{&@r_Y{hVMY-}XoXdl;dC8g$o{lE z^TA8)&E`nTU1auN?dLc%Nw|PfGVs@2pySC{JqEB>t|PR6DLbSTr!h!(O`6oEWq6s# zMB0&^U%afdEX*hy7;_>MI4YbW)uHXYuX0sVI9MzV6tY*BsENl&&R&8}y=5gvcxQdi ziCfcEcCi_ef~SQ25N)YrieCJK`2k>C{ee(2&M?}!Nj*_?Q-vXF&WaxpZg@OyRfZkC z)LrwcAQZD#v?6d&uPL|!y-CPF5#{b80W9pa5H&s_*srFD17?=YmTUtb&~rV2QJ#(X zIp=zRJ}qg@8@#^*2|KIY6LVJb6W1m@6$(H9zV8HbG#T?BM6sNQo+yBL;YlLYk8{9y zo;YMOMcsJtoTw1X^>&a}a7O5_#8Rv3+#uRv(HzV4(D6;F92J}eAS0H_0>p!`m9sP^ zOxO?T%4)OA#7#0*1{@!Bh-tO0${PVZ6*d*OS~Q@lk63f->rb_9oTz<31L;AE-<0q! z0riZxjF45mw5Zm;3WKI~LU}sPenhA~5ZWCg&I^^`tHNnakGVQ4>D|HAC6-6HrtKtW zgs1GOR6~G1-l61uW!{|jsn=E5{PgUjI-Rs}4xU+ADEPNItXo=7)QwSvX!IkjS4mxs z1oh-Y2J^+7^Pg3?R{CoP<_mjjS6Ulw1=I=rM``H8;pOt zBE~dW=rG8GR2>T zyja^3xzv=7MAG(!74gd$W<0E4g?HhUpWLhF`onO<)v*pixfobY`lIUcACI*9<;+JnEXI6yz@$ovfj~VXALvDzK8dlc_ zux+F2l|1pHjDBZAP(^r|sj+EM%-m>l@W@R&A#1_6p#oBjcS|(JxFn9m#evVRVrZqd zNeN0zs_B)5(ufpoYxwNgv2YFvieON|n2XAUP3sYdT8 z>A-~=9a)P`ZSTf@*FGr5Y;33QQGr*lFK)r<23KjfbukHx%M@CC37@076z_uS6j*if z>87gT80Wp63CQkW+4)Q2EDdLjiTfMZ5}Cetxw!eIpT#$fCSB-8*5lMVy!ScgMTto* zoKa*3&=qNe1KDZeLgUL-spo-|qTaM)6yujtMmZ)~%r(Ni7H5whX}hl*-ferFAqkz6 zt{muQlBZdKt5fCyJwzykP)!fVa--e^Yk5=?TrQe20@*Iqz-b8cP$HH-poH(`qi9`8 zikNvZ8xpI4tbUm6r|2z>&kzLj`jfeiu-n#TQKDBo0qnN-(%xKRdu;|DGaOcpx6&0$ z$Bu_Nz==^prg_76 z3?xC(QB2oS43mm^e!-ioLHYguLmLI~pfvOx|Jdj!<9KUNW_`oUc-;W{nu1r2-$7oT z@hnP&^v5fLkfiJM-JEZ|hKuHxsAo0dOM8C*KNpz$3tp|)8Op51=C`R`OFEH&pr(jL7qRvHVpPfgbj&~rG&EBlPq z04=74(hVAfbFw|3x?_7T%M*`c221o2NW;&JcW%n4NUq$!$^T#jn#F|AT`ClA?~872 zddhv?3DyBBB3(Aw3_{OH#VeDbj=)E|D%%O@<-;T`GdK-ojzW%GSpf!;viB4FtyaPU zsX8tA!D(3GV4}jieb_)fs_PDV z*lf+|adu9BH#6`<5VyO;YQsNoPet8@d;__@g#eFu5dHi`y*rxHzn)V7utb|M38GI& z4ZlRCk+iT3DH*9qQhM{JZHMV=6?8XDkPI^l^tI|!N=$Debbg0|vK>j?*oiT7+x*OUMdlzytd6vpaje6Q|+afi@ITIn54YNW-hCCjI{dXF!<0Y+jO0GbFNUO%G5UwrYa_@r$ntacsMz^-(rMc7_(}Y*^=5 zE>+gDa^sc%r#XJDZ!FpbptlyCPI@)vXt+~y< zcZ!*xtj-ri?h9B72lDX0EM2J1@1&a4G+_$t|!Qy7n|Kllnnk$r{Cd<(A}gp*<@ ziwG7M_KfwS-O=6}ly%p>{(4O23xP&8Y8eEhuu5B2aNs2w8Qw&#SZZPJhSMKvuNkJuq^4T0YEYa8Z0ir@tvJIQAjm@&0id-?5h-q8dZGy%b%@G2z+POkR7Hm(p!Ow{Ngce2{@_a_ zdxI`otR1VnmG*XcG-dzif6`gMA3$SKumJci2bRW47Dw=3m^C z)|t+C=Y5ZxHFj?K4Z@l|qEY_X$t`qqGC_rE?+fDooVyW`xR>aGNdxTy&eO_8X|Kdf zOQ;A?G8(&tvE<7>y?7UpnF{8YzhKmM%ud0rrfX}Xx^wA>M{6-6^}P}U$W$<<$B{SL zULP9K3rH{!cwec}-gGY4S`V+O+Q{f7#g)UpVRxe|EQtF!7*+;0yC~VkI)~lz&_4y( z*-aMNJ?qC-S?6#Ds=qP4Av*`?)+r0Tqi3=zpatxVV1fJ-fmi*;vk*K9FzhmAO%thQC`9dw8_;9(i+r_vx;hLQX& zy5kc^F>QLm+SiYa8{6`$*SHd9o>SBmu)TRf!ASSpI7~&bN2<06rf4)4jQl^*4eJ2} z`4rU+%5$=S25}8WdtS=bldak1sq>U@m92?s)Rt)l)!q|0hmjaj;zc$GGEAfZUu$|_tU8X6IOLf1Bogh_FBje~|R(A1$PdVz$4 zjr4p-tG&ZV*w<$1R22X?2R9mM-z-n!j!JIIiAAY1mlXoX%}Kp%Y%@-0hvgl%5&K(F zD?6Zj+P3l%>J^i!=!X0c-f3l82*Xr5ZyBz(4Aat-*JI0avQ@tXmCFKko~As8GIpK) zv{|{Jtr;Nig+RS0Xapw;k6MPJ7Ba<+n2Zql+Rvw=^Quvk;I%pEkonXWE9BsB>c z=EJ_M0{moMz!D_PL2&!A+ay*t|3k5J)?>?;7kuX&oiC>(*>U%^V5HYWJeA|Nj4RK@ z*p3_QS$Z)^p6WSZJ^;Xp_yTbn$2oxFPC++hSC!%O9KnjJeTCKb^g2m6*CJmGJ^PY+ zzudIH?(P!-;8?- zR`~sZSpiF8IhZ{a*pMoALGD&1N%F?aU362;7-6V!)OVDX4;8fxgSnLI6vGD~UFJlY zXIm(h)GB`lK{`o-k(BU`kEG=0(uJoX%nC5H8IHqY7pjbEsJGcgF=Z273NNdTm86(& z5z}4^q%8F|9ks&~(+p10%IH3>qHoaQJ%&?63_hC27aCPX`w6*|5f5OUgD{Qnmwwe%SiMBNB?ZG8vHU6R>k$uM{$I;EH4_BNJ=fsnIBq~+KifDUJqpG5$}%j^_unH;)&3FGkwz`N$Kx{FGAC8o*EodqbeSEmq{{?h?QDc1 zbjYss7M^tmBx+x=w8hwZn=oIpNJD4{*?}%?a!4u+n`dpcZ`f*CSuh#HTGqdjD-QhW zK3-F3C^;vUPi;t1BY;7AnVbOM;56~j-?ps3Dlm-Z&jMx7&=m(me~0n<9Kvlw>F42N3c@H74}(tm0obFnd52TF10yIqYB>J6uXzTOe}W&L-ugoS3qq#Vb5x zQKc)2AD+Ser>YKZiw? za}ynCDffGYA89TOl;_Kep*|tbjM8AWVlN-m<;zxdY|}Tc0THM1V22Lf7xy6(<_pmG z4{~6VWL=@gN@*?}o*WOh+@!OE#>-004I-}N;k>cjh+-V^;Bw^-JM{ zw7wGc)@InfZ~d0p`{onZ9*+ z**g#^>RB0j?ZxS$d2_~Nk+r6y*T2=Gxe?v{Gbey=fZ#EGXmcf@ET{LZMNud>h5tB9 z#46wnh>}$2p-_Ap8BJ1n-R6lYcgswgx(eyXK*CjX^~Uv-CRa}2j+FWE?<8M zrl1jR4B@V;e%Dy*v2_aU*4+KTfWL{(_=KY?BlY)AbmuGTdbl_!yfv7QW&Jf3uy~TQ zYZ$M4Q3hU99U11c7|3^k-Z`j{5R63q{a%e(4R5n^*_jHl+`@iYAlA8HetkGRIb*Ly z>jdbLn`i^!lr~`QafUUCeJx`5MAU;~vO&@InLN*Uc-qh<_P!wfMjpOu`^;yer$G9o zdel5RZgv=IRqA=22X@hIr|zG-Pk#e8=Fy;}1k8c3QD*p*Gx=?`C0TJ`9_TgW0rh8{ zMCD*QJpG5MB8`|c0z8-qwu7P`01TWNQ7T*iy`k~E4+41my1Ln?DhHggX1F!krOF~0^Sv$O53Vx`2sDC*ogPQBYO zUem+S=<>*@Ej1yUg>#sIubo3*h;GFhunPr-Nt@+qW+w>1r<)sG+AILltyP!vUA?W> z8DPueU|NOW8UwqI{>o&5>xmqJXZwVRRXUg5&fGwBS#P~^q78g9lg zQP!`wA>3790US^{RMx}$g->mA8%$4NIbsA{D2lRv$!M7*YtR+vFUiJ$jXyDzhS2pc zn!;>)-YqTugosXH18s;<_#nV0DgACI8Gc?C(rdCMv}GT>EA-kVb+Ot>kE55B>E;BJ z8xRNq*!Y>jF|R49t#*m(u(cj$Og7Q2_7kE0p6W{S&0}lZdGlQz`r|SUmdH#@_5_MF zkup29AyctJuF5G1Q_J4I%6=Kz*az{o)_dLSf81`JI_zMjrejd7RhYHUUyiNssJX`! z&Xu6E&6tTC_|??95u45#Cdod`MEicXV|}0ddgN!#xMC!Eh92Co|Bm&|Kinqwg z9p*nob?EPq0_o}R6Ci+T=z*s!>sY<1eF+Xd-607u*+Tm73rO@a5a|*?#*UEYqb~W| zgs8tbDBq;v^EC4teP|Xl=C3S9 z>oF>p+GPh=QYU@<)7C7c&k@j}d|Q$l$BayU~ngTyF=4|gY_JT z_d5=W*;IQ3piq>!FW|2(0r~;!IvOLp2tyk*bp=TX65uf)Tp6-*9PaEmG)T5V0{A@$ zagd6v&954uvJ2s=6* zjPUTu_do|@Uv!rq2w(&eN8dGGL72;tOhEv^h6{5w5j5C`I_uAq5GhD(H;kJ`w1H$0 zhlT=f94OG2Tun2#Am=@uueMBLZ$>k69?T7QfMHYis_^Q*Dq#*hY&{Ql!rlV{uww_# zDdw_6?KWQMA*&3uibHnI z@bAeC{&^{mIoODf0fId=XiZ+hR_YOf zku0LNQfH#hs=>ssZlTh=%y@CSiq$WazBBEtLR}A0YcUymA7K(&t%zO)kqjDC?EtsB&j{~;?W`J#n>ohSU&?g#xYzOslD8^W-Q!GG?Jc|{XDyhuLx zWPx5bKm#@)izDeA(c_4KrgJQzU)rA6?IU!~hcXji*}x=h!Ldm2LC$j$t}NiRX&<9c zWhj=8PJp7@ux?g5n#O_x?iXCoFITeOU_K{yMgo)eh0caQB;C%*Gj&MB9G*`>ju z3nI-VK`d_$@Vlt`8oOrf#Wq9uTL_;eJ1&C@2sl%2e??nQf zqafqc#hTg2*&n~|tgkis(}G4$&&hMGYA?D~xIti!slpQ@qW@mVqdN_iMaL zs)!GzJ`S3LoLLpzifNQ#WH->MCix>mZx}+4bvZwRD|pW2Z597AT%UmQdNmb#T0?D_ zQgJXMV+Sg9u7sj;C2#|%7m{H&kl^R01inRGL-b`f5Gx5VE44DC^CUy(+Q&&=a=UH`J2TU`qG=6JUcPN_3+{b`zO}Xa+O%~wWK~QC^F0jZ4iKX!`~hrG{6+3BDx?NY?|3Bg zKq|xu8UoM~E{MwC8!3PQ7nQxe%YOxZ%kjVu7E|Vyo@H&l0U|L|5hqX<=|XA&kpz6Z zP)CiX^7$+OfMA;(Mc2IoA^is&9fN>=b6k6NYJ*5a}km}dSf{gFOGTho5Do7o%| zQAMVuEDeMw=@Ce{QNR83ED#>3N5)?Y${AJxD^p6o`pqj2W1`hMs}3y_gAEpj{YDgJ z=)H5K7*fXy^ZWH~b^>^ZhIOMsVj=hE+qYZpwfG^JUrFPYa;SlMMd9)@kaRp8vblcw z9`(-WtW_V;)QujH4b~pKOwRYVXev1uk%IL_gHoSF_%ZbEqPNMssv~6snHZz9_`dlS zNPtcBVd8CV;1snp*mxPtW6&kI47#{=SuV1QmIXGhI&r=FuFKZzFIn??EU9K{I=~(- zJR)$zM@|4INk>l!1!jk@H#u^i`eT{`Y$z82g5N>C9FrkJZky;e*S@@V`<Dh$=@v(l?S`1ltWcp z?K*Ji^OtG}8j;zf9z$ZW=;6j;AtTVn(^PmeI=GiYz#1K{2zAnEGUtPxZ6p3(m9mLm zhVL|$$3i|{PrJ0a2H*-Z1R?WvaBHunKzvhFAT#aG0wil83p`35%g=dMDA$WRzlA0gs*U zlI=hcWp%k^Tgy4+5Pd_0D`;a=y9dEYosZMb;`i@B_9K&TYv(xb@h;y?{$I#qu}=u5 zg+1$eczHd>3&(Y~o~LtcP$(pJd^kmWg(RCv>Hl5anz0ubs_59;piZ(_e0tJz=f4TmRww#Udh^ z0xIB;90oO(H#zkE;4hrxn9;L?jd?ykdIVPPB;akj$+Os5LZ?4pI4mK`g@bhewo)k~ zgs}cX9_Dq~U%r&iM%msf!a+WiyvfEjS+7U{7yBq%DSJ2=`X_)fD|2NJNt?{C@jnJ8y}cg1XP&7kSV5F;V8nBER{vHTzlAMG(psh1lh8@q((GO=t&Jat}Aqf9VG zL6`;hTn&ZuNUS0_PGGOZC(yvS91^?{u4S8>z|;!~Br~V)1_{pHv1D7Zhmn5Nwz)U1bgd8A>_y!bT;WxZOP<8d_6AUA8&oznMfU!54HZ2@7$)=udf`v z+w#pj%D3PKXy(MmG-7LE6qn*bpktz3X%Ir=w`DTQvvzap-6)e$MY1tO^@VKuK{gx= zz4fFoOvN%p5v8csSL5kDw%>+?sld^K`aeSnr-)4uDZY(XQrUZbqdo6{sE0%`wGWk* z*+n-HYD~E$x?nOBBjbW-184J7z{aD(Xd+j^*3E9+qIFf?ke(JI6!TrBSZ<=+${Z8Y zhZ$>egFP1MOGKhJUbAKcOlU-6w6Z`lFdBvR%iGc>MMxvS(W`*LaY!O~YX2{wh7(xH zLV&^Di>!XXcFFb+#$I=gDfXC1l%T-ujM(IRBm=h?5{qq2Jnn7~r`U9JExP6*YPrVF zEoz;_~b2;YU zN9^7VLp$al_DwG7Y>N3VX;yPj!fynI0k2TYilm`ON*sbu-`Jt9jneY!#tjSi`%rSo zBDo0yaj%c5o#wBm%iUpUOmKw3B?z0*{9}|l2!_TahU@A(8U_=~D^co1$i^jG#$P+q zuRBoBZEI0MqP(f(M$mPXh|!K-a6@ znT$FeCR!X`CzdHc*-C04vRA=ih9{^Y91g{q!TR+91$1IH+(4nFMSX}6YW;doOj6Tb z62qHxQ3GR;Bv-mO92PZIoQ zcpXC;Ckn3?4oibVOvmGAI6;P-Mp~4yx4+pOC;rB+La&Wi8=Na&q-Is$Dtu6&rmdRX z?aT=1r4EyaXET)h!t2FkO-NYj{vnil&ze<4Yw}Qt7@mBAQtu@Cj$^~W4cK5C?pvqB zJd4}B|MSD=t=Tqro`nkpAv2u4l51g-t9f8=uoxyzQQN^JJMgGX0sWxfix6*4QCXM2 z$LgVwKe_3i)~%E0(y#mU2ogrGJ9(F9hQn7BO0pAAkGMSCjCog~jFQxEQXc5oI2vK~ zGWp!BfrIzoT>{Jtn|}an60!wxnVG6`Y`9jrowt=|`QmX|q-}Fz=Mihq&DB!e$;I*v zhY+I;O;Wn@jK?Mi4YdPGpF2gJ0&v zpzl2(WP9n~yDvDL9SDmgYNB#iNK_|`b)Zv=MjP+==r(vPq~7NXk)mrrHc&2M zY1`RC;xb2$cmQqQjQxcJFDk+^>W%^b8g1R7uGEM-%kE=#Ecfpe-$b}E7j$+WFLgv| z(@|0qpolt2(6>`uOSu=3@u(i=iv_o2UA`MFMCeUwXkP?Vm`$r}BY?L-FA^Zd*2{kO z5GR|t7~siyAn;IPsIV0C6VoCx@o-gW{hAY@cAn`rjS7dWLgVFkkmGwZS5kr?*!0FQ z{3T$dJcuql+aE$mRcmV+eN(Fe2EY?LRlo-H=2I=GB}*<=K|Qz!Rl}0A`AE^cf$@7$ zOgaY;vh573YYGXamg%e_*HXPJW`A+ae=0a@YXAm%l%kQeW;?}$nI^5RwcdNW^^>cf zZGFzw)BplcmP$<9qg)<7B}4_;!U}-XlDf7aq$4m8uZD17@R@+0a(4IwV)-E+;1J;e z$cDpRguYNAbck}xWh*wy3fk3x(794)k3;$tr0WcsZk}&keg1)iF$2BTPO?0LbW8d4 zi@eo3r-RWbtY55$nDv;xEeR9Su6W$`{o%H*d|8+`+F;}oc7QX9tx*cH_dFWCM30oa zQ!rKOaBQHcl>vjTvrMUuh10_rSherLNBfceM8_xZW~@XeYf>_2j0eXGtq-x z*@;N`)J4k0v^BSY$|{`R5j8c0-I-eP?PZheD9_pgu1gW^YwMzKVwhZ{woI5`#Zdi# zyd=^ZVT8Sq!Jcf2#zYYD4W2KA5w^TrRZ7@6)xrq3BT}xrY9^>x0OxVBh+dzgK|wGrx!j^>(-X;aa8<6M#lAx+nq!W zZhJUaW)eHk!pEF5DhQ%{L1+2gK?eml4~k`R?1KGe99<0fx4_01s8~MH-{KOMC|I>= znVkKk9hd??kKhO2;jn_L57`%scq zLN5kF426I5w$4WF*&S?n%G%nGDf=?8;cd<1WHTpVoLwXv@mes!y#pBgQ3?%ZiZw>I zUal;YHVc8Mm5^*sHn;gOzY3x-yViV7lo_}TuGx4#)wPS!A-)GTaa=-$FH2s+I&oF@6P)lo8 z1z7~OUry+?wl)^oqzBODiq1BKp*oSNjXfe(h>(U+%gGFt!n(*^SJyyew*h3^ci0g0 zeym5v%PJH~-41$Zg0Oi-dP?ZEMb_eAc zl9!nm_tYK?a03uEEigC}o$AZ>qv`GaunP`XMBxEZChAXzERNtoR`)%2BgR5#>ot8- zy9S_rHebhQhhMA0^d+$2?iO2n=VklS8Wmpue%Qjc8#t@aZG)K$j{yvMv(!I(d#U>A zg3#o=+=vyTf>M5C2oTaXm%Ju5#kwD!WgRO-nPlq+7#FK(cE(3I2!w4#6)|ae%JQZ4 ziZ5HWTN()4vh3lk*Idf72pzP;;s0sX5-&`?*FY9$0H&AH(jm+a+k=P!;FyIz!9zAy zPYgn<>s%GhgkC0XENdSX&4k{|;uOP%j-9q8SMlKV=jlDSscSnSRJc9O?8w6hMQ41< ziDU2lOz8|O?MpI9b<}i?i^Wdo`V5mcGeDwSP>0_U&s8QyY*y_9OrzdqNYo&FnxX0k zgxqUJT>Y%eQ$V;Dm)PaTs=ml#izGdPfpr1PBFnC~mVG{{&8&RuGC}&V&V8_;V3-zm z(6x=Zhve-pvGa~|P!6a3*SueI1KbWUG}l{mF>5BV-vWJC!_b~GDe5nhOo~dE4A|HK z!d6V(W&*Ia-qjHHsnp8;GEN~}>|#=M9yt8>lyYojgCYo%+8giR^4X{R*Rl@?Q4P)dbm3+W#HN)-r5TkeXZL*R>rJ2$f4N4dR?`3ofwnV5~&OCVw! zfswN5&pkB1(i)SH)nZlv8iEkwGgV z&3@$et%MO=!sp6WA*Pjha5oWK!d&HMh%${wYo;{eboJGD4Xsb(oH86?HM=0mti-4K z)Mn@Lh61JA0rpabHdnp?a0de>x4d$N;ijt4)Pr+?U`jCWz^~yI_BA#K^Mnyb5V8KU z3csgRCrV+ERNv4=gGSVuQllv4Q??)qCW4WaQtUoyXIjv)8U-1~>623GQ64xYVHVT= zS6MhXE>~4g3{n0fpDS73mqdyS*jc<^c`0t?BS7kdj`?Q+t|z06^W<~SZC!s@(~?!F zi#~-25b32dcR{Og2c*%PBNn%tL*I94GY>er3T+vsj3{zk0&7$DzrfKmOcvjNQy@v%>?zVcG>mvM$HSm8nsyQ)(4T5m1qx7%WRBMXyt1>7K|Orznw3hz~Fa zb@c&}oTEoYaM<%6C!7}nhhnH~B5q_eA!65~!V=jO+p_Um2cf2r^Rj=5luUoI$x4Ifo#6@9ur; zFKbW?_~~e7ZIMH!9tey=aDxlL1cp!6uv<77hMwLKb_I;70B#w5m<1l};xzv?z&*u; zxZbb#sQ+Wy9Zac!APVW#&-&TLkq>B4jId`@h0Wir>2gCmP(q^G%HnWR%KBw%*~}Y} z`m<6W1IAgB0GV0r9xqjZqw!}}D$jDOh=`@Iy0LsGwhV{!f{iXbus7g8kE&+<8UgHb zz|5W2^mkyrNgx14?;LL1!9?Tj-h_E;%Q63FC$=0ypExoZm1lAMhh^Y7YO5q|9C#Sk z#PWsWl$yM}Wo^|}9oW7EVc?B7)%kRKswE4C?X15o=I3wu#=`8dGlJeWgMc>)FoG`4 zjWlxLGmnKFLSo#pb~xN;*gOk+?*cD;-P&0cWt!f12))Arli3yjL;Q`bt;uNSXe66Y zh-YUEmeuIHfoo=OvOidWFl{#H@h@FVfqO8n~;`L{>oH4A7BEeGeE!fzFYVqL4QgN`b zVWT{g=Jn)v44-5ELnj;EdY;s7XJk+f(9DTeXQFZ*&}U$xYYaVgYYyfIvbkEo)lLCI zw|z;51Yy2|*fSlBsAS9Uvk#K`bpj(7%d5lCJ}3iCZE#dPC@@l;P;W(qNvR5{g=QvI z9k$m--==n5^bMLBiAcdZ$L(uIe}k*EcNG{W9Qq>h{>(={UYQ@!Qw-I+C@?yWVF#47Y7Oaa)>rl? zmqv0T;~uBO?a+G{1d)VQ=T1Z}J2y^w2pqn~!-rDPhM{cKt6zkb!bEVWBP!2w#cYkH zp|pHyL#;YR+q#0|@LA9|4G$KNY%V#=Sd42NFr{uHt> zARD4aL0a;JPqNjG44WZ+(OJJ`gx7LPOEcDcyLyf1@WoAZ1vdde`PQ?Jk^o5tGDWaa z$WiL4v~2IfLiIL8JSCIe_v)$huot&xLup<*O07b)*MHV%e=D3q{@BFt%s z0y*;)w?1nnmf2on2P4th0PcO*g}{Tv3DgW5=n(a~X6~Op1)Sqx zyrM3r+FVe;9D%_)B?Dst;C_zBKW19WV$WXgU>wSLZ3D4!ngFI%0G5!BWlFw*p(aQ_ zv(e^0%2&cfj{)XAZ|~Lmr?W1|%@I8*EP1HwcgtBDE2n1pm8@=pC*6dim8@N$&JEhl zdQfCs>x%7q*6orc~(Xnhlp&_3yQ#R=%c(26w`-?qxVB!o9P~KxdJfM9=U6J zp~ghzEcEti8szqbN4xD*S6HOLdVdr$YX?IGUTPm7ueZcM0mPl|*s4w#Tt$;8Cr*y@ z^B)<4jK4N#yzDF492EEa!W{Fn>vgINCCnVroHe7eYBM9KDy239y^1&7PO0aS62m}m zrIfUuMyh1pPlc<2UeC$~Mb1KUj|RP-b(_F7{<>K+yf2}KMYRA*oMr(fB3Ml=2){{qFn%*D; z)Cj*sxV^i4Y8yyfimg68^$K@`#+nmz3L(z2ji+@1L;lD*b}{JPR&2|MsV(dBU9j<~ z0zT#BI8N8kc$f1^o#r#!SDxh&t`770OV>Y18Dj0uHYU-@^>L6{=(TUYOV$#7rl&jFpHjpU6fH{|iXM+u84!r-hmJn(Jb~1*l zO+%gCpftjP|Bj(*6Z*3oC=T?w(yK|bqeh>&4v=TD8HDRkXD5K(8N=d=i&Myg8Vehg z3p1K0R!Wa&_Nl+1j}3SYf#S-6W3O--eQdz5uSV0eAqN7?aw>B@2VR$?B(;a3o#JZL z+BzYVHeemc^>u{#5~7^-W^|g*`{f~v-*hp$7o0K+Bg2NtqupL^&33ZR2ZDbyG8}~B z2-Qno;S=-?Kh6p zuiD;F9C|1M zHUnOv0n)UK`lZ=C45d8s0}lTIooV+e-+4ULD_ojUo(ekkq0Ag>pM|EshECbV!Yk-p zhp+*SezB9qQR?fx!iPZbWq<){aazkDTn+T@4j4d4?C+f&LfO^Zl6xuY#J0azcoYS? zQPelAvpb%0Fj$BX0X5s^&a*fJ&PL(QUSXca8C4D`Wf10B9HN8{dpd-9mJ@&?ftM2# z2d6;KsAe&>;V9o6V)bO0eFy1qkrGbCt59fz60WXnt*Iu5@I zUna@#nQ3+h^Gu-@deldGD`uL@z&uBCINW%qXXOxLgf~~WCVv3ScaidtLztIx9B$Rb zM3(Q7*0Rm!eEBW5XDL?SsStf3@(Dd+`+g@ji$>n(K({F z^nQJg_&OQFcNZh6Mj~k5wK7$UIf^!YyrNLCcJddk?MgXD!UnKea7S0D7T!vQvk8n>@JDPsOvCP zVy;%h*>!{?>O1;%bY}?`1N%G|ym-Ngq6a9x$y0=2H{Tgje>8dccg5(N} z32$%qMk{^$xPhlcIMfXkpbfdp-Edi3U-I_Pg{G1rinM04-p8~x6`iY;T9vkzH-Z6SC% zp<|=q&qN7bsy;kD4id$f#$~DhQ0?Yb^%T13mJAAq4Kb*`}L&v>p*a5opYD4ot>BMtvx=UV>b)Xr+)(Q z8>$z{@;scEMb1IhUrnIaK484q`@)X>tn>2m46woBysZ9*^rn8*b|;Tp4RIB?(6KQq zNY}Xm9V${#<>{AP8CSQE_CyBpk3|(gd&q>Iap$A72g#)GypqpfY~m!7(QgOikB$wi zmM!Hhw)06%*cgBeBiQz0_wHVQPd0NK$_6z0Mc7e(9VTM4noB;2Qf&*LQ%8y}mMj9FvH2;2r`8K&ntOem z?AUlhb74A&2@$^o?*CG!0yYK##)?$vV5rA|9dOxAkHIv;)W#I>XJ?1agx+KW49GrS zi`xFHs_hA3&p@r5x?^`~OGnft>R|FIJOM>=V!)mDk3yseD&rU&lx zXwZWyU*O~Q8Kby24dM{OYDy|rNL?tkG!M)kMDNPLkjLHd6RH&n`qZKsS59lcqp1~mHRmsH;6B${V=mv?3^X->Xe>Mjds?!#%{=*V`f zj<2-tBnV45beiwwG@n
    >w8v10SL_7~y(LDnJFQYdBw-Cm$`qs%wZ^j%Dt91X`2 zIz#W@^hr$@pkdYjz|i|INAGHoMR#c4?EslrZq%z^__MPob)VB=p5+#pZ1XP9@>O(^H&c8=#8cD^Cmtjc6|HbEuxOjB*G?P{DEHmW%+n?0^fZ=2n(% zWNeWUfJ1mg6Arh5Qxx;SE}hRbSOZ@Bl{$r_t7(p0biVp@s>f_8TS2(mLy@1Jw#C?9 z{8nw5!igf6*f_Ys|7EeTrB3|8BvvCb6W+Q{d6vbzH~?P9oX&V{;Y_5HS~4ua4tPis zR$>N$k?*xx)tt!K;iKd0-+7lqi#)x{?Ho6DKl7|DEA=MWU^R+yA@e`9ta-r(@ohM8 zxS0BHU49)z>G541z7m7t(sZP9%&+*rKPH5t^!N@oZ{689Sz;4&9U)NHp*-+ za1!}w$KhSy2=&XOM`0AlnQT>e3cZ$^5v2*|ZioK*ce;kJkq}6=lfbcOxngdbL2?8A z@~r(eWhV=%#52H+wB?2uyUTCatVSx{7(^|<<5KnKURtESfrD`Xb^RT}mqye&+boyq zKF|i6uS{L76!k3cak4#iB|zv|Cu+;j!1x10MWGB)lX|hutrS_f3lha>5eI{pAwi<- zwRQFvtD;qQV>~@;IZ>3grSRjrbAetu>*+P*J|ZosUR8U}=qi>0hBW<50?AUqx% zu7G;rr8=vvlH4HfEKv4PRz6;aNH3)kFJRuXC_!8Id0Y0jsg$}Og!x$vm1PmW)z4(v zi+S}-_lDSTOTfa(!pE{;<>W>lxHHinmN!#Vw}&h~1d&AuZQ`(^_P~dTwZjrZO-Z?R zd3dXHN?wZDT>iwZ^5`umV(H+J*WGsz*2?;Y?*SKzjCA1XZYn>};PvrB_hWPCNy9`> zD(B*o$%Y(TUsfW;w90$v*YylFo8#D)zte{CbNZS|nQ@;px2 z=@>hu{;TRx`b@M`waY#e9bWFR>{;S3W};V{B%6JQV{O-=T@=i&F8*RyU4pxk)QO(>D#ux`;2-)cN}SyLA;2TZyn=fA%=-e;sL#e@0B+ z8{M-t(`mZI;kX`<@M2CM9Rk}@#3oZ?8Sj#voHQPbYq z1K(6m-+?Hjfy;pKw{#iPA|YuqFm|V{UFkAvK7s5q^!}DoyYle1u5mitl~TXu;X*HS ztv3ixW@LOtKSL884q;?C#f2@K*euqs$3frTw1r0%CfbamRsa#_(H4GKTvIoRdXmq} z)}XhQMQ6&f!KnqjSuWRjnOQ8)f_ndQ<(Wp)`#)t)DJSASBvpa=QF`mQ#{y%kbkLkNTD_Zv{hpdU0ff=KX~# z*zuiK#TVlTrXH-BY3 zZUjQE5iq^CbE7uswzf48;+BXbbhZr4h~J{0vC7_3U1p)$X?cxe%9*5ErOQv!)_15q zo;bi|e;bnwqgou3WH9Nvy90kcqCnA%)0E91_K6;M2pptZ^?pWC%TM_EK$P#Ci> z?3rzeqFkmaH=$rA$l{Cs8U1ff)B{#+1hZR5;FdHZZj)nk_dFl38EM8E#iRAR)i$eN z?eo`p45cOZiMaHLMX^m%H!CY$n)Mf9Y1zVGaN0(fPo~uGsH4*5Iq34zJUmV9gYrJ; zeTs)Ok*;G8tuGFEgN0t4YU+5W>Gp__a2KrI4b2nHnCL>YxcJ(S$#oJs$E|!U-4E73 zxs3WjT}h8QZ*kii!?V03h`6eYC_Ipck;ha_qt_#`QOcfv^62_r?v44iFrW57ViV?^ zyFc!$&gL8px%H&M^&gxz-lMm#@fbA!-15bm+m?iMY}D-FXu%?)rf@`sj`QT$`*AOH zYCWLHq9L0*5IDZNwG(!ml$>N8A;31dxRu9Tqxt$~Txd=Mk@y}EZr1|Tko6SQxg~!Q zaUH|msp^-R;CM-#_EdpAhuLjt1dBEk+~PEICRq-l9FN0LzCeXbs$c2+zg^#Uz}IpJ ztwMV+g%Y7D+o8*|*pc3NquJ{?yXMMLXAO#tG9JhyrHkh@|2)ZExul}E|?(XYVSMO>@>XOtmqt1}%oO$`B0RpRf5xYcyT-;-$MS^G159{)A%9^~A=W4551F0y1O=%XE-vi( zRu>P#hpmz)70FBzY6a5GrN1m@ui(iEr+nzY)fMN{iOX0>#YvJxn8`(M%6iw-RfYtC zzrLC5J)}e@do#f~9cTC25fsQGTqN)*U?`Q^qg~*kYq74RsFRBV#2lK z$mClu<>qsk;$z$Dt%y&5It#`I|vzhH(6UgJPsA%yH43u|lF-}Y7&APD>uT40r zn)=f7a;Us(s}O?UIuU|t(?jT|mUJiGM?l!rM&MbVb@j#r)wMNL7AMzqmTl+NqeKj! zXmH@3TwMZ6zD8Ko&g5|P4X$Aed-P0aZ9X;~3huSSR^7AW!m@Z?#a@?T)oi&qv-u)2 z-j*3VH<`9a&&bXD5JWH4f~%QbN~9_VYDP1Ucz%x;%jdLFz~ONxM!}VGzgJMC%rD@J z$ar*7FB$>fnQ4ma>BYrzOa9-NnQ2jHe}=PeGZOQS)@WoUs=ijIoXbmbU z`tywN5CfJxlUcf%AM~8B9I_64BZE9x#$TIXu4blTHlqm&W3>)n?g>aglm($R`zkoMixOi04aw>7hxAH?(A z^elmZ4RMK#c=ZR$c?hVvsD$UNF3%SVl;-DrfN>pAP0O-3UrdvD{#mvI=*)44Z5vGf zBeZsVYW_-5o(5D3ACJ=6Wp3`F+iIv8{mRj`mpM><>QD;`VU( zL=Pq@0HQ_yK4&S`+989p!6#vJ*}!Pa%3{xruQ(>@Mr0A@*a+FOInU)zlUCg;J-m~C zgM;y`d0&6DlxMP!e~;qws{kCo37vS<-GM?@Awf29$RDdDyIkSLW99b8vB9B@7^l|j z1F?gaKp7}ZudeW(Ew$@1C7Bs#mbq8HjU$BjIQC*<&xZM7%ZfTY2PY#~*wp;-1RNJh zTC<*^oSe-M^7iS1Fg?iip0I?S6|bH6us_;Lkze|ocMh$7E>(#i#O*j+e_C&GFczdy zjE6n&`qS6=pdGPradf&m4Pz)@ns7Sk5k(Utk<}%I zScvuJW%X8XkQ>v7C2W8Fq3hf(6H~SLl!S8vQE>tT`v`bD8lr>Z1vP7oSeeszk1erQ z=xc6r0tD*n2_;V#B*ybf;ksP~q_- zZiaf6r+cPrGs*ZeBss4?TT^{95icf*P1^RO&J@?@zDJoAnD1GIxsSWkwKCm2v7=)w zC~lkO6aHz8VE#n20!|_vJX(e7nmjz%lcMlkJCP&czv=sf3O2Y18$lByLjr}$H4N`} znQY-rug%=Z`jidKD!eKKgb1QOFQ0V;eds;vM2EOPgSC!hoCf-#ZiIqbfj!9bhzu*) zM*s3m_kvlUrFI<&Jv^!H+*)SOdSp(U$4QPO>g!2y{5%F0-Om=@pd9U78!P#MZ*TF2 zo1XE@Zl!Av!ki5Yj0(R+<+J~e@W`juZuCDx7RDO(+aUbPW`uI{F{LXZ?}*I#8{ z0f=l(&PtUpAS>IwU(>FvAx3CfXtIu6i~>^?Ch5c}TXr}~&4Pf(zY@Tnn){QdC3?+| z{N&rPKIMYoidKX7+|vx^mRBYOT6vM6@yRbMqB}dYo)BGga^Ewu7*x&nRKH%EI<3sH z%J7grE~bj#NPNBq(L?ZUT98M)@_|m((^jHyjF6M;EXujl8D&_wVF~}zpfT(rFZk$x z?~hg0Pk=lm5~i-6f&8-POqo7a`tn)$6CREkl2uwt|E3=fAU#HV&8M@+*@hjL-0Ej= zX4dB^fU1f{&){D@L$g>#*8Ifwah>$Xur>z0Ix**m+~)NitEIm+wQDQEx1T-ArE-HQ zmnaZcLAQrdeXe9fLWsgl+#i#Or+D1fLf&HQ;yj zy1(^VXXmQRazS<})jNUS5;LrKHzUY%T!)QM&#@uloI8j&d2dizZ($0jl5R^0J z!6`*KMvUA8wQEVf#NqUDcD*mLpQ|cvmlfC5GXbY-vr*2U$|Ap+^khj%Il~*C@5Nd5 z11*upNZ0n{9cEsvOzW10)YQ z+70sV{9JDAyL8Pl?2tJN>o}#hW;MrF_cshrwV*S5qJBzZ>30nGrk>N8lKd*8*WfSt zZb9>{kr!EZi7OALPQ=LeYU_Y_BjKsJ%GC|<8|xaPz9sg%9;LI(wS`m9wFspeY>|se zFxw&TW``3KcWT)Ow9pC;XxAii3X8YOr|b`hJQq(lW06I9{u_p!0Hx%Js5)NM&Xvym zf^u}4Xp3_3Rh!Lm%B=EdbL$!!=_fD8L++cu&Z=hOj3oCireWWWeh?yT!MR3Ce+)Ew za+({_3Qvin4qD8_w=bH0W0#cVsef^$CA8Wzb{wksWGa%nA9}$l_-1_C6Re)xQ3;tr zd)EYusSn9=w(y}&LwV$0=G`Mkp$lbe3#$x4aIQX=ejU6n)Ft1Q zDEmRj5Uw5;^-l;I%cD+yRiH4JA6WuCMSq-Vzf4wy6IN*y1_U=rU$~@IQq_Ys7N;+VxJ>+m-b&8Us(y|5#F5 zVlc&H)c^>^qgbj6x=;m!WSlEX9aXtiCx0hn?#Z9rdPXdT^YT`n;l{EzVhCy!*4?ab z7|&n*C?M>os&^x6a>PXQ|86+)mLQ1ua_-**T>H(=O)wtlD~ZA6;n(#Pu6K+YK7DY3 z!ny|8(O%(oiS8VCT&!Y2xZ{F%&j7K|3vT`rW#H# zAMsl2^^2a;cdy7z?x^!-8+&V?*!K7H-H=g)PXwDO6G$*g(UYRm%dXHt<~87HaKCtI z^g5vJC^1nAEkj53IDI>{Nx(~NwpZEC|CU)f@&?DyR@#|)t&bd{{gU$}a94-$7ZGmz zDW3wkxV>hPRIe1STuL3PlI3O(QAgK1FeB*o@<{%qq6aI2lDLi=m&sON5lW#T z`!%25KD4Ylt_>wVM15~Ky&9Z5Yd-eP9hD>hY`(2h^hnUb9?=0&*xMO+`cP%jOJn6P zfmHZwJglZq%E0SR4YvE5_aHuUT->emF4>r%^wd%dK7a#8bs@b=U%jt1ruD`-Ta%rg;2oCDz3tsM7@Eo*oQ&#xZ%)#WiYDy}L-!sz0 zXo*qVZWl#y9X#>ZN_Nda^ZQ){PJ=Zo3DSJ^yYl+VHhpL(p+o!yt%yY)a6>*=QnjYy4Ew3 z$Qz;@*i?M>c`TF#78|ZLhuD3MOF9UG8wTgF}zWvUeMSf_`Ae@+t>)>4yr)7Z9;M2t-`HQo-g7&{xgWTUnyQmQKF=IAJ<|8!)$XO)v=q!z zfz1V)nZ|zrwmmkO*_8qAR>H#YnX4k;2qf+BWt)2-knA`65$xY?UHls3E~}f|^KyZ| zRQsO>6cG@IgB=*R`MWl9d5KzrZ?5l$PUYHSuZ52!KiDN;c_UP>VS}#+zX~QbO~0c| zCTf~~b08;`mvC+?8>eQ`Zite(U(tFYJkJ>m>?JnNL@aSt;0x+oiIqo&&!#z zMvhq0GjaTit$OTnW~^PB5^%#ExRPO*e17)evY4LP1k>Ze4KIHb6jx8?5c%-?+p1-; zWVcDv0b)kY(=c#=?aY->tNJV~NwAF|AqNp9#scTdCjW9w-nd6RKR**uHQMpT7C0}3 z!o8kHJDo;EF`{=zRMGq>ua=+t%FvmeB;TliC?Ow>B7tpg>XLg-JqnR#;Aeu9K~Zh1 zaY!t2En?&+_|BXDsISMuTJN}5w^2WtLcWlNH4Vkh4W7|_=Unjk{$nIF?qeD@Rn12O z`m;U@qhSbOnzo|+=cf>nq|YJq(f2nN`W=T*ZYuKQV=Uo$Rvnvv*f$~E2*w&AXI6fk z;=4)HsTBnCq#voDhx-T^(bi8UVkfz%cmNB4+J9SFi>I}h_4RwSXrR*_QA-z~tu|GR z6N(|dkHD9s?MlKM3HW%p1G7(E)}7C$mp4FJ2L-bT+51|@qWL*XvyZ{o`vpj|pkOs^ z2Rf76X;T{Qd6r6K4>|fH&_wc(fYyuuv1ypeev7O&;a`%U!G24Z_g?E-MTJc)Gq8;2 zS2P&#d?`_Wj8qep24pkb((JIwRr)qz`PzGA!Q}b9L9yozN$;^>x7W19kpD~Hi)Gku zmHx134DyQ09kArh@+17`%(qAKg_~U^TCpfw*VtWSbhw}5f&u2KTEFA@LFTkWeNkn* z-&{>h?#$;$X5DIQe?GHU?a`61L$#C{meA0pO3RC&XMn$CJ6r5QQKeg`m*lWk-EjC5p*)Ij^c*kxv)^BVM##a@ zpEX)25x#ASoL>yXoU@o6+jyZy;U>a!+{0nx{y@asv(A^dmQ;#5l}{bHb(UYoA*(x=G$Y3LDvun8xFG()7$aP_ig0S5 zw|Ar9QC7YFWEX`uwbL+@omr;srUmdqj_(xe%%VS8(%6o%{#?)<78RME8~J(+F?1Fx z<5dq+D2!Lq$24pH8;`N1im6Y<%WO%i zTfE(Z{d#;%tvA%inrUKz!l5SdykmWHXErhSSfRUOfz~ZUWqLITeMM>7q#~gMwS^s1 zG=Ay4D^&Q~g*x3yvw_N=>uF~UFRv>)=o1Qmw^wRx)rPr0D#AW6tD=&jC+am{f3cnX!7i+@<{&WK!W(c1{42b?#22=`$f@_a1e!EhULJ5pZ>~7x{~9>{i-CXtPeD=0SOR7xuwcR>|8fw) z{a=GLp?@*Q(f=yQj2m(ulS=|0OhWT72ddeh|1_A#{}&_Z`>%rkb=3dQyO08wokEfJ zLt?I>lju{5qQDlFui)GCW=cVe6v2Z>;$h**+>!M38GWJJ zr^IVPN1Jr$xZGviqIi2Bj|O(0s;YSjxRU1~Knd*#zp zrOGaa8u;Wg^P_TG$%V80k@!-!wEX_if$Zvs4^`lcf`D$qZPLtBW0uGLaqI+pTYG?g3c&higx__{o{ zd4I~0v*bfB1oLoGuOaop@9pt)Qi`MAknk;@zTq zgkGQ40k&fv>9*4jdfC7HUoDDs%+C0~JRVdlYhr2@8RsS;z8+T_pJ|_IC!c7jrWQ4n zfy(JihYr2JJe|%}>rR2ZVZIWaYtJifIeze`4Src`*fdPHZdUWWi!9wn`_*GRYXK}J zC>UBi%fm;P!e4fj(>*;~(@ng`od)=3>j-JU5Y4-aS9`mehy8uZZSKBYD7GA9_Xajt zu_;Lgjarjx7syIoL39RPZWVvTWCJ>^{dT_uNi>Y`^#fIaH)PN1_Jk;7*YcUIl*U%x#X(b?;Y51f68Sx&4lQ^xStfMT3IRNb6^2&X6MmZY>BSuJL(`+Ar)hOM*vw~N5pMiC~Te&&cj&5 z_q=!J)xVZARfJDeau};FjK^FymUOCj@OZfaNMWf{aK15NeTzHr++Mg@_TR#m%?JY`efGbP7niZRs8m2+ z5#fd#&Ubk(s{N3}&;JP!amNWvwPV&W2O(t0ljKXkMKIS!$a^08yEx;?wXu)0Hxml1 z=3{D$1;QZ3Y~9WZ8LjU|uN%4y^P9{~izWFaftv2e?;G6jgPnp=8UC6wB6Z7@FnJ{q z7J;))TAciRVWKo(4Du4TwRY7iR-_24%ucbJx|n${V!qW>ZekB7tQvILAO83-52$h@ z9b_Y5+ub;qJ7YhG9BtCHYIc`;GH!3K7>ecX99BrZ)kCgPr*UaX-)u;qVfcow+n$d~P~|?F1q@;@Bf;=f*ZF8h7L#u^3QL{FTsl+nikue`)o*)^k_8a#bLqghLo``SvyW^u$pEY!x$yI^D z`a~whrp~7?3i__K40Y>{W!FfCOG~LS1X6Ul5|IbH ztXFaNejUfytPupb&WJ9{I67ONQ=hBE<~C(P!+! zBhVpGXhp(8(0>FmB-<~31N5us>$3~G34>3~(D8E5`!3n&!PlAJUK1Kx7i$~jvlrFS zVPp=~QW}+}_(i)Vh2bol)G3WWQv(407B2 zPO6tA26Ye8ru0uq?7ltw0sDxA7%@t0KJvHWzO#=+Iqn2(pSGqI6G@ZoeeT_642g$;wdNqdvs)Y}dDulMMy z>t|dd(ttrj!G@bXPyQ~chd2kDKjS0u!Nc4 ztQ&Z~=3l%#GyHfY<$5F^s_H{C@S+gL+w&Cm<#ieIAsg!2j}DGQ{r7Av47SW{^iY2Q zcJ{{sdsx}P^Ql;CBvXhO;p?-Hi}H%C!oJ~?{oL;XJFV8}wJ7HKA(7&EB38nyR0gE8q9}N=>|x6x z4f6e>1(E+ZBzs3c@fwZdQ`4Si2i54gJlYO9@@KP!G|jmk&4X=rn@uU;9>;g7mf{j6JFy)- z-B^mPbn99c<187nt41j3fU76<6g<{{3URVN=#(sJ63N2p35`+|i@I!=M70CNwlWs2 z@-Iu!E93uapY=5QQvF(%ai3t5)vguSRjCzU8sM3iwAdoaB=eShODwAApqtf^G^S0u zK$CR)9am2CAnbOl&bT`i!j#`9F5t()vpN&<9Qq8`fO6m%gKrNCyED&_-Sa{@&`$`q z`;{i@&UrK1r{Q2aQY}GyJR8O)afn9EQEicXv70V`qLrv%o1~H^aa(LlYk8-@*SPPK zc)RM)(Bqr+S>#5y)_DricBny#UcLIWfZ|m(z=P?RpWa zBe>%%+c=}nks zYsnIE%98C&r5DfWN8vY1Ng4u%>&vypoDQo+T)&y31Npp^JO=Rc(|F6{_buQ8N!a5G z6h*#ZYrP!mCUVqf?VdB)Tq{bkjDTjmUAgmYz&55X&ATlnR; z=~4tYqAaQ!`GvMkKx~x~}Ia-*i9}5To znKq0q7s=kW^hKISTctK5fz@c-+5tcz)#lNs0R;o59B+)15_voY^?6s zq(+BTF2%{}S`lWlYF{P&+~DVysGFZ-mS%Zx zFGHXD8Z74sl5x*2R&@BehiA(tLsVAbTBHI!phG61Ov0z8E-@iTL#`&-F5PJKDyd1E z+$AvwjmzfA7`IPEo?;2-lP>~0cONsGPIjX6x42Vh`$U!}DpEs12bn?w2M@&%#jpzv z!<_C~KSm&y*3n9sOC@1S{+45GoKy8MV|KFxzcHM|XB1bwUroMA*tjsn%vF6kjHQ4C)Oxo!KRyjI3Ywibqf zm|dkn?RmfmU@!d*&@oSlNEH1xQTQI!X9S5fC|lO)$15{u3XyMM&Xg8aCb)zYbg=HwUk7fm0rp)3pSeH^Yhk+sfkCA z@)E@3#KGqDZ$b!ARL(J92g`Xzi$XXPz^U7Cgh+TGnt(SvE{->mfocscLJf4xRCI|0 zCs(;_`;u=U^Iml;v!u{b#d;xM2fGk=B>9-ls%`PLtF-|2!PE{ei>x)*NK7&gg9fv# zS#riIZa;ODBVFnACB6%8cDgd>Np;gMX-;vv@v>r>hA(?-2hW9-H;}_L@qAgr;aBOE zJzd%l6#|6ECSK?Yj}C^-AdakTfH^=Z7GYP7)sXa7#GWB#*=XJ|GBYisH<^AwUnZ_B z0$8VTswY8qlth(3Xwwy980#n*_txO(>P)xHV^ef}>Lq^=?zl?7Ku6rJWTGG4kEhB|N$`qa}&(h}B zpO>ch+`2zQOgeiOvTqf|WAdJ|CC58hi7sIn`9k+IyhU4yDi9LA4Rdc3b%`E}RrB?Z z1L4%YiU|!U0wPw@5%-1>Wn$Y}(RJf%*MoQITdaMdgK7nlc~H+U)1r4&Q_RMxiax9E*BO;#*Xy}C#1l-&+U;)>G*1Zt^HSk$ zAKkY(t*JGGF!0bP=`u`)BR5MNzn>hHZ6YB!e|^XSA_Dj~xR+hibf~Ks%101qk?Arv zjhR3BKJ02t*iH#Vvl=UQ+!UbLc5uPF$60mWh**cOe_U%!kd_5~<=>ojQS(CwjNHAa ze{NAHLPCP3r@hbhBGQT*MwyRWQj|)X{TOHxEkstKIxm~$Xf)~x65*^iothn$j9tci z&+GXV-8*Ya-O>|YVzmOaykcFOww{(SgC^h))YkfNk|9F2faR1E3p|EZ95xuqdI61$iaRWWFbf6{$^NZI~yxFGsBZ3%{LY6tK>60Mr^&T=6ec>)#g+z z{oip?QrO`QGZES1c&$N1=A^ShVwkV)!;yfb$z`&Ub42Pr;4`~3qWTdMhP1WEzCNp3g z5exRygwx$?=Ab08k3cT(9HLeCGZUEeup;Pj1@$dgkzdcwJfP(K5~ zAs=<7KDCJF6_upJoq9ByNt88L7AaD?xuG|T&5aB%r84+Nd8?b8hNeC|QgdB=8U5cq z--l}yP59D&eK>mt@}wSR-D@=tzfHGyzier(v^d+VuGDnOm2^CF<@h~wiy5&HM_A}s zVGeXY*uN?T*0pA}(@^@lT7M1v=L&W?+`7I>T0QaM<)0U>^02v>K@)aTMQ-O}xG|J; zvow63a>Q^W@o|vSOA~#A;`UeMCRYZ&wC~z*+zohRcx1)A4NP!PTz|{mB>%nrRMx}` zdZyi_oRv*U&i9%;u!xH&f1$lq-LD?jwFiy1>h+#Z$%FP&qJhL|lx-BK)G|X0z_W$0 zX0MMpV`d48ec*i z(IXN*)r)d8RDoC@&}aE)2xkdW?h{(KW35V5=QD(p?0J7|zwy232mZcHtJS ziNYtmqz9==cloy(?`84xBUtKN!zVu0sZQ$@6w6Ir;c`$MJ~)-m*Si_IhQ{U2OJcZH zfvP(8?qUt7J>jqE1Qs9AU6W_;S(G)s;>oI&wqGnqEU6?IP}$R@5X(DS8|R7XM{Xm%9%kd-x(J(WriiPh<&UU+C8c(vT?t&9^$-}hI| z3y3Joex}CyeCGemzM5<(av61xb~03WlQQWa>w|A-52BGw7Z-Tx0OyeX7+KnABs-lF z`HcerI?D4?j^MO$7Qe_W@Cy(x6&u$15CK5W130?;p=}by&lGPP5w#x!cUVKV$DOw; z7Siu+om$hxLy5l6lZgaJuJ?nAW@iJVYUjG@s+Ywi6Zv=2#({2zeMmnqau26yAGB0g z{eQo|I zEIAr|IPgW|>sB3Q`6iyNfGC^sIHrofl%Y-7W(~J5bWa^(Sl+>5@a>dH@@dm}^tyt< zzmgL~$`v;=QJG`4p;JgWFSIpMF{sN6>8;easxge)#Yxg{uMw!rg(wkc;aVWFk<9Rf zqwqf3yAyrXGyYJhF1M=(W|i!+c}G`ce(k*k2fp$ym8zqWQQ*DpW`j@gVsXhhb-J=> zYxrT2$s~b#DgdZ#kyWfPRbcOJp$4B)UA0B}4n+e0?B~O8^LAa7gwH(|i3hripl^hU2G zNc4w8raBQ>Jk}OodhVIxzWI3~+Ouydw^ELQ7qSV7k##7YEOwY`Bx~-zsP*A5ra|Ka8I|HJ$1|48=2*kN|K z*Tj2(PM2jMfMyrpCgoKh$3yOcEkkCb713V0iRE%JV~}k}7At|1lw+wzT{CmK)P-tY z$3k2+E+$JC!u9a^rcvftrR%0jTn9a#z=u1SC`s}rNSNlD=Czx)#$8v(_@iJJ*jtzu zzuyp~yhtoP=P30sAD1<1WpfsyAZsbn92Bjy86GaVwZFG*O+I3b2-^vMqYNAuh2LvT z{V3n^L**u9pjBsg>FzQvg= zCl>mBCvb#wmp1<`5y#ng`21o#RkJ5q9j>IBz+TM8$&j6#ucO*8ThKQqG)RSz$L%T9e*1+b=rqIV6}X z&<7|lK^!rdt{?HWcU+-LSX5Nk<_)M=wL7ck48DVjiJZU;^c(!rs*uPVF|cMB{|6om zHesf(O8UB3mYv+H6$zFX(;T;x+mYwzE!vs0Jz~0|Ny8FxtwX7D)TWaMq|3%1Y-zv|O~e8xxsGA}jF2E)nFQI2H_&%yi?^`c=uLY$*RueX-VrpK z9(ATqQIysD!&jN8Wt(qfW1qTV!Jf|xYX=;DxM!}NMxMV&;G*e#56|&GVkI)P-1lT? zBpY0$GB7+hw1gtGbAKL@1_1y#fE%NZ#_y3&GYiWTwu823*GYHD1}0mJN|f_vb#ed1e`d!7&=#7uMTSF;1c@2GV!l!3N3BJ7pKz-7abSMvRw+60Wy~rXHFHke2ZkbK*;a zr@mY2)P_Lxi&G!CZOQ%p5wYjcf*1EqwecWE_HVEZ!~Y2M!U|hoGaKQhF^}(~f#;LZ zDUc*f>9c}2#2RIO-BGp)hi!Z{CHwgM&C_~M@+$M$8fkCT)p+-4&isY{~B9C%~Vr&FNsqk{xJ(>=Y$RSaf#UiQlP8RBhWQMS}snDa} z?}#E0`;P^600;f3vi}3Jb!=*mVy<|+3fya6CYCc%@Km|?`vDBt;J+3vEGT$K`pe6c zcVAyL+4dvelp;@+hPe|8MnkL9IA5ta-g|TPV(+4FVZ>%Ky2=fs95leU3GTJa%0LB3 zT2sM~J)&dR=fhJ;%~usk0&VJtbjea=nl@k#JiAV51px)!_wC;k8kj(F|f#Wq1&5zD;*T zW?ZV@vpnDssH{9$#@~nWfl=Me&~d*r>O)J6__IJjyS1+6?x=bo6D`Sir`nK^9ehDM ztB?KmlU_OfXetq@xXP?mUYA~>w{z@Jka&9Vhg9;`c#X!(gu+mA;qmCgvp6BEZlKVF zt(9C=@m!1pABXDxU9)?xC|}no1?l{ol5wY^S;x&pS>nP(U?Rs84M_|Kmc^yg3&pH# zw_LYuK{ka|2zXRIr}WEa_1vJ+9vnL#Zu+-MM(mq>54&T zQFEhFtTn~^MQS;JQ&KW~{*SF%A{*y56ip8AbTWju>xXF$hBwcXwYkd=i(2ibD@EXA zfT63$l&P(9ICdmH z;280}V!tTZH)79Q2S<)a!NFo5E{DCt2_b-c5ROrh$e1m^cP;Nxm%ZbQjLzU$Y6Ek6 zw$d|I!-K{EX1zw?yn{w0>(wx!&I?89yrNl|P~>s^DEw)mGT6X4Rv`^bLF`>D(I$~#+i z;p*vZZVbGW^a}9aA$-bHw%X?Qd|8coKg(rY3vgBCGC)&s;c0 zq;ji>;?#-^K*!QTXC(n>!SeUY;+D?xl$yVB1*M~w`oV}rCtfo63p=Tsv5@?4&nO9B z-GzPglWQKCEP!PR5;t9KTgu5dcQu92w>AAR9 zJdlpy^cXpNN^!!nUY3GE-bgi! zN>xkA=mTD@{ewB@LdP}zWq_%#>f=tsKIw7n%SUnshRly#-;v9IJcvI>>)2fM?Tqvt zM0&S~?U5RM=u4D`t1}|oeh}Z*6x0gh*Acb*^U|67J3<@~{EheEhjv$+r3Id=ln>*e>qFsn0{L6LJPJNf-S(B!{ML-*(<_Dq3?e*f zzAJMTK2UD!#_l7<)T&AF1#mqhBr-jXH<-2=v4bR<^gOf)pKo$n@ z#BmwlbCrM9Z0`DsFJJ(A*{K|RGu_L+y4ru{1Rmi$Dd0x0w$u}-YR^>!z*(3?I%>cf6o1Su|AxW<*{(t@B*60-jP3$97$P8mt_!b`lN#vjVbol z`;V)~zS^#@$ZC#8x(HnOYr40Al6?;A<{2M3Pv3~ToTmJ!i;sAAYiI!-Ysj{X5dAXn zNPNyizMx@$UEp9t2VNE5$)8I03gqboSBayLt4_}e#kDI}IrY9G8Plqlec+YA+nzaF zfJHOsX-*rWul;K0myFv`&Ef+@clN5Rq$fO!-o}qr`YYcIUV2-zm7(G%&iS?djf?0c zZOSRDL)P+;qj;lnm=BAdvB;^}J<|u6m z=*<#5U)pIReQo;yEzs)j?VhDLn9b99(($uiAV}EN!Yo$jxh2%PeZVIH9}sieV|#atOl$r!0r8Pp3<%Q>*2ZNDZ+iA zJvEmaD{)v^DIqRP0#sF??l#tQA;(03&#$W|QR-u?`*5aDfNn+`(+B3eUtr)G;q5Px zXq+5G_N>t>1MA@FPUno`%h6+&cQB8v`=>`4pIg$?oorNj<^RKH?H144b9PM$XrtNFt$r$|~O69y|z8V!p z&!gcv@6|;G9>~oaFy;hu)x`6lZb-M`I4BQ0i%-bgxmkY1l(F%{67~>YJ5HYnyM?$c z{Rwlv9RG5>PjwM95R0rPAh(^mz`W<>bP~!hU5=bdo)=JBC@u1=q(~+WyWpoR;g#i4 z^KSg2HQlT!w-#N$Z5wtX>;7(&DqK3c=8JebAd28YXhr;ln8C#m5RZGdJ^q6QPZHX6 z`>XrnX}J6}ghd~C@xC@+;T9CZhI6d0iihH?$j%(*t)zOVPRi|gg91fnnHRF=g$EGc zX|{o5sF75+^7jMcQ+m4wZGm?KLo)gB`r-j=9e4(f%6$Pjrx*^pPu3*ui92=^5RnGmY|-W+#dj-@6w6 z8e6*5r)k(Un{YS%GQ!3y^Vj6H=EPrh70Z*GiDLAl#r~BuJMFo&Jv=$h^ai?h-rXEM z*2R#Bq5j*6beSU5Sdq=<3!kNXb_ zp&gXIvr^)Jy*PG__>XvfF@}!tUtOAPRWvPGe_MW5vW0K6W{*y**yXjj-J_X`&Fy0S znEP7YF?517wp-8i3t;8`@P;+kXs$?;Z-3P&ZL#utPTnj{xUrsWZHR2zTUJxumb4b0 zWg&H$uFPcJRC141nR`o7t+ZI$`S4}AJx5hN^{aCBeWa_#@O1QmYh=4nqL^=4ZWr8T zp!<0)Q%(*KbI)ncF-%2R+n0$*J&Xzx)yUevFsK|kl>yLJpGE>#WywSA=E4*GwM44G zIQ5wFac~}{6lk-_u?S@k`Lv#oy)W4JUcXzQ%k|jY`)gEhpps@WKgu|Jp9CgM_=B}D zU}DOLl_k(r)YO`1N0W^H3V!%7@6$Lm5_w1XI%7LQs`Q+;xK*+#vPJq-H_}HJyKqHEkldf(LoS5dYU8P^xGHc;KCIWd ze?mWebA#ZH9V96Yi-C(2n!p zkVvywY88C2yKY2Kq^)q-F)D<coLNBx}w^NQUu1sW~Zo_WgfP^*)&cDi<|_UeXkuE;~e$U{Z+N z!aBn8n2yK!$W0^V0odmMn%b(}#?M)2>Yc-OBY^&28_{wY_;LqCW@ut4F0P`hD%HoqWTp%BpA60fnJS>1+Ac zc+o-%^>EQcYk-0O7IK*e2X56vhIzCpc>L0TRUB%(_@Yj<;Bt{P%E}h8p^&#dbo769 zb)8X7FwL6Y5v3O?ihu}$^ePaVg3=5iNC~|cUy4A0(0f&o8XzDobis�i^e$p+%|) z#L%RKKxjAbcklgi?|FXh+1Y2#ncbb;IlFUa*OR-WRvgo2>@OGI@sdkxc@6Eb%5jm? z32oaBFhK=A%@eRs5ZX9asqHz^1XG6=Z6uaKW@Cgh>*DQ0Heq^mgsJk$yIZ3C;Ee;QEXNv`lPkt>ym86~D3MSJ@IxOy`0Smi_u+nBGD3~3n6{=3`l`e= zSbsrEvxFXG7-Cj(NEmsy%v#(O!4=U)ssCef+F$fDFcB`_Z=1Ax5FCwt5^*yhvA)P9sLf|U*y9*D?9_w#_8E@8l z)uq?*k7C<1_b%4+5L-$EwSC^$wot4z3~MXqF%9P=MS8)9OvjKvYk>CKJ=f6v@m-K)u+{E_Oz3ngo6+N;F8dP~ zXeHMLk!&Nh_5lr!=0Rc)9X%JJ<3s|J!9dLg_K1bFM|XNjAv`$fl^V@yDaN-M3FtMc zL7W+*>k|x?#7vS6(%a*ds)3zWyNeJVCNW653llXN+-c)3V8#hlX;b_7ro^*ugMb>q zDN|%IlR=UQIzI(N5vum~nDOTBj$gxRDpa79%Nc1)ze0YQofHRcT-_@coH=V{K#FSO;V^=n^2~85iNPm=Qy{zCy*OZ^e%EAl zF`=@=-aOTpj(&C4UF!=*S1VfVA}0>Y0z%%q=USx0_0G%EMUR12`3F2k8@S{%`&8!I zQ9KJ9tcuEo`KExtxq!<;?t~|T0l9QFpaPRNP+{}~m$y@$XYEy*D;FifEpeUs`2`7dW9Lcvi ziH==aRXAmYjJCuOOg^E=}NL8FCYnwe34v-n z>|KDabPy)!O90j7job+s2s1bKQIsBRas0>!=b+AzE7`;!FE&@YvNqjl{>-*D#u_^K zCm5QpPy-cuZebm#~Uu95)5C);a(cwX@uq_At z3WKMuCbBo{XPMPsdPr7z9N*zrrp`#oReC*&*;*(|x0ZtHYU}GdhS8FQ6|%>&6JQ#m zoj6?y&U}#~2FtaWI{LLbV+hChqmCT0 z6=v8=DcoWMoT(4h(q5^?3N*@q=UOh}YihdvfWBZ=dvC)E!T{v-_udXxy8O!zE}k-t@A#$oi5 zZ?|jAQ)q?le)h~WA2S|cy^<^MQD?>e8#l+eTJBo8O)O_{EMI?NQr4Zy>cX=gU@?(rfW zA&zEAA~X9+RFxy5bXCl3xhpMXvH@0G%gr2jRXEe6#GiH&;iCSWH>u$?J` z2-aKSFZVX_xt%VT+l>vxcg{@1|Slbr>b&gW?8W@3* zW(IaJCb#G;g>ZaH&s76@dNW{)jt~&LX8`~uPNmA=?&2Evek$dZfxFzC51;OMXC81) zYDdZF(X1)r>(c>I#xP7*L=qY0UGisV($~zCbg^Wm-3#@aPd7*J_S^_iwWH3tZTd5@ zEXzyXr55b-hMaZid3ky5St}1rPiyqXjYq^rkjx1k9xvQExi9 z396FfaFHPX*+OWs(gj$LeflARpJWkM)!2{07w3!E4z6F7w@Ip+gRio z=UqyS6f|HtrHxPRT*>^`?ztbc8lVdFZ&Lm{kofRl;>uhv#bPhq^PD2%cu|P%O5-BR zrFfM|_I6?+SgACHy}{f1tCSG+FnaPLRzY|E>FpQ=VRx^uQc1#!BHt%cw^lmhc@udp zun+HN2HG!3K;PH;@>JJ%D9c}~W(C~;m+qAOfVy%)=UR+mK59OS-jg~xUMCJexTWS{ z_*a0208~k6+@hv{MqdTRLaL*eSxW~^r#uCZ6~r*Sx7ur>ukLB$8(|7hFw<D1X-K+l~2yj>%?7 zmbl4H??~Pz!B?EeHo-%@iu4oFEr+T8kT-aNpiGq^-rqO;s*Y8Y_}C9!oXVwNaKTee zbsq@6A9UWJEsC4abS-U}vc!l7_=@pdjDRCm#3qxjZfZ{eAS)95mrcc{-E_s+P@ zA%M0kXh5mgp+tr8nXAeE=^ya}%JqRSYmUq!tDgRb#BdUD5y^!9V<-JL(unkNMzJqW zHH;M0&G8WQNHSJ(;H~8E1dxmUIc8sF@u?Lm+$6CIrR9h%mI^HD4__%5@kr3U@3;@P zHLvhVgmVm_o^@2(G6Qi-)-7K%Y7V0Fup-64+80F zn2Dhxsbo9_rvEjGdN)(i#Qh;7J@2^2ysxu)P`+dHXe`a1WmhO#Ye){ugYd>^P(rhGG^Tj5p~T9Fd594 zyV8%n#d4~Ec-7HQvROG%6V2=Z-!cW~;o|`%giUn#=9>p63!BxhKJVlgW~R6Tga>_^J)zkUDRFZU%v^6)8&B8GSg(sFVC_|zURnc&dBVV^_WC+Q&;rB#De7)md zg(i`9x)3wI!}ZOmLkZFykpri=&Ib%J2x~QyxVpU2>bK zEZoivPe+c&_8W|mOEXC^%|jQ93d`dru$Lx8J?497Ml#PPs1@k1QN`>ri^FcP0Q#?`DJ z_Abx9+D|ricYoU@>fPcgKwtQi=XLaDeN;L_et1|46HVVLO7 zU(wB~Ksw=IzIjm-#0hu~4XlfsM#nMv_7wgV{+hqVvA$cOS$tveWNRCK0Nyo^Wv$crC`t^ft%2m`8GfI4f>p(Xz#{WfF`sr<(!V^E0 zs^iMKjE;3+&^tvY+E*)TcmFhx^)US^y1|bSA3;bg-h20gDG8taRlU;I=^x*W5r|^t zo=NsNeA^MrmIIWVbKXyfZ#xjLCgY;G<_2elzGjYo)U%pqQQWV*8omv4cLU3MCCN(L zSvg!!gY$ld^W>jb@)fpI|JmIyJ8}%*a5K3EzGUagL}yRy3Y6(le~tPN>3W+sntMvTV#QCaPk=>FSl9ioV<2BCP=I+4ZnYEEiqw1$JO%j0^P zrh*MF1X!yGHR zK5%ZI*pdyjF@I&^Y_#)gmRSo+Ylgk1Cm|uZz78f0%kJ`I1+WgT^lAIISu;=e^^H!d zXnz|Jn9J`O-?hH&-`e5zCJjDtmnQm7GVFdbdvwv9yS?BQX|cl{iwct?j>J6SM0KnN zcYWB(!j21GgJXGoFSG>jxQT3|qixR>Y@fleW($<{ibr{1D>p3Yzt7eDk+aZ2_4}p9 zpS6Mwm8MuNBGDtch;Ce|j8Rg1$mN%_o&nb+%K0+u>8RSrL0tAl?W0PnB%53cYK; zQiR9&7k{rpGOdH zTj01`F`Ij5xjvua=C>CZ3xkUHwY?fTE&kEFO2CPPa|dejC?)+LjP7p#M9METU+RvP zHpYaaMxqh)}yG)RNrJ--+BltU%%7Jt@(j z$x;y7FAW`6|8c5mT6(qdLEc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*DjK3IG5A0023V0RR91 z003(R00000008Nd0RR91004La#Jy#B6j}2J+6^JNFCJW{1F6B?-C=QeSbTBc1W7`G z;O_3O!QI{6-CYCptzVs-nMwBD|NU@3+$V|Dc^jPZ@lGmGC4nyZxmEfh%VoM zm+w8LP@^br9}85;uD(&wH}ZWFp`gA|XT^iUie?~8)QCzOif2y^DE@y6wHQK8U86Wx zQmF6MXGKkY^Fgo@3YLN@J;al5;>-DqT=P}Vp88jG-pluKlJ0imC{%Oxki1jcvIA3%lAtE zN_$D=n-@Yy;i?gpt(A>DJxA$BNu(&KYt-n7@jeuBL_z(W% z#rhVZjq@j1|L_-b1oGL=j*rnljitJEuTb50x zYPoNDHxRkKA@^^Xq$e2oI;jseOcx^9a25s{#%X-$58|P@hf|jI3fzv7en2e^F?M;D z!`8%`+fIvd{JlW@KJ>Yo88GsEZb!urG!o){SgAjO?G0bN5RDnoO1;x@y(7N%%!xd# zIfAb!TZ3~#u%7&v9sl)E3M{^z;A@w)Ny@>IhyUc0(J(W8pE*M~4`pAA55abM zGt(<^@&s>*VFY}HVCB9uVtt(czBZEvz5GR6e$TWpnjBYR8Uf~BVCUSol*pTsXg_~(2{q_JIsl>qU#|0!6g_Q^=t zF2O3ZHI!5S&#~7-CI_n}5^F!$@x@zi!K3fN`Yu1;HQyS7*uilh;JM77eWd)m#j%hxeS0DxOW;Q^wVt4palON2! zPT0E&m3QpZuDF^*)ffZL2m2PJdf}-kLE#KmTCI%+Q1>>lHlS~1D(~HfeEykh-S`xd znXWL4_E;F`@aTDTb7>MMc)rLCOJ<76BAA5ipp(bqV*VDBRLNrx%WdeEH`j?~jQ%4GGE}hF| z8JV^nvc8~S{aZ@sf3YZ{^P52Y8)nlwztVD*BTSE-5QsyN6~7#7L3aw|p*aJuSd+C$ z1^{t5w6<@+4ayOm%n5f$p;?1S>PT>_Bt4(CBr29AuHwW2JS@e`gm^GYddaUwmfP1nVmr&+5C!Agd+V1>$zq^^GJ zgtdd3wF|MBZeQ3XXPa!a=Py->T)qanc5@!e9J8XJU%yt0wSj!S_*!CaS&SrzB(1e& z(FZz4>#-|yM`nl?Ci+&QZt1d9wXluWIt{+|g<0d2>u&@n+)T-SeV`AOqWf0S;Fgg4 zAXuxjC$_Uh)|^smj%v=a6l4^F7EU}ZPM?cJ>DS~kIdxu@$uHkP<%+#D=VnZn$qVU8 zyW*FliyasA*g&-NOYbng0p0mV6m+tSC0&x3nIqJ=1P1b#9Z0?Utfi$V-&sdA_FU-v z>u)|iy!e%Vc1|Ol*VLQ~1vwq7SCU5d4UX)oZt#b}1^t$;R`I=6b6x>laUyaDQQz+s z9V4_mG=Z;e31^dx%~*)C*G^3(YR2((r`&JM^aC#zzSg*e&IalIgf*8tYy#&__~W2L z#V9P+f3o&ucR|078x(6vw5KM8vHpPBv{LKlSn8OsS*y#OnI+(^cISrlsic!yUHWXD z&{`9nOP&hL_={3MOfRg}&|13%#QQj1e3hF{SW$u~1=QvbyY!x4(64v=a-!52nzcCa z;i-^Lvj(j#)~wBf$TbiPT5F{%NB2FcS!=|CnH#_IHIrw?cNTo0T(OpBZ5h*5@qcbt z8S0v6!had`T91N$6H*iva6-)*7&o5tU#|GBQ6bpTMUTA;?c6f{%U&_K8kI}pOMS*R zaL2bVM1GDqT=%Rt{qtf56)YX@29ITh*{$I0%|3_IxxAL-^HiGi)I7AgfPKO~ceen| zSrA33>?el(5*Lq}ThQ;)Ic4v?+7;)(8r#6AR_e@&V;u#wQvU*^3`dDs?OruXP|!hZ zJ2$oZ>mvCVx{3wJObrtHQ>ELRsC3PqZq6Au;wZkjFJ(Qwl?n`s<~v8LMFS0^>+@f< zYq~=O^U;D$gDZB1QfqKN&1FR~$zyPSnOUYezx1A4=@h>@}&?%_ur| z3$}fBLBAUX$_i_nw9d~)=dnj|IdU~7C{%}Zg~<)2_T`StRj6JCcC^-Oox6#D>OO%M zf7wP4la8|j^w+B)({uE6FJ=#?ya~5Zp1g^ymRhj7@beT%nxC6wq>>@s>BIA{)-(;) zR1D*8*KlgvKZnRIO%y;SVUptP%|?v*1!&Ev(8y6zh%Z4_=6Q)DvtREs%GVBRxkE7a zE=*3eueCpv)v;BN9U7ZC2eL8vlOHYei$>GZ_Oe{w(u+%tiqOlu11WC2RdnpYF)Ki0 zkA>XBz~8$mJ7|CE*ur^M?Mys@^|$|l*(NrsPTg5^7|2y5@rOFLPcP_~E{n2QS?x?K zLH8b%>dE}OmDpMzB34W=(KL#Jfy{|>)5Ee(KU|wg6L%ox9`+>u#HWR0 zvldL~zGrN+&8gz_VM#g~cUpG zePsp)J-kFo>!}vHkY-H;lRt8_$xDytL}{U?yDT<(PC>tma};Z%HESy%az2iBSFu3H zRZow-7p!H*giCi^WkwXUDgz0}J}+&t2``${zVwedPDx5lpQC0Frj#OIatq|`M^ z|IXX`LxTxj?m{MET&S0q29D_}tz=Q{ssBKz=4j_~du5|wpD0tsK5P;@1El+q*Ye9! zTSW<`fYM4{2Iu#1(mGH5yN#o^*7-i}dd#yh`sJb}DMQQjG-ie2>uM!SaXPhgBQt%< zWjG)Hp{hZiNIDnUE{`unm4f~=fGdwL=y$K03WJiGH9?eutzxBP@gTE$r|v` z`b#HlZx+F>jq;u#;VbI4%|~XEr?gtuqRD)OQ$XRyl9iDbN?7vA$!fGTh@k+VRib@fhMPf^CMgY!ZS1Y7+6mRt>&z@l{Q_A=`7r!i?x&2 zGzEJyOmdtnrQP7wC^{JJsbGh&Jc7+jy#dBR)Y&8yMoqfJat8b!V^!2abO0Y7Fau`b zq3IOOI7mkROI8nEfnTx3%$+QUeR;_y8ZauR)0!1(?dP#&(K06>VIa}B@=|(x>y3x`m!no`t(ISWox!OjzzFa;Nhd4Db~>}qwT5c7>|uSH z{o(bUL)VkDNDNsLk)jHX1n{snH>cx_xy$5uj^cYStOP&zH?y^#4Eq5J8ImRY& zc}sG~3M&Qdh=bQtc{vl!;ONwAXm#B;bZGh=HyT(ma;2A&wkD7$pZjzG@ak8UIk=VC^jj7+@y?SdZO%>V3;*;vyKQ zD8t;15NuceBK5A2N0iEgspACv2>q8?ecnaN-9mx2!PGVRk&#dByG*mfqkv1opw$pb zKEao1%UuOVsuaxqJm)Yr!yRh-U8I1L3anjC5wFVF=HacEX~&l+#Lqx$jlfFRHkYZ# z83q2t--=1Dg3dsgU+1+9ez7e-&H7KsT^*`R`(zBoZPSsW`Bb)c>cI=Lqu4{iXq;wE zD0A?1n$oU?m6#hX@$;3?{(E5Os~b!cb1Qc^&KX?%{2z33nUU@_@qCjnTRz$yI-|_%aRdm)x1|&0On=c84jbGG7qIP1a8*-&qeRZb(z>lwKL^hGbk7QL@~3D77x9 z#`a}LmX*QGUd-ASIGo1*@VtU=@((RpZ{8b^`Xhe6B>mx3s5sA^1_Gp~|*+5`JCuGT5H z)anFQU#)Yw3Dp|xk9j_xR_~}or^X((cv(#yA<+3bb{_L^v|SXZR%~a2z@ye@T}!&6 zJ{E%x`@Y&k7o*2>j+{Hi`bR6ZF}(0Ivi7-Y3n_HsReCj~sr5svWhuNc432#7=TO?V zQ7N?_8%oqN85-#b5}LLB56)XdBRDe#gRVb&i+MEBPU=RnofAususUhIR05BCptXE& z0;y%v{gmfYXDgise`k@+4ou6=*EN*pzBxzh?W)!aW=(u;9k}`kgNFJJrp@V8d?TvF z*EA1?(e%xg7Z$TCmTl2U@Nru)h%B*D&en9d9HR?0t#${%&G@V&ZalZ93vR z2V&u}?=Z@oLMb&hBZ;T}oAa_OPg_;BLEYfgkI&H)Ht36*rL6W^FgJKncy%g%cRKZM z&G(7)*u{`e<(itq=F^yFD&4bdD(@eGPkaZC@8_(aPw6_lYsoKMPztfT9C9z8GLMp{ zRNy!qW1^Nj=roH1TeF4;J+8<81yhSx{~j1jV;3p83+V{W%EawGAg;0NRV-i~Wo*gF z+%H9No;`VgioQujDBndyazob0HI$bfxzmL3X~tio>;)9qrM0}wggF|Ct4rrgc`&8a z)0p)1LE<{s=`z({qQKhCjp4u+lQ{caq8OF}GcUGWG6dMg*g4FG6E4$??F#&kB^7Vs zn@|D9SS;q~5Tua#R!q*wl9~9Pq`y&?m2M8KyNdd6jV6M@2%?!Xh~36eOWqSJ$?mSe z>}rbhJqZ69%(?w4-zv(uRDoymkTN!}^#m9rK|*K6_J5bK7+Z}!4la9$1u=#k??N3H z1xOGrVgHb{7aOc?hP`zq3YFh|xhwxX74xu!5ivkyAR}|Kc zf-7F?<+}qpuT#a<@tple23YGfaUmh6Y$GSprB8+^l_!6Sczmd38*^?R$UK>z2B^$* zR}=q;)f%3i`P-U!JfHDaP3`Ay;@6ALq6~2rYcR=gkUs>v4-sSK_s*if>npI!plP9z zop79WiDuEnx2n@@%hyZ7e$8a;HQd&?W|HaF$G2R|j$u$s5?;W!|LilK(pRWMvvaXh zwX5c2;^rb`uap6OXg z8dtD(YkLPkWG4c6Wy$u`D}$PNH0Eq4-f{)j=!?{&v6s)hV)fEm!_6&o6mEOWKe3I5 zo{#AaTsg{`s=@tuSi2JZJX*|oR4DybO4NF-wV212GFOI0FfTBFhF_M=ly**1@ikak zjn8GVqrj7xHOa^oFv?V1t>r#BW~UX0aRj>*MBd9J%mtkn(|c~IZu~jY z+N#xZfuZIrgn!%;Ln&|hFsWlPSQN1sPwxHgj2!;voi|i8}Jt`_n?0d zh{TcC!QNtYE!d&hEv*h(Yr7z!+)7S)Ya$sR9!dBI^L>9&OFfAEnd95#=gUurT4}W_ zf-`$E1S>vyJWZtMf~^@hc&Utc-9Yg>qAeh6`7;4;;(R4?*N3BYgd^)9D$^juN~G=0 z_bdX8qd0y19ZK=dt5hh_ax0&%L>$_NDU-66X*bZetx3e*2!A2K|MQFrr@QCZ)A2b9 z3^PiBzRcKm31-bW^>sZJU8%r=#FrR(z|~3Q-7*K)Q}yWzTuFP&3pjFT1e)f2_LZ&f zMUCW0h*LnKdguyzKK&B4tG?2@uk~`4vCS}$aC(Q*!h&~cN{Ok~ARR=V!3F1h+sJTZ z3Kbb1Lj-fN#&?JflPe4N(ScLwS|=e8wV7)AuCl_ zO9i?6p+nHbOYhEaEoI`89fX9OavdB%J^HBt&ZbS`25Zj$$hFiuroAO5M8?{zaVWte zYIZDPWN$eL^x-(=O|yvRpHkp$9N&_W3&0xu=zzbWiT?~7V5QSB_J|$cj1IXE{+dKR zbKZ*_-#%E8_=PC0l64r_Pwons??EfMF{(Or-!kORPsduV^Sw;hT#NYLe|aSBpI?!t z=S^*KO;yJ#Hk~~RuJvRO2ImFlCLa_TmjR zeUJi&#g8z_ZU)=GxU!g&PR^#?#T2+Y-#18xwY^6m;SFN-V?6S!{&<||+!g-3U}~7Z z!+9uAaAL0hFEcZ!rqn1nyVm(M|L2x#(Xtm(IqpFi=T?0r-S?XX+TJ^; zW#@$PbpCJEuf^ADNzc{Q#+`UG{i2)Hp$+Hpd-e;=*}37TK)NBy3Im%IW1+Yt33=K$x^)blJO&(lk=JWi2aJ zs-QrQA;H8i5=K8l6u5>STfl-oc)(VecW@Z@$oM1^({Tm`{twzZPsC z_Fu7gD%YxRoCh1I;qniZ@^y%Nk-8J>+%5pM%xpe|0+;QlQ-dy8ya!Sp8=*dIZZwnj zI7n%?f2Jb-rLAAuBoo=xW?KHso_S;l%{rqd*cJet?8t#2V2!+%X-i`8d8o-4|Fedt&$~@_t>3;_W$Z?bW$OU4-+pJQt~p zPi9H?nsMVdWSYoYFuqm1K$jM060mE*bO~hY%KRBYWiHatEDHOmPCd<#&-vjpeccg)9lhKMX-I0ZDe8aNL2sWm@;`{m(uo@_0&@ce@|@m z4)0*lm00Gv2)U0&yGS*?6l*(mp~?lpwqb0omN=^dtV~>}WEPu=DHzq^YqvLzr&ASF zp>oN+0W$G!Eq}v%<^gQLi)IZ-V7Z+%17wiHh9pH(a`o*PId zDjv?n!{HM{PIslxFH}}cz|4pv|76bX>tJ7xJ@(vs>wzBoJ4~GtReE){!&EH6Z#s5z zl9fO&YJ6Z3%u`}W z3zVdGd}o%mjjVsPV3(oKT$u44>NSLtT-;8lP6S#3Y!VUdI#yzSLbJxzDHJwUMJNlZ zrsqdtdD-pK$Cyexb}H};&L)yHd%#l{K};?82g~2;uE(Cu5Sv$54P#S`nRG7eA$r&F zjCGmEmX<0va&-!Wn#xk!s>u3cja^ z&t#p;oaiB}k%%uboe3{cwe3lrkb9Ko?H`QY0G;sk`5{!U-!<|+G1MY0H{a3?Fz&NE z7>^pwq3k1uoJIrE5hPPFp zQnH1yo!+kxSxa;UZU$Fu2WS72_R)gp$wjHIv0t$EjiGS3o|X4e`Y#F$ClFuen!`6U z`7h(5_kL=dTTLAR63({+u`~w1{%9Y)%BH~Oxj7JT$p$Cg0kGGavs8emYoE@{vo`3Y z*^!4ae*!!(+9ujQUxk6oB$-&L_Be)`?|e5=>kSI5Y{=g(hf;^)>J(}DmgOo1Mu8E| z<;K1|+w!o-MjF&!fm5>)#GpG+EzhuYF4i_)+-h-`q>g^r39+AxnQ?#jYRaAU2W>2L z%X+C3`U>QhF|b&`{PR?%c1q`Xqm|W(1(P+8yKFkeXT!N66lePr$;iR%U80srsHRhK z&EZeysa5BcPOp{i-Osj+#gwEIoGJYIaPmsKlMbD(Y&B)#5=7YVWlU#mqsg{r(_hHin)vXu&#dRjm64Cny>8Lzv8kOWYx`KdE*=%!`A!}RKR$u7OSz0J2}(hdlBjw3*svv{3X77UOBlU zj=6z!9tRlFYy|9=LlaY+GipDRi#1+>GVJkCu_st}bH-Fe=p-afPp}L7G)I8RtFe*6 z+F(W)=oAHOp4fc3QVSm6G6q39Pq2%4NwCJ7v2D{6>-cV7(Pgki&Aw;$Od=TF^h*)<>nY^*ZtSRi%Q0iDTCbyf6 zpdUQNE`=4@KFwa@q$nGha+vMO!EBnt?(vm zgq9l>&P9@-(vJ0>Qz~RnKeT)esm-cF2e!lzJR^dbDaXa ztMvlhg$Es2$&Ed}kkL*&6SyUOEoa zAz^O-_U`Lfsrl#>&V_OM$W{zV*jEq%wQ(x=&p3MZNmbx}x{hsyL8q~f&8aI7(6A;c zoRRkR^hUx?$s^e9R`sPgm5z(A9bs(g*Vr96X(IeJYlSuqwOVPx5KU$ZFFprUpF*!f z)eRAhD927DrO_DSt=|;N`L_ax!@`k|qH`wGhzDvOnqH4R2=OD=>9K#l+hJ|xu|@7c z#E*bavNK&Ol2KgIwbqjcy#to=%*jRflbuM-py7bRUO`|UfLF%owVy_1RA3|zX%5zc z>Dhd;kH6bX&zdXZflN+9P==M0o^bv7+|S~NeAMw1-E%Osw$NNiv9^cMoiyjIFFN;l zas1c=;HfjO9HdxHV>+iy`)K{Co1*mKJQUa!UVfxb>C!pt#%t-`=W!pb^#b;gyQAr) zpR#uo9R{V)aV3J;^w{Gothf9%@qOsH-XX8WSDzDx!%O2UmQX%Qo{D?MJz7HNoDiu?HZ_WM)Qf&3Vx1epV^Q7PSmx zY_mD)P3DTBbRmyQ_fUqIyn8sqMZ@pnhEhBq1#ZNSEGf4YjP(_QX|3&DcE!4&bE-=& zW9Fg@H2R}z-?h?=`Vj0hdZwGsOH^t>l(|7mpz{NZwz;R$CAzyxfsv7gZWWBZ8N@E; zx_Ldo&eUgU^ABY zvU0N4q!QgkooEj1`!lA|hy6+|F2RI9InDY#k{0`_J9}t2L~Bhzw=DS6F*YSySw~Kd zz65l4gTEv}MQPohG<5&vCM$*Zb2ste&ig3o%g>ZEQ7_+X zd+YSrZ{UjeI8#|wR)>ewvCX38^x*a;*O&8g%O`kc-scMjy#g$qz$cuAob;vQ->nlwk?Qq_$-qpRo zr5Xa*51MU%B#1h2p~QMBZefYe*Dx6sz*U=!q&`V5h+seYTT$vAnARH%49@e8g z+=cKxT79LK>m1HXeNI~Mb#|D@Dat$qml=Ki1})$`Cv~hBcJ^fxU>$|qtMy6|*Lt%K)qt@gqMGV>_>fTYrEV946=vXBu{% zc^~OkpESk;tG?()ahIsOYwUokjctvN<_0Q}#@?D#CX#Af zpRg~dU1?fVmjOLxY<}EG`PxWUfSDQ2q_e|-_)8rNwMqITN^*FyV5e8Nq#mvFk$;&* z7M`n=BLO0y5ZI5Ocsj*!+$Q5rB`dFXhwMPy4e6&>>O+;{sHSKh4=L`j3Wy8fYnpi1 z#m}s0dh9=8>U_Yy`JFq>#c7-%9$UAPXAmGSA@|iMUFlTB&GkCk*RDgR80aUpS_0yg zvUswmy8G-1kZ?G1=f?wSS0dHjV_q%pP>ZiKTH&PC5;FIl-4UW(yf_Zcm{YZPm?n_&uZXYq$^w^Y|~j>({7# z@zp8u;4yUhy9!|FQq+Q7G)6*CwdA{DTeGz@u}N$b^JJsvAK$3lG=~#=M6nNBvO@yC z&X(pbAZ~wZ_)8K0#syl4-)04vOQ2be{hLdZmMi?@k98|DX!SNHc-%gTSnujY?c#`ZqM+H+OeBI8b$5 z-`F>WwKs5-5zLxvFLaUB;f`QU+^x11`$y7V?}{|6-Xp6Mf(}P|xWg8l{9=EgjKzGM zN2Bev3TUOb;$h9I2$gG@HdEhycVsq~Oot%vs#9c)Rx<{Z&vwOH6}E)PebI~6!jBX3 z{?v%E(_VjXjnO8V%i(X_0T-E@ex?*t91`D$bUXJIa&Lv=Kb#mrIg6|E-hiD+i2K7) zvhvBUzV18iE@L@il8qX>5i1|5gYWG1529VyRq8P`cI9v;eiMq*X*Z?FYLTHGaULe3QX2f5i%+$2rx5?YJW^*s;KLene8WEoc1pzD*!wS71d* zQ*;Vi+W`K+o<3%BXbE;MFgXz?ofWf9s4Z{3k;Y^y47!a;m~Wc(qRMT9g?Kw%EILBx zygl7;WyQhuLmb1jw>$xB@xj0it0s+~Z&AMEF?=6rCpnC~h8eVdAjfY?RW-jePx2F% zFY+Fa@yKg?L91q!1GFJ7-^$?{>RL}djIqng>^<24niH|%c#6&~LPAa&`{$=TRyQ`N z2<8Uo{??Pec2n-arAAdjeyK=+`2*}1N*mYt;d*{)sbXk@r)P9K12b-Htv!me58W*r zt+R3%NP&I;jeOvf`Q}v*TK2aJ18i!Qv^Nal{|OPQb-p^0pOklrZ(*%5l1Hwt?WjuY zKB9B%QkM9x1juSnZ+X6^yXD2iW$ce&EeMP*=k=`)Nu%sJ*v85?d!rmx?GZxf-;5RF z(b%BE+GJ$x)re5Nh?OPB0>?}3$+FdfZ{OR7*{VKy5A>r$=?_}`x>7{-iU-*e z!dfLRVRkPVJ?!0X8r0=0;fsBeeQ1LY#rpWjRU)nQ-UjbWI2W@DxJ%1x!SlU@WgRCenWv_2z+PU<$v}W{FNc* zEwa5z3$_kW<2FK@Hy-d7vqi_L!6}6;WgB1CN8S)VcXYZ%fewYO88;H)nls4Sqx^5v z)0I(JI}Kkejj_fJI!;S>EAV7p4PrxKKOwiaSdP^JRz__x&^GcS4%govMV~6}kUJi2 zwORy>yk@L8)}MU#sC%)obUqib4@&Ix^Xx4RztWw=&8;Jh?T#_ICUc`8+L2Y&5^mU4 z4UNxUXgc6bwTA7YkV9V1(FqP&L7KIX?1lDKI8*KHyD7);c+UFQhgXgkCoh$d!u$XZ6yiXQWuS^O&PuFj`;yavOcbfO|U3LuDo#r@r!YWpa;ooF9Ep1p# z9v9};LLFDw8YVy0Zy4`(6d~sg^)jG32w5E1s%Rex=W9H{nzk_!9oI_G@&sq+w|V`( z$zPsh9u1zo^ELnv;%^#n_3VQk*m%D2by$rJ2i4Lf#C?)Lseso2$PZ-?-n z*e=d7{WW;z^B>qeso=Sm*MDKJflNo3SR`k15nqY;3H(pi@GzpmIX|-2L{bJA{5){P zOHw>Jm$zL2+>Lc^oW#i!>>4{3nC2Zu{~_TrSdp}bohLGEPNX!OwHAr^GLCwQZ@K0(Sksv)SIAq3>!le>k(pNG)TQA_ z>ixDHT{;qG$=e=**%;nZ7pK9GHq*YHUns$vCKhiZiF$1R-i;dEo<+UtjIaX!ov>@K znM4U6ssbGuc^9&FiujYMb~-g(BVqS>dj_ zl^l_+wCux9j$L4Ui^w+ONd{RtgqF2XiyDX6pf55rn71p&@ZBc;-IFKs*sn)OyaE!^VJKm7!Z^S?&+Idn;CuJ?<#4{eQr^io&_(PnUc;b8a?E6ilt! zD=>7`6iD;I^dUYeH3%Jb693H)&hJ7kp2ut+doJ8zC*nsS+8w-B)V6rmtJD%*tIrr( zaTq!3skxvwn6VsPZd#5wqh)9x`kYyT;Q*qwneerT7+ZUb^J_0h`N*3sFnF?26=~Yw ztaNK+cgqz9%iu?N&yKmXY~m=10|By*zcEVuaiD0XBsI|S2e!JY$FhO!v%t+8$1C1M*_ zP7I*23qk~&15e$#=M9Oxe#NwmW@Y=+$MOmcH8+E_c@QPHSq`Omd=+uX7iYkEW>#G! zgJ#nfI}XHK@HNd^zIwIXPjF9VChZYWOR2TJsL=2rVU0QS7n3aDjAzV81Fo?XSLrO+ zQPdJG{YWZ2Q%x1a8C^K6jUu#y88B`w3#PiI6nH-~BU&2_jWmGKJ(nfQvf~T!0OZH! zm=k#(ASc+H5FiV|nl|X9@=YDd^kxb-&WnY`>F|NHbS-$O)jpCzpTnEm-w2|fkJW0; z4$Ycir{EE6Pu41G*7(ML0QOZxHpwH_xv(aC$SSjSTOJ0?*g8nzM+21MtTQYqyhMn#*+QQhWECe%E7Ckr=|C zSU!vv58p12rpMFM^O9_N!v|uvC>lV^;;IUKmYqqa=X~Rj-|P&dYiAmEewh0W$NVA# zzJm=;FNTexB)w-w<~$+ut=_JDeBvm&+{_LB0&T4V;wg;4|GN&?AIC6k5Io8S;}0%y zLO9bYFOP3`yRb6Jx!I1Xk35mhBgWAjNnB?+@voHq}FFt zR&=F*dGJ-fq$&Z|B|Ahx!L7@FAUB<}zKg z2a>v{I~3>ZCGE9l1=!=@EuKero%Zg6wIN_lr@dG=wmMwzwV1+cF@`e2~{D+q6l-;DxP`u-hAx>Cd{VmBcn=sRsPw3V(wq zgl<>F%UKiX#C3q(#^gPp?1~XDXEm2b_noM{r398*8lk&)#x$LdMh@q+f+MZZ8rv=H z&FJIl$nC?@LN{mDq=n7{7w-Vp)|6gDnNLM&q0hjUGr(H)yz}Ujp|(;kVLeIp-vf2? zaBTl0h==2ggXF>VN5Nv_tB!&w};FL)n<`Fin1nf?x-J+oBx2dmQ7@Jf+kq7mi) zBOjuyg^01@3{a|zxB?F&N+T+N^L=3VEi9DSut+A6YjlY{^r(ZCOZ(besGEN)fp>zQ z>p-VFt&pWZ*DE&?!;fZzc>6McQ=wXF>F=UeO9U_cpRQx?ruPuFL@CfKZ-&s1XHz6Q zKrL=W`84tGp>$`70z*ovW7nbc&93QW1d2-uvW&Z1hmD{L;I21Q%!4h5F{iHF(mbrGBZY3MQZv|0j~tL;W^u~@J}r3< zZf&MoWL(646V8Om;=0Ev0 zFua!vL9XWpAJ!dpOm*j5jnZpIS8BSY4;@M#Xvt=M4KN<^#K`P%bSU|L-9qyRHL~Jx z5J@E(&F-M?mxP_`O3f>&wAY#M!|q_$Wk)tO@ki|ftnGR(h`a3KrS|zX!>Mp; z)k;Df8TtlFjSo(-PYU^FKTH}>nekWhG<+M6fB~35pD~jU!UtLCH zS}CxLbC>3f7E6|qPYneQ;xG`CxWPIM(9W@Isy_8Q=Arzn6#>TN+~cU5%FZr8VbkYYX}#Q(_zlPGT6TV~sZ_G- zJ$mHWYyCh}xsE!hlru*kr_^6#IUju7ZDoq&`D;)q_l{jp9h?4;o~f%g=p7&mnaS-( zQuIB_Q-|_9IK-JU0r3dxjb^P`!r}59mDJFzjBT`L)7wSrO{XG>o{LFb_P&Y9R2rsk zMxPQyhleXq&BkhxY9Pbz#t7Qpks7iENL-y!{ZHiWM1l39G-M}PeI_rucxWL)9U{+U z?hEWSFq`L*gFV}w?ggTw1nK^gHc1tfcYfDNfT`2{-vv%vSG1EaW2ZEm@yXsfZa~TP(ger;bz+|8p4*ok!v0zEJT*BdqMY z0v`e0qwtnZJH}A#k*bD1*Y^c?LaBuzSd7v!ob__#bS4X~=HB#s8(M1z>=H$L(6Je+ zevfBnq<&{c0M7!p)_I;5qb)C_do13$kF)W{(YN<=#GovfS_&Em;w@m3eR;>y_n`_5 zeM_n70pbhLka-@;y*$5(^!fhu+kfUz ztD7#+y)fo=!=WpEf(y-PBdAH3@_47+bj3T0<4& zFVyz7>zo*r<*!ZXN&9LAiPpH!Q9*PWS_?oBY3vb68ws|QcV7$}fWGQitp1d+hU)Hz zvE0gHu;oQCpyl@dw9&z99_sjo68jrjJ77sGD*JbSs&sIz`#pnqz_u4o6ReeV?aX!R z(zCI(R+pNx2qI|C$U0>b{gF#eu<=m6$h{ZA>4&tZi7(IF%-XCAbOa0h-=4|AH0Rl2 zk^452YwQO7uTP@Or;uqh0?qTt%vzHOO$DX)L8qy;2Az8`SW4_r6kkC+d2=WgE2eb5 zhgB_{V}G|j3<2z!uw6?*8KHB2i&=!(A{YR6luGnJu|4^9e~!%-i-N6N3#9we+Ea5t z#{B|8R63FBG;4C_A#@iF+01Ij?jRl4Zg>^mM_|M)F@U0- zRt5#*vJyOz6>eh9!^m`-7m8adFsvX`;)X5qVrn7<^?hL1bg~{vhEm@=NRy^5VMido%|-N+X^*w zj5P;qYoSyfW5>cyJ5Fnh$zTUjDHmtxLVg{KQ|sC@tlN>m#StnEjInged6FJ%Sz_(f z)u59rdDP0chrZ6bM{5%&bYT=&SRZn`A5Ry5!w27j@ge*8K{GXvnF&w~zNFe3(UN%3Y-9iDp_3?a04j zP+m>qUkRu`ok|8Ik?j!SEQ!n{yPmk?ab&KVrlLxPE z+eS)chxG@SQsVD7Xl?XRD@1FpG?cyyAuS*sl@{!|D1jrk{#vGe_FQqE_iz-zJ zutu_AQY_?}3~<*aUuIMB4ezB;&C^{jcd-264AfF9T_We=YL#W9R&w2tWkNz^Z#K+M zF#;*seH8{Jxe`eT^21-e9Re<+3stwKV|cALVsqSQWJP$Mxzc|eWo$oB#>SVz6+bwr zc8S<+L&nn3cXI^{X=U1S0kfKu%!yp=dBP>e1aSTyI`=%{>Kb|t#Q8xt_rk)`*>&V@ zi*$R_ptWvbKXv*(w4kx-JYF%jyFd4V)^t{k^{|YCU;ONG96*XF|D*uTt(i(*G9IdR zeiaaTP2$Cd!BnlIy2I6#wJZg?4J*K|3`*Kt0?w{;Ow&E`5x7|_oX&-|qpj--(6B`Z zts&Z(oODnTF2>cz`L1K>az6F;7YrsfRDipoI(?b`-~B~dCa#<(0#=HZDmk?x5Mv;N zXr-Qtzt}NWYppkPVV7myn3WH;ptP6s($>xM-EY(?4_}jz%93XqovVF^M%LM6@#BZ; zILvg-DeQ`NxgJZY*~jbhmf=B~cv0jvxiZn9Vf0t!Fv63Lcpy-e8bO5rqq`%hkgsY? zHZ#K#L~gKV4cj>HX|?s_P&Hmduur4-)y>IIQdqrlRwiaf9GPD=V)KR(Ci1rM5?VY^ z#Wyr2eTzTrJrAe%x0cYk~%kwrfdt9-I=MtIw2dGq0~X(ytP*dCHGcfzK7|N zTSR2-sb6aR>3+7wt&Ej(OVYBibW*5XuQ+vshXswHY&Tzu+}ab32kccwCob3Kl2T*n z&@u(i#*&I!+AzA=hB_#`EY`HpRJ1 zsppwzdo{u!&f2-Ox|zZ*&qGRHiw0}>!EByNeWdT~@q5VlHDDN9EA`Us-c}$-sL0LT zeSpymr~FAL(UB$U)}2eKTqpPq;W*_lID!TrQ(*p00uO^$gd=;l?}fQjk(q_awH=yu zNeD&oXkwB-V6hCam@YN(N{w@z)#3jNOyA>bWtYfZoz`}D(A4J9d|huEngFK5VUpU( zepBcBg`^q`)YYInn2y0D?9OX?Q_~iz8t@|^N^3QP{1Za{ zMh&lpRQHd=bYx#6D>DbYyR{es&i{BYoaUEOd5u?D6>*VvI5_t-Nd_H)Ze?hb;E92y znsWg+gJ7qs_T??&%f(x`^r&SC0WX4JIy;1=3UE()2#KpS5?AU5A#`K5dWZvYE3L(w zaCQ{D`o_&f#3u>uhiojPJ{0eHusf(y;{z{T3$y95(OR(5)I!oDV7FyVbKtgN6kJ$M z&+#4%X)UrNaRqb2cDEL*raDGxwOmJo+X0H-*046cZ0t)Y??bd&azL<87`tWWe&iT& zSkwa7l`yyr!DI`&cBU_J=Q`qOgH}bImV zxuEVzNVGXU4#CTlRxm^zSuIE33jvAu`jFn88 z>>c0$F}+~)sE2q<2@Lxaf_WbJ6;5eP#rZS=IQXi1$$3nMs4rro2XNvZ_;Uw)oRIt3Y-I{fV%-y`nw9jgDk1I!vXkOA&jkw zKW{X{;u{I-C=PeP69e|TvSTU6@Qak`nffIT$%-aqItO>S7jp!??5>^=(a1(Y(A|dk zj*)yg`TSI4*V2Ao9ZYw_HQFSRS_^rc2Xk$^ZO;C5CfMCtNQ5>;7+{;1s5pXO`Op2u zJLWG^1oIk>(z%Je_7L{|pBdRkc%_XR-}%{Ml97{xX&Flg5t{$mIzsb9YZ*E18M^sL z;;N}lvW1D;cUdilm1Y1 zt+#VPjQmbKXMx{N5sL}(Coo{%A5ERtt8~AM^Pr@jV#w7USvsR|;keEe+wwc{gB3X# zTcYF;Y?+ZcF;nbnPnmBXmoTWt29*GQ3w`o0Ah~;oNYAbi(tr>8LU*5lOMu2hqT0WvHp|M5~9E8`V;oWYoB^)M>>P+Erka z#jS`s&T(#0p74CZW~yE48$B-D)beGMNCmC{kt@Nf{rrRIPQ>FzctA|-otTN3VQ?mG zZ~Ua|eNB9Y%gqKv{G{vF5{InpIx7kXtD)J}XSdOVj$TgeZq1_Iffpe7#qP+kTW^n~ z@V9CatG0HBM{vbE7(u(kDaSwuuiknb{0mV5=9#}M(yQg!>B`3S7QZY?9e(hHanM@1 zpDQU%;TPn6WVQR1czo}jU)0A#8N1hRqF!0TX?gcvq873Dehk|NX*nQh9xc14SbNVU zR_x8sG4SgZpgJAE2adP3IBBZtUlH+x?M~*EDnYcor)t0>X~kHC5yE~AqQE2yoQe7V z?21{u&cPkd408Rk8^hw^xd=hkf9PA~Q(2~KRMT3}*SN5G#U#KO=ejxq?gHnBc6m-4 z`N+&j-dXs&#u#N8RHxnHLD+fs>Kyiun6(kFhhui3tY!LB>MP5wGdh4}Z)|mB=+7aIt<<__3So$nVdY_eDtb`m)OakeWQQP5_li$VJg~$DtFe}JpbI;A({%bH z!wo7PIM?bB$y-FKh83pL+PZfsXQp13L&tX;R6zsX-n1UURDYPt4!qe<#rGUkK?9oA zu80lh!Ca<;0 zrK2PcxLyf+NAn#(?M|!J*hjkCy9}60XLBctg}1&x7yXs#=l{0n86oy2AidCT3No+*1hP<2ZGg)t9cOQDb9+nxtGe zNzY1DoJW()6vt(6_l1VR8#h&E;?%=G=+2sTCCxh>*E?KKaI;|kMk;3C&Ni$a^1^%-lrhSJVX5s*HXrgVsD*1 zf;R7W*An-Lfjv4<$ybG`!|}Bizg;xyk!Zj)`s>;YLThnHn}pv<9bnADsaL};l=H`nxl?4y2JhfzmUb`RMWcBJl@xHB4!n<2Zx{t_L|d#odIR| z?8pG>9gTmTkdOSFE}{sgv9mp#Xw8b`YpJ2Ss_|z~@wD6MuiCHNzqA8V#uKRS@qkbC za8@dL*8qMw%{@hyYt|naK^-zHU*q?|{@3o9v5kGDyLZn+1$%7aR@Apt{mAaH73H%X z(o@t!%v=TKa~8WpBxqJvs=Iv`kX9=Cwbib44{OYZBfI*wY9p*5WE>pT5TR}1$YD)* zPtoX0boJCk>#N2NLCz`y*7nQ~q|;@u(WRpKWIKci){jkM@Z+QW;A`@pRH}ti%L~3< z>^%X9vygIM*AJv}e_W&fUyrzdOCuc^z(fDW&NrLr>HRPA)cjS}xrAVC(4CLdP@jRc zc%LG^n{_TBSQ^CJvvjWZy;rsY7Hdr1gKmrP+Qlb(%Rv4m%unjc82(eq4b9p$y!afe z@+c~GPJwv^NO8U#ZsWx{G}4MmX`K~gfy_4sM~YA$TxCUm*w5dXjJ`$3Slfor9jA2a zdBQvzUHD|?=HLHb-|im@tKG=**5K60OjW_!5Ak`zkX2B#%;5NvL0frtse)I)a4IL#LKz9WX zW%jQzk&>4`FFT4y>9HqpsG7~$TkIpj?`h28)K0vK~u>%`S~rpHc=BN(Nb7oV1X=}B9vt7QooN|NStIO(A9|1)V0h1|kG#Hj#} zEm4vjT?N0v+&wnlvA_%|t-U~6InP=!)3<0(553hp7I+a>alVF`Hrs%>j=VSLSX{#P^Y)WqG=>gBiD9rn5NT015#S;VM6XAdnO62}>GZMYQj^W z^~7ZT72P+HcF=)vWPa@h|6opV9Ov|*`JEM}Ew&Emk-ETQ*U)jL{5hAZ`8=cqg)>
    =3yA2wX`cr#S=zu7VNeCK~LOg2zvts5dk;U|uD!UG`#~^Qxr3y|83B%_*MKxy(9h{iRLDtNON` z8*zB9=XSbx?iZocJk9o&5S$JPWfxfQQzNO`X4Prp*X5yRA(k{GI^=D=YM+`l(czbNvKh5QslP5NT(^Ev)W(g={FJoATZo zy|j-ymRi@b@94OaLiiXLhtk7DD@3sV>|$bXx308cHxm0hj%ZJHgHy&TM{(90 z%>A|g^yimVv~d1AD!O){`^}IVXc*JpjHfDnw$ZuuTiw;)4KDnB3!RVlotlgqA=O`; z$qlYvVLa{dSJ{DoI860SZm>3Jq`i{ckd#89x-4gUQvGvLoGBdoT*Uv}WFkI^Gu1#1 zJqNRS9`Cd>jRRdQfV$iPi&!_cJM;h|_5d1s5$i^Sy?72f>anF%7;U`tg~oS(WEIoc z3*ZU-lvsc<$?F)+{S?RPbZ5cc@*x&-h!(OqCJI{DxNB&d6`A``h`J(%m~_xpsLzLU>(A=FB%`6SZjglY)A_ zvs`;?-2AsW_=#FqOeYh~t2+D#AQ_KD3y@&>7%Fe5@W*feNcg8mx}VCLcZJ|`>hG?= zxJ_d|K%Fgoa}*W6Jxx5-Re_VTVeFX54j13^(de-(wO|2?H(-%fMt_YpA)_4JHMr{pO6GTs zT64;MtV6IKdhcC`;tPwmQPC-}oPVFOtwq`$CZSHmWmh!M=J-LMU;8@od+*tF_%Gvx zZ4Bf@WZx(>hLRjqCP~2FCE?!=qhM#C@w@C6dff6Wc~>s%-YnG$Zsx>kdq63=dF>xs zQ6!1)U_G{$z%UXOOyG>~Q%GJNm5zN#0(d!Gu@O$$&9PKys~Wqlc83u_=l$MpcZjr= zlG}X4IEEA&kg_|iKB2Z!!rpSv;yN>J?t(K#JtTk=AWBj)NdD571hcB$TxIUV z=?QY*U$FUyM7g{pd9-Leo>GpPFMh6vHWs2~LHlkz%sqi>y;oq=U7^d*1N+R_ zo!T_PFBIHAgz$0<*^bv#Prhf?ZL> z54PV%GsCXakxRd=&v3rTM{rti=j*4w&gJdOSf-X+E@_1l8*_IJDtl@kE%~v>k}bwA z?0G1$xzcZ>Lbt#DKkWT=loh%2K92W=!QI{U_P|s(u(-P}vbZe{i@U=N?l27Q?(Xg^ z?u+|k%i?YW+;2U}r2Ebt_Qmg<_wSs~Ki%gLdTw=+O4UaXMj>v#biKg4`E{$E!G)V(ybOqGi;_h=M#Eg~yoxE4A1^kFdeo0EUB4po&~G zm|Et2BosR5zXMgc`BXkah_MFG>Ama+G8M~yT0m;6;E}yAIB@U3eeT17-iOA2Hf4oI z&CECT0F)MBK*6E>y8-r+!cqK)Yc?2owr>=jJSHa=zH@Hj&(m51F4Zx4lVgG1cUTCV zFXqIx?pq@o+$1-Z`8G+vqj-CQCSC?w;vXDMgHr9Kl)q&67b7qxXcYmbeE>(Vtyzq9 z-D?_iAHC4os${#bFxe$`TRe2&F~y#q-l$z-v%W#~xcCDNp8W#n^@lE^9xXz}hS!Oe zS40K!n)YZUao;2fE`FPB9(R zA8s!IF5*bBmNaCHoDzwzVu{U=D!jz(y?R9z$NE5ElTpKxksGL2%y+c)luUB^OyU{XTn>oJx33NF|Cy2nbeV~L=64;70u_{4PU1LjfVZdZ_ZW{q1Tr(09= zjkXPiE6#7etRZH=1=j3LYrYJo%|(X^-vS8vT>B0TrpHMnnT<$BBHpi(eG3cTnpX_H zkwX+NSbL6YS0M^EU(kX+yzM3m$C@I0a4)J+?j9@9UR#mM;y|rHJGW`I*pybFWd!QR zIy^1f)|K>VWqc7NEzax0v}5{l8FM?XqE2@t*)snOKmwn8&bz}#T}ku$Nb(n!rLfTM zuuyJ@J;26SwZRHZW1%tLE|AZ>2>YtG@Y{*{US$SP1Z%86m!54poo%^?^7iek!@h+- zPl}BEJ~YrXnwRx8+#&ldVAbb3uyQO#dML?_dC{-%irE;XuJfot)qSbWdi|K{BV)UH zkC5~B{d?(JcKbLR!Td3FQ9tk_t~K3OQ1#ELh18Ho)Xh6zhm8dnCt6Nl!^8riiUp|R z9kkF-+yX0T@)Mam3rkI~=0f4A&^m>+D1(E=)V|P|-MY)G#?!x1W#0kq_OXnVQG)5t z21&MFuG_g4TB2q8%Uxf22mG5RpDCsrTRarZkzlh!({qa{3_u)XzQdMo2+M?L%mAL zA(JqDn}oaF3Fgp00g@b#U5ee?6VG*gKZ!c6IZtCdcC$~5w@a9DC4{a&+)fu$Hqo1_ z;aUP1$S~Hb>n88V6?5)+0S$#??Ip~hA8X8Wc=j-QHBgd^u|kD~76KfYQM*GnC#k0M zw-NIC6RNHa)c>`46ls5zrOT0K=>3&giXn`Q4Q1sX37kmFN?sA$(nVG7oQ6y}Ot)K~aQA z;s>{)zsKjLq_5D7{YfL>HrS)QderT{FO6 zr*?bj!sH}IOg>&TRGGmQ9&>p3gMuK}G1ml|(NW4=sXL56F2eJz$2e;HM7FzstT3de zgYYx5zFiCV?4>U2qZ{izmGsZ58~Zn`FCh!b6YKau`ujH-VkdFb6wV_QZNiIsO|dq3 z;xPR)vnFCm6vQ#!!jiv@XYVjI?0jGg$Y`8a9C~TO3+qc%mtYDn(EVt4xoyF8udO6w z>lA_W1i*P2`1~J+*q6ZhF8F*=O;|Eo#j&>Nh?axvQJx9Q)2%orKIhqi#;fJ&ILmUK z8tg5H^@$=2HJVPRGW=^Tl3ML) z=o2}}?Z{*SrtE$U2Y%%UhKSjC9ksCClw4q=IRYyxg&w za^8O=_S(l`@;>f9dx_qZuINe8u&W%$MBUX`SsEYu!JiEj-AvCSUlQBlM^gG;kACVt zNbSndW)u`pq9v-N&m-Tq^abbbz26sL+DFMBb@h4;I1WWY!>SFOk~* z-Sy?lpYMXhUcv2jMeY$ocV^xd4O0q}b+HY5v{|7;DAILF#!RBkd3+43ygC0#yBjN+ z{{er#5;9ku7f89*Eyh`>cp98>ZOGhpr75kdor|(JAEJjd8OdKJ&tSTsZ=+}a+4S}* zSV$7m9a(>&<)=c#wsdV&yD?j>8K`?q(IHg1x^z7U6>GCa#~yX7Xs~U=LpP5+Vu^mmMY_Ti*;Utel9ZKPFWk>w zYmo(YcYz63cr%Ks#hNI<1SAT_SqjlIDVYD>hK~?R#;@-9!h~r9+{{D|8}F&{N4QJm z{*KPzIwal~;AS*u;2iwX}sqV zFUs&bxB=U6iC}RS)$-Tl;V))fj)_ijF)m2fnm}6}TtfF_)@mbj4KZ|hh!N@#i0-LhD;gHBo?s0#gHkNqCf5LPu5!aGa^2D^@<$SZQd^HIw4<`; z2a4RxjP!~*5XTOBs|4@;(QaV|?O{z^1VO4+7ej9phCk(d6sv;yZ1n4 zV_m^jCfJyI_x@|o{rU_g7hYAtCq<)Sx0dduy!`GRhgISP5+T%$@GbwKh}C-rQPoH? zmSB;$kJOa;W2b^)s_+q*B+3UHQ@aIx%Fs$Ls?fn-<#;^3fR<>9yHc#9TPSCq&i2Q~ z<5;>{4KVPzT6g;E!WOag25;AdO1`1oJMb-(uP40=+o@oU8m{ruH9k8K?N)W;tQ^dr zi(Q8Qf@fL;tyXW|i~5ZHQ>X-M=fp0um)XJc%TV_$dfOSu^3C;^}-S0ConZNGho#TK!6{!5L?056tVe(3g z{|a&8u6;TFpb;_h)8rW+b)Z1d3!_c>5upF>{e_A(&2Hp)T3Hv%2xNYhZco>h&Yhb_ zMN1{{{{~*eUr`RmG2V8{Z{!`O>DIPY;*sSnR9E`(l_+=mWrFi@+^{%k*cwpkG6NoO zGT`tQ4f_ogQN$00DkviH7V0i#1~%DSs8-!SCTCQ23vyCuRn^_=^G^3*rO>0mFq?|U zlXqoXS{hP7DAbCA)tP{E-}sZCKYpQdgM1YF7&vbZ!7rPiFCbU^LP9M3re7*_D4fzT z=EMr`iHELrM^nC~Ke~ziV5WWjThc#9UaC3#m>#6s{RkM|1pY=;KShsTMmMy?WUs7E ziE69B#>)KmJKf(bryWf`Y+8d#2q5MCKG{8ue;W{zW4vI^iYo*A~6=MQsZS0InuH@8(cZd~2rTfB4o;dxxvbK%GgMSf?^SE^2wE=1y`0iQ2CUaGIp@MTyNih1KWY=Es_e1hSyg6gk|3_}# zfJxKJ{AX@acL4mkci~%=)LMb_3y`_O+NY{}bna2eYa~zdN!6w)y`Cn_d_f6Ygy{Tf zo4j^dUU7Wm5ULXI3I#uUqVv!}<|&76!#zlL+RWD!-ArQ~c~?v4r3UiiZyoqeF4T5o zPB+Tbb{qBoP+5D^J0})Zn}fl1Ui&qI1AIECp)AP=jJ^{O0|7c*nI4 zj&(_lvwT=&EW;fzME|75V}gU~Fw^~aL#R2)bv??&w9_!oqUcY?VXR^?}@(XoRp6N7n znGUg}Ptlo_aKSlR+@h1dO0_#T%uBTH-)`6j~OR{~dL!+~0YpA-B?)U3cuE;z7lcEXv8Scz?PUfWqNDE6IdiC5 zQ8|$WqzbVl;X93&gnG1Ae~hK&ZzQ=K&yb0_kD~6_fQ+gehwx&Xa3L0+e++VUhLM+# z(Tf(xmYiG5Krm6`X@YuGw`O0iS?=*lABj<}f#hYf>J+Jd09}l^!)L2c(Ym$9TH5;R zxmYBYh|wawOLx3M&Hj) z3vV_OHfE2B#4{b9_oce;WiJ;Zhqu*kpxUoUa#rb2X{$)2Vu`qrS{796=UX?Qh2?c! z;fGzSZmfPwtr{jCaM^igO*c;t00n^NT3n`!XGQTE=gHotxurqi_C5{lRm*LIpQV5W-m8N+jmp`z`P zKf+AQRcJ{&cXk(?bD5HJUIfb9l)=7LZk(OMZcq*t#fRfjq1)i{9chFiNAE|K%s+Fv zS}wHihce&8#b~^MILK}S-yyejTDLa7USk%J($Y2EshYo!Eoc4k zg9m`jdj7b)_+vR1+{1+n&(wwGqpbz|s&GuGs?5hctXWasItZBEemf$?r zm(dh5>zw$C8sBKz4HJvwQg#|lmBV)O#%}Av#zYab;ailI;6o{P7xG#Fd9DL%>O-l` zS&r&cRNcQrp4GwH%i8-XRoUpqjOE>Qmuh!)=1Qvq=TWcH1gg65sfHo5ylPIJNbfUVpvm_>=uuVoT@iV8 z(bSJLe1^X?IUZAN33YVaHF|d!I^o`}8a5vmT8;7O zIXt{0MVZi(dT&0WA5&s!Vp-^@iSy{|y7!cMZ9V(&VY}UjwJx-{;dX&@U`==?e-xe< zoIlyxg(B6FU5lCggTVPE6keV=ajCi!UVUsIPAUo?%tG>Xn)`wB&rL19qrw*DqVOv) zuX2Fmt}e~#>hWX3LhEt6Md5BJbO)fQJX7>TUR%rXCV}i7kc_FyJN4I;Tg#x?LNc|` z>v@f&KA%i4r%D!(wSt+KQ#h!NaxoSsVg^FA=a7hvr{`}wr~FS(OgcoQ6rvOcGrPj6RBGR~tC z-eEX{NgIhod8Q}nm%4#KaqWdlVu8Pu{p->b!=UmlKIj38wUST?FU#cf7bbqCfl=K? z)=U-jD2x`{Gl_EU-)c{}a>-FF|L|Wj$K1UYY9t3p&oAvjwHnBt2ewWToHqebW~1HS zeFy8+-FyJ0h3~jzoN70(7RCV}oG7n|z5W&|`!34zb7_!*+Kz8zyw7?*)$T7`xMwDm zKH$t7dRaZCai?`&y-CD&&sx`tQv9)ApkdBba{)Sh zn_nw%e+^w9@7xwz>~Chg$rf6!vBo?zp)qgrKP*(ClDtrLD zGPrSf$}>TdQ!AB3vT~1l;3B=l5@97)!)%rOkStIr`1>Jw8B`JsmHd$0nFZkC&*Zcp zlVh+@wbK7dPR_YquOU?L!Yz%>wCvlPoLX5)Y|HhiAc@Z~xCa)t7!n2|8kTlwAk7Lp zD6=dpRE(&&PvY_L!CAJ;9eHVu`yZvyEpU6c5KykY<*%q;{XzwrZPTMGg+648bX*g@ z?4oV`KZ;j(i&&vzGRL&){`c7cN^yCuP>HTy-E~LZ84)p5;Zu({5GyT3plSe#+=lr- zecpq1_LpAqE;r2XLW_o}cB|t>MdyHb>f)0b*vMVmc?oH@KpCj`dD(B-C(4BfQMut; zIKX%X$$Vh{LX__G_L6+@mpYtpIqvOSzI=FPFOEBbYC~Z}?=y^|bsI}l`9dlErz@%D zMYU1Tx^X#^7&%;p#J*H<7~X>OFW`Itidfe@n9lw3NJxzrAxO@7zZ1>%CpRSA8WX%z z7gE~{mF$Jaysx|AI2ROUz?ky16la zdW&2gx@?4`?%sR>`LCA{XerCJiMPlhh`?7M7A5m#k^9<5HqS!2yeX|m3-K>c9n)r` zlWn)#J3Ie{avMVFQEqObDb;UK+pXv9POxBbA&h9vyi0U+PizC67gn4LGVgK}=($oT z53MdF35y{2ZkDvuzx6|I| ztL=|C?A%w=Y^L3XKMI)_RGiO&)G9D1uJcDqQR`)L&|`7l9AeqVZp>3JIA6e`jyVPA z*c?X4ydT7!l3j@<^Q^O?>T4Biar_uek1(p~{AC=y<5|h&TaW2g6uGw*feU5WLiN6W zpuy+Y>sb`p=KTKTt<-wJSHbxP#rZC1Lp;-VWOka@#)orWZV)Ij8+~lPfYe!e4H;kW z6z6u~O6F~={^Pbd7v!<%0*qeH1-CCrLtkywZ>zfbEgXMQhj??b`bMhs{2gsPy3;S z`rn){kGjUaK^ZU)Z+Nv4J0k5|-IjE}*e_J$;Zr>mfRBq0C<)Z~wFeLB_TQx}3sM<7 z3g6ZFur_%Wg8>f&YiW<3qg6>_8SkHca9cax9)NG*4F`B_Y!ZJe)^)H@$y${=tPuY1 zww8nP*7H$`O&+l?U%;l!`9-^V8#@Um{uq%zmLMzQx&qAIbbOL zyZLuIl`N9~%!p{|lldF%d7=xMr;AAL(5NW&JMy0vy7XYifJxb;%LESwwe)W-1N%L~>fE3#eq#eVtd!FRcHy9I|8 zP-q&cq~YR>0oSe<6jD2^SgQgXIOfNR>Uq52j~V#SAhBR6CIprWel08ShkfQ3`*^Y! z0K{Vig7{GS!P2AX6>rGHKWcj!KB7Ap3r_PfytYzF>!V}bRmDJypNoUSqa#W1xe~RH znqB0s#$9N!><2|@7htB5j%1~4y#vI1b$mW5oJTV>tRHMa6^@gY_|3h%<^rjkP`El- z>CNeSdigM_HV~n^FpAjyM`Nl!FN9K_N~(`mP<+ZgR!?L6mH1Xxntyu~#m@UuxB3-Z zU=Ni<>uP?c?V+iJN*+mnBD){17a+gtQJtRiv974r3b98Rlb&AOqYg*Lj*24062j*? z;I?PJ#!8gQ(U@iBzj@ zn2RSn#Ntiv%HO7ny2A?L|Gddo?pnanQP@~GGEZ8H++x^r77dFzC$=$oZC=syc%cgI z$8B=8Wd^jsL5*l?tfk?_g4~`PDBPReU9t9rn}qLoJ>kd}YlV>;^8?|?R_+(*gT6!J zUAUF|8mhhZpLGKbS~fK7hq-^@TKQ`zpTavS&cC1&49TTtF`-7fFKv5}yylDMof zYvw>4e5~F&6o&PEU^H826IYzpQy;6=D-LDWwV~jAaF(HTr?Xrl4l#-$XmNmPI6~~& z*F)&HMv{Dip(qw43f4rT4nF?lej0nHK%1Q4dkxB5qoeTWxs?&w)7WW4guX4$ zWRok*%@@$5wd@?U<#r4E7GxK5VojK0PfMPN@VY#ZtlHCZcd^9!N#*YChpMJ6O1D3a z5ew7`D=YZ}K#qmNcUQ?v&wiDYSQcvt&Dups>GQmN0kf+~e?CV!%~?=&1{jfYnt1WY z&6W#q?YaYuf%HB^njpIj54NlIx;kYZK(FdZ@^)^wkIa={>wBcRyPHCY`XAHvp4I~(-HhldhE|1D@mvMn-c06WQ@V>wt8F`aP3lH+Q}m?*GE{18gOBc z;?WEy5e;@(k!Zl{v2;J>5m7fcNOE2i?lcaBs>HJDR2{L#M2x?u0T%`*p0?$OQnj~o z6nltSQwx?nE`GCT2MeR{O?R-RP-J`sy`0s%QCY1Ip=)6c9g_1{OAAE1msie4=`-+$ zTHM3luk>vT{mW)IO&k87U5mt<@&)|!HJ@l$YW8aaiaa3YEy!SKs&0JAY>6cq>h6NR zjsKDk^mLSbvuBCLu3L!(dj*9uuVO6N4V}TbP)Y0P&8X3Hxdq~%EEX{q90%ik1C=N^ zc_KT0Nhk2K`e!2X#%8UazO5b`k>PnS5T#eXBUV{yvnVeyykvQVM+y zrh`$#gO+V+$VmCwP13j#pr{H*Tfzru(Ykmq3~F245CNJeh-8QCIozoSiE20Cz`X%E z9V24c@4&>;3%zOf82Peww}L}ta4yc8SM6@zV46EyOi>?i5d!N&yIiMNRi~06yhjo* zyyY)xPt|>lk0Zm3s_~yp2sxBxu7KS26E@JZR}1_S(dr$ zIf}@@_FbdEIDwXZ%(*b&otBj-&eyhG>dvY-595&k>pH3~#jj-u&Up&V_lgs@0#)hi z!@2#?AIy3BbJ;}QGs1B$o>4Ln$GM%m#)APAzp~_fl;YebtA1+SgvH#Qp(9-9ArW!+ zN6CV;EJZ5X-D;E0$C=5CETJYbwovF>u zMy%mMZr_tK8nou;g$Y(gpyGo>Y%{>?@d66xVJz@}>h<`!a;NQ?!8EXv27qGL)CcMB z*|Cg8X&UKkcp^#6aq*6_9#;o;`dYgNBS}yCNU+BI$nR?MasStoA6BA-Ixu@3`zsB* z?vwd?)22xh$i)?q6Jf?AGs3%q!{pl=33B{BAqn}Z@BQ;teE<{$#Brd z0TZpe^rn+>mJ80aGv{K$bRG&%$%R{fE!WY8?qF5;41kU|BI0)oBa6_iNNL44x!t&M z5ldchGomV!@_uB9>go%p2GX_u`Lts*$Ym1bG;c#WP_^Q^i^^hKk}x zCDiWC*1LHTl)SRR{MUf}oWU)re$;$aa&9#L5r7Y_YcT)cppuhCq8XP;Wj9(y4$&Jb zr1k|Inn9~$`6!*^$@fr=7r*Iyls_L1IDFxH53U3ZIpOoh!}f39WUfrI2C`=m;NVR@ zfeGNuOlr>mT>QB(Z~h)8^WDn_={z?rFBeA@PbW@X)xHd(7N6JB$c#DlB8u~R7z@6K zRy(h&Oyft$HUD1}=cO?g6a|&p_coyOV(Ar8r1){S1txDYHpCUIg|Wc@dB0?~u+|Sk z&4Qkh_w^?uRhWU8k4hzQ?)7P#mHQ&(xgUx5b%{Nb$Zh|qJkz{p@}xXrg5$ws0SNWW z_wKZ5uIzC!5@p?D!@)%u8>rktcdFSPlgX$yGhD9!U)|}q$TBw@o?J^c6t2813Rk&N zH^`#y{>Zo!MU>}lC;yElz2XVgZozqHK+#)jrn1nd;QRz@zzevV#_R4S=Q{yXytn*u z?gpqEpZtWoczb`DTm0G&W~%HP%bh+cFa=t0P|KYnO**0HQ5HJLg2UT67pUCJ#A1bt zb^aJ5i8pWL#HCN}OF8061CGjd3x_QZ8^ENCHEXf5+g3L_E_rQ)z#s+fj;9%A8=05# zq}ZX?R(0F$2`I9fPF;9Ilke}a*BroeYt^8VF@3jCp1;0QtWgd13*6fiD#;G9tVa!h zx`$JZMGuAZU{U@Tn7YO&qx*50!sXg^*Bl;5S-Q(tSm~K8T&_)4aJXBdxX3N)w#lI} z`;%W9xn2>gV+4>VgYyt5U4@#SpUb)DDyPXO@@U#gwn%M!hWwPRdP>@t;DjDSiNznC z1Acp=xmv%tLN6;uH(FF3uOH`ji}2nJ<=)^DJTVH-pca?p`WE(P5?p*5T%fiD$+D&^ z>0@{Ky#cq|_bR<>I<;9P$$vA$LZS9UigO&veonE5c&B~E_(2`Xe)YmC{j$Oue_+Xr zof%Qu&Z~2&>fHzQKJHMxpbB$#;pwu^qq8HP3yoFaafy%22iMp_#WVdyN8-)VvD>bX z#;&f~Kz}rrC!Z?Lv@fteQA6?`8>nGLNk%tJ{1}yiBJVc0T~8k#OR4cE$p5ur=B@FK z?7?0(%ZOST!zj-yIZ18#)N7Uz4ed0Ho@bGr!QHCxK#Mg6ro+Q0i_e7xmmpmmA?C04V&5eo(c3cvl z{MjMz=Ye)7t~!NWH)Za4it~r)Qtg6`DKJ$$u*yCK#4h~Cf)!*QJYa#z{u)UvBA{CLQDTgO=)bTTy%TDlppo(hgVogMukY?Gb^iZ zyWJ1cE+jp#BwJ{)$uBoAq~^UP*+Pp=u6%zXy&5aYw^`<5=wOo-S{m$||0A@NY21YT zdYM#a!%7=16CjZ%@Y>hXuA@HZUJ~Y8aCo6W%MC>T<_s;@w{M*&Ww7kd-Q;ep@W@l4 z?@f@6$~~!2H<8;X63whI?0w^NZ%^>%H_1(MW81cE+qP}nwr$(CZQHrAoqWPg{dQmM zzpz#N;#5u5nKM_tln;sI5z;k%%njJUj7OIRieB34tK^1Tr%_^$S} z>KPjC+S%bzw=SH3N*9}BmTd-q{-@O2dLQGs(0AeOSW0{=(2)a=$Xfj=Zd%$rT3=%J ztX6HB;Dv&(1Htvt`m`lMq3cU>twXY-ITt(>r)>gsRJnq=aL>Cm^551I6jG}VEeJ1x4_sqk6jF%q<&t(WVmT|wnjG}9e-HoyA(jD1x<^4Ak( zGNi@Jw`(EDl_puI66~{z9)$Np+9@RrsA{kaF1#j+h+4r2)xDblUDnl8HPrPuPjnCP zkJ-wE#;+Ek7)9U!j;#8Ze1R9zuqSb$9(+<&mynco5tqw1vxbCtY+3-jC?L;lPucB-Kjw<`rPJ z&?f%SrH_&H&ny1GsU3JCGKfhn@}}$HDLAk zwy76VG=yKNEVz$sZq_zDpJ2oOG5N3Q1X2LK_JhaYZ7V}MW{!1{%F)WCC*E94eQ|cv z#bUAyMtRV$Xjkf<^^dF)H~PFMgbl8HNUn@$MIY+D$_boQ7n-M_T4XUwlG{ed&S6RL z*KnSOn9_@@qvoNTZDe|kPBg2{@i3z0&*z@=)iHH#i+&9uHFN)C=BM68sK;#)ZZT%- zsv(V94!AeDBWKZTd%`;s=t#ZbTVI0A*nHVj*D!b=MmkHLJW{hMdr2jo2G>x)jM-D} zrxf|ZMYHGtmDSS)0@Uq~D{Bmi|ft!Nbhf>UeQ82<+sdnvGG8;$3S5xOB zsoP-=1vff{ifCLZe{)n$j9 zcJK%t3aRYG3+|n-spt#*rE!LmzEe4$bGEV3EV|uJ@+2vl|4QW%zHQGT6az>`-J;Ix zIqev>pMW#^WndSRX#L8D<^J*+g_aNK(yH&F{QbV+)1t6Ql}CWlty1HlPOh(#?;~I) z2)SxwHca`;L_W#Gbj|)$7#vylrJNg-jyVp_bVvGFsq4|hKN?2wX!jsdG9Nsi9%*9h z5*aU(;imq3jl;#ZeCFhlw5K)Li2Fb)<_voPc{*)Sdm7fUFxU9^VYJJ>0ZP@qlMO%y z^N$4er^>$SM)U6gFF%kvtWS`0lppnu%<@s-qv1t{*#> zpFgMFUpGIAn?E%-KWQB_A+$sKmh7I|yYH>LrK>`6wRFE@hJhm&cj*)M?@eQnp>1!%wDWf|1Sn??? zqtv0;umOcX4-O@X3iG29dMU(Tdz63(gs))hh8Lp-btZ5=(w{%Dd=Vsk_mQZl90nxn zuD_km)G=S6R0k`X2Q`1lrZ=Rcxa?BYJFd;TC-}~fzlAMRA;3V$N4ysjgAaY z3rcHc3k~rfhISF%mdIO>L@dk#OtG)|%2RO>6#=H7Rp=wL!{RdW|K^T)#+&%^I}^S> zr<&$R;s_^V?%n2<;dZ1du?o6gE_nu5d@vsg|3$d5m)<@VPuk;{QH3j5OSCWX(N}uB zoATCdP?Z(X7o#2PHcHbo?*LamDBOIp3b%adL3@@O&KF(4+V-jaK#CwWjCrPRXGnbEfS8DZJV*Y^x%Cg|}*aKOhcfqTL$0hTvZrr(DlH ztIBhgn5n=I-4X6coh4K4@-Rvz=9*>KL>Bd8vs_2|x;C>TOiQOD%#t%R z2G(^f0&x1eBh5uQA5#IK&19L`5MZ-Dx_LUC4gRnt$;R2+1dw%*Iya!k%3V?4iKBR0 zI}wg-{Ap$wleHGTrRqtCoV1^ng7^$`9VwF|0XS`XTN&<>=aCMWAf~W z&!DTWcegD&!V5Ier82pF@=i4E(k63vZ!&*{VsgXC7oJ3jG>l|$8o~8$`8?k*G~4GAykMP!55!`kB~(S zj3(*@5Te;~>uaVoOuRm+JWR1xK9!rl;bWqTmjBE+0n;{rtYn^_A;$^)-?)ggF5-#| zh)Yz73FEtZzoapAOIbcM<5riLhJytUa9a|@X-hLj@_0YGgJ!`>>l*Z1nymRpj3!qQ zQ+wh34@a3S@TQAf;f!s&qY>U|!6ET54jTkzh9JUrWbPGV)X2(>DH1ul7w`AE;by4m zwdE*XruB9VEb|GZj~Aa4l3S*MG&d}YcomJ0j|%ay#tqXa*Pk!VZ`ZP%Ka&d{!+;++ zhmbX70-#08rCuo5Mbw~}VdRCq};KGhsys`|>G zQDbf?CoVPg+Ay_4?-f!b)9rauLZN85oNRjnUMh`9f6yndH?qynGiKL^ZEq#+Fn8WX zACZX_QPR;94Jj0c#F+0N4#}yn8-(i)47f+%3@Pvy2DOz{5iaggk9|AX!JgrMUOrnkK=vvGYm*DPwgW$ zj-WdEsMaFq-6`3@1CGg_VRHu>cGhgkPmf&M(C+0eiU?A{Q4sR;hmWRZdlAKdf@|j8 z1f{PlsESY$$Sde7TzL*hs{}mC$Fi}$7pTke(XZ72UC!EtR~fUfUSK6USNmGY@`F5$ ze`nJy=k;Ih)(Qe>$yAh<3O3v{a7t(3B&b=SB&h>bsU2?Q4eLkxZiJPa2Qb&@eL{HE z>P-_1W7heCTzLwDwy$B~M_x(0O95pTBfcGJ=}viT;#MEhCE8>qqxyZk;xbRS$dx}? z#C-;{K7uia*pSC|s>W7+2G|{{0+x~m3#ZbH9%a-XIl&=^H3?KNGZ0UJPZQ32K=3X_ z_9HpaLJoy{fF^S|(Ti`_WHuDmR{wElpu*cR0@C8d_0g+L0hhTIHXm8K8%p zBkxu_1L2(Ca{qrcm3NKTR%hL#!n)nBp<^rlVOF`8W?b@J|ClHg?)7~tBaI^Tt5Ou& zyj}?{*-x=(NQOP5R#nTRE0q=}S{ zP*pRdtHavx#-x@cnCfTvd59MiBCgo>X@u=CD0hLX5k|05!^)#a5Ox)@@*kOrT%B}>8zZiB}|chN_2b|+Q`s5qd2s*S|A zug;bZB$1?7SM*Vy<>iUq^n#cwye2;M{BV`b%bexi9tTp#WnT<)u=xq%j}Tg(1&DVT zONvhR$T9V*46$-1-y=3%`SQsW zu5+OeUd0xP?p-;PSXv#}MUi@uuH!5!B&C$uDM!X>AOsB6X7@r@}*oDM91c}pvZ36O5y}v7Jyu5TiXAr9f1j^%YT$KmyAa0v2Il*Z@ zxP96~N&f?Y?SESS3QztYj9Xr!pU zvIBGckY@M7Sl8G>2+(rj^Ou|*I_AZG&7h1bH;kM6%XX7*7%X#n(^yj$UXz3ZH4OQC`4P8GK&-%1E7azb=C>XP~z zS(t;%GHK3h&~wUWUCQa=%*AW@OKPDf7FSxQl}91!bregMq$po$nu=+Dc&6&bJgcWO zZD_y%?h6H?l~pZaG`HB|C4ioiUWbwy5=4WV@(t@@JJ`P}_~KOaB)2Y5ghSmINxT)% z6}()sjm3%KQ*{%0_M0~B$}(*7mT}KWUaGI1JK1P$1k(Ct$u>nkt$3sky;|~`ptrEw z%VOViBYn`aY9OEG?kVsI9 zGQF2l=@WZ7skgmcv^d4tHO9CD8s3WQSc^u?1{C%BzMaoQgem>-C_^kyaeZB}>JV0j z9m`@6oYbyZf-}z)Nvb-!2$c;_RkO=n$DU8W=8j!pRTY79mFRHB?zmL@+c_GOU_nr; zG2~ZSS9@PtUy&od1ZmYH=Mq+EyW!_Qf6KQ<{Dpy|b#-HxYASJ;IHlOOO!Pcjj3M(e zRBIuU^(X=I64ufjpFx9Wt+>LcP*biSV%dlqpX{mkS9^`45#}h*FO#F1M6$|9n9Zy) zV~cC+jXQ41F)*NouZ0=AkUC{oU6h%0@BEU~V|G0YfNcVZK%IJ0-GiHj+T<_6Jzps+ zY^*lv(=YrZ6daJI`|^Vfh9Jo5E&5ykk&%43=%YJT(qu)1nNZoavU~R3gRFVws#cA- z^+seMP;{fXyF*c^Zu!#`^rq}#x!2Nb>p{xp*0eiB@h)g##6p-k(A%L9b1nmk&c2sl zdAL2B6D@Zro+V5xkCp$lg9!$F)bVLM!sz)!agD`w0`ZXxRIDrd>HEun7`c)HLW{oe zBQHT>B3yXW8DEVEb%Fvgx2h9w#!ggujBGl*U9b~zUY`NGExk?XyXOGeads1ah@VQL zu$$Hy^oUlT9kOlf^@XqbrgX>+(Yjz7SE&|}!`t#x6>iS%L6}Jg)cP;j+=%i7EUL@e zCZR$}go$ENC~SxU)4XwOi(k{dRlkbcn&F8q1Qn0QQf6#bR}3Ds-zg4`?ZEA>q$uX5 zs9T{ixHVcd#8@UkM$SQii%j$6UDA3>a>_^IPIJX>gdwd)nhd(xfn_p9uwFsK9VTkosX6B>}fWk@;&Be z`6E$I)+ig7hwr#4x@`pW0sel>M#{wJYxR7ManotW=u%=u;(e(a6WMdA5Q~vQmXxmv zr^h1zVL~@=;eoICnbmk%XSTlPhPEFYl6Vgd5+^L!$sMtZ55d0DVZ$W7R4)OS(=rAy zzP&QMCO=iTfJZjP{gJrlA4|j?6k7FgvexQnGM;PV$-aX^+CV&CpICeh6qpZWKdxuZ z@6bC=oe1kSixWW`0lJr{g24enE*La!KMyztVMXtgG8dAVa%Z>!BwHoS?m%#%J~mBeuz3K?n*w{T!3%H=OG7pe*qPOO=}y2MSPWgIjY zv>Ajgb@VoW2OE8wuWCkpHpkcf*}f{vSyAPyRqjF8ETxpk_P}i;_p3t-t`?%0O{yF* zDAn?~M|sg;@nx<;!4$g=EvA@nWtWO8S2;}W=uNdOlI$^55~r-}9znIv(h1)wy2>3^ z4U}CzO~S2k>xUI+ikNv{k(m9ON1yq{Jgi$xEEC!iJ+GKt@7aRKlLOr~dE0KY`9M93 zjE%4tA3OY)&0Q$r%Id5O;!W=iWFgw5REGMaS z=R?`K;GyLE4_#)t;XJT?Q6RS^p?HQbs8zR*V%M+jC^RDW% zn6*lneda^|okII2T7w~a*W*iMt<$@rpG{e_!e(Cc``Yo9NSCs!JSOo9e45XGd*geD@(m#NdujHdNSri^NJ$a2)h9tEM5g48f`E6$X`Rjjw<=|6FwI=G*k z{LL;NW_lemFVs!nGnuLdXZosa+YvWq-lqA;mYJ@)t~CSbkV^<%xdVRSYd{_6&JNZX zKQ>kEp}JyzJ*28s@r9;8tVpJy1`^)<4uS^N^A>66p@-bT%VDdA*DYK2Vz5t9hCoAT ze&PM7fooYgPafW+*P!?+XW{KUhU#Y-83c{`TPkd=Ue#m9VWKtKH>)v9ijIBGq<2>F zo-(O-`)zqg%?NXj?1cGAr^>nEBTa|yMs)PJZfh6^mW2b1|*H^4&W4^5Tu)SHhvY&S%-hGGBKONsgl8!Asc49+$5qb zF)~4Q-hZTZ2>N;+e)R4|gn^~?0hMB`lD*~9XR#a;_&Bw|#ruXri2>{IDpY8{OW27l`P;e>pOR1m`}?#itv?t!?ApN%lQ7xkgbRQpt-JJA+N z7Z{$0_Py;(u8=E=+!FD0+Q(oun73s-qSO3Z8o(0WJo);PU(^9kuB z+hpC`CGKQ!oN(nyT5QYdlE^e!ETr%a-v#&zt%m;MN4Q= z2Srq1@zv4%x%beCVrODsYYFtMjbMyu^8;8`_2VDHU0OIv1(7FK}?CxqKUfh|7Q*oaSr~u z2`DT!Haok$y=daB_~I5McHNn~h#fb(5V+adeh4@??EkzLScFK_RsElpLBP$3&!dU| zuSK2hLt+2_qW|0O|Igd>?FX$cojhB*#ind2i=v5`?eSqwk0(2Mr5XPkjI1`Y#}oZH z%9XXS0y~TwH;y$ANv<`jX8LJGD^u?t+|lRcM|+LvKIe1=p2#!7ca$Q7<1OZ# z0uGL))elD>`6R55XibYd3GYdkDhl0VT5uGJbfmv7sNLL#6!LbjDjr~U&s_?|_s=ub zvN!06ttK7Alcz6Y6O@8Utq5>mQ#D-?CK}Lz2E$ENyXH;`bQU?xH?9qLKkrjtAg)U5 zK$Q4{C$1VWp%5dkT>Bx7Btwgpk>PcD|HpCwhG%qUZ7%vkyCeRSNSq0r*st<|?Vom$ z+bBP&4@g`LAP+U=$0PS=VXeHmw=N+n4<&K~^al4%Z!?NLWZIzxT1)=2*O7&KfxpJL z4cWBzz`+Kw2wz+(aJbHr*-0p`E|g^Vbo>r^A~5cLx|WD=s{@fp$dOo~ON?R9_Fezf zGZZ>5;fY*f_34Pt`cyI$nj(IBD`BmBH^X|dZ~P7}G0rS8eW0wHT{;{ZgTtYxGI_n4 zl|DA-5|nZKWY`Jc%aG|w);cR0vSShdJfkT+qf5v(>j}O?9WFpK?1g69)9iAyczHY? zH=;@n(dldnoi&04t9(af@%#e6$t+Hnl>&#+n4j?)D?_T)v_-;?Xb2~vD#1e5I%S5m zL?pC2yWO;}&Nz6w+G^?!mr?t4xXJz5jc9bn&svf6Xfm*P;mP=9XI)pO_ZQa@|LIX$ z$x&$Q&{aDURpwa<_KxP&3Uy zlbKcGucqA=Pk{7Y`PGoZVeaPCl=+sEWcWGfl8PjkR+^;rI^=VBN04r@VFlHeAX5Z#>c5G;Sw&?ox#KQgU$ zmYBZK&bOzi0gQrTc&4648^TtfGuAx}=}TcnYPZ;@^|}HzsYQO8QgM_03Hg~Mpm6H- z->A3deT4lX5VsoGmLhiA28kx5^1>MW$xk=hujeI_{J6a0Cz6=v9p#!OGEUE9a!+L} zY-Z-r%p!_vVJ>n48z69;w32S*Jb4>znNDdWmH7w2F%0j9v#gHfVFNwI_- znB)YR8Y?A^R+=9MBh%(xQRz$oG6I;aBU$zB?JqL}Cdwv)%@(xEchK%?9_%q}6@u6f zMIIf*-dEy_z>S(`C5rO zlUgh7eE8(?F7zjw*Zvw+bZ@-iH>DLWTWufr zZFp1AMO$K!e!FILvgjct+3$7t==YNxR(qsC(SL{XiBAuKvT07(axKbPwe#aQPUJ5fEPCGNW4tVY_a^MP+|mIPuZDB@qbk;*R%uEwke&+#D%;}ToA zQRpGZXD7-Al4HOiWz$u-U7_p1fgZlk!LSpV4Ku~PAp0mei@fJqgttOlK$Y3|B?ZSQ}Y5JZ)(M{-5>b3~$~ z-y+&h99?F>n6Fb?Ltx`qDcpmKHwOXu-%^)KWC7t**yEb^h&yJEH)N1#LE|jh z@_*|tX=SH7+NOErr8t|0^>5AO(ylFYd0X5)n+Y?)@Wv`Iaa z1BZC&*Wcnlhb}yX_uq;yC};aRgRSV^DC0CdJ#imHDd~x%R*4Mtb4vg-1#!L%3#J4B z>Ue80W%pVIw<6hd+fdM~Tx~SvLJPM`5;n4J8N)&lGFtJWCA`+&OIOCF^o(Kb!9J*o z*gy~&{0Ed6x=x-T6&*q=wil{gSEg9>7*ZTY+VR8grHn2T32_+3ZE#R5f0>lbuINlP z*RB7^CrP?63EDW!%YYowzD36EnfKgl?`x<$Cn`_k9Z`ar&`@%~o#Io?9t-tminykr z+NhaZv8UIvTA7Yh)XAs2ec(&+d*Z~&!G1ZREdU4fqzW@;)u z`wZODUANeA<2VXG4qDR}0*FGRL-)(&u(~?b6H>s} zJc8iYDRIg{O$hgkPsADzw14J@LXPlw=@zUdmscUWQ*7M1_Ro zab$SKSf!VbcQK|>Kk{F=TIB^P1&3`uy(_$W4r+Fh!piz>;$|$f*U@e%O#ud%c^gHk za1eN0N)05QL4zNh@ptU_ff6<$z0S>c=9ZW7PTBb^o zZaPL?!XAf%GN2KB(aX9~(*v~M17FhdnGG9Ml0-WV$Gug{X~SuAA0G`bfu zjTJd;q#PGTFntj2rQ3vg#H!?|QK(~quc5#!9_Mv}*aR+!xk0d@DNc$aV_?dgBRx!) zKm~$p4wLp^C2sh*UP)|f{Vm|0Wm8_t%t;?-S4!{ICPfQeIKBcOj`3f~4z!Fl?_B1x za6F=mO=!rizk41}oZSsC!rsw+MfU|i{B&q3%>6K%)o!%igTHEHH%edam|moDLjq8r zqX%xy{rsEh2sgy^(r4ITZ18~t1!9FQR>Yz#0_|vdlF6jC>`8%>6{4VNgKVkgRkZ1k zp*gh9j?vF$)0%6b(4l79f{7^EYK8@o`ga8$f?n2n$EvoX87#n9CWZBFT78f3~83V|9CeXu|Q8L$Ne zyK_*jxJ(E30o(gy%%A?m+nAX>EfyBM0!Zzsg#4s4Ozo~dgY65W>{GnpR1p36Dg(b? zA!_ z$5-Ct>n_*v0R#p9FrG1vixvV6Mxr^8Vlma9)#D`0OA%OQCE|YT>Z}*_X+b7F?X37# z$xdN0s1iTSZ@Q@Jkg6IfQ>ZtWbKC%HqQgLsck$+^uHmzE0T1o)(jK7479f9sf>Z_@ zA2OPgXQ7S*K}^cu^9IFK`m|Sa!%;zwgF3^i4 z`SvTjnTj?_^)rk;?Se8fY@<+Hcj8Ah)OBrGjPucTMv;i zBwb~CMZ9o?Cm*_hkqx+JiTX$fr5MKU6>fx0l0EJ(%VVN10=XUfy=x0pq6JiIzQ}22 z#T~ZpxI6V_al$Nw9ID!h)beqHEGh}n60RfY>i3xrvOGIbEA;EYEpjt;SU?PRk>Z5g zj9v;aSmK$lotFD}oMeR@C0{h_zbGq-M9Ujy=k4X79fPx zgpt?(yI|Gy-ELX=Y=hU5XzYNPX{b;SQV5p+sDqN zd8t`qJlNeo3=lO9Gga2dSy{iw+G>fV(ZY3!w@d!g!_jj!5#c<+W-5wG2{-|l4!yXWwn{=e!pNf+A(%AwTvBya3rOwGBX0|BR1h)0_F91aoKt`kSAca|!jVvOR@DcqBT`LAz&X~l~ZuMil z#@v8O2N9HXEJjcN;dZqV^2XXk9QHthC%ydRw5l|O?*TSQYx_KzCHlI5g6dfvHB|us zJlZ_)OMHX;(RFf5)nY+H%vQEA7ejv5r4jeM8Ne{116VDNJ{_a>~(GK$*ivOZ+w`H;vp8 z3akH}jI=1A6DN9{FJ`_9E~c}n(B{voAZb{Lcsz_(gM7WRWdd1b<_IyZ^+{s^8~@cVU1Bvz+CVD|M2&tPf2&~M4ySc>(;jUR)*7wqSn zrflq3BWh;{y9ciDNI$l#)vm)g>W6m`oJ48rcJvnV6U<--@XRp#bY^_0B=O;o_62lA zLKc_K9;Jx-Hro7wk@5q4N;vIjD$8k)D=DBn=IYVk5{lzNo@gS&iEr-yqQ zco|_lF%VhdI-fB-fJ9!DFJ6ya1_q7f;1h`~5Vvzs`lVhZCdm7V>tT!gwtWA7yPfIs z$Ty$ohEuL{5{g)?PNu;8n^HkuEH1uV2Q~vTQzF1r5lomUZj4I^fg)j?8m{=-hWFt* z>+@V2_pG(o=WF$KqjTxgRp)iHYnFHV`}n+YypHaW(PP0oxO8ls!3P(SH!Is74(*u# zZ4klKaB%yt%ySWWY+eBec$db}5t>cw4@*`9PHXBxTk9DSdZnVZg3yh9cND~nFh%S5 zipzfoP7w__bfaMGNJg9t*eY=CvD3&xFdBVoVB__THA z(OM4Q!u}V`OPy1ZJaBi=9`9=LWKwZxE7H-}CL%kG$-i_>qcP#ZxYgms_Uc`vz>_Rb3Yi%bPh_FsolTvJ^wj(1~?=ltmI4G|)F zux^0!jQ(XpYVrU4ZC<3S~+&Ir8>cz=7phqRBUH?;$8mf ze87nh#Ui$X&0oy}?#9a!&m%5+ljYEsDNDUz08I>DA@AO`3+K^UA%N#ZM*^=@)F$#(zu zCxfK0EsEU5CTq!}vE0h-8az44y4ja2jixGmZY5F})M;bJoXqPr{no>WVdTe?6 z|M@AX%Y6@$&ITW+ISFeK_(@SFXDuLn!1(sj_{o}{hA%HfClHFAU@Nd?KJ~=2Qxa1{ z)BOTYb*LZerf5d$>G@Uone>izj66RdvK9IZ;!7syyD(?AcimzN+9S6WZs3$=#3`*= zs%7tF>ox_9YJ_Cz0uFTa$0x7X%RbEA=D$~B&3sWpW!-JbhbZnchbC~NQ#SjE_oY8T z6-ZWv$q3U5(M9^ByEo9q{?)zFN7$4)BsVQ!n`5;2Y8X>`I*p48Ii7nS? zJM@!8&#q}xAbYK}#iEkeTyJ~_B4~)2z0$VT@SY>JgS{-qMx{u>YUFZ}x5?)!g82?y z_wwuFw%t_d+sw-J8@WqmQkT1p`#4sp5^OTPAN|iMlq->spV86rs{QIJx}R~Mu{P8A z5Z%8{$cE`@_=EY34a4I!-J#bN)y!S#euny`L(=@>aI?yG%f9V-W4K@Xm*SHKJy+^` zs1v-9TaDsoUk z^s3HJs{Q-yRdV%vQ|e(5pGvZt7!{BBjafG@Sn%mE!mZ<29=&>Jkg8E`Dkl}>dBEhu z-k}*uw7AVt@sY-UJAFn8mPjUm54rr+TB?u7a{im@!ivI}o4}p>J0wz{+)uT2Ic9O2 zH3IF&UC6&f&7(chqxb3tHORB}XBg4bEG0gyj|W~tGdY4KGQ1(3t^S{CO}O+rxmd*%I4!$P z2ixMCC<27g*+D)KG?8(WvGDPPr}}ZK$@g$j7?$ge*JRf@WMmmbxXe{zKLru0K8}CQ zyMcsC+fHAv%UJ8sN3(sIzd|l(mn3XCG71e@wPbd4x$rA+*Z@*b5n8r)vrdvF8#WQC zOW%+RnMk;}lXtY%@3_Vd;Ls}rlH_?WCY8CV(HkP6#_-6RYdzy%1mjz^Xi@rrc0$|o znJ&b->7D;sLZ7(mvpFQDt?*5h&BUsyQq}h?TXacm^v7GdW$OPs^&`;=U&G z!`m_W9Cx#h+k7n-<5r6_x}{rqUdtd+s3dtT`8CR5Sc088?>UJ#d(jHqFX7 zAW79bZoEfprvbZB6F6f8j%$fpMN)6PF9LI6kk4G*Ts?wWW$&n9#`%(-t}bQBQEz$OG&Ged6Z31E>|VbPwSH9^2^bL%EDdDwCIQ-O zo19(t@!S>qT!-;S*;cj?B;4ft2j8l?fxms=lv^|BQx_t12N*igktRHm-P6g&NCaeF z^^V0xCLqY4nA%}7H{=jUtQR6HoV$VpF#*L3{TV0yA4<_!&#Hp;0Z3f|vOm@O^Q!Dr zD_~TiKQVy#g~N8V?$Pms`i#m1t(Xv7LU|$cwW`$^y=WSnQ zFhbe#YhW6d>yuKe*TmULd^?ph(I5~j1G+&|<-sH8Nsf-X%BFM{WZ9{m?Q9|Tsr)}9 z!YqM1l2(4F!_9|fPPB@r`SL5kLrEMk?ynL~V-!(`+9eOG=kqi-)3Q<{Y35A^Kd# z6`em;I~sz=adG0F)D36b&l!d;`WyVY;${RZEjJd0vE+Z+o6Dsw6evpG2ja5vQZZki^NUGUD>C```*RTo`FAaLbc4{d1h)59kmSQ=5w~j* z(smYYzab@(V4@BP)C!hJb^d4H{ z3M_#3X)+IElM$}w(n^_PDYls^g9_ROy~e*Gr5Mx|U55znm>z3GTfb5V5KOSI8X6n- z>ine$gvv6lsKdX8gh6aYIECQEil&lQsH{aFRfT|?WMD1o{;Y7@?*LLZ;FgEs?O-$G zZ-r7s)T}1xx#a~ueV6N39+Z-o`-&^Wi*m4``Z^s(ia$8txw*L6$9}IkUepH@j`Tez zAn%W41SwjtvH4uKlv^JTwPwj#-3BAJ^u_96 zMmiE53)-bRxS)7Mcc2E{$>R$yx*V@sPo>gzfAEMn59nB@z(`5dykxnS&AjRy9fxL&)Gt%!fV}n*S3|lFE&PxvodVQJWKRlK!M}75F zrCgelryPXECQt7o$%En1BpxVPsQEP195B(w2G;9@YmU;gPhq$6fV+$^Npic@k}oy$ zHXc%Sh$r&8wwu9;e;79guyMgRO#((!Y6C!}0plNkYI+B`yp7_DIQN z82*2*AHE@M@gL|<~0E0vsxyr@?GOTNG!E(gN|XZ^O8zM&E5X`&jz)o)Y?sqwM|>UR=`G$Lan8i0uUEVcY=i0@F7S)}c|l2$uw)p_~V$ zihUZP(bTtTjf=p7oV~iv?PtdJ1m-Fx8>P^hFYcegq>6-9{ zJwTLRjHJHDYlXLn~8nGb-NBRRDEfqU97OXFo2Xa2ykOAHUG^r=wHaa+Ud$(SAFwBG!N{QssJK zr8wsx-ThVj{`c!BXq+hX!+&G04)q1gDAId70%6uYT;Rrh`Bs|*9~cFTfHfFr-woun zYyVDd30Zf?a2&nKzgqk2ThieZtwJR-u~C=YOuXvquHBXzS=4q}r9?M?Z)@Bu>Lp@* zfNm7}%AldKzIFO!Q04I@!gm_dC>GvA%dqEC?P9~A>{qw7+1yKH00WlJ#Vsx7e|4)X zU<{c-tIe%3z`JZ9x-^N|L1?xCStIcZawF_PWT=r;rOP;*+3(9FS_+Lj*RYNyI|U4b zF=7<0T>g2M0G7Y+1;{6`#?N!jPjpMQYa9snlcF$6+L6e+jZeX(jt^aS0hOm+)OC&)c?Wu@T?3E*PL+9u5QXZw=D`0j_B)-CQ-~pQfmmVP4fd!0$BIw z0RqxjfQ1vpbGwl0OG#bb1lFCSxSQLS4l<=Wu|CRx#F=FG_YX4ci}Pd}5qQcv1dUw@C@KLj@EbjR@xZ>8o-n{s1N zb5iBqldmDj$N7eCoOQ5=;ZD+#9&9E3xk*m??XlluU>v&BOAs`Jmjznp8_lj#*Gj-` z2a-{nhgmjcl%#FQG2zjVUOA{4U0u^m>E^Io_z=rI{oYJh?gxB;Bgi3A5RWC&Q-)e8 zRr$iOy1~=__>>YXa4(?eBX}dP3qhi;C1(eMFmzS-wlwzI1<|R@?MOQ(5zewpv8Jb) zRU;|xR)}UC?k!`jlf#LW&L7}*jtybo6zK&f|@^Ch0Df9*HB>Z)E$U0^Q`I8u2{!XS;~=eUYDSn|0UA?|WFY)Qx#rHZArb*ZP;> zL5GZXGgi&<)uWLmc;A7gx1WG&(JmSl&1C6mS+A=|%@CVUbAcbWO4JcC4PAP-<7q48 z?kzrVoKgXa2>K&SZ}^3ip|Y06O8a4fLyU6k%66FO!RbU+nWl&qynj!azSKYDLZll2 zy+1q|l~#!-q!9*NiPM@T{OpYpn;iu1P_+jZWa8|wSZ+y%97MVM@JCSl;Z_&W=tqjy zz?to7$1F46Z2Qi8I6@b@NHDX%C3RZvs78we^@q)l&!!M6d1&17$C0ZBQQWiACT4NiTP8W zlPI+v=rXSoAaw4he7#!-WR{^v*spF)jxg`MZcnh``7s_KW*g@t9<%7h!8=V{cgJO3 z+3GIC2rgvorzi>YM}|^ENL7?phU(BNO0By;Jn{{+qB7aI{c^uZ;du$;KV_TnlysZ= zQH%Y^pMH@jkc$WAT@{Sgwd4ZoI4^Y-2@W0vlX7Rdk2nfQneZ*@f32LfJ>s_>8-uHk zN<8LlT9pK;rFbW#bwt&zqaO2}7f#u=+4oIE83${CpIXe$xotyY;&wFR`u7>q;IiyP z8_I8zb^fazQ!k2B^%Gd#t9zN;-Z<(ewEh#gyv=Q*b@Vx_l5AaUBe_f?s%xSjZs4L7 z!mI{UTc$K*s?%^C72JbMxR0c1#NBS3P27c)d(POd8|f$$xhmGD&*s4`-Z8`6(Tb0ps!9+y4r|<*vce_h@%}RHq z>X$AU;(VP4@mLy!sU_zT;UU+STdPDlw<1f7-i3bwjmoEI?C*IcrZtdcLWU?e8iY(k z7eA&6v6NH1_f}4gm*3OP@4eU6ZLG?I;A{OPQB~cPes#XS^cROx56H;q=v(~Sry5V+ z{%#dZ;SSi$F>AB@8unLYndtKc7>I>nMbkY`=~z8}Y8!@6|LXO@x6bb>AzSRK5xs4& zQU};Gf3eZ2tNk5O*KVa!KoDMxK(PjSpVe^@aT6L$(XSsd5bnOkHxU}DVQs?^NH;A! zQTLGh?1bEBsOmfDOFRPa)X#6BDmZ#w+!;HMZ)8cLmFfg_-gMh-zXsKB!Q`sYvQTX1 zF%1LU+nA5#iyc=#Br@%}4b|65-zS>*BvpaM;xPBKJMTuS~H4FIsSxekyh-8ART=A z(r=MQ=P2uoQZY$UL=3)T-9eYaLQ3vq2n_}lRei~aEUAkcS}m9^3eBy5)p4%&%vORe zyi(yTt}3vm@>pC42AVgUfb;FAVe4Sv-V2fP?nyLqq_BBjh)pnb8qH|7bV|Y`Pxqsq z!2EPzKp%uFM$VdkM7K9mXQVM_RVrU99E8P$v&o*aB3i3viM>!hw<98| zYS+r%`c)cBLH+MdF?W*Qv!EdBcR9LqnJWDX9WfVdEEpNyjX_v%=E9;p-#thSugTBV zpAoqXbQWd3e1U_wq$nf!cm3l$Cy06Y6tL6;>$@G0<8P+t3yV8yD6qAx)F|<8BS6eQ z!Aq>Yrcp%|BXnQm7G27|FI(xr*Dh3XRj3N=TZ}bg@sJQtFP3s0B%EU6b)bqfXNrgy zJ;m^~_KSmm-xlvqVK+5sE{ExtUbSN6)B`?53}_yc#R1LX-klz+X^3I0>PgGmAV|}k@h6Zup)3hZUkXjG;xnR;shb=m4-_9xF_P4T)8yBS71l(;p`NNsp zB$nkQ3ihl7#&((>XnC#M~eXojD^%SHJcM;(KuP4iXSgUQ;@G zQtfFwU5R!pOhD1#*v;BWv}^4kGVPs{@lH~v^cX97s+iy`2RoBgejm^Fa#Hz9 zvP;3f_Eub1E}sRK$kk+Ov*VZyQ>&a8i+9z4;(Km7eP-YG@^!yVIhqB6-vj<*%D=lP zr>Q#MiUw*4dxYkSms>`j$qmh0yC8;!(VEag_4rhLbP~YcS9jmaGM^CAUB!f;Yh&d4 z_9A^#<&N3FV)i2GQS^4nXI5^O;O|b`r~k<{jxsfhLRLSpqqg<4Wyrc3g_GtihdM@u z(qu{{QA4M6xBcx5Css9O$ZSMm4G)K?j=ojoO75Fse{2hvs`S7MRW-CY5~-nI4ZQT= zDcY2y0(4vbh-szKhq~!&-Zll-&EcssE>@Bfq07=l3ep9em@cOnCWRGF>g|^x#q|LX zG%qlI2wviT1|F%M0l{;^4yI~cIDIXT)q?G&%4f!X{~C2lXUKuVy*O*%%ToM|YVV^A zoBUcjr?@*xznauR60Y<>B>W6ETnF*Xyf8nHB5?u-!pMJEe6p@~_^J2gs|ew}-u8;F zGLgO+2BU^%@!RvLE0fIINnit?8a1OLOgdul$C=s4}=-cM1Zfe5? zIPH;;;RUyTg7RRR^~m*43pas=j!#`0gxeuTZ_nOYYr{|O1 zcVeZeA4ketwjG?_QU4>duZdgs=Kj4rC?T;Yq~sG5C4j@=Zj5JKFSAM^`+|@* zfN9n7(D)Qe0f!^(k@YJf1-efWa{Y5hANcb#YpGZigUH+Z{JKKWFUvvTJkC~l4sNxx zis@ClQl_IDr3VrcF7>2v7X%i1~iV#{ER~3`;pk z3|1U+GT6{&MeE)*&9WD^Lk!&Rq}EvOC^ZZHT$^7iWLeWyhgvdZC&Jop1|ijoTek!> zvFF#~`2gWyOzndDtUyTBpONDpr`>+Ry)#cpJi5XP);4~lHDZphb|gKHA4POVl|LHb z?byv&Abmc4CsBI!JIuf%ALzd_e*%Yin! ze2h9Cc+6&H_zURbUs@GkWoLuNRL`d6jw_;Ovwn>4UlNMCQQjN;>-3OUH=3KZ6<%&*H!sTcpHG^SCJluId7U`fD`*u5zj`t*`q_dyc4qT-Bp2*J^^@$Tr z`S7z;{33ey<6Qa5vW;JubXXxr_}u>Wv90n#aPitxek)*8SYfLImwannqI9}aU`tu- zUZO<*zAgKS@Kg*97-xN5HqzK#S$_wLe$7sP74o#=+Dxo4zxNnE6ZCzBb<@XIwy3K` zfBiTWh@}769_dK=*Mr$UC9wOf>MtnuNIaM?0ZF6I1C=wz>Yvzb!3K800++YXWC;1%A%|azz=c%%<*4T>Lzq-}x2sv==dr^S49XOkyjO zNjp$lz>i}ECJ*;0z>tP3q!j**UIJk=KNq-AAbPx~D#tVIi_wVFL84(&UITJZo;_9j zU=;tfe(-uTgqw38F~kz|(h>)E3auK;b-so-ANa8x3oTbic3!PU6G&`|ZjnTSsDdfC z&8COHq3)&^OKyF0SDXf^pZ2Fzc1p0Az?XoZ!MAtm=B{%ZAECn8SEWZfTeuHezZ3i2 z>F};MjkuF}BO2Y!{x$Ei(O}_KvG*-C;k*j|VH4(R7Ggdy)-E(8+6(9rd;?2WP@aB}yo+W-SqI-^qgXv$mk_c8mg2c*q6k$xLNn>D=mOr{Kdns_YA-|we2Zrztu7S zU_GRz^&?PT(PbAh2lltOLB?-6HCEatZ)Fm%-}YAJlCQa(`!md2O$r_x307B@RomKvZy)V~cU{dolOQ61Z zEOhw2X@*_jcl~-dZ}^{eS_Gbo&V)QKm%vYpH@=Nizx9R{=htP zCi1zWjcjiBanMz%0$qmGU$r^dOl+K`bCe%C-mwKACuF@FR4hSh#3?4Jsh+mo=Rtpp z2qGtlypOEpA(rX03a5A>6_(HcAiuksi2Q|Ekoh*8tbT~zNy!=pBRSxP6kbI}zsI{( zu`g9PFjzT`EVi=sh^A8$?mCQ-?K5- z)ZDEhgD+=G&5OU$YN7VmOeDnZ=Lr5jskX#|=QdxrE{mAhw@tI{hWZ(wN1SojrzLGsS@BuQY$z8ZX<=T|G|io@fC!NSci-RG0mo$NcvQ4B{G zw?^vaU9=^LBnDk1S`6y6n#fa7O0fdt`6;cTFY~~M9KwhClehZb6%tU;W$yCEtJeGx0={Swf7|wx@dRJo_?Mm@oR`IQL>7J_ z0YP$!@>76KZe%Lt>|AVDfDCK6*5y8{6#; zz0kzVzPSgYC|-0P{K>n_d7z+v{md~Bm{CC(|Su`R@gaM5WjV&qfz#i`m z2`TyympNZz=KIJNE`cMp_&ySX8Ul)VI4Spu1xW-+9N?!Q5-)f1zSqf7|AUNh8fgpw zivf!vb+rG#5+OSO2b=0${WXV&i~7AD{6Ay{dwgC(UV_N~O2kL~2R6XPi6hY-6h!O* zpP}$yB+)zsGAlA`;>iC>IDUij5;!5vK_%Vn6-cLuP$K_}G+FxAJ&{J#=H^#6e& zAMMx>p1{LL4se+W{TCS~gY$paMEt)JBclI-{lm?MBi-y3OyCTYq5Lm0-V*fx*x3JX z37EG3Qt>|qkdNY_|A%C@CHxN?5&tXkUlJpn{>#AsBk}){`2X`e@k<~-;kL`UyP|mz z;qYeeb4q(l@}`COX?FdEm*JxNl>*Qowsn@+zT83YGA}6}rF2_Ma9emtvni8X95X4R zy`VqRkAHo9r=qBQax*WxC(w5us6Q6-uRA*wO8Dw6%}+u$`$h9~pK;=|A#&s6Eq>!e zx#AZ8_(?ZXpeAn zuB@+N<9eqOq9?12FowW~pXj6!G(O)=X?)pQ8o!z#4a<9Fg_#4h3JhhN9Ar>qH`fUL zHsOHxWothYy0c0tDVfVPY?jgxjeI{1Z@U`;A?N$unn81+1nq?j-_S1|T$#IC!`pZU zb^Tb_r*55NwuPU${63Bl`_$Ts5em7*@cYtE@$bgBIHqV+T~VDTfrIw`b_dn(*2Wbb z&;wa$s+8a2aVk*0(DzaP`E#L1AtX?-l`Bm}qeHJ2)i303#5OkKyLS>@8LAnhRLyUd z-mbI1)50Nf3PVFIc8Q>!$-!S^MBiWm*ttZNu%mWr_por%P1;6Z6npl)rP0x74yR)8 z30^hYwWTWX6#hz?7qykj5cYvD9g46;6?;w_0P8BwKCkC)N&5ud)&3*_`@{K>)DlhA zwT0PwR?k<9dFffU22XE(d8sto2o!Z21KkCSuKxX%cvvi`Ikfn24zl|I0InD-1{Aui z;^dgS>KgfeH8-!uY;jc-);^WN`p{jvTTRpv7`UdkpEu}z35jFH?p~%Tsk`GY8W6!S z1Xc3AYF1byU0TWwD^3aqhGhIg1NI@H?0?W4OR7EBpuhBpMAzZJa>wpcEOcWOul7Ux zfdKsY@~`)*J~W7@q5TrdsEu58bZHVgQdw6)Toj^}n%>FOp3v4BTsfh<4xcj%xQL@x zI_+-R_>-kfMai~E8QZa=);JqFEw^dp&u#JZYebDid~-#;lta{Pr6Uw9AJ}~uORV7| z)0a-Vj3&)8oU!a^kt6Gi2RxMzY@~TWx9`FgJ9@{q_;i1jK|ZStKo5gHt_zE& z7yE76@uT-HfbOFyexP>EdHyg&aal<#)-}{EpYgLY#aG_{g|93&GXu|)@VE#@cD9PG z#q@}a&eS|cwZUHHd%FH;UV1u;kn>d2$56Fb@jRNAuFGSc!9lAkKk!5NDiUkE=+=hH z)C4L#R(55`?e<8%OnFsh>s5Ws!^Wp5krzF1SNO^&r(JYF70~0qBe&CnSyS(_>(&1~ z=H!+uz!8$1MA!Kw$cV}c-?=yeIMG{7ZZyJ85&O)~n#R=RRWsF5>DWT37}HaW7Q4^I zIzHoMN_K#r3ZJQd;wrzZ!X(>q@1X4Sx^MZ8VkLVjt*JA*uJsGZ7V=&wCF|0NekvX% zD*7yN6)2H{+sec?8(b0Wz)w}Scvu`OP((mMEsy}*yOf|6B7{~$lFXvP!enw(V^&w) zcnLfgPZWh<86~@Zz%n(Xe$p;ZL^|~7xYtJQc7W6;qV0JnY`$SguX=cepbqgZN6y0U zX5k|5(rmmdpH4Gvm+Cbbc}r5XL%MV5__brroouhLKlawqoFnb6edLy0rX4M?M~>O= zq4l@ZVHNQ6m9Pt_Q9UHndEPJ}32&%3yFF*HEc7-viEdghTbFsRuHsEt z<~FluRRt4i@nSG-eKnM6GIy&~G5Cnc58(W8NlTfTrqP<{gVShOwK)qUzUBqSNwHJ}YsO?_RVJZ!1n~*9?_B5J#M(8Ie_MDP( zCqkAejf3+_S2b0)F@7KlSbn8E>dtxA4qi_oi+S7KMn6mxu)-`IGf$W?-~luH!$5}u ziY6`b)m}B!tioiiSo-tT@~>Th=S1YJ;K(~{-Osb|t!4|#&{G63;k$P)w8>)h7zU!} zOy#pAdA7c7NQWkdvYb;w z%F&WsSA41GMo-0TQP+LMZ?{7ZF!P$T7!1NbZN?#b>-DVE`Dyl2ZD?s}(@&XMbBSf? zYDyeXve$<`0+0BqNNqj4Ni+5l{x}8nvRCvn?bdajs%3_Ip+5^7>r)b&){wE;DwF0IY6G)%^7Wt7*57))(ZvT|#1lelo@68cbvTb!@`h`_n&# zedMBLud)Byv-tXHDtCX5)??ORTeNwkF(^%I0R%WDPqFEKufuiYRCB=pIK}_|@|&z} z#2f-NnEkP8*2`Hhx~MiC>B3N#TDDIALY?1)*#_aEjUd5Z-Ctjr!a*o1StXXf@c0NUEy7k+G0igt^#33BZ+TW5=G*L&4I1vcMgSD(GS6BCK# zJeMuJ>PHo2r!pY7;65a~<*xpzco~~gSyPHB)fJ9ED5p5f(7&v(sn7(CkC1hJCcGxW zn{-9CV)OjzQPmQ{=3jjM3X@kA>|~=Wo!1JXstt`0G4{~UC{&sTPl>2D@N)Yz+_N(i;Viq-~{}0^PoHU}zU7HD80X)A5YLN>>Y% zBf1Y^%f(kf4_=lE@I|g`f{9}wQrQQ(<(@x(+G!-9uN+5F1%>iy$6wRPq4z(c_p6(* zJ_-D?4CXbmaafsG*-*yZ0U=$Q>DIZz1gQFk`WwrrT%C@3&XTJ|w~j>C_cfoH2Es(N z&U1tL?^(S$J9X}}Jh%Nk$vg~zlw-HktcWUZ;uq+1r9mhuNu{*-T`$KF7mnR*Zjm$* zkl|7n=&{W10p2_FTdu8UD~Y?-<7UNYm79;@`CC=Q65Bd5#Yt)q?kM7r5JRo5rOG~} zDF8ZT{Z6Cw-exoXqftjU7^bBecETxXJpGPtQGP`phnU(?UZj!~@I;5j z*VjScBX1sFC}LKe*r>7Ak;^n(>l%xtQy6Gz3+Dxj(NQGy)h7>&!>y#xgZx1{@@6f% zRi~~YZmv`-rJD&=F}cYW&;D`0BuS66`10F83X?RqDWA?YYH$(3=!g2gP4pf%grY`31WFL`CnRET+ z7c}At2y>F9kHTo3R$%S3@(9`h$mfqNp}`2F>FER<)fV#H=djE>Q}ZuE-5tB*Y@&#V zW5!8s@GNTV6y7>r+X(#OSl?e|=A&;{Kt0lpc5(Z#NNsC*a)#cCV}B6^YE&wi76!1$ zKKLj{v&DoP^5owdKA+5E0k$FGV!)JX%rc_ZWH{aqV*5JKUbo7oUt`+U1_FP$K*xKh z`UqEO$DJu#+nTb8_U;-46AurGG?jq?tiq%P@7&6OZcl8RM~{ql5s)f8-tm-0Fg0_` zwAQMtIB_~Z#8v4zx9@S)2hZy(vIE6GqjK&AHxolO|8=l~Izh@+<1J-rxwo9C-~M(g z!OSu@ zdMHEyO);hMI|#{+TWu{_=2Dwhb&gGN+NDP^R;vmjSJvw;q&n4a+n?pzmv? zRxJ05L1q4I`N&v$XwaRyL$7NV4~X8O7e|8ymV}}4({uM+b)(Kt`8L;xwNlVAI2yE` z+-?w(kfUlIGS-luG2Hl`Ael|}1T8$_m()>x9678tz3w2Ynu64O*xteu7Yr$AGHn4} z@WfQDJpO2Jneu<`2s+hVP%Q=l#8~<_Jn18f*Dftm>rM<4hD=|c1`u;XKxjyJWoSCY>NhhYliwL*aAXArT6yCx_ zDRf9xIgRV(dRMXuG?^GOq0K~;cpBzX@!B=KyOcKG)8j&=4r%fJ2$wpATaV-!3AnFqMoh?Lw0IYuj-fX|9YwXpOt72MaQr`{FGye;CNK_+(X?HSgCx zW3mAqD=$N$bTIDRg+*-G`Vs95ac?e#rj_Qt-^hWibY>e&U19yRq)8F%(VjxYAWEe6 zROwrEMSX9|_vmbGvure9Z3&{7Gr)1vse~bF<`1d-m{FU`z9~AsR)fuINP4@q@rWtBqwpHuGH~t z_qzi+yVgYc-aJGyF}*I9k+sj|;wcctyX%Mr+>Guoc3zsbC37RPM?i?(pLM!GJ9bl?CIf!o@sgEp4IKJ2Go< z3WP#EM~bySJ55S*9=+i4EXbQpc=8i$^xDa97rTvPu$8KC(MsTGL-`EjlB~7ifK=nwZZ{(k<$)1qH)Nx_*(* zwM2`^l$Nd)fGEkXK=6?AjT27_l}m^D9}-vea7iDpKVjF5msm)~-LU#1$&NZb37g$M zhE0$n3G=Oo*yoHTHS2=YD#%*Sc@jNnZd>T)MR-iHdo(0J3(P*`Y-uYv)wPN0i0Y9$ zuNsn_cz*7ky=Do@ZG`pUIyG=XKd^ zI7LttB=24VTUO{zXSP-TIYqR*SET;gOQ-06DTvuaev7IYdb(2D7J+eFW zF)>PVu6x=j{pf~xV1UCm^Yyk#cjY5XmLg?78X$bg_7cj8tV)CZR&nip(J1Y~A{5wqkeXaQgG(WK|Kq0}I z_>6M~+ttnr%@517V;qjHXKFfjFn*EbWE?8kuR@)e2Y!tx65Sgo=!@(>!wu}2YOl!L6PVm?b1`MB|yNJ0EVdg&*SWJ%=UK`OZXrB9cRT7aZ% zzi$sfp&68p1aM#CxPN3l`1Tn7XrwN_|UNdBW#ctOxgJW*+T$TE8PJP-A5mfE<7 zB{COX9MWUxwCAvvFB~vGd+G`YjgR3&norv&{()07^q_k33O(pvzCbsQ&o$;%I4Vg! zb@kBDQ>ivcJ?l`n#!!~p3ZqFR3@=Sx1F#Is;0OL;{d-yt7`nnmwkPc@;W+{;ZVW%2 ztes|c`w>|tRnsD9!rv9jeE;j;RPH?~AhBt>o1#iIZ5SePS^?qcdj5>M`iw8_KV? z!rm4b%}PXL_bE!JWtI92LNm+^HKO9)LtmLM>?%$3u7I}}GWYiQ@3MSMe_GNOHI&*= z+A?ulQsVn*20`LG4@QQO?$LegZKqwpp z`&%6K2T1p5@=L}mS0de0X0~>rULn{ReJ4Z)@q6|G+U>#_OMp!!R+|kWzjG>DMfo$`f6_qL0Mr64kWrM8r8&0yPOC0!VdZ5A4XDpp}L5R?q z`rG9LSuUF0U;9gCe*Y#al}Zu(m{aS}el85u*&?Ce@cbi4FneAmfenqRWuRd%dF2+* z_HbUP)DWH=BDF<^Z zH33#5Swx-_?@=HB1`CH&Vy{oFAC>T9TzXYXDBA7wLzT_Z7H_0dMJJ=bF24|9@Kcjk@({0Ux7~@c z_-VV%%bY8ShfQH>N63V#7YfGG{iuiE-tbd$>F00YQcKC*$vI_I0~#mj+AnLNYzD_w z!~~Ive3)pvB$3sZd7cl21@98wTQ+Z-Un`3i8GV~6iuT##7_GItuC{*)sup9Gk06Zx ztD!QYsIZy4b~BF<{qyx;5Tmru%?)9wnD$d(3Z(xxrHf^feqn%B-Pe}7?D8=|W1k&J zq0$6$=C*UsNxN;!tMS; z6PBe3Q~=x1R-nB{@d`!A%g*Wizrhg5@-wLdmc-yj8q;+$X#vYCYsF&mZQ=(RxX9f% zDD?mUrKpJ^yrvJ|=`GIEA%-@<&djwCs8?4JXozN(fEQ8IZ}WQy6T>Z=)Tw~VL014O zbISk_jKw}F7GP!fFPHC&^yNU8+W~0#>6!db4O-tn4=V*p#ow0(3sH6aR7bBUJU-oW zaDEBtr0=2!wdq4HyK>=Zr<(Rx2ujg_xkJA0;H-o1r-MKCL{c-9c@^^Mzdx5`HC}6s zL6pVd3aVpfV)0MK;Bo-0hr&Xf%JkaEh9?aYBc@~Vv-;dThB$B!$2z;F3Tw}ZYwoa3 z66$c9y_DM)tivOc0qn+6W2~D*a{*!5qS6 z&Of)zXRAwU7*%$U41e(5c&F~_y-(K<#rptQ39x;%C^h!m2 z<1&`!Tta7J;&9h(c$lke_?U`2J>R?Rd<6X_D=xrhie=1u!=&@XbnqCme~PunH3$~q z;J>e4Xv_=9&2#t|Yi45Dv}Q$LL~;#6d{!4Gn^m{ce=%1|Z%CAM{R>+Pl0^qh2I*TbXu;ca%D78C;DWdg}1#^tk$)->K&L-55d1Rg2-^UxZ zN4RCG|Z9uxUaPZzhaKllO0I!Bb z^z4PA(}q6#d$eMFM0Ww$-K!mOIPWzZdUJ#Pn`b3V; za6P>=%reH9c&KIa!Gy>sK@~o%QYRE7sm+xv)fVDEjIm%L3Rtp9#SjD1ctDis{y|Ku>H5oOQ@dnx*U5SZ_OBnrDH2-e@aDZSDS&b-9 zs=A}?ABvZ5=eyUOCzq0JO&7=|{>JT5K+o(pvO2we3hBR@wcQ!fVwuggGr3Xbvfxt{6S4IYCC zx>fD8)Yi;psKAv=KP<+}0oCG{A5cq}%q5)`p5P~t30$CW>3cq`Vh}c8ZwH+V+Vci4 zq0E$v=F$2~LwHX`_C*nvdY1DX!B3qQlEPrfayZQOb=NsrU+2?^?lcPy$RdgR6uhK_ zdHsXinU|Ahb(SNFicbwV1e=nE7BOcBS+7!=d)D>1)O7-SkW?G`RrHcslrL6XyWGF( zkos8U6P6(Wuz9B-TFhouLb-NLcm=w(sqYF13jQ_4pe5C31X1rSeKa;gZt0zTEr#7s z)gkIy`yQ3?;O3u&Cw7k`D@E68rJ2xuY>j3O8*4-C@vSP-m!ZHU#`|f`^15z|znw}c1uz*wVMGr%yDn~7H-Y)oWVstAVhlX_u}d!4 zXim@9*wl?gNua0JW^~jHE{jBG0d_44otRCnM})QlKBy znEoMd-62nURcTzn=_uY#nEWfRzok%1uy|~_I4WE=DxYupOQT{UY;}!L=;V7 zW84tN#9_d`c13fdQ9U@gjdtUs;Ca3TlK3#74xg{4amXq?jOk=#T0FQA8NJh5cN^M1kqKlk}~(`+|VQ zb~~@ELiwHHu(?#xTJG`*CCQh3Aw_m>*+)-Bu(q0_O@HCh5dP^myQ9r%3mkiOIzE;pQA-k2%a`joV=K)5%T6lC}#AX)vh{U^FJPt5J89a zZX6}k5a8!(7;UHm$(9T=oeH*1=Q3BF_gD5ZMe-nD_zTt}efnvKRg}EzinOH~e8z(bv>ztz9lMPA8J>DOZ}+F8#Sj|>>XthH z{Fj`U_JG_b>}=p~Rucjds==GCGGK2QIH1x_Dg|M804DY)m5Ux_%tFAY?uEO1EVVQ~xq6IOvEy^p5k zgGu=%VzI?OBE|>TA^PSi`EM?4ynV8bMn6QRr$1Y&`U+#VXljBZeUZd@VNRwTW^6e4 z=LJn~`}+;khKBX{p_3}7N})3kkMqjRVeA+B)M6Oiv|iLB_;vlVZ|XRg^y3STsf-IC zH-tt2aY`km_gUcw4Jw0Ix4q^Fj#K$@TgM0`mFQ7-K1s&mtR>&ZrBr$M7oZGA&oa4P zf=H-i3?o1uZU5tn9F;u{yxY=XmNEiiOuWN=IbS^N)+`8*a|z1(lk?PwQRmwf<}Nd@ z!l)4mgJ3IU&YfXKfTZW98WZ`pxT}jZEEe2PlIhFJHj4Br?INW}o^cw7mS;#jf*LL6 z3PcKLdc*s9iRh5q`p46c-18KPx8N9kh8n99tqLmVM40GD%pWWl6>5DB3Zu;-jotOI zi-XOh;wCfqae4Bt&nSQ78#gnTEQ4d2zfcrJ)y z8S#eLvIYs@)bwOAPRTUuu?qZpm+qjwO`*NLzMCqLzcz)q)#q3xx1PneiQ5OTTzD@L zSSdP$;vioubP=i4Pg--`Eq@l`vxb0KH@);3G5OK~@{X%TCw;&jv%VdN1O|-ysxf~s zU^n)(<#35d(?JR!CP$|va9Q9vjzuv6Z-NWj<{}#D={_Kh8yBLyss*3u~OX1Z@o*Tt)BJJee zN<0b~%AMqBT4TZg)8gECTxXV`Ebjpt>y`0+fsbmsEp$RG8t3|Wm?`;>Og_W9FE7Q1 z=XXm*g$4G>G~m=M>z(5=>SH7|x0HeOTAE!YMA4`(m5XTw?ht{SNZ}kER=@5Myci|r zB%Wfn5rvUHacA+adjyDPszfLLTM}&;fhSbD{sdB<5`sa&{U3ud>n}M3kKE(qsQ$;; zZppDY9x%8oJh?}EmjmHeYKn!l{eiDEkZVO$`F|fM$7eQRiM1&M$nEXy0q;L;J~^58 zWxs4{waFFas9w*}v4DT>n~yx`K%_!H8jYV@n_^xY)k?FM*n!oyBeAlp$>i_VsQ8tC zU%xHoN#dZcFzFNUOFyK?{R9C#aM@kD61%y;{@t z|6Un7P->`YW|?to0*RKx88cR6cTPS#M?Q~@M{SVszbMrP>noyko0;o zQlyP;pmA@A*2j+8f(*TlAzYm!reZ?3JdK*PMz6$J%E~g3L~nC$>YEhX4%+3&PS32j zd}fb&*F+p~EwKsfj3%8eEmo1?Lz=pIh3QhdD|ooBUXBRn_d)p}9&k3-{IY4Do zK8brQbBed8kZm5OEw_fR(20^g)2)=s02h^||81BMgYp8{Zms|8_1$V7lSGI|7~fC% zV9ycsh#Zo!*~2*n;x#YUM-{3Jxk0(_Eo2-cJNq$HkZTUy;~j)yHxguy8HKI(WY_2qdPv&vhvC6zZOrDS+s@H9_0JmF_`(IZurt8L#HTf#GbS&tD{$Nx z_0B;TpmDFNkaQr2gq`z`6^FvvEYD_oYFxP{aQsZvc07)IN~nwc^)FdzllTiAOy39x z-Giy#Vu?J0iUC(F$<8`ky=5d4brt5y`G$PU7%U(|g)1|1Pq4JzT`|sadAL!y%-5*Uh-_bIn7gr23Y6jYgEJlDnt=RjRKo)co@1O|U_7FN-m_Goi>y-ni8V5BHwYdNeB7ImA^Gm2T?!3VdNbj=yeYC z`8Ec#R9J9nIBSkg06TkCD2i|pg~jn}{Y*0Bc)4~yt<0<5iBrm|zx{&z_@leecL6_c zuYLT15Z5Ja{s|*=1euAtj^YAMElZ(PY&T)R_k>hCq#|d&11DI9Rb9Q*G&ZVLf=PbM zBld>_><{<}7nd5gcNY{@>RWB5pk<_aW*u7`O-LWKV$JjBy@zq?30O`T!me(zzmLS- zo5RO>-?O9tdhRIAxmy2acbmmu9M-}L6QH5F%G3??Cn_6mmi!;dJ7 z$-(P!DxAmsg*UCV1lX||mI?ng4@~fDes`o7ViSO{VJ-jjiVpCy_i;VBB& zb;GgM&mrl2@8%TsVog2z&WI$;?uWKmtpLWkQ1KucBN}O>-R51?ITep?DG;U59mgCr zAjc@p+j0;HITtV1HMFm#G)>&0>t)=J*$2)WSAw5~+ZZb|P&0&?c`*lsLzWXa*Kmk# zcAtwTdK}CmD9M7U#yVwC>&vRN^*Dk0NPoyn7aw>MYXXZkZ0A)6X6$S5$yZRARvHF= zmMPD?9UDU!Rkhh>(>K#6KAx35F<+0;z!MM6A{azw76?DQd>a=&*+E&&&H_xx!0}(b z8DeB#Zqg@F?gXO@B4&4WxS_<2%cV0;g?5t*;3jx9xWrXoPbGrQmmx)Y%F_#3F@TU_ zuF=xe>2UoiHaQn)yA#^eKbHAJrq{Wst97A||?S&)B=u6N!)-2OGMVq)mEw zKvS`+7cl8tJ8w#;yZVj zr;0o#uRqI+sB|(rI!ujymu18*Za&8PqBU$S;9W+aWkKz0+D&Xj&}n7&eiksj3N)FdIN=C(sJ${~ zn0cjUnhg-Iz|bCe3hkA$Ay_7KFtmrW4SOTnq`_o=leG<5{ZIRnxr`TECs`5m3Q!^6 z8|HPA6idS8vSLmWEJ+JOwofz5K8TgO<4Q)47n_`^y9g@V7(k1cGkUOFfu+;itx~>) zK+D)Btqm^)n*<=|D!{xwlkD6k6v8&X()_Om& z@U~@WllOqx;2nL`OLp!>#}Y9h|2oD;_F>`OSP;>&>3}(2?8|HN{pE&*Nm&bsj&eu; z^(3o*x(-q`>_{{hUYpP|(2~;GYu6pt0#rkU=gY|wSi~F2wu8paP|0_(bP@SssaVIa zDs-Ypp|Tie35GdR+$5ZM!&+pIrtK48*bR8;kz^{sPu+4~7|tW8ut#JL_SZ50{znmC z8c~m34i_&UU5@?62NwCaLE}m}dG^a)ci2HnK0)bu)1_FyE_ibF-Kh=ML>JTAI*7>t z#ap{i3L0vnHKSBP$z2g+1wdJwf6w-1mGvz~ zkAHHtmahx8NNqo+r~xL-`WGK>Tx-2(FDEzOog+kW@q9bH)pZOkd_k5@`<_)wJAwxJ z+LnCLMfRCbDRiT`Qd*))*+`RQTT$AxVmO8hBr5k$AAY93u2sRtQRf3xTbjUk2+%YN z)b3nH82TMac;?NLk8H`VR{0jWr~T_&fH;#OAoI{_ZpXJ4$?RW035MFgCgEH6(Z6g$ z@*e9qVm5Y0uWy%eI~P7m@*8RQd6Qb!*yPnq@rN)Zq08GrheTSPaTInPmSt0R29v5( zz3@_A$j9ULi)4JJV6mX4wox8l?eI2M41IwgF-D36{?&+a)u6vqZ7%pNwc9G?UZDz? zKsvL=5KUEK&JcP1Ah?1Su&bJw4R4{X9uL6^TCo4xG4h#$hfFbfSi^&U5_m*C!PZsQ zcG$rZ@_MD{mCW@pn^6{xW>okjys3+Am*|e0-YU2?M%8S#;@d2|da6y9GPgzZ?D za;ml7!9wNBYKFXCH>ZKTPEs$WJ{*R8xhtexb|B|5NO=xUhT|;m-XeWldM~d+3V3o8YX2teSzOgXZMqd(Lr9Jj#U^cn|wS)~SD&uIx;yjQbBk42H6)pZ}a9`tKM-K~a=44K(gROx8Gy@6Te z+!stPT`)k39X zN+D^y|2$~SuG`-5enlN=vg+#r2)1KHR$ro_$TlR2A)s?&-oREZE4$FxgKzhuPMGVM zq6O=K#6H5m@sAe5FtS*=-Bf^5eYJ)lH@(&ZHQu5z9J6SF+0Y%>>}nI7-dWg2Ys{Se zFYLxRMf>s|kGhg#U9w>pBn6 z_v5InQiLe#L^Z|1dM&At^(dAXVW@%hXQ1&?W>1MxmuHSWTu~nejuxP0AE+R8<*6#a z9%0~E2U@lhiL_C?aRyyaTuxg1@}5rlOn>>Io(&(xe$luS?XsO{r7#UY7xq4Wo-gr! zB+gucx(dUVSzWYAeq@uIW9OiP4VS8Y=6Xa#*0Fh20BRr-+PR*rg=F+)ZIT`J++Jea zP;ogO%BSeh?sHFZ5nua}4=Tpf_FZBLUN0H4d_H8yUgGM~5^eI0LgwEn5;~xyLq+Xc zi*RQlCR>c?DSeNTkf_SH-o^pd3uHG4*U*0Jev1I59{VKBSSD849umq|8e^c!I_deU z5K)Q)>LZaYT!x8)AN!qYw@Ty7x^S#zLQ{y*%U2fS6q(ZKg2 z8hh*-MSb?pyY?;+3!SXot>SX6?5ExjrAA~zd=gTT$p9}=YEERoQg(r zn9ZO5SV{(4F^wJ#gotz4!HR||6zH=a4}{9unshkS%9Srg@Bb-;K57quXR*(jSSZT( zmyfy<;1lMs7R;d^%mML$Gt7(~KaIXMPCB@kOdmeGzWmU7O_1((1d;kkR|dA+1&a9t z=vNLP$OzNu>*N|BIV#*0fDtd}OZ_w|-s;5HfH1v$8a+*${0xHEejpMKK%X70O%}G^ z;y$M?3~QOBATQr!*+kCV>OiLiz`X=Wrt)YdyEA z05?0TV(1b)YHB98Hf7zy_~O7T$5;C*9rgjZA;c%>_2$v6x4fD~r%^vrc85eUf$(Un z!4?TH9}6t`D3Wz|z+nZx@f!W5PtMsg$bC`zOF7$`f_Xo2EC6smgA%ql@(a(JU}Fhk zDc-aLfpcp`@6A$R_L4N&z5JWL)tkrkta1@ZK~cT{CDHylrfLO?rF_k%eet?po*n9C zPm1$jIp%$)?S(4(uIY`IPNiy!72!0!f03*5O+?BPw&mzVzXgXmuRV+*Od(&e*$ z)jscxuJ)Er@q_3iaCD%cw~ppL7rhcObQ6hjur71~FX%||3ewLdzM_-VZx#3p;Kk6z}kDvtXZPeUp8E z-Ay(9VQ(Ck$Kk*yGTr$865CS|8bFB-B4I>f*6RsPV=7R=M2mLSwHTAj(SAV(3tLj) z`ywAVGYIp@$5;O2cB=6rv$hkN%KzS2%5vLjD+$VT$@YJXlg*%7$~bCG+AeFI;#o=( z&$P7s-<9;g10_22a;*q^x|MwxH~5`Hv-B?=M50J^ZUZLUyq7FHQxw1fs&IZ(zK z=Gmn=epH^Fw^j?>Ra-JN&&3i3L&N>FzY3r^xp=HBV^+}d)t}ixCNa@(d_Ua#?GYoE zFupEjc5q-z$!1Jipc)x=(x@gde+%3OU&|;Y^O555>@Pi}x!j?$ic+uN()5Nd*i<4G zzphfse}G^)2t>(7niG~VD>88$P+r1#-n$tiVIx`I7HyYb9)hzdaA$b4KC;IXc<&kv zB02C;NGZZz!o(rRIK{m`FBbbKkulQn>`RL&zH=iDJlX~FQjRS~MS%lcF;3{_Ex}ek zSLP?V`q0{+37FpT1uOa|w3mGyCzI>p+h+G8C0B6ysKd#1?|EBzrzo$esJ|Ial5==+ z>5Uwe!*SEq65=UJ{L70ER;Usa2*s^a%?N$8wJ?+w{Rkgo1ma;+` zl`5qnL8=s|U9}9zYj`IAKZz>!SHgR>2J!wb``Ewj+pSqVFO?toAydGMieB+?*Wxbb z#XdGRX8om?J$g5X11tcHI*2}0NpAu0>@lF*+y@JKy_E8A8J<}a(-D5mICH+H4ABzs zn(N{^+E^Hr;8>2`;@rU7H{nfC^6z~%_xpPP72u2Il8@)?E$YR$rQiJ|B=IC zGg6qx&_>^t;*z(eG7vTpKfar`U^=;2x-yShMi5nqjy_ls^?PNNXinU<5Sz*ytl@py z-i(wTAvT=ZqJsNMbKL}ASPw8(7K?HG&ajo~>2NFg;pFJbXm1m^_Wk=*-<5QM!II%p z*cUyF)qhwwf*lSVBJ2iOuYxH9hP(XTcyqETaM*Ym*3 z1~P|--xX|l>fMOC4w6@fa~{lbJ`mtN_0ru=E)8h$zK^JDE2Z8WDeG1#UaquQv?>== z74n974RDpMrI)$tx5_0tkLgJHURo>Q$%97$1b58ud|eMoS7pDWu7rC1*3V&`B^Ovn zKFq22RfuWtaji0F{_E;UVBsn|{~KS$#|ykzo>2l=LJQQ^IBx^PvNPu!17#%mk?U@JeQoc@45sI4{$eC^pS5LC*;$een)j9F z_W^>x#h8~43^ac~a+2THK!b%bnXHmSF;6p=`v9SC(dL~}Kp6k>fUQn7xAD^FL+zAD zd|AAdrR<^1VPQ$*?u%KKq7bS55B!&y#zw9TtHycGy~ z^Ww%Uti@gvMEOys%S0^+-NYZEhnS3z@shfOHW%6A| zN)26IYi{vppa36`BT);>ST1qwS_YRhA`K{Zz`F_^`X-N{U61n8P7ygOJZFHTRe530 zhBoyCEogCu*4hj*Zj|ft*(=Rr8XX?*w@1vhoWqkA!t@dv^@X4;^ERi~j@!x`x_l&^ z;&~Jd)V%-~#Ulfv&QM^qxZfL6=~ z7`P2s@~K0r+y>{TfG6mWd!e1AQx=B=yE0QHjOY~@(c{ci@#8y1W_&s00}l0(I{`zd z41_wD0f#CP3B-Y-?vV_Y8_0!02v>Dnqhzxo9o&~(DiOV?I9!?x*9wFcRAOk_FHxF* zo(wx8s{G={kD9q+?FB`zhfCZrwp3UGqPxkjV>pQd--NK00EVij;#kQR&Sk3_b7AH4 z-yk{6OXKGFV9)A;n{Qh^Oi04_m*Sw%>D{jsRYY;}9xi%o5v0FxNCg*NP@Aj~&Rg7Z zzs@3Q6!x|_NN3jb{IJNy{a^T4cuV*FHZnlF{eBR z`t|u(31AFmp-js8{BsKY!DPU~BER>x&Z;gHg|QXY)<+LO?s7U< z<^gf}j6Nmb%hrZ^$-MfjEjFk=r9w$MU*(e^sBa?YY^*qCvK5iDj1SO*l*}?*a7+PHbxST0C5s=rFP{n;jMBBn5e3b z>Qz1XwVzj))uH!0VS4!Db{V_+^B*D8jZlLhdIKrBJMj!6>dOrb`au>|USoIqr1`2Y zb5@Vix)f3;<}v-Nvd3IqO#0mqqN^dBU3kf@5dOl50os)(kWFj=i>{RaEk2j%`ki|N zwxk}D=r6Aa5ZBmQ=p(9XS~JZc>1-2uYZ?fWN% z=KYjCBk0`+0zpxYDe2?F0fO{J@x3IzZeP#mI-l0A&49(n5|+% ze<;eyd7{zT*RTOJJuGjD@*RB=5Z=#lpg^|O*$(zY?^uQ&+kE-#AV>@An@J2K%}?HS)h{5F`sb1_ zwyZw#@rKokw#!E!&vf(rC+|07{pror@?1wV-Ee;;hrC|bc{z`kyZpXsX34ayQk0Ln zoC2Abp{h;w0ELg*n*y1;sO#vInZv$tNpor2%-qxACG_GBa3kGP2N`}#eE`_F4$h_z z3{ZcUzH@>sh0EW5^w-kzV;4Gj02S&)FLj0CaF#?@E}=pe#GEM_FHxQ!E|?4LI{D^v zLyj8^3blQB&y=Q z-E{eS%_?U{Z&b-a3};7YZvf%9a+eg*^C`EX(D2|ik7dOn(s`Z^HxSGQkkD0f5Op5u zJU@tjO89KhDeg^hd@)Fx?1$d}2^g7JAGv%Ake>ljtJ1Dso#ll@RJV8YB7}Rb6fcp* z<7@vlNZ*B*J_D6+cx5b!@{#h1lIY9~t-C=0n+viR+nn=W&MCM%pa)Uv`V&vwPU6MX zqhKk^F*H%9kCp3b=2G6Pl@|qWp?v;u5OFT0qN!k{e5z66*~C=_Q|jH~@D(S{t0)fX zs_bmY3eQY<7aXQI91a9SdBp;Zr}?FjVE30U%4weVd)~VW?Ca`rB{KIoya83z$FMJb zUKNBEyjMg0H}~gyq2hIc$Ms^SQf;b098^_ZhI%Wsfc^HPWM_(-He9nDu$XlggY~|X6-C_u?b`oIUjmU(2H`fnar?47hG@otw88U%1B1aij=Cu{46Da zUx0aW>mtg4+G(9Tltkb4U`RiBPlo;^tcCCSQ!MmJw`trdOfBMQA}xy&m%caNg`zpfLm0C5lCVh_*f7 zP%Cojgt)76w#DH7=kXF#i)K)qn~}T<_dk%=_Rr7db$T-kHaz<(3gqSlRO;d^x~8|u z3AsGKFt+|gN(IW-(UWq}cbdyf;BV~QMQh+LKmc)iNJonL6J3^Hw zKC-J^V!mthQQG8guw8M~pJC`C7ZA)-ok>}x9EEW1n9lOThct$~P?RqbZ>uR-s%?2haqB^IIn7ZNNNrh0$x^>K*9kFKzB^k z;~N3P^WGWZ^Wqk0wD&52Q{bG~d=q+tV+;&%f?1EGztS$;OCnfsl-SeZ+|c2sxnv=Q zO!tf;K$+nv>@rEqvbBwX-1I^#B{VL*O2|PTF5rBS+l{Hhytq z@Ws8XwoA-$TPo)PytYe5d7$|tfbc*d)EiN6k%3?0Q3~lMDjsM+p>@1Sa+T&~O_cYt z5119Q(M^+IXfOMyFCiQ4<Y{ zISMgY87w)&gMtv{4EHblcA>#@V!4fRa*N;O8mnMjLX#h(E|oWAWfGqAVMOmEkYZ5t z_{DviM?^r>9iXG7a{4fXT_iV@wvt$!2B`R zEHQt1X?ZmLaVvG{Ad(Vl3Q5|<_F{#V&CDB)YsQU(XRtCc__O$VH4ZlpzLy`Rnq{dr zk+H?INlOK6JbjDhT^_fiM@O#|eam*t0PVmb1%1nPa|y z4A-Nu6sb1SrTBPlvOpUabnmlzIUba7_6sN2XkdJkuM@cOj8`berLNFlgXl_M;KKKI zu98#!1a7l3e12?k6du=QbJfd!5EWbroyg(p1VE9+!hdT65R3x6vVt#FCUQhXLL_IuW?VM$Y z(#t5Ea5y0LW8pbNFy^+ULe@lRrP1p9RlxU@CEFumm5o8(^(yL%>4w~;PP?=&^oQR2 z*|Tr}PH4$U+0Xld!B)h3KU)?Kuq*3I?MmsTK!@DH=ia75<`kTw2zY^_UI!5iQK9A% z*h5#=+8|%-nL{>sn)8U-lLAHe+>)os8SE7lco8q9`H@=JToA6$q3CT94YGd@1&88| zUrsKZuvRmRx}SEgMI8?M@J=lkRe#sK`{(~{-cWq^&Gx(m{0wYtiU>CJx9^BU`xlzm zmAbxIN}|NlT|9))54x-n9~0qOj4!szE3BgU(1Sj11K?hUNoxPQx38{w&Z^bNsJQ-_ z@t+?zbD87!X%y!VxSTb2iQscyEr_c0+I%7JX6Fn6ehz?_yLDPBJ4=N*lcFm>19&+_ zTMJDPRe++1`n!^75v7RA>nfu3*OFS74_JVn(YlV)PI&}66B5ocxg@1oE<0$>XNN5% z5I_Ez?-ZPe#IH%suX{g0HKZOcz4`no7j zp55c9aWs-=Wg(K_`zXof)p;w#xdNF>2tO(#8OxfhGwFEpG>kuh1*nR>o7cG zn<_g#pnu>NU!sJsc2d2~zsSCWB&={(3ob0gTY8WIZ5P2{_zEw=4qn0K z3zUOJEtR_fLnlP(@h~BN3ywS($h~arQo1(HM+6%)EOq}W*5#{!kF{O%pdju=yV0fK31T1Z6j>B!?yt}(2fS$4H$u<8Q^bgO zv>Q)dnk&D=yr_$9G31wSD7lOXYqT6Yy3}cY(`uAk{AT(esof^aqrv ztBV5f6RaS7C1aoMZ|m(;eP5d6(HrHh{mdz;FC}cjZdh?F3ve^? zVtbMGgUkVe4nHQtY!HBU$}8F_bCKb0c(a~&sPB|D;B3hAEJku)RRx5o6}dAAr(Ojk znuXz_5DKFR5c*tSFD06reV z=CE9Toc~}Xo@2pApAWaI%AGgW4UfYDc#L>aA>~W6B1+r!tF&Eu@@{73y+y9eFW;^DpE-7} z3LIsor|p7zJ>OUeMEI;|ZqWmLrn%k*5k5*u^d_Cneduj6je7Mgu0ZkwN47A@Im8odEb`c0%9|kPu%!sPYDZWJC!v|3Y+f~`e$5&faFY39@t}N8F zz+JV!ydCWAH+~U)>JO=AZCFFyrzUiHWG! z!=fx@oOHPdLp-sxxMiV3taRw*;X1xv0O>!I@^kcl5}1!K$+^2}HwP$~vU3%I7$LM& z76(E^5gcFiR&4A+f4l;Ow~g4nI$(iKtBWW7&`I4hVjd@mSIQTP37$-kF zc1#t2=6+NjB?RD(;iW94MMvempm#Q4K}Ep4(pACO0Q8FKka)+vZ}sYuuPj!bQF^)H z0KR#8tyLR1%-M1cAM%B|58W3>i9LjS)4`X}E`9QCg3IaP0kPbBhPLZLI%Qwjn{t~g zbbM_jY@*_5rK$+l)^>d>1!fn~X&j(9Zttb5d#CMMC)>{-*ZRAzq_(229gwEAz@0SL za`gRm(nSs-8cFX@3gPJtJ>MjS@C%*w7L&39o#?D5I?wRD%1H1(@i>8v)QYkI_g85j zmgkbA=*_eAys|krOE_B@Bd64TR8EGXQxKf2 z$BNzu6upPgU)zB#H5WWX(R&(we?2%x;M{B9SDF`4^e&&HR|M(i_t(3$&~MD^E8~?! zai%ytNt8I7$lTt{+ey@>Wa-T-iIU9)=eB1`*QmUgFUfmZqw-$9Q!t-h1m-pBEkV>f zOG#O4(N+8U1zk<5yh=w30E7o8tm53ed0|DAMmWbMX*2z2q3R(@^GD@~;=l_N@C%|o z@?-ggoO+o!uutux=v_3=B_3qOEc`c((3?d4G>0RJIt}!m1$vXHG19 z43bmo4WrhTT$N8il;+AY|H~Zn8r4Oq_tU(|IunT$5f;UZLb$#GSYo0W-%L8iOXec8 zWtlixFH8mA(oVSy2+9_{HXkZSD51r4uqq$N##m{={B78x zCuP0IsEusuMnafg(y2i$1Sw!pbJVZ6)AZ)Iuk&Al^-|1^n&5X2wySX8@ zIixTAl>IFb9!=J3zdS;mH=bU7R;C*-ImlUHSGi9L4~Uc>?4x-I{|tKX0Q0&iez^`p z50PrW-%)OJGbFe>5UToUsCJ6@BpmIo0z!@&A(1TZmjc;7!ns^-M>G=(o}G8jSI{; zV(&#q-`ct+Z>pVAL}AUsXCZ}fL80jY63!^NuKsb2I$a1m6W$|-Z{(H%k%+4KzQdjZ zkK3Kb>9f0F!|!n%N4vNNIahE!reJJLSIQ28*csJ)-HUl^=+^b6tZXFORzy@5St96! zXQVJ9_kk4ecZ#t<&Jndd*6 zVxzUH8z_W6YEQ}PuS&!OJ%_N?2KnNk9J?^W90PA{2L7q9%D5MlLfw^IA zkqfmmr*+m-2rmU2y`<&}=CSN_<`Bx+Ke2Tb1|zfiyUpiZ^mH=^qCF0e1bqw3@Xc}f z2L)rT&O!ihA}xz2t!DA})!av;w$9ly$7Ip!FQZWfgjnh_QQfEz%0=%r>ct}tO0FD2 z**+W{XzRCIzUO=SbA_-dP;r<;=%fC^)Ti!EUevlo^Di73-rQr^75yUX0)_Ba`B6C? zlwecAAo{)Ll1j7eN~jmiBNwiU6aMh*#UMHcFg|%>FuY%DB?)qS7d8xc1KU@5!|EwTl5%>nC ziJayp?T2*cM=;Qp=`;js7R7f+mwQp9GmPkA&-rTT-P#N5l4}kMoSB!nz->l*+gHRn zzojm*qT29`NcKkM4$x+fuJ{4F55OM@ooau{4qbSD4MqKl0>!7JKf1DcEykV7)U^Rw zxn|)n2p!XG*ZkH^b}`rrFAeM8U|p2sWQ? z?ic#W4i)K6D|g=se*ARP%yjZc=xkUGx{!C9nS(*D?76tWkqXAU0ybxX#3e~NmslFe2{bL9?>yIs$Vs2`N^(6z zH7_)*i=K4L0eq5cO(|Wh#v?=xRS3UDF!N9(xqRrSQkQmx!`VxL-Z=&H`Jvapt2T8v zM#5aYu*O6|^9!}N87LsS1Avgd8`RFA#_texhX77uxAmt5)T=`KX+i*=i(Z^_Y=3)#+^!|y1_-x@Jc> zpd=1EgpsX|i8CoWUUZ6!dCW4pb5y00igJGkUgt7sbW{AVM^ka^1;2cQiL& z^I-F_+A045xU(n~&*WTxzQCLb*=T|Flaz0PbY*j={%872=RGz)fIVfrv|Ta-hNbjR zfS`r`q#Myy-lkpo9n+0~QFP^UdNI&kj0AG#-f_iJIXrViF&{H3xj=83t^QMtua5}z zsW5{2^k*+N|4P6phWWfPu}Qix(eEobtb9OL#8eDk?-U6AAi78p6$(_$FRKvNpph@t z_li2A`~cx)`$e{MMFNHJ!a4|jyy8&)1PF^<-000PKUElTXfb(IclRmzucjpZ7c^`PF}Ae*@dY*@YI+r_Kb%VOW_9OPQKtb(q^owfUD@19HQ z4tC3Hi%gcS1NEv_L|_Vhqo_+gg?3kBo0paE(oAity1z*0uMZINP9U$|LDNUU;N+&m zuTOUS@B8_&Oo3kYaT+rny3A7%Pr+C(qZt!3X6J{QWO|?D!6pXW2 zR`@K6C@~%gkK6{^Qn?;5)pgs+bUDx88G74+UcCOYwX?fY)Dd*$3G@uBUVJRvuKe&M zx>DTmh*v6E=b@FJRb*@@+Mw{rwFN78j2XmtpVYv(k7G;!qSNHc)8=j;Tvcdp z1O6yNqonWv22t6ubfrc;graO|2|iFh6WP3ey^FMQ-;C&n~PT zzMyAtaf%M{TZM2-)($?EC_kGwIP#)qDItJyb4~ynHG_gtD>wSeY2!!`wG6Yp(#Cy4 zQJzG-u3!=6SY*y~JqeEP;-$I%BOJizDk@P9ASDEol{0~7T@b~oafBtIno!q^5XqIu zqMA#3DU8Z~1p()LcY)nm>vRe(5N|9Jtr#c|b=clx^2Tt`)BlDa(8^Ugn6H0RpX+;`tiAc9tU z^E2#4chtHR2XbIjoT8v6$oCN8ey%U*B??-6T%;6_-sNfX4U~$#5?}~=S|fnYQjTX| zMO_#`;Z37SqCER5qO>c?;J|ML~D9RInTxv5q0E7`4clqT77GU7;6=qJi z`{#HFcO70R#?e;D8QwrPM?<&|^3rED;O*bWE6J86M-WiA7VN1)on znE*b2)BZXl2OgLEoHffauX*J3y?C79#-`2^HERb?K6mtUScBGjc zUhDSSQ3W=9+tHgk4xw@w^;M~4j2I#AdLx91(G{UXK zgs4yWHH3EKeHsTMVlEjV{7h=CoI|@40vMI|GD0Aq22v#(Pb}#2x{7vj6$74K^Ik5Z zIFp69p9VBP?8PCnDBiF7qTtYrsYR|fdKs%K&J*RmJcicF$xh*-HLt&17~y;QIq5mq zU&z6qzQ;J}d0|+dvzxAM^3mpuKM16cfO_>AC79CRweL>5yxA;^pRQ1w54wkHhQeiK57Bq!$E<33gpd0> z)WpBGP?YpnH8&Mt`m3w~9il$M!_}&teI5y}eT#BX)JJ2M`nFi!7Ex%iQ0j_q*{{Dk z?FEkHf+o2DuH3H9aR0h-I9%KHK57(i6fpYrPdIGYRj$Ac!2c3#ek=6gPU-drO>TZ& z+jTUZau)xd+H%z@%5gcq8$Hhn&1W3RWE;LJ>3;;JuC(H^LkaSy{NKUz+`q45(Da8Q@Uw z^mR80@ig!EMmMG|E$~CFYfjkHbzmN!j<|fvO~S5%IV?wkvgPOfnLjUed7AfKxh=9N z&IULfvVf2%G~da4i+Y8%PSWJ;A1clJN=v!TzYFSar9xW2qJ*{gyzLXN$E zceKB4a4%_z*R%ajepLQWyA(pa+WALZ5<9mk?Sg~CJ6aU@GjcFi9KTMr*T$Pv#s5$F z;S?>`2`nLClqL?G%CL|4FBvW*ktGd$j|4iWJA~ zeN|Odrq%h1ApM1~*=f(eH4l|Dsu}Bo-pCU>Ks);Q!?XPPGsJcsw4={{LK~H(<=?BI zPj>mlX-ciYWwgNWB)W2l5L*t_ax%Wi)#+-9%39#E3AZ@{M$-~=8}T<7N;riKUjd== z!y!6x97cv&fN+PoeqP=E8?jnYz0LQ~*&pa?%vJC`yMT0x=e_ew$zF+(;kk=bPn@~- zECq#0)&if?x^f-S)dY12&ijtw=-?iLc~89?iD={=1^xPT)*oXI)F@PjIOH^-t}u*#JZKIEr4@k*ArX@4)Axfa2#DITDJn+OKrH=1C+|PA7R_ z_<=-I3evycC4~N||84_#+0SjQz`U*4lKr+{;w_3+x$C}&*ZTKB$ zt+@VMRm=6E{@uSz{AIv0l0fR`N{)%1=kS&QC(>p=YTSV!v zHu|fLD0)dtD1En)tBt76rBb55>E==%`A+L#)L|{p;D59Md>j4MMla``QeO}MSktv2T>iEpeYJs&d^ns%2X~8md1YOv{7mw@L#Q!X zr|bmqTgJ1)YbaKDE=P1c3>jM$cTXxh{=tuzWm!?-ls^tIR~9+auMqzO+2C?M<6fAV zuRqOeQ@^Lkv5fG0cyU@6{ql1n(v)^aaG3Y`PHR_pN;`}lX)a`0OBX&vbNP^4^6pw} zaCILE@e7}`WdRVH!Nvj*ZZ7zxS>f3hrPBjH*`bPZVN_jRe~_kR_=9C(jE`2o@cB8M zYdF}bzHqp|WgD%AFYG_8iG0W6P8E-<$7{RZLB>9V#faARrOMw+FlFzDWUh7f)4E<_ z%DxcEoU1eN35y|X2~pCO9#Ma;1KtC~o)l*}`|0jd3tcJaGsG#8Xb)H+=D^G1aI{;D z?JTb9U<;(X40G!0)SuQK2%-|Qxs)cI2cl~DbaKmN{<{{YOHQt&(qy%7&OBlwdsiL~ zB9?}ui#cxfss-1pE}OTDx}JxlbJhU^<%hIgd2a7Um~*}u7MBoJeKn}6x(c{neN^Nc zyy3}G;8~tTOS=^uU)^ZCUsQ4C>kAwPgm*v%+Ah4c__EHTtFRWUuu#3|w#}+yJrX_p zL5%EgaEca{X=%$3wLO>MH>pgV^?nHrXe_+L(2{uaRG3eRYUCMj8 z00!@!X!TDKU8Nr>MbxiXU#5y;cUyt5geZub+qLcz+VILWpX)6kOladhLgA@-r@y4M zaW~M!ES^Hh1}K|%r%Mm{?T?~e zT9@|&Tmv({Ivx)C>@>yb$-qZb6oVW8>VzP zcK1!aPOnL*#rM~NjIUqvLLv<*#-3e8nPh`Pe1lA>Yv}Q@nm!M=5t;Bg@r`z$&&ME2p@0IXai5t9G`U- zaz7rz=j<}x62vk#Jb#qXP&6AEc#`d_IMm!S83;DWd7I*}h~mBlwz@x-$;=PETY+GE zrZzDL^NJujoE~aeeVnvbTu?j5*y4OePB!z)$~U{1cHeu^V%3vpTcf&=kd4Q@_7jOPh{y7v^g`eN`IAii9rJhZ3I5zbDHrq)o~NhcNN>O}o62k$r1HwUFa#HS z2pKx!MpioNt2FTFb1Rz(^tC3p z?O2dnRDkoGRwjo2Cv%YEexTjAA>`1C)she0TZ*P4M$a2ngQbDH^F&>Q;b#H&aK4hU z6J6w)NsIR39wykOd}jr<8CTmGu#NF`5DpVXlh-EI-W2x}z3yAnkPfvfQ(FC5+3%bD zn92fV21U-@ft#)w1v85TpT;Pm_X<1*eDJC3O}VVp>it4Uo?+dHw zqh-_@eai6b{<*CY=5NeN`kT>61W=_*J?Ug)U+6#Y&|OTT9~9|_T;ipiMem1WSa>uS z3=94yyt%|Ne%Ak&pb>QbS3)DYhO_blzFL=}Q@h3js~ac6QnMZHDZU-C2n~w)=h_5{ zh{lpG_q4xnsY>M&^MkphLIShZbtt!$mOn$`F}*)2%(3y3H=;TjirOId zTzCcTyZk*<>at|ia3`vAIXIP7K5cXzFoioMzmnEY^|@1(k>})^1)X|2X<}CFqHqY2 z+KVN*Z!a3uNNi(#DR1SMLU+46FREx9p%_XvDEm-eB4!;?&NN79sF{F+va0s{6^(Wm zU|M|yFaxNA$j$UeGo1`RC2mH7(i{d-TVa(}U~W6DyH5;_%@zA?ry7#RPrLUW zX$cKK8CvUsEBcNFjyA<(TKQMjYU!>Pw@G;7xIo<#XHJ`EwN98NTOU81lxk!SSJpz0 zALk~Q!mzrVoe!eko=UXkYo{2vZ;|d5(PoL5F^&1Kf33seKHKVs4fsLWp2-L0hAS&0 z2f&gjGoP$PWE{RsS=}cg-zCgS2bxI|Xx!SsKd{mBpG3LUp~OAliV$ISUV7UH%Jy}#5xi#QwSa7bUYh9smXT1Gf|VsoDStn3vH9KaGpHIviPEetUCu~0R!aBy z?^l@f086|v)UNpBLs%o)T$1AMQDOk;|&+>le}-CfPpm(%Z{xCkRe+C?MR z7;P{m(XU;X8J;e<;zgN%`hIQgao&A<#_2TJz+mJ{f!jpRdm?RYCjb=F*2zxj$sLWLURsb+1wy# zL*f3eGi1>1QRgn5J3r28T%*@bU4p!z>Y1}bH5p=TRBKeGzKq7w1KGV;ahR!=rMnQ4 zLTgTeQvyd;@I5XzP5z3|&`SHXkt*ousZGc?G57epa>`Wf@%R2^0pAn%10~Vx4#DR) z=Xc&N8?1hp>kjVm(cLeIS;|SxHKHk>BB`Rb9Q-3Tqi8uD=>qWCENI0bn|Ejb+Gk|;qg`aTDo;%XIm8IOG0Ff&PkmUYq;vr1|n?`c9{OAxm5`J*M`3+ z_gDv?yv|XSPzFxTiWBsCRDRLo;*`N&irkoQ>N?t=Co@=`J9lwIMH*pHQwkK?mo`sd zinI|mE}$<$4D@1p+TWj_FfPPqJBrtlT?{i33FP_r2(u1FdH@&Kn33{Y*xNPn>ZLi? zG=1lI3b)-&DlJsYC)Z<7KxVOvn0@bG`gk4ESR+Bo%=t7^aP-yeANaoSV5fj(OQ!K0 zN-Z-eFEj4&-Q5u$HP(+*?C$j&ZV(;9YJU6Aj7@6xW6`7ARvlu^bKj|eMFv{GM=pT1vqQ^_JsP{vwU=Nn^|dx{YhQZca(BH**If(sR4PklMZ5`bOePD zRIF#{MILNo4#JsjnM5 z^Mf+B_`)3kAYM1 zA#Vgy?G5zJ86STkxfwHFOJHH*>W(h!I;_|+n?*S17J1E`cK!h3RQRj;l4tXz zl#!MAZI$zNFIBB(`{1nJpYszz*meI2@zDHFsLd!B2bj1=w6WK33>rH6Wa$st=U%^k zPyylTWB*J(nnopm(P&>)C?;~@{%qZ9Gk0sn@?0R^98lB#UisuF#5Y;lCR9U0dh{zI zQBB9oMi%{UoEPIR1}u$8^GHZk$5A}8+-gIletndQmXJEhz9Fn5I-aT>HX_; z>#aI;e~xgmpug$U-y6m?PVQ%HoHizoaokgoURf60_rHSl&1R7O#{YS!*2as3!+i4Q zc^D|?;O>176s9jga~3XpfjLt6&JVxcGC9i>!e2RlU-)zVPgTnZrrtqEAFKBcL3Ws* zewcm&;tO)kz$!Np3gU;|!;i>*s`3>k;warCu~we#;u9crSDp$Z^rHzup@+e5l1Z67 z@{~_gB`UGxY1XMN%Fwv7WrU)Pzv!3hHVvY`q4!+fg&_UL`TdML>|{4++`do75B`~x zv*RnPxNg%B-(my5@I;i2I-B4_$u;keT|$+!TLcjGw&>H zGhZ~-=}orsLi>J4z;gae?Kg)V|9{@)&_e0DeqKA4Hz#YomZ>)-2VaJ9(udh)Iua>A zYb@wpO>8cn!-}MPl?f?Na&%$b+KY1VLA+PRLKI>4^^frY2*Athy50>F!)|j7{ez1aiaZc{ z6%+V5TJ2jKvpU{hf1S|^Gdf)%lt~IYt88p!0wijiuBtWjyM7EBhe~3ojoB8LQ??@7 zvQN`27%8d9*c6u&iGWJ&8@pjuLtn_K5(^^|K`1ihreu5wJko*v|GN>X6gXtuN_s~l zXVqxLfl#A?;<0c@tKuWfJU_%C(K;h#GhrCu4@BK`LhS$TMmg*M-;MuIM`KSSGA0A| zUu`43&251c;y9QtB9D;d#Acd}aa1&PJ8`zl$5G|*+u5*Ny*Lzt12xQKa(++f6@3XUA&`(vCrE~ zZBn#L@F`*Gs7KBwo3y-M`LoC;rZh@nsTkOW)_NzPa}4rWg=%nOVgr8PVm|O5&QI;f z=Dd+#42G&xqm)BzS^}w3+FkX>*aPq7z%!a!F)ct z+*_tNv7oKu2OucxGZNwYg<}LEhw0Dx8B#fN$`T3!?k+3M-W~F+(0`|*(pkG|4` zfiEb!y;dWA0WhCY;t2=*7J7ojV+px+Pew={5zqiX@)Y)Af=R~1>hLJ!@zp;xKMy1( zh_g`H^1n(Bi;}++-dF<$5O%^Hff*&!nD&+-vSit>(?-qtt`Zk=S)8Y{ogTH--kdRn zu4s)1!lGu8^q;A(lU;agQ+!&D;;cVou+aiBcmIe}e3FZ|WFyNMY$ai_mh27s%wacY z(O4?_8HI#J()^^PmB@4CJD_^GX`w<+hU<=yrdPevz1m%`*+%VpLEv<>cTD>Fgw68T zM?lb*2Le{dqd(}NUl}JaMC^*CSLgukEkGwx%gJmR4xBHA;^kyxkMEDLF`Km*bd+7f zuv-Gb@axaW2_ny^M69NU9|>~gC`9ZHAMdc8g0!P zA%lU8@VU@Qet@X>J%Q8<8Z!z$uWsO%*fTE6%kY}MOCwkXu5HqI>d&rvPk9oSDEltw z)q1a)7-wyXYpeefYmETA~WQ-Ar@Y?hy96_8h+j&ld;X% z+ik7rr>f;3l5MZWFM2T!k%VKWzi4sCOmhBK&*QEVS@lMzPC9X-Uy_U<2i=CoG!q^0 zX`K$^MISK30zQZS^ZXB3m#da|w7{7}reQ!=@! zLP5qlE&AEZ(#vj6#ch?8r4YsziJV;SpD)Ycw;<^^qu2sfB7keFx6kqSTk{BSqScCG zy^g+FF-_~ElIBG0`qn8sMS_2+l#h<~;X#wwLD&cir7rBaKGN?~#hoi9Z!Z?d1Vw4O z{xB}GCEH7h{iV=1-m+h0D<=^M&Fl83S^eP-sa+{g`z6McY4(h^HhYRNxxrdRfq>*&IZE}@Cy?#UN8F;*V1~mF7*90R;wPC z{IZ@8sa}gC%|y16Zfs%&S4vwGKy;1Q3u`{)mq0Tv=uWjJc3m|ZRsv3Savg?-PWFgj z?-2y+dLsDZ?684n0@~xVCz)lof94%& zv9?KyWFU9s7@4_hLGA>!Db_jA3zZo#Lx$meXaYLg5{La7V`VU^zQ)cP7DkPm+zW)w z8YT^qYWrO8MF@NIdU<8uhLp~(%bOGtjD^qYkQ9MSL4}Ec5p5vym7ntg9s|E2idL9h zrBGhy;W2<&2^hFD=Sb^g{=Gm=ASmW4LW2B%TWk4;{)-*U)OG_VVx>bO-tZMedHZSS zG&dBJAEr)iIU0X;#`71s4hNsrqJYM*3-G>44w{E@QNSsXl7dQV=BlH-Y$Ipp#|GzF zu#_&uOa%}%THa`?=BpmZ^%x&W62J8EL%|zKZ7iAaL&p^O`!gPn_R> z7v7ca2~$Eg!o69$Oky<=x-9qDa*GEW3(;$DU*aj)VgQZ^kN=SRjK-DT?_+vzbD$XI z(Ru?j%`tqiDdTq(E=ZR7)t?ggsu#ocEZ!Jz7+GUM+07*6i=6eHtYEvb^yL=^DssxE z8&BQ!IB|p!kH8jMBWTpx4+Rmclr&kC!&UolTcVP@B*1gy-fH=;Duq+(3G3FsDl2Ov z{(Noa-A;C6m8jHV4y7AVV6Ul;?yKy=3*`=cm`3ceRzue z>86q(!rZ);$|>3tSiQ;?huNMIx)L8N{%8HeR#Rfwr(usr2d;E7e3V6;T7n3nr*EM>FVWRQ|_lskW%pixO+ODH*EpIS0e7#4yiR938bDC(pBu^UTKn9~0+o zYvtvuy~3GTQ9g#*`{L4w(U3~30;2E~-_85s<$KHpKbqdh;CKn*!>2ACzYat{>&r7; zNsrkO{;h?Q$~I!M%VC~ji@b5PaM2(3Hy1FlU&V$t=t_WA^l3)qGexuFvIzh2#<7TP zH0!w0eF=2-_Flt}czMXRPIhwhqd6Ilpoo-1pWg{(e{4cwbMH$dbY@52O4KV-*LzlX z#y0O8mwL2={emDvx^0D@qDDlv$e!1fBu3rCdR)>X00ig>!as-6?EhOm`X+)ERfCP zv<4by#c`(tU{-LW>y4VwO$IEjW$8FL zq{scOSYCm^usjTWT0-a6o~;82=^IF9rSuaeazlzM##5;+vS+|)zJcvt>K5o>5?;~U zJ(0;}n3epXnw8WrJ5&6jU=q{h0wc3WH~y`{s+c2fUygmPEcxb#3DIso2xoM~`H2_8$8V##vlNZ*hY8_C>=xeM3zkzH=NAZ0lbu6*Z zp$Yg;)*kF3%F)2Eg+@1j&r}1by)uM$aK*7kg$yQIa;_^iSd-`KjM?c@#Ytco?qoqp zaV;<)VSHZmeYD{tsXf0(LFM>V0WoDhn}%`;%zJq7taMDrP_oK0N(r}Ia=gz-qt)*r zTQSj~MARq#JEL_+5jF&6hk2Zl_I$!U*}=BcP{5A+Aq=CLn`>OhtinfbyQXZS79;Wm z_alS*Qgz+FQzx8OlHV2}Ke&LRB@xvfo z&)udan*WfVi;jZ;MZ>WmGPpquC={=ZQs0X(@Lm z$nRqIBLHJx1JM?jBD@cm%EgRD8Gmw|N^kIy zR_1$XeR^v;zxuR}Y+%f=e1|hjh7d4Lst%6RR!?hM!;X@8KJ=K;SRewDWcOb=F348u zIIN^$5HT%P+|VP7S5;r=sL7r0;(mQPrz~-l1zytdR}iyTRjxxi|F^j02L4pDmxw?P zpk{r&yyZ#)la3Zv=d)I&Sg8je7cj%%=>%zu+ z=wY&c%z3$eU5l!yHw$s#tjO3JrHvYAWs1_^@;J^?j^^{ zQFw)IfCI(C3ugT}0&Q{>ibB;gXh4h)=yL@uQ`l~zqr4=%(Q%rfQJAeM&GXae+U=KP zy0h|41<^Hio8>eN_YiRYA!!#H)UL{fx%H#ejKXI2SVyVu*>ARSw-g(7S#T^Ootj)M z2iT7_#1v&PZ9hFF%B8tTz}pSFsbzDsR}D0}yiie=*%bODCVuDy*6ku4ifD84FRSFt zLdfN|5|HbwH1j*53j#PALNcM#n7zou+o_f_=(R6llb-gT3KKZmu8{W#E@g{io)e5a z0@I`9D_zEF3caFU^#1*oY%1TPvg$~Eg%HDg${58V0j;?Y)77zaNf)i%JBwck#NZmH zSH5BFXO>5pB2x^< z^*Nnw3+|^;-q9s~e>YPFp(;;mqh`Bxw*{1|C*Rq_-e{7U3(;lhidT;E5PxHRIGCg zZT?DI_k21jI+g^4-$7KVRl!(~MOA&2ye;6UZaD!IRE2$#5RKEZhK4tV1mho}PyG?C zF4gDA=?*;bKM*~U-Z<*-2dDk2&YB;Rf1&g?HL1`G@&*f@yxgwM{pjN<&3R_8q&&El z99w0mH)i!TmbZC~sAu7rizE4S{gqs!n;sKiguO?w7*Jd#XybL}Y8xtGndQurC7?*$ zE@7uC&lW}Bce3;3w_Dxbt*qk5qaayyDjKW6-4`U4*&~%1C#`FIU7O#Gb39K^kS&RV zKkcFOR&xxJR*7RNib;o&-YHs9bIx5~so zUB4K4EN22E<6+&A?#Xydf0gAbx7+#Xff~+MUOE>a{;2NnkSBKxe5H_A8MMiS zU++Q=3yBu|(Ny3|lI>y)e?r1gwyU1{;iR|M=!5z7MUPf)h(T>09_QdpMO!O^`d+*9 z4|5;6{qYTT@GHkhtyQk1vlg8(qk|v1B#>qd7!rdh9^j;%^9x#`&dF%69|+OH4bd=X za302U8bB6_sl)$1zQrk`jzK!18E?EX){5`dULMm@UxLdyLXpnLm4K5d<4aeS!<*AY zUH!L(c52KA z8+!F$GP2G<=$(3g@cj{2v;*#zWsF05mr)ZWxI|9UMhDWf<#-7rXmK9jt4uNENK7_# zn}5yLygQj%1xVY%u-ToJHA!qTgJ^*H=ViYU4-i_GztM<3G?eA}Y3>m~=5BY3r#U-_ z8DwdPyhgl{HcCL{0Q)R;-V@DPssQQa|Flwy6Vtvm2(oG9p#+}XHikCy3>_vf9b)MW z;M={Tf$DB(=fT-?#63n$ECo$hp!EQPd1;6#~2xmal@#dDjb5Dcdua7Gt(}?a?rhbu;gXOmi-TjF2 zsrJ(9F;dK%btgYPtMjCqnyh`1lxNVzpPWKUtZ23M`x2Kbt$Q=)>xT(^iDliXjoj?l zjiM#&%+jP6Kj&+Yvcc9D!Djs>-5B@2IN9xl-^!@O8GSBJ(9?flbN!>XOs$tdIh}j~ z7S6k2SxP56e+Sx>WshoAK^d-(UaZGVMR|eR4HK6tj_>kQKn>i==y`e@)DM1{8& z#OhuU8{{VPA>Hll>fW!mO%88nw$_9gl4_UEb8Wf6GxCh(87@ovGw2*l`$_SArYcLp7Tnv;u(V^ z6K~$*sGvl*gMh@69uHy#^>F_`$51o^$*)$*Q*I>!-r!t@>Z_bAQmwtMgoPq=jgm(V;(#@}o1qYg(NdEniHqaVs|h?QlH&28 zxH%5BNFLX^2yy0#qd1-Sw_3a=vd-hlQ1Pbj?#iE#yCe6|W%Wmvm)2*ewGh|I^QMQJ zC_D8i%KP~XN@!*}tQnOQ+hgC?|LAE9UBfL(wDBw<%uCP#3*3;xEYcj8V zls0}LXnuyGvmCMn{~AhuDso_d`zg3GvS$a(Bf&w724!XZE5b=WkLc+Ppt#764X>hf}|XTMU#N z{_5VAyM%)OUx=6gPx5C>gc!j9OHQ?b@QdqT?`H#!t&@wS%lJ~>itNMdr}1Z&xdod6 zK>%;@taF3yqugEl4|}1As%bYvsT*}Cg9Uc;V#LdEP`5mvhUG;QBX|>yNa%xIW5_K@ zH~3O9c7>WMv-MtaqtQ9EiuiCS=`Gl<%o*U=DH{SuCETbqV7J*Sn4EQEkN~MQI zIJe({E7Xz+k>4vLa0z(bRi6`YG*wpdmpt`~-pMAG>XPJLj8!dEhaa8oolj1qPoht# zgcdVWSN=@>nYyPkp7d|cL`h9R-=DBDk(e;o+;ckzyZNJzM7j@druivAN8MfTQ2PI9 zLDdn>1QXchWZJGoH4o5l?)ie=6^sB`q#-0npvD47V_DbY`*B@6THCe-Sgew(vvX zAXqdp9srT8CZodQ6TAOxd*q_kx*n|;-%%Ge!`XNB>c;4iuv*zz*d9M(tyEUcx>8eFB=uB zvEl5HB>6YHQkz^uG5EDw454qxB8IbUl2YZvFA|^+1EgfiZ1YMx`?4rJb2*<~g9h^^ z%Kta5#U%t+FHKX8_^A7)=|YIdY& z-yAPY`=~I)j7knYvf?2yDm!8%C42=rPI)@W5~!?cn?LV#x1>fKJC4<_U1h~HpYJ3& zm>-??vTtPZ{+K>=BE5KtnQJVL(U|NijqfiFGvogLrUZ@?&)7*1?u7}Hc zepxv4F^OfjTM3kp@zYibT9`7OOw8WLuSVGCllLG ze~$i#y&Z=M{F9CxwMub@04+q@v!CvC;4COD{jUJ~pxGfS_$+&2Gr5?D0s${GxUD$5 zQHGCW3NIH1B+|=8$<59`{K(=RTW{nGQM6q_s34Hb*jCo7N6A6&HDDs>j#TA7I=vc^ zx6lF+t5kWNNNDi`-?(feiY49bg<|eFTZq=gwk2^g(dEHUH`R(HPL~C?{5a}Yg)dJ6 z*de*`Tw7;^gPsTTxtS{dBL48CxCSOscbK|;O2N51Yvg1A)0RK23K2;Ns=0s(NeH6e z0~p(`JVw?-7DOO$aCcgQ>eXxP#NE3VO?#Bjapa0FO>{}8lJNRAK+q~pP$Z0#W z1RYF812azn+R8cLCh4$7O68i-N*2oXX6?UN(018%(mbX$cjKgVdAf&%r^W9Hw-uj9 z38evEjm|td;;G~9EIZR%SQ*v_NAk$%1^W_7ck-%U43plYCx?j?`;1rc#fu?{e3Vwv z0O(L?Ks4Z_j`a4%$W931)pS)w1LZ%BQtpvB4T%U}J3078bXp^}t%6bY_+Q^YC(soh zEqKj3sbeNm$GsD;mfxb=-*sNMfa{ykRkxkJfcaWS(-gzgTUwER&;C|PlL-(||N4&) znZ88eU{Eiw?Yucm#!3VHH2-g-Tuw*H=}khYac755NOL)o9wvCmezR2dUrRTmotFFA zo12xMD8H$e$(g0)`fjAp_f@_H&mI`{()ajkax|C;8;YCM%|-2|Q`-W{NnB?w9w-th zg3vt3QVID~w!T9v%smhl?W1^f%yF2*`U-VZmPfkqp>_@7_0{|V&%*)1IH?#hg9Xr( zQ;0zt>%^OMcBm?EUt3R|{En|dg4#+~SzcAG5@S|k^y^7R@qSq%y8{&n$dm*^jjKRq z=;oJVGawuNB{PIS;Xr+vom@4k|5CG#(@4=SFKgY)U?rwPrsgg`#v@?0>>)KO14)+i zcATY{jF#e2Qmek-&XKC|NP1`Gh1i*R*?FUBNr?=I_QEzW~;11qiua0ygCx_muZJ%rNF#8$fqAIe9=SU6<`qwCQD!=yk|6I%#~D9J7IY9L)()&bL`NT8_P>@L#F&ozar?zXoB33D_-ZHV(&7Fz6dcqYdZH=fm^3H-_m;0Nbz^NS|`ns!nYpNA8=P`J1vz;BUO!$c|^Wy4Rs;{4 zUck9W=+^fjyi(dKufusIv{CRb7 z<#rrBnrR_-nv_#frtG2C^tnE*RNAS&pitgr_+IQ~8apNEcI@7q>!#rAU=V)FDSjz~ zA^cN{$l+^~?_raMagvvLp+hgF6dS*k$pNfvSiLDklV@~`X)*xRfN*X9nVcL3SJDBG zp;KoCcf2}WC<6bHVZF2Hpw6^8vILG)pAJ-p620peCW&s}(OwznxH0MyXPbuIedL1` zo;fcwD%54t>DDiG>y5R?mf@U^(M8g(WBD0p4)!<8(;jeG`*`i=fEQXUHY)GTcPr!=TdY2>A~e` zSa#fBnV+#%{+*G%9-MMcC z6T-<93C)UI+z6z0Gs9^87P+8S-_atDE;=ER7pZyTaCWeSGC264__IyaoeY0q0Rl}$ z?nw*~mJ+Z4@~poy-YfBAOPXZjyl9P6wguEb!6B_ck}>wH?yGzuaY%t7VAQeEcCfE( z8N1ynqpX}td)h0bYZxLJ|L2@+8>bd{KBI&Xn4E65*p#09AYV;YIq!&AK+CW+ zuVsQC2zabhW3E2>vpPy!HzNg@7ZHi_i@(3vpb4!xl&G;9txxI6)Q1O$ zHVuxD=>^x&7Yv4m89c{Df_fhcd8X5@sp!jEYo5jt<2Y=2ZXs&(wG1v3imYh^ZQ9=o~nTKt-KwW?dZW#F3%B{=P)vFJh7G zKZMi}6pV!d@$DH=TG>}P<=Otuwdlyov&vhp@q!|0z)Vw9_ zm2c{Q1WE1-9%opZer zi%1QKBHZO}3uZ+#z{2=mCRl0aXu6tchICNhTe4T-tH;!i^stSY;>^P`0l{IZ5uM-BO6Zxh)!knMCFGg^M(Nd*?z({}#fKgx@2! zHGD6n2(iecB!&Yej}wDbv+ET0Tz`ZCZ@P8j+}}}*YlLc2SL0KBtEfDqgEebJHY)B6 z$4bqCeKV1IxDxmEo)u=RbR^e>RO}9=i2uUm2IpR|iZ&FgqZqMiyQB8-fAf4V2}m8I zfS+fIwen+2{b>Z2iWZA-w#ix;afMjNJBixA>zV>|l6Elgzq zd4@LQJ_;X6VcY}i!Wx>|QAi!9!cYEcTpgSj)uhhXpE8Hk9w${ya>6k0rj7Jkdr)@AM>n4?&Ho-7wtcjGZam^ih~aNdll?eQWx4z! z-0vg~`~KgYxZ$vNMSi)I5d$1tufVEx(FJ1?>XwRiwfM&Q0ZXd;+?a8w!GJ^6_|uLH zFP2-g6YjJTHFnxwuG%F(WpmKE-oZ~Lhh|X>#d0HMtHWrjfhq@fJoN;jXsVd;)h@j0 zEFmhMubNNv)Qyt$`ntj*BHT_m%Wp5#!tYJcJ#FBs9HlNr0Onr-`JC$&3mdasy{LmK z@q~vG?K38PU~J_OO6^cX1FD8lNSg82cg4s#&%M|5of;>Dv zCVB~bOtX}zRRkJC3+wj~&YFu&n+-o4fr~4^`pahbg1Rtz&rdg|e4pdfgsL;E;TJZka zoYB~fbVM087P(Z0ewg$A`Sus`7-j^Zi(E+=o(>PP@&gG@zAy!)be3 z`o)KBrnopfHaZU&zG+%h$-N(b&-TG!ciyxSixfe9yQ-MgVqev(LHRugd!~z__eTMN;(Lz`u^>fwn#WgaDS!~^VY4>N|j5? zYS}vV=M3joe&@!H27iY4%JGaHTt%7cQ*=to)#u#^&d9~jnNYbH5`8MWu}?A_{FMKM z6(Ue8dKrk7?RHY3a|6q+eFga`Gul|4(et(yA~zEA>l8fd2RUjm{;wpn5++HOCl&EDRpXc9; zJRi6>da3h+lr>nc2UMJvu4X3PV8M-ejpfEz-=XlsDa3$8gUhyQJtOaql8D{%%Vt#m z2U=veW@D`(d7M14-@^ZNQ+!h88a${m>?d?Z_0m~A=C|~aVEv&JBzQg(gHTaBTVA$? z$nJTU(uC8TKgRfLM?rJ8_Mg@Rb0ttf49-%=OSI`|kMysaphJnCRE<77W7}88%nWw)-?v}jKJ)#5z!zn;TB z+VHm_J!))=^CsJ09-#o5ybhRNqMPwt`_*D!p4ho=VV$GZ zy^DQJ9o(9o!QDgq1a$Z58#2}5+?nzocG3|+fEala!M`%&z2@I3O>53x;M@j&rsMfI zt4!-j&-ZseI)r@-61|X`G2nJ>et5~A-+_CvyM1e$l~xr>&2QzsWkT@I68%WXf3}YG z);xd9WvS@v)#GCH3i7Yaj*;2i-jX(W^yu>a{1KZry>0Ngyj~yhMSu~I-U7HH0n*_- zqV6r8US2#tYBW-&*^=YDICa?T8Vqz>UOC0YV^c2sXI84&QJyWwc!6uzZo=c5;%X;_ z8SF{&9&h?_pRv#Vt;BjO1^n4++JXVYWvxT4GdRzor6DBR>tVXzaYjPep>NdGF!Du7 zh(>f&E28Q0BsQV>*eBiLA)}OT{!WSV^P|6a5K1p?`GU5r3pnOIJ?6lS5h48|BT%P} zLvtid_bi~;016P;S;^zOSM1MqJ^QDBMmsDBiV`?qIgb^vz3Wg{GZ8#pA&Om0C*YLd z?-VlP@NV9)UDjuuE(Yn--HobtvMk;vBbOloDi>AO3a4wavdurK>Ni5cyVOLp=G!2X z9fhzi{q9*V$aE`*sN(O^>}vM8pi^3&i(*d3GQI6I4z>MS+8l;)F{@eC*bbbLdHdrM zC*vvhJFK(mDceIQzO#)W-FG}o%ppGt4CLZJqrZg%ehC$l48i<(jNAV-2=m~XyIV$^ zb{+3B8k;QhlpyLseS}Kn?Po;$R_46ft9a?yaZe36pMu>;^@c}ztG;7ai4{K;(jlf= zPPWKINNYq}PN6dy)L`H?DI#9D@we^5A8!r=}Z}h>eg>XRPVg%FmQFLlkcE(&8j(|qAxu@&! zOBgz$OPDZ9nwRk1q(dRjT5l%+451_i>x72P1y^5y<99+_<)575$%>@kP;zH#eFLhf zVUi(;Yp$d_*NI@ER5hjBmDpMG85H#&{aBs}DEvZ)86F}!f26^mz>xC&$6)_pe?bHeBimn_Uu6FU z(_)-jrI9a*sO%5HzWK91=h)MTIy86A_7Y?8Qonn>$mK%7;*+wNn)Dkd#USJw=8dEz zT+LZ5U&36$gq?pQ9OXe+}o`feEHGu@XuUpVYOS+2;9Hl7YkackLFP}67zeVk1Q~6FHkm;^QU=lWe}bv z!T@~2aoovQOI)yi5Hb)pdo8N@C(0ctb*_ch<;v%=dNk`?on5YM5||oAaxkT;RsK>H z{s8*HESz@JRdD&C-;9tsN|14lpkrqmnaQK^N7`CIL-ImyfyV{|$*qHNnnomN^-!k( zWue?6kK=_(uaIo>H?N{Z7xPKuMup>u_SQH(LFE(u#hMCFm^< zJ({4mIaEEhk$u9UtG(ZIMit&$*G(Hg@ZPEh;JsBkpeM)656Ihi={qht=DkhddsB>a zwfQB=`f$!j^;tP*q|)K-av<_$pLgG$leP4Zud(ue z&-dM7tS#=|SL%WNs$23G)whipw-nOT_?atn|f!*!cOpVjt_L1JUFFN+o-uu4p*av%i zR$g4x*xHMY%&pd#>#8~`{iS!b&e~ostyR0(O1(<8BdK;xs;Sp@rDkhdO>J8(<7R57 z@!V=-sqIwLI-gd1QlK(^{n2_+?PmL$M8nZeG=VNg1o*{hfIJ`%T{j8(Q(9Z)Vbj-o zC)JsapYlnU_T^mrnx7M$`Ew%fAl?MHtrz?>m2762}_!Y1DXsG2h5~*7pH0Av%EeE zK7-GO*ZfCh$^L-K7vyQ{-V)+~ne+s40Q;T7s|a70{Z5fNVcoVUz`nZS{eSGMV_#j3 zbem0GTvQj@hWUV+MPWT{Rr|q4`F_Ec~npwU!n6{?LgGEuYGX43#?49HLZ> zP=aP>tSUcMr&vIX7x0hrtPJxM}5K!d3sH>ouw-i1Urs*&4fMAy?uT z8;Jvm1Be5N1Dck@24Dk*tseX8DnGEVuF_%QUx&umz}LXnz}LXnAm>QVv0Br)oX#n` zDevQ$|LRPVDno+i(?_IT)>!$ypXu-oyF^#*FDHovcC()=@@dEJvw9tm+J4QkpBif0 zuty#Hgk7ngbK7H%-S5~#spr5R?rnSA+wODh!PGXe2OO&>YNOJevPQGVv4?xHkN37c z>1}uQwhioKy=_l<+n@Ee4Qz}m)9SRh-QjIBtpXc8AF!+2^S=0&`(|oLx%~?aH0uxfhQ^#AP>mHko||i z9&+uNEoOKZdmWqohp@#v9h>c1SldT>dp_${FR;07*y8X3GFG`aQDIx}eZv-qrOs_- z71efYZ(Bna?EMM*e*gdg|NnRae3ZXy6hRcn-^4_7xm*&@#KgZavb3)0uB=`~nI)Wvd)hWWR8PC3vNSb*Bf zm$kt4p)*g3x_SM$`NGC$i3QlAT+xB6J$D&lox008JOJhyH$JZ}=YQgJgeB!;%Z9a* z!Fy`tAkjlXxh?k*Tr2+sJCmTw36o^&UGWBd%UR#fy_o>u%ri-2yta4k zKlto8mQ;PlOH5HeQ$KEhLVc8H!EStslNQr7#0t-U{|rXF?;9icUYcX=rPh}r-mRGo z5W!ODSWTsstHVG=vvY#&IaAJu`(g(JfjLUk4QXg`fHv8({YK28uY-Hk%7U+Lc~R9Q zE22)!m=IIfr=LQ@18l+}qbSl0e?I^Es{kCC?11a?rPTF?YX#c20*kdoS59N#ab&8n z-CE_NF7kUqD<@(_*+kiSTY3zyfi#0yVvKrOxBhw^p|UYx$LPag@{JO1#pGS<+bXZ) zEXe1b@$`J?D{03IrjLz8XZduGW}J|v!^sVUs3{{E5)&Ge%1MV7!wGneq4<L!-f8cy@roNCheLg$F9~v!O=uU)O;z*VsGNKPpr05w0Ddj97BW^`dRU_-1=c(d zg~>9MVBZ;uv!s9{34m)E_mBrU#q=jkrjorB1Bn&;jq9w}qXH_XzvY+5TWmCck*HHT hVN);rmgmX+|Gq90=;}thJ RAMSpecSELr.CLK PHI0 => ROMSpecRDr.CLK PHI0 => PHI0r1.DATAIN nRES => nRESr0.DATAIN +nRES => IOROMRES.IN1 nRESout <= nRESout~reg0.DB_MAX_OUTPUT_PORT_TYPE SetFW[0] => ~NO_FANOUT~ SetFW[1] => ~NO_FANOUT~ -RAdir <= INTin => INTout.DATAIN INTout <= INTin.DB_MAX_OUTPUT_PORT_TYPE DMAin => DMAout.DATAIN DMAout <= DMAin.DB_MAX_OUTPUT_PORT_TYPE -nDMAout <= nNMIout <= nIRQout <= nRDYout <= nINHout <= RWout <= -nIOSEL => comb.IN0 -nIOSEL => always5.IN1 -nDEVSEL => comb.IN1 -nDEVSEL => RAMSEL.IN1 -nDEVSEL => comb.IN1 -nIOSTRB => comb.IN1 -nIOSTRB => always5.IN1 +nDMAout <= RA[0] => DQML.DATAA RA[0] => Equal8.IN3 -RA[0] => Equal9.IN1 -RA[0] => Equal10.IN3 -RA[0] => Equal11.IN0 +RA[0] => Equal9.IN3 +RA[0] => Equal10.IN2 +RA[0] => Equal11.IN3 RA[0] => Equal12.IN3 RA[0] => Equal13.IN10 RA[0] => DQMH.DATAA RA[1] => SA.DATAA RA[1] => Equal8.IN2 -RA[1] => Equal9.IN0 -RA[1] => Equal10.IN0 -RA[1] => Equal11.IN3 +RA[1] => Equal9.IN2 +RA[1] => Equal10.IN3 +RA[1] => Equal11.IN2 RA[1] => Equal12.IN2 RA[1] => Equal13.IN9 RA[2] => SA.DATAA RA[2] => Equal8.IN1 -RA[2] => Equal9.IN3 -RA[2] => Equal10.IN2 -RA[2] => Equal11.IN2 +RA[2] => Equal9.IN1 +RA[2] => Equal10.IN1 +RA[2] => Equal11.IN1 RA[2] => Equal12.IN1 RA[2] => Equal13.IN8 RA[3] => SA.DATAA RA[3] => Equal8.IN0 -RA[3] => Equal9.IN2 -RA[3] => Equal10.IN1 -RA[3] => Equal11.IN1 +RA[3] => Equal9.IN0 +RA[3] => Equal10.IN0 +RA[3] => Equal11.IN0 RA[3] => Equal12.IN0 RA[3] => Equal13.IN7 RA[4] => SA.DATAA @@ -175,10 +168,10 @@ RA[11] => comb.IN1 RA[11] => SA.DATAA RA[11] => comb.IN1 RA[11] => Equal7.IN0 -RA[12] => Equal6.IN3 -RA[13] => Equal6.IN2 -RA[14] => Equal6.IN1 -RA[15] => Equal6.IN0 +RA[12] => Equal6.IN1 +RA[13] => Equal6.IN0 +RA[14] => Equal6.IN3 +RA[15] => Equal6.IN2 nWE => comb.IN1 nWE => comb.IN1 nWE => nWEr.DATAIN @@ -190,7 +183,16 @@ RD[4] <> RD[4] RD[5] <> RD[5] RD[6] <> RD[6] RD[7] <> RD[7] +RAdir <= RDdir <= comb.DB_MAX_OUTPUT_PORT_TYPE +nIOSEL => comb.IN0 +nIOSEL => always5.IN1 +nDEVSEL => comb.IN1 +nDEVSEL => RAMSEL.IN1 +nDEVSEL => comb.IN1 +nDEVSEL => RAMRegSEL.IN1 +nIOSTRB => comb.IN1 +nIOSTRB => comb.IN1 SBA[0] <= SBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE SBA[1] <= SBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE SA[0] <= SA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif index 0b0d4e50ed8235de14b7eeec32067403cc85a898..a16b34ce1c056b17ddd9de2bc42d8aeb45a2d5dc 100755 GIT binary patch delta 422 zcmV;X0a^aH1GNK?8Giw4Oo`Yd%Lrj2xC6o-EijkF8iCG0q^jM&&p_5zN(9Jo&b^#- z&;28;>Sfnr%QlH=;cugCDokyP$?D#s_mo&RPC=ce0Q^{p-`K+G z5Bv*C>{oKooyd7W?rKF5+ic#Sc)s=9Cg;-;!5JEg$mPnDbbrTnMZR55f(!$vgEogF zS*k)kYq8l?@&Zn}dMynC7k$1lj+XN>C#p7?7P#9PwRmI6XBY&ucL#g$(s7j}FY$9v{wo3$c>-xc=fLz@v+W}NtH1EQCFdj~NUc@(E z%=Q_N!d;lnkuR?NlLMs5x8?2^y QyVFwx1E5d;0A#n)?Ge+~A^-pY delta 424 zcmV;Z0ayOD1GfW^8Gj+vm)I0bc<0`OxYeq$4- zKkzTuW51NW?nKK6zt2A1ZSuzB9|*q(tj=275Qd930f?0+G}$- zl6zICXD!wrJG@wojNgIQmz%7WS?laMb7p4m{p`J;Iib39=T12(9`4r%_kDqDO&q*^T!0=N zqT)g#LZTcmd|lqzbBKwHafnC?i;4-0iHM4G7&>_Sx!5^yyt1)#;}GRA)Z#F8u;oy* zcX^MyGuH$kH_V+o68isX?yM91x9xxZ-!=emKZg`6G!4BC4f0PCQfEL}FyzyI-l*VD z#c;+yW?f5+wv;pA3qoWDC21FU59YBXsqlGIb45jm85m_f$X|QB6nYB_as-FMP#NZK zjtAF4$g_p|*}wzzn7o_fSzGXoKX^7%;!Hls5BHfE9UUFB38e`V@R;fvwPiJHR;HVE zYt>t*pJywe38loi)k#@`FGfcLks!yHe2CA}>;i-qKGOS&_fDGWO_LM^Xm;MJ~9bzOm|b(HI4Nl~fF?xvF8{6o`oG zR*4DQxxgP$i#Xfy0(e^vc@=f|Vl0N#tn{=h9yb?keBMFDCddAOfrfl}XHzX_5Bzx< zd}a9mNsHitc{|~o_Y022Vk-hAgo13$kZ|D(lM1_uz z3%wR9-KtekWwAdPL-(C-PA%lYvAEfw4lCVX1UIQ z=^HpkoVEP2xm`xK=39*bkji&OK`OEq3?PFWd#3%||Fwg@+Pyci`!FlFvNYDjdvbS8 zFr-4LJw^fZz98L#;s9zBXGo zr0aVvq<<<%dyY2+Z5kZSC*+wr+#YdCQ(bx}w=zg&EMS=Eo5_7_maG<-TgruSRRfO=VE`-e#*Co=W3 zpGMiO*wd?kt(PV3>#XPMMI{ffCAeNnjJ?i^MmqIS(kN43CQt6i5E-Op)ZHQ1n^J8u zys%kuE|WDu#E3L<+D?!?GaJ(&Q+*Yz`aRntaXpliiRDF1 z+%F7v>9?|le>$&A>tFBV%Vd@m+Ds(;;bFuhZ$W2i_j*2Cxh)Pe9c1HJ1%9$*kS@(3 z0y22>N3{46`=(Y>a=HG|lP!@^dA5%peMa@|3)wTE7?AY)GhMHq?8Z2v8HADjFe=(|+1R5+h|bnS>aB ztM$hj(XNUw=!8_VYMa&8FGX zeILtOG0uIL@C%t7GAJHA#N91CLiPY}ibgahT6$nJiZ$>wOvajObV4*p zwYNN$sL$PF_D+j{YPgz;9QA&!+NT54Kvf+s^|By4J0Y31Oo>x(RZcE~%6cfAS%&^z zNXH-UPb{l+6{AC6W#*R);WTRp)$6Hxsyj>tnKQzwWwxqj3>pW9R(2kBWlrj6jEbo{ zdnMwvS`9|=+gax0fVp(o&uZr$I%Ww20yFSuB00DY4MH?OlZZ-6j*Gs$U-b$3*bCM0 zEZFPbNS_9=X*SV!bwpJghxAlb+F@u?{qRsGG0(|+Q~K3>6B0A?KW*-Ec|7mXT5_Aq_KqUxlcVn)GKnkssmgnD#vH@g3AyfWf9JNH5LZ_n&7IOG z7(`kYG`HLWm*s6k`RqRseaj`PIG;pJ(K2U0stS?SGgjd3*tMj{GTtX|+~X_I?Y8GL z+Il$iPZ=xH3NfJGG1G5NoVF(~UAQ{yV!jC#KcKo!xSF9~d&1}XA)4W*`Rt#5x(BO; z$^;JZ_j4EG+A^*h+d)nEj+;q$bZ4N>OdNR8T|fn%l^9S&l;I;Aep%zlL*dRcsK(nS zd4*o7Ofe0SOCBy8KYlG{YWk;|i2s<-e`fsHtLbZ2HXgO!1CL^nv+!_Do`Dp={9`^Q zB=)%y6-V5i1u0Y1?HISMObfxQFcpG6K8;eh@n@msLK2p_XFu-~Qpp9E<`MZUAGK9X zd9hJtHILwS=O>>nHI-LU&`k40XRTiC`^^U@g7u$V7&&l~ZlT(lhMC4dWV;?@f#Wt^M(BkA=rf zYDHGCS#@JG@`9xtKj~Ni6lnK0U-xeR>sg`viqi{aRaTkiBhB()F1;bXyEt$1d&7<> zz*9GUL^UWS_DB%5Hwakq?&W9w zob*R`zxYdTiKLrps`W&BJ!yH89uZDYb%BE9Z1mV8=4n{?(;_QHXvnp16?Hykh!Iok zm`s+%he;COfyDj3Na}LY%us+Z8(BAckOI^-m4{l{^)=PHHSV)zm`*it zef;Hs_3B%Bd3SulWp|!85?|$-&ZEm=J?@J8quZBgV*zRrowgpwh#?K<^-D71RlkB< z@$6|&k=mMTtE54R2%at$bn}-O&7|-Usy3cP1G6V_h+PhJ+Ou7l16_j?-k&@ z`^Nb=NhHRZQ8Zp{i^0vR@P_Q8b6r}o$Pg_t~FnwmP|sn(JDx@X%oEVsyTF;Rr%-2D72v(TuiQQxw< zfT1PkX1S50Nb~=iew4Gh>5;PXpB-d-0_lvYWO_E#3fSEElXqVehwM^%+{={7TRzaR#3S<6qH z$*-!F^;%!e_CMTm(RYoHj#Mz2eZ6+yU!{KLCFvY*S>fd4a%d+PX;x+n1q8KwtS`IP zQ`f5cF84RvLtDmC{W#iew_)3ZTu1ggAXQgJX7n9A65^M=!Nn8NOJM&SNnX^C;p1iu zbn%#Yk)%kiH}V&tza)`a(sW~aZu34kd-mBCIq-Qv?S8|&Uf~Ft0aX=Ld{FjXcOH5x z;x*Ri{QLo&2OD~o*T7BDy46J<$HZoBApN}u&Pi{B zO3xT~%g87p0lg#herlx_udMuIJ=q>ZbUqXHvIV_+P+imG7Br5oZEUU3mKG3yBOIi(9qI76r_?Mbl9HYN5TkMqH8Wr+D%{ z^gw}X$9Hh#50&z>DJm*z-dEXgYeN!hECysZhVsG3&Kz8lG*nr7o_CFBIQu{u&tt-k zo$g*VVL8u&AGYhXIVFQQ{RhA9HWQ?4g}=4s1>U`=$3m;>Pd_Pa))JJI3NCo7lZYcn zJ*$ps9tT<73wtwEt)LaGkr?}L&Br{CUNuj;u;WSU%maRWQ6tF%Ym!5_$=IGA6YSo< zCcAU5(3^b$WbktQd%|f;Utn2#_@B?wNP=Anu}ejdrtG8P^O-EEz#V!*;R$v+@jm3i zCa4s)RII^hrT?tT+$HJxg_s-z@_wo~yiNbVL3pygo0B||MuS8~g ze&}QO;(20k1D-GEW|MDpd6?4XnyV1hpM6Qe74HfwtZb!4rt)aRmAiAfAb;9#O!T{n z5t2eG-R%#p74NyDJCP=k&xue1w0fV7-N*`AGJEx9$(w}x-+2*P42jiTD4Ldc%~}9J zlTQdBp#06jMYz|j@1siU^nfWsCR z#$D4KnI4LKm+CUL7nmQrca=k)09VQR9*H^)9#FT#EqML{e(1a%KbEMcOMd*6>*uM@ z{cnkHRY#?yIBs3^*MWxg$RE2D=;qZsR3~pC%+W+i26Jh<^zPX*?Pr2ng)VWlKOhQm zbF}dxsiFo1mvZ&D`4y{TEb(e8AI1-Co8CUp(SBEs$3>6S=CQC>Rq@>8c!)_PR>LRQ zyb)sA1&0uA*&qB6Gx+HsSo>(2w|D7p6$#tBLlmDa!6iWqlri!OIyBjU=I)E2z5>tk zJ`y!BgZw0yj76`I7`hyNr+ORo&2M=&gNSA!M09FgDhFHYDp>lEk^jS=$~jxGVq^SX z%!8>4S${~D<3{9h3v9&xx`*#8GskMLA$mc+b{-L5M;@Ov*1J*^ z@LVP~Ry8V6?_;A|CSL#2evqWQx(zK`t!dw4{ghYEt!Q?+*Yszg$Ibz^QuR-H{ksgGvU!1=cX7(I)Wh^^ zIjWPe<@^Y!GsLN_0$A4l81Jk0muR$JQ4&zfgj6ZD-P**Xb1MT98$G;vpXj7e!HEzPk-Lm>2A=ecP_>6m`f1fm zoibSzZPrEtEyK|9q$MQ1S0ibGH`u?5svD`AmV@%0GCY0x`Qxof9UDIr=;HP%Zn7g| z_@XSclQ|FEP_!(<`#qTh8;D5L!7IMjMG<(C?L4JhaKU%c%lq4AzMMxLKq2kWzo`7P=iq8;|J7NA-q#^Fs z%XGLUC~oQ1M?3qIeoK6wZxIdQy@)!F`rm?7Y`29*&w2c}MC46^dkPhI-*_ML!775x zij2NG)3!*BV0vUf8wqi43Z4m%)FG-_6>%DThHyU`-BlRn?(+Jrm#kquV_@T%ovxp} zag~xL*}bP>R^a*SpKo&xM|C8v?7iGR#5wYp#DMdg_sIlJUzGBpFEKCz`WHWEooHD` zBtRrwyF(_2i+E};pO?Rvx!F+b`MASLV>Oc9?O$4-d(aZDIIbK00pz_ewmUD~vlWux zOXPf{s}5HbW*R2~aJp~^vs5~sPkeh-q4~VTzK{H&@100iJ-#9wQh)v?Fv=b5l8C%)GyX%aa0zHy?@#r)i<~Nu~GAV%pyFK(sEiZmdJY6ANuF) z6Td09&}{a{J&uVtp!!?|p$Kw14YR+8D}g<){5#)<=@-Za=dfoReGJb*xh22AWgTu4 zwo9EzZq?h@0RK*AL3|m!^e#T-Zk_bH7k5)AK4;hlX^0HC!(`&g*Z0cDks;y7{%JGm zy0u$R8p7{fM)Tx-E2X+ad%xg#d%mp*;A9e#*#Mg zm0E9Vi1gTFo(8ACZ{u_d|5sS9CLgCb5p->3+#Yz~QEbr}h`%HXQ~9L!;i0Nk zYp>_qpD-(nJ3!pJrZ&IIQPI`ooUcVwbrxvcx;1E7B7ln~ToR^*C*Ed7j&P4DC_O)M;3aYgAD^ z=MoUoS9`(i^tVV`hNO0YcPINT`kS&;Xo*{>$E=rPDvP>@&pG$KdQG>a&a5Xl?rGLL z;&X{3p6Q3*mj1#Xoy|cQee^tIutAPh!SoZIWYSkQ1^VyUw)?YiR*{Z!JeJ?pyZDupU>eF63?`qGh1rt$fur` z>VLUmWZ|37&*jRGQ(^iyL8e3Mw@>c=tCY=mct-uh%_cNHM6=xOEIZ{1RtR|E|F?OE zoE4$v|3EV$oPfCT*P&;xTh`5Uf9cB{-B2P0dk==#a0@!(Shy(H>QBnwEq|fi-ie=L0pGqE4m%WV?R((;nx!`*MdlcsP*OAohCn(CB=${S4&Ym@L59QGry@V%97$X|sZO9w z0+7Z|&iL-wCHZ+%qe}br!v$O3N^NXc-^z0ytks)kmSt&|ZcvCd&5M4`!;hoCXTxzk z;y`x%^d@=g0>VFL;d8B~UrbS#>*))SeTQsHqMUV=(C_7e?hY#mgu(GFWqpR}HDE1L zE8+yoJ_4R!(otwdIR4W80QQh$#bm382c$E9C#2^2-KnBrq?ba(az5_E;v;JUfp7p* z@;HR2f>#-(oPOJ|Qaxr+zOeu4PE@Fj;}fp9rC)I>pW;h@{o#1-FH6Jc1~{is#eWdC z9$sLFBW%2P^Z)q9O#6XXKd0mu#)QYA7~{k5vvxFA`5b@&{+?}|eAHxm+Qa+2Kk6#w z>bxust&bk*#)`phSKs8ZUoM)SCa=e#3wy6OcSu!2kC*VcuQZ$y;T#3yoPx;=SK0A7 zBe9XbWnf-g4q>b+Th2Ff2YT`colYeGwN^z`xvASVa(PcHjtMm3X%_M<`1PB-qk^t> z20W^F{M7TB&!T^xP_{OBMZ8{XZPY|W;z(4Gw<^|rSa<&Uvwxg%IcSgT)5}4gyUv_1 z?CH2(^wM&fkaC3-`;CuMJ=cym>+?~4CtZ)!9pf&0ohA23w%tlpB=~N9Y=*ey(cv=* zUgm7|Z}%+|U$5)S7QY^sw}lZF+Su#)`~bB$#Md+ihQ9Ny27iv0QNAeWzTIq2#pC)A z$c3$YNL50d`p&vwPe6oLzxTUi+DoHC8!YfM(OFTov!FVT{Ca+{7V(A1XI?#gI?nKS zuojhQ_gZRp7HJZ01bVK!P7%>BdVlhzR!}uH`OK-Z?9F)gpD|E-T@|j>} z>3_(&7wnLLB7Uy$5_zFPXU8;;)rKP%DZNHkU?@@+>-9OB>V7Oh=1Q8D>N&$_qOvbZ zt7ZCZFI2C5IfQxyZwh4v@u|GNzgSb4|1f!_8eUOxsiUc;io>(T9f<($uK$Jt7DAPY z4+dfDo~`g=9PgB9Xb17G6M$0K18l~g^V|`=e3A5le8ljSOBvPe!;1?VDlgNN z=TpAhp%AJ5s#GLb#>MmZD8*LqrN4;u>Y`pi$stZmvR?!Do>J|0^fxYk{V!!HJ{u47 z^*(n@e0!{!_y7PhYlG(rC4K7@@M4*h&7o#^rW~=tszOO>S@b-<@}%WNXEvor{7#7z1fvh^D=YocQkB} z_sR4s5=b2 z$^fVr+jPL@%`UD}YhRa~F&1){h zt6exK>!PGa6Zcv{Bkx%EBM`fta4y!z&1r3ymhUmMjDomvbJf;1CRaS}{&hDa)l z!TE#!Eptn)+|_Zm#Nyzljr?Q@+R#6Sd)HkbFXPhYnky5{>60;RZrJ1>^nf%Gz|4Z}s{#Rio+3 zk)Tg-Y=p^2h>#Rh(;MD+w~y!5D=pct3C z>%wXNzPf!)u=+2v`*t7}P~eZY@anGqtPTNIY^q$ziGhN0x(~uz`;0rj>}#D?-{0p; z%{IcU=TUwo*F}H!bynvY0D>cz8JyM$>;uD+{oRQB%!a1`>iwEM5Wd!0|Hh7`!#et) z=Q7JR!I}U}N#@<0VB?m$t91A9cUC4+W3JfmSCijl6?^y2MK%+APe}!GJ>^fcS|Y=` z{;ojVUE>iDV$D&1p?}_V+HNyYv{+`0F?3r3KsI{hF$V;i=OBH&s-(9Q(0GTWA3F{_ z#@CO2;{kr7$c6$ziCJrE9bhw=AU8c*D7Gv@8(rOe&UrTY&D3wSs$OgQO8(4Wbo7%` zY5Ud@T{7y1<4EuB|6W(W4m}BdH&nQ!$RTrEd_XF@#pF^JD%ar)_I)LNyHTaz7aLr< z`S*e!U_VSP!hA27q!?al?HxCN;D9eZ>4Z_dQGA@CPk#ES3m%i~pTHs92hZvT)e85q zyWibvsnS2OMOp0KXY0d|S(F-;!-kr!#x(T6V>|)*2REFTNo$)wJ(u@c)*L2tLxdhq zPyYesuJxVkePTEos0)zskO9s4et^JZA%?vpZg)MXp-|IP4~G400^tqL6v$_Yz7U@< zy=h6vc?k#X%8&#VJm*;Rx7d@d$4h_`Y;}5UO4>})H7M00FX)H=3(By3Y`sBLE8h<9H%QeB-@#^hM`pG-P2CF4;g?2$qn27oQIKS-E>; zR?@Gy6Nb&{Lzt8(^65PTrmw37GUT@!|C&K$C^?6$gi0rzxfV8~Kb~ZNE(O}3e$uIw zqay?|#3NZhm#RbzB_Tvviq}3@2XkI+-qr;?WFj2PqYaJH&P8!Y1f7MWG22>eM>`+$ zjIh^7;b=LtQ@tc%AUmTeT*2mMSr`=MY+3I+qq!kryV=+^P8&)%2p1+k``T$Ia6>VL zzNO+@pg2Bl`vxrhh=E7l1Am5*$QgPK%UIi9Gdz?}uw}6fea?0xW?BbLJ4G2QkBfJ= zJRerfQc92GXE{05Er%<4cPggXVZL^#YcCgzVXwo_cS==!&ceQS)I|*xVSist-wND6 zFYKn1{NZ_Zi=Vd06B>u09mm#zhpi7yD<}Lq@NSMFPA&fA$P08(#?^un<)B^Leq8cJ z5nd= zARN51Pa#2PN6zcHq&OfdCw%u{Uk6>fSIRMftk>DKEHRV1Zf+=7zkEDC^E7+$@%T^$ zB?fEtBuD0^z|c)vLKu9r{JJTJ5ZehCuVK+ec@+Eiv;DVrdC=RGWr;fa)^=~I zCL_!mA)@^Iz9INtf1g_&nB2+R@Kh)7!0=|-r2S7qf#y4ZN0gHpnGpS zsAp;orI!T*3buHdDOX1I7f@(SM>0xs=dkwZN+-0f5`0>=G}`{Pqs*V3YpIp+nm>D} z8n?IoaJxDM-{;G*??d!gLufpA2PP1By@;hcD_IVGYw^laN#xnZdgZPYJb4+v?qUc7 z{@n_Uh8SfpOHH;f`iW;RF^tc`JHnwg`o~h!Y-xlP6qjECJxfZL3gHV4gi)1`j+XKK zC*NIzRjyeDeVT&qEhYjiAne`l^W3lnCzxE+zBum6T9xokT1ndty$C-Ga_$VO(1U&E zz-|U}9&WeHRw$PH$(P3!dx2Pz5WWfiofmk6G{`~Z&|5I*UP#%CZ2=!{T%fzICw#;_ z;SUaD@TFm_110i9U~FD}xfDkNh+@wd;j9AqWxaN!Qb)ct`^oML4Uq2C0O~moQB*pA zbM%c}iU7<&xd?1Cs1ce7Kwsivq7ezCtt$GKLpPt%dkRxZ?WGDgqqFcrUPMx|+X*Cj z;CcWO0nSElPhZ=#dF^Yd1w9~4ai!dnS!8dM+djadN;qbs^I8C@po(2m7}Q3S#yesC z54t|u^xT3~T8mD9%kk_z*~DMhI?JsFTD(qpvTTgbmCS+{pWZ+E=n>b^?d>iA#7%Gt zL)JZo3XdW?_HXpO`AodCWTE&LK4-J^WV*fVpk_w)Yo%FKlTK5<@B22p+KrC;8xw2; zBs~g0`?P;40BA1XmfsIGIIuYr4$8BwuBt}xBs_qrYi&JL2JFhj^ua-R{tQEQZmGX6 zWUjC(>BBRkpk2a~Vt&`7WU6ju^3b5ReFesLSTj_zRP z8`SO--}8jeID(|;V7+wc%^q$!xdTB7Urf7d6D7sUAZoF8w^f!7Su`K#l^J61mFe23 z62@}Mdunjdk3*^TPvfS*$$mL)Kczxs#=p?Xr<^xEurcw2o%+yi{#~1NpSYKL_Dz+O z{0lbl`VAB8p#2Nq(5}UmoXIZovZ$)x`iqm*YZ!Mm(4Uo?Gs13sNMWlVADP5wE;t8# z8*+U0jWXx!*JXBs#kQhW%Eqwwk!QvvgrQ|rxfSkkJWM>z*1cgEjB#*Xm<*{9(5ZMz z=Vu;+js-_)A4%1d$8|&zf;Noof2@Ucd{`(iUV0CTAhWJ8ROM&8@Gl!}qYSn^hO~EW zk%mAy|2kZJ&f5;Ed%FXKu~i*WCZlY37FEpbcUuD2|E6Bu#9(#~0ypwg&^xI5IVgXs z_41Sb>15Q(aj;0=nbQ4GeQ#**mQ3qNMIrB*8yWkmTtLQcr?!M+MTuY?fG z6PD|BJY}GObv6BnTm&-#i)iPJz(vq@2mScz`?96u6AI;cY*}JbCh%JdR;*637yI#| z19cB1+Gbz~%Staqu2v;ruBBYWGaZt5!*Kubv$BZuRcVCwJIiVGXEc)=ugdy4rdW*roV zbf`Gtb(h;=ye?^SlD|&!93bC-gwi->Fe@3XpId|}zBe%N3XJsJ6L8w6JLYFuUn#D? zSUonqF?RaM%18+3BlA;`-!}|Ir9$h)_G>}xV*H|@zbK|1Ig5oHUoxR-_%ic2Wn9?c zF)y9z;;dNIvf`j|M<&i_TwPXrcI{4&!x$yVFh-Uic_AU+`6}Ln+FqX7pI|pQr25&V z&$oK<);Zi#8rag-GO&X|vnuN=Ldm|_Yaz8Ogo}NrOepm|A~&zhVYe?~%L(YMdWcrB zPNrZ9)bFR~xla&GK6RFHgsPtf7q1%Keg}1Y&^|GUf!IZW13QawTQ-eg^=OAex&B5` zex((zq@e)3ThvFB-j@MBT-lUcm0u^iG?M2ACVbfGxz;-cFnPaWAD>wlrW7;WTkkL( zRZ`B1!|-DiX|d^3m;FBYSI0k;g-*I>1f~~Q`LeY)^R0>1l>)UAA;T&Q+gRan9Pm;m zl>&H2`yQ?@yjISe%UL_3UG<}dsYv%rougKzVZq_ECk1<@DgX9UB$t_Q-w>KDytp&pK!Pk&os9Ph3{`WVx15ZoDgb<|_(1#tJ9<=1Y#i zN}6up|Caii*O*c!?20L@6;6{%zf~}38X&Oz6`Ckz1{YZj#tc~Sl=f+#%lt)!+qI>G z?p_+*K0sZdf)43COK$LH7X>>4?+p0tpeRQv6EEb3WS_IJv2PU3Bn41-rEY+qtQa8O zzn{S%I_r-jxVNl%_lXrws}gQ7IyQOqR}RC9mzbJ;xys_(;U6R{4GQ9(DK(T!D~s)H z7A3XaGVj*s?(eZo6<-}8y3zSfq2KH|5$$-wL51s^g&PP&_)_1_HJAsueYLwsAq8(z z!WnDa*QusEQc%dr;#+HhLt|^D9=5>vGx?$UxiL9IkiYd1ro4ghej6Bsf+Wj4gURp? zPGFwU-TXLZLIlTbYUWf9HY%kq3k(*5=iU^_zGk#$NFf{uB5oW-C)FG&g|+8jwpQ9F z@pT~fV>hj~c=f3(NSfNABhq$F=zexsu?1B1ObGoMQ$rXYocLMkY5+Za&zg0Rd9nC( zbl0ZK+6z8J`0J17_oFc4g(!TL<&}qFagY+Im+No;u&T=(S9tmR5JUGpLZ#>uv(}Sk zq9Ye8wv8Y2nv{_iM>7%_@o*mHg39&N#jC=h7h+ zR--;Ep!`U1e7|eLgFOXm8d;5RZLm%S0@BPi^nH*IKxatS8%IFRluUguQawWqK-SeD4nFQagT~g+$xG4|7ZZYKiDO4;J$!O*KQ^S?Wy9E>j7kq? zFJd+N#LtwL{~5|UnsG=>?{D#1MpHqaFo{>@XD762IsYObKF+pHNzSDE^3X}$6OWeR zj5y1_yPRMoA9m4{E}v7mTzOz^d8@GsSXvW~R6*E91eHryqn;RoP7gmy)r!)Y<`D(D zvwYduW4`F^`15|%6NOjm^Su?G{f`b`GfCbId z5TQ25ysPPdbzU*?`kn#E-G4QJ4H)u$ZFixIGPacVP$;<%`yoi^+|J@jrKn!$8~G!g zCp2+Ye#9Jo3sv;uHQeVag0k!ZRTr#)Ys0r*vg{#=?#DQk6WJ$T-F(oTZHP!f9^9z@2;8;ULlFC5%zrqw^h z7`0jnu&nRKceNX}x|f_mh*0_p2a%ME_)s&H19I6^0J#5jU#z}zCkMEE8B!H$09wz- zWsu+I)I03mQm@QERBCU=scV|(EjOvJpgMXKQ>EHDK-<&WmlulksBD0Wbnf+BAb!(S z)5Vh^+a;^Sz$LEwkYlQ$plbWDEd6Y1VfnBojjVcW*F|d7MGM=R-1!fz+6;rGWs&Fh zO?%Z$yC_TgBJ_mYrrPZ>P^38MLjzy9mvM;NnF0)Dy@uT$VaCOxx+tBJyqNWtRqfmxUSu2b=JttiNWh$OjhV2hk^y=Jx-#$zyY%>QJQd2V67+p7!D}zBTTHzV zX@uRm`YclhhB>vLpm^uDnzj1i^M5e@bB=pnC6_(zp~<7d$lkp3X*bUVvi1U{G|JA2 zNAKP~7G*&UF%Q>Xh`;wNxoqVaoEO;Et3T3}4Gltj9({x=-`q&q9MSx9Q|6tX$Sh#1 z+7@iWSNbr;yj4N+Z!u=?{3#G3{AVvNuA?ri5!in4(&HngV9AYNnP-%1 zZ7|NL$|$|{!Trxe?Q-^_GWpttj~U%4=Zuyfv!F^TkGi^u50F;w4IXK(jSkA=ZM_nT z#aGOBWJlOrh07#YsYAPEzOvaYSE(6SvVZXMln_(?eLgOzytICa|1#$kHM@$n5(}79 zSG*1Uz_8`Qo>-P}4+I8nM<~;`k3tx1JEd*%);2x5aacpqVhH`YRBA_CjEREc_>&xE z;Cp$#+=OJPdeo81c60APuYyD&|MFkb?6LP=ugb!3wJ~1an@T&Y1PtY&+i$v*;@#c) zRe44&l19~<8Q(xV>3z&kkYt8(Rsb4deb=5tOp$V9g9YE$NZKSg zurWK+C0AB>9GHv0gya2!xz-=`ht{)&`EPQ$WeDButf+#2EggqRum-iK{=sZ<3~aTE zveYO(G5-RQtkmvP2o{kf>r)T|3WE?)!4D<{QNQ};k0-UrqROPS5GV6x3I<44>J4`$ zq$uc*F~6d~d*sPoiZ*qZAfp8N{h&$_rHM!#Emvr-^|6FTC@z(Z>Rgx&Jl{PXUM7?R z@rUlHyw&k1aq@nb_t|i!Hm`gvK<~ombR!|cu8lNl-%U!7lZ%<7CyudrDX2Ltzuu@nz48y!E5RA)5evlfGz3-T)7Q?3#0WY#`Wn@ z#8qgO3JyekWP6>G`d@}r_+;WPAfY6u2G{GxUQMymBbqdzyM~`e)_Hex!06#?0CQu;9oNfq(u_imr&pNKO6CrQ(Hxwg$M-grb zC;Xw5lc3X$FhWltT_i>Dg#p z-h5aO;VIl@eqUi8x6+~FGKU{)_eRIMkFDHBO!;pp=#V_CJWu%b1ApmugWel_FI?WG zEL6HcX|5Cr-`c=i>#xnPdxUh+n82aHX33tSb2bi;lgom`3M$W<*Ia7nHt8TG3Y_JF z`F2>}Fva$6xLs-&YTc?aryja!eDdXq772=EZh)!2&xNeGWf$C4@$$`lJ3eoM+3z;1 z>0-zUmSi=0R&jA5eAV0wE*%F>el6-aFE7^zXAZ~Id2WC2#Txr69Mz>C^{{f8TaYR} z^8mkUI&xXiGutTYFIU>eQbyDw@=Lt-;Gg7sim)GO&Y3)_-`Du2m zZ=g6e2oZsyD>f9Mgi+%QZWaQI#CVY1jm;Q+2_?zeX~S-}89A>5 z7*_0`JRscAd|6-HKq~GzI(ssA-jD5U2M#qvIsQe7@sVbTf__yb4uJ$kSr~Im6-?cc z8z1zqZ(_kmV_OR)A@*1A^4_T1<_^ju@Rg5jt#IWg9cXs8Z)26vr@nZEAuCTnX!q#n zrJJv1b?zvzD*D@JA5lPO5@iVIBKW>1jFw`lLGb}jk9r%JdD%(*JRO%97T&!NbiQGX??Tgp^GFg zAd0dC3R6X*o641nak=4dw`-|K`mO>2=+iqCZC}KToqHs;v?}`y!#-p3edxq?5bAD} zk`D0N2u{NJVD3nj8LxREI*(Wm;b}I>P>1Zj$=1ekarQT%o$avjGaNYXFId-%|MA=U z0NzlzJ@nMcoieJ+DhC&ze<}oikm#^GU7>v9j!oZ^&WIACiTvwytuw27tww+Lm%A=z z1HdBNQBW=nd<&PH*Q571OY}E9Q4ht`pPsD~o_kcB-SXo8F{F9YogD)=MXLk=(pqjK z)(2c~ykB+}ZHTh}qKi~2kygtc=-b!{me9<8KLra9+1#=LBgx0CN839-E1mIf4rKlT zhhiVDBxEBw-CK!bNB@F9{3?|b)N9fYvFI$CKQK?OFR9fJ9Dyl_H$S|5~mT+}n~@iHTipXg325m+b1ZKVS| z>zEO2?;*tVRF5dXr(6(av41~IIEJ8K!l9a5MhQeW z6er%l&QGynAm)(XOH#32sN6Hy+$*H0{xeIR+_`}!iEuexBqwlCF+iD3@s)wsJ>knU zqM>_`8cM);vJS2`kFa=R4y~;*`}F}clO@s7w%5bMWzWO%7w;FwCDVv0LqoDc3B&LMr zf-?(Zw?G4VxF+LJdJrXN0|nQ^Y0A2!*mk!0r>T@_Bm*qI&Mes2T5@JPWIiNl1h)Hd ze;glXn7FF_MJXrGA|Vj`n;n-l86XVSmS?OD&N^x%uCoI~m-qq8j~3bcSS`bJ(R=XM z+dT&Y3x~y*a&B+gBFU@`pxHvLfGuo}u<#esTYgNt-0y^tM=W6PXofA5G406P2KddU3R+jgiW2Z)JmD_FB{M7g_7UsQ=7aAU5>BG4wB`#_j)uw6gD#HTenr;a^xk1ta`GxV4HJN0S{ z3CrIq=tAGgZ~~TjG0URLfNcnNc~BDn#QT$H*)>@osoU_e8*i%hjd2ruEX4OwFDZ&t zT`v(btFb&{4>z%a>hz5Who9N$4#O=0X@gPatWo@+{>lTx^$E_JBjS0oS%`m8X$^w3 z#48iDCOh6vE1V^hv#p2j!eA+>U`l>x$$x{aaX<^i_xHK){iq6#(O^Jw}PJ<3wdKN$SsP~9AfPO1B$03CEZpm=RYp(77;-NKa~3N zavH(=Xb$9(v24a(CYT>|E4VnJ2OMrMCdB9hwU%34;p@}w2+F#n_3w}%QVWRMa_|BK zDFRAyw!Ug!LKMxTio1^$WY&56*x~9`aK}4YYYB)j>}?za`Eg_GIpAs0VfKwB8k;cT{*_KB_PL+Yy%WymzY@WngKQl5`6Vjjwy{_446JNCOjyts2EB6IoJX;MgvAw8ijt65#*_0?A_%FC&ToW_a643QW9ikv= z^HAYfgh?fH)2-UFThZ)(%urAJ5owP&X;0A%I#2LPhsssl???Z5&%5rAV!%R`A#EY!s`C!gXk`Ean}UekLPInz}7DUKflC_ft@Kc_4yS!UiSS9kym@%Ws> zk6GpijrMiEGC;19qBL$9&j&VkPOmWHSS9KpvR;Ln%ben0xa7myr4Vc_*2#VZVC8&* z17ze0+iYq0_502ro*^EY$x+}%i&KxK8+>G&CZZ!{q8*qxN~paRgO3FN9@?!vD(X@^ z6~G;)DZW6M_YkURo}9Ydm7Aywyo^@OC_qstX3Ua ze*#PT742g_ysyZ=$bb39GI!iqc+xM!pE2-3M@>7Z1eb_bOjs2OG%Cb z%<$%YCnw`|V84ZEggM{fFxo$-0jPBZ3mc^k1=4L%yDt#n0@E{N3ujE&`?DMBOhf6J zU_AzpSvD5rLGeMLO_G}C97@VY&r`AZJVZ;{_6PkBB^=>hv{ml&uSTYk6pNqj(AF9AH*cRy4 zVN|y$Olyersy_gC$jEp`i=Zint~2-HU^3r7Xc8o~9ZzWs>ussC#VK z1A)Iy!p@6fipP<$LzB((?JSFV_6uttk(c!Z1&GZnyDO9TmHrm0Yj^5&s|4GnB zRf7A0&(R-=ZFTyfHg+R+w*z0K-26|af%*=`nT{M9zm6HePxD{K_AbWk?{8Pa1vx-^ zP~$=K?bkNy;&G7S&<*Ch0@5gsYp>!&P`3BxN2`phj1MLySSP+S>sB$!M4gfH@o|60 zkzgy+ttj5JR4X}n($lk*icv$}vmL7pAS?}iRWVA+dxmF~p>97S|6TA*b_j~=yinu7 zHlNzuW}gdBMbEs;2ppV=FLKXvuHf#gZw0iur!v|23ZoD-`YP6M^R%LpAyYgTR;?Qj^etP~W73eI_; z+-e+lxBe&93@Y=h9iMwPYo=|PdVQc(YJ}Cfn7691mn{{WKC;cYm=A~4hEmx9`+k}9 zP1a;VI++a1u zWPVY0Zrtrh+~$mz%75(7AqPt4;_keT+a@n$xpIi^YnJHZ+6vc3-2RN0%75&)Gcm@^ zs~;s~atr4TTQ1)dGUsLITN(>$wj7__#*UZDf9&VeBi%8##qMM9#?{Ona`Ws;4>Fi+ z;2va)rPT_#GFW2a!f4mNhtJj=tOv;=h$}tFe3`8tHxZfn+HWbyTMA1odAZWlnynF` z2bteH@@29V=gLI1v#ggVlf}P0WW@I;X!d)bFfIj$+38CVzTgq-&yNgq26ygDUG3*c zrVtgVV46U)`av^akjZv@m@|a4?DXHwwLRKVvx`fc*?^a+7g>Elp3+LCIyUl@?e^)) z1g+C-zC?O)0vX9*31q&^NZ*{d%zW*)6yz<1rLhdQs2(>Htr^KS3FKlQtj$=QK<3Mg zWUz$HeC?48Mh>}4SYvTs?C*|e^z|8`ey^1iTa9sNb;=izCo0>V5PGr8HfMQU!_xEJ z-GnQ4Vr zU74qJk^En>3fl@_ot^qGc6`B3Ew?RsV2~Nkvb%e?jc#myFQGz$lX+QJCXxJ^uASq+ z+V{O==Z#s&HH4JnT00hm5|Md{P`~Q(sn<$<)am+aFO8e*k#$XT@)~h@$+%E`suT)K z_6kV7Q$S%i4y--;kdQwNPt3z#lZ~=N&}s?AQJ#*~u?)fOKVCWX=3hlKK5EOB;9X+&6A#>!}ca^Zk#R^C-usWB%XA4MisJ*-J)+H~qfnM@T-BSUR`M($l*hC0P1G*P|~x-}^nn z|F3mpsrBRzc6j2zUYn0({f7v?Adh#i-V{7?ZM0U`BUVavb%g}iMmKe35})>65+}2j zZP0$TkOy_+Q$N%*i<(if_pU3doc7OkwZAi)&-`}JM-m~=>t@==b@>e6WN3SIde@`y z9%qdlj*u^OBV^64_OHZS^X9I0HO7*|yAg7&CiFDMs8pYg%UpNy2%{s~owpWG3oP|} zq(|~|QRl>rn_0_5t`Ka#M38)+_Zi(bdQ6w4pE&I<>hxo5zM%OMvGkNKOV@W<`bC^2 z{kVcJ$o#!zzB_o-&k}F$8q!G_H?wBxHqN7dRU~;q_^M8-~e*3QP`#bIZ zz8@}c9@k}FKQZdHW9QGD_Ha_Eb9RfacF^*Y&6jc4>j+`)y{OCQwp~6q7gEA11Uf#? z8yAXZ)`9G;B**7(#yvuoUYCiep| z{C+?>JMY$wdA_5eD=V&cc1G?u$==`Y@_BC8_r0A*PUeFbqhzjN=lvYAKbH8;Ape&Q zmphNX+10+Qlj*cqUF};sWWRQ7m0~tuf+1D~ir)x4B_N%htvB3sMWq)~W6WPUE)6m)r$sgJ9T>#V_rYG=?l!?(;@rqyhsdf*=0#L z8Ex(SdaLwQ=yGlJ%&ztu)J9(lGF`i$)RlRe%V19CUR{~5kUU}(?M|jTjkgouAMNZ6 zCmD;+2r+;F6_ETt1pL-^T`~GI4y=*yQhZ+99gp5W?h!ISDv#{{Ep^)Uy0$MM|0tID zB`oTLN_J;-J=)sEyp#FZa1{bnkf~+sYL_6hskKw?Q;j^^^*DEKTx5-0B)^dhDx7Bb z=B}Nr?A<3Iot=k`3)QEwH7n$XG7hY@^WN_Rf=q*HA3^4Ov`Hhca`HHoDqpZuUvsFQ z5+Wq1WEbfjk8^ywNRIT5@a@A2UHyF%3-ntIH4=0v!4UVc{v~PqU+t}4`v~$c&Q6D1 z?2elYs|}iXug1^JM3C3TWk$5~M(d?nJx*%S+}0kEc~!P{U7RWNS+2(gmF(8+#ymYk zwPZ$L9^^kXeKVo`2g*$j4Ce57lrZNoxH3ollx75k);CV zv`60A`-EDPZ|s9~+PCj&*Gn5qR9z!MhZ4lQkJUAjsWeboT^h;nG3acKbawK+Id?I> z^DXGJyE$3FHgZ1~$z>+e zqZ_-vGpAjr+eWc9K=*vp@p)KRrVPSHHed9g0kru{AO*ZdAb&SsJMH&N9ZeD6`6W2M z!R}BT>Vs>@4GN(A{;r`;hJyJH(rIy(C3Z z%WTf36zD=b$}HCE`U-hEvq)VsP0PiRLdTl$J{yariCpTC>W;qxRKk($cQa$~jf3l823Ef0G;%EBBG5J_Xj};^&g3Ecl3_AvlYt zr|ad{Yxu#o0A&EY+5<2KDFhC@tv;vJIxv;aY5W}&?8aQj;Q|6)c()%DZ~%>Zhh5OD zY=ZB{_ShDWwO&4jS(q^iCoshg$95>aInH{FDHufmmp|pd6sLPh^majIP5(N%cuh0Q X#m}#3EI|{4{^^A23*#RE00960VW8Ra literal 21014 zcmeFX=T{R>v;`_y0BHi!OX#SSh)8czl%^C>dPkackP;w?(h(&z2`EKG+K=8lp@)u0 zuOajvY61kpi}!wd>-_=m%T3nGtd&V7Gv}PW&pCTScjLy55=s){t1t1XN*s+Ge0*Iz zJ-Eds#2$-@bF2EfxV__kBJqU#u@q4J3Gm5daS3ii2OobIdk1cPTYFb-ac)CxZes^K z?&t4ZJ`le-W^|Ld%#9lndjB)rSh@ATBh%~u9Ro>rGsv0+o`1VWDNuw`=+iMe++ozG z`gm*Q@5f)orKET7mWn<48A|e|gDurS#dHDjnfr5r%`>{&zVDb{7E|26XOQstGs`nN z#(S)(xNC6J&U~;{fN{XkJbs@_;%(NJIk*T4?kLmE_>TrNJV<;r7Zw)gX18X1kDRNP zkSyU8)*1IIs)fF9dOqhbcL38z70-ID%@`U6RA3AZ)v049?yGZrHQqrU4Y7#bMJ6BSNax^zA|6v9j>j}`G z%ygyul?dupSd~egmnpYMmd)bWkVUR>z>(&@&1)9ptNa_E(}jIXQ!nd#8=Q~QH!R@3 zmcxES-f&-oq@;f{y$yCpE4&-O`g}A0&B#tkA}xoXzta6T!@Kdh4;GsqD>wrBH?w9j z#StLOEGgUfZzf$>P+81J?7PCozZv4PzVrY0ga2pJ{|lP`KVb1+!H-8=*%^qD!NK{_ zT6g0oO$Zr@D*c9H7Kb?_q3c)Rgt|eMxKH2s?ueO~echpc!7-P!8o}tdFXLmD>hz|M za;=t?ZU3pHqBCO5rfjx?4@zxf=H&R{XnbPLN&tOF{o$I=2SxV~C)C}*S`)2NGp&Qy zp(!1|#bA?&-q%v^mV_Ze*7(B{dK54%71Q(IFYcDdpjKY(YmWi?{$uFuUT zzq1O`{LR^FZ&Nd!$l0=x7Bu#=250uQnuTvDD7>>Xt?c?7lP4`>kEH9=wRmTQ9LeDr zbZya};R^w9r^2pg?Y+2nt4nOYyT-Jdf<3C2cN&LnFv3H=IgHkD|5bFu*f!qfN$X#{ z1#gF-!xOW^q>0*Zea3k9H^u$(P*UqUFG#>R$1p3uw^S&*DCt@v z7rg)Lp+q`st!)2@p;#YP=9uY^l0L_>m$LF2V-^~QQ)7`01N4(Cfzl1gRM$)-q2*!PAl4Po`7k-`B9pZ)E9lLa;O$1Dh+>LBis*>YB`RMyZ}=pJoIy$!$ElK(T4*0GYoY6V*ZJr%=A{mzW`(kuz$tLfB`$VlH|DPp|S#rvo8*CZF$&v)cmIjID~%4{|oXNc_jA-nkgu z9dpCsoyneq{~k``W~Uv@hAA;9+C;-YPJ%6uDZBb}WZ`pf`a$p58~EXd)~}dT`q;?q zsa?+RT-4Ug^BSFr@$C8{2BY)b)DAS_7LR{^jy!fLiJr!fx~Q{I_>t}g3wWQg^4UeV z@tVrAyi**#@l?hj@0&R?o_~ZE6Zu%Aw&meha*oGO+)z`y_{10C2+=XMTl#ukGQ7(n za6s)|FYS8$X(JeEi(02Bzk161B0BnIc!8s!iGjMw({aA`R&#z6#SJ>~IF5>a_iqiW z(LXTBDSS`pmMLb7H^AoBw(6yXJE!+YhClyIcFXA^O5P%RBDThb zWCy;|*55QOefe81Y|FQ$q%o!->9G~>pv3ML4{1TWw-?7wbnaA6{dcAODQg4u^2gJf z{W^vxqiVPQcr$qSsC@I`wZq{28AvL*7$;^HvRu?U71WGq=}x1Uk`1DI&h?&YGt$jL z@_$6jQLJ#XQ9e@ZAK~Kt$mY4i#)duzcDk+q`W&V5@w-E9S1l&#lH2KIw#V5cJB!peZ8nEIu+=vNBUHj=0dYgCag|&BY2U+ zrPI5(OYA@W_&=xjIg$Z)3qhZbFZf7w28D+=V{UQZ@$@bIKou|SE<-cc8qLY5N$yFy zs%awL`N^ZEG*?HEgM#TUxU)TyRM1R|lio$5ON(cWrzI-f{C0zA{0o_)jsF*zWKu3Z z|CwhvG;^|}rF$kCXmp?MMfAk*#ioaudPv%RPHp15igb6K%x~U*4-B4GH>I{cE&Qv| zHU8`FjP%oIX~#y!PFN^*b>=>SNq2&LD+>lRkbc5 z-LOc1-e+k!M!|}f_zGv1Cvne}X&reAnW)!LkL9VO`g?17Sg2$7EhwVGpI?6r^`IZT zA4`s3e2dg%$qc)W3zMU`!F-JV+`i>7)BJ~~Q1Ltaw#+d4@}ShDA#j%c&YPE+6Q>T< zHTjbaJR}=K+#E&Bd;=?Oe;_SQTa_xvSIkK+YPPwbZ+#itWEs3KPQNYF8b)2m+OK)$ z!AM?`U^DESNoP}21EO*_ioSK%IEAqNm$#AaE=*T5?)X2IV-<>~=T3Y#gk}@%wb?fY z@*;X=_MU>necA|&4A_P3i}+|+x3eHlc6zm*icM7|)ffR}THz7LZu3BDA|IRQMPM#` zRmKx0L*X8WcYdbL9*M1X4ty2#)IuOzI#$9tN67SE;WEbY?)JFUFnqw_>GYevbJy?P zA>O%M)cg8>JbV2Mz9O(PAxvC)VV{T|jnMp7sp&vTY^gCY<9dxz>YeuSP2c+enGQVb7Fj zjFDYf>2FPHbEM?-A!6lLb!@4eICu0(SD{Z)xHCCr{+Rv)y_2#pk;%{LiMvh*!r`v} zjThd`pV50*aZC0cM=YdY<3Yv0Pj2*G1UESU*;gG-4j*b@kxrRclxDxvSi7E5G|rs zH2soO=%9%oql_y%vfH}u^U!%*5g*9Wjw+)Uv9usDQb%R1L8QR!NYLacz{vg|N#?|($lxN=<5uq0GT+{&Osi}JBf-?;2A@AYmO*zT?CtW8YpYknpFmHj2!%lme6 z(#)VrxEO}?F6%(jgV6>N0Z{|U`(7REU-RnfZp-w!t#Q>K`*A!I86Xk?dZWzS<6~p_ zgVwzV-E4|0gOvFhQXFVYiQ5l!J{r-E>@MmIP+Gqlp)`L$r_j3=aX}R?XP7#JqLLSe zkT}#OJ}z)dIZNd>Zgkc(6;XM~Nw&v7Y2X-h@#bN|Xd)B!yhZhEzF(~#fvv^R=-4}Z zG>#NnF{MPJFvLB@Rlmo?<-dinu#gz>4aDK4#z-iVFCO`9N(Q@(JX1Tn)CP zs^EfY@uDkk7-)E?krv|YReVbuCh5y6sJ7iY23^WJSsk`)dn6d zxmI@nAL~5PL~Jzvd~xeuZ#gLu0<%3K@7XtW-e@iFH~sj)>c&L-qrau$`@_iWsOPSE z8YPT7tqJp8XQXi6Dg8zdSSJB-z$ho1ysFKMfK^eIx9i@I$w$I2ctJAB%p=2)H<3^PqOLXQ2%!k&%&WOAM*;{ zIkuJZN7BJw$HPQH9UgoKm_a_4tKwV)m*QZVHN1v&(Eo&6>P5P<9He+Ode*yTC>dUA zt3vsixJiY2#0{t84kc_yGzF-$@{dx!ni)w>o^NfF-FH@58Vr+