From fdbc92725a224277e22f1cad3e3c815464506483 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Mon, 15 Mar 2021 13:40:41 -0400 Subject: [PATCH] Remove old CPLD stuff --- cpld/GR8RAM.qpf | 30 - cpld/GR8RAM.qsf | 165 --- cpld/GR8RAM.qws | Bin 2114 -> 0 bytes cpld/GR8RAM.v | 374 ------ cpld/db/GR8RAM.(0).cnf.cdb | Bin 18792 -> 0 bytes cpld/db/GR8RAM.(0).cnf.hdb | Bin 3456 -> 0 bytes cpld/db/GR8RAM.(1).cnf.cdb | Bin 2359 -> 0 bytes cpld/db/GR8RAM.(1).cnf.hdb | Bin 774 -> 0 bytes cpld/db/GR8RAM.(2).cnf.cdb | Bin 2190 -> 0 bytes cpld/db/GR8RAM.(2).cnf.hdb | Bin 889 -> 0 bytes cpld/db/GR8RAM.(3).cnf.cdb | Bin 6076 -> 0 bytes cpld/db/GR8RAM.(3).cnf.hdb | Bin 1292 -> 0 bytes cpld/db/GR8RAM.(4).cnf.cdb | Bin 1132 -> 0 bytes cpld/db/GR8RAM.(4).cnf.hdb | Bin 645 -> 0 bytes cpld/db/GR8RAM.(5).cnf.cdb | Bin 1133 -> 0 bytes cpld/db/GR8RAM.(5).cnf.hdb | Bin 645 -> 0 bytes cpld/db/GR8RAM.(6).cnf.cdb | Bin 681 -> 0 bytes cpld/db/GR8RAM.(6).cnf.hdb | Bin 535 -> 0 bytes cpld/db/GR8RAM.(7).cnf.cdb | Bin 763 -> 0 bytes cpld/db/GR8RAM.(7).cnf.hdb | Bin 516 -> 0 bytes cpld/db/GR8RAM.(8).cnf.cdb | Bin 656 -> 0 bytes cpld/db/GR8RAM.(8).cnf.hdb | Bin 515 -> 0 bytes cpld/db/GR8RAM.(9).cnf.cdb | Bin 2359 -> 0 bytes cpld/db/GR8RAM.(9).cnf.hdb | Bin 770 -> 0 bytes cpld/db/GR8RAM.ace_cmp.cdb | Bin 31712 -> 0 bytes cpld/db/GR8RAM.ace_cmp.hdb | Bin 17867 -> 0 bytes cpld/db/GR8RAM.asm 2.rdb | Bin 1313 -> 0 bytes cpld/db/GR8RAM.asm 3.rdb | Bin 1314 -> 0 bytes cpld/db/GR8RAM.asm 4.rdb | Bin 1314 -> 0 bytes cpld/db/GR8RAM.asm.qmsg | 5 - cpld/db/GR8RAM.asm.rdb | Bin 1333 -> 0 bytes cpld/db/GR8RAM.atom.rvd | Bin 24540 -> 0 bytes cpld/db/GR8RAM.cmp 10.rdb | Bin 1784 -> 0 bytes cpld/db/GR8RAM.cmp 11.rdb | Bin 1789 -> 0 bytes cpld/db/GR8RAM.cmp 12.rdb | Bin 15139 -> 0 bytes cpld/db/GR8RAM.cmp 13.rdb | Bin 1792 -> 0 bytes cpld/db/GR8RAM.cmp 14.rdb | Bin 9820 -> 0 bytes cpld/db/GR8RAM.cmp 15.rdb | Bin 9810 -> 0 bytes cpld/db/GR8RAM.cmp 16.rdb | Bin 15020 -> 0 bytes cpld/db/GR8RAM.cmp 17.rdb | Bin 14522 -> 0 bytes cpld/db/GR8RAM.cmp 18.rdb | Bin 14381 -> 0 bytes cpld/db/GR8RAM.cmp 19.rdb | Bin 14723 -> 0 bytes cpld/db/GR8RAM.cmp 2.cdb | Bin 38319 -> 0 bytes cpld/db/GR8RAM.cmp 2.hdb | Bin 17034 -> 0 bytes cpld/db/GR8RAM.cmp 2.rdb | Bin 5421 -> 0 bytes cpld/db/GR8RAM.cmp 20.rdb | Bin 14689 -> 0 bytes cpld/db/GR8RAM.cmp 21.rdb | Bin 14640 -> 0 bytes cpld/db/GR8RAM.cmp 22.rdb | Bin 14812 -> 0 bytes cpld/db/GR8RAM.cmp 23.rdb | Bin 2108 -> 0 bytes cpld/db/GR8RAM.cmp 24.rdb | Bin 2110 -> 0 bytes cpld/db/GR8RAM.cmp 25.rdb | Bin 14820 -> 0 bytes cpld/db/GR8RAM.cmp 26.rdb | Bin 14916 -> 0 bytes cpld/db/GR8RAM.cmp 3.cdb | Bin 34967 -> 0 bytes cpld/db/GR8RAM.cmp 3.hdb | Bin 16872 -> 0 bytes cpld/db/GR8RAM.cmp 3.rdb | Bin 1718 -> 0 bytes cpld/db/GR8RAM.cmp 4.rdb | Bin 1793 -> 0 bytes cpld/db/GR8RAM.cmp 5.rdb | Bin 4790 -> 0 bytes cpld/db/GR8RAM.cmp 6.rdb | Bin 1793 -> 0 bytes cpld/db/GR8RAM.cmp 7.rdb | Bin 14405 -> 0 bytes cpld/db/GR8RAM.cmp 8.rdb | Bin 1872 -> 0 bytes cpld/db/GR8RAM.cmp 9.rdb | Bin 11908 -> 0 bytes cpld/db/GR8RAM.cmp.cdb | Bin 32186 -> 0 bytes cpld/db/GR8RAM.cmp.hdb | Bin 17589 -> 0 bytes cpld/db/GR8RAM.cmp.logdb | 1 - cpld/db/GR8RAM.cmp.rdb | Bin 15150 -> 0 bytes cpld/db/GR8RAM.cmp0.ddb | Bin 9014 -> 0 bytes cpld/db/GR8RAM.db_info | 3 - cpld/db/GR8RAM.eco.cdb | Bin 1110 -> 0 bytes cpld/db/GR8RAM.fit 2.qmsg | 3 - cpld/db/GR8RAM.fit 3.qmsg | 3 - cpld/db/GR8RAM.fit 4.qmsg | 5 - cpld/db/GR8RAM.fit 5.qmsg | 3 - cpld/db/GR8RAM.fit 6.qmsg | 3 - cpld/db/GR8RAM.fit 7.qmsg | 3 - cpld/db/GR8RAM.fit 8.qmsg | 3 - cpld/db/GR8RAM.fit.qmsg | 3 - cpld/db/GR8RAM.hier_info | 129 -- cpld/db/GR8RAM.hif | Bin 2014 -> 0 bytes cpld/db/GR8RAM.ipinfo | Bin 177 -> 0 bytes cpld/db/GR8RAM.lpc.html | 18 - cpld/db/GR8RAM.lpc.rdb | Bin 413 -> 0 bytes cpld/db/GR8RAM.lpc.txt | 5 - cpld/db/GR8RAM.map 2.cdb | Bin 14956 -> 0 bytes cpld/db/GR8RAM.map 2.hdb | Bin 9741 -> 0 bytes cpld/db/GR8RAM.map 2.rdb | Bin 1175 -> 0 bytes cpld/db/GR8RAM.map 3.cdb | Bin 15887 -> 0 bytes cpld/db/GR8RAM.map 3.hdb | Bin 16445 -> 0 bytes cpld/db/GR8RAM.map 3.rdb | Bin 1176 -> 0 bytes cpld/db/GR8RAM.map 4.cdb | Bin 14961 -> 0 bytes cpld/db/GR8RAM.map 4.hdb | Bin 16445 -> 0 bytes cpld/db/GR8RAM.map 4.rdb | Bin 1176 -> 0 bytes cpld/db/GR8RAM.map 5.cdb | Bin 14957 -> 0 bytes cpld/db/GR8RAM.map 5.hdb | Bin 16770 -> 0 bytes cpld/db/GR8RAM.map 5.rdb | Bin 1178 -> 0 bytes cpld/db/GR8RAM.map 6.cdb | Bin 14938 -> 0 bytes cpld/db/GR8RAM.map 6.hdb | Bin 17087 -> 0 bytes cpld/db/GR8RAM.map 6.rdb | Bin 1177 -> 0 bytes cpld/db/GR8RAM.map 7.cdb | Bin 14978 -> 0 bytes cpld/db/GR8RAM.map 7.hdb | Bin 17423 -> 0 bytes cpld/db/GR8RAM.map 8.hdb | Bin 17443 -> 0 bytes cpld/db/GR8RAM.map 9.hdb | Bin 16681 -> 0 bytes cpld/db/GR8RAM.map.cdb | Bin 13086 -> 0 bytes cpld/db/GR8RAM.map.hdb | Bin 17143 -> 0 bytes cpld/db/GR8RAM.map.logdb | 1 - cpld/db/GR8RAM.map.qmsg | 33 - cpld/db/GR8RAM.map.rdb | Bin 1187 -> 0 bytes cpld/db/GR8RAM.pplq.rdb | Bin 245 -> 0 bytes cpld/db/GR8RAM.pre_map.hdb | Bin 13301 -> 0 bytes cpld/db/GR8RAM.pti_db_list.ddb | Bin 191 -> 0 bytes cpld/db/GR8RAM.qns | 1 - cpld/db/GR8RAM.root_partition.map.reg_db.cdb | Bin 210 -> 0 bytes cpld/db/GR8RAM.rpp.qmsg | 4 - cpld/db/GR8RAM.rtlv.hdb | Bin 13254 -> 0 bytes cpld/db/GR8RAM.rtlv_sg.cdb | Bin 14335 -> 0 bytes cpld/db/GR8RAM.rtlv_sg_swap.cdb | Bin 195 -> 0 bytes cpld/db/GR8RAM.sgate.rvd | Bin 11745 -> 0 bytes cpld/db/GR8RAM.sgate_sm.rvd | Bin 232 -> 0 bytes cpld/db/GR8RAM.sgdiff.cdb | Bin 16331 -> 0 bytes cpld/db/GR8RAM.sgdiff.hdb | Bin 24840 -> 0 bytes cpld/db/GR8RAM.sld_design_entry.sci | Bin 216 -> 0 bytes cpld/db/GR8RAM.sld_design_entry_dsc.sci | Bin 216 -> 0 bytes cpld/db/GR8RAM.smart_action.txt | 1 - cpld/db/GR8RAM.sta 2.rdb | Bin 11530 -> 0 bytes cpld/db/GR8RAM.sta.qmsg | 22 - cpld/db/GR8RAM.sta.rdb | Bin 10859 -> 0 bytes cpld/db/GR8RAM.sta_cmp.15_slow.tdb | Bin 36715 -> 0 bytes cpld/db/GR8RAM.syn_hier_info | 0 cpld/db/GR8RAM.tis_db_list.ddb | Bin 191 -> 0 bytes cpld/db/GR8RAM.tmw_info | 6 - cpld/db/add_sub_8ph.tdf | 46 - cpld/db/add_sub_9ph.tdf | 46 - cpld/db/add_sub_aph.tdf | 46 - cpld/db/add_sub_qnh.tdf | 46 - cpld/db/add_sub_rnh.tdf | 46 - cpld/db/logic_util_heursitic.dat | 0 cpld/db/prev_cmp_GR8RAM.qmsg | 71 -- cpld/incremental_db/README | 11 - .../compiled_partitions/GR8RAM.db_info | 3 - .../GR8RAM.root_partition.map.kpt | Bin 227 -> 0 bytes cpld/output_files/GR8RAM.asm.rpt | 111 -- cpld/output_files/GR8RAM.cdf | 13 - cpld/output_files/GR8RAM.done | 1 - cpld/output_files/GR8RAM.fit.rpt | 733 ----------- cpld/output_files/GR8RAM.fit.summary | 9 - cpld/output_files/GR8RAM.flow.rpt | 130 -- cpld/output_files/GR8RAM.jdi | 8 - cpld/output_files/GR8RAM.map.rpt | 404 ------ cpld/output_files/GR8RAM.map.smsg | 3 - cpld/output_files/GR8RAM.map.summary | 7 - cpld/output_files/GR8RAM.pin | 147 --- cpld/output_files/GR8RAM.pof | Bin 8022 -> 0 bytes cpld/output_files/GR8RAM.sta.rpt | 1090 ----------------- cpld/output_files/GR8RAM.sta.summary | 17 - 153 files changed, 3812 deletions(-) delete mode 100755 cpld/GR8RAM.qpf delete mode 100644 cpld/GR8RAM.qsf delete mode 100755 cpld/GR8RAM.qws delete mode 100755 cpld/GR8RAM.v delete mode 100755 cpld/db/GR8RAM.(0).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(0).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(1).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(1).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(2).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(2).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(3).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(3).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(4).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(4).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(5).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(5).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(6).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(6).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(7).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(7).cnf.hdb delete mode 100755 cpld/db/GR8RAM.(8).cnf.cdb delete mode 100755 cpld/db/GR8RAM.(8).cnf.hdb delete mode 100644 cpld/db/GR8RAM.(9).cnf.cdb delete mode 100644 cpld/db/GR8RAM.(9).cnf.hdb delete mode 100755 cpld/db/GR8RAM.ace_cmp.cdb delete mode 100755 cpld/db/GR8RAM.ace_cmp.hdb delete mode 100755 cpld/db/GR8RAM.asm 2.rdb delete mode 100755 cpld/db/GR8RAM.asm 3.rdb delete mode 100755 cpld/db/GR8RAM.asm 4.rdb delete mode 100755 cpld/db/GR8RAM.asm.qmsg delete mode 100755 cpld/db/GR8RAM.asm.rdb delete mode 100755 cpld/db/GR8RAM.atom.rvd delete mode 100644 cpld/db/GR8RAM.cmp 10.rdb delete mode 100644 cpld/db/GR8RAM.cmp 11.rdb delete mode 100755 cpld/db/GR8RAM.cmp 12.rdb delete mode 100644 cpld/db/GR8RAM.cmp 13.rdb delete mode 100755 cpld/db/GR8RAM.cmp 14.rdb delete mode 100755 cpld/db/GR8RAM.cmp 15.rdb delete mode 100755 cpld/db/GR8RAM.cmp 16.rdb delete mode 100755 cpld/db/GR8RAM.cmp 17.rdb delete mode 100755 cpld/db/GR8RAM.cmp 18.rdb delete mode 100755 cpld/db/GR8RAM.cmp 19.rdb delete mode 100755 cpld/db/GR8RAM.cmp 2.cdb delete mode 100755 cpld/db/GR8RAM.cmp 2.hdb delete mode 100755 cpld/db/GR8RAM.cmp 2.rdb delete mode 100755 cpld/db/GR8RAM.cmp 20.rdb delete mode 100755 cpld/db/GR8RAM.cmp 21.rdb delete mode 100755 cpld/db/GR8RAM.cmp 22.rdb delete mode 100755 cpld/db/GR8RAM.cmp 23.rdb delete mode 100755 cpld/db/GR8RAM.cmp 24.rdb delete mode 100755 cpld/db/GR8RAM.cmp 25.rdb delete mode 100755 cpld/db/GR8RAM.cmp 26.rdb delete mode 100755 cpld/db/GR8RAM.cmp 3.cdb delete mode 100755 cpld/db/GR8RAM.cmp 3.hdb delete mode 100644 cpld/db/GR8RAM.cmp 3.rdb delete mode 100644 cpld/db/GR8RAM.cmp 4.rdb delete mode 100644 cpld/db/GR8RAM.cmp 5.rdb delete mode 100644 cpld/db/GR8RAM.cmp 6.rdb delete mode 100755 cpld/db/GR8RAM.cmp 7.rdb delete mode 100755 cpld/db/GR8RAM.cmp 8.rdb delete mode 100755 cpld/db/GR8RAM.cmp 9.rdb delete mode 100755 cpld/db/GR8RAM.cmp.cdb delete mode 100755 cpld/db/GR8RAM.cmp.hdb delete mode 100755 cpld/db/GR8RAM.cmp.logdb delete mode 100755 cpld/db/GR8RAM.cmp.rdb delete mode 100755 cpld/db/GR8RAM.cmp0.ddb delete mode 100755 cpld/db/GR8RAM.db_info delete mode 100755 cpld/db/GR8RAM.eco.cdb delete mode 100755 cpld/db/GR8RAM.fit 2.qmsg delete mode 100755 cpld/db/GR8RAM.fit 3.qmsg delete mode 100755 cpld/db/GR8RAM.fit 4.qmsg delete mode 100755 cpld/db/GR8RAM.fit 5.qmsg delete mode 100755 cpld/db/GR8RAM.fit 6.qmsg delete mode 100755 cpld/db/GR8RAM.fit 7.qmsg delete mode 100755 cpld/db/GR8RAM.fit 8.qmsg delete mode 100755 cpld/db/GR8RAM.fit.qmsg delete mode 100755 cpld/db/GR8RAM.hier_info delete mode 100755 cpld/db/GR8RAM.hif delete mode 100755 cpld/db/GR8RAM.ipinfo delete mode 100755 cpld/db/GR8RAM.lpc.html delete mode 100755 cpld/db/GR8RAM.lpc.rdb delete mode 100755 cpld/db/GR8RAM.lpc.txt delete mode 100755 cpld/db/GR8RAM.map 2.cdb delete mode 100755 cpld/db/GR8RAM.map 2.hdb delete mode 100755 cpld/db/GR8RAM.map 2.rdb delete mode 100755 cpld/db/GR8RAM.map 3.cdb delete mode 100755 cpld/db/GR8RAM.map 3.hdb delete mode 100755 cpld/db/GR8RAM.map 3.rdb delete mode 100755 cpld/db/GR8RAM.map 4.cdb delete mode 100755 cpld/db/GR8RAM.map 4.hdb delete mode 100755 cpld/db/GR8RAM.map 4.rdb delete mode 100755 cpld/db/GR8RAM.map 5.cdb delete mode 100755 cpld/db/GR8RAM.map 5.hdb delete mode 100755 cpld/db/GR8RAM.map 5.rdb delete mode 100755 cpld/db/GR8RAM.map 6.cdb delete mode 100755 cpld/db/GR8RAM.map 6.hdb delete mode 100755 cpld/db/GR8RAM.map 6.rdb delete mode 100755 cpld/db/GR8RAM.map 7.cdb delete mode 100755 cpld/db/GR8RAM.map 7.hdb delete mode 100755 cpld/db/GR8RAM.map 8.hdb delete mode 100755 cpld/db/GR8RAM.map 9.hdb delete mode 100755 cpld/db/GR8RAM.map.cdb delete mode 100755 cpld/db/GR8RAM.map.hdb delete mode 100755 cpld/db/GR8RAM.map.logdb delete mode 100755 cpld/db/GR8RAM.map.qmsg delete mode 100755 cpld/db/GR8RAM.map.rdb delete mode 100755 cpld/db/GR8RAM.pplq.rdb delete mode 100755 cpld/db/GR8RAM.pre_map.hdb delete mode 100755 cpld/db/GR8RAM.pti_db_list.ddb delete mode 100755 cpld/db/GR8RAM.qns delete mode 100755 cpld/db/GR8RAM.root_partition.map.reg_db.cdb delete mode 100755 cpld/db/GR8RAM.rpp.qmsg delete mode 100755 cpld/db/GR8RAM.rtlv.hdb delete mode 100755 cpld/db/GR8RAM.rtlv_sg.cdb delete mode 100755 cpld/db/GR8RAM.rtlv_sg_swap.cdb delete mode 100755 cpld/db/GR8RAM.sgate.rvd delete mode 100755 cpld/db/GR8RAM.sgate_sm.rvd delete mode 100755 cpld/db/GR8RAM.sgdiff.cdb delete mode 100755 cpld/db/GR8RAM.sgdiff.hdb delete mode 100755 cpld/db/GR8RAM.sld_design_entry.sci delete mode 100755 cpld/db/GR8RAM.sld_design_entry_dsc.sci delete mode 100755 cpld/db/GR8RAM.smart_action.txt delete mode 100755 cpld/db/GR8RAM.sta 2.rdb delete mode 100755 cpld/db/GR8RAM.sta.qmsg delete mode 100755 cpld/db/GR8RAM.sta.rdb delete mode 100755 cpld/db/GR8RAM.sta_cmp.15_slow.tdb delete mode 100755 cpld/db/GR8RAM.syn_hier_info delete mode 100755 cpld/db/GR8RAM.tis_db_list.ddb delete mode 100755 cpld/db/GR8RAM.tmw_info delete mode 100755 cpld/db/add_sub_8ph.tdf delete mode 100644 cpld/db/add_sub_9ph.tdf delete mode 100755 cpld/db/add_sub_aph.tdf delete mode 100644 cpld/db/add_sub_qnh.tdf delete mode 100644 cpld/db/add_sub_rnh.tdf delete mode 100755 cpld/db/logic_util_heursitic.dat delete mode 100755 cpld/db/prev_cmp_GR8RAM.qmsg delete mode 100755 cpld/incremental_db/README delete mode 100755 cpld/incremental_db/compiled_partitions/GR8RAM.db_info delete mode 100755 cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt delete mode 100755 cpld/output_files/GR8RAM.asm.rpt delete mode 100644 cpld/output_files/GR8RAM.cdf delete mode 100755 cpld/output_files/GR8RAM.done delete mode 100755 cpld/output_files/GR8RAM.fit.rpt delete mode 100755 cpld/output_files/GR8RAM.fit.summary delete mode 100755 cpld/output_files/GR8RAM.flow.rpt delete mode 100755 cpld/output_files/GR8RAM.jdi delete mode 100755 cpld/output_files/GR8RAM.map.rpt delete mode 100755 cpld/output_files/GR8RAM.map.smsg delete mode 100755 cpld/output_files/GR8RAM.map.summary delete mode 100755 cpld/output_files/GR8RAM.pin delete mode 100755 cpld/output_files/GR8RAM.pof delete mode 100755 cpld/output_files/GR8RAM.sta.rpt delete mode 100755 cpld/output_files/GR8RAM.sta.summary diff --git a/cpld/GR8RAM.qpf b/cpld/GR8RAM.qpf deleted file mode 100755 index cd70607..0000000 --- a/cpld/GR8RAM.qpf +++ /dev/null @@ -1,30 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -# Date created = 02:27:57 August 06, 2019 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "13.0" -DATE = "02:27:57 August 06, 2019" - -# Revisions - -PROJECT_REVISION = "GR8RAM" diff --git a/cpld/GR8RAM.qsf b/cpld/GR8RAM.qsf deleted file mode 100644 index 9a29f0e..0000000 --- a/cpld/GR8RAM.qsf +++ /dev/null @@ -1,165 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 1991-2013 Altera Corporation -# Your use of Altera Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Altera Program License -# Subscription Agreement, Altera MegaCore Function License -# Agreement, or other applicable license agreement, including, -# without limitation, that your use is for the sole purpose of -# programming logic devices manufactured by Altera and sold by -# Altera or its authorized distributors. Please refer to the -# applicable agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus II 32-bit -# Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -# Date created = 02:27:57 August 06, 2019 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# GR8RAM_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus II software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY MAX7000S -set_global_assignment -name DEVICE "EPM7128SLC84-15" -set_global_assignment -name TOP_LEVEL_ENTITY GR8RAM -set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name PROJECT_CREATION_TIME_DATE "02:27:57 AUGUST 06, 2019" -set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name DEVICE_FILTER_PACKAGE PLCC -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 84 -set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 15 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name VERILOG_FILE GR8RAM.v -set_global_assignment -name MAX7000_DEVICE_IO_STANDARD TTL -set_location_assignment PIN_1 -to nRES -set_location_assignment PIN_75 -to A[0] -set_location_assignment PIN_77 -to A[2] -set_location_assignment PIN_79 -to A[3] -set_location_assignment PIN_80 -to A[4] -set_location_assignment PIN_81 -to A[5] -set_location_assignment PIN_83 -to C7M -set_location_assignment PIN_84 -to C7M_2 -set_location_assignment PIN_4 -to A[6] -set_location_assignment PIN_5 -to A[7] -set_location_assignment PIN_9 -to A[8] -set_location_assignment PIN_10 -to A[9] -set_location_assignment PIN_11 -to A[10] -set_location_assignment PIN_12 -to A[11] -set_location_assignment PIN_15 -to A[12] -set_location_assignment PIN_6 -to Q3 -set_location_assignment PIN_16 -to A[13] -set_location_assignment PIN_17 -to A[14] -set_location_assignment PIN_18 -to A[15] -set_location_assignment PIN_20 -to nWE -set_location_assignment PIN_21 -to nDEVSEL -set_location_assignment PIN_22 -to nINH -set_location_assignment PIN_24 -to nIOSTRB -set_location_assignment PIN_25 -to D[7] -set_location_assignment PIN_27 -to D[6] -set_location_assignment PIN_28 -to D[5] -set_location_assignment PIN_29 -to D[4] -set_location_assignment PIN_33 -to D[3] -set_location_assignment PIN_34 -to D[2] -set_location_assignment PIN_35 -to D[1] -set_location_assignment PIN_36 -to D[0] -set_location_assignment PIN_39 -to nCAS0 -set_location_assignment PIN_40 -to nCAS1 -set_location_assignment PIN_41 -to nRCS -set_location_assignment PIN_45 -to nROE -set_location_assignment PIN_46 -to RA[9] -set_location_assignment PIN_48 -to RA[10] -set_location_assignment PIN_49 -to RA[3] -set_location_assignment PIN_50 -to RA[2] -set_location_assignment PIN_51 -to RA[5] -set_location_assignment PIN_52 -to RA[0] -set_location_assignment PIN_54 -to RA[1] -set_location_assignment PIN_55 -to RA[4] -set_location_assignment PIN_56 -to RA[7] -set_location_assignment PIN_57 -to RA[6] -set_location_assignment PIN_58 -to RA[8] -set_location_assignment PIN_60 -to nRAS -set_location_assignment PIN_61 -to RD[7] -set_location_assignment PIN_63 -to RD[5] -set_location_assignment PIN_64 -to RD[6] -set_location_assignment PIN_65 -to RD[4] -set_location_assignment PIN_67 -to nRWE -set_location_assignment PIN_68 -to RD[3] -set_location_assignment PIN_69 -to RD[2] -set_location_assignment PIN_70 -to RD[1] -set_location_assignment PIN_73 -to RD[0] -set_location_assignment PIN_74 -to nIOSEL -set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF -set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING OFF -set_global_assignment -name FITTER_EFFORT "STANDARD FIT" -set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING "PACK ALL IO REGISTERS" -set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS OFF -set_global_assignment -name AUTO_LCELL_INSERTION OFF -set_global_assignment -name AUTO_PARALLEL_EXPANDERS OFF -set_global_assignment -name AUTO_RESOURCE_SHARING OFF -set_global_assignment -name SYNTH_MESSAGE_LEVEL HIGH -set_global_assignment -name SLOW_SLEW_RATE ON -set_global_assignment -name ALM_REGISTER_PACKING_EFFORT HIGH -set_global_assignment -name ECO_OPTIMIZE_TIMING ON -set_global_assignment -name ECO_REGENERATE_REPORT ON -set_location_assignment LC1 -to Addr[0] -set_location_assignment LC2 -to Addr[1] -set_location_assignment LC3 -to Addr[2] -set_location_assignment LC4 -to Addr[3] -set_location_assignment LC5 -to Addr[4] -set_location_assignment LC6 -to Addr[5] -set_location_assignment LC7 -to Addr[6] -set_location_assignment LC8 -to Addr[7] -set_location_assignment LC9 -to Addr[8] -set_location_assignment LC10 -to Addr[9] -set_location_assignment LC11 -to Addr[10] -set_location_assignment LC12 -to Addr[11] -set_location_assignment LC13 -to Addr[12] -set_location_assignment LC14 -to Addr[13] -set_location_assignment LC15 -to Addr[14] -set_location_assignment LC16 -to Addr[15] -set_global_assignment -name PARALLEL_SYNTHESIS OFF -set_global_assignment -name STATE_MACHINE_PROCESSING "USER-ENCODED" -set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS OFF -set_location_assignment PIN_76 -to A[1] -set_location_assignment PIN_8 -to PHI0in -set_location_assignment PIN_2 -to PHI1in -set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES OFF -set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES OFF -set_global_assignment -name PRE_MAPPING_RESYNTHESIS ON -set_global_assignment -name AUTO_TURBO_BIT OFF -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b1_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b2_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b3_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b4_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b5_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b6_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b7_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b8_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b9_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI0seen -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1reg -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to S -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to PHI1b0_MC -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to IOROMEN -set_location_assignment PIN_44 -to nMode -set_instance_assignment -name MAX7000_INDIVIDUAL_TURBO_BIT ON -to RAMSEL_MC \ No newline at end of file diff --git a/cpld/GR8RAM.qws b/cpld/GR8RAM.qws deleted file mode 100755 index 21497114c78d3b1e7d6a91de423b94205cc939c2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2114 zcmeH|&uddb5XZl-T4*og$&-g7B1K4=G>KJD+N$-Sm!cODLi*l|mZnKb;}0mMJ$MrA zzo1wD7B712Nsl6U^`N!&^WD7HVk?5BiijI#cE7tb^JZseCznQ*kCSStp(XWH*CTb* z)jVjCy;vzi1JDyq>7vGTo0AlYCDwP4XoJRd1+7>Qv;b;j$EVYpV|AU~*??wrUNgF^ zo1hr$EhVfvocBQHbv4mxVWY3Vt5HNF;Z)G?@nag_a{r2Y$d`^lH?+)dnR`|>j$ajc zft?BbImfEZFM$e3l(=V!Rf*p?)WFv!yaKo8%HI;jD3YQ&-fTnqY9G z61u(_LiGiBidZ$sj33_!*KNY5nEjZuC!J{ytRsHc*`-!K+Y0!9(S&y45FK98U@VNm z4@CJ{jtKmg$v8Zrevhisk0wZaq6_c>a}3_?QSMCcQAs%6+oNivJ|WTWQGckzI#$tB z*iLEsLR~gru=qC$%K15P7dpX{O!YW)z^i1(|EBymhi90-ZR~p-e#7e9B_XRM}?pi_s diff --git a/cpld/GR8RAM.v b/cpld/GR8RAM.v deleted file mode 100755 index af9b0bc..0000000 --- a/cpld/GR8RAM.v +++ /dev/null @@ -1,374 +0,0 @@ -module GR8RAM(C25M, PHI0, nPBOD, nBOD, nRES, - nIOSEL, nDEVSEL, nIOSTRB, - RA, nWEin, nWEout, Adir, - RD, Ddir, - DMAin, DMAout, INTin, INTout, - nDMA, nRDY, nNMI, nIRQ, nINH, nRESout - SBA, SA, nRCS, nRAS, nCAS, nSWE, DQML, DQMH, RCKE, SD, - nFCS, FCK, MISO, MOSI); - - /* Clock signals */ - input C25M, PHI0; - reg PHI0r1, PHI0r2, PHI0r3; - always @(negedge C25M) begin PHI0r1 <= PHI0; end - always @(posedge C25M) begin - PHI0r2 <= PHI0r1; PHI0r3 <= PHI0r2; - end - - /* Reset/brown-out detect inputs */ - input nRES, nPBOD, nBOD; - reg PBODr1, PBODr2, BODr1, BODr2, RESr1, RESr2; - always @(negedge C25M) begin - PBODr1 <= ~nPBOD; BODr1 <= ~nBOD; RESr1 <= ~nRES; - end - always @(posedge C25M) begin - PBODr2 <= PBODr1; BODr2 <= BODr1; RESr2 <= RESr1; - end - - /* Apple IO area select signals */ - input nIOSEL, nDEVSEL, nIOSTRB; - reg DEVSELr1, DEVSELr2; - always @(negedge C25M) begin DEVSELr1 <= ~nDEVSEL; end - always @(posedge C25M) begin DEVSELr2 <= DEVSELr1; end - - /* DMA/IRQ daisy chain */ - input DMAin, INTin; - output DMAout = DMAin; - output INTout = INTin; - - /* Apple open-drain outputs */ - output nDMA = 1; - output nRDY = 1; - output nNMI = 1; - output nIRQ = 1; - output nINH = 1; - output nRESout = 0; - - /* Apple address bus */ - input [15:0] RA; - input nWEin; - output RAdir = 1; - output nWEout = 1; - reg [15:0] RAr1; reg nWEr1; - reg [15:0] RAr2; reg nWEr2; - reg [15:0] RAcur; reg nWEcur; - always @(negedge C25M) begin RAr1 <= RA; nWEr1 <= nWE; end - always @(posedge C25M) begin RAr2 <= RAr1; nWEr2 <= nWEr1; end - always @(posedge C25M) begin - if (S==0 && ~PHI0r2) begin - RAcur <= RAr2; - nWEcur <= nWER2; - end - end - - /* Apple select signals */ - wire ROMSpecSEL = RAcur[15:12]==4'hC && RAcur[11:8]!=4'h0; - wire ROMSpecRD = ROMSpecSEL && nWE; - wire RAMSpecSEL = RAcur[15:12]==4'hC && RAcur[11:8]==4'h0 && RAcur[7] && RAcur[7:4]!=4'h8 && RAcur[3:0]==4'h3; - wire RAMSpecRD = RAMSpecSEL && nWE; - wire RAMSpecWR = RAMSpecSEL && ~nWE; - wire SpecRD = ROMSpecRD || RAMSpecRD; - reg RAMRD = 0, RAMWR = 0; - always @(posedge C25M) begin - if (S==5) begin - RAMRD <= RAMSpecRD && DEVSELr2; - RAMWR <= RAMSpecWR && DEVSELr2; - end else if (S==0) begin - RAMRD <= 0; - RAMWR <= 0; - end - end - - /* Apple data bus */ - inout [7:0] RD = RDdir ? 8'bZ : RDout[7:0]; - reg RDdir = 1; - reg [7:0] RDout; - - /* SDRAM data bus */ - inout [7:0] SD = SDOE ? RD[7:0] : 8'bZ; - reg SDOE = 0; - - /* SDRAM address/command */ - output reg [1:0] SBA; - output reg [12:0] SA; - output reg RCKE = 1; - output reg nRCS = 1; - output reg nRAS = 1; - output reg nCAS = 1; - output reg nSWE = 1; - output reg DQMH = 1; - output reg DQML = 1; - - /* SPI flash */ - output reg nFCS = 1; - output reg FCK = 0; - output reg MOSI = MOSIOE ? MOSIout : 1'bZ; - reg MOSIOE = 0; - reg MOSIout; - input MISO; - - /* State counters */ - reg [24:0] FS = 0; - always @(posedge C25M) begin FS <= FS+1; end - reg [2:0] S = 0; - always @(posedge C25M) begin - if (S==0 && PHI0r2 && ~PHI0r3 && ~RESr2 && ~BODr2) S <= 1; - else if (S==0) S <= 0; - else S <= S+1; - end - - /* Refresh state */ - reg RefReady = 0; - reg RefDone = 0; - always @(posedge C25M) begin RefReady <= S==0; end - always @(posedge C25M) begin - if (FS[6:0]==7'h00) RefDone <= 0; - else (S==0 && RefReady && RCKE && ~(PHI0r2 && ~PHI0r3)) RefDone <= 1; - end - - /* Slinky registers */ - reg [24:0] Addr; - wire AddrHSpecSEL = RAcur[3:0]==4'h2; - wire AddrMSpecSEL = RAcur[3:0]==4'h1; - wire AddrLSpecSEL = RAcur[3:0]==4'h0; - always @(posedge C25M) begin - if (S==7 && DEVSELr2) begin - if (AddrHSpecSEL || AddrMSpecSEL || AddrLSpecSEL) begin - Addr[24] <= 1'b0; - end - - if (AddrHSpecSEL) begin - Addr[23:16] <= RD[7:0]; - end else if (RAMRD || RAMWR || - (AddrMSpecSEL && Addr[15] && ~RD[7]) || - (AddrLSpecSEL && Addr[7] && ~RD[7] && Addr[15:8]==8'hFF)) begin - Addr[23:16] <= Addr[23:16]+1; - end - - if (AddrMSpecSEL) begin - Addr[15:8] <= RD[7:0]; - end else if (RAMRD || RAMWR || - (AddrLSpecSEL && Addr[7] && ~RD[7])) begin - Addr[15:8] <= Addr[15:8]+1; - end - - if (AddrLSpecSEL) begin - Addr[7:0] <= RD[7:0]; - end else if (RAMRD || RAMWR) begin - Addr[7:0] <= Addr[7:0]+1; - end - end - end - - always @(posedge C25M) begin - if (S==0) begin - if ((PHI0r2 && ~PHI0r3 && ~RESr2 && ~BODr2 && SpecRD) || - (~RefReady && ~RefDone)) begin - // NOP cken - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else if (RefReady && ~RefDone && RCKE && - ~(PHI0r2 && ~PHI0r3)) begin - // AREF - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b0; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end else if (S==4'h1) begin - if (SpecRD) begin - // ACT - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - - if (RAMSpecRD) begin - RBA[1] <= Addr[24]; - RBA[0] <= Addr[23]; - RA[12:0] <= Addr[22:10]; - end else begin - RBA[1] <= 1'b1; - RBA[0] <= 1'b0; - RA[12:10] <= 3'b000; - RA[9:2] <= Bank[7:0]; - RA[1:0] <= RAcur[11:10]; - end - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end else if (S==4'h2) begin - if (SpecRD) begin - // RD auto-PC - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b1; - - A[12:11] <= 1'b0; // don't care - A[10] <= 1'b1; // auto-precharge - A[9] <= 1'b0; // don't care - if (RAMSpecRD) begin - RBA[1] <= Addr[24]; - RBA[0] <= Addr[23]; - RA[8:0] <= Addr[9:1]; - DQMH <= ~Addr[0]; - DQML <= Addr[0]; - end else /* ROMSpecRD */ begin - RBA[1] <= 1'b1; - RBA[0] <= 1'b0; - RA[8:0] <= RAcur[9:1]; - DQMH <= ~RAcur[0]; - DQML <= RAcur[0]; - end - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end else if (S==4'h3) begin - if (SpecRD) begin - // NOP cken - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end else if (S==4'h4) begin - if (RAMSpecWR) begin - // NOP cken - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end else if (S==4'h5) begin - if (RAMSpecWR && DEVSELr2) begin - // ACT - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b0; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - - BA[1] <= Addr[24]; - BA[0] <= Addr[23]; - A[12:0] <= Addr[22:10]; - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end else if (s==4'h6) begin - if (RAMWR) begin - // WR auto-PC - RCKE <= 1'b1; - nRCS <= 1'b0; - nRAS <= 1'b1; - nCAS <= 1'b0; - nSWE <= 1'b0; - - BA[1] <= Addr[24]; - BA[0] <= Addr[23]; - A[12:11] <= 1'b0; // don't care - A[10] <= 1'b1; // auto-precharge - A[9:0] <= Addr[9:0]; - DQMH <= ~Addr[10]; - DQML <= Addr[10]; - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end else if (S==4'h7) begin - if (RAMSpecWR) begin - // NOP cken - RCKE <= 1'b1; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end else begin - // NOP ckdis - RCKE <= 1'b0; - nRCS <= 1'b1; - nRAS <= 1'b1; - nCAS <= 1'b1; - nSWE <= 1'b1; - DQMH <= 1'b1; - DQML <= 1'b1; - end - end - end -endmodule diff --git a/cpld/db/GR8RAM.(0).cnf.cdb b/cpld/db/GR8RAM.(0).cnf.cdb deleted file mode 100755 index 2f6f7e13f9ee561a9265c076573fc31d52dd8279..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 18792 zcmX6@1yq#J*Cs@yMH(a&1wk4CLD-c>T2gXBy1N&a1_|kI>F(}sk?xMAdtq5%_v82f z&U@bX&OCSKnY!oPd1o#$8X8(T9{SVQ>FE)Bk{TwCP8N2y4BWgNTpZjCVlEce#tb~X zJPcg?oZLK|JY3wo45}uMt`s1OLz3+44k(2k}fEE#)=|t%U6+jn4xTzJiNyhB3=6tHA4~4U49PX6Z&_H ziXxvScvwD*w0gx?AxhHN^zycd&0(H0iBfV=YSKLP-D#+l@*3*4ykh#+dG)Yk^>GdV zYGdwxru0zNtW>oyvEuObi-3TDTfmmMsi~g~OmpZchd-bJr1hJ9h{PZ3par^{-q^?I`qnV$Hwsx=H=yTI=J?@FOp}?U;$wU`^ zS8o93fIjSr5O}m<9~}Br5WD3^9^JlCjAwS7zhw&pmzTLc?GfAiBXv@*iFPHBs=Z|n*bwQS6>&6=z37Ss_y-d>?U zQMo)Gw6<~cv7&vL8Ex^x{|4o@{5bSq5nZ3hx@QL@^7lZ-A)7o zR^>kt7W|&UTozIxvObm(sj7bh_WlFXmLtYPDiOIZrN6VQ&1{vApN!+3jlNS|Oz$5e zkC&X6gJmM5Q!f$!wvW>0U)Q(1JyvLQ-4#B?BGhTN)hez--V!V1+K|I8MQ3v6tcYj| z+`oaZOFU$LJB2#fH|Dg6X53$|tgyM2Nhtg1IGlC_3ulhl28AF$PkAIy6v8{$lFs}g zI>d(sYHkTSiPS{yt$M@Uk5?c$CLiojjSzb8IQlh32%)gMN?Jy9Cl;&Cwk0T1eeI*YpCNh;Te$haofaO~Cc&=C zRmS7Y->~o*0RN9feV&?SQhmg**m6#>UfU!4*>oMM;AG!n*p3ruR}*!RiwN#|yae`D z)1)?kl!aH0cyN`u`5luEdYsp@ark-xa)b^K{yNo@=&USk$%4oX;j^t#AkZd3l$Y2s=8REh@jk zRhqxr;ptuQ{SNxt*&%lwH{a4h@ERyO*pF7ovsS5qq9r>WG&@kKdxO}C1k1G<nR86egq@1+}A37m+SL4jz8F+X)bl*HZ-fb%01$) zwy(B<{IU@0VRknEg7_|%#O;9Bnmu0~z{sRR=LP?93OA50(Hg`;T-Eh$!RRe+pum~wa1Cw+N zg{(T#h`yqcRu4`f0nc4!tCf-$IEs;+L^i#$Yl`meg>1C;~hFD zUjL2BC7F;Nwd=9xL3LeU2NQybt z`?bP9CCe13wvMd|7t|vKsQ!#Le#1OFQisMiIKZ6Yd|0rZ#qEUskwLPAD2}Qa$d1qG zQW0dBm)XD-F>^v59D~^C;Zh0FrIb3%xH1V`k2ku&aL`6m3KL@Y{V1u3w;>lZjqzD^ zrw(n6RFK{Gi=-j}()pK^IFN8T`$m%>fZ8bpbnBPZ>f+a7qcB$G;vH`-PMurso>gMZ zmKRXD`u1@bP4M64+_ps;f8?5%^Q-lIL2lcoXCGV?j~p9z6tx?3otka!Kn+$T)#Q$s zO)1B0XTGi;=eM=QHgEJ=~M#n@6z!8eQE&ngH`bUWifUa z@8Nj=5EI`vS$4rjR7Ly;)9!}kfBJ;E8?t*Xyx$w^Nq23iB|?O#stZQSU1rjx4;m3I zRSbKWLkRrTA)9x_E9bc0LhtUZ&XVVz3)ix(r73C%k)Ni1wFa;9e0?cmkO3i?x&SC) zcI8#WQeqc9%5)|Hb6UF!K`RTMQuH48jib|Vg8d`0Oe(e)S_VT|xwt`-6^gFehMtBk z5seZ_1oYZxuXiGmIiWvAQwi;4B5C|rNLPzREta^X>1e^z!{3ke`WT@b|GYvJuQD6! z9Rx4;=7|)L-b-Hvj~>EZN&PA54G8lou_czR9S}(z&X}m-OdlFv~@YR2xFwgDzAlA|Q!aA8bFOxaBj!CX;u}nmA z=S^x#r(raobfpMlNL^YmsN|Sfy<%)3+H(u-`)>3hfN8@W^1f;1g>MOsPT8jC83)0D zHKW@=8{oqC8{N+9mo8abkBa3IN~kjPk~V+m=rZ_&WaO*7 zltfrd`kGdlMs|?p(Gp2``&Z>S-`z`hjkn0`?EQ9L8v9ItB!7Va zLM?gZA1iWcm*UxP-N9)yI}LjY;Bootk?=Y}*4II#=5RKPN%U?Ky%@0Lta&^OKWH9NpI=(Oq&I4|me1 zmvO8VJo!k4?dLvlzB@9Xdl4vT->JB@LmaG62p9hoq1kj<1WD$MF%dd`Vei~*8l}Q` zZE*;q>-h0-wKv~6<8ShTEiMnItr&H)tNLyD((VWZpLM*W^&X3YszUJi6^<8;p+PpB z1Aek9Lu_o22hn9RL)}Lm0Y#oQ?bgP7@qE1t&q;`;L+O^D7I8vO75+h_3&>uT3X+Q8 z^!a(UrLvoLZ#PTbZ#ARXkeJbswD|t4QKO{J-Xlw40|vwQF0ul8CM;pC*eTt|)SmS; z;63ijF3z8-ySg4pF*}=PO-k;A8YqzEH6Fd*S&?zs`XrkpdK8U7$MgA$7g37VY@@ zmY^jP-cu&?JqnPuHp;x}fT22@?w|a+zHR zqb=-m!+(pi#w?DDFg(nEMST1BU{{wxo9CEl8nqBMjva_dYYyv;2oC7#8B)DCeNFyn z;ul!rwI!)#(9W6kaDm6&%V^_2dvOHR#R|5n_1labv)gV!*=L200%L$x`QU$g*Oi*6MWM5C+zg^0jIBwb^-&78Yv~ z7C$rB1RQ)TtN?K%By2u}qB`CIYv&!%Jp}Fp@v)TBV#miz27JF zNtpuka!$3xN>Tf4Gb8^rp)@6)_YUd>IaR~Vx$xmcpTu|kTB%Eg`Sy|4AgVR_SbQA^0_>xg=Jb()My5QM3y-B{jGQqdsbGI* z%`xn$8G-I5r5*Z3F4{jO-4v0W^nhw`YQu;&08vzP@!lp5w%1)FMelvedPERl5($u9 zRZp~$%DdTaSq;vOGIdLU)pWzCy=PiW%P8;@`4Ic=npzW7Y#)K!b$4%wFm{(L9ldr3 zSNOFG2@@0Oua=Ct=LkwQQ4ZVRL`=AmL1bbm!|h+~s^hK98SB z^grx$^mGNkCazK<)U$V&jrD|d=VAud3q46I$*Tg&n^ny5h+7>V6wP01=qmCiv1iNa2x!=2Dc_*hyQ8CU9?L){yFW z^N^N#aeRyhCwm$!nGhGcr`^ZAUNizGNgdK{*g#;2jSG#n0#N!FGNv~B+}VI0G*$h zqn~&JD4LN(+B=A=v7Volz^s#-{72-;6~!-PI%E;hI{&{i-BVef2MlcslQ$D5SJXlu z)0Wv06B-%nKfa(rDmKz{^^&`SRQt^LH(gl&%3Qy6bbnmJ-5_zmv4M=b8w2#8p#jeuUu{gWZ1_;8ipb(t7G5x5*+PRi?z1YF z-Zl%RRm_g=98w_|jLwGe;itq&JaOo{cmAPBBp=6L+D^JVckthGd|Rl-ezVz*L;eT> zYH&oSl`?`9ys^Xnp6K;Gjc|QueafSCias~q4T^Kp{T_1=$)XF!8-CGrE}HIB<-EVT|$qE+q~h08;}1+@GI(S zY8SEf&U@)ur#do$H|T`zP`jI)<5)pix#k(OZ!@d&6!bv?Q0L4}AKWr7@C3*XJFvt5 zA=YacbSDepq9j?iL`rKpor#%=Xr4TWMK& z=S&Yw@W_7b_I60YBZnqu<`u1e}!TZ|NboFyBI{A9zznURD!qg+YuiO?oDMtwN=vGrbdlEWhJ(5C&Yrm$lAXX(1Ef)ywT2 zt+w|y(I>bgBH?g}?Ul@pd+5+2*1C*N55wix0PdGiX~%9{ z`-qkHm{x7JI;L{0iJnge?YObk*_wUm&C2AObq3RkT3w9+6Hwijy0MlrX;d+O4}0eD zrlyxjXT_aaB0CAV@5mlCLa>3D@Bzj z(hROhTw9yr?(niEJ9DN8=j=JJlcKq>M+3|2IN=(gygHE2tR);SKb z_F*=4OhM#BvtrB)#vNTOZ1kjl^6xP_B&Fl@w_|kN}oj*A|Ot8>2v+lk9jW|^A(rcuCaNdgg<>%6vyHs0v*i8gEQygQHaE>Pxg0nz77 z;nd#_1K3I(EU|cnXw2djQp0n!2TEZ(V`(fNYHI*fCGKuHARxAOSyW5P6SjO9|Iz(2 zGA3PtVuWYMX2dSJ?19$s$Umv#?%n5d8xNDb#u$2v;UHCpH{xpr!ZdEqDdeM#DZe!{ z-!wkfMO-%o-*3xsq>JeySUY`cE^QR=17(nm`2R;y!lKPybt-_0#-yLY1>^jO5M@rwZXpSt_4rJUy7yJw)=K?%prPv7ixlqxBV z4}iKtvV7Pozzjl*Zr^ zmYx3w?p~XH{i;wBU7C1Whx>A%G`}@K7T?Of^evrNL9TX@ND;EEwW&sx;`m=6q{+Tofd46dzFtB3 z`EY$L@fWzOUB-E?V*@BRUm4~|K-M8-G6%n{EA>m!qTO9q-Sd*$v--Z_w=HBo6KUv;<@xkrqWV#w-_0|I&ot0 z;?Tr}bGpRjm3vTqKyNQsU`@YMZq|OL;Pvc}0Dqxv;4+4fY6J5leJgP;dq770F8Ogv z&-h=xjg2)u;wQ)FAfF`4VbW7umAxHtu+X=z(Z7E%c-PNU$#?6%GnsJPsd*9UF3IqVCO@S!OAHWfyE&(6QvPyba#Y}2xBCLCjjfR?f+&WesVS??M1_306k^hl7^22obyV9TPX#V_MWX-vSa z%+f;oE(=-Z1W1Z*@>HW<8wI<$bH@f7`FmThVvRM<^CTFC2rwg@tE1+z!Ew-epqI3W z$MW>Nn|C~(0tIAYDW{`AR1kO+XY%3uYOX&JSSNyUU*bd9kdz%l{i4 zlxsEMby8!$U@p zfdPR%CciMs(hDuiK>FgZS<)Zv;QLv<*tU)ouhxMXBSNpKm_IHFy>7qo>6)b&V1Q%;s zlMht77(P94d1Tv%Ea3@tVHskt4rvRaHUI5;cjD=$&ukzV!{Y6B6|bFo?k1Y0q%cV!&(~W~$`kY&!TwyOuNbK-V+?iLe{Ewv@>s6!ds4S?)<`l4$*4ekLehy63VL299t4~ za|e3p*`&x*4Xw}}2}jzVgMKY}Ucz4tntT`BMi)#7O5guzu=ColQqc2cQaU)xd6HBE z&p!Jwvv-gL%l+?!$ld)|#H;8|N#h!QF29O^eg&M9zGb8JY)`T=e>+kf8scW5&yHc< z=R2M?EYVIV97QQln!E2Y=`5aTcYch!X+wem*WK}E*}uZ;N6>jktX7J#hCGg+#qk8I zHlLZqN3C6H5+p)@L4lMk^xDjfj|Y5<`A4-^0oUcqbYutk1jUt?hXuQy7ILoi~V(dWl0ia zF>0ekH#>*R_$kD}FMaQ_eobyk`N=a;f0y@tc#!#PpxA5>6kD-ldWCu|^>@Mll1-Co zMx;=3)edIgDE5DWjSHQlnhYPUw0%)K7 z@Ek~IwLY5Bt{BzG3scI64&`2IJO`X|LryMHwz)Ke+y|TrLpt$|yoRb62b|xAbn=$j1DGKW{}E3^*cmctlfEfF z4LwbMwV4>U!#<_1_SCMSnQp0YdqoJm}+%e1LIC@uFm$D;ZA?Z ztE$L($Y*h*#ORAeE@KpgLg9B;Z}!SOs&=3*Z~nTFzgtq}4>){C=ZH-!6Il-WEKrzx zlgQBrQg21<0`-Y5wBFvp_y|bodnHdlIE#S4dw9*e;TEUMSbg_)y?HLluS1DApJ)hu zxW7_DUss=>U#!DoSW+48!0|{KvF#RPf9_<@I?+&8*#`Tacgc*)XV%L5%8_Ktc8)le zu)@^yXl;h3?YX1W;I-CaVZRG=89$|sJ8P<6lnrG9X^G{Vg8COcYBcO5MSn>XQ*)x3 zYMaf6X5(5#HK~6GkA0_%C>RFF5yd^h*7iZg^hLIXjlE z$5gvCMG(6o+9E$E+|*-(ZoT*9Gh=^9aFxi$xJ|`VpmPUpYbCgDnNTg4b`f8>1t{>vtUJ$`+T3h05f!9nT!n5as# z>zs~O(`!-G8UJ}^xKxw=ji$@p$n|+*42Edt{ih-5Hfb*zOCRj+q7Aip^ z%P0_~+U*|Ey{!sj`sVK8UBt=5DFdJG{EVw`f3Ll1_4h@KClW<^R|xOP*oMDorpvks z^RC__f=6BB0d_7XNIK?3YIVrkkw<}@S?7Wcm+(4@?Xk1cvF+`N*YvAWliRtd*&eg- z)u#sN)aCD{>8Y?O1%v^};`&)i$niJeqhwFkO-t7KV)-?K3+dbWZZoR$go)A~=)<#S zXe2fbFD?k(g~5DjKbCTVv!kUo4>&V{CzPSEiS4UuQH{o9E4OZxn$m;PO&?04PkNpM z0oH|YI2tcvp^QXecS)gHOIK@_(mSu(M6{s)=>3+fnXXog!@2-ER#0N15vij?QvJk3 z$oJjD6k3c^(6*;c8FPd3BRi>dv(SPA@J4I<_~%g90o2uIaLzKkS^Vt-+hj_{;=E^l z#lbWpLQawyQAOAK!{qRYOxHV+2u%KwY|({J5MzQzN@W0UWS_s;uY|zcjtD_X&0oJU z_JY6C3z%ry+#Ev7awIZ~n6I$Ec!@2oG~jWLRCit@Y_J;o{$~*#Jjccl@c{1`FFo^C z;J8r(bWOVGbZ^R~*=m${YWN8Y5erU`{T-|QL+6_H5tyvidPqFm+;lAseYqehfGGHs znN7B^{O5<75j_tin}>2O3xYeu)`{z6f3ImcGSc-2e<%5+jLk;9id*krw|a&II(0n* zhQ}TOj3PN~^5}h61KZed3M9NNx2>D|*BB;_Y0u}#IiGHJ)D7-EdWX%dKVQ{7s$uqQ zvTrr_Ue!~Qa4u=X+Q0l8e@;?MM=5U&or%=2QcWY_n$1FU(-svnyIjRB=~NmuqRk+N=B$ILr2{QB2eWKgdMp z(fn+a1Ju+u@s~v3;*$~}Kj~+AFIjnq55<12f7(`p8g}m3GCFkRVza`auW(C^GU8L} zmx!Xe)C3nTk(>U(&W86W4uo!}v<1YpF+&Y>Jdjbcn58wggf-EiPIZ1Zc!P-9@JVd@ zj9?F_pN&!vyg)xSQ`*J^>s(bQ&4AmZTiw)V4*Td%*r9v@8dtic)(ZU7wN6a z?hDhExW0G-8=T)uT8EF>E=VS~a-dP}M5pm0s1c?vy5|nDXMcE?Nm>RGF|4O`A6MN5=ZRc(427h3mSWmnYh9(*2qZ z1Kg^XT@{Ixg$t}f^bAb1MSc*iBzHG9oXlO8(SMIFJ^s8m5=f>p&j~A{+>pD?)n|;&fY`P zMoH7wXj0*(t|n-{$;X zirhI8<3a8hb8pOF<9>Yny_0R{`w}zgJyE0Cly?J*KmM%LsC-@xCQi`+g{-y5Nm=Z* zn)SrzDcE#0_Jw?POK|J2EQFHLYDCG@%kV&&^yLO+!}qB*FtvzPS=V&~nJN#tSGcb0 z7|JN?HI+o#A;ArJR5@ce>Sub?cxBi3qVwh|4?OTT<_d=WDD%M|zjbZ?-42{DO+utI zCj-IDo_Km35kef+Uca2Ea7W)A5E53VmNl8wO(Z3>2nD+KnF=tiG;T{nCx3sjV_(LD z*t^VB><U6i=)bIcjHd%ZEX z$y^G?4hePd-VPkHpr4j3&erM)_L|W~DHcCA2(0K!%`!^YQVby6Gk$iIBt>5B56d|( zBv04Z=?n0$?BOZ?7@KI%v}l)y%+c|0TH;pfr}hAPa9q!Q`f=j!c$q5(n0L<}52t$q z1i<+8A`}1GJc3j>{N$VkD;E1 z0_V+1pTLU{@_V*l@OY9jK;D|IHYWwN1SkgGWD8H`oo%$T-;V2vRKo3KxnN<}LNZqK8i1|=J20d=KNDH=~j3|DAbf3{?JMe?rotQIBni#yO7z5 zzM%~({Kd7JQ10jx&P(#Lag-1vju(sluZhF)xO$XHX z{MqyGjo&S6Z|ZFvkbk{U-|={Hhg*{Wwr@H6io$o!T zGZJviEfw52y!#UKdH2r(1fN;gQBe=rWzbM;B#VD0e{G{$>bx#zjWPTx!A2S1(G?>O zo96t}p9;MHztOJOVM`#dmH1e8X4S34Uvv`8cWN}NuEjdFN}@v2(5_NCQ*>w!OX>Rx zY00SnRL-i3`Qk*{X|{YQK|_C*I_BuRP18?<@7f=}^uj)C%ATx++|y=mprskyQeRHJ zMJs_MtTUbC8j5agJ5nTeHnE3hssg56i`kS-!*-T93eTrrseWPm`DMlNNcUgng`j|x zot^vLk6!Zw-Bj0WU?F4vG7a(wZcX3_TsDkawXAA~S;rp11k&HJKhy*7-O~@pN)j(Q zCKRU9OA;%J3i(Cqn86YEw=+XXXMeYiH> z)@xgqh+err$Bi9*O(^e#27G=w08XcKF{&=i0G#_WX_SjZt0{n+>XO( z>Y~cqiEq6sVe2EhL8=d~crMjMBoU_H+J$wWo16NG99})YwRl~dl+j=@Z5kl%_64@f zS$}O(5bu(fIm!PFx(Bh9^pM^TjX#%&yuFnIVYxR3&5(O0T5kQ=^KOh3FkwM)z;D^0 zr9WSB?4Eb7ME1v-cXj?S%3tNJH8;oGSRbtSN~)%#zIdmIzoB@MHXdlhbVtLfTU2Q) zw7Br~+E%c?-(fROhaE$w(Wif5ixsz294gzjW2x1fYW7weVcd<;TSyhiPX9vHQ6}R5PG4hh^5zW#Lp^7*S5IH5v&C1T>3A|a4PMuN z_^RLC8*^k-o|K0lX9e#ZKj5{9-!CQ7Un(R6ylu~Sdc*gNBp{Ouzp@ecf9MLNuxdYz3Ddv2*zxIcm4ug0NIK7d|@GQqs_rn$P#37E({a+C2 zJBee9#l^YNZFi0ab#&c5%M;Eq8D`n6PBoFPdDstw24kb2k6{RE84$3@bn$hDS)YD3 zXkrI3E~DrzSz9@$2#P`|P;*wD3COKr+%;ePd{@{3XbyOqzGc&`5gfr%QX*9e#R@5g-5?1j?$4903x5De1 z75L{%5!0E$BvQw^5O>i;${BlZe*uaVV{XB;bcQepU9i2(xuL{k^thkj|AZZM3uQ33 z3E6dlbY>YZI-!huo>2~$-rKpgv;bWTOYcX?4mtNY1x1?i@E<)^^o|)z%Z**^Jd)ZX z?4tH$eATB8|6Yp3oKL<{b_|e~)VNJhoq4_RDtL?wuSiiD0TS&q4DyPvIxUQx#%+)J(=s2P& z;R!AbKRzxp{;1Wy`Xd=oi1#G_zFJJt8#+93Z5UEK5Yw=0>?B#tiD8>}pbR>8!z(|H zI6P#p1=$c|>pi?FXB1vN5IUF{BNMW$=%!tb$y8)??6&MPusGb;(4q1~_LRHguf_AU zf_C{Oof!QKP5ADi)b0TCquM0Sv7K&I*tOuN-*(zsbrl74Z#!l-#U{Hr%s4rpw@T5F z>7Ba()mJ*|EV^#n9Pc#o8Ye`~Ob^6ce^EI6{CW#O_*Q1>|7YV1b8tD&!`g+5-H!HC z+nvsE>dv#(mEXY=@PCD!`*kH00IyPS_}Nlz=dt40e5>ck#Ms!9KL*X|HqC%Emh}!u%udt*ys&nrCgVb%y);TTkz+_ zk10(EIhIvJwKo%AO{E0ru^YrEirSYLZAc?27F*&;W|1Ll4WuPRB~OWlbBy2>{#(T) zT&2pIRn`Ps-wnjNnISJGWB$S+D{+u@Lcu8#hM3-aAprG58hm|$dH>GqXB4Ja852Cw zHf#3nvbi^+t2ZCjNP3c__O6ugm}TWlVgt+9o#Xn*jd~H7p^H3CD6_-Qjbq|0lD7^& zyO7@J+X7R#+)Rr2-cK=;7m*Wn6eKBlIh9DI7!eEJm{-if_qdf&-iX7iZwF2V#%;ogaDYMzs-4rS9WA8F*tR@V|rks)3)C*5#S_5<^E>2kP~S*^++iR7z<&ST&G5wU{9kAr!ru} z*g}UB@Zd{D3?9~v3d_Z{8KXky_e;~Mg`nUt{D)_qAqXgnsKJ@>$ zu7`(rNc%YGOTHYFCovcLsjcaI)Qhs%q8G6L~(3bghD@HU>4mGOTycg>B>|g(N zOuF@g_@zM7woiF_*5)td_kdQNQ0mvH+7>tl^pF?( zy*-!PK0BE>>&LCG=_JMh-p`U;3jl)USnczbD3Iy*gAV^{b0F$qF!~W+X=O%`vLZ@T zg(1rdPY#P$q;-G$2xd5Vq+{X}0faZQnWPOxdjdLqU7I1#QI6dr^hcaC31(Ouo3MF( zbgppTn^y0c+2he3dqwviR zKB}Kyq?~nO4M4|i9t`#OdZ}XUc6^Kfzw__Qmg6Ne~pEWS%eQM!_>U zDH78mwJgUtW25!F9}UU~B8ZT`L{!1Y5xTuZBm4B;^gyKxH;OVGdN0Kufk)s6q#XsI zV<8V>eUv?w)6?2$o(ut_q7ezJKhm_k8(FhT?b5x(X^*uyp2>t*dy4?960+s{CT8ci zYlG_$j&us%)k+kPZX|1#lRuWzbo09>HpQ>)r?KJlJIa5`G4AmCqxd6@X2+~t2>dha z@kG5vC#>zXgcx)GO8$^x*h_FF$Ma%I@TDSI`<1*jE#yEp8wEdlYuv1iA2a6E8}Th5 zw3AJYI%Yb4&80&=(4A7GUEl%|9&IWH)7XNRvVe_>ft#b79aLgBcL`%doZ|oByTGhU zTOPLnD=Qg>Tb^MOhRDvHU*a3=fNKWWZ=7(tJjZ8+wwzO)iIk<`-@LW!&Wv(X%ETH~ znZPQ@=rC2FGXu`FSJS5R)l}JF`&}_>7f4fu@umFr!bP@2&TA*sT;pc-t5eQ4K-Cyh^j# z`aV@|qf;C0*kNn8^p|s+6Bd;0_82}ITj8=!z>Vvkz`w@R+jmuNq>uV~+A%e6P}nLI z$v@G@FldV&9?p`XmEQ@#6g?O-8!7DCeOdZqQvpFs#%PM(?fm?LDd;y`u%Ec}gn8q> z|70`#f9B>hU9?uz1k6b~#cQAMWo0nvSuHM|VUxD-4+|8|+(cG?BG)`J2s?x>JG7o- z_K^6rXCz+GvG{anhA^G@;)~gvd1Ldp@G^1Yji91y12Il%pQ4be=VUTHG0Zzj-gAcY z@u*w@+f3#eY~Ho}gN+x%$}5zj%g@o?x~lY%CQxvlV{!iI086>ansoVUlZ6b2ww@T?LkcfRX5weW6|(LNU9m86lW8uMHY8?-3SrluntAx zHqo@JcKc|RAZk2kFv#3lwG)SG44gM3=gkA%zMR^BeMJP0rK8QqWZo|*1OKzJu2AE% zg!yW7+gB%GyncZnvKwb$WbJ6>;`$*%FFOjwB?6uul|&ItZ8JymrFOZcIB~kN&fi< zK7M2R!W*bj_0b}We|c2)q`=DV%^8_SOIzNA(+!$Zzu32a`tmJ;_XaRr9D1xvA_|-y z57<&IB}D}$l6x~rj*G~|OZF)`v1yH+hD2Xt6O{ZxS4n(a8osR)2;%wEMAU``5|INw61%0}=3i62Sxko|R9(!f`KHIMFnGc*!&+$9F^SLKqE}DaS-ArjITX9@$XeIEq*ol^rQx&|&V>Zw{OqwWm=;}} zE~A}WZ<8SQ>F1cItH4tQ7ylvpRH*g=F!wJ#x^=ZtB;cADbEsa7a|*rh+G*;|)Dcbn zcQnTwa$!da_6RTf`tuhXQ{{ZVkotRJ3afQ~jPrXi;02g&l6>ONFV3{R;i!KW z67?VhoTDQqm5tDiAVm|r3O;GYGJj=Ou=x_k!=v(KFwN-Gz6kNUV3GMy4Oo|m@#*e4 zDe=~rq8!YQ$WYbDm5;BNbf?iQ9#^z9GI7zO*fB{4Z_jz_m%ty5LIdW4^OsE<0>gvl zLx1_n`y9dfKfSbHY88cOxO{XRFx3DrM;6UCk=-WoZ)@~9N@S8QIh4T*rv{dsO6zJL zZe+`C2FpJ{*apg*J{>k4MP`5G=k`A8fG_{0pwIqh>!mfZldQ3=@nAU@=JmqUNULfw zENf=pNCZ(vI}+AvQVur|wJTd&vF^tn30t}#EOc+(pVu6dZqQsh4!@&|Bx_8v6%;J6 zYnMhz$%)r)r9RaXRvquTfXDIYdcGK29b?g*8I&)$U2gUBPO+ zdpI;d>b^?`dr{tPV#qaRb@So7ns$}?&gc-P8T|+BLK{c>;4M;)FXa04{};UoLif`X z{_$=nkO4V$n`13+o4qy8RS$wuIbNJe1%i_m!{fFHm8Xn>(0~fMr5a zhlxWO3d);O-zgA+AgQ?(h^fU zOzluwU}}f$V29EDf)_SDhpk&fSH&2l1We&mJ9yWa`oq*8wwpibLtRsUoBG>!^EU~a z`rFjsrvBzF(90jn^)}Cn)>$mnK1tJhzLJ*6ZGtb9K27|m@X7*Zrbw>satbdkF}1_g z4y6Tdl6EM^Z9TJ3n6--9NBQ-R#T@So0Qb-N0~ZK5QLiNPzx+|I@j0f1kMGfs!}lrK z@V@}x%LEwTDJg!X*Q;)=w{CzD(1-Umc=y1|0iSbc-d&-7f2>0H?m>7PhS#(N4j&>3 z%;G=Mm0!PDG4`da7mM!_hs!%qs7kait?+sTDE6T!-g4p-)1HoUPs`B;stBi`ET#3Y zP*4sn)kQ9^hxlrww3PV(EjmJ*nxG4<=mM?P*K|v1+v@9Rv$is~LQm;inEtK_D;Q;} zN3#L-KrBl z?GlHj&iHBr+A)i7$CTJV4oO0t318H)SI)SW+%PVXMt*=pkUsW16O1%fI0%(AW?a%~@f?231K@_o_y^{l?fpKWeejH)aI3!MOk@4ZCbbP&Hsz#n-wQ z$Wk>x!lDYU2UVmYQ*y0;+sH7gYV1Fu8l$h!IB|usNz+mFN8idp#V!sDfAOn$FG-kF z9r%#?YJ*l)=fJV*2o<(fFmlq=sui09#@IkVs@>?5J^~kFRgfw+hNy922)=fFgbj6K z(2v9fL)=f-M7vfmo+ENi)X%!iU14;V3KoJf3mk5#S3pp5TSQ(VT}ZyBI5p)2#vi+uWlA?j*lh&oyFQmGlK+;{`zu|g^0z6yi{d2_cBo)))%Cp;eo( zZ_%~B`8SL0m}4$g`fH_EtNaz<>6*`)e@XFV!S)ah+vjGNG<}uutv5*C9QMcV)Y)v$ z?`%|uiO3N*gAhmESsBr#$tw$VWPkKngds-Kscyw ztB9ZSjgi#e{PY=ZkKfS0_g3U_=5ut-Cu{N9Pbl6)dGnF|lt(u4o{9$dDLOG$(oZf@ zx=Ja!{)y7pD}A!krz@p@;BRR({yv4?`i!Msrsa{XB}@3;nZYb&{6H_pGwxHVA3D-! z7<;WhFO)v;L2uf|Y{Hyyt|C4`-v*~`j!WBYTU?(f9cbgF4-J82zxZ6EvS7JmMQYE03DbiY4C@CTY^dKqH zr%EHDM-mC7u+qlDKR`|CA0YU9qu#m)4!n6Y@ArH2KIWxJ&?*sq+xxNzMM+4I_p~R& zf*XWddZBv50M$XdX`-H}JYWm93_)hYg0|*7+mTuA`B^z_XDuD%Godus;pd|xBd?fD zt&jvwU>|>xY-UTXKL>o+pFQem9{a(Xlja0^AyGKTl`5lbC(5>q)klu}(48^d^+h{v!Zek*O7}psL?K-tCFDf2p)76cm2trF2-#dtG#Pww z_2StI@b1_X=$|E8s0`!B|Hsx-=y(hS$VnxVriz~@&Ndh|Z}4o1MtTom_v_Kc6LgdN z9p&lcSBmUM-023I!RhnNc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*K2800000006TK00000 z007+r00000004ju00000004La>{`onn@JJ>9A3-&&4H702y6=2(x-za)o6WAzW-rcWH)gY&v)N1c zvHPvnL8lkkA2FW{MiX<%XNdb(^8P#V%d&-R;hdXb&d3(tMgCZBtp0_3PKZ22&wJ=c zyv<6&h3BqU6Bcr5+N0&Y@ho;e#eO$QkNcfLB8$1ks|P!ygbOuVZ+o3Ed*4`~GN?Y@ z=-k(_tsNndamSSPK6Gq%ZqjBVfCcYT`8;>5nuznqA(J>9hxWwKIYWXp_XCL6ij z8k7@J<>m=j23Sr95azns>ZXbgU?%>FerFHhpM&Fx&SGAfi^-m!JLC~|NQSNXUmjd& zc(4OQ_2EcvLbWx`i#=H6|NS30lAF zio?0X6wTHp1_E0=X!(oOtg?$>(;9`XJ2x}2mBdkQ2M<@#pEunUMC<_c7g_Z?| zhC<5%LqDOV|Cnw~(BuB{K;G*ed2fVwYXC2dac3&vJqb4lix`SU48&reHang5_)qma{2X&Zb~DZ|>&J-Mnf(VY;>%15IS$GQP+XUGr2ZW+*K=5sXKrTwI+VkkFMmNV12 z+F8T+-Sz(ZYG)1OUpe02@2+>&FmC&@yq5=^H7E)-ZX;i6;r{E(zipPJbAtvZuuH&% zC%_yaMD<6zhzC3b>NX=#tr>wD%?MOxMxY)u0#%q1sJ)Cp#bpHQEF(}|1+B_(P3Nn} zTl*2^#;X{r8mbwpV?bEQ6&O6G)fgJAVEZ5uuwP1GrKG@BO3Gjxc`;}gHECE|GqgqB zmauMYLtc)MkGybMl)O%R0-dpzQLYM>E^A-6fpn~exvEjT9-(Ski>yZI;G~*pb-Rj> z$UIuWE9?r1O&m@o3Nd_Kv02Zsi#$n0ic7cTL^wDh1cjJ1+Q+&QLu1iYv_c%i2E`tW zktIeOxzP}lFiwn7mP>IQgDxSweTX3L5*bl8Y(XQ1Zht z!c81#n>o-nb)aqTK-=Vjw%G%1(+Aq-4|y!R9Ul=8T(5KNA;-|C0yr5iiU}@6`5OQL zC4G|c5Rin2fFwKwB;g?-2@e5TkH?vU@-E?CEPHUJiD47F5{fq4jA%s_<&AZ9?#0*ocXY@~`O zNn=lvVIbvfp)LZ2;W^*XCTJS_g(^&k3H2FB%#W2*jMOpW+~G1~4eZr~l0MoNd*Ld; zXi$%EzUzoG6Kp?SC9Yw#uL|JO0fu8F2U${*??q7wtxU?iMv78KipECP*Z?EzDY=-E z4I@R3rfNvbRacdyso|PxY13j#a>ua4s%aBgGY>c&et9d4CVW%3!el&{L-6(b>(rP{} z_;3Kll);}BJYz*A(gYhK%85}yIWc}Di9I^`n32CR_W zSQh7amQXpKB~^}RiIw9enoK8DkTaVr5)UFRXT)gw?vTjm5(Ch#)jQg!vd_p$w3}LM z)TNM|VxvNx!A7k*gN>?n1{?Kj%9hxFAKPkBNSoPId?j=)vCf&jf_5=GSruVfHVdh+ z0JC_>W=Kk6bOeJBi&|q0wb~f!9b>2$jiKH)h8`Yc=pi$P9!6v65i*9JVmO90$O}LKns$NddUFUI|tYT&Pi zQD)iU7GvBwTtMg)_$wa$xeg@4ul!NZMxd{cCMbUf1j&Qoy7vU%2}qHy+g$-`_Qnvx z&~U0yoGqZ>K@$w4kNJS`0EaV)UPj8nH8Nh-EF7lqN z#uJ2N%abC$thzwaYo2g;%7jz4e9zmH#44l!`V>F(Vi%Q7@ALrv#EV_>Vn{UfDSqt5 z@HI%ELIK{z=Nwi1%=dZ0w@^b|k{@}(NuAm|-*lY{hfh7gBlSVtz1nY5W$?n?mkHk- z9q#QP+$pWJd!?GiF{@!t4OX=_qa>F2BEiv^+#I$bM#(7m2mxd>u_>>RO)sYu$SKuPr2Q)N8E>S zaGuxC?BVJY_3$UjF!FFUdKkKwh|AAIxmY{leM%R*E)VPC%Goz@?P<8U=3Gp=m(=wC zVHYnhop~2O**Fn)v5ONq>HaLZxKVp{T}-+^3odS+Jy1OLT}-+^4HrL0!AY|Pe7zwu zTXvxeI`D_+F>;VJNmOrIiGMDMf(AENmA4*&oF|NnRaR8lcd6+sZ5L%;`5 zKrWz9B?Pq521D~KObpAp+^yl=UUrYbi^V2J40M#(L1AKJp`9dlCRC7MC`h1ygoFYT zI}`l{R#tX|gm3opct`QgZsz-Dc4l@$3TTapHrJOIaZm`@ICZl+61md2D4R1u9Y7aV zV9!Q(Gxm%Ctj(mcP{}lRHa9Ab9j>Oy68aSo-JsjJJ^CH)v8VMyLs~0hS}?$c}@k*Ioh-5n!EjEE{4vwSb6_SnJ8(5iIS%CF)E$W zEb#x;K{d>OZ~y;sH$04njom!cm;}GaeEf0aTg$_IrfnV!693kV+JnO~#l;1Ev;^Pw z(T2~;Epb$9V_5E!SAD@>4nBPU0na^vWw~5HW3BD7Q6d|HBZz-L^bc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KZG00000006-U00000 z0049X00000001`$00000004La?3!7R8$}SuC(9KOAZ`gBP#zEuys(=)JdN@-q9`)n zL_%5Z0#3wR%=bZj4IYt@II|oQhq&(}oH74jPnTWOo*8>)*d!<}tKHqz^{=X~KBlMb z$z(FQs`O-*W&bF@5tCdCbc??KmXDSqJ7l-%d$6&-@#?Lfn`Q7v8|w#a_=n)Xe0!Gd z(`SE#?oz~wKLf4WIW8ES-0EAY}BXQ zqki2Ub?!EcVRLX{aBBT@r?5@&8$Iy{d*Tmu!?TYJpR<+1_E4s#WzLj&!2-80yV?QV zF=~b7jv*_+9peRfxO1`l3OzfG7=dmRZq~QZ9YYq;9b*>J9fKCphr3PDh(6K_eY6+) zSTFSPUg#6u&^&{gEgknYgLj;52JblC4Bm0R8NB0!Gq{^^tW_f&l_tBB$(XYf2|n9s zI9!@gl53skUOs7_t9Ft1N;o_EdRjL9;^(xb`w%=&$osm~FVyel7nM`}%gFn9t}Oth z`gs>{;7wDvF#QeDKKJ0AAz!s5*Cf64c{Dbp{zTk>ryei4nmj>8`s z@7Lm*^kWZS9)vTg9~ZI5_yo_(N8X(L?*dfG!&Ex zS#q6k$GUpSDSJOnUhL3DI|Tm`@sNGX>4J~{efh-m9qD~Qy6$tfEzW8l|K#ja$1cgs z$5`g6y!n~RTYszM@0D(18g&+dP~GD2qw~k~6NkQho$bO{+4xxe%@51l@Sl+|S8cJs z*_|rm>&LQ1o|cU#TTsr|8egJtWq0n}=#+cAgdb(oqpX>F-x{6PX9i|}OuYeDv7L<$n1-bFz7 zdxXkZSO|*CWeTNV^yz~p7m=3CT)!4t(*h|G)&Mm|5uwx?ypI-zzZdXPSzsa>*`)k1 zTE%5wrf%K%R5IXj0GiH!cR5qEgl`7QlbL$gnet_CN>-~)xoae+`xD8h^Pk<>JhziW z>Qb0YPEY9CQb6s&rFlY3-j+oi$4ae5g60Wff%94rYd~5{)(}?O1JISk68*wbVUKwlzTQ#1&_D}%D+<^CCdwTmd8A1(?Lo2Gd*SbX)Tej;}`mg zf1RJ-%1>Q0ruvflweeFUm*1tNgQ9p_ZEfWh z_q?tD@%fO~`C@VP|Hr{Ez3}EdN1~r~@W4;|A)Af+bt>PeT#b7nKkN7GO$j?Zt2AG0 z`#ro=2H6B&Ga1yLEi#L6v^q zkz(IUCRl64=hukSePM~zH}=14`tu6Mw8H{&-LPiLQ9I#^R4t9Vd_}=sW{W zLFXxG3Odh0Q_y)5nu6&p^cyOxnN|FzHV(V$emHJ)whJH=NW072^5<59n}(|G!*E&E zwk4}Muj`G#)CFAS84S1)_*;dmY_QzS1GLMI)BsP0xjqsY+ag;5cV%rMkSH-m#Tj;X z=Ae&qW~`=Msgh>6@|i>3$^{@*(hOHVb9mu2682Q__Yht_+Vm_d=T`9fN`4xRReThR z|L=S#HVj?g=bRs>qZ$kJ%lGXmRgCzpz*Xa}mGgrg$?9Cwk*t1FWl|u+vtA+N2Mw>t zA&mD)rVtPM=&8e+etN`?StoZr`3x=(9{yCokM>-w@Iu5(j$%G0{Ty@n#^VCm%KV(H z6LlC1UM4A9+X0o=VjC|HU#MND^=Z4wwaGu14tybQ*dxyO@a0Ht;SGSi_R;3#L-A=T zOnK!x>H_64581x}00960cmZ@#%Syvg5S`f8`f8ObxDo6^M0`LCiWMI;NpG7#n`jcL ziV)lhy3!x$!bNu$1QqPUy&K(#NKyO(UAgcBq#OUiGf{eN!N8fhGv}P#$s|q+Rfwqm zc(#i~3BWB_z9qb(>3Y7fJZGB;>H@+vh4@vu)wnYX{MD*lNJ9j4A7w&Bmq}e=!z8fb z6@6{f(hZUGEjuSx^ql6q1=SNlIX#Px!x|9bL4A_;O;b z@&F@%yv{I%qdK}FKd+anknKG+J|PTNA=SSNVIo+?03~s{Ul?m}XB?1P-7c-Go~adu z?}!416pqWP;0e(+@_G4qnLj56NTX?^B-#O@R6{(d`A1>oI3Q)Yd|v4Z_=!q$W)9q7 z8;|=nB}P>=@7|3Iqz(ZuUg@TN5D!;x%`);3AaAfSatWQESt69h=`_3aTt{wKnl0rk dxOnit(jPa6C}c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I;6000000000200000 z004CX00000000I900000004Lav{TDU#6S@A{Qy@ycpf7FO_}4KrQcUDMNjzJUL8ZQzbi+_7k}hJCCL#Q$== zH{Zwnyg$i{R-h(4mK3wN3Y|?XTSy09V90nn8kKVRWjH82AW?8AM^{@0+}iaCE4%Ej zt}BvfM*!Lim;Kav%^sZR{r<%nE516qenV{Dcf7!NvcPw0K9$Ej?KgG}PoplBhi`Y*u5OHIY?o-(OVR2Ui{={|6VziNUSi{-6gE%oA&i7D$Ah@(KcNj<&atCq z4@>Zh)kCMZaTUec-fX`$kfYMHIR3<~@d)D?iwWHW%4s8){5cdM;15V~++Gx+!8)lO zX}d%a$L(j@+!<0SR$C2W@NpzgbF65(0RR7Z z0peg_WN2VuU|8OmnF^#Bgn&5M-7nt9-`O$5(;vw23=RQFFfaiz3j+s`JR`Ry03;#< z#2~c+u0g>-Rjz&^@xdWMo__A}KxR-RNC|@k5Hm3d07>PWLOVbrFdKYbgM%I2U6ItP z0cDvP6o8~&(!}3Dia`{JK{mJt`G*F?heQS-E9V4CvVxWW6*;pOq+AM!og9N*BjPM3CMAhK}?|oLK=95dmV57I+vzR5P-JLq{y-of1eDKU9^c3otZ1-GB}PiGp1K00030 E|0c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*FBU00000008X<00000 z007kj000000052%00000004La>|0BZ8$}QvhgW#R0U_X!91s^S8z2GgX&~zrkr1Hl z?#V)y$M$Bewd~02Wyyv8J^T}X0?Ls{aNsT8uMFSU-PP06^w^#m2XZz*Pq-mPoMZFM3(cftQuL|+D+<7pH8u)kKKpJTO!ra*YiI(8|_=EB=YOgcxbXsd4 zD1aVn9xY@M2A6~ZS>(%NGkB#ggIDV^c&#pj%XJxCsmtK?dJK|G52_tw(yFNkNvozF zB(0iykhE&*LDH(J2T7}@9we=bdT>7sw>ubF^DSe^yl*E~&B%vU<# zF>%!O^d&xp3-GZ^AEwHnEBb6NLQkvx3GGS-yBGUI!@#SlJsS7=BI#bp5#DUc-|f@( z+`j+p)BAY8zoPedB;P;l`*Z$9y<9}5lP9@KNflR4{(dR!JPxc=|`y8qpL2{no z>fN&mIDk}n0>4baCCabivKWHf0x3C%gRIN}%wvT>a#^epQ7(%WLd#{bLX5dARtPqi z#R^g9vREPf%&~$6sv_FKB(7N8Po92>d9A0j(eq-Y-vEk)9=1tVvyQ_`jdBWJ8r(wzk(XRK4wl(~`A zN0Wlg!JWK}{Z9P#_>Kj%Z`PxS>`K*&9Yh{Y?iSKVY6&h2%BY^ES7JQ zN@&dbCOqHQvpCAm#>Aw=&hiCAz?9K%;o zgEYkc#xes7(GRBr&V_Pq-rU(On!h5YpY??v7ZZRzm{){fJde=eSM)PB%JF9x+Rw7| zKjLi5`vG9XU=y2 zqiK8Cnf6EBTkYN51CmG4Q>cC*QMOM$=TI4Vu(Iz4A9VlS*C}abp;!oCv@sgCs?dp| z^YZaU1ro5qDp9kB!^gHC;|z-*%&de3S$?gz(?;YXhH;G9F@CiW4@%H33&5!Hb6FVY zwhjy90oP$++~hhej4xe>g>ks+urOYE9TvuQ*P1CusvbY)0igJeHra#Am}6 zC)TJ5v)>a1=Pe*tlAayIOycJ)Pc_qgA^2}ln9_*44 z_KLKua|^w9(wi~rtlU9g0k2O`LwT0eNw)qN-e+_e-4_ArREbTin%hnXw}IkjKzMyq zdE#gA!zz7}u}XgpI963e3h=53Tygl6dkc=stRlcnej(m6hO_1$Ei7|BWq3W0z4#LH zdCed`m^pU_^7v>GV^^7^Out9VAc}Cx#5HwHTv6qkana&~mw^9q z!0kKFIl6xU00960cmZ@$Jud`N6uo1y>vPvfAxLZ?A}Dqff-GWY_U+Ed?hG@tERjrf z3Y|i`5yf_d1PO&krP7Fq5QR!ZL7~wJeuZ-xZ3C4dTe-P@ zhtS)Zum0H|Pk1|Pra1mCM!K&#(*yWg)y&Vzj;`c{Yl$qH6qd~@?+eihczPP%~ z`#J?tCs1iJt-lw^?L=q?vA6Uw*bL?>0gobu=@7|{&nG1UZV3pKLM9_DDN1Fe&tJ3y zP(=-X3Q`TNV&d}X@nZ%Y1N=oy9AX|Bq9vf3rV+QN$8YDsL8Ts0#=IAld_7x(klFyQ Q`Fr=SBHKdx1^@v6|9?;*Jpcdz diff --git a/cpld/db/GR8RAM.(2).cnf.hdb b/cpld/db/GR8RAM.(2).cnf.hdb deleted file mode 100755 index d4d1237f75fbae6e45abf147e599d676208e2e7c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 889 zcmV-<1BUz&000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JBG000000043V00000 z004CX00000004Od00000004Layj0Cj+dvQw75#zs(5hT|K=1^pKhR1!`NXw{^oEe- ztk)vSVpq<(kf-Pa^u>DN5jazz5Wa8hv7La^3+hPgoo~L`ne}LPX0zF>2ie5Bh5oYo{(`Qyk(kt)MgSj;GWfh~&Ta8e9O8JC&yQ`(HnOT*Zv z&tb^zq;Q^;$qK)QJ^Nwv#APSc5h+Zw*sfQ+fR}WlM~!%ep&-UaUYoyz;<9WU0`*Jf z$VPEkq$B?dT$xwSZ1arslcQ1M`<30E`p`w*re+!@$z-eTVxl~shRZz4+bww1S6e}_ zMIkALRD!ipQF5q;_ReZF|KDa*Z_2Ee_P$VzHl_L-C@7=Ja@&{U9=t!iLKnP+eeiYv zTffP_g!#~U=3^)Gsgv35WcE6l&z;N{VHQfkU!1S5TAJ>oWv)4CXm_q+?P}tGcN4v~ zS@q>@c`w+5jYqF#&w;!?KVeWYa+7>9>X(3%j0mpq4; z!P-#*U62cM1_9?{ufSPl6=r}h=e~e~lURXTg<(-t zf(y7Hx=8R7T7Bj>M>T|0z`~SHRY7Vn7fr7(XLy0y?H4qiB*6#_%RKM)8qj?|=A7iT z-tlpA2LJ&7|9Ao7U|?iuU|?Wa-k6yRq!@&NIN04U-pAkBF~rj!$nXpf0ZA}00Wk{$ z2ar4?wO%1|Tcv1WK}kmH!nvvlgUW z3W%K?gIy!yJv?259D|%aBI5)6gF@mx{oMRP3c#LV1M8Wzr*(-eP(%`leS_WOLtG<5 z5WWs}_Hgxe1nFP^xrzhqgVTmHD?lP5Kn&6X45 diff --git a/cpld/db/GR8RAM.(3).cnf.cdb b/cpld/db/GR8RAM.(3).cnf.cdb deleted file mode 100755 index a5390b6a76e6dbe55b71e2c083ab28d48365ebcd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6076 zcmZWtWmpu@(ne6aLsAxyZdg(&K|va%rKG#NLAs@z73uEYUAjv`QjqRgda2#ZeeSRC z`Q|(`?|a@kXa3BebA|{71*ID2#dCCfUeeE~ZQ<%>NW@&V~IEL=Tp%q-~COw8=)_~)$}4T;x*u`WOpChlcZcWm**K+dPQCj?`JVVu&Z4-tQ zLYuEURT%yr2^5zunYC}MKz3wc$RvV5$!i}T@;2)&u{G}>Xp!VQ8jo9-Q<2$1JyQk_ zR2RrDCjWoTgm@c4-k%UiC<79yn}iIi8Af(#ZzKOH!h+g*qMJ48M^smRQebX(;j;NKiMBD=22KnFkB}i_eK$|#(v~9^lSNE3(245`itR_t94WV^V zfoi>+kGfz!&YvVyz5M4+uUZ!q*BTiB0Lia!qy5*fRfaJ1Vbgo8x1Q>QmiJfu&YQC^ zhykD#xxM`>^2YwVHZBkm#6vU4e2?SGgPp{}^Q1TsZq8{`>}y^IUDYW0%k0=uJ&caK z;S{5$n8#Z~^8J33F`6GsI$t7%7zCK^lq7_@Dlk-l-D~beA|2Q8xKxnr>|FzpUiX?x0m8bp+;Fo+7-XJxq+ms@(UVL9g&Q6F7*nxAZqU_lC`JXr^b2m%*rB z2Y094@-zveogz4ysP`!rN1D(bk6_Rq4O|wLT^uE_pk3y%F}M`Wh-LE!Cs)$JzII-Q zC3RroTn@`_e#*%R+T?Pb@V@0HfAu&+Nb#@L?_@4P;Gh@=s%_Cj@%elUPd$T@xyhLKW9&lQOwT8LDj98AV)6~oC^Y9v zSi!rh%W$;)BVr+_g8~Dbr+l$3wSSp(=Oh_UsUb99!pf=q+!|CXz$bhEq12Cr$P&{+ z@S7j+mTBTdCFqGB1b)`2l(`9p=#;BR1FFhKAEwIO)NpDfUifLwFk^N7)hM?Q6S3CR znv7cw*bC>7#2sifVlc?Z$~Xh3;)jT{9-wkg!U&ryb_wypep(al*|u74nk8TVSKP;ND&>!^c*|7_&*-&akE!T8i%xpOvI@%aYgs6>#5u^_EK)^dVi2ql;5)s#n*50K#$x# z4=x1VvRLFCWuHc9bUWa`5zFTQk+vz>+MD+zW);oW#{n&XM)z;Y z$l5lDA|)3VX^5d(csqQuT9grl*l@PM4Z zeiKf+FERHmd=Y59Z))tIr%BA2xLv8Tj2 zdMKkfs;w#ZrXxe*c9&bf;47R>M^?~>zj#}~s7`u+bQfX&DZ0~$Q_B9;ItVVG-K)-= z($;Cg}!+n{=kA>NqbtquUW7a<;k3LK@bhyg?devq^YVe1i)E+-v00J7Q0NAjE z=X?Hq3>#u$ZEtxp@4xX9W{Yu=^26U5mc+tbSWf!I?tqaI@G(LDv&16J zv#xd_J*O_KaZr;aG=jmyvyHjJ2-yB_mV&mK@a${`0W#abH}aO`&KffQq7&}WL98!YOSC9BzQHhtVr7I!!1pFhDa#Ygi#yCe}@umfG(WG zq5xMOx>q?yjrzzJ;P2z`gwhB{3_z&!?7p zGhnj|@mh#n+qp>-Fqj5TIOW-^?xg9U)The-`lgB4@Gl>_O1m;$8n8b3ci0UkFqnc% zSww$R@MD?U81baZNfvXDOQ_LP%VL6|euIg{iAZWFT3^ z#juv3Tav&YE0dqP5mPx|tQwS?S0xokvz*7BN?+)HG0)5>UJ0?oE*t6jmWRZmJHnnb z5BuwUIEcnpT3;wm&7h_P);~6~bWI75)fNS&C2{3#22vbXi9#}m&vFsIbQXpof1?7BwM-?fO>~E5Bwx2feeI5 zfM9ow^ajvnjdCz4y}%WpDoz2#Afzw50=Isp%Jm7Z@hijex}Tk;=K;xUaR?y5Y#}N? zd@Jy-Huf*EMZ%?zLv_L=Bp}PMt|~x)e6%QMI;Ft_Ub)AGf1^z7T2rY>)k{=7w(*fg z1$e+Z5!>BGY7frbj}*2vS0=$?i(|*Torsxn;wt)tb)O<;m>?6Rr|$bj=(Y7(#~!bd zVtM0lHNFzYPMU5bKAjt2yvykMfK2j4)(0MtYx-Q5-v)h=i)vrEOnpAJMZ!}Ofv!G& zmT!yYOh&@N1F(TvY%!ezkAU=HEoO!=fz=Ry#`j>ns8E2X{T`Ri3~h|_;Mj4>gaQ9; z!`O%H*)?c;y62)Fut7G_E0JwnOuw4kqD9Kj< zGxqYY6In95rtPxhBvZU`mt2nbI+H6?uI+-}!-64>0YZODd-kXaUA8MqM6${q-U16F zq?Ke-mKcjrOqI(S%?0JU$7lGZaWlaEw;tLm3OifxxPbHTWR;At%k?B8&W>z%l7sMu z`Xp#!S^4(=z_uW&%Ng~iY@0ucO!D|f_iA_bww+sXCtmFZHp42RX0_ng?o)!9nd=!& zj98+N4paqVeEyLzJLf*(E)gTG=Zdf@Z_{&#gUme|vhgnnW2EjI`s+LS5XEat&YI@h z3d`o$+pP0FG`UfUb8o@+eDt7;ngK6HT0ooj>i0->%e*Bxyrc1Zqw|(Y>V`%iU`t~b zfPkHM^Z~r@PNI5{rmq5;@L%id1<$kaER0$DohXy}uP+|^sG;N6Qa#r927zYD1B%A> zZ$wszOKSfi1S#5E7x3*-tvbKxr3Fo_S-?vds1|v;C9x4>!||xIAgyyqkoHdBeD!p$ zxnDEq^r0xJj!fXJXFrs=EeT;n?gTR#7)$WX1O)5Tz~q~IdhHkMP^B|dVu-C<@mJ}p}Peh9&I$JW^Hw4$suvb$`etg2~)_N{u&m~UeRoJDT%L>li_mZ(NhW;WaH{Cn%WPm?tF$}1%ir+8j2xG5*n3? z=wHa4FhmIFjoa{WgvnHL0MT@F>heH8VBJ(nMB5J9jZM5)o zH6ByS!g&40`+<4tU8W2tY9F-poZ`m*Em;uQ+fJ<3C~n?~JRHN9K~{T;_tvlc^6WKt zlCfpm|H&)t$czH`t?-(&&nWRgJ-VxUj5ssp&AKME zak+cWPlyZ>r_P!)yKpmTj%=Ob`*x9K0udB=a2sHs6d#78sjc~ z^CT z>Op6nUzZ*WJBnc$(<~mNjs{y~QQ?YV1!0+2UuXn^z349MFh2ZNWI6vu zCA@MQ^*iVadtK>OmH1US)p-G1ip?K9ML@Ew#_GFvE`U&J6xe!Px8#J6K0taDTZWhR z;Do2_9sVqOhUiUyoD&XuhU0p;JEeCBtpUo6F6Ge-t$d=HMdb z8a923@Fpk`|4&Ewf#$5nq|;H#lX!cWrn2g#%z-fNc*L0n!GUB^>CmljN$Y8TzPs?5 zT*}EEMI250E(Sp<#P)s*qc(DS(JIf=NwgBrJ;;w*O^HVLO}qJ}KIMrj_ocpQH`9C7 z<-TF!FcC>ns_!V$vct;lJt*|r&Zr^x>;>yM%1eF~4DpVlpFa;|NS_cBi;{Hf(9M-X ze^g>aX(hy?KP&d==#V8$g)bLx=X#ECR?A#7A-;TKsAab-6cm(h=;z%dA*P>WH*cB_ zoUN17)mJtD$-5Ut*X_;LTb#X>9}`bA&@EJ?xxk}lk|N1%Qdko#EQb|eI4#Y}bc1!J z-aBKBNf}Zt8s`!*q>TPsJZ$-J5x_(`xe1MNqacxW5U{zRt5sNyef5@LTDh}(m5^;A z`4Ej(>kYZe=sOf%P`7HK{_{tMA8t^wSHY~;vA8B~X9KW+^bVGX@%BreHrAst zs(~k{VoRKNf*$`A__LmblQ~olf6qLa%~{ijLn^#*^WcsGd8WQmVD|gp*t-gT<1Mgi z2eJ7}oEOFO6ku2BANV)%qorU5C*>Tvt=9=0aRMSZ3 z4v!u9yD`Q+uO`Q%fIt;*P$d7+xa9F^R8QD9VRVkD`<5f9!ZpId_0Z=VFV6RjPusB; zG*Gjf;pGlX@!aq6l8_Pr(YIR0^pM$ncU(j#>e~GxIC256WAe~fqazX@M4za45$i5q zr3i{azuH0Qr`ZLFrzar|4CkI24xuuljT0FakA|Z@(unNQf7Q8w({`4$q|hLv@*_yc zI!3L&2juJRSC0gTk)xMTzf#x75WIiC{I2{oEo7anuQnX@gE~*|n1XLHBc`lFz1n=2 zX?zGU2jk+eJ6hZCo#r8yf+610EH#N`v;oG&vEJRSJaZn9(S7rCON-O<_qXuI^q@lP zrK9giHdD9^iEz!p1Oj0 zv&Lj_ppHt%;645O-WAX5tLvKt{w!%J!A~X_4|a;8#B*-p$Rj;obdd1yBWs%_T-CAzr4_99|8oQ`_v1-W#=OX?rNsTedeSHC{%r3`TnocWn=1P)E z>TSr{2?%$`>;g8E9(}Jx^)GNVRV4jHW5)YNI@8wZP&c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J8M00000000F900000 z004CX00000000aH00000004La#4g*hN$DR$L+Wv8m_l(SeY76;Joo ze}66^4?mHI{d}0;8+d+T-GBA@-kbyadJNBKFdGb17#;zVPKpy42BT3@&xfSaX_@XE zC6-RB0PJMNaR60T&!;m|X*r*r#W*jjEKdS{+H|rfp_MPQYCJ7paqcirfQsZPo$pvD zMLEi@02(A|T@2^raaL`WoGZ*ZGsdd~ISeE(q_5d~FMZ9Bd_55*6*?u9Z^+;`0=CJJ zpFDo>bSuBba6o%8OFjKYPUIzwa8}NeSZ@*~JR@dChoW7D7P}v^BGly`#RLg)3WTpp zZ%UpOl_=tlU)Q}F9E2qsGpJ3;p#t&PbuMO9ZW5zvd{#6m%IQciLRJ;g5eIG2q);Ip z4?$p{7Ml_p6RVS~S}4Pnv20&Lh$s5yO&U>AsE>Q1mh8pn8R#_G` zPvS+zlX{|t@z{PiF{*O^h$+q(R9-&)%EaFHKS#BR$JJlhH@xp6Ov6W^hj4rS#R}r) zDq>?5vDqc;)c4=f61{h{MDHCf5#F)#Yhx^Xe5Ithk-1-|*iR_2ZjB@IhdM@hdCzou z$Z)-VSMtFH6}hv7++IR%Eg>Q1c%6`*7zvpq(v~aw6Bj$xwP;hQ>E!`Pn+M4`kZ{1c)nONK5j69< zSeSXn>^f%g9l`K8HNGc^b&87uRuKuv(M!FGC~Oq95>pQK#|-L;fnrKJm;8#cVaki>^Uk6;~lexv1sJHchT=YW7b+CHi@w`W4SJ_`#ab<`Q&F8=I59>Gn{th84d( z%sQ)a&X;DMqoS;Piz>+*hJbs=KR{L9gJCk*9KF|i>h56zcZ|(FLT5`0)O7Bzl?zyb z8Dw+U@p^mDE)czY+%9}GN7vvRqmVhwHwCtC*gQ_!oCZd~>10u!qvsi8bJ926J}WFW zb|^aUp>K#H#KS0Q%I24aV5a_c7kCpNOWPlQH>e|NS!HXp(fBt200960cmd*I zU}R`uU|?9@n3)Qs7=(a0*xfJQ$KTm8#M2+h@C*(CNiZ-0F$)6+kUS%|B>*HM1H>S; z0j@#8Kvk}OA@RW>L7sl@@jzx!BuEK^H4rl~2mndtn?gH4A}||#U4w%i-CdE?Mge7+ z85Dq|Ued(hK#D;Wh(R{E2lJY9nv zgPc7g;{*JILgGFB-26cbz@A_O>zTBtb%`TTL=uR7gWcmpTq8mdz7BTwaPc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C(W00000000OB00000 z002e;00000003+R00000004La>{-i7!$1^GeV_<}pc{9B;=0z?HlHDgh*TG%RPeFY zKXmWLe{kj7c+MnuGEJvy=Z+FEw@fCvnR7C^=O&%oW?7bfpe-j!@{YbP4b!o=$ zqEQmp;uZRv>nrp(c!mB3uh8G%75W>zLVtsI^rwbmSd(P;S$4xk3VbR3)Hhq@p5Jgj zb;tWhmELB284KTD6CYnxO5b0^r@R_+KYtcnSKmJ8)93i+pc(&lfSUOH@AyowSBqo$-159onj1^fRKPWRJm;uZR+6Yifp$nqghdu%TwyR5NU;8McK%l%|5zyK0gTb@$8s_-?$*?zkz>%>HE^=DyzjRsRK@({p1q> z0RR7Z0d!G4OT$nUy=kp&)uL8M!GhwT4lPuyv`X`m*Cx;=nxy!F@Njc*b8zk8&`m+m zf)4IZE+SGC|A8(J{s5`}!tcxWyz=d#%iDW(`OLIs?w z`E*=*2J>aZ|MO)=gm!Sgo?7d2fN4Orvuy6^o?)qJ6V!t2;JNb!VYr!6|LrFO;bxfD diff --git a/cpld/db/GR8RAM.(4).cnf.hdb b/cpld/db/GR8RAM.(4).cnf.hdb deleted file mode 100755 index 6cae88f38c021a3ff353face80facb59f5a30529..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 645 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRmM{ZN0fGjQsX$N)rCXUG{0hcj|NsB5 zXHyVhcvSCTraD73<5>Ix$rlnScI*QG8BaYtynkUHfTgkAc;Y~vQb^+}P%?J5<%sT$EelZZ^bdC{n+o94^=sDxTB!i=>iEZA8WONw0 z@M-;X)u5&!-5W22T@#8^7$9Z+{K02fYsCaNPs|y$#axi#4 zo$X}ppkyFj6WiP@dpCCC6)%R=nUW$6OX4max$n^BDAM6*wuAj=JKK?V7d8cLcFD6` zVgJIPoQaxHq^VF=#8cMW@%=*yZxK(?w~ikE5Byx6-@g=pX4hn>ZsJ{&eret{RfTj< zrj7Xc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C(W00000000RC00000 z002e;00000003{-iB!!Qs`c?g7rgaj9ENFdI%ysr5Vgb*qm5U5Bz zuJ99l7k4iF11H{u87J$wsiD+sP$aUI<7DHRI6Irv+h$poeV{ETN%D@qE)CPMb~BwM zEqu@!Yhn9_xw3ynPvYF|0|l`z*WWA_cybe(Ia8a?fu# zpSt7yqe^cxzKn%$uZfQ@Dy8o);!|D?xt~7^uB&gK^XYR8IcUazAD|{a|2sa@EBXEN zd&n1wukxeu=h3QB955BLen{oV4p&dkv+@6ad|vSVb?bSS==bGH-TcpA%(L$P#Uk0C ziuD5@h6m`texb5vn1zpZ^agE>{KISj#bROr~2drV2z32 zFmM4VH=yl>n6rt+#k*j^r{#-<=i(A}t!a!CNhDtEF~5O-fa&|z<|?bjDyaibo&Dq! z00960cmZ@#Jxjw-6uoJ!ZPlVyN5O*Ppbjlmth7q=lGi5CCYq%9f$(s1aC30&;LuG$ z(1H%`PA(!+6#s!P4*meC|HAX4^wENW^Uk~Xp8NHZB8{3vbn|qv527^SR~_N-pl17l z;7;J}$^b=xBo(4W?Tn#G&jPR&)C6ldhQ&?cxF#7HS!X%p0f?BKcc1!1_EQvdBI1L0<) zl)>#`Wu_xNvw+eXZhcb^Y*ypK<5djFJzuKAFG{!I%kAUh95@B2MB9Z)R0QI5j&r`* zT}pz-0VP9yrVUdY&XezVmHR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRmM{ZN0fGjQsX$N)rCXUG{0hcj|NsB5 zXHyVhcvSCTraD73<5>Ix$rlnScI*QG8BaYtynkUHfTgkAc;Y~vQb^+}P%?J5<%sT$EelZZ^bdC{n+o94^=sDxTB!i=>iEZA8WONw0 z@M-;X)u5&!-5W22T@#8^7$9Z+{K02fYsCaNPs|y$#axi#4 zo$X}ppkyFj6WiP@dpCCC6)%R=nUW$6OX4max$n^BDAM6*wuAj=JKK?V7d8cLcFD6` zVgJIPoQaxHq^VF=#8cMW@%=*yZxK(?w~ikE5Byx6-@g=pX4hn>ZsJ{&eret{RfTj< zrj7Xc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*CO?000000049W00000 z0006400000005~100000004LaoKw3F!Y~X>1%mP)h?#{w|A7z^9Z;9j0cO6H&)^yE z^01N;sERAsv3++*tkxJ~Uhs)h>WP?hw8So@QUx9aYX#m9@EGriEROhs&EUKJwr>H=2f1MuQF?Ww(fn2DArE>X4}Dt?e9n~?z*d2m=H_&1 zU=eC@ovJaui+KY80RR7Z0pez0WN2VuV3@OOatx4S5CY<0cfWW)*AO4i;E;G%zmT9v zkOTu05VJE_Ff%aBP;h1hiKqc_V2Dq=Q>dS_hpS7xpTCQ%jkAkWe6YJ?h%1<@rDJGd zUHAr+(d{SB!aFVWFc<-ARSB$5kT@_i-#@90U|(*u+GENHOMi@*#qHP zHiizMvc!FRo&gnteTGmC^&dzA>@!XV1t4pismvabh&&J@)H?h71~`UzI{CQ92f4Zf z9qAer3{nLK%nS>F^tA9|Yc?Rw3&aSGp8h~C0|O@zb1`%P$&J@Mrh`QIff%6-6mE>H z3>iSd9kVaI0GT6zqQD<$qc2bdViXI51CZ74V7VVtr6-C?Zg6lL-dddl(kl$aAWPu^ P74PI2?8*oL00960^NJDu diff --git a/cpld/db/GR8RAM.(6).cnf.hdb b/cpld/db/GR8RAM.(6).cnf.hdb deleted file mode 100755 index 82a80841561868227b6b63a04146788df59d0943..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 535 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRP6L?+1R_wn6iSOTLBuN5~t3A4G2b zKXzAYnMLsLSJ%pH#jh}XFkUM8+b3Ty&%Y;s?WRVbs-KtHo^86cV5#HU;Qy8SN4ga~ z>KP|Z5(vo*7xHoa$l{fA^O!GNl-#6SAr>aP1C`D-*J=oQZBl-6_V;RA>vE~PPq`Tw z{{OFFe3X!ol97;*5OHtDOs*voEe#eo?&{w=e*dQ7k<0QYKJf4{dKwro8getUPq0zm zuF9aI#C$|yE8{xHgASqV>J&d7RCJtI_wJ)ZT7ZfNC$qYMu^|V8=hN9v)(%Pr(lxQo z&9Zl6FJ3V+)?m2$Le=*VZ;&?E5e4Zx&3~MkA2};APnf!yNj37|ssCMbBDsU~SdaMi z9b6~)QEuKk$3Dk%auYu?{&Q6PIIk}6p8{)w|Axk|KDRFKYT?yT;ykkN;Jc5C>jV`! z;@PdMcl@$yDru-a!1(Q8=HZ#53W94KU diff --git a/cpld/db/GR8RAM.(7).cnf.cdb b/cpld/db/GR8RAM.(7).cnf.cdb deleted file mode 100755 index f3b3544b40384ea0bb54a560dba0ad62d414abcd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 763 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Haw000000071T00000 z0006400000008>}00000004La>{iQe!Y~kYRDn=?>@OhBeZn>WfqIBiPDHK571;4N+tNAGm@Zv$6V}p z=;^#*17XZ_w#ddePsV1$I4Oy{vi_o7>OT7;zwclE)F^`Uh`>n;N(qR zj-7r7p;=zc9HI@loO(KWsnG4Fz65GEnJ-|2&Avb5J+H=A&|l% zLm-JohCmvN41&mxR`|fXr8ioywosTU$H+E4;Fn)8o>DPNJt^n-<0YzU>4JDB&hUJE zjrWAqT<7>&IS!29u1~LDE{XT>U~zDKx4wVq$Ck?d`f9=b`u6-+{3Ema@&w;-hlPpf zl~+2wLPNE-{hw-WJ8qI#Jl_L~ak60)3uHHbs<%MS)-<;598L0m0ssL2|9Ao7W?*D! zU|?XFvukn;kYW%5;$U~bct6(=AJ5>BcvruWph%De0}~LlGgvS)Fw9VJW(0|-0dZi6 zPrOs8pRr;Zi&K2CyJLten5(5@XkcImQp~^##2gG3K+@bqh#e$?t{-F} zZv7w~Obih~@?eXHEyw{PK#Z`?!_zg$G052i;aWC^4xqBceS4k(6@q<+P!07TNCNCL zP6h=aYn!Re9*~GU5F^w&`}+nshIl&pxW)&$x&s~Q8Wapt1qRFv3xM>r@M3FWAk7QJ z2#ucpKrRD=1Q2sEbO6bX*F2_!MEHRip$rsmjI0b9K*1feFT4PmBY>j7A84a5Py}KW t3xflY)$d@rA5*0#ib`&9a2wuQodePfQVp^c9#HX4j=`>s00000|NnG#NNNB8 diff --git a/cpld/db/GR8RAM.(7).cnf.hdb b/cpld/db/GR8RAM.(7).cnf.hdb deleted file mode 100755 index 76e8cba0b0669cf94971f049a545b935f4f8ee07..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 516 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdV@>@0wyS33Z+??AmSB_G5)uCn+{!9_?!(glFMSD@l3u3VS1x?C_q%!sTaAHyVcWkpzl?XSKRcd3 z%U))dR3z{^uk69oW4kmtoQ>lYe9qRCJ9Xb=nd|DVy)5MC+p402&t|CH{yyo&yNm?; zlgt{0iuEUUEnCRG=zpwi?Hzkfhu+CMUq`Q8lD8k|p8x+V7#}4hq+}!{Bt+bsF_UYF zL`#Fkjl25yj^Dp&c;vGDi4Qz{jGhJtjE3CI>=SI1x2rO!C@~*V*vh!h@t{NKx;n*= z2NfOX)xG=ZkQSig!O5&HU~I_2;Q4g6leL4Afpkr5bF=K-*o#+;j5QdpzEJi3!yBZ{ zbwok>PV*mU=10y-%oC<=W>SqjcvW3M)u8{hp%39Tw?sj$bN%;Id6Jc vgNsXp#1d9HH-W1?ZysC_^sZda5_FV5^yy0`9$tU*1c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G7C00000003J700000 z00064000000058z00000004LatWr%2!Y~Z&@Ppy+5YJw{^?!(mGI!cgnDgxKcIxVT zZ8K`c!N80(P4ZsSgjs8C4_zpwUa+YmJV=)-mEnVNlHq)UL;HYbaK-~d%+a)Fzdbmg z2XV2C@s|J=^|`15fqg$^jLm6GQ8xo)3fZ@=%2QxZYrO)iDKFQ$mC-ZR5AWawo3dEj z4Obo14QDs-ehA*L;}mPeetJ*wX+HJ;jnCJz#C?070TqIMhENUlA4mf1GfoBt zAZwec%pQ=4JP;$)I{W(uIEHvS`MAahxw-=#=^7LaQUwOg3=4qtwD4kUkOz5z7@^VA zAIN23-~?hWh7KUP@tVhUkO)5zBb0%{jggfh11Pv-_JtQ9a|BQn_ycY91&Tn7VqtIq qvicn?_hYK`L{Z5N4sOF+t8+klg@G7kDLkO!og9N*836zQ0RR80{SgZQ diff --git a/cpld/db/GR8RAM.(8).cnf.hdb b/cpld/db/GR8RAM.(8).cnf.hdb deleted file mode 100755 index 71408d267c9d95732d76cb900d27b9514ff0277b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 515 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRdV@>@0!AoZ3Z&<`rNv_izTH=Mc_Bv?&Iw{+*%ZBWZ%4b`06#sCB|=z>^In#^QMP2 vxVSV(EMb*%6S&&*=D`I)@5<#YK}Y#RpT1<`;q^CP(Cn}%K^znx|NjF3*cZp( diff --git a/cpld/db/GR8RAM.(9).cnf.cdb b/cpld/db/GR8RAM.(9).cnf.cdb deleted file mode 100644 index 54f286d5cca0752312b8355afa1a0cea93075bfb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2359 zcmV-73CQ*l000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KZG00000006-U00000 z0049X00000001`$00000004La?3!7R8$}SuC(9KOAZ`gBP#zGEu$wzPjq)|3C^Fte zLRswsPQ+XMP51(cufZb{5@(h};t=*=y<+B0L%44VYSWwpDzy8czw)yMR- zJ()}DSl3{I_|?i98uexoP;U{Cy^Zg}>Q;d8c9*dEH%w9J_@FIeFAWmh|Z zJ4UUr+%aSYxMREk4|gthU!iBG5hKuT!p-^?x?{*9x?{{Dx?|8H`f#@?8qr63p^x@L zAM1ra-V1%A8=7Y@v!&y{X7G-)&EOrUo54HIH-mSaa0YiXjs%Y$$x_2VM;7@y#I`N*4-|6PD8dH7OMDX+6y(2orxewZD z`54PQl{Y_AdFyYL{JqjmOry>s5UN`oesunre&W!Vud`hkD;pn+zxiQ#8~!sA=Bh3B zH@j11eEnFq$kVd%WDCmqTH{L;uI$d88=Z1*m++%(dXzO&?>kez>`htM=S;b`a7;yd zL!Mt@okRlmp3LZyiBX&0%16&kEXy986+;OiO9EdSfj>yVV-dbAU@gdgj7UKO)Vm1i zeveQY3kyMUxlEz-i#~nO~fDho_RBb$^T zMyt5&%hatKpGpQC4nWiS?=EMGmhjC$c`{S)I#a&vP04DtDR+(JbbliGbpEqDo9A|N zNL>n($>|AQTMDQ>xHM0Q$=kAs<5;P+NYFeXEO1^6Vhu=Z$r{2+djPtUSfXE8YK$a8 zT&{Y8$A-`d1d#S^?}6s#mvYa>so)XUK>2rSqhxu(&hnVYY&s|jf2OA_KdmM5b^Jm< z@vrmqTluML##CQYzczkq=(=?7>%SS!5#{SHzE?+l4IZHmC*thtnW56lnd-*PZON9L0Q3q?y z8sUSX{!q**!zWQrZNU3VI(C(G+6lTeuNn#4>q;iyD6B?owvlJ7+Q{44Sf+A((IIa- z@u;Vi%BSsVeI=hpq+DOcryP&8cB94TvewthVy)zJ z;-0tlKRzGwI$tcV{{J}mr5E0u=ScLk4j%YvKV-9UzfR>Fm8)?tvGKg zk@_e1!krv^Tl^$l49=T& z_>)w`OKjp5EQ#MZ-4B1TC;m`R{NbMXBR%m)d*YAv#2@d8KhYJ>)>!;;s^bJQ1)XQ0 zDd;=}O+n{5XbL({LQ^oEg?>Y2HM5G})W%^~-4Dl&&UOK00%>=dTmIZiaMMt=eHbpQ z+O}jh=XJdin7V+gJc9u@0)MM;l?|4gd4P7=ks9F1FxN){V_Re^;I6DK1QI32s5ryU z&K&en&WzQRD^=1AS3Yy7Te$$FN}A!yXAUo%M#7#d{vN{1N1L8y<=hHBU&&9Sv5JpE z@&BC<#fG8l`<(ORbW~%3e)+yVrHT>16}W2LwQ_#2BUznmI+E2-s!R%Gc-AXq{Gj0# zIfU^($rR#2A3b$g(@&4sG3(^6C!fLP!NZ>l_|cw=6<&yV$x+P5q@QCB-*{XATbZAe zb)pVq!OJ9NYdfIwT5RLx;S06vv_5S&xi3Y7fJZGB;>H@+vh4@vu)wnYX{MD*lNJ9j4A7w&Bmq}e=!z8fb z6@6{f(hZUGEjuSx^ql6q1=SNlIX#Px!x|9bL4A_;O;b z@&F@%yv{I%qdK}FKd+anknKG+J|PTNA=SSNVIo+?03~s{Ul?m}XB?1P-7c-Go~adu z?}!416pqWP;0e(+@_G4qnLj56NTX?^B-#O@R6{(d`A1>oI3Q)Yd|v4Z_=!q$W)9q7 z8;|=nB}P>=@7|3Iqz(ZuUg@TN5D!;x%`);3AaAfSatWQESt69h=`_3aTt{wKnl0rk dxOnit(jPa6C}c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*I;600000008^}00000 z004CX000000006500000004Lav{Xw=#4r%{ErKf^Joqcb$IVj?x_8eaOEzsmwx+wA zF8(9`pCI_Qe%~|`x=_J`4ov3tWiri7+B8kG0y+eLLH|$Q!Wo}9qmNm`0r&&)zvTD$ zeaz4EU0J!wwkVTP?nU!;)E3jMy7!xiT360RhP)=my2^)|32a%}jG+)AAwoie1chX_ zwi|%DTfYV|<2F|<>UGF`CfR&8vZ{<3&x#_b!>+ua9ru5L@=0^!QGDd}rd*@|Y)mW7n`W+Cr%j&&yPu zm#uK=01fu8ZH&U$E`>=i71p*`VK%~;pdAavIT;sPay3+9kJDaEMnabyGg|y6nLdSa zfT+KM2k7(;03;)8Tz+dHN2AkX_=uD72;&*dgysRIrpOf^heoLI0g?=t7md(hos@>K zJ!&AsULykF}iMjW7hh&gVyUR(t~h0RR7Z0peg_ zWN2VuU|8OmnF^#Bgn&5M-7nt9-`O$5(;vw23=RQFFfaiz3j+s`JR`Ry03;#<#2~c+ zu0g>-Rjz&^@xdWMo__A}KxR-RNC|@k5Hm3d07>PWLOVbrFdKYbgM%I2U6ItP0cDvP z6o8~&(!}3Dia`{JK{mJt`G*F?heQS-E9V4CvVxWW6*;pOq+AM!og9N*BjPM3CMAhK}?|oLK=95dmV57I+vzR5P-JLq{y-of1eDKU9^c3otZ1-GB}PiGp1K00030|46Aq AJ^%m! diff --git a/cpld/db/GR8RAM.ace_cmp.cdb b/cpld/db/GR8RAM.ace_cmp.cdb deleted file mode 100755 index daa5866c1632a1cae59354ad0064d468b849a70d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 31712 zcmeFW_g7QT7cTs&spp2S?kQ%b7s%p&&;zo&wu~@SIKee4fRp8K=llP{m=o;gwT-+%YR{y*{G1(yFS=Oh2G3_G<^$nsuVZ~uU#tetQw zt^d`Ml+d{t4#Nk5DH+nTe7!f8%B~qdx@h~LmNg>?tKBJI`&(A%Y;ORHV)L=}2LOwm zJ#`EPTUbzFM|<|f0zim-Fka{QfW?-6Vf1T!ZKO*gSQve77-`t0Dr%h`V_9SCVb$l%knfH z-L2K+dN0`3(b<3Kmw2?^Ds8+=!wNg*e0THr+qsQ+D8bxcB1Im8lq{kj^pO&tzdq$KzWgJq)hFoToUC7!caUDb z!W)!heT(-BY;O67#&|=EGJb2y;T^>&Ut!8&X!-3&h=8B+l?Y^0OVvP#UsYdItA@Zo z*zodO4V(HF*Oh6#{KPkNM87JZAjkamoz1HMH|+m2^8a(G|NjS2Z1NBDoJFmz*I>@^ z-suz6+vp4laR_n|Q6H@aq<_=7NP7$o1t3=;BRbt`{qJV>xa{A&HT?5_(BGZeRD-d z6&-F3iiT#o1+Y=4a>!YC-aY7Ny!gQE@Y!EvfCW|BM82CoD0ZvpG1h0~$7E%W-grm5 z*$0GsUFp2XvBSuBf7x%_jq{KWF{eg!#~f{_jTi$81IA&POCUyV#DsWiUzy zW@`AuYI;q3n+JRe21LBZ(&*#LV9DV=m7fjPCoEZGeH4X3*?zpP4JFE6zrLRc=^goX@7@%;Xb*|1KvE64gEaX4C zNk$4QWKCiE%behCp-`t=7j?d1nz5W`2LEL9Obz9OUMyg(YwJfQpG3o~V{+|SeK65g z0MA{k(mEmiPzH~x@C~Zvua)Xw{b*GHPKCc(ZBb2Sv-gupF*x+beeSb|yk%;VOIexqhEIBDz=4G|cr8bydy1T(^ftzNnA28m^O?a$h}csBWKI1NRS{>3xVo z%r*76yx0=X#?Wy`R;8Z1NS44P$xK}6<;6|f4^X6jkScX!`%6#rEl=fpR(XZ1P(V_C zo1a@x;_-2XTrl7;^WEQk(E13YkTXb&d*wo%SipmOb2{xFT*Qoa4^Mr~&|uLkkX!jd zun9=dO9dA7zZ>T0(1U>a(l5}dsM{(ly1>WK+CU9Yj}%&=3yixT`<-RC&bU^BcEK9` zsJFRi!G|^3VEGp`7{F9D-Rm|Zeb?2bsJC~f1pjt8)B5`8e5k>YNKJoWEBq}qKifqz z8-3Dc(!1u=j7#4KU3I0-In|5K$*Whxe4pA~pr^!yjZg86e<&#QHT=BU=~W&lsdFiy zunTJVSSfjQaB%0F4&%j^R?vSQQGJ8I)SpnNT<-5kNQoqbJln3ydm)*UVUR6y>L*Ik&sc&Q7_-R7!t&yw*REOR;R-H48^JdstfL!;F>O<1w5@> z5H0ssC?U$4HY5>T_t-itdN30fAeRM$anr0FhHezUGE5}Rw8qb6qk2l+QIxS8ix%6rh%?t&<3 z0@M!A3zc4OrQ}menZWmMV|dL~VlX-o(ctrnd7kw`-!AOC+#o!dp z!{Gzig&SiEJGnhto|5w?$S!=9$w!6w`@uytm**XYt8I4Ms76dTeWdVdSYE>`27C^< z8l27g$1k)LDG&z|oG8@fequkp3@E(8qyKK zri_qNk=kO=<}7g&gh#^XR`j2AA;(gCsE3@oU7#L7>{^jRaqVDu$m(kp;NQT@Dz4dW ziNbr+<5`=(lURe_5RX#aysox`#H?3>p2TdDqZ|)6RyKA(K=EPOJwi?Gy6dW1VZr9H zWFGcv3^(P<_$1%&2V;BReD?I5>Rz8{)0Gc~M?9(7gib~3OegfMi;U})?cxeRb$x`7 z*dBSV1fkU-ZQIb~zqFnoLwz51HFj_sG1%YL^0`Alme+L^*F8#i*E;Jw%%rDbw&2i^ z?J{{~MIRx7a{Ke4n^$SFiaz6)TH$;X`;n6&k768Kzg7&Ke>#379cm^x(cqNexUSWz zVHFOt#wTqAHn&Z!((eP-(-LWVv3`nNu06Ytf8lHwCYxRoudlvV7RMDlEPQjw2|X+j z{3}-z@TIw(8)l!fcw@=7_OlXcYt5y&18ix%Qs--AKj#$cca1CXa=_iTP0{U_Ci^L- zs|(22!3EB*KwK>cln+V}g6jqt)6UsgT^3>ByaclcHGDFc^#_UY(8vy1+$<51g^=X1 zyM;}Gz(p3|O!SW}%I5k7fpVZWYY6J0$4OWu(bxDqj*UDv<#Kr*+NzvoO#Y^M&2+?3 zz1(Ol?PHHlruDDdbdJ@{?PCUDbO7cPFPSv@?LFEM01;VD0ud8g9Pbv5ewtw253l~v z-Wk-;JRShrQ$^p4b*#(kq9>%dSzU#-M_<`S?4tr>qRFJJJB|*U!E^dyPe@1;qFD3J zcVvX~wOU<84zcH7h6^>yEdNBPT=$W-AuY1pqs_#g%>uG6gc&&1 zdH4hMiYUL@mJ}??(KaaEV{IWo=+YtWevW;1 z_8iI_@-~?3m_C~UxX^QnDgSkZ=-!hdFDsI&bSaDPkQPDnx-+XL@tuR|{jZf9Rx{MI z+x*ZM0d$u8T5T`V^9cZ;ZBhAvCIS;FpdJ%s>@S|NNGqpy$IyXCPji+5u$4-j>;PsDG&`=lZ`6*I6xH(N&4K7JlQ!6=v44jR7KC8UOubnP zVIuu3vC}VDv~k2L7AMj2#g91jsy5zg0Mxo-Vrw>qwO95^iFaLe>rt&t0ckj;`a-qz zLcS;t5mi^!8tVFv$Vu@h5r$_En`dTgwQ-jQ0(usaaqqZ?1j1bnW+S6Xc zeXo#|y}9Fu416@KJm@Apxw#IDA`-(KnqF?*bFK`NcH3}y*dFJhD_tZh0k$0b{WPU9 zUtwK>@G<&D4mZih_V^4&fF2d5n+0~y{a{~~Ib0JHe z<6Y{{9+#5d9-XogK$$OYzv=wAF^EEyN4gdwb2e8JlWOD1=qL1Fah& zdD203+O0(wbE-$sEAI7SusPO6|L5Bkg4-WDbMJ_V-g7K7&&e!~YA@bR!L(sPT#)2H z?CbAZ=HRtzBH+$lFBd>?5od6b)B;-wBQKZ{6O2;%UU!DGAwsC~)8CSH9`*J8?Ua(Xmo;=Mg! zJs|*#gJ=~Z*@9E1^;U?uUv>I1NRBxJc;2gGbWp4om7NB|cRyiN2P5pV^Dxrbm(|lJd$Jtx6RUZ4VzN2B#pCs znO;hXhKsH8HWm&@SCd#MNT5!G z98vlFQ;cu^V43Ykn`A$bB^KCx4dSroD+`I3M!pRW)%q3!;^#gNbRb1oyBszT9)wk1 ztB2WXf;e~2)0w2j`nl*eU!+r*w~mibK#_Bagtfq9+tSM`ZCQ1&$4^_we%xHl9z0O^ zdmcZoD{z0Y?969w?VJcQsIB}J5x+xtbS@yCd*~dPx;^omU36j7DvO3R6ypgdPz|1r zS1W{l4x@ZJ4FhF>D$sGEes({DzV2Fx2p<_)1mBT`jBoJm|N3zuv|V^IezvDR5K9tw#H1F zx7smEDyine@mG>1%6aJg2@u%{Y`no8ZWBa@wM8>mt|po~A^>3VX|MDPE-813Y^Mvw ztZffN?`jt1SARWPP&KkTnz8|Y!ctiZ_ciLa#Umo8Rla?P-Px{i>}~ zemDur+!FfuhoeWhkNsXwTB$sa**_Wb@Ne|&HBmb6PFIkNS9ROqYJr64n0x28IAf>{ zTM>f*3LAu6*#TZl8Q`Fg@N5yOC}(Ts-Y)&$L5X`qO;tap?}z%ywGQTl2)QOqRM|0e z&47BF3xczx>0j+tj#2WM@5(a#jIuo1mwt^OW;Tw_Vx zYjFVFG~ig2g=-id#;tPo}1(TO1v#X10KQhg4|f!Z!U(?f%&BDqV%JFn%(}Y+c9_^7yml z4@9WnCqKcTH#LfUPaLV`MOo>`$zxyN5`QB zjw?%x{y26R{5tGy*zZ`Ivxj6_*KgdR_gl|e)5XXYh{flrr_Q@gVt*}tI) ziim4@;W`_)aZaAw{7;0=u(slQj{JIQMgo3(I7(5@2j-R2EuC9CxbNU4&~h)Q)#`ZF zVOS_vi>bKa8`pQ#^8CV*&v0(rw)wo+;Rv!E#gIz5k-9pYJ4_EM-jlPl5VV<@Q$`ZY zm(Sq?7%ex3y_DB8v6C^5_SP%KP@`6s)uKv?BA?GVL!#H-NApG6(7x$u9gupJEBN(OlR?Nm=F!)E6QtE>n2w>2+6!YY@Pv0)Q5HHNR z>?k69xaBGK<3V%1 zoWAfjd~X&D3Qm8X;Ao`^uHM}ZO9v_R;`~2kLUaVIRyvP3GoOPM7ibOC)YLuNy%Wq| zXg1gAa+@L+An(DlE6BT{%CcRI+$S?NAaMC0(R(|}rmw1Iqze%E5EN_*(MiUPa!hniN6hzZVF-M{-LXd zd!{-bl@`xK4!HLw(XR|}&gr;cyV!+E&Ee8-?WEGsQx-FGUYBLs>MTFtmiV@JZF<-9 zCAFFT*BDnNU~nrJ0iQdE-9eggu_G5Ea`Q9{TRl%J)+(bkJCeCNQYB&EmXqS%mab@T zoH0jMo`HSqHk5tqRlk=o&byorve!Qpu{lvuxx(9%ii&ev^Vz+P98m}h<&FEMsVQrB z|B!xtxD*RkNbu?+`vQ;Xbw(d>nS6(2^R1L~z+#Va{dAC(+e>7^nO0YDD|8nieD-kV zNWR1^qhTrTh+|LNJfzv_vjv^YYC;8atw^A&&Ta|W-X*C!(AY>7%61}zzkudqNBKhrI9&;q^^ogNxlI{W|v9)l!FR5;o zu0{V_vcq?3pK&bb7(*S?e+5D`(ErvMB^YINO1r^lZd?`NY5wRoz2dIe;fsXjwb_Bb z538o6u;uFcTn9!tszW(9ZAC3>QM&?DwP?IIIn@1n$m>$iuHiYHbc(DK(^hw02)F$> z`B@GRH_1f=0JJCB^OI-nKc+?(-F(rdc9WbsUb@!$SZp#dXurhy*2)#)3Qh}K@Q`i&wLOtOh^nOut)JE91qI@xH*YSiH zM-rgupTb&VgK02Vk8j=)bY_>ea5aB3k7YgUA8Na+82Wl3GD&4!t1fu(r!OOsYL3nq@YH_n8Q`T+0~(kLr}>*50jy(Oyfa5q8($={tm zLzTlA?`n=SJ8-EjwYM4-8jh1Q!kj?Y`*yoX-Ep7-13>xv{wJ@rdDeFT?+11Z93GO2 z{^xFyDhuJouZTb|8+sJcCI&>&Wk!5z&7?Eq!p^v|{w#~5{bbwrpK6{rR~^aT z>v`zqRF!qvw?8#Yh`h_TzoQ(4L(#@F*3?oAny{7OROnX1T*mYSkzhhD$Fy%tw5kq+ z3_RWzL2p8&uCHa|mq%3E6{c?<>X=rP>n!6C(I!gnS8~|4IE@QzshY835=pjiR00rW z2&{8eFDq{GEvwR%_-}CR~#Ms$PlGq z@j@Yrz30E~^RH1sBNQtFnr-Q4v{ck1LXQD8H8xuL0xsTv7Z%>j1Yw%8>D?Jj_)|W* zJsWX$BKcM08F;ztB8(t#ANV{6X3tL22j+7Swew$?X@pr@wV5fvEuK1uT;0+COZbRl-Bkt+x0-)i_f`j8+944m;*@ytw#5HRQV3Ozxj9pa;~_;rVJF_)CA+^A311 zv!#ZV(Wa07ZDjYCB-sMW&G~&{IX}{q?#;bdeQav=q+k zT)N?#5lrHm#+_dazQwiahQj`!X^!Zo+xIH< z_u7@hcOrh@do%f1D>6RQrAleu_93v^^(dtwb{uNhO|fHqr9Y2bgL27nPgkeJ!Ru zHfS@`u6DaacY9`zuKRim40sw711B2w!qwAQi1u&GwM+<4&!F#8@8@0uKTc@FCnNAH z;iXn>?OWvZm}I*}Qt@%PZOV=Ht0f>bzen|j%%#)mTwT+h7=Z+T!7Tws?yuHt7YA{Y zXId`;DE6!m!aE@4=NzQffI0Rb_5nJ4P{OZ_q-8pjSWJbwk&AkA$^sphoy921m!xYNF+vidKle60#M{jJTf8C+LTcWS zL#}pu#>gDTW}sLK>FCo@>V$y&Pxq%DgNkqiD+jLN%?L@OPqDLfZ>FY@QKVl^lGJ{3LzcYDACt(oYJL*B^y7AU{8PxUl& zudKV+>6;k`MJ4Rgn(}GDrU%1din;n=f7OGXjMG?k4rvwnw9h1tzV8j;wlPww**U&d z#LjaC?sY(-7>AUm(mVHGnvv+jJMOB_Gx7I(KNVuR*|i0*_1RGQxA469LFm;FVDWi>WO9CIg$>F=xY1I&*ZZ!rQU{)Z2b$1f6=9)dwz!OQ<` zkwKd`deu<5-C0R8J?wbP3B%2N7A?~IVV2rBE!=48<T#iu{`u_PvTG zNX@MQdN!8f|}BSfARy;lrx=C$o99m|0r>mxr44avDI*A`>4eFY?1iOp$#rj+RDCKW_Gr|yZINcd53h0m`s@3QB?759 zHzIvB`;sX|=m)Iwp?K$I*_m}9F+M_@(J#xd0R_ILb*;d$tiMNhJ#Vg*!9B+Wj03d^ zB7$)rO&wgj`PW2V@jQLUr>MwGs)jh0iPS8+(83L`ar&V?TJYq zWv5@>ttWaELMknc0&NLNJ4!EfJDYmI{GC%j^q+yUl?AY6qKJwCo&lTyc5TaTYQL{4 z;Z0kNg(pfCBgO-dzY+N0I`h3h1yLGQt{}{)iyg5GnfiH82~J>@TE_`b#vtbBx_~bnJs{M;jOQhdUwG zp&5sJfo3^}9RHjznxF5?P(NeR!^70e&^3>IqU>||{=Q-F9M8%XDuxH$Z5($+pMKt}B5T+0YMdMYS`3X9yS|ac z8f`#T#=MVyv%};ufAe|nF5QoiR55>?E;qh|mJ?Cgy4uUMr>bUvjcPUCRMLept3JQ; zKE^o%ZPTJqmyPHwLkHPE1NnY`)V^Jq=(i^s{ zFq|iA`sAOk(MGx^D2M)Ws^yjJHW`aa9THtIV3*}(05Pc-;V-`*OBB?stEW)7Y&7U` ztfiwBLcMDG|9bgEwIl|KPYFOVDN$~2@@vG14n&v`@nH^H;y2{6;Dzq;Ow7r zh$l%#MY~im-%#f;kWf23@lUrKSOAW4CnYUWE}LI=ked{mh5aU-LW9&Y--~w${T!AT zT8*Vz#E$fVg$ZCDEUVhUgkyLHsZdeQO!0Mc`|Qc^%IWiCnXPOm&_ulc$TWYm?g*KB-4d!GvKhFX(W94XJVIbaPBXD^4=XfB@C%JE3rkxtoZQx4nnr%mWN0gC3G` zm9TXWZcnI}U4VZ1k6A-L-V1M*knL*XF}L_BsMFQNk8Q20)}vZuAbds3y`(>#i6(EI zV``o1+*V#zH;B zJp1+6DZW}Z%9%iaWF23vKnD_-DjCiOT9W;#k_AmBB%Y!OxOg~R)o3WMXppb=EG{J{ zJuV^K8m#z27hUf^ml%LPCnaWws}^5|y6ftu->xILOkoZ%)_kdYekeyPEnR^I(S>qW zyn)uHe3uO`qj?BdobBbbihHu5w8>!qH_R`Z7S;Cc-gJvuGem6WHIP(-zea~VY^mpo z&<7?d3h&f^g&}YiS5CQ|Dcu|_PLJ)F=y;}s<_85xSxgh2>E-!-_kK8QW^t>!=J|>5 zc3VF8ec6;^?r$$?$r^3M`7XFYZgh+ll7W_+?76^VQz>J@Q3`g}t0nmUGr%3vsx`tUbDoygA`Wh>Xu~O?5v1WvRzmVYacp z@*x#~5wdtg<;3I&V86M?@22e3Vr;WGw^tF&`rARMUH`XBZi%63MfE5_yyZ@!yCUp% zHeAiMW7wvv!*DJ5*@7*ZFf)u`;BlCi^VmP4KHm)P?{xhalG6xMu;2`iWh!ULo>x{F zED-7m$tVS)A8xS^kKiuerT^Dkx=wa*xmwh?Rifp(+UNS@FF_OF_q50~??LHrN5T0_ zcIQq4@hnve^VM?c*z#(a3_t2!XZ3|8*-P;7n36WlU#2{w=%008_JB>di^7jLaskKr ziiI*K|CZDBJ)YcGq9^FMXw177?0&*{qe2K>x37a8v_c}_2yR|;(Pk-(4yIaZuz;eU zlF`luXfFBzbT3MV0Oj+>#^d}IysO|}PS2RD-&(MVSKr_mx74&x`j80O>;Z0KDfD*_>Lnp;vl803I z(SfdPi_x|41gV@}!yRTBV^d0#TZ$>)`|oCkrcuU2;sfpnjL?OVKWUXQ}5P~<~TFirG z>$Yg+w!VxS5m6%6Eks#UCTcD~2-qjTX)ZTpb_#gEnVCr_hqf-eY2yWm91HdB8U^=R zrKbnhSLdEU%SLyF7nUk9y$$Q&yKBmQG)TY#GoXIjE64XC&9+o*43-YXz^YRSqkwN<~& ztl6S26k};`VktzE1^SInZ6|SJ>xm8!DEo^*H8IMr0C}Yyb~V}lH_hpktgb-7t%gux z{8%W;W83ykP{Ca+g+ps~KVCYRQ(KHrwj9O|6fo)J(4N%{lpvuHgb6qV9r7Heu;lI#Ms)_W^FN1&qDYB?@_4ccT<=f~R=K2m`Mi zD)#Fz`ePwmmI8qe^c3{-A}krC`K@4es0E7G%+OpEw9I{ysBt^ ztb-f;U-fLro)*r*&KtMTx>1VLUZ=glSKHt7QNuyU1k> z3k34hB`_Z&_?3O4IX|Pot=`%;(fkr4sk7b6JEa7wJ!5|^r38*j$acD?sCN(K#%HiZ zLud5gp(Y7vy+U3q7y|?hf1~bO6!pJQ!0&AOT6ce6Ic@X^3O4um8KPmB;`G6@P5j%= zyhZ$Q(^u1%aD4DmGTz|i_&(%*>KP?{U3!S@OK>F6pN~YIV#pGfaMH2%x=LWCi>nZ! zG3EQNo$cPowBpALqJW4*87sd>(EpvLy)O|ego8+3ivjigFdMCMV~R;pgKAYVp%v3R zOy?fpyMX>Bz>6(_c@QcL!)d=_)q04~QEOJRUTpOO2w&pk#4sZ?v7$}>{SX~}cN9dZ z;82p7bUu67CVp}N$m9TPbT|vZ)BMNE@6pu>`5a!Ox4NA9Q0e~Gw)g0K1TZgF{zW`m zv4HR8p3zzK#8FI|x|DWKqV(8dJis*x^1*&4qUd_N(Uo0=drwHZIAKW}W4L}VlBcU9 zAOq=4<;*~;bwtY*s3WRby~fV-s_%0UmSPfOrvsJX75-y|X_8niiRgFa{8$U#tjKyY z3;|J&a_@-#?C-gf&k9!SNWF;4C7+R?`xAuOiD3Ghoj5jG(#B;%9J|-(?-ZB8NBA6d zi$K}|4A&YZR0q*r%V>JEgjIaOE^EuGH1RTr17vcp?`BK9K%5!ZAbS^n0wp3Kh z*%~eDjyOn6mN|fN&aKECcpCe!pF&+F$NTUdl;5|pN zclB2k(4W@c(MJ-N#Ioqw7*gVrm;gNDPRsO3MW6j7X+*Mn$+V-^o}-#!Y~(wtc~CB= z;6-F5cK^U3jR`(qDj}G*IlV~SHgF%e&S>({nL$Vuzhe6r^SbN&LF02WbZ5dq{$c6t z>?>=sJt5NsZqSR~Sd!c3#>nzwAsD7?2ks&$#-9PEDx6|O5-K!A1PP@acsoqO zcIN&xNr#tWkISCgHAuQb7-ySQHIn^{KDZ<}66;kps>o<#(HV$0>W5YxrO6~T|V$2N{73x@U4jFA&6mWOKekgH3oX$?LKbwbF4(~1_vf&jw zNEglV0ge9UsSPU{guRHHRh<*DlGz6d%qxT-R*pVhL#^DWIs`8kRsRqEhgWKSCp52K zi&7%U-lsA!+Y@s;-S5HTgeB>*2;Y`5Hx72ZiI((D zenPw~QNX+(D3*2PN7z&EGYaj!jie!}PM9s)K9J*jvFJ0!2GQvUREG>Ab5(>V?CBW-m>>R{TzonT zM%bfwb_Myqtd=c!A7!OF^tiv8Bi!1<(Pv9gdd@tK+GPXmt7-O-0YQQyCat{s+K^Qw8=Jf6ujG7OZz$5X?J)76y4@O7y5+ z=jy2(SI>K$Bknv6=l}@_4BotiR*Gt11)FLGtP}yIfo+R+2e)=__Tm+ElfN?_Y<DnFl*FfR9;j^9hdir<6x5U(zDo+>X*7E1;Z#2yCHp@_+9-Etp~A4CsBVvdhUI zj?OUO3}-gHpnM*2IEA(iP2Zgj8aN9!(^6#~Uk?-{+_(ZI^8iRJBmmb|V>8i0~d@aGuS zUB-x3@E~u(r(|{?B~D+#J7^W5b%tifsG@I6Mx@bS$R#0HN4J+U<fh3RaB zu#D|xp&0riW_u|W!31@P@xm*}k9T!H(@gFzk8i1Iz)l@2s+xXv>2|tJRZj_cz9>+x zeZ283L)t!C{d#(fRCub_)94Ek(ucM?Q(+6uQXQ)~Lc9!vot*Pp$D{y^> z#+wl)g-30af=_!M1e{d!J`If6UFHnB*J7SO8ud(-X};5=m#1c3U_d1yNIXxvo&=mH z5ZNgZmj?n+_EHGIh>ANC-i?rDwNS@fO)*SO zNzjb@efIL(NKCZi8=Ldu(2vFSv&_~uD0!pQcu#dJ9zo^ z`Z=ut!-GW_)=aWsgYw27t}?rO&Eh~d%Lp3M=P_8)hY)yUrQzI<>LVNO5)JE@J-c35B&x*Lb zH`oc2@tC;%J2(Xr)N{A;CU48&&EOV$G+4P8&GFH1LN=gS$_pRrRtZ@++w|~fWJWZP zhFwkLTe+W&l*z&ugz4md^n`0aS~49ymyhLfiJ zk1eC+qR^~FIdaesraYniJW!3@*8)Dt|{5#<=cv~4zZA8)Kuyehq<+n}g}Y0!>_7+n&MGU#3y z8*WF`VSn0t=Lqu)pSfWnM-x7&GEOAK%*LwWf%luEUkjg{%e$^J1?JG_I2o3N{#F`n z{vy%FYCGxvL_?+I0Fr0ttCW~3sK!S?*d*h};;c-f{eW%4r|j7yyzBS8x|`%O|L$aR z?Z@5%4CxYMpS6_I;zUj2F<1ETYcMg^=Ox5j35FoA#~v|nurtm7I9jrz4X6t-Jx)1v zIz}ad`t00ZxLQWgpy8jKN+(^ESWBX?h9d+q5o%Y36Xt_tNzUOc1u zzlsJaRxQ=_>cmB@R7b^uXmOg_rJ0B%+LdD}6v4|e^ZJeKN%qZA9Am*_-ntyVH-3g@ z8SCr{7UnvBguI2GG3xl!%^GDz$nlg47h`-gytFFD%Jk6>ydduWH^&OVe3+q;vUIg} zOOBv&GFbN}26q``x!@%X?lYe5yV(zvYwnb|C^MabDdcnHsFGPyHG+S!);~G`q=^r0 zj+|{ek+^{VP}tA48-?VftDwRWZk&ZA-8fF=;`|7^*QTrZQpOT3eWps8R}P`7l+tT zslPt85m+PYFoz@8_Ei^T(=zDH~0J%RDWAl#w zi3e3lZtD?!CxzC{o6U={z0mD$+i}Xe4`VzYkD{wpe~$e|PH|Sf&+D0P zsWVe8_)0BtPnBRJ`ZHJ0$?ae3VOcUUp`VxX=vku91=_j0MTZZ^BW0RL)1Wrk%M89r zlAg(7r3{+4>^<45jsct3 z)m3Lq?!a%EMVGY{_>v1@6WX~1?fDR-1#0C!kV67+3 z4ui)Y2nrk6`uzzAnSFs#1VRLwteC3(|0HI(r=u4At^2Ia-M98B5U_*SU{R&!?Z|r^ z(+0VBp9jmSpO<#Ace->z>-k@bucYiZw{r2j`1QHaExT<6b0d#w#&c=m!7Db@HNjic z5yJzQBhu^Di|F|%*_+-|oe4s>W|?XRtx+nzVmJM&GuF)3Fpu?D#k9)g*4m-IDtn(o zAh%F^I}iWr%`7ZSQ47p#WmOQ;7Lpq=m&1@$_p-NWcOMj+)-uS$V+p~&*uExe|7`+*P7G@h^dD8Zi zTstd`lMJIi0Lv;Zq~DF}X~93{UfeLQQhmnlJs>D3ZBt$t^X13owYZl22Q38! z_x{~AK^M$Dfms{phwbDH=3AVh@owk6CoszY^`2750F@ACLKa1?z%4OZ~7Rr*Q z53x_qHp2SL(tEwIu55}_T|zziix-dILa(KaNamWkiF%u7!pjHl3EJ(}Fn*tRh-uLO zspvYxnpnEb-=1(7Bl>D5TDCL+?CQl%ppx`f^dA|OidNLM=2dnY12Kq9?^Kp;Tq zA(W7ikMGycv(N0zoO9;P?AdwuJ+Wc$CPO(~U7ZBYZ3UJ4oZ}`caIONGaO!OlPBmVU z<-mX1RlxMM5Beqon;Xb&oW5)8=QjTb+3`19ih;Z0#W>GhL1k=K3`yj#95ocA61$JV zDbo+~PrrNW1pe?0EuYTglFG7Gm5~sS*uM}HmlGYS-Cx(4F;OO$i2dsLKv?s-vsAPN z`bKi5S0I^aS5NWh4c?ArUnI+#jYCv z?Q&4x&(k3hXLrvs?5he5MGK(?=DqI%q|Egx{iYGWwRfgn@t}+u{VwN49#f+R-sQ~e zHSZL&GJ7qN53;)z_E&Wri2cBM&eK9czON6vfRlZYo&E95cUlX-x4Iq|@IWUq zx58&d-(3wn))6xB`hffXNG?KZ?sVZ7vflN+do(ydB|FKqr@Jqqjvyu>pFzCLPy*ibRmcAZoU|<{^6HANPYn;^p~) zGBy$$dR#};O_vS-W`BJ#huBvUwJ5wR_HHywKV>52&O`F3wtvX^{TiI3lZUZAFOQw; zQ9n)BHzv!W`von?!@%F^mCir|DO=Y)Tg9(r!q#1m@SDSt={kR-QAw}_&eppk3nK;A zN;lxEU{<>+47~9&j4RBlx7;=#yk|svS>pP2RY;9dXFl2EN~r6P=rCE#&DrnQ;WOGxJn2@;+aBWje>uvH|Ct@f~G< zf?rDtaFWs*YjlX2rXoygEcpHt=z2_P4|36FBEw zGI+WKD3mNgt4bJnx%pTirRsJ=9`&MIrmy_xP7U+7qN~$XIVb4ryF>6hFsYP`IT z=a9`@GVAZDMLO}v&3zDj7kjoSd2f0rZ8gbR(Z9I}%$qXbvu$6H;IDPZF8rO=%=1%B zPYJa+uF(w(Ob+q4j!dqA3ihoqK z4@Q8gvZu=orza>cWwMfWVcU5Fn*k}15uUX#p1)i*oTI-?BMh&~B zWNTK4mYVC$HJ>*9p>1v=j8^lz4u1=M=8FGtSE1t_6U<|JdgeF93dHGSv4akdSY_>k z*KWuzkty!)a{#_%KvGk3kMn^8nrGc@%0XFHN3IfAsq9XvP}kSS^;83Y6}u$lU0%FD zP`{IjXTra1E_wA?)HJM|U}kEkdDt>9e#j%){ro38GQDwf2)t!y;2Gku*j!18t8M)v z(4X}>a9L>8-SOpn*E3g;(50rC9oJm4lKp183oTlVIk>_Z^(W%Lv}Cp2PSWIQN#o0P ziNk6z;Vy__=#GBex#A)==l!81hl`uu;V;XI^V6Vr^EDEZ#ymz}B_t$Ywqy`q2fsF6 z=bb~KdCc|kb>%;Yv8`}4V_ZcK=1dpR9iCa)>mc#LU{c>ul6`PPz~0# zJYIuiW(scySu~iNWvSH<2C^mk^=B8(D!FI4xLT2430^Onvz=N$!y}DpE|j9G0b>}O zrTre%oH?81N%hndL20iEbG9=YKO@VB6q)N|Q7gMIn2h96Bjh_(R5F@TKmGrjszNcj zRH1J!V}5=VV*72JzB{47_9bBMr?>jZ17u(YnJ;u)KA4H{BBT-eC)B0NDLcz79>amos@p~IQVioZo`Ar{dv%@=ZVhevO;Rdy{n7^j71M;uG&xT)Pye?)~%h7#b-bTkLkCg(n-KGn+j1A~GT9}NobAl3nz4dv%Z$h_y zRQn9}RLwG=`SdM4rFv2b!MKVn(6zX$>}e-eXVbUUz=~d}+tBaYHDo?g_VO1VtbRec zlTEg-gP)lZ05^|M>zd5EiGwkoU#Wh;-rbj}p2oZYJ+hJXD#%0a86eH(83`IH$aUa&~^~qSA0n< zAiTiXlejkC38jTL1^=4G{Up53`5I`zxI*o7{ESY1`@>h#$?!_TdAT}mvx|MrQzek2AV-<_)Pt%=)!g)=4BlUbIp1B zFE+cqdojnx#U@t#2Lon!1tO$Nw=#vZkW5Y5JGuo)8`n0W5Zd#3Ahzc)g?raqn3>Td zv^|b(S)fq2#W1SAp{|exhXYT?;eN|6e<6t{mvZD}HjQ4~Pr=r#Sa)0HPus zzHMM7Efc?j5n6aqHLuufgJem`$*$Wm%C^Lsb=X_9sfLd~M^ZNr#;=sp+8$x!Ti3xEggH%PJZ8p}mpWQxbgPT&s|8di_}4JvB)Eq}9eev?mjq>t5sBVzK#HoUnU8LbN zhZ{JF7^d+027AAYH;Y^y&l%Vy+Ge(YbKKQS1-k6ME!9(sz&X^dY=@I@D(e=@r<4Ck zJ)E#Elo{Nu^24XbDc>nU{$s0RtS@ur9NPSgvG?&qXY3hcoH9_OnroWHT9LPyfzF&& znD61#cY%9dl%AWlu9eS#N5{jKuGbBq^ufyOhK_*GOc=3PRkjOW#TM|h&|?SI(y4M+?{`1R*ob-S$2Up*sa~VfGmeU*b9nv-v;BtDw*B^dil%bu8@xnhyj*TX zr2G?>54|f$N9~+`^Q5OXOd*e)b){2MCz&^NaR|L4EiSJkD06Q>9GveC`}n>J7TyXG zhNI`(ZtL9%?wBZ_C!mkMIoVnL*%q(flEa7Ew*@k*vQVD9ev`YoF`uy$bCe$w-!C5q zTg!v=OIIl|8Fd*ilY(f3e|3NHP`q z;fq>C^m^&+^-c;f#xa37nNZLpTV*5i5Z4o4BkWojH`sm`v26=9x!$p~T;>5KirVI` z*O`aOzY#fCB%ptCoAI~+uT^OmJ9a187wkea z|4s2e`%P$m-Px1nMwXx8NwT0&-ul#b;-llP4;%xDj^u@;D*0>uy85MsM}h}!VISRU zhX3S8pHB4Gjxkn^ynils;d`f-V!;a-?a773JF=wj!vYy6J7tEi?BsH}NQoR=xubU_ z`@f}K;g8Em7Cs_13IywR#KTjE?#83LF6}t!635lX!W?8oq*z*dejd1M$lPT`#%dt6 zT7lsz?z+Ga%3?8E_+&%X{;Qm|ikMytgQucMWjCv897EnjNRPW@nVD``IoJq@-D zm%XPfuA$RcIRn9wo?nY| z4qJ^U8QF|U-V0J|;zU1$1Br?5Lvp)7Ly)qbX6 z3lL|h;u{q84!`V<-IuBdmkB(9<+ifTfPC}x7PDr z$lxY;v1+CBgvR;xW{L#`OLE5W3rd&r%$(JN&M?=;2P4fo%j!rqLSi+CB35P zI2x9eV%aK}>b}MMbPK`RINqBb&BckqL9g33l`cg}U8eZyQ4A7M>O?7D{Ai)kFW(2j znPX3kEB2Ox_qNqoME53(Z_QTn>0DF!KL9@AHMxPUcIa;Q;~v-aFyb;f92!}@o;!xd zvZ&vyV^=k$N7QkueH)nvg^!r_o&6iVKYqKt&n0a;TXd257IG_o+4l?R;yMPZEOXdW z#-_cx8pZ-MSp{WAz`#wTzBLjP~L}^h8(TBr4 z_)LU#y`Qg7)=tClT>E?J>bOEj*7RWV&zWI>s0!C>>^JrpVtBWo@LBU4+b_gkDY_!> z<^robGu|v$xUagfMBP%y1YF!8BK<)GPTb6r=#{_)Xx7`RVAgm;7vkMggm& zBoL^6&AZ@*hxfHhr>NcsvRSwlb(hX`e?QB4nsoR_X5lkD{A)~hrRZF67D8pLyXP45`~Sv`eiIL1CTeNg3VB!B7B z-~MJbHi2T+C^G809t?@j^aa42a4yFP2O-3H3W(ud~M-t?-!qLXV`L& zW=qr?UOQ&MjGuZnb*p$dYf_!YNv(O!w7k;4Y0{*c(NzWeP^Wv0A%hNzh$qDuUq_D= zGyX1Np|q_U?j)O@u>NxV`~mQVg0;OoLy?FtPr@v;+4nqXPJR*}@i`4@n3<3MHE7i| zjrp}~MQ!F$NjBR>H3V*1!PI`FD4XapPu(3iBDJ>z-gQHo^y$_k z?szQVcVWvWKOGjb$EbqUrN!B@PgJwS9_q*dZfSDCwS|*kZPk>VdoZkCZFZV{fHWcc zSH36onaqRE41S-luI0S4^qSB{pEzoEFMmSnoFR{*PKR#;oGXT7{@UJk)n<0Mvi$&p z^S8fdf4e{h+<%?&>r_N(Hv651yzL@JfArVX_KVW7$WL zBr$&k#WD#zkwW_Rs{X3zq4|+IPLZkq*vEWtrh$44aw67_S$!y5pQ|Iys^yBX!Ih;uw!gHk*BJ;{pabA9zS^X z$Tjku;j*Ep>n1)Dmy7AtIqtRykQ5!e_%_h9?6MSok`>>*3<^nI3^LGgAFKSZHz3pd_a5OcKd(Bn@;9{X z{n0OJ3W>RAkYZfIefO{oN1-<}TODk%y{74zdIRTq@QEiM9v1TCpK1@3)cw0sVXniT z_({pUw)o=r7{5eM$>^NXrW*y%SX_XN$|KbjfyYG=EQS}K9OM2A$0d3w_i#*ZHQ-$K zpVhnbKFM@`hdk0q7I*(NzOppP3*+rMB;%>NOdVe_hsaUTo93nOjxpMf$~ZqXQH=m8 zm2VZmdNCQAB@SEcFf;RSgQ6AGe;e;Fdd<+KM$7p82TzyhI*hZL+X~4Sa{1t*Zx*O$ zKz47{nGCy0v7?h)x=S@OSk&}!;sXzwIPpVj;qOjBKi04<%=!c&crWbV%-zDLU4qY= zG?IGazA*aBBtJt=Wi4dSzEFp7aaEn(G37ig`Cg1l6DiO9mwqQ(Yqz^~D7fvpm+otU z=2}+URKVzIfX>EaP02Cb(`KFVSx2w0OVHQQn&AyH{fUFULjavI}OwPwOV9idA?D+%sZ+8Nu0*xVIjJqtlD?XcIncIYZsUMyBE>k+* zy0@y=9x}}GD$g|v&T0Htxr0we(uCVJI|=mWTx14FnN0lLEbLNN>j;qQbl3dGsC&XJ zY41xu_&DCN!Axo=G(?J-er&&ld2%XmI>hxskpJW7-{1Y?V`J27iSR40o}tkwx_l~o zqQ`ldhg#rQo1vzAvk_%T`O^&a$wom{RmxJRq403=>_JKb@zt!_XA^;G&gTuq89ev7 z?Z=LQT5&&zo64InMrEU94eajOCw0y`lBroc$6Q^$(5M_49o{cm=DDGnA=IqA_ssmc z{N{XRsHzRFMX5LM`bgl|X!kMpw^_5bc;KtlNDdF9f*8ui>VH|J^xN$SG#-nZx-Un6 zQV2hIB>PCcj`I*K+{mStIW6qz7}%g8CL~-&SrL)HF+iocu5yd7RXL?qFhn|M_i)%% zp{CCqV%xgbL@gdy3eT!_BZCc%LEub7G0gnamewfQ1N`JV1 z`5|Pvz0ZLdl1-g)YvGHU-#2xcFQ(j_p$@YaUZECa9pPkS?!((GU!cz9Z=6#c={_tU zc|9qmxqz%IDqT-0qz%+t&FhBX<%LkEc~$4=w^fCQ@UtjOk=ubM$j#np-<9LnK3xC- z1V1)s#a2(86A^H&C3~2dCte$q6b2#(cerSYIwYJ-gvNlrK7rwc(iJ%d(^EXqfAOs! zra4x#kv=0)P-*n?!*WFal|U4$tz3r^8!`L`*dB8y-;GKZFn*-a`l^#d1VM()aS?MJ zbzS~zFcjdFhkfmFkdL-#5JOvIbE0CrRu$3AadLnWFLpsh7dEFtW~);X8PF(uKI&CH z^9>uVh^|{-;zqVP+aZLpIWRi3-c2RRtxh3i+c#Ny-dCEB^Fgko0X}&KhhvYszhKGZ z`gRj0V`*AvRgOR#MTOx^kC- zEZBD(gCI(T+wzfJB1riy8iiD$$4bObE_y7(PNRvZ&$nU?&pFf_2C;7cp=v%4&i|&K zITJ|^ex%WAtox2J7plUeg*GPoy>zF20qp^b+$DmfRZkDsp$u7{~DV3*ma7gBY%fH!(#IMuD-qKut%W9pyS=oD0kuM2Su_(n22CY1dEj| z;HcBQ`(#2r&AW>bC zAkjRbMx(~>%@TAbB7-}I<4YI_RRpQ4*Hnb`7&^KUR&m)+;aiQ_y?ho zhTxsIOs?)wW7n&r7uu!j^$dnK<2vmOuIlxgib5RugxElyw$4+!|99dy2K|f;v(gajcs+23wHRfz@MA#TR;Y8H z1pZ%E*>lLKhA}~Dg)6U-oF%7br~rvA#$tVwP_aV9>(2M5v|R28kztRMJT!keH^PbM z@-;WY;r)1WK#V^(&zyiIWcrjX11(ZkNaP7HveI`R2;6OPX!qLGLc6@~QQb-Tg6&gu z2m^)Via+)e+?|h@5a33R6f_F0#XWpj=B>2+&sHi2(*tQ)ek0;&Vg`!;1^2LE-=$Lb z>8((xWilh0my*z?VW&X^4Z8KHbK-j*iezczkZ)=MjuiFM!S7|Br5ZqW#peE6D0i5X z?pJ@~khl-zzEtQh{qFXUSD^QqL~`eUT#eaX>v>N6oXKc9%&^|kZIFR6onWnQ1m)NCELC(+qVCAXfn_-Vrsj81NXyow?z@=n{MB?QJqq7{ zcSvcE?=ga&3C{iXmYTmYA7vm@kx%6FrT?N>^m&uV^*0#-PTiQy76E)4%c8cN^vu8J=^|EJE--q*u>7a_cpTTzq_)H3k6ku6e- ziWcS4gi*p0e@IdT!<*DDUc`0M<8u-$+bXqDmi8;v{90prCcTz)%P)#KjFrym~= zKxlzJq~(v*sR`_j_ww7XRf9V@De?h9t-5o)ac{>1HD&tXdpM8HeZdW^XI?{WO&n|; ze*t3%1?t{VG)!C5m0kx*1H7`x%`y=eExY+OVQ1ZkA!GX#fJCqGI5d<2Qmz6m4g)9dbM_OR_K^F2(YQ@cHSs>EN#FM~FPp zlTKjKphjMdOamR>+<2iXsBk0Ziabaz4eMdFmtV*4mopi9Kw$o4s68@m@XsXq3D4j> z1FOCq2U5c1LS@2Iw23KilJYW{6gV+WQav!&3F-+nRRzJ~+qG5N+J6K!3sbY*B3p8D z9;`fQFIh?RT=kmGs7mUXObcpIupepOETGl9*D!kn+p8JN;y+>3Xhs0~^3y4=O3KF* zF0Qyx(9Kh`M!su;e~V3s36&;hEcl1o7l_}F^G;UiwOw)kTq&#Wlb&DZ@d4%f zQh_Q}cT)0Vci0jnNWkx_@L?P?sF1_zTbn9qrY5mthD}bdWeVBRh9MU=p2S>_;xk)U zUtLWli~85VDZM0#ySB;ZT`xfw!JUeR0uCJfTzdLgSZy(r-q3>P5$RG+UAF26q0P zD+WrZE+s{J3XQv|x`Bo-k8@!*3FS)y!xkkW*%ZJ%fp~tTGf9}!a~nNg&+WMfo_&73 z%IVh{?3d+R<278@5n9|;Z^&|XaM((?fEb3B7Rq~CJ883H`7tId3F_+F6PZ;V`NFd! zW8*uAWeI;)y*HTaULsD2%FRo!W>Q(;MrkoQ)~jVg8Eu)?`9Fm+#A-5xg=eqY;8vz7 zefb$$B9ij?@3#sy(A-eKD&;33PK19nPhaJBvD6F zgM0Qwu2EQ&!8|mn7a2Nf)sm;q?pP0uVBIggXj5rkDYIUUufHDIihErx0;v=+cVo=& zR-NtV2VY;sV|8eQ0y4@OJ%PGwSWK>IPzuS}b)DDZu(F=9ZIfj#s1Q}+=Xt@mJmLRi z<$79K4u7oE=LgYgBiFF^+do+DHk9&8-$h>?tN#ko-i%(1gkeJU+@4&XTU;U&8aj*5 zzAXfGVX;r8aABkisL8P+=ce+3jFe~cLHE;4bRz%_9~&T=XCC+Hgjtv_rSJpNmhQyY zROY6HKb`9Sks^$xyksE-bc@S*KA)`>6yA7EnMDXLejm~?3NN`X>>7WX4fhd-tMIP~ ze=`X-VStC+1VLzH*sPV*YBFLun^zfH&O$vNDmly&OTAr+86fX7%4Iz}>DH5VL*nK3 zL4N>yp$(o+A>VIocbmV+r_&j1z7=%I5}p{qcezj~A$d6=5Xjk5M7XIM2#IWryRdqchK6=5PWJM({>>rGfc8K<}fze{mju zWODeP*D!Hl&Fm*#B$phazVO2T%)FC*4LYLaIePf}GGN+Y8FYW5B~rL$6fipCf9IT= z-=rwN_UZKo6KO!oQ<39Ub$o*2^|!NOmy06_%>9)FF#buD^-sJLp|d4L_{?hon1wfe zFou$-Vb^MVfD9RC$LqqU_6)3Rg~7y@W?_(BW!y#D2Zdb@bxq-h_)~ zT2RMi%_zXU9m673yTN&^^M*T06rx_;3Y#+O@F|bF$J=5s<|T}uB5S(BGXlsZNR${+-xcwij(K=X&t)cluuqmUdY#_G)PC4el z)UEjoECSwd08)i9j7OB7*KKZT){YRP0OjV)^KqtC+F4Wc%hZJ!>+6!P>Kn|wF|S&u zVU2+U@zIQ$Da^f9cDQ5J$2avdQc)MQy;(0^dM19*Q5eRGRUEkM6MXRv9o`+1nufuK z(8`@CP5U!~CIJrtK*&vpi-3q@3x?3X;mLK(?w1E(=*ohngGh{puNF~zF+}W7jka6s z#Sr;Qym%YmzW%xIa0_6E=_*GmAX#pocVX83j4mxEp9xJc=s*GrB?}C$ypTKfP%7+e zQ$>Km&%s9QeQOz@!fUN$U-`z}tPo#WH*#Px$VGD$BSAYP;>>usuKUg4 zV30}Q>bdjYoF*81y^u`rIhAdFh}hi(6(2VJWlY#gkj`7Xsza%kTZHg8##l6W@oof5 ztnhO9x7D9Xm-?%!9~capFp!(?$_F_9%bzhlzRN#)nnp^ru!Ow`axsJGplY!Jm*5{a z+)kjaA!?~wyIaFtEw%Cg*Y3>VO!8%iZ$5tm?l{S;eEw!sm|I|+(siMA6(+RBBXG>% zN4}=C3&WIo3jRB_(*z)bSlobQ(}(>b)myD!)@i_3SH0Xxhf z3EzOXLAh+BE=lHP16C)po<0}`RNVLik-%*Zae}>QTT?8qTp8L(h(?BziYix{6PFBb zHuC|hg~9nSC>UA-d`bL?@;{$nH0K-i8o?MrVCCg4nLK{c7rT4@y5d9ohVN<$nmRJy zzeOHzgu8~k$NJB4q)n4!9zsUqpWaktWdG?T$^&3x z3~Q7*Ik>M2t3PW_t6t@9YnLsq>3kEEg6AcLET?7T-tB^RGcMCx3e~A#d%R4ZHpdsd zi+VClu)mBb-|DYH_ykjWlfl`!o*U#x5HWi1q5X=>kCk0iZRXpmlnvsEMA53A%Q}18 za=(eg;70Pi&J~0wzbQ*Vm2Tqxno`b?vh^nt-_$tai69;k^p`id+>U<9~$n)l#=WP~8 z*aeuGKclK;uQmQ`Z4dPdbr_N>85DlOa zo7tqdb2>6G5|ru79^Wvs9_f4Cd7&!XUGLi+S-r~F)_&I0in_P&bD8P?gE$js{e^J= zVB~ob>2VKy<)J$RnD@B1!3r?hWJEEPJE>)t?}gKq#_G`}jHeGsU<=(9Q|YxgYg@`K za;*(jGtd$pny^o+Ni&;`7P}bjG)o8ax=%~SQfCvu#KroTy2aT6bRK^#=B=vn_>k9EBJ`f!(EOB~e3SPWzrR?4U6~w6+B9H4`4VDDOqO^IySRY~K~Tac zHU@v<*qe3#JyhR1j%X=^D<&FD`UxO^_$2E~eHDl>CZmQqD zrhIB55x%Lzb$MOgr!4cF2N1(~AKzz;go-mMm%V-})J$2DorMX1RMnL5wQ!zHiATas zIE?(z09-TJMc?zuJtjV)_e{O2axi;6JjoG9Y38*>t_iJ4qxo(X zg39(9xqul^?kxS)E^|G+J5Xu}+WWwzMwlL6qs0rapGHM)mSC0exA)2>A-2akoNetF zi-zK)KUPcm4jX_`7Ng@E3-ogkBc=aY-h5teTgS9pw$AUbE}9Ij@ahWkL@Fj?ZUyhW?e zrS_9?87@V3xomwDo}jWiMukN4HdQKE>0Bz2)Uay6sfMHUQkPYq7<`TTvQeThi`8@k+@sL7$gvV_xG>B zv|ryV1S0f4)AP|UUBe_A%o-Ge>_v~*=Mb3^u!b2cjs}PQ=U&yxgMnn_;~6Fv3GrVR zndU}(vfBG*+OoVGLZ6dLc%qmG_MR2Y%SYwc*=+~LTes>;02=V$6<6UH$-p?46Urr% zs;&^|4>wbRr$2j#D%_q=IRF9@O&$8Ts@XzLjBm*F(Th7a!CS$F2eEtK2Y_V*vIe{F zksF`cI4Z;-Z0ltW5*Ehn8%jLXt73=0khs91L5D5Xxgd|fpqVGeUxPw^S)IDkH5hzo z--IiQV)hR3Zq|98mKRDVBJ3dn@Zc!?WtTC8i8g^H0NxNKd@J6c)uiLe-`W7qz$ms<<~D9%HFUjDEuq4=2hFA+8 z*kECN;QIWcjsh7$XSnzfo#c9xiS@NE_rHs zQ<&pi`pL7R-)&tvkXRL;0EAdV{**($BrB1deQ42Yljv7|3aQD`5NN5+xPH>2=oW;i z+6CLNP|0C<6a1$JL5J49P+fL+OUuRGLj{n%HzBcAS=50+(!6DZS`1M3V7d;EaMTnH z0s+^LVy63z9WrJk>z|e&q^l3xKU)9wb&QF-vG>!hP~GR_p0(GWu%n!pCN&bBUR1F6 zvIF7w{VGsw(}$HN3v*HtgO2eP29)v4+HvfO9OGh0599J?9mnAf%Nc| zm2c&}lV}IgBWuYUNo?|Lu~=Z&s3=oVVPH=1uscfWb2cP^sSCy7qi|e?T!rSl5GAiI z?{}Ab0lRI;z06ri&QR4uU9s&=AV@p&e3KA&H8=2JGbDor9@JWI-Hi6F?QVX(-_RVY zDrt_6SD)c)svwK+B$LSo`5M5w%E`9M;1sM zyD`K~&K*#x=H9)pcM6GVrAB|gMdC&S^99|YFA`;XAmo28O*w-=UnW4b=l+eDh z)*l3nr}8Da$-wHFB6XP`lOBhDLt(eiLGAmlLWa$-ZZRu}jDiKjp#}SOFJLlk#YZ0D zPhS<~Vf`S;;Z^_&%kRwch!vY>LKaW3s}6Sf_2b;7X@a8}4Shm7`oSjHf>?vyZ?UM~ zwi6sYV-6TH<+o32K4KNcp0?QL>}{<^ZtV~!T12<=+a;RATxPr%LHO;O0jm83^fAh) z5fM2yN3$t$*gqcW+kH!M$m*kTIh(eAn9JSWa4&w&HfChITX+*JJM-c% z!Wf)>lK$m6lY6D9h=GFquOA6GOM)#nQk(?$NX8_2C|}NQ;s=IxCUl4sxGb1okm>52 z$Qk}$h10I_R5RbMr)CNLfJ(^g7V~^fSSp6)XyCzR@d)lRk`UBVIE#`tKc`$`)QCsF z)|6{DfnNy@uQ{Z=cGC(Ny$#=JHu227_R#IlOgwM2^{5W4Az!Y}2p(S<3N&=fwY!;& zI~TdrN3rg!*Ax*h&YlL+E!CeYHwqtgAc4VuN1O`_d?p54&X%(Ka@-tp4)i`=1!j~yn1OtS?(F%^9w^SkU5BRN4;bLs%- z*0}_YU;g`j%F}hq8Bm!V#`ogz)e)9SYLBU&43r|lL>1uE#V+IgmcaVFrrwCi<(m?+ zL7OpXwyy3v1tR3sh2s;vo=yZgVOsFS%#zT)4Kpd{%Jwd3{#U7gt9L4AwRe4kOk1{R zXm~NK9@%>FtzgviAJ;HI^Ipb&0O>8-0b%EpLNhiax1K((wR~#v@(^4V>esZGW#z;{ z16Y4^m=6ktcTvwU?`~u*Dk+L%eEMX1!JA;Y_3ZHuE2x}6^J(^eTh~Qm8(hlScd7+J zvl?qP(8y04$k>ASrQR-vn3U191d<2=H+(}|_qFbzhO3WP-=JCJ4_qE5+*f0N-&)xz za&tKq*R@A@1qWx$4FOO}s)sl1=0=rh{qtNjJx);VEc)=#*wtrl>c@j$553c{Hweh+ zwRS1N7(I)_0I}F}8nxx9>o44HOz}4s=K};jC5va~TOVR<#mnwM*MRFR>s&#mGME}` z3--(8avAoFmCT40tw1}a1eUIg4CaFC`{Fq@l!+ram)McX4jNz13_X5px5L!Owu-|? zU%k%11o8A#(Y5gZ$hZWDlbt{ z{V;GxxA%_1rfNRid^Ezi|rz`KfE%QOcS79uugV|DxUZop&3y zAHNj;%?AH69P!)eZC;9g!OfqV#o0mygTzZOx3v?isFG`JnoG=PYss#_M1bw4Ut-P7udo54P}w&HrAi7OxXtYkf=e&z9tsSa zJJ=u6j0|$~MHVWkifm>V-3q@Y(BE}+FbcJ$iLP+w{#=?T}T*T7& zWl9&_L`{@bnM%cmTbO8>fB6sltn~??JJaK$aEQ&$`u6GFwlguGi24Wz%~@r8yl|xP zlEsyD{j<+5Y>(Prsc`S;?^_8yF*N)v=OMjgNnXpAyJGJ}$UtV)9 z1_h1qh1&HD_#|9*w|FE{g7#ZJs4SpBQ+ctc?k3B@ElRjVo&WyJg0^;qPA1hIf4zA3wS+=?T1nSOJn|$<$MQ4B!4#Rz1$u7%=LaD%|he z{Q67VVk;`1eN52=v!qXPG>b*0&h~{`Wl7IOhw^OoEcdv#?0!8z8YkC>?voYGAv}*MKh`qY_bgt*e z`n-7Q@rwS$$AoWsv{7;)&fQ6}se08k9+!(`lJ~(i=+8Ub{Os{OM-#0Y5?&2iYn(!g z-cOhK`o(#6d3{yH^hGU3-jB8F*uvO&?7ue}vvYOGo?ctaZ4~Z@<}z!U0kgl9f+7&8JHNDiA3D3?aYW+SXqde zI2f5(7+IK@S&3B5T>#dm=0r-yrnW@PM5;1Gn&u`%;%3%vpD`7vAwQFWfOr=8{|JO4 z+JEV|_39CLB^hwLxd8Y?j3RvNt&q#3?*7njIvhu^TDOmu7i<4ywPZCl9VBHB)tDRmEv~l- zZk15!z8V;CDFgVcrNvb*dVq>=ok^(mx`lJqN`P$2AL}W=sg~%2(ggKqfr5flexbQR zXChXyKqtvIc4#j=R^B2~E8xleJCVE{NM%Vn8Lvss9)S2%PxWQ01bCOtssFd8QG0*M zaoLGFjEPW{6<45oA?9fwexWMaY=Z3AonB&N2^v#AxzdKhqkHYW^-V}M=!eE>4Mg!@ zSSr&$%>hnI=q+qk7Aj`S&9*e+g-#1@vwx`Ml1o#FV%3!jEf$%yIg}FJQ;mC$fB4<2 zH(lKocwR;Vt#WjclQ|0KH9Ubgb8>Ya;`9=4%I z634x6U4W@*wRv3IPGs+=3lO?cl7QkT(Md;I;d53=D{j$@HP zQ{i{zZLN|dDAxQop=r%`rwn!fvcgkfwRBwLt$bB5XOUSh;>p_pylWy(YEXi6YCXt0 zgW+E=(AL#ZDPNl#R$!n#LYJUTR4-%^w4yCkEnc6@!dY_Hn0?%um?b^>Kac)FX2P%_ znqmEK+5d~dgUA=Uuzr;vz`x&uXKZl0wunvYG8xBA9^YcIY zVSAxJA7b&A(sdAUo{zZpQ0L3Hk4~=sRE~z{I+k(F80Cr_*p1uyZ?aWXvy#aIRtf5G zgbd|iJLKI$FKjRn;&$4E)@s7 zAfPx&EwM6O5uF5+v{vhxinndyz%7^FJq7SS15d3R_`SY*B9&^ z9<(a{PtmuXaVLMzR1*C29e0$(Hj(EbUmuXA;WXqMLxw&1y_$UdwA^=R@$_H))3G7G zF5R>9y1ZOt2E;yRo^r?=%yv{!T%d2+z7OC8=bMJ-I96*9%W<4weyZZsT30uPPCdMi zno8TbuCT63hIMf+%CeC9RV32g&!a?Po)q!M^vizq4Jm(e)_)ttdOxJI?b=0wSE;#0 z(ErqWMkkCnwqvgsMAsOhC0waZIy-jcrNWV+ea{VaD=nv82Zm(JT!Eti6_&v0Zrz^8 zSB&V*?^oSVzf`GZU#vn*z|lzalK#6QiPVxGI-!L(x-g+r)k9y`mlZ-BW;m|1k(_aN zp<_rD`h-p+jOJC*v8Va+lD3DO1O@7QGTg!$p@tvn-ifL7xHMWcTbM{MWK}j+u=KS2 zxoSb^rN1W(8Sk{H@1$tsJLA8<>_lVSLV&Ui%aoYUeva(2;`Jd~`jb^I9+WDU4zVhe`Hv6X_eq$CPo>#GwRcM?7L;FE@`( z$TWhm5f#_JP1uk^-!<%@GR8L~*Rc8YMGawHizGSWm3bXE>8&}DgkzoY1%e+_SkR}2 zs4DJb%f_$VRFm2fgjBP2YT>L5B<1X{^N0;HNA=P_r{uX}(h8xvfe7=>k$c0HBPtrs zbGLl!h$+4wP^uD?!lTEUzkaGqUcE>%FZMS4rrT3O3`GIQ3+6tQieeM?aeP2~VmDLu z7{Y8UN;bbC7)pwqWI!m6!Q_@3wZ_0E|C?n@*}7rhJ^5hiEZ9hS)9TSi z*&N@6>5%_IE!!O6#a3|hrah%-?&}yElS>HTd})z1bNIOKaq^hU7pR_EYu5?TBm^_Q z?vBBF=$)J##uGciTkmj6UN^qFYDct9Za+l&COUE$JgkX7_z?LfUWx_#Je%H^ zl3yfOxb8kpA5n!6d}vX~BK=gMC0NMUR3@v|ulC1}>txbz8@6GbNadfG@cg0M-bU`Y z-&gzJdW>SA3uhMxybDYgLll=k_^vho0wPn7(~1~``QKdfqf%~cjmD74SXCV7k=1aPs*l_e`DS)$>=Nim)Q!7EFa?n(LgU$%( z5~n{mzw=Dt8r)jp=y>tr?3U}gLz2U4e&Rb(%za39(BwE=Osvw1ILHMiBK~+dqG7gu z*S6ffOX&~`x#HaUz)&!v;gX}8a4E90FA0F^=d0DnCz1K%NaJdph#G@P2??9Hu z#HVP9zoounFqPO73l2c}@Z{qTK4%Pcb}f$Dy2|%;FuF|h1zm(pzsU_;SfRncbHDBe zZriu`{R@qAl`?)Z{j*LAn##e(FI{G|QSB7t3GNphH0?lpT4R56Y^Wx_Mf~ZSG*F2m zY5G!O)g8bNNd~K1Lzvx8SmP2S?(}Flc+jN3|08;9x{V9?k05^HPVk^(i)j$?_j~cs ze^MP=_7!k7a5`#~Bzftc_LE7-yb12daDTXaP$lAB7F%s~^nhd~q5SshTJ|yOK;jYh z2lZ1tLuGfkgyj$>g4#RfQr#cH3^uz3RY3vFB3S{4@-dpNC_sOqyRH~?wkGP)YR`L+ zyJ_Tbw2 zmo^Hoa&7Hq>O0BAwX-4inf1Z0f2>#+ugt_gw(d#yI>~0LU0V0_)8SCVhoZ1(z44L} zT*Ej2S-8LAar-8AG@fy*+Wyo$$2}uQBT64k!$94`mZ@}VO1bUcg)vO1C;5qk6v6GV zc_<2%1k{Z}qk_ErA1ArmqkS>5SeAN!*>;n1SsAqRoJYrEWHmk+wnOCYtMt;pOHl3Y z4A#9(y>VMBHA8B?_H3QrE zTi5XZOjOOX?GvpS)3b2V6wo#`aJX9wG2crtJp8n?7_VrZy97JAG~bF9;{W5(lW6=7gQ-g zS=uO$JH1{9B@Gh+59mrd-<>=D4=#2mo`+9Vk2pYlKQ|XB@K9wS^8#1)PtiyDwMLu`&x&v+ID0W6SvGn@!z3}mq z-Z*o~_VtNSkmxs7<)TEzXo7RRV^sYlVCwid9%My!-bfh&ABHMrsC+abB28**I)x(N z?-{WlZ2?{%PPi*%LmZ_ux<|~5difHlCO%<$ z?UMr{5gNFyw`wC3%}@-*kgja^8mjJ1N`*XZ%5p`_QxJKugpI2~P|+d21d}f%64HiMok?pP6<^rvo%Guwnf8lSa`n^>SKQlw0+f3uDLXlF>h;U8s7Rk=R zd7RHAZ~Sy?V+#%gLogJ7Y1g?eo$VWRF|W&>94tLe?IG`H>+6KgUri-zj|r7%y(-uqWW}t#1_)|m zca#R&C4^oatg1Ji_6JgOrnNmC+{3ZpStnMp*kN-s@C-UQnBQCYUcFe#RuW&B_PM^S%>z;+ z85F8(EjR8WA;>=TOm35O1&FtzpWd#8;DiVIv=qOk`lyLJo`z4XeGZcjE!pjo)=d}N z1}sx+{RHfBc<0O)#3&yYtUfu}6F;Aqmvh%dkE51#ndYm82TOv;6FN^fI=<24x&x_!T{_2h-Ya^|nhdx-J1JXKlKt@#GU zAe8r*iW{7+MCt!Q#84hY`QG#e+u7Z1Uen(ZP}5OtOK@6JTb7F+LR3M_NxYtq6>>w*!9 zK3sd>_`pZy3@v1cu)+N~8}$b8ZW^4v1+m|bY8_fiJE7yH#ENFj!}uhO@x-U?!+bS$ z#i!lFL@I`Sq6%IR#7$58p(N$h#CL&9KJl!WjFe8LpopfWkp7qrKey7Z#-nzV3gXK? z?lB`GeU$ySykd_=#6v1k*}1+MbMjj)9j>-Y=>FocqDmPno6kVGnO#NK#!Ro1O0tG! zB~&l`UIKA&dQuY>^UxZUH}Z~^b>i*z`o(wkFM&7)!7LfsA-%_N2ZPf;&R2A(X&7}< zc&D-vF&35KG3z_&)HOQl~lV`$KkoVa*x4+>##iP@$-YZfm z_O>rs?G2KBSWx_8rd&3i2K`gD7A6CRx@=P?=scZ-`bUn@Vs4nxVNHjj452Z@npVY0 z`c4NLk^QZ-kB1x+F14vz3f z%k$xozwIhsF@JZ$m^KTT|FDXc_Bgw5jf64F`C=9L$$X=ddTGBGsKK8iV@)$~O=r zICnLk~kYd`}f!5UI1Z`_o)`6C>gVYtW~SiDLGfn!!#&!>c6; zo{v&b-5lhJqnGF&YQ71-a)yPILz^H)%i3=~{$p)$^wr4!HE=go)yngqKd$LL8oP;c zz{S<1Iw8`JgGgSbL&OFi<_Yl=wqqacF&oKB6c3?88AI|zy^xK3p>i}X(&$#hoMUrQ6`-xB6-5NJK-)hxwU)5LUQZid zRNwyG3wJ<^uW~g_`X|~C@c@5vhJ|aGxURj)xzsx!cD09Yt|KJSmVv~W6n3ejQz+Du z+h1mS3Yv=#OFaz#&8^el4V%yYl8SHF=s+zNbI&S9DKn=228pHmp$VXe&4rrisxbX5 zhObcJJ6+jQ|0}rY!j!m|TP86RXPUM77}qK$r(R~9oAdZjBhMj2?oCVH%)PjaLSRw; zBr=C^a*nG&xh$fSiUs5y^qAG~VXyQAd=F$Mxy~d!5XEedjid+uPk_X(S}Sx$u+kcS ze_Wsx)%K+NmrZHy<65;3zQBPgqF6};q`1dJLhhpFdGP?}?zA?y%Vt=hwLzQ6Sw%l0 zv`w`$WSbXGniuN(z#)7=9cvJa2$aP^-7Ma%3?6U!?89m5bzaSr1GP_Fsk&q^%E@i; zZb<@@nPFP}OI zCm6O&BBj0jAy^UC#Lj;>$-7ZcEY151qhcKdFn1olU;4UFi=F^X`o$aq>M% zm(4}%+0zcCE4JM|0P?0K(hm##KN?rDv8hL6s8CL5v!qf17{^cvKP8?C&As7{<413a zW;sW1TcrZ@N~eUMEi!)!J!2Jj2cw?MTErLDh!Wpe4@^n?i$}VIxa0=ri}*6_Y!V54 z1NQ^t#a~SaD2X>9`0fNST(}}d>}n9tpMQex^!L12(%4q-nf^Emx8jb0DIPURKePwd z8Vl{a;wC6W!GysEw`z+MFo}m0Bck ze95)=M~4AF;HB_d^dllLoumuf8FgnE?St9uPFT}mOPs6^eZKY|nkEcpL^Yy-2 zmXIIkYs4FJ=l6uiZNB&Q2q3THwW4o|dH%9daZ9U}rngO0E7EnN6cpY`Rjpgc=UIqe z(k!=aT#^*V$(|K-FX=6pR$I!&n7Ml`xAaullAPO$WTIc=2z9l8ZZ9)o{APL%nsbS* zF}=OD1f|r*XJS(C1+*bQtxb7Sr;2()?{|ev8tdGl&rw4y<>cI=JOBAmL6h3;g57tH zk!lV8KtSxC>oZL2Ib8lgOX0?3uDFN%i^B0$^7YafY|mBu1l6Enn{QX@!{@}~!sl<% zoqu!=-=|Y3*}_?NNe#Ax@`>pL67V{!=UeAaG61+@U2u&5@iMpIQCy5{xr?X$GHXPY ziA)jVnMxxWYGJiR3O z=g*Kf7ox9T1A1&NR5>A!3gTjfyZAMPTVJdXiT?-6L- z2w-hsH}DZ<;5PNNj`%q2tBM`zlqmHM**C(3C*0Y9=-UNgt?0iHO$S4ftbMK`W{Pv> zV(vuxWH$vXE?6Q!X{2_{xpm~B0^?1wYeZO`eLF1IGo-tzwis^<6=`PJ|7`LuL(%uR z2f4n9SmAoqmPaEjpBtcg(?hSC@%+E-0RLx;c!ZAW14}E4#uIt2p%b6aK# zXQIrv@Y5d*;qNxnUaUv!Ne>Krmtt9{??^;%)B_>FCq1GK!i*Q_9p!-z#!yttE8@W$ z^Z*Rz!sVU_8*kCqY$09D!a=N!Xdt1a?(lZFnxWdL!e20&|_Q(~pndr#xhN@eie={6XbMVNO;HYD1 zzmb`55p22K^uu#0qugRJ9w3TqvGs<}WETRf>3A(birANr{| z_#n2{DP*Nvq}J;%;<&#Jy_iiL(n*e5oyp-JHs6!8OHXulsww#Wo+TfP z%I_>m)H^GFI4Y(o))jTDVj9bX>aV66wB-bd;t$=v+nUlNbu@$g5Cp3TeV$&dc6{xs zv|-F?;2dHqFnWH#^J9x_%8fB@QR0Ws`<(fMdnE`8J;~l zzDkP`MFlA2x5uv7)ud!%Wp2usy!Blef#RkMoaTNgDsfcBU4(dMk&8Ru7uAFj<7~t7 z>X`Jkf;OYsnGuY`Y0EiDMoZ#vhj*96j!=83R=4hbnW7Y>&J}3 zv-|~?1254W8Mk*8`=&*3%aMF<#j+V5+Yq|mg`S$nXgAH@Tc8Aopv=Ly$ZtBGc)NRR zg_ew1yD6sXVJKu{`#MFH^XlgeJG_k5qbltAwevT*)fVLjj#xUFVQ(|Lm7W>&d9hpL z-*N9)ORh$r)n#ki?D(_VJ^=kH`v}|ys&TT+lvL;H_>_kVFV!#Wit3cRD0WXS)oF|v zy83scF3g6RRqh@Dz*5xuAVLG(bu>rs720hvcnzVH!@fq|-r%o;&9xbr33vF|*&{MKt2;62T{%;cDjVhtKPwaF?eSn% z(mrd0m0hCnR^Ty%qVMPXd;c1DqnqSR(ILmrC|#xA_HVnIC}&F4(}jv<$?`f~^U0G) zfnMaT)1P2^)$DA%WZDcm#ipzYT>LWiss-97@A7&*8+DtzXEV#X4Q(_V+kh&F+KR2E z6(u@tK$kj|8e*7CE6>Ui9Y^*;&7?}>g7(ST#aYeX(?qJ#FFS#`$e^m%0F$|c*v5&| z8kw51c{;X}+(BPj!^&Tp?KWX9b!&ycv3T(Iy;arw5q|ubleNXJ-BhZrW=y#ry{4@m zbt%ZIb=MeYcXOo8&!=m|U685MVcf@i#f`)JIeh@Bu#@v;(Gle9auML)P4E2m2$=aL z#mDrXhkL2+a!2dqs6qqx&bv;lrl7f^#HB<%#3na)qQ=Cdi)}?It2~1>aCtR}@k1K_ zbwZ@Iy?vf01;Ob`rm<4RPVIWIMy1k#aS5U1>iRsEz;Q?3?fk;m!}#y~y7mP_8WYT3 z<()(&sG=Dm!;&I9R%_{Cq{`6G%YLp@gSK^?Cpy+wvvOJ6CWWUmOrvpm#YPQbLZ?n? zobz;@4}=8W23_J4Eme52KWbAF6^VtiQHF@vNYUO#BY!JWtyd-aug0h8_6UBVI}#I< zCRU?d>FB}+(1Z{>YEiebU}c=nosu(TltOS3@H>as|iO0o7^@A71* zO|2JrJanxVm#^1{N!CP^U+bPO8>>^TR;^%C!oVSu{G`%YALLtye-4`!(Rt=omVhF1 zw$;bBkn4!WQ&~M^=FvH8w|LWdU{+~h2UyBpC%z!Y6NF9yMiDZ3~C zb>$W5j+MpiQofg-dDPx(K{UW#K9WL0fXP6Ov_0u1FZ|o(J&f%L_iM87ZJo5?SPu2n z{6os|Glr_Nev;??{ouIU>eb!yvz+(W7Za9QY+?U~4L08s^S_Malwj?mayl<*09$JP zg7xmIo6D)6qn%)e+qHR=pLRr;`SfDRUE(`YrJC zwvzU{sT42~et3VX8@m2P8FJL_82xl|XMabqDxFCYPzSc0OUnce7oXNlo0r-zuaB<` z4ck5Uof|GDxIR*bB$rN-ZA$I5zV-IM74sDF)aBN!=@ip>U93Gg)|t+-F+T5SAe@Mf zo%u#kYFm=1<}ABtX??X1;4n~}TeGtb>CE3bWnBsDl-Q7asXk(v zP_B7%og?&g+%r?(Eq@ss=Q*=$+h9@4(B01x%JJU@vTse(Iv zs(>ao|jb2=Tn)17?hyY$ZvJ1uBfyYyxo7s&E> zHF!*>xXEHk(>)ZbCiFJ&j??09z-UHn|_^h%N!zitA1vXzCT<=%#*+2w<^tU015QY-8EAx38^DU*P)7 zkXTxx*FG@SUL%+16`3Y`y-t?NaM>1mzm8QNPhZMVBcPi2cTcs3mKXHVdu0jhi?Duv*{9rhwd9%7v@w_LQ%Bn;Xxs_6P4<@^+uuym=VBY1ZiytTdAloNYEw$$o%_44>~+SIORX-}=+L zH&ovF)9xiNCrTwaKI$6-ntZ$cSnK2E0}TB;{0?h;>6-$4p9mXn6+8Sn-mp>fYgy&5 zFY&O=Uf$j#n?Eil^Bq6_tXOuP=2!a~eh5c@Y;ZHapDqaszH{onERVfBOJ2gm*D%^z zxcLug1Pmz(bPwDZUvSse4n8+e#XkrJ_|*S{ZOEq zEv1sNqz!$c6uJsQZ&CpIfE`?PzsN#%!YUd~TtG^WnEa^QH}piCbMXUg^h~3t6J}-d zjwxdiuY%ug%Y@MVq+)0iko(-Ps>CEANRrOtLuCV@1j~Vgy$)Jfp!7o+sW;(x8gsGo)lmviF_(h%ro&TM`#H(Yml;BE z8{j?vv;lshA9ll>NW*0yz#DjPKW*@%@C~EERJ0*K@V*f3eE1gucHtXb!>(upVele) zAwL8No*0BG#LF!9VmPgUFw=z!Dnvtuy`N0xa+p4ECfw+}5(72~T6H7Npg^2A6N7?& z@&7C&fCiZogL;2$hs_0fyZ$i&Cp%@(FmhEc);{RT_ zy*@iRM+|Zm@}Uv)VXz7z&J~1zK@PL>2neu?F7f z|9Vpf-V^@HMKAP2Y#=8Fb%y{ffcNs#*TkT=Uzj2dj)59TGw*~}C1~fHLcDZhJ{S%C zq78<@05M=Vr!kLYxK(^0Kn-}$WHp64aC zpRyt0UK_el1F7PiG|ecRu5MuBJN8p?USqB(~Letqrzq2K#Mfj=X3(Fy;MkcjNU zGtR}_EAT!2L(PM>C|VoU*%hls+iL^}qdO(hl|94uL;HFRtG-cNqs0@+pO8XtcV3Y+ zWn>DXU?+MJ)}?>PLQJ-nP_T}dWoPV&H2|`5H*j%sF2g%c^HlIT62SE4@#2R(IH~f+ zPm`zK!BJ<|j*mpakxrJwlOFB@2uY{h#2OD(5}{VT%I^!Vt}@cW-;U2lg5Eu~;@5`> z0H*SXgCzh{A2GFfE(d6IVzJ5Q<=EHs_S&21q28|>ASX(I#tMEs8naSpPc1pKr#Okv zZ6e9NEO4ixwPqRYK<-a3QWodb_kwbnrhiC{HDgNo%}xG;rm9>&p42qER+pcI)P;v7 z7+9s87>$`Y<7A~3ze+9UxW?&ZkU%tbk~kR`Q`Q_2_VYW17(thfp$6!pPPr#4KW5x(JEr4?COYj84Nd7M4(_ zA?~}rD#L?~(#(7Yx$Fu*S(`&R%>8`M!oM>_bUQ1im)B&UKOio?94brSp1Ga>46c3f z%r?c3ZJFNUL8QHucA5Co5YXEayK=pt!~K~WY5&FPy?-WR=(>rkvMhyYi!`1<(~Fj! z6y6tB0_6Ke1EXs3^=rv3xw}78Dksp1tyt|p9gU4~@KZ;6zvcyW%y@4VyaWO~>xq(Y z8ELTMu#5j@dJkqjlI?=r?BmKjR- za1Pa7a-5gZZZ}W`lup83?QHBHhvalB63zk9HnV!LMVD(~2Y2*a0qZnP}qI z>5~54wwoEENJnN)@`RUNPUHajuI&FlU21?6Y0-FX54e;hli2LJ5^Jpbf$zGMSMAc8 z6GsCVKpaoS53O{9%syHs6f2>PIVfGF%)gPRSL7YXh^{WLZpyA6yKun<@`yB+HdDcC z|7Jhs-2CnBh@S_YQYPpdeXUTr8Y5YSf*}5x{CxHt6p=MkqyhPU3Xwu+%Ym$1VI&#F zjL5g-BT9$4@9F^JNZj@Wu`qq9!Y&4~u(n8na~WxT5eBju=i>8k>k<>v`jJgwrN{&2 zG8K~>ezigZezi7SRck}JhO~;h3@ZR?>TGp~#DBp>iZc1&k84OSyTO zg0b?p-#KG2ysGYs+%v^_29e1*5i$#r`)(TY=CzY*e=Ew@TC>I~Qq?r7i)Xu%#_m(q zK$!K6Q@Io6x~+g5`xR6 ztTFYC(TcV|FwkCIEc7?*uw6*pVpTOO56O7<%y{=Oc=yzVlJIVE%V3m>v(ukX3~T}) zfotASVyqwLUx5_n$pn9K68668n`67gs*m8Ei`3L3Y$snim%?a=ZIT)X;nPUkj8GDg zlce(^!9^#`ec680YO-PCQuCv34c3=ifS74}QbaN5l@qFt4`(o!E(-(rYLN%FyszVT>@)q3E4pcweKH4nJ!7jlj3tkek3)I7<~eagZG6NX zVa3jnsoHOmPz<(Y;Y#1D2(IoRb}cvR{L%Erfu18MPpt&ke+aID1lNeXd+C4?$1l?N zxdZ^>6r;MeFM&>Ld%UHdeMB7ia4~O2J4DpfE{jshUQP)AZUXD5{D1^5WdtrQ1lJ-$ zTzE0}4@f96ixHnLa|A;Dlo(-Z3e?=9VL>1~p6&ghi>>_e3B63jR z{NaDorLTn1HH&IMxzKz%VA*jtA*e!`7Ay}DK=c<7*WE?0V`6I^2hMtsf^y6Z&WCsA5wP@ZxLuAzw@!+2M~{M*v) z3BMt>TfgG3+{L40qdw(-jr;CLyvf`k;wL{^D_xrR1;IAntM{EB;xWv9@t)!xqG_nd7L#mQHDDk(K{Do|jRVUHUu^0~jazbiQfLju6ot>5*hh^e)A_`t}3QZ+)63F790 zIq;%piYV^EN07rMx9D zPe_Lpi5+It;-0qMaOCT+nEVz=g?pL6Jr^|sWn3paNVE5~J;6g-YQuN*<^$rIWI4zJAC99uY|H#tTZU^2k9biQqcVGgM4%0TuC1vzIf}JC6N+6DSyMKuIXmWWhN9$ho=IN{a6b4Qz_#o*Ax0<+^T*U-u;&`{ zORtUvEi|Rapc`KwIBY9A)0u@${sdxp{_lJ`bEH|0G&?ae)Bw0dFPIEF>_9!;@zYzb zu-E(T*QgGxjh?#z7iSooxjqYbo$>g=c=J_S@v;+48J2b}Y$*0`&wc-=tr3+q0+vNV zfw1<-GZPd@zqMdG z*JC;8KVP&t|M)Jpn4Ce8ZE&WPy?cf({@Pbbm8zvi2g2@@a0%??c!r{mSG+#}%;WzmoVMr3&R_;}5b687G4IN@gG+CdOAxD7)(Q%@QLFd752$Wc~9 zhP1>XmBH;kSvA;@eaex%_s<%4I|ga*GsLk3%j|nT_(!b9&N{KREJAFF-vJ|)=Lxt( zYt+Li3R6zCPm|f+GHYfsdZgqvnctRVBHMp({VdwG(<`fsb6k~83bpQRK;f!m+sdjj z&0^Dd7+$0tHag;uU zF}kFKt_j(jx?Xmqt|Hd!qd~7Xx}YLv8Myt}x+INWP+ykSX+Ri%CBAocZXsK17b|JS zQqxSbVJ!aY#V2Ar&Km?=kDXy_C5AcB2eWf`WpqQ6I7rW@cr~kkj>IDGV-R5WQNMpW zQ}Unl|KDa@BAsMG>p&}&3pOD(`^&$g0_4QoxhC@Ij9vIe^0Jfj#jy8LAHzzi;U5A-P3Tupk&$P;JYBzF z<$e$*y%eZXt|?}{`I8VcNBoqT4*8rL_eH-F%RuhrLz&p#Ga{Sd8%`Ec54<8|nE3_R zCKWE+x^-X}rmmq7(Xsxkuk#)#D}?uAKywyh`)~d30eJTqgpwNHQiOpP&5ECy3K1|3 zv~qaj7V^yUpAg!7jDp`aUOPliY8botI&k3mP~7ADsS<;Bo`({&8*!tgmu*>%f%MOR zH6itrz=>oJxkrC|H85WbT@VHmmr@_I9>sZudBPJM+c88gE$C-$(^F_BZVN)AjQ43uWgy}U# zvxh^w^Pn+6SOv5f(y{|!DI}4jPPj4`bB!RDkUz!MrS{sQt-hU}@F71#+CA-zM=hkM zRuT~KSEkkC;$4ntzLyncFuH|c<2|y~)j?JdU=@3vEOZ|5&ge9EwMv`9Ds;XhAt~th zzUkP5J<;Tv+Q&UzN4s%R1n^Umwq2ma!03ji5o;C^uV2w_&2Rm`REy^e`Ivgof>X6E z%A~$uBM4cU>Y)(w7X`F<6f?Tr&!?y1u>C+I~FA!#Y#0;Jkk5#Cfie@U@m=UBb-;Cpsp8PISwrT z`QvjoiX4Hcg-$?qeRec^{M>ZoXd&e0vJQ<8n(wbL_y$C*{=o@3Ro9%R(8O*~~S5$cca)gl?*8jp$mP}F) zQkhZ@5~E~XD=cvtI43-~nPSQPvJ}rN*iiM53~K5)N`SJE`9m?EP2AZ;W2zt**g8F%BvAaDF#} zeS(H*Y49<#$KDz>;`@vUh2}#%(u->pQ|0lxr5DGa6c|I2&q(4qojh;xf(C7sa{|O- zIGa4()Gr8yhIy58c#Hovlf)7;?*@XieDMGN*bL>)U_N|hV_t-u{9X>GXjL44{-Xis zmB3x}<8&!zto->R+1p4zI~AY&l9^g@-yR%o-g#zgO90 za~E$Ps%x&lpbSh~bMD5!s^Ge*5SQCDZgU@*vfo8~IL!6$(=~pERi~p9t3uzCFG+$k zMjdrnG@i{Im^h5CpwrO@hHQ|@HqrlmN|xs;(`3%U^aQc^Cnu6~^Y#N30^;Mt^GmU& ztsRCsMi=RnioyO{6-=EHl?vK%a^Kn6*+oijrnv=HIdP0kP_T565h)oJF*N*;m=KUy zAQ(Q4VuTQrTsasXLCmCQ7!_GiYJLkUHRCbl3Yd5KZ*9c^(H@?&)%9WHX5Dq_Wn&@= zVMY_K?)ahFa88JoSOuJsKTmJi{I@h;s&bTP;@@M~URPj#}N-zn_lM`r|iu z%R}}KKYznCET(?f*m-6VowK#+Y2)?3xqe*ONY1ylB#?jjF42Z9M>u8L)z(0+B}D)N2W@T;83z3@bukw|{1Y#sg(_001@ z;!a9i=5Dk#x>*J7L@t#U8Qu5$^oM4<_8mgx^Ep1I`!09Jm8oCwA#T&MW5yRbfn@GQokupV|7+ zLf`w#Oha;mmR`-b_5hlniIn8+ujD)RLXOBfBn5RvStu%K_1LTylhz}JyB?&}v*#24 zWnTjjy5N9N{=+vE(9*WH#MkVcHwTElMBA`yzYU;KY7)pm3xVE`a7f?zY6u)3St3Io#GEBxEaua%g&RiR z#)|YsTt}(#vzFm0Bg71%Ouq5X=>&~3BHcy=ZcX^_Un% z-}et*y$PIDGv5>%t5;C}DzlfK%fs+>4i4PLa01-=bo&?6X+D8E^h`Q-HV~N$aZm`A z(W0E_b6z@Eaf3#w8;p^2NC=H{1c|+ySPsYywvikms(_^}F8$%Tj>fv1k%>Xj`ir;d zM^RhRE2swYuIao*na%N2u={2uw#oBz*Di??IvZt1ryLaGO6&UmKuaTZLi?$V>ZJhS z){jqEd*$N~A-gP*xjhU5^Ev#WdZzG$1}u%F4pZ0Xl5$gV zQMsrGwVfzbIq1n&#^^=Li*q4^%y`eBJ25~i>@lURpIw!JO&2b28)d}t|@Nc3A0Eas*a;J^4pU^u*?v?qU(0eUjgMMFl{Fd zje*J%Hr?sw4R^3dUhg&(O@(lfesFr^k-!>2+8Pyp{B0s;k$RDNPOlVYaq}1 zno4iO2R*^glON@wi~JqDkBW8wT4eIHu;dw?wRqrjCW{i{T|IN}z)~9J^={I|XD4!X zpWUGugb)IM<|gs3JufsDAmF;+X7*000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JrZ00000002q^00000 z0027y00000001Ec00000004La>{i`x8$}R5QxH(Tk*EaXVIB}lBVfznR(j*gHErJNpxl z<2d*5IE{w$K8UB3Ovi~Vd2Mwx-oeUi2>nree#acouK@TApU(lvH)$%$=_&~GjMI1v zuiqfd&vh6;FqU%@7leru1fn)f=M(T4jJXH8z}%H5aUkia&~cur9eTR6HIzlJ zw)ThJp*PqHiX`01jV_G-C1S0!53M4P))>I~bG^)*o4A~p1F4jl$hCR-3B;_mEuqrZ zi&RaxQtVhME-kcC_RF^*z6k=LM<$^rDla3?OsI34-1bgqduO{#9k+egZvPl$h{IAi zC;CaG(k{OXVwGF|7RV?snu$D-3H37_>**!iS$t2VaWX4-ZYBfo=R2mT;I+s*dv#YP)O%fAQW+mf~m?7^;#gh@0#5s8_3z!pDNk`&sGrXWv? zI1!u;R*Iu}6h#*>KVq$)3A8;bL@AO44lfc*WFd{>R6a6NX`Z3Ake7*M`bw4*nv(f9 zv~fxu%{15NUoUjAj0iN9NK+WB*?XS752q(zQbc7qf)*wG7F}ZN2HJCCBU2jZI=cQ zo-%~vob#_T#?sSC?`@=z0#IkSzRfHwp@u~2eQ=k~(C8`UL7E5in|338SH z1}nvV?9%&+FRHUV7-L6Z20RRC1|9Ao7U|?k6U|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDcW`+wu z(k>=YlMzTu0x?KYaHy}ZV^Cy#h@+E_YrMacm#Z^G3nx$kE5i*SDP*|D45UQ_s>RjU z$<@Wh)di}Wk&QtBC=O1)000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JrZ00000002t_00000 z0027y00000001Hd00000004La>{i`x8$}R5^C8MNR00Xa!#p6ANZ2_$jgm$@^y)Y% zAttTQtx#Xk`fks;_P%<%8{)q3e*-1_=GKnSZX8I&0}rfpH#>VfznR(j*gHQzKmQYt z<2d*5IE{w$E{La;Oh<_nree#acouK@TIpDzH&H)$%$$ubD@jMI1n zuiqfd&rKLWFqU%hG>LbtZ^RD{%3{2xqyk_bv6aHWL*U!TqItSbQkeMunmLcuOiBx>@mxPHE1fn)f7ZdOpjJXdw$J~>raUkiq&~curU3$8^F_1;B zHVy_m1Fydk6iK*|8(kRvbHrMwA6i8otuTP|=VqBXGjTC5`%)<}mMin}V~AO6TSBF+ z7pWR^rP#4lTv}+O?3Zsqd=msfk4!>MR9;4&8B=GA+|Aw1&E3u>b=>w{yZs}O0S-&y zoajf9O1u0Hh*fU+&q0QH(M;s2OsJRXSWm9l&f@zbjgx7?b2I6CKW)3N>svtHVS{A( zPe6JP``hi#j(^nMxzlWK%{SUGTK*P@Z%f)Pum^iG5+>2~L?mYF0bBf7Nm6J#nu0tn z;zV#ZSSgO?aTHy`{D8GyCeZe%5T!^GI6O}*k%csjQ~Ah9rFn+dLS814$xB&MXiDZ^ z)5a-vJk?yEe>K;|G9u7aB28hiW&ipMJF$bFw_S;EEFSJ)TF`4*3bj7s^!$Sjyp9+5tgR{(PYv|Z{y zc*+otbHTrSRqDR3$%l~eWq{Zr zsYkK4kP9chz;OHG)LMON^%&RZUqiBc$yt-Qmce%bGwYf(6eE*}l7{k3R~?krT3Scz zw2#0JMLCw5>Xo+O$^z4=!w$qRbU)5;XU{;((M>>)>YxwgnFwVNry`*>POGE|D^sD@ z>9}gfGhHY04BdIXO3rKf!0BDrQioNVTY(5et{3dX;Jk6GS$(>Ol~o`?ZZb__T*_eH zO*qDn3yV{qe}|=uK>4za;r9~=A~M$RnZN23XUmm%EoT?t1s(SGdR_8|-ov9M_-7)$ z0sKPf1k&Ah8eG(eBAx2FKhcu9C^G7_+?hAeM!|nYUA_A1b8avEyHQ+;MS08t>!=ZOjbuw;bWCh*_anEpQ8n2hu%}!E%Kpf=a6d&Xo;2#tM5@BEhVrGU5 zK+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<=WS0V~4|ASqnvsn`04Ni?O1)000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JrZ00000002t_00000 z0027y00000001Hd00000004La>{i`x8$}R5^C7;WR00Xa!#p6ANZ2{Mfus=+y*N%v zh)L^nE7TXXzT0!Iy|3QxhPW^M-#`h!xwYf78wV2czymAY&CcG=Z)SEr_Ri1G&;P{Z zILo*AVa}x#-jOE;e=G&k(%~I<)8>=_4`q1OLubKMFg#Q=*_4BZY&H?%i z(|LdnWF||YWk~yRA{C$fC1K(Ofv64B#RNPCWA1~_G56$Y97sAYbev~uho0uqcxta96pSE1r^(`RputBo? zEs)-W{#LuQ?H_fwH=FHm<{NDoE&n!%Z%f)Pum^iG5+>2~SR`iZ0bBe?Nm6J#nu0tn z;zV#ZSSgO?aTHy`{D8GyCeZe%5T!^GI6O}*k%csjQ~A(HrFn+dLS814$qQLhXiDZ^ z(Z(rtJk?yEe=*m^G9u7aB28hiW&ipMJF$bFw_S;ECvSJ)TF`5KRfj7s_3$Sjyp9+5tgR{(PYv|Z}o zf5H%sbHTrOS@ECay%(RxAwVi~=h&lZR&h&cSDT&MlDR3$p?_|Wq{Zr zsYkK4kP9chz;OHG)LMON^%&RZUqZ5b$yt-Qmcg5VnRQJXijhe~Nke(2s}4$QEv=(< z+DBlAq8v+2^-5cCWr69`VF%(D+Kn^Z*)z~`bQ92{I_Q0QCPEp+sYqyz(<*7g%2eoe zIjnETIB(o)R-dk6Wfe$}n@m#}mok`l z6OQqt!s68D-(l$@P`)f<`284yh>Z1n=C3-%*>WXb%h?5ZL5ID)UYGo#_uyy={;5cB z0KX7AfpoW>1{d|ANT+&sCt6Y$MMj;LJM-r0DEP0at5;ur&h3SNH>yjFmWB;v6XZJo z4OWW#*p>GcUtrVU6DzUu>f=s!IP?yxPR5OltiXF9?iudVYS^`RLAob0c&1EBcIkZ( z-qya`+1%Kpf=a6d&Xo;2#tM5@BEhVrGU5 zK+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<=WS0V~4|ASqnvsn`04Ni?c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*C9_00000003PC00000 z0027y00000001=w00000004La>{i`x8$}R5^C8MNMFI)L!#p6ANW?x{fkY7xbKg#N6}Kd{F94FGrX^CbZJCQU^-Sq5RAaT>4T z^E-rj-i84LV>$Pr`D4(UW~p_Yjny02ebDs>e5yV^0n>OLpPBl~g#S1G_4}}w&H?%i z%XxtIWhP6ZWk`E*A{F2K6=C88fv64B}$Lz_oIFNK)=s3^R4tYDRhf0>J z^;l%G)yo5u%1o=)eyk77sI`BxebODYf+7i9xzUBuzeH@y^oM4VM=Q+Yth!yk&J177 z)`3(?jOEH~{Sac-+NMxx^I59KTt9X!m7EsZDErG-AifC#phqU54b)>sdvZkWZ^?Cc z+V0Ng7IoZ?Yma{ba)K*VxIOw&q|$D`1!9$3{!@@)UTh@tOeWONbgU=W9B1)8k;cii z4RDJYbbs1%UDvmOe8Ps#@*jcp?+>=xoo)Zf+uq!0e=|R5gKPOaAigbhyTKmxWF$5)jx)C0B{i9ia?NK=rfMVtuE5i7;fJdUC(m>;m$&jgww6`~YL0*B}6CbE!*aVj4e zsWh+9aHyM!Wb#~=6q=Ixm$Y$89Zxma*I&$av5W{bm9ofTux10Uux`O_&H@i|C4$ZI zOY`uRGMpb?k_S&o<)%dCM0p8=vnU=L)F#7(RY_Up9J%i^Elarg@(%mrIA3F0$f%Uh zjLd=w#$r#%FAD9W+aRIjuJR~DE~9ri^0O1p7}mwX0Vj&1{bR0lnf z=OUCroQj0jIIWTmSeXjFPRCUaK*Y?-z{J2zB;syuXGX-r%0k4%!N|gSq$)$CX>LL!Zf5QFQ?j1~Nkgn>!}N{N_0~r;jT*c9Nx-(*VQGVpuiv62Oild2 zQ00fkVn@kr3I{Mn{KVu7nQ_FAK-qc;qm+?HG9JErFKY8HxLIAxEN^xKH+uVA%gVZ{ zsyhF-Sz55+#C<{bX1~ zuw)mz!=>eRtAB#Erbjx+4Jv#t8dwQsmnrg^&v@1JL)yJBf1=f^w4vag%||v>k#qKJ ztKqpf)MLRc%M6Bx?A(IrI?qq*Rm$_7fwy|umESD(tjq5QO^ioC{hyrL)1pWI6@^^ky_CH{|R@>Wtw z@y{h>ZdVIolr6QlP$rNpjsx5Mua@86^yrU$A?cb+!YjH4!IO$H$=Mu0K8e7n4YPGUpjJbw<8rpj#Ga*_($8Yhd}-_#Q`e*bv1@aY4~fXC8W4kR zhz67jeD&PNQa@Z$UnkyqGDNV1*_ubmz+7seU-@fszSLj-?MiFibW&}GS_;;Oz}2?& zq@t_#fyEnc(LOh`?&0$1X5On_TKd)q^bzDr80cG13PQO5<0Ir^U~_mKZNHKndGakK zIILNkSR++@w?e#)Xg?ndiG{QMWF+G+jlCk(O}JtVL(hUYkpGs6vN%b#ziEnEi;&hE z_}blMEp@#xtFLTxzQPvmC_r}WXd-4b^c_`;|JdpVIl?hgI7&LUSrZ% zADUlgvbD{qEgvYLTG&_O#`$9h&x+2@g;s)tN24jax5}ie93rY*IZ)uj4rd4N`u|T2 z&9Bba{a0#bU#SB}&+@g`DHrWI?0=WiXds-~5>hQGuHw3eWoaNgSFrgphUF+}%u0R$ zEAX)>AY^PcMjSlLzW5V7e+TXvM?O3YeU!uPhU^U?3u5*43E@Gwwqo}w63s&2EJO-| z^vw}}1$-b#ejt5(;oZIO`_KjCNLf1bgY+R1&q9By4O`o}1m?uPz&i2cuQ48UVyvwl z_`uYz9lS~DaW{ODAG)?1#L?a@u9Mb+-W{0G;N}W#h{jbGwgns=irjcTu4<{Poj6;xGRX zEsR!s33G4I0A1vx>k$(_7e?40q5A05AA-?)KKB7(~A-Gv_3@3Q49?5iS zJHTi@*7WxvKetKx!3N9;`*GIVk%Cbki3Kp%_J#2{h9mv`zB(1whG0TYc!XqzHZJsp zJxr_y*yI6Q2b(=Jxkz@4_(+24xodRZS}X2!KjpY`7&c#4o37@#&fea`dj4$>q#kP@ z_ugvFYw}+E_dxs`M{DLck`fKg>_yHK7UgfU=-1`I?t4uz5=$li7dKHncKU}Y!E%6) ziSX$LuZIIK#F{-X^C?ET){bM~cpLBtgx)vC+T6b6pp@I|m;_VZ^tnsXxb9bU;2n)H z?vvUQ)vA_5;_e=zw|mdN4W()Yvl2g=Slw7fpdgYEmsmIpzT^HxW;r-9$WAAx&+qN2P|2&EF`8kSi$ zSvQ!2&=SO1O~gAN?(5xXU6!l^IwNB}XhuWo1f?@lthk;y+u4jcOiu@27^*y>O({P; zPtjRYPXU|8@g6Yh|1fQyy!%uJdw(! zah=JZBnp<#*T^R4GT9>y-+MwDwM~hvR{Dj%ATm1CB~@AHTit(Nk~w9Hk?V8iH)+GGO5!Pn|#7xw3xbCHf z5BV&-F~_ai=Y10R-j$ODE`hd_)>7gNTTu15`O&m7fZ<{6uUz!$8V24F+ z{Z~n%F@u$ zbbV=IKBKo^U&-?!HgJ+!n5T&Bk!26txm~4P=#Y^r9mm!_b@(Q37Td<*M2i8CDx@|f z%wsc_X?L)z+|Ex=18Wa?btP(?Kenl}jjwHmD&-XI2-K4iHHluUUbMe{cgcU~(kQ-8 z1tbb@)e+8peoRm~jJYyHfFvK@*{zqj>N7LNICEo4SXs|YwJf|ay5~*6x~~U3nj&8e z0@ZSD1oxlQTMqzC!fWv=Ys(rgu4amgw&ZvmE*dqes){&yFkBHLa?mkHFU1C<2S;0Q zSLqQ-{nc4!-3acr=fv}-MJ=*CMU1fUi-VE3=+(_bttE`o7($#i5jzqKFrmD(v;c0E13!*gzE{lRRk3%B=a#?1W=-hy}*`PE&z!sQQrohBh%A%GW zhi~riQCk;$31^%@u;7quN)!!)#2fFi_#eVlixDLJsYqN10=67!WYW)+Q&n|aG7?Zx za4T7uTdg&l~O`%UvoZgmE+{wJ)#@Qh58x&>QS z_9V8Nso_cv+6j+%$Wc=E^EmGCeBQOXKMXGY`9L!dJ5#pBV=}zu5-UNJk9urzt*{sX04szd!*eh`T%nFFa@f)Z(v;}v10SIkn2H4U!hGbOO91@Ka2lLb- zk(3yY%2`Zm6?hy86sZ_ZBZG?sK{QP~5I>X5znav~v34_K(2FXRGg6I-p!7ZgxSzp( zd_Sp|;gOIvci@;!v>_lUsxaCeDV*DmLLLtzx@*38Xg+ypFoZeOU3Z!;SlK>UiBFDw zAlA0Ry}OX{T@_5WoSy|1o__I9p|p5RO0WMw7P-17adHoTVqei+6)Rh7-LGxwaRzW1 z=ouu;<89!lYIHk`^sr@^JXWkfg)PFxQ{k?#%e_4D(bo8=qVlJbwAvn83x=atOan(S zl0kYJH=^O_%0%gR>z~@yALsze-M^Om8n|%~mN`|EakX8V7EbX3r`xWKm6=8UtFB6w zE^s{OjLu|Q0`_=A9wdyTS}XMpvNCz{#lNJp6N>1&o_!o}6~HwWmBa?xb8xQnw@3;Y z+|$nT0LO(L_J?&wlnd^KJz-WJNM9?^r!K|1!0GN*-D2ovQL`)$uT{yEnS+sp4_;jr zlybl!CM`+^Q(Z{|A8x40WG?K6MV7%j&{&lcvRce9QW+0cn;7h{jic12gluf)lNq7g zMubel{uo=PKu^R&PcR?VVlKjZvZx~DlA=V8%;FVUZSAhC zTW-vVxJ|aRm4)4_u+49}Ir?*|{$jBtX%kGGn~+p3zG>GCoL4CM6ZP`LNr##)@E2q$*>j8cfJx z(Ze=0nWTjqOb{iOJ+>!1TB7lXn25_~16dvVbYUeFGzs-8Khk+~Rn*vZ*yT&&B#=Gc zEpeaA{H_U7=p}AnYRj?VW^eC0TeO(yPQR8hlw6?#{)fKmx)Q&Kjm%Z>GK@#bdK|bm zS4RZm(=y55y)5|W6Ls&LBdt7$(}UR~d(upHqvW8ELop)#KPFH*rv60H{0RoQFabtT z*~cAs@~FlNr1cnpJjeYAZ8Q;c=A9rqOkU@Yf|A!rwkj7uo$HD$9qVX{@JdYlM{GaF z-?Ap>M4e@lcuQFXuDJ-s3&}i6$vp>4FJG&2oK ztCyF}YQ(D@Eox!m92LXgde^D3}78{AN5#h!3?<@q3<@CM0jsYVE-YM!q+ja zLg(a*cK(BBJ=kb+?HSKT!6TRj1RrwY8Nil~WnU}Jevu2qUw`$^8E$0M74jQM6tJB!#3SH|sN%>!ssv#pV9*G&*{btrz@2iGwhdIN zAP3BRw6(oPk?ZS)+;{k`Muui@!aGc@iySq=!Ld1TR4+2JVUNWl*@61^+0|Ixh8tNe ziUhw81n1G12^`<+)KF{B?%F~_pZ*~NeSf2cGB*))C!Lu*oUkug`r6~ITd^vH*~EB* zjjj%XSXdF!eJIbTu!EGGUvRL}m-&mLt&lrSA$GPwY0k3@e~H&+Sh`)$W8v2!5@KUJ zQZd#eW=FHp&N0b4{bv9Rl#I-BLP~Hn&2sPG>HWJnBf%ovmq=OiD0MT&)Y6$kt|L8| za6>lz=$cTD*J{oPh_J876sv7Ki<)+h6>awR0us)2TySk8Y_5Z5_GTIHMQkT$O(skeZ- zJbC%qT^Vu1r$=l{i%>7N4e3(5E67#AK&paus4qwC1Ud zO@SkK2DV&Gl6vN zDknAMibLZij)G);_b}32oQU%}afv0ZyT8r%guJ~V8>Lc-p^H+qbQq*$vI%9u_&w5z z??xZZ&q(^cMfxj>nUSLQe>jemc+m$%v>%C!3Ti|Kyt?rPalu4^`<2A{;tV&kEa~aQ ze{c3W&rpnF=X91`16IazMh*fcO4?evp^fMWs{WqgW= z;m-BjTY`lLrP%81I3{#uZ(jXoEaJ@Y1654SO^I+POj$ch9FUura9Mvt<6XvORuu7d zS|!k*A+gdk$H5|`cnZghCxgi@`a9R{^lWQRNjis)>dNrIzE`IgwXe_>_bCB+1eMTi z9b;^`Ti^zUa?h3!!_o33UAuKmG5S@kCoxbL1G+mqZRPxfXV`?-riB@Ks+N&kOs-^^ zEh?lE9JwE;dRi2=Usj z++>AFp@@@pRN_a**h@CJ+>Bq!qQRhlXz9VJF`=jppS$s@AF&BB-@2po_#Su4bsQGD z+@pYNrYCx5olj5WwUf}*pKzghZ&nSq4r>Ry5Bpo{O~_Iw61$ zsN$QMxB5iX+DN)g&GW%)5WI@1Pav+SezHD>1u~ zY9sioKW3|tI;lx#CD3$l0DLFH6_^=%mFZU7Z+uGb7ZFVuL3g5kGo;`J389TY&90y_ zR~dRCXI2>Um)y2au4s?3r5i_tZS_cp6m2xsVEv;uBmga2v_vZwy&>w{svc_deE&S0 zQnD12;9y~7t8}r+m~dH|Y>5O?+!3YT4(*o!-FpR|a%Iy)s^Y!%ZdFhY-B3vLNH7Ik z5HfaqE$}W53=BKf9MkKFPKpwXMaiH^qBi=)Wo+K|DqGin=6(ZScPUd+fPlSG$uIIF zH0jPsH<}`cJAO^O%7~E{qFJMAL+ zwzn8>t^1(X&?bLo%{!(|44iyt7}%k-*CIYuny({ijk_&ale%hQlZ_k^AyX|9b@>n> z-mmPV#pqHOpTmT}Qc*Xy8pr>j8M}YFY>5kl?sMtYKnU%A6+u>h@3hm)12Htz!xm8! znMY2V$voNd=H7Ll3#oTJf6=q~eEVIKm(OL$jF_Eg6=b<>gRJD-wa6%Wv%ybN(l4J| z)&y9eVOwKpHuO~#ZsP7l?l5$ZGT*|EDoJcr#2a$xdrJ<9BcKnP3SmMDT(YE)tjRc1 z9G@{156Fcb`BCu(TtNqSrh-*POwDBk>Iz*Kl#ci%rqCNtHH)(;-vLHU9xsp^!yLbp z;c7KDaIpM@j4$%r!Fu@zb)m^3=uRh{M`TsqQ2z+(kxJ<$m>o%l} zT@1HfOj(d6bzEn?{l|9&Ju)R2w`1C<5+?va7^HOj(Rm5^|D% z8D8ULmq2rh4Teab!USyrSce$EhLpW{roFQGo8|S3!J@-UItR9y6Mb-jWb({^xSd4Q zq%;}Xwc6?7I9#Xk#B#g(X0chyWjO(d|!4$-BDaf>S_A~Z< zXCaIo2M1L7U65SWZ`5-_;Sq!C`j_Z2n^r5Bsmv}+4$hYsb3Q`icLdPUtB0qeqgC-3 zE~dP@r}funrK3U7sW78qlcd@;EZh1W-C@HA-B=I2pc>)(uljAf5#iX zWkVf=J$u^NHq?+W<}_^NtHq(8v|D00jfpV*HL^7By*g~z?ZWTZi@{LzHsSEUu&h&h z2lr9w%~MkFhkrXsW0#8EQ|=2Rhq2HeKdP6YRx;*GqD9Nj>Pd&l&N+jBo0mNdkwa_E zo~DP;<8(cU`vmg}?m-n)%nwtk@7xl*Yn z>H;+oqEV}3wQ1o=d$M$V6vA2(T>u7yKwaLHihq+5k(F`?;@_cWkD&z!;xoQ%lMU}h zMdJZnsT4s>)rHdiTrpyMZhVaR)`uD6nLWRf^_AdFVTbRPL*6xSo2QRX+S@+Kszh?i zfNY?J&BK*9=k`=MM8i~43iLt%<70kf@9OEhq0SY zy(}!LMdyu@HPD;Hh45CVS{O&6=%BmkOvgsUNJkc%uV;XcO65aPGQ|1_;`8x)qAc`B zR73xe)y?gI;Rgh;tuaxQY$_QMh{d21Tnm zR?O0?rHm@Dc$1kwAY0daC;9mITw4BlD?q?J(sTb+MAvkDQJnv0g*# z#GM2ah}5}DQ`&~7VAxx&-Lmer>l8JL22IL%V+gQ$NvZX<>;$<^Xn$yhzNboat!qf3 zZunEhKtr?2Hl)0POJI7+VMSuG`^zhmSo(9$Vw>Z(QDdfzy_BT->ps$T6`bR1H?Tkh zZl*$Y2VD$}ln&7JjwRgF|->w^MaKkF7_$kEel;SHId z>u|9ec%^Zro=RByDxJUT*Zq147!NgYtt)>cT_{s;T9(!evW++oNPL|dOqjLo+a4wGytX;_Iu-qJ;6K}r$uTQ}~jYEqC8B!Ol}#Dh=Jmww?_ zXt2OQghDW*Ai732*EE zTj4hsa#KUac8SDgq!b{oUMD-ooCF}Usvp!nkcCwHn{?F+aROW zNu?>!XlQ#EVT7E30=C61j)m;_vs;Lzh0|+b0b8O(uFKd8mLRRs){5F#Y}TXMV3{Rm zQ1(5BmaJBv=m1mg6=$@>pBJvo5&l+0QG!4Yyy*`p#cD1@ZgsK$m`Kr@lCFmDAkx}y zt2v9cLMJ~&i5JAFdE+QGaz(*GAsa+g@M86`Pvoei8F{~89 zA8ngdv=Dt~oCE|~mv6z}tZ^nN=eZmuo+GLFqbq@kDcO#x5{r%YENX;O>GI(lx~~+V z?pBV&&mqYjlwJ-8Ny?V}hiRHpnFeAhD?tX;! z4maa@Sy6oFW5*A)7ODN$#xg2mhKi+Mi%~Xw=8pu+Es?pFX+Dd9Ko&Nt;z(QROA(u4 ze8co!Dna+*;Eu2b zfN!5m?$ewe)6-T{r=3>R)(K__8HVEZh{W|K_f*E1^=0*5Iu|v~*G4D}{S#R!owG24 zbYXW=SN3~`?S`Y^nX?&Fz2k0s-MNi!+xJ&YLQ`~|I6hT3J)$)7lh;Fkk~y+SLUzy4 zJ9KQBj8>2}0z&4Z(8KvQ3SOYEIwbu;EB;7}m#9$-{x->+Q)C<(lQ@R?W!mQmh45oa zP9$@IZQ`ORcTMmBojA4*B}x2KRGM6QNdf-b-dc&8OAx|W>|4|G^w0H#i+eK6`Umy1 zP;VZXFAku7KV{GoZn6~3iVm!kdhziN64H+sz5%s1`+-Yws&C$-lNl_Fioy?tl(C`7 zled}r*r{)xNz*7521ki3+Hd_mgS2n{lwYj1(-pXt7mWC(K)x>}+6A{# zgf(A`sqEc?4EXK#brrBM>s8UH?90lg`Y&pZ{>kaIxO#&h4ji@J7fe%FW69@-S ze~>(0`iKCC^`E5Q?XqQ#c0ka0h9jo)AUBECcuDKayEP3)+z|#Z-X({_gy@wQsu1D5 zRF;?o-_MJUsCXAU^e+FUwKb=ikF;Soi^b!ZHKNEiY4>GFMv_9U%c=BY?-|sE9A1!x zpBg+Nl)bBFt<%{ElSi9JV$^KJdnPkN{U)X&=6crIs)V-bIT4BVraJ78SnPsFNQiCL z{0GE}HS3waOJmsHuyq|g=)R+|;5kAJf72da+xxS*!qtcHVpSHjtCBfFb7+W^Tq zKUzu7H)Pl%yl005%^e)w!Ju0SoHf@u0R|xs1WR({Z!TD1{wBMhv`38XN;H5mMU zFL{B0juPWA$a;*Oyw20RxH5ZhGLjB$c2Fc!1R4Qlt`)C1PDc;CVl|GXZGXF}Fz#1` z3TnNNegjCcRo9f8Z`k#4t7qw4!fJN$W2w|=i{*OMs=rD=e^`lti{4M9xInxI-y)Hs zmlT<$ao>Wp>5`XV5e}3P9fcZ}tX1dl_k(6KAh#MaUbm1d2gw3VtGVL>x2v4Er`7?i zThmJNF^)6sAxeOrv2SRpwPwAGVqX^EBg3*v1IR{FU?J=$p0kRV(cSlonuWX;eK~J7 zKJJMy)15P6Fv3&mr!}Vy*;7*_{~FBTeMEC0(-b}z$B<(jVpo-47?#SmFB+oHF>(UQ z_fyoNO|g`1Zlm7t%EbApf!-ruopPXarV=l*e?;`VbQ>%!hkXRPsD@4DN(O5@5C)^H z52tDjg&CH%pjV=+P-Ds2*`U(~S4q;S&A8a9_6;nbH2`W-Ql0)8&07T27Z95LcA%Z- zqV+PZ@GfIlS}KB~z1=m4`Z)ioz0+%Cs>Jg_XJpHqeKbfZm}HcN%TxsYkUSS!QdV7@ z)`%m8RunT_$dlMHplbNFlw1Da%a%({>aSWg@8nDKW|hk~{$eoUjt}gmo*GK{Jg&x6 zBfixssGTy|hNx6yeIj9M-*K;WX2K09-=^?`(^IVok~dey&vt#<5kF-EES4|Z6nPAz zd2$Fa`lC85Y}8_+E4%V3u1( z_X!du1RhgxE$7LWM#0SWVQ~$me_n?#$xbDeBF2P4``hX5jrVo9fl2BIcf)~z)H-Xc zLj&zxB}Zl2tYTG)TMC1;hjkFR3#frI-1TK^|BfInq!e|J#8!=UH*gj!@=9PTVAE8m z2|Wj!EngDq2fQ1q?U_d!XI%~0;Jsra5_|KaC8(-}@>H;G)k+{p@z8s)CuL)+dW%Fe zkiF4qKq$kx-{E2=km?UI1eS5eW^a-cz6S}@2DNp!{_>e>kvhO6rBgAtFHxE=6S?>| zk|35!-=xUyRJ=r#M~VSv{z{7bX0IIqh9sjj9LH<6jN(pbNq_ONG8sVW?{yY#uige* z@R9zWap4;c$wZ&1m@P}>kec(-<)k(3f(zz7h>UU;xFiPyo z(b9Sz)6@tJtpt~&KM*vO8ZJ|?t|nsRNqDdcnRUgg4+&WbN}hZ8iImPbSKs%mRi5x}(_bN54b#{0jyp32n`24Y*1gLYr4nVIF2&75{0AfJ44|kx`S!3a4WQ`VTgj#FC{-tLZH6wBB@jb z8}uY*YZn3U8IoB>f`d^RzrQ5R-~G~rl;ZPOz{K>mG+MzJ>c09+x!6|a-C$(up3U6P~t)y$;%LU{IE4%F@(KXcQs|;JbSe2qx9|X zBLQ?w@*FX84q$KTi-}fxaMy7KqR{5^2&cUIUWOAGIKlQ=J=^9|O4=;OFcxxkBy9D_ zkkMqdHN2I;Qg{mX)Rcc3J%Mbh)7(juHq^Lj=^Q&vm#9sB)KRsy&)D7|v70j!pJJcY z15vfuIaLA!k9k|*lFVum^ws}9oE3- zQp}xeMOPjcm-0mtEDDt*8mRv+Z4h}`C8a44WhvEU_Z2fQ>GyRd4~}_340^h)EF-E! zQZ&l8)JCFR5Q7RKwRsifcvPg!7ugsTj$tLKwJG3(D_Eu_Fzt`aEw63j zL*T{`Z-B>HardmIu4z!W2|J8t$$78j$$cp%l2TFhj_QJWnH*Cik8WsqFaH)#adB9J zk|Ih%y}R+|OC^#66Nc(M^T83Sr3y)$8E)Jb9Ekl@-_q}!`#WGJQ3!h^S>ijIEM);f zg-f!Ni`JuW5mlZdEuR{tmdjaib0{wY=X*Y+K1*H_rG8ro!$R>x&gnb%h#{Y9X9f>~ z`1W{=M3Af@h4Gw`F5`|6bh!Q0z!*T2TR}%X16waQ^O7JO1i}3i0>pt8yJFZMllrf#CxXy0r6zljX3pPP zOBV8=?v9VWMdle#<6)66uKFCCa&YgQvJ-dO`_(=2}o-fT&rIN&(Pl`+spm7ELA0AmDGbrsaWQWy7s zltA*Q_-c!CUx_yN@wai6`)!l7|D2;M?7){B8Akg5{?jjhq+oJbAKA~kD5NWM)kh&q zu_oVgCx+4q$nK{`TEVruYN3#-$kYqql?)K`SS5or%{6PFvavbOd zVjo{qi}6CI1zBcVGp!@nOBen-!A54;&60>YtE1X7sBfm)7i9rv6j(f{1KKA3$~uy= zd4AMxHf^F5|NJmRu>zE7MS%oim1x?ez|@dJZCz+8G=x{CLdFhkMe|+eCb#rz$5Xl) zb#A;bpu@c?=^)>VlhMAd{yCBal_T#r&Q?g5elufU-lF7HE5-V(M1d}~o0AViRwpYD zo;25N;l@Mh<{;T4BGJq?m~!&qo+gAkm1{5nx^KUUHtM74%2=QdoZh{~pcvRBtAL%h?5=k$w>0fswSOz%v_y>N|eNd!fgwgec9=rwswL2i8%8n%)e+0k! zDS>x(pF@Zu3pr5Ow=I89E3N|CDiIY`_Du02mTJaNr9Xvjhss;^b&dZNg-QPO;!*)< zGu<|OPdGbijf1_{fny;;j&!mo4DU3Kyk$6YiVuwec)W}0(To%!d-u%(ZpW0KeXK2f z260B2fQ%XvT;|@IS}93ZHpm7OLEb)V2AF|f-e@>SjuqyAA$m=|!MRCcxmzvbNW~$B zUCC78eI&4;+v4KIWa~1YDR!Gso?|hBJlqi1d_S7iV+lWeJ~$93d$u>k6{bUYpbyT1 z!Kb9oDs>d@-llfB=<5Zg$6^??qP)uiPJf^+PLltTV^YHba&S=TZ^ z*67DDcC>n~qnpr6UhhZz%jxN?^m8t-lO<}Ou!Un>H6hx@KHtCNW|IX>1Hwy$_@M;G zTPrAapm%HGV&66IqLG_@$Nbr{6n5S&`n+b-vo?m;OJL11Hwg?~M=`V$O|Ur$FiYScD@6{sqB>b)2*;}iW6Pe+rCWh)I;Mq4&(eMS*WsYFbLKyP63GGSl=Bw86c+Ze5UM|S5j3ha z>2AmS*w|G^^cPbQxvIGSi_i`9|kcG?apZ7s)1S8CK?DyId;Hnc9=~lKcBf9X$+2! z%8`n;k66K>F}ShN+CC#Y2D^)ohJ^NeM;Ev@_h5m!z>2n^XrmNVc4-j%5ApC1YSTPv z5)7K$QLJNxWRw&i!<0|;T^2Gdl*?rwW&_%~NzCpEzuo?>W*J2^3_ztpf|sqmRF2z+ zoYTB~ObS&)E*WWmH(?EG?vRTdY0os33uvy>d1(Z$ya5QEktM4#rOV_&upoYL2M-#& zikXImf*eTx1hNcN{&ZVSahNUnGTZ>f`<*j9q_hj(5w33~hMs{WKLt+^>Z!f{8U~YG zJCZp0`=!ifH$CKcmQ0`q=|#*r3GcLK8Df0J$KDci#}nz+@INn#Gx|EH%#Sfa^I$CBcYv;ZcTKjW(QO(+r5$?8Pm%={8WockTlD7LEmUbk?Td#Mk6imSQ?C zw#vtEVtycqHmB3244Zw1u);?!Toc+=qSl$uwt^bO)nX^pZvSWfmAImHHFr4GbqZUUTJENLW_W&YM?%70=5fIQ5Z8EEkPl5h zQB$6^L~F6G_1q{;y6(4muw%V)bXGT1r7O4{u;W>{O2Q6u@0M-b!Q+pkDEmr&na$9N zI2w<@#vbsg9kGxA`uC42Ch&9DujuHjFo|-q%pZ_fYW`aZluCvUJQ*cEH4CHE;^Lf( zNvFrMhuJy%0x``bvPuzH0QuUKr83az&TH6x7#oE$)r%0{D99*1XFv=Ssynq5hJbl(0=y3r*^QD zV@hLf0_-GS3zLd^f{BR6<0}sOev5*NE3CRM6m{` z?f_WCg}U`e=-QiX%wUNfb_B9siq z%`2aSha0iKnW^Rt_&)Vq8k;mi*pB!^Oe$N>vjc79-zWe&F}={^HIFnHH1a~y5JvtI>uHeVvCEy%$gi*Pw@#NyvlB&v)Cwh)${{9n~mk&8!s|2K#Rrk{sb z7wl*Bwx3bUJK?O^2c>0>b)a3Qs9j-CO|K37xpLmmIj(?ziN9uzPbYi&Ren3p0UeS( z8#q(zL`^R*H08VhULthOY|+h9Uj5G%u3*QntrbT->C^R=B`?Xws3}V;&&-4BIjI@} z{DNyP@JsG}{68FT{VmLV6~`@OKhP=vNs&b?DKh`rf{PfZG>b>xL*1Ukz3Czc4zt6z z9klQ7u8G}a|302}iojDOviiE<<_Wgsha-i6VmuzRF-ebK*6F>Aiq z#(iap)$VQ5srd!*MaD(4Kis5bgH;PU$;I?Ejd;3O9|Gyf<2C;9S)uvp!XcapC!LfE zwJ_ixKuB}Msshfthj=qAT?jV8`=*u-K#ePz{uiDP`o$JEGF|k%HSIjz85O3O)VL_2 z#@}7sMBm#IL+ehBNjbIyvD80bGddx z!DVLk9Jx8lfZz(*r=H;25FV&mA$AiMTKSRg8*jJ2edTq_5I{#H6ZRJ6d@WehN2jB7 zS+J%Q{feYa3mPmWDWrJsG}+_-fUzgBn5T-2!x-VYV}F8n*<> zTXw%2lt266zy=FeMtwXl3<>uNc$i`ZI!r9?M>jE8Z;(U)X)Adia~0{-wgoS5uVem@ zsad8vY0NPN85Rh#ntr0*r)b{c2r4)+COdQ@BHxo!!ja^wur>;S_O) zIGe{|!aL3Dlr8^yMFK&7I2zKfQn>3uvB3u(}onAW7T9ywd{y4o2+@d=-5>aBVSP)Z>x=7Mb18i0sq3w-U(DI(9DDJ` zL=e>HR%P0!PKGU#=yeA?ht!PPrP-1D2sP>g%RNzKF=q=illQ302bEsTLa`fX&2ko& zn8CX?fBA<|1BF;ues-1OS6(Fcp%uu*MJ3o=#=I>SW2^%%6))PC47Meg+iRAuBNyZ0UoxvmUx?(I!d(N&ZW3Z@OidMcPTl%4F5S{(i&4CW>b~jCl z4jGu+XlLCScEDEc%W6nkTahvs2BEj)j*zCgiYW4#r{#uZxdA~e$+Ie~Ct~C4jUG3D z+0z_M_pf@3=be;_F(bT^zge)EVB0cFg0}5nuED7flpaW68Eg)$bm^|FHeqm>Qq<*X zLOBG>ZKlvsKFAJ?#{j{lb)`dcFOC{zOkq6-`I6KEg_E?(hRc!suTdJ?CJ^@(P++Ctu<$$uShUSy168WcIpXg4ZC#c%uk^Fx%x+M6|jGl0# zP{t>M<}@=d8_EqLv(42m65@RszSl%FEF-vPAV9b8ZmWJ%c?;hu^|$`#e@6U#+lW;~ z^oMxElj~dapboSF)wH=XzQS4|Sn7=6`hUFq1$oWfs6VR=GHN0D5~@BR_MLO+r(#7* za6RcQaA2F-r9AJX16(J1w++@Y*DL%y)&bWmXiydEVb?4DJf%8)#GV?F6&CeXhN3HlcUn+YHR0HA38N7KrzXoa%k)MK6u&2n4uG znZA&TH*OpBxIGpn$ch72U+k4wJ^!JnP#Z#E7u@ z_2MNxF|<0-WJ^GRefk1?9?UjdcymtHf#-5;P^(vi~u30!K?dYvA;UA>;#>qwt1Xbm` z;tl7+oXSitUNlw^V&38jpM&2Vwo2=1uF7&i5ta1!WD}j>NcLrXVtIt*J@48M*^lr) zYC?(?X(3@wJ707!`vC9uj{@j2aV`Z-=yQQ+5PxAZ3i(2YQb9o@dghM`lPs3=E}$u; z$QXnb+r4p^sD%`0m)xZLj|Gq=o2Y=xj|T9Gta*J>eepd@79_EGiGnXsTS;YsWb}%4?;CkN21-w<$i%$!9u(W2%Y#A*Xnc6@z!=rZz zYFqM+Tmq=;+ee8pdEG~k%I+Dr*J1y$I#)L$Mr%ujv3ZfnZ26G;>#}Y-ej)eITff0{ zDbU*5Q~GPA8oX(*X)YKnklNz9RQ!_Lc1{w5pwS-RY+j+tseFU2LTJ)sjoCqR`G43^RlbC_~ApH%>{ z%W=P81Csu>{|83Lr*dT;GafvznPk`n`VYAZ0Sux3<0`*)K>rorNSrH-e!>AL1)r{b zS)eqHkndI*k|iKN^B>{e@zq)srYurZjGCxQ$wGvJl6v!Ng#_#7T5h47Wz(gx6r{I2 zfo*66yLCSC5k5{1#9Da`2OxWjzx%h;=%SR!Wpai&t5qHCDwenSz!-jbSF`K;fjog6 zyL$Hj4fOb7<;ofa=MSNDzE{}=Q%^d*M7aa%It>R&b835zp7vJvG-W2or!Gkj0ulw?iU|?Jp zbt|PZ65C7?@K4F>_>pfe?^3Puew~$A#dB3ea7rA6R$+UojZ6e6Clsj^I;m)BCf0QS;9$M>Dbpzg) z_-?&Mg2>AJ#FBx)70^ig?rGExhlr9KVmf-KyBM=G^1+sf*{+YOq(L$5f3_uz!Q1fn zu=LGNu*Ndi=fN?kX4uNSYeU7TpLZIBck}cH?Xa}KCi#Grys4CfR0@=|Rj5E69fJUu zj!R&~ZS*ydy&m5FA^~lcHuJ`;88DxO^V_1iR$#_>cWGv7Wy5w*{=+UCk{xFZwaXJk zsN=iw(L*w?CtyliPU~2j@8UdKNO2wo-QQ1{a0ApJ#eEc@l0Hippr$&#VWIZzOfs@$ z7#4#t;F{_5hK1Qq4y3tGhg#-4Igo1Kjo-u#I9fV5kUGD=po0TxIMvC4l=P-Um5t#{ zCkImPyDi@9mk+DPCj&lx&ea!QOj)=9R$wx)s3{1oCIb}AID80Tq{l=hn?+Qe4f75_ z9VY{xj^!!l1oCPh`fO@b?L(hUK<+SPCh86B>k*FQ~3wdXT|9h&xvB1>9UhITZidM1s4PNXpG?`^Lu=QN)PA$X$=HU zGM``uage+f7_prWA<*4K(gR_x9ZiBdtUHL&1k~}U636N7CZpdVaE&mWYGR?S036fm~UNnylNi~ zdo|1j2B?5cBKSwu?3|=5*cVY-NUhzP&&c zTsSm+CYZ{-S_?~-PQ>+3-hfTr{JrDK}O*HjPLMXV3KTx6c&pzZqk0|A6ZWKUV{OK{Nm zkZDA_)~Wr-SMG{50o9(4XacI;lWYR2wFjDj+`>**O{PbifNB@)O+ap8#}XxpXacI; zscr&to*edC3ruPX_;;{Z=#?r|0m{il#cH|{DoH2;E+-W&`zk;siA8{Na=}KV@UT`e zZmuwlSUJ{(eC(EE3SZwTH2Mn6Pn}*P)afOM`jl^pbG-E-(}=2;sV>i*c051X;dKqO zDMt;pO>;SnlGJ%4$#<)mI=OB!;5)c(aWo}iHVngQn6k$hpgOs32T5j2z}3lhix)#c zk~0-_BjI1IFi6>5?j=b#LNMsP)iLjfgMrU{l9{pf+cwNGB~5e;XdsWFv4s8zjL-yB ztDKvFem-B0`%?5%OILx8#rgcGYICfKdkgsi)j)?rNPK@~yfP@Jz8HS+?et17NvfK9 z70AuksI{1Dq|z1=kqG2w>k4bJi4nDvbrVqST-^jzJ93+VoDElDfiwZt+Hg%kPNi4a zA5}m>iFJA~9V#6nkWoTdxj|r}8FC~9Tux226p8@lq@u!JY{Zo$76DhSZQqD1NiYJg zTI;?MSFLC~h#W!ybS4q}(;?i?`4TN2qAZ;@tU{$g1Nm}pqAnZe=K()WS5|GRH_;3^ z5){*IVkW3KxVo!ix$I4J9uuRW>t>H{eiIjphf~NLmJ~f6aWP{SgR*WAKH^OPQ@x31 z1Yl60VSaZnBnd!M`AujOt4RQwPB}fIkbB;rCvS<7py zEs^{;@~z1xd7n?W3{#IDl;JAJW60^>UF&;moI?Ge85 zCemS<}&7>k*Y>UPb(I+ERK5Y~Lc#0Y$7j!OCd zBiZO+Zsp!)k7R$&OQ@WIv3xpRQQ6BW*kd$>JFV$_bm7|0it`N_wtK0HtWS^S7=z`-I3HhW1RePSaC2yWeU zOncYKg4}`^P=A$C$PWHHjEe{Kp@{7={*Tcd^1MWDc7_JfXh=)WN>`Ul- zJ;?HISBn4RJ2iG(KECo;TQY_NJuORu98(hZyt$h+Jm~e}Jg(OrRs7$CJ%;;11ow~# z!@ov(F=b76`0Q*}&=Qx7IiW5|S2`|f6-TdU&*;FMZJ_MV5q3MiWhdHjDX!%O4RyvP zm*-BG)KzU?9t?3UuZZ{(=QZ64eN?wE^bBI0Y@J|)rXok-TAZUoEh9t`m}B3!fm>Ic zdf62hk09Eb5Aj4^VPkaqBP-#W_v7;^O=> z#i6P)-bS@UAboLJ%`zW>MXs-+of)onardz-0#iR!Rh*fwxcK>ai@@TC8)9%@@SWV* zNXE0I3oNgLm~n)H@xFYS^OL6jP@ZLd`7-P6D=0&GlJ3iwdH>F;=92fme3|(VucC*{ z_vOpnUtLw6jrZlt?0?AZNPZVgzrvd^p3PQKeB|q z%HCXUE4a!M@Clp4k-2umy2ZhObz^#gCz7=2B*4}myvm?|f`#_2ALNT3`~WbI;HX73 zF5~aAWSQ2_ArIll9;4;=V{9AOTX>Igm@f_;_}x85*joYPhb@f(-7^m_@ym*u0J|3gaX>8+vTbU>@Dp$YbF<#?|B*sOHea z13boyJi)~Ho*Inv$V2#=$Ec%_82{cfGU`;1aVB4!5$N7(kMThUi@;r1=m}wfim$rPZkOPnZ@@ui|@`T6;#U~*u0c2Jymr zt>=+G8bBUR+KS(9+ky$f%~UAWv8U(Xcx&^djC+o?Etf(E1EC9@TW%6Fnt+yDm zK4mFcw3PhJ4x#I5@8%I{5*4BBl?CS^y7KtMZ0CRrGzQ>bwZ$s9-E%G&%tjAmA&$2lSR^2+X z3f*^tCkwuU49ynV!kPM_?T#z}%=z*6Zcmo#E^_MtOV+FG&41b^9%pZkvIIM_3I%zh zCyOIS$@-yflp_lO^IqiN<;l`VyQIr-V63E z*aqaNJjs(wBj*hk#VwYSCs|6qV|#}cpL){z{?2VrK@6Sod`vK`7H`%JMw>gn`eZ-Qp#o~Fby}82TInWYH@!J&EOz3fuA$TigGJu-vU1ec^ zX36@T?V2BG&MLs%PYLD@>}i&gPgt0r1L=Cd?SOiLisbwLwgcX+d;{Bhk#zlv&G`=t zbGpr0Ug=t8JFB}u>7rT%>I|@n4Wv?fT|0rgNgGL}7A7WE7>UijRn%Dh;g)*5L!(gX zw`L9X&)QNp;U-IUy+ECBVeV^%BNC{eS@B$J$KEDe!L3q;)X}0c^aZb!jsTY;r4$(< zpS6vQro4H}rAUT?x?%_50BA9j{2!H}Xo2`hE0MQ;u~C29)6thy9qON>ZSxM>w?DOu zh8J1k;H0?rxOG@)GH?!KMVq`1V?~$SieOe2iste5hDO@@4I4L{Qm*S=MwU;vbsc1H z?rAyy?VlRC{JfR&huE7)u&=bO;={nfM2d{G<1Eb|vo~Dl1ejm7Dr2vWw8#{DkHzyw zi{~Uubr(JLH@3Eawj6!A6@b67Ogzs{QIE1Px7ga=XJM|gH}|#`TxlCOVcYW>TkOuZ zv$#~+xb8%i8`Xiof~t@suO|7Tt?6HFTkda3d7Z`nBb#%XZOe;n&SAFdOYO})Y_Ush z)%UcuJ^1!UZhmMbH|ps-+N!y>+PLPFP3!OlLWZr_y5hKd0}An;d(^*Zd32zKzSiEH zW~qF!CG5_&Ghb+nMI$0==5N{xzG^FYpsnD=mV#XtX3^$E{qZ21b2nS{bF65b73Em2 z-@z8!X>%TFX#*>JTQ9t1>!la<7l-0m9Q7XSSE9!P3fVn44|*TBWZ%)&@LJ8e7m5W( zFu(6|fhF!6wn0C%Lit@=>|VC&S6G+}6%R@JO`G%Q7Un0m-ACJ;uUIBL+um%jFmJax z(V(Q#UUSYlJK-fG4HMjKl?g!K^MZqcz2{o+KUA9euJC`+9PFcyw%A5!H@nu`v?QH|Fwb|Rgam}Vhcsl&X&`W%Wz$up@SDLWZa{3Rnw!0vAsEvcX zk9OFq&$fgvZ-+!aw$KOij0s2rAtF(rNK_lige$$6YX3+Zz=8V+Z2+G@INNeTDR9bmoErtYq56DKNqzhxPc-Atv_!+#)4Tk{jq_0AmtXj_r*7VQ&N-Ve+I7}3 zsAhQig*$d!z?5TL8$p3Pah5ut^3}x{s?Twp3z^9Zn zR??*d4zVpL=+yP|_dpowyvp!Aa)Z;QS<7><`|KS%w;hA)ww-4T^UI%hHn&H_4La%_ z0O@1h2YDWl)i2e?A>R81RVu4+vqcG|IeZVjVXbnr7~1`|Xv= zYF0F*)chDYKh}ZOKeS4rAWc`6JulH8HQar&MU%{3Y^;c%chvid7v#$gcXug6$@Z5k zZD`wwXQ#r{aC^%TJPldo6islNWTJOZ)Wq7Z_f6pJe6X?&@!pKB`Sr?Oe3-KL3GGBa z)O1&+hA_u!G2VR3=G@nk_EKAQG;95nCHgtF#ad2oueG&3#ZvH8Z2}1mT2{Lk@+|`X zPo6jAUbK~Vg|?HR)5Z$M8oM`*!o|ew%XhTWGQxy+yo@ZMfb!f|;db`z{k>59T zjWLhVEt2^vL-J-@b0AqIkW+*-%#+N{oVAU-QZYiK_CBNC z%rl&uY_Y$#SfW0ku=Gb-BvPw?Xe-!jYcmqtXs04{v+_*G;J1(tZNBGooM*+|R0w&`&Ci+E2ghsbp{byA`c% zmgHdh@-*#dH3!U(JB?e{f#j&uqG>78E_>ZIKd@Ba#ZnNuM%lE+&wiMw!U%kawgxTh z4e(2{EwFzNlbnxNy~WRd>i1{oUZ6eABG0w`F==n2ZQv_xPUva(IdJsrX5R#RhV2ZC zCbnDAq-j%)yxXqYyd;Gp+2jwFyqKN7fs;SoX0T?{3@kt9Ftoc8;~#W z2#kg>SEvEc=j=@wj(Q%G9A`T}-K-yuwP@-L$Xi z%lMSih12h&Y0IX1&YWv&JJxn(OH%=E^`iR&GxV^pGUGA6X=KKIEM8A9D1Q&Af3g{U6n3M@b{H7IMX=#16zy)x+SF&ptH{X4aqw!mjcN;HxdI$ z<^dvHBz~qv5+HO5PScbS@AnY*LHv^z$8kz9>-&UuGH+H}?uAVP`ux`Fq>D4>qnGOV zAnuU0ztS?g z0GXX)0XILem>#5K{FG`evoggLAhdK?1wkSyFR;NjLya3yBx(V{y=-vj-LnHHoo05DOw~%UHe5VHHX-NzTQ^wCl+b_ z;n~Q;5g}b;YrE0jM8%G@IcM4qyq%TKFI#e=z0iAEhFoT0-ejx(2U{%KCB@4L3gNoe z!ral46Df{MY|bYv%q{jNI-41tsr?n(3*WLgUG{0;Wyy(7yB}?v9qEYZB+}h&1#7HS zebDAS%d+YwTQ!CF__Du7tl z)ZRa}EIZf=A%_OW$!2f<-R{oVb41cnSy#-R8EJA4d?9M{0g-h;q38WszWwXG0ag&XBVZ!E9F!j?#0ZXn*F5abBj zi4!+x%;#Zmz1XgMfuRh=oH8a=9tgg^VnZI>7EI4Fm;w;#@<8yejRS42!bCr@2ZD2} z0HR}w6$PccMVf>BfR~ zxfOsY(jEv8@DxC#fIxbRGQz|?91nzz&jN_D#eydo&f(UPM*t5LvHKF=voG?DAgdyu z6>2O@f`73$QBM8MBT#eD=fGCnV3iViy3gLRYx~99b{?~F+qs)}UVP!^{^jSKd+tR? zk{P?fUdodOBuOCGC9vUOOvamQ@td@i0;<4@o%G@c&b;F00ag`6Fx)N+ZHOxPGn*5^ zMAm7Pb9yap(L5HxTviM7XSF%e+Bd2#+K-JKR#CC2rBTieRxw98^*0=Afr(MEdOXoI z6v05h6>=F#U)bBCEVPBOvs46Ae-kYwqGH(mtZC^(>;%;kW}R(m1XF+W=~|e2+8$90 zQ;#Ql!X_$KkLTvvVO9?lovm$&=QXvxP>*M4hyKC2xSHOs$Mcg~9z>0cZmy~ApGZAL zFb}PzEh-k>)Yldj+v}TGs8KXFPV1}QVB1sA-bq_5s;wR-S`)Q|d3J3R>hbKX?fQC{ zzpWL^h|uUJa??aP^?0JQ`So(}j#}-H6+kf-BCQ-9?#Gl~C~un9VP1%GBIi=%qJN#G zAabjpRh#n@%f$EEVv#HVOxveX&L7*H=<%nh3DK5kgE|TBK2J2iOXZwl~*Vs*krwqj@{3En3k>Iap#8T_5GpeqD1U4@Mse(LEkH2lLh9_GX+N^j^9R3`f1;me64cr#* zeGki=ryxQDlo>2=wABn+deplI)+*%97Ej*hb%7fQirSyM?2amDF z!q0WH-|+L?!C_vZu46z~E^E+wyUa07Fr<~lj~LdR9KYipDLemUw_r4 z!tDR`q6dNq>sKe;VIe>YC9oAT6~D?iYZdM*Q?#(_mWx|uP7{q#O-as1fs z=X}vJ?La$W5td;hY(lDFu*S%vl2{{7GNBX5X+2EK_*WD;owD<4KERY8Mb!sqK1%hX zCsMg(L65J0G0sQ^E4v@^FS1X%zob|w1~ZBUE0NJHmMu3}wuFlH0WYcmR?Nd8xJXmJ zuX>Ofvsy7rM&w5oM<;Ou7JUvuv2f9)SiY>}Ght4#@a9LB{Q6!ESo9SAbFteTf#c`yaAKgUW2ln+Q*$99WISLdB268}uyvI(} z=>G!%0RR7Z0d!MMXcIvcep8z?ZQ3-|wrU%pQbZ^sJ&0H>!n!*qOOxHOy9rg1sd%X+ zh@clyp*{I?(L)72DI)%W0T1dy!GmDYlPF#k@vI_v2((@Vzc-srQhx^Ce9U{_zMpS) zhN+##iRkd<$vlA4Ft2PW&n&CFYZ`&{*iCJ)KI+F_xIX`$JzHVSvK>D#98)U8vDAKP z1SL-@(=8NTM>>HjOOx4p#b_I_H~w@a#t7q5S7uky}XVqRaJ$6e{9wv%PQUV^%TcVdate2h{y`z#vfl#vZv;9=JgPdRt|`L}=b z{}d-p84z!cr{7KjQ!sAXkpTp<BX^b@CCSQ*_3&??l^h!j=esZQ@oaDk{17Z+JjttEPC7IBrFnz#gv z1POUz5oH8!L3C4q_>sA1FF04EaVt*@P!6FRBbnC#Oc>6jDI!T{an3xf9OH|P+Ob!) zvnNi}qbFW)x{jW2ZrcN${ks%lhUi9pO9oS`APY_DBfff-z zSAOscKm-5bij8yuq12A0LrjaLX`~cw^d0_RwI`4Gx%9xeWc1#|5S@bS$Cj~AfW`&l wAIaN((0qYnSkJL?b8(h=n_+<)82Ogo4byXdU*&A+8J?Ltz&yQv0{{U3|7x{X4FCWD diff --git a/cpld/db/GR8RAM.cmp 10.rdb b/cpld/db/GR8RAM.cmp 10.rdb deleted file mode 100644 index 004b0f3d81216cb2f87fe1a4c8191fab38b016a8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1784 zcmVc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IyA00000007hl00000 z007Sc00000008&~00000004La%vR}-8#NTqauaUEEs&msL|bW-=3v|1ej;R(ZK}=D zOt!RqiJZjAn$C=ev8N?`;eSTrK9=9Jb9IkW`iYS|wx6HhefZ?$@j}$6*G^Yu?B~CaHSTD zaBh^L&nTG3_E=)=cm(%4oAh~&E4dus|HJxWAsV<37O`4y3EcSFQ;(wR*%OH&m} zL(^18I01A@U_euy%9&R2jPjRNJPxx7uP5>WEI~=CXQ_xH25HEmqHN(tN9@X)M_w4C z$4Xc^p@|-6k&LZ(IPR$!Tq3_^RpfU`Dvb%}WU|pWa%?GYwUY*hjEoa}tmBFD)>ufo zFmun#M28SChK2J%HZtQ>B`&;bvs6lEzP+@28?J?GBsCZ2UEkb5hLqmkB1u9u7NbxS zX2(ISX|61^P5Fn)9>cUiipyELJg)P{&hy#&9l2m=qwpYfcYLr=>nj%pzlmZM>IYoplY@FXv zaiy9Qbeg3u<|fh#6`CR^V=ngv5{7f68R~}=WKks2xjQ)Zdmj5Oq)F}<Ox$}^SLA_R>)IrZZ#e>z4?h}jTk$EKs>!oHk}EVOhG>JchJ@EFc7;@%c3z0`Me z{(l}oSbVJXTbP~FjZh>8fiqCi`IQfd7b?TlJiRwCJ9^#VaWTTqeFADPEvX&q8J1^0 zA0rHYBu?5WDq<$jjgzZDb^~05H z;%XPLmxLVBsozs@W1XQFbNd9#^E%p!QUq?bq7HNF>E?BQsklfrE7pqgrJP zBVEElEB1vR)}sQo(WGnHjLH=%m2Ol^H!9Z&ZEg>U_&&n8ouTDqhFUGERth6!>5fbd z8kfV!l`xg7#Zs|MUuFsgt5OB2YgfyatFB!JJt|Xhi@uU0YWN!OFTReckH*8Ks3Vr~ z$a&_K@@Vg28t9}D$_Fx}Mr@UxKL(wzH$|kvMbUa0`*!W_^-`%6c!G@4b?w9N*%OPz z_W*vw1Qtru1Pu;v47*AeeteX67rO1OEavZV{cIz&$O?1cgV+fh&mj+dLdD+%cFpj+ zfg9Y0$}iyHjtH|w0yDW~9oqmi>oeAR1;79um`&cAV1thhT<^Aft;0rt7_<&LwZT!p zF>LL-)7;0Hb}E(XZe?$;dTpmz-nq83v-^3a0+UvD+57mO#V8cq^1?OC*=!94;L>O| zyZwPj=durL#}koGsHv=nvfFBCYir0wEZzqu96YRdA@r@IPJPhoc7}sSyXPtKz1^Ml z`rpKK^je(@>2b^Z4_dzq^lop^YPY^_3~zQ1_lLah9C(2^v%Ax&-&~~OCj3L7_WIph zjrw5NJsR|m2E+YUAG>bo@98stkaRnooyOiAZris44z5C z3vG;Af)@xKp|yB^oG3jh?$aHG;(^xMmXTgQBtFIAKwCiTy(8C(=rRIQgdta^1+goh z(78f!J8O&aG0HgI)W*iE>cPp3p0t*qBOZW9N*346mDFVCiTnou0RR7Z0pej`WZ+<6 zV2CobOa@X6+&~=U;uIg`8sHxk0uo_h0%BH%3qaB?CQy?RNJ|1SNKtU8udicJWPFID zlaFh>zmu1%GeiqNPyq+S4In9GxW}ph&C(aBfc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IyA00000007wq00000 z007Sc00000008|400000004La%vM=%8#fS^dsn@S-e`dV3N#5|DLQJ$&Qn!cauirc zBsop`B2W?~v)Ns4m)xZ4OaF6$-iP}QxjO3DZjh%E*d;lfn{)8=^z`&^e1GA~VQg*X z-o{kdVXOjSm5!({W1ZON0KL<=*I=a0v6aW}Pf-7X@Aos`Z`kAe;aAN3{fspvyaSS2 zB!Y!ehCZXgBC;nEYbRrHzq84o*C5H|nEoeE|0=deaxMbuXsafYan6pdAD>|};zgq7 zCzh_(uTgn_zg(!4%2lcbRwjb#I)Q1<%KUwuCX^aUdUnq76+=^KIFHC`9hhwj^juA7 zmPQlyk)gbZrles;LPpQ*BaujAP=?M+WewHZy&lCPu@MkGkNk?swxbZyf^=%*)Y41^ z($Fl?Ax;3D5d_doCvvV;G^hMk6-|P4%Im3o2v1O)=y@W-kU<){s4&g0(J{NWmXR06 z=!p_mPHCzqX(%J>FC26#f|Ss2*%bL*oJeDW1(|gGjhtA@TkW)gBSYg9pXg|+yf-pU zGdK6VPILqXBX~GJNXKT9sMv*9ZJtQU!nc=xZ{uolHIkZ{d)Jg3$k5XJTf}joCSn{& z!t5l7HP4lYwkiKe*%P=HXrc19Zo>v)X|cR~#3KxMh8Ycpaf%4>L6P5dt-m_&b3>ts z(wUgpG=VS2i=`cW>EPl(`OFvWTf~7#p-G~?XWuJh6E#k)PE3)g7f1ntiJT!qG9TwR zOkA1f6rH7si@B+^LItMC$(YN1frQ~4X$JZc4bm_a$-*6+`8|*Q7Sbg53v!FUr`dpo zW6MHc!^#Vl)*=LrJ2~^?EPpyd%!tJhW+$ejqr!oli8Qcu80ax7!r&6gFM@81jb7Tj zIsZQopezO({T60tbR!V4LEsEkcz)#r;)TXAH_z`4%#L4oa9ND-5_ zhK~^j|0_<~C@Nwu&yADoKz0f)k%%i%q%EP+AlxJPKt&|zPJ3|ZYGsk{7K256fZ0(G z(%985U@sXtq%*&#kj6H{E|&HQmgjY}mB@=)8{fiVf3II_7ef&jzYWbiGXe+e97eTD zGbdfaK`Ziw9^Rt@wb7(&>72?HDwS?lD>u>M(B}4mi187=J1JUDYN*wsYNaq%mhQ^L zpm8~jTnSUTS}YaI^ku40uqsus+Pz+`TzBnq(4#U9ZqrwCObuV-J>lz^`e;1NiaKH$ zkDO=TD3A6YrlF1tfqWLcd;2APT*R>D7=bOk9 z-vjs!Gq5n4rf6_@W7t))@Z+Pjm)W+z@|dUN`q@Tkkrn2F2k|9rJcm5+2@QV}*fqoN z25xX0Ccl7#yCO)l1ZH;2I<^63(T{oS6#xh5!0htY6dMe7aJ}2^wT>G7(V%tMsSS_& zjZy2so#sBqv{R{6_bU7Q)!m(9d1rTLXYccBwOXp)xUuVzp2busT)t*Ko2}sxQX0)> zw?FjgT=wDZXeyE^HI?;HzP1|L+8T0^i1&es3m({-MRXMbDT072 zQ^xF?XLPPo+|Jr!a)L5Wx3sa*s(NrTqbIHP=ZFX3UnPs{=1Oa_i&*{x00960cmd*J zU}WH6U|@(cv`hw44BS8*Oue^@A831ysbvzyl;}PL`AdDFz`R2Dt^I1*(>jjo}PX z+^nrNh6PBALe==WhNHNZksGWmjLp&)sDeQdstnmXj4TWXfWmx^j*~$?hbi%Kb$9fE z`GFm*;TG5x_J~73h?-X1U@4G009604KYw# diff --git a/cpld/db/GR8RAM.cmp 12.rdb b/cpld/db/GR8RAM.cmp 12.rdb deleted file mode 100755 index 3659ab0faed76f13067d30bcf2610db624b51eb7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15139 zcmV+;JKV$(000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GWO0RR91004YB00000 z0071U00000001L900000004La?0tKTq}g>>kBI{rL%i|YF$r<}vBvA&WO}Bn`ZjrtE%gSNH5rMpjYNT|HB5byd3_J3D5zwh}4%1CWqFLLi$iCM)ZoWQj_6HF{ttiBqRu3jz8mgh?IQKda-_8QS@w}*-w8eF{79rmJOzZrFpGCqHyA00OPt^1v5 z(CxPSm!fv}e(NAQ8g>py!G3hH(K(E|g9puC)bAb*9yWT-h*356>{phIIJ;oQr9z_5U9d&!n`&|<8;w!DrL3?<} z@L}_9P$D|%b?^5Y$A-pT;LI%wQ$HzWKS2#rvt zr_iP7;=|V90Z5Bv$1SPrCDfrYh#tAdZ-Lw>m}KsEQQ*lC93o6{QIl4hPH5hbjvJlf zQR84R?17f|9=Uq3(NT(tx@c)Z+JX-nLtxTteVw##^#{Gyz2TtS>tBwdop!SUfW77s zIK!k?f&J9Mh={e+-P?nMz!JbaijMrB_N3SA^cr{n9TeR zM3sL}>jhvxW)Olf|1bi3&3934esQbn@|HgwSy zc+?u?6^Lv_N^JCxA9IPY0@D>RL?Zn@iI6cZ`{>DEj%trO=pGRkGxN??oSJBMc7e2O zX~X_M^_R41|F77x0_+jk(GtxnX4Uf-CP*~gD7iI?_Q{zqz-VfusP>x% z$D+V*h>TM@oRyHPeJB#t6TdEbUdC&-5MX?Q!QBlr9^8e(&nxlMDB+oQWmDj0Aocv4 ze7Ow;4#GpN{?qu)WXIiRUl?+qy_Zwbc|6H6X%S2O@#bNrq+0X%J*8TMR=f3e@qS=9 zzupIr3>_*{TP4*R(}*!BK;|~%WHYMqGH#?KV|c@%%LRJlV?A8V z2v2=IHxWWEQiL-MJFU*_HoV2Ox>$t_YFt9}&s@90`IG(?QBPM6PTGf8#DOoPar@!& zNvp#V3m!sv0l&Tjm`89lR%8d@5ztst1ul&7;^;}DYv!+X~%hlk}4I@fV>V9Ir8=DHLKK~_w9 zP>#l+s1rEWU&mRj>hogb@x2`(oiKlqzaAFYKIGYFr>&cyg31KfhqOCYE*cd zSW{<8jVU!W1$21I8_yv%py}{XJ#vy2ewI`8qcIujebRLqH*A)$j+DNO)RET7OJwGNs8lvNYwBG@nq5i5{bN zso69dchmGE0A8QFlE|AkJjsK4<#&`u~1g~=B z_HS-<8;8*i40>c?0|q;LtJ`W;^5>9EhE4k%?O=~QHvMyVdOv#D!f31eHr8>-;)^D> zX&=hAP;A`Z!Bz1jUx}txT?+dBxb)BmuUpNWaoTvN6B)Iz8_$5 z8D?s}wLk=l!9&_|Nq1_BHfz1RB8tgu|9g&>yK^bvD)z$ly4aA5W@@zaCRdF+kBcuy zjZ-f$!FNWvbf*dAlzC2P`Q$Co=!c-qjb>fGvUkH6H z4=uwP95~~PtDJYSpNHoYoiYsJ(GOe?{+o$E#{HTMOSqM1dUV(`r{th*+f=mhG8Dh!|Q)ZYl15Jc;(r=>6=L*ri?f}N{v9}Tt zH8b-~{QY_SnVpG}0*8~x9`}EXI^tN2_w#fA3w-4iL{@G_t0&9R$90=KNsPUcOK1w zhep%ua$agwtw!_Z*?TzT^men?hs|VC%*^4ZG=F*Saw)n#Y~i@>@_ad(Te?yzUonTp z*LI>(RQq!DM)O{@E+?EzM%v)JI8=p2MO!!|OQJbole1vt{YUY$*FBkSH{Yg7Y7P?{ zk(}9YG>%*CN0OaqN^Mr&T%Mbot4Rz~$YCz>&URkk*<3D_S85xpD+{xwMfpGk?Roo~ zShm}?B;-K$Mhk-^Nkxk}lTFM$0aurzD-lYLUWt|$qt8b?V+;>BZ6#XIoE^Nhh~!I5 zjf_4uF_v>@nAeQHXYBC+5@GD=0gkne4ly*w$*nlA%#xF1=DC$CrFpi-Rolj;CCNu7 z+H7EL@}SXwOQJZWSC<<|Qi`f7M7N*=+^X#D@2=OYYx0?Uffvi=`IYk3tMf~XmrILFi_7y13yVv03t$6D z#KFt#?C3D7jqTQZfU1W%a+OV(Gnf%KR6 zw^sM6+gtU$bvdzOW`_AzEDz?Dq2o^K^W*x4UO&Pz70Xl|_O$3sKwZ^Cot z4*vffK8n1q?(9cA)H`|wS_{KuUKwEvv$9S4RWi=vMKm6=$=uvE{#!8-hN7j*wt*<> z^QCgMd0jrLBYw>=O%WH6Thww%;cywZw-)Q!r3jKPc)0{n8Iap{OEUh%GA|Qx2TAjo0 z!+!MU4qouEQJ~&Tc;=({X)Uc-&7S0v$5n0yvplY%$KaXA1uFlz?1@aJa`^*pD&^ZY zl&7nf*T|YlV}{?CENA{{gn0^}5g4ok96X@f?aiXEZ}L#5oyOn+lHg(cQX}(Z_O0fC z%l=Hfv35-ndtQ#@u#{M-m3z1^W(Wf)lt@wCXx;1iD_ki2_9WD|rEE&kqh?=T*q0JH z%5n?W)I_(|HqfI3jC}t|{A3!D zULCh(VMppalOgrxLP(7S8!)8oH}0E@flBjplOa|qhFAu)fRE#DCyY-?W2e9cjA)+X z^8tlU_x1M)DQZddqe2z-efc? z*3V~x%g4wgJ&tj9c8ol@fKg25{r7RuIit*bSaDoD@B8mh4wp2nfD1jARxVofDglcW~|Ladd<|5pc`l&a6Z0y5L}{v$lS_w!VQ7 z9#$Zc+{6ot3lcVNbTf})OO$B(D@x@B3z#K2_gpI3@@3}^<@zY)osMp`;L+^l6!9!p?;lsR`b!0b&@ZG8G-nm0|w54*j$ z@Pmi0>nMuexjzylc&dYxr&U?v}qg z63$PJfRidI3ox69P}K-DoXV^~Th3r@Z527L)>TZM>6``5_~-4#3zIo+mOkK)8@m5K zU~^n-?2JjHF=|TjoY7a%tRLZIXX{y_xR0L9`M$|c!G&We>f<(G-XZ9(|2ALa(<1DT z{2a+w@Uq@93*9hSmW6fR-ENl0=Q_%xLs_(&g2ozccLcHy)30e^nZYtHnzm<{n{&=3 zLkzpv3tejVuA^qOiCd0Zv$#l_cWnbjtR6lsKlP5!FImhr%j>J#R`bTd#a^x;FIFu# z0gY3bn4E6o;B}9`r36Z{w091>SRt{OpVrt^w^t*#C>obUHxA65*#^#9;gxMA#zaEUejw-1gnWu5a8X4;!Msy0P2I z%E?YBBd1IdMop0~ItN+dPlIhvt@eqcoZakj}v)od0E2Nvz&*Dm^~y+N{r<_wSkK3LV-qQ7S>c?dp_$C=C=r6+dAQ0R4K=Avc^JXqMaIFXhAGj zAAwacfmXd8-F)-Iqe;pBt61I)O}5s&H@ttJdoO6B_KnJ^?nF?mr>ihdiS1BkdSJk# z=0j)zTH}W5R-vp~{h*lwnqcd?tC0n?#gA1MT0RcKg<`kN+Z?inKTG`#bgY|iIa@kxlPKmv6 z4%FwXtyb37$IZ!p#pb-Zv3XfFe8TR#+iygZWmfgvSY6*p03D?m*d!L;+V+jT zaj~Ul*f7#mpW)nIy5bltnoAng1m##dg7*b20h}sg3*prmP)f-5!_lnbp7oj@Ic07YAEc zXY!r>j=RX#tIjAUeY;JP^)Pa`CrZIF=7_yqMS`v2@jY-y_XuUm7F(~D5yM)_Iu_rf z*jOn$-m%8t=3}MT9yU(~)^TZcc7 z(qVVcZZ=LZe7ldLy`;q$2g&fnSR?lGIee{TECqFp2IUF9HH8>g!zMO250A6oFCp3) z^sdQJPR|T2D%i%_?|XkX)&xl*qey7n5l(?%cze3@BIt^+^pRbYTEk6%VT~50wz9vs zT{reeef3tQx>Xa*47s-?{IOUvi8G!0%`GmGmdw}pZ`@elwYd$IZ`_ci9ByC{xKApv zWJn9!*f6=!nO!H8?8XRkg-QYW7?6}61Cv+^;YemZ5&&^buB-0BP($4noUVVROZTk&dA+m*uT;1NABm@`}qVl)=j)Baa6RVO8Q6eeJ z^8sp1mPlK&`R@A8?s{!~Yp=px7Lu6Ev%kfSBe@Juw#yJj>Yd73o|Mq?gtxI?-Qq5X zY7ILwwrYEotv$r!VdgvQH529u8gbz_O$UT`sypi&)h#QTqa>Lv0FRq&)Tr&OyUH-n zVh$9F-tPL%Y7OnRzE-c0wQO}pfg&~io)GMWsgDVcD3ZBWYxS7+BrNMJN)h7O$!&36 zV=94qzH!GkFWY+Cbx^4)8Zq9xvtb*Vl6Ip~vuu(|ohNA|l$}Gaube!<8=h2dw)ls+ z52moYK^F-$-ZBkREobi@HIXyG`Ydn8?DSh)@jLw%)J{L@gfLV%fj92B&y#KsO-7>= z%F7&2;32BA?(scr zT&2GU6PI*xY!3(WnWT11jWJ>D8*L_OU`b1TBp0%}91_nSOrHiNu{7l23=uaM=hE(X z0N~yu`N}F)?#_Kx-bmVoW6>ztT?re-E zpf#Vl9yZz7PYAT;vlXQ#bYol4k4>jYr8S$a8%uI ziaNzB%rSFpT|4n8TPO^>N|V}(7S6^$TRXGk>{Pe?qIv>c&fzhox-D(a2HVseu=jSa z11cU<^Bmx+VIE6slg~PE&KbaFM43TyO@4+Kt)^A=E}~MHr}KuA>at>=oaUZa1W>7+ z0wZ=VJc7qr>!O3W7myc2Hs19fA9`^}mbJ8$&VEjwM`rRIFL=y|bhjGq^tglpOB*G+ z=;)1C0!ayX)YqF>?sFm^ITqWEj{_H#py>v&tf#3xPIyF%5O`@W zI=LaZkh5k}K}snwj13pvTma!e2Pj%1YJ1-q^GOVqVs$M0G>B+1)Pn-IEG&uPGiqjw zp&mOd)VyH(;3knrY!)M5coz+TBSrhX)+D6%APrH?gp_1PPg{`LHGs4hV zk=3nRkYPMz!*Y)0prW%#NDHCJ$n;dx!C<&sv34#oT*@J#;?67#nKdi^thS9HxT+9b zQ0@J^wDIotI_i&yWLQuVs}WSuS(JLR6x8F1rJVjmML%r>i;g;e6!gZX>nAyN^a4Pf zd0_KQM=+G3wb(?~ypm?kqE=5T^GA(k^B8oT`0>>M2QzEi>+iYwE;Zzldgw94yppN& zRu4VWm{%Rtd8>yWk2p63S>n9aLyua@IhKy{vRKZ0{^UcCZOS=T?|G<)9s!kA*QELC zJk&#vlgc^zO4UOJl_fSwf2{TKW6`_D@euDomMxk|L03*-YtlY-0eKO+XIFZV=#`e; zN$@Hn@u<$kR!a9K%ZlKd%PjLT^ZBTh?pl^|RN1+!l}?)&VlyhGDEkVkJ?`Dve;=HMzYFP;?j!| zIYY(OiX4PqQM*;mc%#+3EcvpBaGa&Q-E9xB@lTR*xX6WkQP_JZA4ov!x?nJ)uOYlJ z>BtVstbfHXo>)&FPI_ZecT57)xvBms!%ac}VfMTb0A-#ipOxz^Ie5Fhx>|MH3JBta z`^p8wfHpB%ygYY18PDpdL1>2ZV9v^2Cyj;bpiK9SahCval2HhN5}6F0P62Z(2?`aj z)J(=Wo{VzSZJglC{mvo&#}mk(6(Z@C`DTG=ZD85Qa&95itudltB>`0KI=B7A09aJX zVn+xWmX9>9bGsLVpajc7)0JSMYBIJM3?*C65t0GJ8L!1)DB;Rl;mblP%iH+H!`F#K z*|dg|FL$AZu7^=-YgGflKp0QqZAk_LK?zt%L30|3XrncpMO=(hO3K^?Ou7~phBHu$ z!BFy+bxDYY;q1j?FqG9?Qt%SAa^_nx7)t0$3Z8>eR6CL0dL4|r1x&XC%k~Rr3SqAo zR%vJs`_yWzVo;QHYlsdCl~l)18?jIAnuRq8;4w1ewhaVDPK9R;0YfV#-C2`Prj*T^ zDY2O3m6f!)t&X}iQtP*h(Mrj;hNx+^c31b-_gXk?Lh3apsg!tUIcSLYSrwsnV3ifT zcr~m0g_Wg{Ae*O|!eV-ImfI0;5CZ z&3V;#YeK-k=!UAKOqAPgmMS*s7uBG(H_t``&>hd(hs(}qd74fhdv7T9S39##W-Xpy0R) z5M#ZNw_puaFP*gv*pkWh6~%z)wXs>NP%RMGTNDJMgj)l2^s+#lO;ZqvlDe$5pcaVh zF$w}vVxF~noIuWMW9kLfiwa(XTCR63SP|8OYk&zL&IT+9L&8lHne8tu7*kk~2{d!qDAmxksbn5JI4>y#B=C$>EG#FK`XfZ=$y7z`!h z8eRg1lkj3Nlz?YVd$Q28szbfXaY?~*^$^Z=>mmzst`ctz&%t0lcGvE@uC^Ghlyqm! zds`T;r!53SuYAZ_FkoT0uA>+X)tPH}j#fqj*Q~dBg62@p;AJJ`%L<$ZQFH*VSG;IA zu53#pXC@RQks5((cn$`sqPJrb$as3{4pQQw=GKRpWbNgoT!igz#Xu-=)8Je^1cc_M z@oJ%)TJ_#pCr1bfEfnK{(1cRLopnA0Npn^ur4N5_C+;M>*Gf!(Ok53p$b$wKZw#%@ zCkLTqO@oVr&^_PeAe3ll9UgHO8%G}(u%h5N^jWq)U!agc=pz9%GzWo&s#63ehLOEC zfie)bzMz-1f&35finE`FE^#q_4Nc75*g-?T>3hV3Kty~fu7u`Oa}hLOqCDj#;L`UtU4`FDzloFk3}J%@Kt`s#|s4^|`S!MMVz9lk0+*UixLd#MkKs-4%q{4PU`z^t&pa?)90LY zwVswl!ECwHT9movVPD6q!(W} zVizwSrCa1}@p_*82^6mvr<`4sQrPPi9cQQg-;rj;|Bll&b=;V}IPuf`?#2mZOe<%_ zi~nA%ZOQh^d~`l07H>|-zl;mra5lbFna0AY;u^%tlSfS|yP3`6_)py76zx&I>j~v5 zlBLn8X|l8y(Uiw&mkctG${f13R2Rx@@_fk!X8w)G_WM4BfE8n(Y~~;OxZn4oz;Pj+ z9f=_#l#-P$lo#@T!v{m&Z+d9{e_3V|jM z@i9-J!54nZ(Zzk!Ef~^`8~RxuYMglfGcqTlX8!4KySPyD&-+9n?wyRhS$y@`z~sJ^ ztaL%$_+&FL_{ab^ghINf5qJ9!99;rjYJ}wTb_QKOmqCO74*e~81NK>TIZ6V+`->TI ze=UQDLKhJ-T!!d{HwkTI)a_R?_~nN^Z-f#usy6etGH{vCfE#Ka%6nFEKaoL$kNbKA z{63LE1CExG&tLUE3(n0xN%^i6s>w0G|j> zshtp`>5^67ELzcbmVACWLl1pBgMPo65tjw`zm1vh_cGE2OD16XG~$AV7vKWD6c1&k z%i@>E9hXIC`Z(PQhUyMsc3r#8MjzKC^PW<3v6{Q9i(-aDbnGDI3wWyyhPd~jF^DkT zgzNR1ynnH8;>;a$hmAp_-s&JBo|o|_$MA>C7}EE)y%s5tK>8?qa(T7G(-&{|nzrlZ zxFg)9AYZZ1%^Gr_z*oLS*!jltcsRcWk@cyJ;4#Z=;%bAF(h zt6Ei-IL<}K6_deGNcr+j*|w%-7!&C_l}U4sKz)&4M5EiIi|lx!G{?yn>%VVSf{9yh%#s%X4#+G>Otyp;J=g z;GoB@kcmg8sKV?i+A}iMyo^jyj*+SMVWee(KuAVf>IPn-0&@El5wwqqwIa@J@|al5 zjV?}fpmUHW%1u?vl#r^|kD)MsTaLe^S6|Qj8KelUnM!K~m6+NNBp|{_TNAR2JWLhJ z>*JQ)rnzt4U)2+n+|rm_vTRvN;So#{g-760Mp}Xf#+0>c`QxwSJNlkW5mou6h%1jSMYzPg7XoD$Gv@*&1RCv!jYs{t_sYwC zQ0P)ryUTPnldX1_DR7YHJ^)0|M`M6?%Vde`|KJ$y5r+gAP`p_R+7R;(#ENyJ;(b8FBH=dry-o7+D8UniT}H|Tkj){i*V zUs_qP?dYrEnKNi5rL)duyBY>vi8JU3ZEo#@zm#llFVjBY8Gu5b)R1wF%M@N`7VfiG ze8XK`nW*7B%AqGZMLh>y=6kW{M`5S?z#J9sv{Y7ei*Q@xct5MPNA{d~ww6)&*5Y!@ zk7-l}s|YW6GVBOD)@b2ttY0CY6~e`ctGD|sF<6>sMT5%Bmpxyl6+(>3VXvKH9p-SF z$rw6=4;ptF6*DI)Mo5~`ymoZa2;e2D8ORsD-;U>xla0WNZ5- z4W^x^)p=|8Z-;hwmQbkGGc#DJ32iShxksXKds~C`SCZ}RCHDh02>mtJ^1*NQ%-VY_ z=~qstQ=53S9U&cyH6v0T3!A%;88M<`0q%6(faG{GOd9Lx{Y89@S*)=!gXv*>&)~Vz ztD{&qlC)T!#4zeJ&g?0BZQj9)E8+AeWuUDmw{HN?7QO^u{PX1T{0|_4cs$EXZj?zL2aPkF-(x9?C+_pkQ9q~& z9wXh?B`jv6TgJ|2_oH2-VeEXyb^UmRp%JiUX|AsG zn2qpZ%mw-|yxTsEi9a8P#`a;gt1gPEKX<0->jj!q8j|&trnPn%iJ_YAMU*Uk$O5po zpnUo2*o@F3#D2tQsUkth1hmX21_k&4l^c=$l+sT@oxsP?aF&*@2}+1Nf}BKT#OG7UIR+; z$$^3SDw1UElDp!bGK-F!AtdZe&I}#Oj{_aKNJ>u&SsL!3Unes2OT}P-re9RF6HluV7VfC4v5w)6J;2Tbi;o^?-{U=DE zT2J~`u_aC6obH-bc)WbWFmzfo=u2f$+x2xhm1CAf#~`)&Df|d`dMA@HfgyjPV{`U! zE&=SIH$?#7sRU!%ls=aB5Rc@OCIB~H2m!o@#2{-$HrwKjH@~&tc*0CzE^!+K{Ufyd zArDR8o)N{%voj-PZNXy6tyYt9is|43r1&Drz{W7=!kEXXK{B8_vx#C9j$KbPwL2F% zV6hBZC~h7f3qQ;X(^~FWC&9wKWnf{Qc?yeVhlOZ%s;{wV;dwC(3Eh#0f`xm_z+zEa z?<>AVR{YAcWIvLJ3nkDP*cN?fX$x%kmWJ&P!A^3Qz;(Tw;HS9DdQH;hx$~lv<;8o; zWO;6Be!4t&Gy+>FruZH4Y8k5YkyQ;OKy=7L=s7k7pwSDAe8&`g7S=T=j2T;!6hp!t?h{0F$*s;PiN%zrGb(Hive3wABCni+cgHy>1q=6< zZVz{GiNeCIoi?Vlbb6<=8n7^ZBvoXXh;(Og3Ks4yjfFb``X|wNuCp|5O*l6_?BM16 zK_ufz`F36^U7kCpH7TUhjpqsdoK;g93Rx%lPM&mAuQSpcL{1g!vH3AY?1&3wU#?HdmK&%n=iLld^I zw)fWqq>WW~$QK1zDNVyVrjrz}(YV8D?!q+Vec?STz~nNRaWUD6Vc`yUq7A69aHJYF z%K7+5tok!+bB!W2OIvIRo)l4z95hO(gdWp|V9iK~7MFzR8@2cEa_r}KjX+2sLe2&( zqqN{pyJU8s8dYcN?e!_S#*@SZ$U(Gdl@}({wPO;~XmSweq0*4i`+H0RcD*tC*u=5} zMeIZ#Ad}Hp2AQs)Wd9I!bf~Kl4x_b#{t9NFSMNTVPvsMN^UPaFeg<>2U)(5IX+M1e zW)x#GcM4Q$Y~bMsAcdVD@Sw-74Axt*QNh7c=wHdbB3z`r<_L);ys-y0q6v(aiIK`3 z2K@s_fFZ0T`zT-h9T@3M?&#EAq*Fp{&@vs_WU2&0;(5lc3$}{Hy{2(+CrrN(#{rv; ztp@xwJ|z9`Y_eF7sw#|w=d6iClRH^0@effkjH@7B)1fdtwm$C4k92+9G0K_hnS47L zO^uW*0gPdhPXc#*a3&U_Z6=|C!NLoj8**7%xYrC?SeNAnuue9FG1n%eg%cN{0rx11 zCYARL@RoyKii3|!J7tm%Pboxu;C!DVYRV024V)X2oC3D7>THw08Hb~W(4KT&gZd^7 z+8BuVt^+C~j%Kz}nsi6x%VAlNQ%ZgSF>wdsD;1v<9ZQux@r5Ai{wR0e6-?x(Qk?3O z=FMnoYc{4vtR%+cb{$%CAQu%gyu~8sfW9H0#4@^SyUQ#~d~zhD z4V>w1fxDZmGDu)meI^M=(E=p!ir-CzSS;LY8jGt7fgoXxjL8;WO}3kXs65`<+Rnd< z*i=X5>_j>>gesmx!VE>2F$v4v!T>F}OTFRex!W73zPhUEEkxDz+8EzE5*LTzJUrZ| zTC!5{e}$jm(FxTWtLu5<2IZ*EDZPgv-j;Kg2j~LyeT(UV63eiJ5MoKWLA>S-D^<2L z;Tu<9NiNG$?p0^wC$ND5xlJyr<4g8bR4wGYr;Jwi zD3}h*V-5ellR36|=Atx_|R|2Be^zrH1VIm{v=c z8cHjjxLT5e?Ovbg&8uvzcRQnI~_*lIod`ibR)Uhp+zvjQhC!bFBvdQ8q}4})jF9RJk`8Y&C7ExAKM15 zxU{;pb^i>kE7E%YWb{Rcdu%d4Us|o5^zSpXIe*CcBSTPCqsFS%laM!7O{*#~0!3jt zJ6dQvRa3VVFx*0N>e^r8>zGa75rM_d(_th-m-O>TO| zx_{hjR_|{et-lVbP`$rwfYgj2`qf*b9|S}7mr!RhRCy}ib7H8H!Z1|*VmP6@H~z6e z`2Pa{0RR7Z0aTJbOT$nUhEHm({j#>f`t<_@!NFoF6hXLYZewkdwrT2TO9TfeCj}QL zK{pqj^$*xZ1SuluQc!SkaH!y*i-WVcxOi@o8_96*fqS0w=A8FL1OdVTu$IYhVt^PP zLzXOqRx5_dULb&{AD;1^Z`P*zFplG4P`#mP#8|aVBB|7>NQ<=Kl*}OzgIm0hw>N%S ziRhLnq@mEd%L;Lr!S~$d%^e09^D{CriA7baQQc9EU_Jspyv0mA7s5E~R!~i%vP@;J zn}iq4?6lHvL5!m=RahW~>1$RTe(cFl1LuX3w|USTW*C z^9qymLe%D3osX~Y=)YqQS2vB4u6Sq);wZEKxVwkJbIL*8`GpWXVJ>%c*klu>@vsHx zblE@8pp7ks@){dDOV|Nr9*n#}+J diff --git a/cpld/db/GR8RAM.cmp 13.rdb b/cpld/db/GR8RAM.cmp 13.rdb deleted file mode 100644 index 34b5618105149ac59d23c862d241bbd64ee713a2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1792 zcmV+b2mkmH000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IyA00000007(t00000 z007Sc000000000700000004La%vM=%8#fS^dsn@S-e`dV3N#5|%Q|4k%2QQYb`)4g zv~rsCMW7@~X0yB8F1bn7m;UDhy$|;rQdbnJU(lPa9qEq_V^AB{EQf4IS$vMZ@42_}TG$yNcXf`R-Q#GPV z7LV9RhVmjFlZF{789lL&MJkCwb97!RYpB-hb}135je+QSk;#VN%8{kK)lM5YGBQr_k&eg8dtEJVBafaQ#H)2PECQR8%hCzshl7}=047^ znYc8~DLTng7jt82g$hl9lQEb35(&dOauDjr6l75((wRFr^Lr8d4Wvo#SL7Cd&$0mv z$Cib@ft433twjhLcXH;(S^jK-m=TL1%uY;O$6NbyBC^oZVW@|w2*D+iUk2R<8@;f1 zeg1zQKv@hn`W?*9=td|KgTU#l=={nD#0!mKZl2#;m>s`Pa9ND-qSH zC9ZY>d%?&do%ub3G`1Obv9!;yJg=iIMPAg}_!bU(d%aq#5Q(JlU1X+nBXF?FVN|PZ z?xbrtXvV(K!+W$v0V-H6n^Nf}mCLuvm0RT!q0Lo+i17)&yBS(eW~kYuN_lIjEZvi- zLE~~5xgw@grBE!C=&MYjV3jLnD(-HV%G<7823;yqaEHE@Lu&XM?+M?;)I;N8R@4@Y zc;r0uMtQUkF!gn^70O34q(*F&on3;?B;k;`8vZu0 zYlhzq+~C$sehCNnM3~JJnAt7r*czBcKjp3001VK9+2zeKHW=*SdZ*QG9yNM{pn2G? z^^bdvL37`o=03%=Q!ZEb%GGLRcc)O=+1)KxcZ$VQv9z~S_DIiT+S!&~LQ5o)gpU z?X1@SrKaQ8Y+uNaTOP+e#ziWv!`}y9r`vC~n%^`Aw>wAs175ce9fKTac4sp2n{~2_ z)*l14+w0tE)cb?Zald=qAM7`K*mZgjJQwz-e*zBNtL^H%IbvFRoZ;!RN=Ih*bkpDh zS$l+M@Kh3BXd~1Tyg=v}t;NgZMCnTLknSlI546^njP&9m@i`9r+5%ed9=ldV*Ab8+ z47oDRnceV=&Q*%rSxbygP{!%DHa1>X4^C$Eq?P_0@c=wgvbb(8wI(}Dzmu1%GeiqNPyq+S4In9GxW}ph&C(aBf1WEZy8~ot;Qo*_l|FSV_e^Z5=E~+1S}gS-6;4 z*_hc_SlLO{E#16q%`Hh)OwH{{SxMF9NVP4^NF^<7J^s!lKmW_}w+&JLujWJjuNqMO zulhiq1scXutautFFn)PhcD1On)CHw}*G_A)XY9y2+rkqWbz45elXFOx|LO0=fD4nw z;5FAzMf*PP08k^RM1>3$7z`S5Cl2kzuYXI9fI&cmL3p*;V-2tl~eBIK}aLx42b+NwkhbM@wt175N zout{{uu5 zW!%K~!xUu;7p>xDoJWRW zfSEoD8c)q;Z`VZ&n$EF9&{sFFt7&1WC^U2@wP09Z0B?DM=t%yX=S{aD zA@b_suBtQ3x<|I!a1AuL*HKgqDd94j+)q;d8)yjni@Tz?hO>8gmUrr(9(#|y);a$R zjUjFA`@ZG{z|+gFPa8Dn${%8nnBbOssHRLcv}g5RW6uZ*xwHP~Kfj3NFdY9(wxESR z&%sP@SLgNQ5$4-`P+%FxfcOiSzab*6Wm^vDHm2GT#*|KXFIA;p&6`1MNg*s051P@?0Vx6Exr z(mbmEMw(t3v2Q1&Y7Zh%^*Lt?Jvg96=!nFg!AQ)ueRyS` z{k7X|J8>0CEsE-0ABsSC=ojP~Gdb?ih3~Id)Ff*>b|O~P{5m3J7SlI|fe_&te7O}6 z(_luNJ9;7qCMONhbBu4RIVaDc;nzbPi#0vw<+&l zAq3!)_eA>L>Vnm21U-zvA*xi%Pq@&*9$vr9+2Zv3WA0#ot`X5G>t0u~*u5gKPO;S~ z%eVvI2tgWLqiaIHOFL`|iNuj#pMWLdb=%xyVTp{cK1ENMq{EM>-rNu$*$au-a?(~Y z`L%3UPF~Cp_U`#|dK0F-H$=3xqE46tJ=1Ux0baC|sx%bRJT|!zmyEEj9~@wRQ26?@ z<2tyB_Z3FeZiwU<@~RMDlX$YX1WE1d3iBmwF*pM);cEtpX*kQ=5t=-E7i8^Eyzu*4 zGgToNz7XkVePds3={04nT|2mB^( za>Wyb=VXBsLkC=s&o^z3-C`T>)Q>n!)6f#T0dp;D$0Vssyu8yM$06VO&kD!7$EvUR z!1Jv|77Lkz_74iKCX86>3`u;@V_^{o(E#`uzod0S{}Kb9cqSQA)n9fpK*0ybYCgt-R)*W781*iw-WmkNKeX*UZ_SAcrl=-zsR5?-=~MZ2>L4Y zD`E}1m-SbF?S%fH`v`BMjwhzAD()O}51qSMVLrMA1=YLq_s>6%nWkv{>6W)+iPqNj z1UzzEX4Fpv`=Q{w==uhU*Gf0%A`DwJ8KhKlvP`!eoAez;g0wDU8=f*d9Ql?DVsJga zwg&Z;$vgW$4F9;}izry)H4ApC`~XS7^lp6GAalSo-AjA~gro;O>vjFq7JVmV+i~ z#Wvt3T?2XAMN6HqPg!);qR}4us1NhVe{b}J7fbWVLEU*^)Ti~3S!0B9zN#;~rhVNBKqTxQEZNxK)KOI0sPB*T zZ~8#uvwHBXn?lvkBN>{TSv-tT%poalznN#RjRo7iDGi_Vte`$mb9u9v>xd$Id}E4x z;Nw5*RPKU+<-A21hNL z9CoSO8r!-uJcwi0cyySWQ`|t0Ey)#(p4Fx$dz3k&={ds}Z7B=Z*T=C25h_FPGNEpW z%W<_uygvDl-%cO%29=wals(q1t38@6gOuE?glmnP`Iq?kwZrp@w}h8BH*1e@OQ&Dh z?)mBY^!a#YN>S*a#=%bHZDqoVB7_42?AuE9-Mtzg;X)ShMY4RKE!mn%7p!t9|FrKz zG~K4TUrs+&--0Fwn+sE#m1SOJDYvvMTHfX ztEYeSi$rH}gHT)4{Hx>rLCL!IJ~ZE`JyFOPBa}5`lL=h)O5dZEfY_y8%aw|rb5$cbHBU!es53q*OAdy9$V47km;u|e^9H) z>7VcN=YR3f8tHm_3$t*0yxR0)YwkLwKn`Qn6{{Grif%Tm$mAb+vjYv~rIu51%xi-Z z8Oy44VdRYz(XYh}@_Ed-k?f5Yd0HC80qqmd5aKuI=tkGmP{ppvB_tF8x_*H@I8b34 zZD@(H5Tk|8b+et8GtK(4$*Q;aeRNV?iW;aCNVZGKHDT8&+X(OL_F@w3Qv)7c z<9;3-8p`}GhvG@7c`o-#uF;r9ZEOyBV8~jUPGe{K7=W%=sPNL5%&`P;0;%*zGO+Ey zmBnBQOwJ*2eUP{uwo)GT@42PfA8u+fw?~WHy&HXKrw|)d-P@)O@=k9R?u$bielEdE z>s7gemG|s_%Cl+|j_1L&z)5|ZE^y*?qA~P1hwEr^IH89$r5=4t%jNA>LA+^2N|qD} zjV%AjclR5S;9`udJ$Sxw+PkJXjc6hwc32iqA2hgWN^63WQLazS8S|`ap_a>KjJD;d zHK<&d)b~SQ;)mUd@575~4{z#uhp(f978)kRC3>d{4R)u^Cd{opHn<&+1GpL`-~GBy zsq`Y^3|kt4b+{j5Xoy=nCN^&iZi7BC`}}5U!lN6{i6S_E>koY68aZ#>o)pSe_NmE( zMox7NlXQ;bFHkz&j99lVx%%dxAvdaCs71XY7k$!QF>fsPBch8|xBvC_C^=JDruWpX zZLC{^1TQ%1vqDiX-a#n;jt9Hh)wHDsX=N_L$wn1q`LR18n_xKoiFon%!?i=`%XRCM zINrBoyigyVH2WnEDhEMX!iD^}#+n>yY2PNWNEoV*jY9DKJ9gPdgg*YHR?(Ji=2dCP z$Ygeq4yCJI??JgOVH=E z`XtZR&(V`*kB^59jUnM9y3ZQ()TJ#F#D67#(6 z&PdMrAc8!zv<|{wVfqa%Jm(QJ<$_zGLD}8Ln%^38IcCG*F zeZT2U^s1qnBBsm3IPvRR#PymQu3rcn^l38SCy93Ak|dr{&2C(vy_tT(g7w&ZAqHlD z_T23MTs)W(c=<9`WQm`y4DiQzSSN(Ju4k`%;Hu;fh+^5KmtPzU9y#?JDz;h?JPc(@DL&z@A7FrI+2W6Xc zIVFK`Xr7_4jm|)A85x;C%Otu)8C=bTZ?&1ru41v_)Q~Susp@mkvh}Xc9NC;cp?HVs zPSR{gL0XDS`z4~ct7~E^d!j%RiaO1&%x@@G&Sd;>`-TSlLs23~>7Fjp1>X6l?gFGN z5Wh5uI?<<4KxnQsz06l(C9sV!@kBSnB_{Xb?G%bLr6Uq%0)R=3`XyD>mQc_2LnS;9 zdYVx;;4CEv?HZK%_;0L82NgL_ zmwm-zH<`&Q5T*gfg3|T_pV1XHJ)KH>FMu(7Wj^V22TE`p`p>$wHwM3HT^MB)UY z*8qo40}OK!m^ba%x{GTFhxPl?Fx0a>B^6=OmRZY zEiec#+e#Ss%TQq1%(3akNsYogeOcQkn?wQ2aU1wW9-rfNg`vg!9&NVFI%CTtP@gi?!83qm_M+BP!}5sLR> zjn}(=veckm7)ruAJR2*rqt06S4B?h11^O7-QD5C~Lgm9eL>n!utRddAT#>{3MH z=s2eY>@7zd`yu$nXSlzF#SUMW`EJZa5KSo!n4R=SCs`j|%$DMky2MwZ1RF&D&FC;i z7Mk!4=@zI^zZoZV=^N z)m{pVe8qe9E1F4l7hWYV?S=3mMyVw^Bk@36i#V=V)xTUX(roLz5+E+0b3HBU$-VGa z#q@YZn<}X%068Cm<0s~ILcxM5!^uTrS8?uW9(*|9wnGU4W+Ed6g|AuLR$qUUa7`mV zr(L!!W1M&`qHg`pJIV=J-l#CRMi5ysuU{{M@lB4WY7 z^_Ag~JmWa}kf~iDY-PtOYe*US0d*)YsgI<*q8C6^N{BD$n6^+;J1VM%c4FA0gR62% zV6(SNtW^$%SH6lPHpJ~@0)3qblPy#ODdYI~0*q%Bl^&2IqE7bnxrb2L92cfl{HdLG zr8)_IC@QLs5S1=?L#3ZiaWVw-Q#6Z*Z}oE50nT!OH9yBE)M>O_$>^^PKZbcU>GxE5QlgJ!LGjGMFzw-+kCrUtGhAojz{%VuHq{!r6}9o<3nq zy77$dAY0~>RUy5*<>zsF^!#Knv}u^0y=PD)RdS1QN&xBXexWoV=+)1$?a;G1Hp zmqY4G-Ermo!P=Yhcgu+9dQ1bzj?CP65Zs&4}sxP%(CCM(xk z&paPm1*41d0Y{yGK%t8wYD$5`qKY7Ap2C=tMewZ3`r^r4rD@p@Lh zmiSmyZp_*FX~46pF zhPiz(l946zRnh{dPG+(@78E!RH(pm85k|fj57I*k z`p6e=5bFkyc-h|5tq3cSzNnqk)q*N-V-yC5eg$bw{=WpLh~3a5rzm*yS(%q`GGg2f zh@UL#xG7V%5fzs!IXH?#sJhp}Ff;okv0JZ@2Uds+upIE-8S?w#m9n>!z5QlX^r~zj z`$X9UAZHLdJHzT0$v_TLhnD#Uw;XWc5#r8!I91kI9Qz;RH*^a+Nz$W=(C7;1r!76* z@uh~<{M31G3kvfb%@+F2Tg~G|9M~ZgC<$h&q7lJR!a;0AW%z>;uuLS286?@nB-Ue8 zEj#1a4N7v}L}M*o#e8XE7GLQ|OsKr9(m#26?Mvw7L-dHTy%2H>H_UH24W@9BN-Ij$5{ykN;E08R> z*o&uTpXRE=<=DOTcxo&X?q0?fQYF~}$4z!l?pAG&%l|CTa}SD1T15k)(&!E+-836@ zd=c4wL;DH|(_To*l-+z&ocyXy>8N=r_E4OCbY@dLz#KW+bp+~dnfbXc>vf zW<5XDuKgTO+0XhkmD^^hG@m@tK7j`HN&^r%TWSJZp6^u<3W3zL<%k({h}6(GnpX@5MZTs%d0uvw<}z zlw_6b$EXv(oP|mgEHc&~T>=!_=xTT_%HB9+Hs8nTwcJ(;5cMq0A7USpeqC*GVyQ(7 zAxA8)6xug2y|5VaqnU7L3rgS*H*btjJVj@uzd8u{A_v4pH{m#G9a6FUNmgh%b>r)U z>k6J}PnwPpGAe$eykA9<>Z7{*VF-F=0}fb#O6GEs%CTX01u?ur@d*_~3GT9eylK;? zHOkfJCLlwns{tbcEH~?=EwF63yIhDtX}=&o>ZJcWAu=tM^PQAhA5l=f;X|((GKk%4 z1^y)`8-Kf#G6MNNQ@*1ZhUEJ9U3FAzTGX@x43TrJI8Pp#T-T@H#FDk|xWf$ny3FFVD=uLn(F$ViW(y9Y%OdL!vD?sH<0>9qx!~d_Ifl6|8FrWMN5L zs4vWqEBSkcNF&}ys$P?_pJzo}Jzh=yOxN#DNKfTIrJ;>g+))2xW1VRTmi_@NB#R|w z)Q3=(D`LZdmCY*pO6dKnnrfnQT*QD;czWB3j(uiOZ4m2-DSW1|;7irH-6z!@OCwh77qSwPXQM!r^?4bZW|=le)8P_#UAFy0q^1-$LrX4U>AfWnY#o zeUy;V+}+lWN$kaRa-u3oInnndFXm*|Rex2@S}(UmCIn@jvF|bN{O*Ce!M=VphV)hK zfK}a7ERB+VDD)zsJfQ*q_#Lx45h<3efQk8ckOIT=yNkk}(Qe&8v>Fh4iDbDZ-ly8s`zkW_LODf&DXB;Gt8$8vImduPky3-4FA7toZpW z#aiDL<$xij&-To^YZBqm?^NRqCMUIVxKF2R_QAI0v9l_rVX*%23#-FYJ$j8HYMHv* zeDSvqr~7slB5p-O>x9-{2^*t~4WeqEw5wr?In13AQaezVCeDd5;QF{Iz>SZ6?c`J% zO=m5`+rVSJ%N=aarZAmDP^8OXC`Fjqjiqnvug|PBlm?*YYRQg^Tx5qlf^=$t2-E{t z%M{hF>~n3r#k5HZjgIcRmWohg%*7#l|A*Yy!gQPI0eJnpugIByOaNPh`APN1NTLc? z!o=MM9$9pq;B+AdiTEGlU|bFv%Dsiw3FI^%m`ooN%xJ-q++O5d+z>!cL!{X^CJyQ| zo%j8WT3m|nL$yxnP3qLCC4fj9HIjD^G~br`BI7Dh0U?gBunaI#$Tx9pX@v{F`nsI2Vo44 z5(cm^Jqm=qhK*taa56J7ewY>h{V536m?e(*BL9g|?o$A3s)$X}KUf`Y2rcmuznHoD zKjk4g1y)fU{0<5h-J>d{b6`=l=&Uy+HU6|J?W=-gP;X zs6dh*DsQkMbMFi>F!hI7C{vS+S@fs&X^M_`4ZUa0J5TMS)7oBhTI04UIWceGs@ym1 zk!Vf&@3Q})RH!;mMc9HRSAlHjhze;Bsg+tZGk?*hbuw)#+Wrp`0RatTO{sSX3fI%! z)bpDE=1@e@QXPqzKEhA|1X0vGsqZ|Mw;Or1QnCdL>M;Hb6I=E_i+)YiEPunXIm_++ zFCA%rs1#s#bj=FR#A)t-3RJ9aS9<@flK&$NK9gGdbGFw#Js%iCOSK9t{TIx$xwnYy z@8lOl$x16GQoL{rRwdFx+Y0-K!j(7W}o%%$+gj zb)<4sIq(yI@5E4B+&~+c;$axj+Yb%$;_}9@R(c=TZ&O0Y zwqIzo$e-?)9i2KkM^UaC<|i&}(PgI|jE>frGvGe7ndYIW#@^2mZ%Yhn%?9#4uMLl%A&aVm!NB*N^!|@${Y~x5BEIjcJ#W1)Cx=&az(G7z zsHpD4{kH_8Bj&Eeyd~5($KTpp4=+A>{-VOeJr6g2B-{t$54YW&9zq{NSHEu>o;Y4y zgKUHXw~DnnuV3ZswWWdk_qNxre;{64>pO$im#21s@5pS*J8zNFd8kj1ogPMuaWEXJ zJx|Bo2ejWw4kKPWThJfngB_1=uTeslgXapS)S9VtSyt|A%JW)*l{3ykQSWpx!@>7H zCx>raGDkhfDx2avOKZd8qhNgi3Dk>g$`lE3`lc}@>grv%%Oi*vgY5X1OF-U4lHY4* z(C?r%G`_$N*B+8zhuV@`+AHu`J^^DV!IAhlS}0q7FIR=7FZJ8V^buQ`&jHuX4n&9U zCg!`Ihw>V}N}_lcZMg53cjHvK!tlLO<;XuaDq4j__VKMIHj{Fo|d!C9DxGH7Pz(t zD$9>cs1y@Av_#+@Xb6ap4~Mg{EEAPV3Z9HS6swG?OL<+Lxr#+VWKl|jeP>TfdKJ4w45tbtPCqf}N>B{vKVmx#q?U_OLc zESb+|5SU|NXkcJya&W_L06B^^9KC4@GrOarIY}HZN=6fJxo3$6A?FlSe?H_&itG+C zAe-SuT!b$DtsNpdd&=tz&o=A5m8e*^h&5hUVEvCYl>` z;Zg#HBp_mLr`n$|}~^t;&G)bCLjI2!B4Mf`rKV#yF(EXbf*aQQnJk{)2*c=s8O z4*eTl7%prSiIi11w1}yY^zcZ2B#`#n)zkYf1eSzAXJp2Cicqu<9+523lB^b;jODWe z67|-* L^!|J3_3`n4Y@ZFf diff --git a/cpld/db/GR8RAM.cmp 15.rdb b/cpld/db/GR8RAM.cmp 15.rdb deleted file mode 100755 index 01429b13f34d788112c2ca3184b109868f4c24a1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9810 zcmYkCWlSC2*Y=T8DDLi1+#L=M2P^LG?(R}t4^rHx zCcky9wXU5^COaDq0s^860rKzD#UCyI48cld`fiu`sccin>|Znv$}yvyrlJ zF|)EUv$3$Uld70GyIYxqOPm>H7-O|4x2W|E)(Rrz~$Q2sCGLH#c( zQ~fV`LZ12Q#ZWA}>Bj{a`nRy+{1YHT-p10Dz4c zB!}I8Y?O!@VBQ47i=7n?A(CUonX8U!YiPpm$my6a9X8jJ&Yrj`JTicH4ZVe`q%(v1t*o_uxe~o{$``olpLJB<}{O?04N2dZ$RwP z%3KN_gJ35-kN7&(e;-aFW)}l&4P3L8Y8LUjc3r)3&ckN$JqQ>Mr&@I`xw1EoW{7zD z^lk1s1RaSa()SRXB*m!xl<9cio(<=KqDZ^duzN(wg&Upj-n&Wx{Lb3vu>e=?;0>%U z(x!cgz}XX|wP^izpY1ijigi2JLAkKZ3wFm}$0*)7!O!zph2D8T{W!VQ^1pYU@3!cW zAp3ya{r0%B&1+b@oZBh%tbQ+EK#eB*n<=fKJVSf%oaqAkuAge!K?yD%b1HM+6Ewtt zo`s8cO`P0_Kf}hq$|WYk2I@IJ+MD?b+9Iy_eI-AR4m?GF0;F70mujnmP6-L_pLv1C zie*oFmk)q*iMNhjzDG6O6G7QHu}dD0+-AvCL&hI^U^lHz5xf(M!xeRZg0s(t^YQzpJajiw597R$MrWNBU`!7_^8<7a(mu(TOSs zWI*6&{kcU+gvLA%h$*m{c9+D=R17rAY>45pX+j5?Ok;Yl_b05D#{nn~jY>RX-AmYD zZzUt1B(HPl>7Lr(^AN}F8a{yo!@OKDV!-eSI1 zX{K9%18|3pF)2ts;&fi0HbP^O-UALjuHS#McBEd{x~p9RBKv!)xNXD=5jppbeUa@A z$gh2;h+9<*v>6YLo@%oMozRgm+H4@3RtmKs670|-qO2GG2#L_FS9w&2TP_Nnt5&yL zGN7ryTkcDfY4STJ6Xr3?bl+y~#9c1HzO~(pe4zaxZkBWmz--*rb%Vzb4WEJ=7Nt!3 zC?xYVKl_xU<|onK4CJsKv?C9{&}`;*-m=dhzZ4Bx)hoH^@KE+DB^;D17!dpX%I^=t zn9@KdRMruuo9++zW=Jur$z%9p0T)*1lf&|Xd&6K-OmP5t2QGx9s>}`LxjNJqqg`$K znQO&#AFLGFuMz50SbwjaIseSgnp14;ym4B58EVG=uj-Slt0tE$F6!3urtiVt;hv+( zO|u4(A=6TKI_t^uI(@eJ#o2l3`m6z#a99gP@##KmvJO@%X_6yMPDFp-d~|s1ldbe2 zVPQF4nq${UJui+<=RoWP&Fv9}mGRWLXUt+0!o{wkNTeO_VAkQcc@G_*E;Gl_ls7u4 zGqK)bi832{6?(vZ z9f958f~rc(s8pG|8MrXj{qX_~2jdtoUw@%>+hV~pOQu|wNth_DqLXZk`vr;0?SN6A1BeeI_i3|DGj-2w zN9#4Edh78VPM>0)TI?v=6shdM{a!JbfzV)Jr<8qcr!o_vBo1XfjS(j$+Sh#9hKUd| zST@t~2u_MdO3gnl0+D%vR{|NZ=jJ{vlZD&Xc>qF}h9suj_RkR62JBAb&@1m?!nFNi zR~4S)^i?`Ol#}(6*b~!|w z*G`do6;h>&1FGX3Uju6II`iHP7?${~pscyr;Rm+@&fJ9pQ4ixPMjPzPpN3U%Hafy2 z+kJKjTe#asiwBIa&wcH&>%OU%^O+N@d<@=$Bt*&9#WdckAD@?9QxB5_uxuR%W9{r2 ziTNg1k7)no7zGCFCvO?Y*{NI}_f@abVN;Y&jMrXrtyFQ53RQRvF8PkHa}k|Q0TKCx zb-TA_e>Mxen8&o+Udf+upX|Vv!xIS3<21AeW>AslM z2n8ca2NT~*1RejGsN@yr1dZqkB?{K~cK3Y>fC)@ZVC#@K3|kSxjJerA$|bjKTJm3^ z64n2^xonc+wwpQj>X>coH~FD+o@JEhDJ~Bd6HO5$*AOPq zJs;q#L!lD_hVvGA=u>$o+JstLIrrzzu+`%u_Ux`GlIeP% z9KWaK#Ya%hB^xacx5A$J-RqhGFv{Z^CuOy7{F2~=U(|05UOt(?=7vi!7=`Wzm%72| zktWb@Qjc1o%Td{9!u{s`;{I(eKX@0ebrgA$dFxWZuO&8dlowFe&nw^0>jEogo2^RA z)pVV>w!F3>%ZD;(N63)6BF(${qBA^~!naVX?EFK1|8~OUVQu)N;n7v1T8zddfKr4P z=1gL7nvj3W=hvT?p=*`%KNv(0c=UR9>A8tn%WY~;DtH+y;EbUjhNAW2eae6VPzeI@vqt7J~v#j!D(8m49|1Y4M3@tka@ zs~K2}Aq60Zl7bowubH+o_2S&qe7@jQqBidfi$tB^%!A#qFofxNwthD`+r^MYrtCsljlR#6h|+UBi2vEVF;PmI@)DsxU) ze6hZ|ytyiSaehfcnfNm7vs!lE=|^IPYdefCBgdsuiS=!MP5MHkf%CmmoHIYat3K40 zS8tqL^Ts+V0%r$m0Il6f^R|Al6-1;xWw!u}`@bC6qLyDhO4EBcR0~{{9{gX4QJANlVTqrGiAG z=h2_e*SE{EV0Heg6!{8pp)Q`ozzv4)ufFmS0uZOsGXyslUgS5zKQ(*NaW=`m_fDYt zgF`*3-=$DIaaGTy?mwzjXHXizzV{57i&H7=Odo5|W%Fh3suS5}2%Mo*I>YJM*4yPp z+u|6UgWI+KVlvqBxzxXB7iZF(m7{Nu7PflUdr?mz)~UL-jOxDGzm>Tx45oWK2FR~f zNyFC`Ly41(C65Td2BBwf)s$N=YQsDO2<`c>G1W z(Oqrkw;_7)ZGs)1&ar&05E2~Y1qPKPgd+%}vbZ+19;Cs|z@C$*M4bd(P-o;`^!so;BbMtNx;KfsL8|;r?q&kR%-N|_o z!dC?#aV~LdN-Znm|7z_vQ&qIR&Yk@H4eqv<`>Rb_0I{C(E$kOhJtmLk)Dwzb=DHv& zOrAbnN69RE2}I(y7L!4d;D*v3^!YNS$lhEgz>Y6Wj*&Xqt{wG$P1{F64L|6ta{X56;LASQ3$#tuGP z0gYf`P>sYQ8yTmvE&z-fQ0(;7sCmKR*bSKyx`yphJQb=`G;z=(5Jffhw?>&wlXkU^ zK}^~tqnb`)nlImxcd!4F$~S8sW0H1ULCu)bNoL@jZlhr6+}m>~pB#T{Lnp4$@je_v z@87~dSLt>cwa#c=1%Y>GSFM3A+Sn$OH4H;J(yD@A8%4AAFRhUF7$ioV)h+Qg;!|!< z1s9sY+RY-P9Mz;zW&zB8aD=hCHr;%A zzp+do+@JlG6C%J3E5d&^R?!JMku#VmqOyGRBAj3CdF+rExt)6Qm+r6u86c|sdG;L}sCS07i^*#szmKrDXM z<7KS8>ido>zwD3^D+D-7W-j(e67rP#5ZA`4q3N)IAMOG%6+xCHqAEKFIOuev{U9RC zka4f|vK-`Tp;I2i;E7woRmJCEs>u$LBo|;t^!mNb&RN}~myeI<<<38NmGJul6s@=> z7pH_6TTAkU#VaPBetVMO+l0lI`~${sgMfbkg*c_hDXS(zJ|p1Anuh8R5XrB}Y7!0x z=E#Yda<~P8J(^>9~q0 z-#`+BzS}i>bjRZHN1f^;bXMlcj&ca2=Xx}Ph4fAfI`VKWgS}^hPWYf~AC;4icuG!P# zJ8y`H7~)=MT~JDMG(G{=q5*smqbftV5J>cOhWTm}tYu~($&7@Sel!EK7#=?|#wiYS z%hAeyxc%Ze)c2Fc8efa~Zq!f!RX!y&E8&d}SQ}Numgtzg$X6y0<4+!4Z~l=Uf1sgG4C+zxDbKk>M$sT3btcgMcoyytLhhhOjS%oVQ(hWq2S@ zKaM(NYU2-H-m%XdR6x2%83ZNtl9ZP9AP^N3;tSZN%vV*9h$y3;=yhx2DxMNp?(Gt* zmu|x7brI=;COl=7|h7Y-y?-bp6usw4rJhc5GWhmUfW^W#d$?;5&ay+R>c_@aXw;p^=nt`m`bPVq8Gz}z;cuhL zD12Ew=K#sh3kwPP&jNQ(=}W8(CiBpDAC{FD*D#`|4;wugO=A*aY{$+|U$BABJfnN? zQZiei4cYo#GE{y^po~ON^zajlfp7eT z6K5K0Pht-OqgajyCBW*$4VirbXN%tN8v~EucZ8S(2nAA=txyIo!8-Mc^3|5JrfyS> zug+toGY`J2ks8>z6*-P~FE0c2DI%;rlx8|%(qQUC_ksGv)=Cp?flGJhGuOYHReG=6 zXd--}BMxa$Xd>`x5>29!g^<%v!Aw7d@yttlW67K(Y1#At+)6k~Wt%(BtkAJ7`4~Yi z)hRboDrgW0(!u7huASR^3+Eu*oCY`KyR%a^H2%*%B1%U+w&9n2ta3(pLQ}St|$61u8?)eRyHmhX;BE z!*d(g(8$*f`M3vsvZ8Lh8%@LujQBZMA<2JgZ!EoIN`Yg0<9@XsuJ3hmFF6>egLLr* zv8MZgm*p|l0=Eq5h0-xqDWLc^N}+q`ouAU^^Gjfo*cmNil7csnm3i?nE!stw_{p?} zn=)|=UT&$JgQF;rs%teEBcm6H-ExK0zf7EuX^Z!cnb!v^pSAVF!+Tm$yTS^xSA>lp zavH9qBe-UPtjSj5&@4~)mg93+xR}EpPK5;~$NtCI4c)wEg5-!CG@9)BX>)g1Y_VP? zfI9bWUUrTHY^np^1dkPRU}nFRqz~-(w5^oAB>HwI;NY0;vICnZN{*8p$!u zG^La5TjS_{xZE3P-Hd7x!Lu{@#&q6FnmT=?FNfzg3o}mswj@kI+?ao>4AGQ}y=Zdg zX|^&Z@6=Ew_c;{R*=(ra||2LeHPpExWX|} z#!&fn&bR9O*9{9r7KO7Uy40!&+5N&X3*wI4zA!Xbt@bSKJE$2hMk?q9 zGNwPXVY5UJJb)Mn0dRGPzG>SAxL zMc}Hi9F|g<(;w*#RW{CZ9z9lLwq);=;shmgJlXTwfJknBl~%1?|6RTYtkn`UGY`uyp0KqQ1r&Gm3(E-J+r>SPt=9zJNOyf!8V*b z2V1a#L82FD&j9{mM|s-#QP&p9-CnQB+(NQ}JRxTWzKd0A(iR1AdJZSrA{$ypWZo{w3GOgayo?)ix$w7J%#PUQNyoaH7)P4>p0KzR z|4i<%9{s>kD@@n)w;6Ex>D_T2U;&%^|>`vRdjoV?m&cl0Hjka+IeqA^wUA)X#(U`0ALAbsWIC zwVA@KK(|#(5TAqyK(D=C)XX#1WWe+?{Uj=g0~@;`b9JD_a3F`=5yM;=FOFjPpf;0U zVo(-sV~8YYzDO$>FQQ@}7Jp67jH*quJPm4nfJK|5(G;x5NG8(}vu&7ju^aLHt;4;u zyJytWrqI+gR;r-`;jU3IXzxhl+I`*dBjT;NcE6>PN1hsB&4xvtkud1$F%P#OHol1ne9`2}b+XJi61l<&8uH9r8@lbh+$YBz5LE$B1k0<4jX#Euq8dn%-Y)8ec^0c%%5$`UOSr{O=iPldq@czUmzp z8s8g4Z!{fOAF+v_Lv>7;MXV@zW{;wR*{}Ih$*kjc-I&DY?1xWv!%F>97h4gP06YxA zcspiOo)a_bO!%>VP34d=1Nad~E^12fn4PHBrBRIikW1m=x*Uulv;*XF{iixnK>WzX zX3S^~j2Z`8Y%3c5O=X4|)sC*8nniVPOF&+l_Q+}N6M?>i{N&hfblz^B`;GO-y+j*C_LIOV&= zk-KMT8jp24tzWsM%9(&ZwPm-V4^vXQd5C97&a8w@tWF}t4&(Dr%jltt$v<-+iLqk^ zD`sCLL&s6jsx=)=6{WfuP&8V|KZk~lHl=_q7uOpC&s}zJOd+LuHV*EWP5Gra=Mxa| zZP8)qqgUZaEZnExe9a#Xz9`vLtHSs{vK0d_n0zTB;+_z_YK?KZNL=4QP~<4WlC63#-bJ zKNY9tAqdF8%VIy*n}^L6ix16jtYVTZs)$BYQhj@}cFRD2rehuWq<0k8kBQ-$FXTR? zALEOYk%69OT=4g$z_#iParoD7Ul?V+__8JoTPFO6)zAjg5+4CXO;n&%WT!$0Wn@`J zaPZqHShS8RH0z1-YXDGjp`963%V^q^|EX8}PaW_dUVH)fkAH6X$2%=$5aj~_P`Lwj z8GC2&e#vRZK}?NO#!+9|rYPEDRkfeh?%XtvPOE!NXboB?WkfwfS7bu4hojW!lcfJq zid5~V!fXM+l~A^Gc-fTuA$p5jZ~|mhR?mYuW#LGWAYuG?DbR^^_<#&ITVrq z95Z_OM1>%LtkOY!=ccgLz@wg+C6Hf({%<5~>3=PHW4bS%L}j7jw^OqWh__D_3Iq;| z8VoF)MIZc4sH@f3jVA0!`Tsg5X`kj41hg`9xEcIgg8Z`o#EMsl6o0TgY1aGY17DyF zWWS>RKU=1|dN5J$p!4fq{uu*Ap#Nu<-f&@X`Mq{9kkL zg#Q_s;Z4f&Z|Nrg@k2&7|M9-qL)JfBXzu=-bBg@GIpdIFs1W?H`~)zcy=ksAmqz6d z>WTO;+vw^lKlA!(XQ@5|C<2fj)Ut+5<$w1cjFVMY{E}{C65QH`)B$7yBH5_}4ytrZ z;G)4Nx@?L^+m!njf84`M=68uurdKP|6ODnua;xgh+xiS_@gb8)w)b=T4UxQ4f+9Z7 z!l_E{k6(0MPosaV*n;ReTKvf!DbXwRDtos)LHuOLCL4gCQY7Xv``B{gyH8rL=_>U% z9Sv(kuP-Nnk4$jlV4H0cjo(yi7bMW42x{04S>38A_)wv3vfl{P8$?mK9Q9#wJ%TK+ zM5^j{M5wyb6Gr8;K7$C&+O6D@(}TPAgdkCcfy>PF2+XtjTBOKh=&|bM|wVt)Fz%im)%2*&0dH1qp#NqD*9q6 z(8Ku>d@wYWwi)a@cC=Ixq#OOs>&E3jF&GqwW@ebJ{%~0T&2Q6AgTlQ;tz4C>l~u=y zhL*N@AP27Ui@VAImZ#Vpr`Grwps|cRbL$@odGfy2d<>RKRsh^8Y5{}XnhcJ3N1bSo8KiVX_rQ9I7KDs6QC`+9fZA3Lst#zkKccO{{}&B^V+V!d0| zWFQE%n9Z!T&kJJ~zi<4gaFi~`9{}?mLy3T;UBxMY7#&CA+nlSB`zyiUw#X@&V!9k8 zWO2ewusj3sg1BYFe$Du?7sdK>6ve*kCmN^(5dD?6&HXNN<#Igr0cVxix3|SYc}Wto zht&5%NqKo0veyYO{lGw}hwEq9tGfJd0@pD_cICfvyX|}73o>EFP|$4qApF4;18elI zm}a{sLAb;=P{Gn+#IY%%t4Z+Z%Ur03$afElybgpboP=N9zD9KCiW*dU-)4tyfnf>e z$f14#Z!9x0$uns0K7UUVeSCOAqMI6;ZW$UHMzA%?epW_Y6DHi-!6ezk-y*?;LN_vm zGR6)=5T0S8QcT2?`Ub@+rQ}#zQ)8lN>Kjp*7-!SbotRp|E*{OP2#M22OuC$zc|}kJ zgexCdXPsN;#4S%r$n1rnv2Su(^d}u44J;oVVC`q!m>-&7oJTRHqgKob-u@hq$);;E z2ww!uo;s>q(6+J#XnhG@+pD+wnnKtZjkcNQC7Qw`aNgKdoJ)=MUm zc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F2!0RR91000F!00000 z0071U000000068y00000004La?0s8|qset%kL*Oz$Z=M?mSs7y{d<*_cCG1|Ci^;@ ztYQwEGs9Kiw%I+q>w&>=y4gL2o>(Xz1gq1vf*l8T!?wpyOCYNii!=&B2U+*N{_EGOaty53<$|dJ*qt{Ien>#znX|2<1A)zhf zvM=O{$x&-POxpe4X}^~oHJbHqa@1*`;0xX!B><2dwLA6WHi>xo)kf=}*+1m{Vf}qj zB024}k2|#!L*q7ZX`J*OlTBf-1sIoW-ERA!QR~$Ylf(8w|D@jPS#dzVMhj3*>?5mE z|MF?4-t9IYCf)wMzfeEuC6{|`gAE9I(lv-5v|EP_OAUvqBlC{MDcJymEl~J!rGKw` z&}p0+;VT?>>U9FYB6QybYr&d&a?_DF}WySLJn$uVA5%Pg|u&Ud!5F;ey`o>UQLpnX1xZ0o%#_Z#L@V& zs-mx&LG-BKF*0{p@6{U3?$ygi4@5_9Hro%9O8?}f)_Isw5WM&p{w_gH=6(mN%D*S| z0I(l72tk>D5I1|U3>4y9wf=FvYrYKpcj@bR0i#00V$9gU_u1ng4hm4T*&bQZuJ5e=x0>yHXm=_jAiqG!e+2)DR_Wi_2V-cSG)ce> zT;%E}@N0yp)U2I$VSnkGn6Cr>(fN2bxn&V$W&Q+iO=Y$#qwG9|@u^lNVEo4fgJCQ^Qz06j-m%xjJE7O8vHd;w7d26$B%lN@pDa5!)gdf4LZ23DU|S3 zo3R=2r{cU_AlV^7xM`?$*0jAotmNiHRO2lk9MhX>uQ9GFHk~YZ1R^RIAr$ zHojs*JBU@3TD?Z^;jrp4?)F&7{|XA;sUcEThr8jsQw*M1gpzU#j!zPuac2Iz zH7`Fltm5Jr467o2XzMUug}-_AODEl9vf5&;YH?p zilLgBqmbdo?8O)qpm3WGs_9aA9XDDM>07YhaEbON6&pxJCo^B4n-`W`qzK0@b{ehu zZN#DJ@~{dKYFxqVpLzWnM`pU$ta-Y2aN0b)W`_oBH`sl+dfI5QyMT)j7=QAOSOu|q z3{VV#a*5c8A=SUquhZ{%&-eey8r)AJtFv3{cI;u>1oXQ@U>TE_V&~&~0BX1f1TfVQ zL`be00>lY)Lof%bvY|)&Q0Os5Tf|vaIZvCj* z={2hhgi+bt!T)pL#2*I1>#w7Xq}@5RgNFAThjk2MB1G6Th2c5X#8v^1huz-@$;XNz9#5TW<}z1Itehxre? z)G@R^le%+DU511pD~1hd0og})E~9r)Q&AR*Sj00kBdU+jTYQXxnc+pFrnpEIdY0uD z=lh^vFwA@A5W*#<)V-ro_p`*BIx}j_sG%vK?`N{{3{nG@4j0WMhba*(Im130mysbP zU6*n1%?#IZ6YF%dj0kmTg6R7hac0DMUi6X1CU|2v8i$R}C~u6D$S%<<)$%^g{j89z z{g}~YMw4-LX(lIGIiVG^c8u1k&}<@tckmwPF%0xA-^FJeH^c|5LFm|VqHcmEC2+N_ z$PyF;C-2c3zGf!q-K%lNEGA#N)h6vzETb_q_fZHI&vcTL_F>(}@%914Oh7z~owGzA z%t$aJ0Va!blys&oGZM_CV5SH&TQD<+vle02C?bqBQv|o@ml0vkJS$(@PAZrnd|2xo zb}^S=}zf#0GSB_KMqTRPrw)o9#C3v$umK z^w<-Ci`To!g9dtA?e{T{!%n`aV-@$IT{Vhz-FujGVLC|N)A~W|g zI`PjY+tBDvaIKS8`DA*Xb5>Zi7*bx_hbn5Nj?xG_s3ds z8TbZjwOKppv=8ddq2Uc~-uaAG#iMia^c$cOCth(OOS4&TLI6(DjMh6tc+S@zGowBt zb{RAWppe9s``D4lES@?8%u3}qN+}H)z8d(?vk>a1D4_h# zUcxpAF^nY5s5B#p2*gY~X4>Jc!%P@v!tg8$16p^^4Bcz@;5eUnUlXt9=Dv=X}a&j#}$;qq9>QeGb@|w-We%65Gm>qXln0$q)udjsE#Mo{cV{>!D zliL=+zA%n>51YXzhv-IQztf)Ite5@kg|%z>Wpd-XuV)tL2 zd0=0T$tp9K+7I%GUs67_`OQ9|8=KYL(yel3ue4j; zDHOk0-nvyS-MqQI>vm&hq<~|1HVa9(i7-R|#6GjrGe4ib=bVYc{@!+VqgdM5sFt@X zrQN;q_LfaacNRM^glyZba33p6 zb)|f3tFX7fTdJ1VZFwZjaz4MfmcM>|ab@{xZh2*8HMg*`y1KA&1YCw3SE2{6gZzfDdwYUwEzPZ0uB;%`lCA)*j+#J)cIoqJ~4ZAnxmA8hL$8I*Q zC$3>d==T&&&yU;N8|x{ij3qw0xXUwz>Pusii;u9{i5>bDw zu&_ILnU`ZxiSu778{2PJD;uS^tCY2ncOoI93RK?R+PhudELAFnTczqo=}u|GmNuPn zVY6?GwCYq{-!0!MZB@;?Ql;z~sEJug!-*EEkApZuJ4zB}_ipEw&;?AsGD}?;K*QlC zoo<3zT8H?8i`Cw#*WOF+G_bcgoS)75Nn4J^_zXVUW~;cfpKwR`gUGS1#*37DNPWb?HdZ#0-osPp1B@0AdJfIS)+^rNqS%=t_Pie1y@FDu7VhCRr9S!rp+u_cM&n+`A8SqKEaaxu2u!Lgc8+4IJW>++N>+0|hYlJ6p2owwviPIdEeyR!QyAcC~lt zyyz5II(9tON{;$UY-TnB2d`hNnbXLwv9sAd&0TYcRa|SDdNu0ZYbPpe_gWNOz=%w> zNz4w)y{k9@%YqvRrQ$hI8iW^cqOl}ShxG%l51k03!qgZILJRl^*WC7IlOZ%c*D~M& zM)Yb9PEIk3*`1JMe{L%JWMKtdggOT}A`pXMPl`Gja50R`PKZwqqXBRMBevIvM@RK~ zZ8Buun2KA2kOCg`dtpChkH{*{lEZ@RFHeTln^Pe*5^TVbx?ejs$7bag7bZijFdbqM zXaOIQZc)Z3WU({g0!DOC@%aF|VopY$FHA?CK~Mn`TA^mU{azL5OoqyIRwxTAjmy1< zr&wZhbo3-}$-+wG0&(x&ZyY@l>zsuZa1o7nnod@6i_XbNG`+?T1sgEb@;s4toll-U zsDKG|y;eQww(j*|t2>j?Xu5Vj3T`+?9_ewMv-4x*!3B&`I`6-agU&g1-or}c;$`1| ze|)%PVFg^+laO6Xaf^!)2{XmbJlRu-&17CPUCX}I9Vbek?Kt7UUL8+lq9r#HMKGL} z=ttU2G*J3hCRpcZBH`$lb;4f4y`LC0knSV+=3?ZGPHfh`j8lP6k|V4E zLM#%et{uAA1!D{?MD+24i|7xeHSZ0A%MLDkHnxtgIGcneX!I|L# zceuu6fsN%4d0>O#u@Bi$D487@TO6aN6yP~CYnEfJCpdJP5pFI#;Qrqjzf$HTI+Ab= z*)bwg$C>3Eg~j-K6MM${?c)#o9TtXyaCjpRm6u=(0Y?k`JU<977^3mxEjazUc3=(z zH@I0ekr>y6Lp1DlRLt?$&H9667kHaejO~izq?D<21j4w;6b;7cvA6Gc;2mo_Tz*(p=BCN{~lY?d(1Bb5`H@>)E*?kSkaiw7VX}oYn*lpA1A8?0&4mJqr zC9kfR?o^8#yRBHxpNi+S1Bgl8N{uODyA;dMu7ZPH-YR1-a(k=fHg>}oTq!*18(@X~ zQRBGJ7y`z>x(D~6j3%Wy$!UlC9lXz@8#-W~eQy+`egGTLdx)*#oZ6%c{wz}7s6jd4 z;~0skz#avmus4D>D%{dBsUhIAt9|&w}m9 zd(_Z<1|JKN@yo=WkCbe1LXs)Ncvi8wCVzNr9+kIU>IIiRF6Ej9Sl>Q8y@WTF+7T>}F2W>C+p-k#e*y`xSg6DHPAZ=&;0z9!W>fOB z-jig08NkcOEiz4DH(cXqg8iNTLC?WYA)R`l!&FA2XU%dG-WyKsf%9fcsI@}15KudH zrIC)ym>q~8TK{jF2n%=4$L#smC^TXnVk$3 z3%k4TVnQmzS!aq3M>~DKO0lqB8aF2!7nk$a#`cZE#<&>``>eR{Zoi#OmRZ%)OjAq) z9c38E5{GYP`{rIcV@j1$53~#$Mw<%MYc3=_23_e}VzTdz#@U)l5<8#RD(7DP;C`#| zC7BY+m{H}_`?dp*tT3jW;h?Q|ws)-zssNJ~0ew%by`0PHw4`{S6J9s!tz#K(9|$!p zAsa3_g~o5l6mf=T8e}=)kgd@CdWYab*hM3#@7ZaPC=z#_VJ5VGK88b-tRtEeTSXT6 z2V%{Rm9ZrobhY85G6>z^rdzHDkP{b_)as(ER=&Qd%BITdE>T5et*0+-fkNPD>!(vF#zJyIOuz7jmuiGhfkvm*l;_GnVdtjTDxM- zaRXtv1h(-$UD)FN`oVi=aP&nKO}Rh8Y&lMxGgHwmIQqH95p+|i2A|mm$Pviij6pJb z3@}(t*sYVShvHYBX{{I#-Rhs*gLJfyP$q39Hc61sDehQHJA1@iclXvJoo?jPPm8J2 zD-Y_YJ?F=ruiV#D<(6CT!+D<&?UcNy9%$BXnEvHD1oGG{C-A~O${v0K83T=UKx0&{ zH<*iKT%PJAuMf%0b9yXnRRJ1ufF{1xh7(%Ev4J?i8^}qIPz$!ce+8w(2cF-oouVUn zjH11!r344baHZ^Y>fs8$ibE{Y0U_%c*Svt$)7`F*{bKfvDb4sl!H-N6!NiJ0>Cw3z z9f)A+pxr<?xTFKn2{xlFE? z@LuJ@Eb*;d!aFiz$qgxuO2=>TuB*4WG-7AJa=Us1D_Sf-E%&3+Q7RoCn7LnalrxUh zW?6PUhIr2?}~_I@=@lCH{K~@wGO&0 zC9K}Cz?cw~zwOtTL~~ATN+PO6Qsx%})RZofwiI)$Ou^6|RzDQNx*rP9{ubBU3>Ekx zsz|$2SRbY(>^>mYh-F4x15vJEsmNAkudua;_qdq(T^VM~P{ptg2=A14N*m=ZryBm(l)U=z0iW8Ge>S3BjLb+)$ z)wR?6c*2#*O^%1gb!aR2p>2by?9x#pfySHAK&lN{>`6-`4p^7v&6%a4OV|C<(8aZ| z*poIPxc8@sW}S4oCGw%kXyhcnlD7{;H9aYU4sCo9DK!#`i?*BqM)8p>-JNMdQkcQxfu$DziuRS$m zgH4Uh{b1LVqXTv9ZOh1^opvenO7Ibv@f6sz!$qqybgqa@0wLrAI#KM8rXbTctX|D6 z;0G6<(!MuB5y@xFH?uU7;&Y?cde6S06@oip9}HRAC-<<{nd2-NhGbx3yWg{)Noo;m z^yE_C=rvgu+qASu_UvBCVc)Y2BL;{Rn=Q)iTe|WHVc705$I^~l0C4Z2{mLp8?k@bS zeInsjUE{@jS=mZ?bDo!>t!q5^+L4B@TW$P@OW%_Qdwb5^BQS(jI!t5@LE&yr!!tP&?(WmQjgtLQVK1-Har zRe*%6f|{vUclG2Em4I%PFk}eEv|0je_WKPwMBDl?gg-xG~O>c z^R9G?<@l9}Od~u$qooGys^2^1*xQhba*!Z6`G;%+q>XX?0$S&k%Mm zX8kkGVtqe?-K_Prowyf}7hN{&q=JDYySf5zaIs4koB7MQ4o9vNGjh$8u1C6CPIfw+ z1c0fH_Vu*AH=Z74OZX&y9CmI3rXAO{UwuSm%62z0>xB+QHBEu=UKqfkx_g6%R`h|nO3ouL-pt)$>>nj z{Q{X=^^8$b_a9{8(ldsl?q@73#n7_&lo_o%Bx1XtF)B*Wg=j4g>7zbnDoP*6pr~+p zh8QiqA%o%&PgP<5G>ne!H%ZszS(3$8u~1QN$l{PrrLyQxoQgzIImSy0T`h}G3q|8n zmbY%()|nIV2HijcyJGO0S`Vj`j-9jaxxH5!@PfJ@YhDC*;gyja6<*BlH zce@m5C|$8Z3{|5TWi!*u2Yr7rT-F_ol_4#QdM$_ymA#9`aN2&z4})sO$2*wx0zjO* ztMgR*G*qMY)X>(V(&&h_WM{+4tLoiqo)5bpDlp@VF}pYG+oeY`1g3gEwj#Pyv8dGQ zxvPlodMqjn_S{uOcTg5xaE21{+*L$(WAa0so~eRQT3?=oj_A&gjO`C{{h$;uGZ^_)~iHzzAYI`Gd)Rdj>0GDPd2ld9+@ zWo3xkKPOeujmpXp$Ll$%if&e9pQJ%!?412))`D@qsZ7knEiR50?bHqvJ7d+nicBly zSQFyEvS~4MS#9=I$0zJ>#!}z4DF*nWf?CoH5da3@-Iu8VD1Sl)oCE;-Tz87avMdoG zh*PeywipJqiOKTSg*$`qSqG;`yp5O#<}BP5&6}zYsu_`2SF*8K+~0Ap=wlhIv7f~Bz_TrA#Ue%FqCq|=IXLg>hd;zaq)2? zRW{sE`V~9y!5FpmvH@TqjA!t+Yz6~CDOgTH6N^N&!42_hr=yh8GT960l@yIH%wZzz_#*Iv7gnatfZnnASRx-g-uh908~Kz_R@mn5nSWQ=~LB z!QRX+((|gOgQB!sLlh`9QY}B`!#1^TrpVw1m(dwn01ym04W4rZ46T%Q$3~1yDVr5j z;&hsqSK21a7Bx2vt@KQ+>1d_&TSL^eTEDAX>w67s_F(HZCaILV#Ud)i`@Duwhn(^X zUb-6EtC_qSO4~HJGzhKSlY>yo9g7B1aM$l@8#OVAMHM}XMNZA~H!OhNy(7y*g9?nk zqiim!wp&9I8lAIrrPmsglumJ+#xpaRD}9z}ds^Ep>2(t{Iq8)`$D;2RglFm_B92+D(#4w+h|=)b zysC3CTdDSvf+H0m#(G-rlr>OIb!<|vBa<{3(*e=*Tw@bT9S~_UrUastTLTn&IUwTL zObJA3U2IaP10v1Flt7f4$0mal$ax)2Jv(|?!5g5KG)_}iL^b0Y-~bSD1g8X|6g@T- z*s(-h|0#he1zc7D2WldY`IJDE#>b}Px|&GbYf2zW;g=OasmXavmY&bItl&u5wtbZu zfYafx2OTs#fnkVr&YqrZOu^<*!xI>!(VpyVM1T{Aqx685h9(ePEyP&yabovVk2Gm` z129Bpr-Pw1T*DiHAsRj%45e?eF-;bFUUR7DD6S}YQV%P+GOKY)$yM5>;Ry`ZV|V?o zG_}*wN@-neDBHo1mUb!_dg4NC!%6aXR-o{~7!4nv^8rpPBTn)Wlg9eud zp)L93Ae5SEaA^>_(VHBE((2eY5a)4k^uqr&1xL_l*?y;hsdQmY>9mF>5Ll?%4`5;# z@wo{Up0JqpQ+V3Lc3p12B&@f^=E&IC=%9`dxVZh=;?>3ZJITf!zpRv)s0AQDh{vsV z4@b7ta4^ojrprq3lC`+GUBM%+@$wprFT)w*W#Nuhn5-{n^Z0FIpMev`oZG~)kJM3Q zWW`fEVT{1T!U)>k^eh=-o7x|XY*6!H*y8BzvOejbDMWUsYfO1L#A{riz@OVcZKHvM zQUc_EXuk75mbg>is_J$@f@%~dy9Jy*8i(m^qorr9istATc!>W?`8ss^ILg<}!ec34 zxqT8~nVvqs%&q>+Mfy}q@9c=X%E3byESSFdU$SN6e<@x<{4Cxz5z`m{E5H502Nr^G zS6fnv(ouAc^w^}dE~$m|a+uC&xei0(Ry6UpY@Xhq4|ILBY^=O$ykEbskg*#qa-1Yde1dcDTbmbpDhSJrD<@s&E-?ajR z|3%963;_vvPfweyjTHC)LWJ@UJYz-Vm1`)0Rbp>;pTCM@*Rb!rt@2o^N+j*&$)m_G z1EbTEXX2)E+P3g_J)xu`F>6K(60=xD(_SOUJSuZ&lrxS0FM7-z>j3DB0&XraxaHH~ z#%Xi7^BK(6s`e>-E&0#i8<{uc@EPWQ$>#{~cqXB}zT>~XBd^r&kNNvh^3VAk{^MNu z`n2u>U4Fs0+5x|<|0fg==>n#Y?_JxY5TN}X+}hXS-Cy+S!p&caqzgI1-F~FL-}HGy zdH>ndAmshUNZx-INf-1dl=prF#>=4z_X&dq^{( zoC7Z31>o>x?}9Z8U!KG}h+hVm7+t;{N%xl`bdJ>*qMLycEAQtc<=u_YFSO2qRON>^(6RB_TgaVA;h`{*12#oJV(tRspBVLNwh<_1Dw-`wmdd&g9 zn4JEjNZ#!TjBiC~fNEsqAV!yOL|}X@0t3l1<;8gUB=jf-BZdo5&fpA_n0XhY%SFG7 z(T|;q>}K#3%5sbQ7vAaAU3144E}TbTzhZq^*UHa}_~BE66=$-&z4NqVpIpd*e!kgt z-xk8Q%m-=dXw2L9ymhf=-nzcgz^zM=?(3M2MA&?vAH%^{{HTUH#wP`P7sY<3<5$5E zaUV;kcO~5(f-t1QFD`zP7~inU632z)q`>qx+^k~grfgT!bC*p>Vc{Ifsn~KZtXXnc z^T(2%ESwu>o_D*ABM>{uE|w3tquOk+HqBTS>E%jDw?~Z)zB-xZd!E3tf|Ds07sJsM z^hI{ch2)+-$@C?>%w5kRd46pT8CF(821Cel!4=Dt`Q;^_63JglUc*aVSMi6l9uge+ zTkr662OjI$!LhbH9I=}uugdWfZzPMktEY7k)Eb=OI6G*E> z+u?;DzI2+De(5-qe(AJ1{W3#!mgxe1>696!GNl`HO{aA13e=@Bk+psysd?+vm1Wt> zymgfdZJ&9YkvH=;Q!Vq>)oTEUOvOG8p#DS|e~PR=KbA3%z~fBXuEm=8yVfGgGYXNt zm@1Ul#qs5JbE>&N&L*XsxvMLSRCn|CzXgWeb4vb_xI4 zb#7M@oUc~eLV+e3*ER6o6zJzPy#N{bifm z>e6!?VoA1^bIEcB7OR$9bzkr1q`12|Ddlcn@_G_HT3HmTVC|fG)JAx{jEWc5_*QC9wouo(w!9X zRVK0*#>h_#BXidsubvVkea(gLkB6h=RZgVx49;eCl-|rnQ5H{sE6q|PEMBUyAi)WX zmtQYOp1E0pN^#kgm?BI@V2s9auHl8qgvI#LvM3~E0qTn5F|oCOr+#b+@(cJex(}bv zRdHgyeLTz^P}iJSPBqagt(>mm|CbR?J`QeC6u1x8g))mQV$H4M4lwICJ+oHDvWYxC z7V}K~S`bLyOg|}hTLGmzm1eVZ2L5WA%~owPD&@7)OF&8~FU<75989m%qo-tgeT7q| z#)#CEt*WuIVjRxZx(?&0a|G$kru?J}Y8=I`K~ppGA(MTVJpWc#wFVk1BuZwzr^o9I z0ZD85yqsPY8`d0s_TvX+cZnl)buLpYk2-9lnwQ|;nM}Ewig6%l<5Nx z80CMBD%uuQB*%LTkCrdaK{mE{(P1>DCQrn7G`LxPb=-B`xnGNL_X&AQ)Q)x60iqn8 zs~eQ%Gx;{a=U?%BUR!cH>ixsuWZiv6yeDI{1<&9bN119ntFGhy)ih5njWcG`tFE_F z7i6og$bH^hW1;nvZA~xQ2pi>D6}e5PNc2#M&!&<>9K@Q>3B<+93%XXC>fzEPFVIQDpP2Fy3S zk6E9;2aH+Ah!{MUj*Fq^lkKpO+*I?tk4Ta3Hms(%(n|MbTvYoX404otGe-9rVB|+d zv-3F@q5BZCl=L<%m0+Y-sc19md?Obk`~gr(b!M!tdwug^P3Oo)kpV&9(~C0e?+LSfz<+#Z2?-F+yV!vhxsU7%go}N?> z+I>zxczw5iRPFSd)rGGf9vyW}=(fDQyS>S*I0CD4B^i<0NI|9EWKtJGf0RQH%RgO7 za2VOq$TB?5jd9F0@LoI#YXmA@XxUHzC=dtw0BtpZwZBnoz2`k_+sJruK-zpJHs*%q znHw+Qs(tdnj0HByyd#<8oq0v|F*jao{EbxFjB6MSJs4k5M*AMET-U*+l=R4ij6Vx8 zuxsh1_hQCZMHoD5nFc2c4-Sf2A-aYi8^yO_BirG%(PXR4*8bXtGHhWI5o(9<-$#i` zsL$Zzh|i?ph|i?!h|gAIScYf?Gf1nUcOEHXH`%Z;ppy)(6NQ3Lw z@n@>(31n;|$F)aO$~Uc%X#kH_7JRr0fKB0H47+m=9pMwb2IFA7FnDG}1irEkJHA*%vW0aBn z7;0rrquvTRN%T+#s0*%VU$11_did?`Vs{{HmL4%} zZXEH?Af3`g-%$uOAU&uD*{*}^zw=GgU9gm6N;flZ1Vfn0dy!*x5PW-?@jqr=1H&HE z0F$n^C6*g<#k@IZ*Fa|O4U?CwbOci(#zo}iSAF+V9BATgQ_W?r3Cl}i7K~t!!^aSwQZT~xpT3rsnh~wa`J4nRbN2t|#tSG~4Y9#L zS;)fWM+MWfGkqPKB=}_uy8D$XKsH%V6(F-!LIEFP@dMOlKRJ`W;|QohNqkEy8~RzO z@)UvUg6#^bjNRCICAM9+!A8Hb!1r8Ds~%?O3!5xdd5S=F+-E~>DJ(N%@7j+1aHu}Y zARM)@sfbLtNbZfIY~GgAF_Cny zV;HwEdFXOXmjGYeKmZrIvZ5d%P$LQ{pt7%YDFBtIl;!7e zpmZdyuzM0q$J;`crwCMMj}%lti@;Q2I8-k_VUSY%R|{31vQTA9x}QW{eelYKt9-A= zg|`A@!bu$25@qM_6wjsFf#-WEQ6qhRbPEx-jy< zN+>%BmLXq=%|GW&-hwl}?DkpW%HlcOteXi9ZsN6W^2M%Y2`O8={~B4Q*L~7hX9)Qr zAs>aukxwS*n3@^#iOmc`lafqi#T6l>lgJ;zSKHe)*1@;xD9+?GD|6McLD`aItJq8=wuYds7b@X$YOl>KXoVsCn1HaaiH9|-?4)kdx zQ1TZ>fl->x`%(PpW1k{rxz^Q73El5>BWT=kB_+~3a#E7&qTRG`DGJy;)|oIqg@Hno zEj${O(%D>lJ)mCRUx5@@C=LNR;wD?tn)5JxP7@7gM7@mOsitT}RW;Q*{)AxjIUf zvt`RrGs{+Wl*uh2GOcTD5q$+w$Mx+J1e)zTrveSc1!Y1b93D#Vo!LyZek!GvuC@Z##B09E;G0(D9jyiZD%~l4IE%QEw9}!`~ zmEk=xE0H2yG{&P#$xowedcO)?v2PCD;bmWUWpnQfD@vSIW-+yGjvNwY@VaHcuvjdk zUvO=;wLt>Jz~n3kn>Lou*+rW#g)5!-;rSfjY(8m09Xxr|@R$&$G6gQhNlv)Pf^*qY zwG(6IpvhwdnzM`N6Mz9iJK3=n7vXcL$fHJupTHp50ViWet>Do#iul<#=dz=zB@Y7? z7-rC^XQB-kX3%9sFh&ta$qYrd$bxHH{u($HG5-a-V8_K)jgw|4M7fx& zX?E;>CT27w-dw1m?1G&L?KJ0v{i#2#g8;CJ|JZMsZ=vLz+UH!FnZw) zlb;J^=V6*ClLbOCZtaR|Ylw5(ei6BKRw=_J%IAf1X~Eft2qIGFZvZ{TB|DYNyVcQ9 zgOBYR2ZV80s+eg_{U;wJHVl3;VP8w=xA9}UZn9i&>{;Zh^Wdu%=6}1%8!=is>-}+f zFN&7dzX*Y8PbC%RHqBc)lTB>x5-S5u-FvtZTo&kgk@sl;chx3rJ97B(PzA5g#(YSmSGmZk%!3 z$u!Sq+W_<~AWMAaB0>HxA~0fpVKvOJt9^tqvO%G3_QgcIW?vp-`J6%fSLvGDnWtXH zqgKmXq-S>6%8JA$ew_VsYpkcWUxqi%J&Dj#_H^WEz=SPN%mi5Msc_cr1$v7eQf^i%b6>00960cmY(Bze~eF z6vtm`t*x!K4c1?OfFL+1mO>GPOLL92N!q5VKU*R=I5{b}I0?GB=xEZ5 z=bN?39`s{q45~K_gIKGMO%$Cv6=jhYyp%Zv;&6}W@%F|aOObhsMj9Heb5=^gG~VZ~ z?jF#=Xpm8fO&qFIgPNXd4C68A;wjHu<|62acm_2Ts;X2Kx+!?W$WANs5k^14slo!W z>_D+n5>gnv{=Ds;qZb8u{gg~%&|m>cfI&B7B73%VgBinIT4dOQm-=0<)&Ba);7rBD zJg#nAB~$a!G$c@F|9N*0oj)lL<^4+$c)?ii=&;E;%Am0Ym~=VV&wz_9Me+u#EX^@b z%G-|=hDW?k5^~BGD-fM(L>J@hbCJ&PH<1uw6Jn3qbj20~t`tMr@miUQh&AXXv+P(^ zp9;YfMh`BgYD`DWiWOa~=qj~@TGZuc_2N4FisGvT85!CbJ9+Q0S^3|T_w)|{0RR84 CP-xWv diff --git a/cpld/db/GR8RAM.cmp 17.rdb b/cpld/db/GR8RAM.cmp 17.rdb deleted file mode 100755 index 785178f02300d40e52d30c4e79cce1b13d7f2808..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14522 zcmV;rI7P=1000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JL10RR91000v=00000 z0071U00000006o;00000004La?0s8|T*-Of(D5yF;z+xeY{!aoywXZsi!+?=xsr$! zHG6uv7&`Os{X(JTm5x^|NZyh z{|o$m4}UXwu3VXU4L|wT@kzZ=?bch(s8l;?wYxuv*tZMs6qraqSN(x1Hl{#WtyRY3b`WZ$hH*WT{cI^77Ndh;mCHLHzhU#_(c(DH6h z17!FL|NCM5-6^e>a+`k%p-#7o|38Nk{|bILYDd*ZwAJd?_iK1fJy*W@1FYo9vv&RH zaW}e_e=Ew|yqTHJ&Sw^)T%%iSSEGEZjfxu@Tz$~$wWD6A7PSropFh=!4r-nHQ8Vhc zT8+;2sL?vA??;Ed<~}LdiLO?g2T`m0xYmw3t;6oqYP%LO$|Yy8-t9!W&7Ga-q}uK_ zky26`I&8O&@ddGm5dcJot#<9GMIv6kR&VY%dIt<2 z)SiG6(Mh{?)UF;I8n=K;{kZ3tYzliThH9qFi)o$$|I%w_pj%&@X6$j+2Hv#3? zzOpK{_fFciPN(rK>hvD{z1n^^y4r0SY(U84jzN6C)jX(MYB)?CnRhHs(FPD~g2GqJ zy+@t>cKyT%U+$<~s}cBhq5CFS3)a-4bw}Pfr%DVgExoHJCyn}k^--f1;nzT@#$|d6 zU5~Clt#==Tv`BVbw{^XaI#j#SGhu!m5XX)Wt4%{$R?wb?tY z?st1_(DKnUsRx;kQcToUM+?#xa!~C7lXm^fqol`T2G^L@A$adewI=YMEqs^U4fd+{3%qG ze;4%vus>!Hf-?U&0=uvb6yiJ8-chY%zV!WfhK1QQ9EtyXh(t#(-LHM-HAM(YvUo$?UKA0p%*!hfPw`gi)l7@CVF z3AllWT>UV9jqns2)sqhFFI^M!weLSVA1^1jETXK;UqjGTX1hGh&QlmKwJI^jKPnh+ zw2o|S^8YKZ!Snl44<1slQ#r1lM6%kw9d{xZP&ZC)R)7<$oh=Y z?7j!gz-9ZH++ax7cJICi-BHY|`1q_kM@t;7*_|6@;DxZwX&zfle5w?ExgRLYr z!FCdyjys+7|EvD^E)M(uw2nF@e!bp>M`ub~FYcyFV-l9el9*dcW%$3@QoMEEgu_@J z(45~OD0(N7D596yY;_}ek#J?2P|SKWszz^bmhTupc#cAhheY@({MrVfcVhffq?UqA zqQ3tofJU<6AvAhPxlX5c3=h*%CRg4xU)6ts{t85ccS8{GruxYKOQ$11%3#f_CD6**u@bYgh@;`9jZi-E?+KF!qB={7IB> zsm<6F_@y{+=SX%)5FT3fPvbWzj-^`13gjMJFEP=BWbzWb&{C6y(`(YLH|k%uqd6F! zC^WnE?z2HP;!xWQq4=99c&CcNsTw>C--F_KiA5+XHsSj;2Mp(Nm_q!Q|6wi4-xySJ z@dXA|k#4i~5$E7y&VA{)bELeBYlDg{r@f6aAkb#QBvSS`C z$28(}3Q)LBpVagsyp9_!33MmeRk%WXlNb(23`nNFzA_P(TBHc4D|YJ5*=-Cx)5~EM z0@S#U=r7*7!O57;4Qrlm?4L9aZrIrX+w*lF=1%HO_7Lz80*9P@yH&>UJmw?@K)FI} z#E|M=>B{Lih8h2#t-<{WvO2r9W~Upr%@}@X04!tj66}0&FF*}Xp8zHrf&j@?Lx78TcDGTPCyesu z4*s9{clg7+ckLF+h+6FfJ5Ttyeo(`_B}N6iW-1h;XPm{{E81yj1iQ8Ox|mnwRKFQf zyB?j`xkikwnzV0}A7ex=fG^7O1C5bgEbvCuveUQt_J;3AamF)FDF!c^{cN{hVJ-}#t@6oN?!9n(eE_EDDpGw`C zr7lH6kQFlpw1DiRJD1TrsEL6UideuiGn1$<&RZODl>B^?p{9696?&G%CYSi2Uogmf z=B&XLrqsQoVc}_FO`Rz$k9g(mYiZAjmyX(Bwd$L!)8(I zsEKvDX+{inXoBeQlsHr3yej%gV-tI0x9bP>_AqaZ>&C9oE7kHoNqAaF+I~!FGNs8V zx-^xOw4Bh2SvyASlxs9Fg17%JmoD`6Eg#^s9XG@WY&&S%d7@5)T_kX|uFI|y3{F0x zHGIpg$$M1gB3Z1rbQ?@sC)hb-7VD!B?3Zar$E|~!AIIAR5VPj+GwoFMdm4c}vOl`r`98Oz=X`_f?q^TmfeZCwK=E}3;jqRw61;Pi__CW`035Kq6 z<#|c*w4oK_iYO+t`;XBtWWhLB=jE{- ztlh$fTM)h47&KLC2IsgQa+n`|g$cenY=Cx}z@7r|{Xqvv10HI?&*0Z>?EYl54Sj4! z>s6dpGF<3Rm-~>g8BGa&FxVJ${w9LlbM8>Oivvu6k6k}J93|SS^qK`i`#)?^XcVU} zQyNU=V5$pKdoZ<$m)S1F%^lAN!)XvWPK(QjOW5tgqkvBF@3~)({{0`TKfeEg-Gnhc zA#8d=7}my5PYA;ib$usfZ1He+jO^&$M1?LCMQ8)c=l>CltNQqi;nAN+zGct}}e zKy$aF!h6^RG@red$=+I6j&SVvO;f}SU5vNw0h3X&7%gOH zAK_HUd$o249)?XakDtuK-27Z7y4|be0O#yNHkx0)k;&dL$A_19qD)l&e00C|C@R=9 zuWd&9tRGc(E&P)IO?+N&uL{(a(?wjc8T1$>3E!7wD~B&n^jJ$Ry*(7D0Xz!arZWI zL{^zKwSLN1@suRH$LZX-IGIsa(FE-__{aPurQGmVR6MZx%@L6so0U@GPO-dOC{=cH z`7ac=?o)WMWn}~g4&IZ{xl5i6p>fW(^r_(<>n+{Xja(lbmD7(D9SIQSE<-55O z%8rw6JHe+Djcxhyhe74#w>Ni+8$h#Myt9?t-76I;#WkCaHCf7L7gn=3Z!Rn^&1IIB zmsc_iON)#1*~Mk57M?-4>Ugrbkl(Is@9Y*gi(f2M_;V(#SsDmj*eaBAy9ESyJZTAd zEGkfbuy2{H(k$YBG+Cq&VOAZlc&M>CobVpU=!)tv&p3o`SMu8+>F4*h^1H?Dt;%k} z9{pemx9XHx=Nl9@HRc1Avj4r7CE-mh)6hx)JNQCVr3)hK09$9z;N-PAMxdCvo?FU zy1!W4UtX;`BTf+a>&=7K(@ylx4qot((P%hLc!ru;UtS#`CFfOcKv>SJ=n-V*yg&^; zXnU;wLiXkdyuUEm!KXfntWh-kJ$im$c9QvX2=hEcqcB(u*?mm2+n$BPTjR-AJJqgp zRmopkWG;8ys&%>c5ixiucBY73uSfR8m_(_$N4Q3(hh9KjB2{&x{;2J5wxRO7GhLq` zh=*GAtkyB%8Tvs)j=EgO?LE=mwGDV<0As(iB?}(Dm@JbM6!u}2)Ja`)-2>+~C&1E6 zt zQN|0h*eP%^Ms!c{c^_vZjz^x)PDY-7P%$R7LXB4I-3rhd50%NRP#RVemq*V|u+if1 z@FH+Y!%E@;aqm2?A6|%cPQ!|E5si16PFC@t#_>orxyBC!8)K;Dc`WTZn>>3^F(%aY zYGuFEeAI)jZjVQ!$=dlKxWO2Cq(^bi&W@1>7h{yrdH;PBbk3;r9##?;FZ=%ci^C-i zE5?OA2|240K|CChFiW}2o4tP4EK)Jcl5C{zI8pj+#|byJ>cL4ST3odm2!_k={5+c( z4V1nWN3G&@J-B|=tm|=|85Wb7x5aSSVYB)k?s+|q4zWoDgN(RG>%a|4u$$CeE8Hu~ zI3H2#OK!_ynM-bzhrJeyzbnxu;YdU#v*_m0Sdt99rIM}8Ex59{C{9hjM0e{Lt84E+ zeim(cEa_9l%e?S{hroD%iFq-DcN=VR8 zTkUu8gNGG}qUbBC_Z1(@{1uaA<_GZOhMX95tYM$hVGz!3Gbh2Bc?EZU!dQbA3kx6c zK?@F$e!wO<$?U+a;RrRQ0NZJE&Y~XUCSi_VbKyKsc*aDRG8MsDf*Z(=sgoKmqUQW6 zX3iTp72F>Xe$Z>PFcgFv`FK;f45Scnu)t6AgW!U>96vFGD~+rB=7wj3n?)0e@r*f_ z!yZN1+-cmXJ&j7h+mvDqXAmc)Ooc-b#*I4BU<{w6`<^M7=wZ+(>fi!p-c0CkOt)a! zJo(wu#LE}(rO-4>GSQL7YyzhF{ZPEw<}h#&J#v&!LDPiSVUBq^Sj>ZKsO6A%a}fM# zsB*Z?vuzVs+}3At_cpI5*NjTkF7ZMm=XMVlo4EcP6D%>m%QcyWp;>gphAOzg%q@HS z8}FUux$wCstvbdIF-&)YjhN3=Y4@w`W_;am0*k~8)o1A1f>|VRB;^c<#jPSH8n?F!?&vOj!IQv~?g92tAJ&h093Q~kR%ib) zl+mO#clGRWNBa{V&ff;}Y`jsB+CFSR_ZbcYb83?)_>)L^y9(ui|6-huLk_00e6-7l zyyeTC7-maMA9p{qsR z)i==w*BIGC=xBtNk9_|ayH9`ud90O3gSS?D)H^z28%IC1W16PoP6XR0EnR}ni5Jdj z?NHU*(3`{BQy4C~+(uXe?XG4XwSTSNF-^nvNv%nEFmb(31a==h#i(mk<0%nFSCJM^ z0(9bqF1XBRB<3iozwSdNJ3sFX?~qu|h9pY3$`TM;$zT-^!gvBvT=#&66y%f%RW~#0 z1(z~Mxn=>@sgK{NDCO!QOph+YBpgy{?vBS!mo^(ZseGb^3GcUsWL*}ao! zt=0TgH_Ah$hG$|2uY@%r(B-ur{D6G|>|0f&5z}EduTCEFxl-u?){Any=~NNmbfnK$ z&ga$&qvm8o;d0*D*uI_H7&W6|g%#b>_WfwQ%&MLn`NBpY&|ykWmN5o>X8*H70nlGr)6R?&8A`;VLTFUg8c4(gOoyo1{J$_itF8SX=R zXS-x&P>ld-5zt}M*tFt_JxpjP=y~BA9-Ul=Sz+%r$Ud$p|CmczH)_oznM$YkyvTxP z^r!=!LIXHe#3>4D>dBRfY>Z~t+5{I5yJ!S;n4Jb0MdHaM%!EeJkKqtI+i+#XWYNC( z7gm5BD?_FYy4vtjIlx@!u}ZE7bB_0K}tl&|wOL%UZTK3Zn~HcV|bLoCC93lVon{ z0%5p~v;KrGZ2oa=|J_qK`WlL+${b@Q92dozMdlV9{aoV@y0N+bcQyrb$*s45kc=(| z7_1VNY9#AHBsVMCf)mYK;)R2STfO5)kdD?N%A}daCVv?gMToVuD?_}m>|$-%$+j-} zwvZ^j{Iqt`b?)5R%Dt5+x7d6F4IUHiguEwSXc2Fl{^c43^2lry@WMUJlyZSbOd~y@ zF)Y_-n2YmQp6Vp8ACj5nI$D^y95iGfEq${EUo?-4;c!JWkdq#v7Hl1U6Q#oyp53gT zpd)yMqP?ai1P96RBt#?eavfjAFBa*5khP76p2H5{PREb^Vr7ge&A36aJDDVc87mT{ zN9T6D{ayKWVD3NmSe!J;BIWvu5miyJOodaNOplax_%hc`T|>1J+gFSlV{ zXHvOdN4&~~72sQUgm+|wOim3;$8T`VD|fhuVrQ>>w{jbMRV+X)_ruarDjgnJ;$Lu- zGd|d6PPV(GuxBnD2>^M+9u|zRrD3MBkW1ivWeaQP??J)5*cnwWiHM}~QRefv-zj1r z4tg#HZ1b?dm=Kk}>o=kVbB=9F0;)t(W*1_p30)#>DdyP1f?Yk>CXwSl5u2F8v$w^K zIRgcrVi!La~lubA-c!qUAc&E5i z*eGr}%^ar5oB_$r0r?->a8dC|>^ZoYXQfX?4y*)4)jZMH#K>I>elM5c`(1y2%QX?_x9?j0e7iIqt&SfV; zBp#adEU?L9ODTGkF*59xx=iswL*{Oik+M^3BmCh}K|*r}anf1G8MF~o*fd!iq%$^f zu?8!dnfKIF#A79Wf4y&s2f^A*^Qfk(Bq`3bQAlPw+}&RLQ7fLK8Nyi>DV_Gjf(^Db zvh;&JPtFn4aQrF95ABLdSy_UQcyxLim9s7tk=;5p6iL?`BN5TZ3DWMbqV(LZHs7@| zG(zwO?292w>-Z5iF>|a1vydE@*zR@hXOdc^8XdXBH#$w0!!|7qlD(W&a@cq_VZ;FA z#pVoZ8%s|fBN(=K%&D}aCICEoX1}sZxrg(A*S?W(E3WNgShm4Y+?Dfq$R(ENrT;8=j{;~MpfEOWbHuiVMfEwxJIcTwu>e_6+AQOjH-6Vsmc)0F2%Xj zI;%kspm-YIxqx2KpqC9RjK*kYzaY?y8uZGAf?m>~l`Ox=T3hAPQnoNaz!zd#OsZV8 z5_)^41+L|6WdOw$H6{g~)NBh{%h?Kt+3@sh*9!uz=QMF9l8oRpK#eO*Z6LHe<%FDw`pIwR$nU==h=94VL3K9gfIn_Ll&=qMFFnfQV`6 zgy;}dz%@J_K1IebxK==Uc$jH10Gcq;bv--eox4THGM1&Rl~A0~Y5txIU{$Olya4Ve zcDnYOz&bpH*i81eV%q@kKw+k+Gr4TD)0|iYPCT*>3d2%q(pAwy`UGbqHyh4oaoevz zC%}~fGNw$oqs`f1o0tqfiR;KrT;m0g z>5-O-(N3Go2e7iyMo-#%oUB`92xT@Eq?!W7M1N6c16~#!9T~O9zcfIZm1z|Lz7R4x z6m`EqRQe^OqV7M)o}QNsMcvO>Qi`Ds*d;SscSx23_Wd$d+R38yT*%y|0e#dmE{ zz;%LbMRccPL8;X%R}tOySWp)1m8*#Epe(q-nST5CN{E*3#$*RLJ+6K)w~pw}PIiC~ z{7O_rcZss9h0;3mN>oI5oU#L~ma2#fzBK07^iq=z$%@0XU)$C2u=Ch1z9Hd|a%n(I z;;N#Xk`+Z~EnQCNi2uu672TLD4=`P?NL6%mvOK_ey&_f74a)KWt$#(TqMMZE0c!t> zR7E!`%L5#*SEMSsS&?Is`i-%3_Fq~H#^t86Fb_dIoGsd^9z=G*s);hkwkMYra;}N% z4%vCUq4s>5ZbH31XY+--UThrhwHjUQyR*sI&Et|hp8kTBfyX$C!hRS`HfbdYFP=VR z(_)sg+U$vrPkeM4OMPHdFz5z>6fDg|0>A{kYknpIp!^9n;3PF*pX**eUz8mJ1aZRM z+4#j1qfJbf=H~C2XcbSym4_cN56qc+D4I7>9i07Cu=IaZ}DC6#917J~d zNFE^syca7yGHgB>1T}Oh&~znOsG3!s42IGz8NUd?5VvzO7)rT9Yjs&Db$J`Vc=$S& zDjRO7Mlqw{iM=pJZLMek7zm>oye*r-Ku`)MtI7kE60deLN+~T1-AKVg!EtdnCxfB% zEu-KGr5p_L11E!_eBg|N*9Su!w8>y7rOPOI0%KC^M4svuEpoS;<~7UqOJF9#UN4c- z&;)z4x=0_cIT;kC-5R1mp^<9(IUlyE_NXuf@EDzu4FItrr@?cMfT5Mrw$O}`DP^;4 zN}NpdnHGFmD9)(`~>X|p|nkdOM=kKJw6Df+@aAx%M5L!#s;yVMo&T`r)KvX_P>_y$?nit1%`(x zr?TM9gcT%BBUo~`8hLbqRSlAyg4+*HKu{(panDS7N~tv*DcjOtw?pI8U$xkwA$SWy zH>cx+P%0gouCO396UGOjR4g>LV?oG9De`%I5UK$UO@p0LP?a(-C`iKT^RP*JHHPfi zq?BnGY5~V&?T}tip#k;-aZdGwK$J_a0SXYZyIGR?{|RBJMmw~6;4FH*sPuYK!4dq4 z@tL~SWUF4~uK@}W5-HvnFuLtiPKREzpuuSnO~1-vLOv+f*6;)d5yz@l>EcZYM5$b8 zUDcVGtyFta!I26OW4$bQ!WyWiI<%{ucLbrS+n3b>>I_SHlj^9g|{jSnrybv2Q3uL*%DgFqDRCczrNL!zY8G^er@}$wJR+4)q$vWd%>_VI@~qHBKnGO4~F%fx&u|)*ebz zI~lE%)`h0B9Smt{CxW3DE`(yOKagvr`X{5)V5A`b?70)=cU}ynAgj5K7%N zIH||kI>`Fyg%t%!O1Blz`Y=9?lwNCa0)kXIt9{bPIIJjm0>f5An~t%op^t0O;F2J; zB_AJzQZo%M2|_n|LABpA;~WF6hnu8k#_0q3S$`x{EzI7FHtzZDAjCv%B>i!`Zne6& zv87sX@J0i?;~{v-n%~?mn@BUOaC%;2@nt$=G;lnz3XAn|MUg#&N!<{3*%ypCw~2Ef ziJQpCic7m-jKJfK5wyF>RWigjaXuE=pw_{##nH!QeZ;?0i0nbvSn_g!XgnXrpF2Kn zqmFx0V#vR4zVknpxKrG!=ypK{?kG(51UP#$4%6F4OK%zw&Cvsv@%X=#ugCjeMESZ| zcp>F0x9{xb|1+NSXC89ATzF?EBa`x+J#>D@92 z{4e?9so)!VJ?VFLVL{=JnXYs-m?Y!hljEK$T{*on0zP@^{{-}~j|ifkW|yJ2$;(e0 z8u6hiH_jWKy!h{W(u-6o|4N2HS-d&HeGXTb;p}!>-;uP5NZQAfN6m$b&72(nvD=$T z8GAcyVK10YnEOXqlrCQL~usFd6pkt5ipZZWNNr-a#c1O^fiR0ps z|ERtWkNbu%IZj6x%04j-V;FJhi;_(J@;W8^#OZ!903-f-5uv~E82qYl=3=;i$2U!J zTpWt?o_E~e7g8y10Tst-|8eFY`M4N^QA5Ax>l>H%E55uq?mq_NelI|mU-VgG44wq? zz7Y$#&k@VBk22>V#cwwBd2fSa7;z|0eGzdV`|~tEXc79KZh!`F259g*u-`UsjD3hM ze-z-`9|d51{X6OMUJKy-hk?B8)b&4q5J>mY{zQ2H_dXp0u12$gbRihuWj5&v*!cLH!jdik2SlX2eP4e0NifpotSNLL7? z`{#jl5YrUTzZZ!6Mxea8KzSh={8k|EcR5PX_aIpcmv05);?^`S;3DEev;ul5oMAdI zB`%~Nbh6VYj8pK7O&*GOuU$K|RHTm_pK))$V$)K`etiu;e2cJ+Nj5jRPDALE>*cbQ zGe^1GLTI!7$R8VMXYCnuZY+bYuh18C36j3QajfGL-T5)TOo<=W`1$ChptqgxwcCDc z6%qFXv}}>38$b|-RQSciPcm>csItUyK03}Zz1{Vo24+p!uBK-$n~>t&SR|)n%bBod z$?--qmh5EV%sA8c-^R6i?Wlw`>F)Y3I|7(wtQtP#_BwZpq8-t5GRt`0>adLK4i*;T zOC9J>>=bj+BYm;v>xj(UT;wGXtEM%`#biA`0@#HQ+HV%791P{KnCA9JvSd#Y>Z`f7h;NkUUHbJ>v;CF>N0 zWK-i!1ZZ!*aJ7!xXQp(Oj!D7DRGk@_sxl)}HD;u%FhcHOWJ*05={PCrES@RFV_V|y zC1K+oUj}P)_Ss!3zO{(w`)hXs{aW;YiLH07pFAgyQv;AVBq<3 zHshjjM6Hiol{jCajIV6QlO`jjJj^n0Dfc`xzaV9-+>|neg3p&%mnAMn{-(?EFe5a3 zzA`^A=}10g%l5e-AL(3p3WJJLU?7 ztoo3r=O_RfiYJ$!os$G)od#Ov?vsFjAri0v3CM~{8x)MLjG(I*$v)7cW z0d0DnS~?Ho_mccHRlFc&Bq7&~o}WwmDotci8GlohF-v6>BkAoA8rX>%(-+5M8uN^J zA|o}Xj-mTWhL&A>N5xQN9@TZR&83kFva8R;eNNO;H*!<8w7$>)wN$ixo*8Uzowxm~ zNp5n{f521q9+8LXJu+{Sd^76JmN#U5vN67k3ncMe5ouU~jxD+dFCYyUV4I&WUx3p? zs#8s~-d_%Ry3X9Xe%6OTqyA2ecd2=9hPc$8OUhyJ47(r$O(C)pr!2?#(qXF<_ZNZ& z`TzoCT6iry4@dNo9%j6}n;wWUo?_5PGM=u-`^&&Wv1iS6I#OQArE1w#(XwS~nQO^D zz*;7*OxAUujRiDDG}y6p>gL8eemJCVFPD3#(?{5eJO9H*Rz`rV}FSq8rhMv&67X2uiFg+DU566DV>34fNL+Y7$S=$rR} zgF2R6M3wxRUvVbaOT&2$+c=)NF~@I)cqTnCUZ&(4vkPjjC@pJd29AunUhK zm$Acat~vZFJ|-Md+V&JXy(c5~#7;nP)byPCbZ-h5^&sXYanaZI+}JshGcy)DT}%0- zpPgjb8C$88G=zkJLN+rqCtTE{Uzfy1kLB6ed2W8rY3#ghNoYMESxrErtJITAS1^@# zJz~K8Ki{dCl@3gcF(c35e58U+-ZXQON`crIjEtr29G^FQiK&K6Ctl|uvdjp%dytM9@oIm(a5vkm-AuOfB z4*5*0G~_dl-jL5pq0?>nKLmoOWE}GO>`2G1mnEN3u_P&-ufp}b+Dlc=VB8|HRWZ$h zH`(4$dsC|{w*NTPoIGD%l0|LGOoQSEl5cNDYfBQXge#)YNcDShE>&_CAweTK^!MMQ z`gYOb5T>0XE2r?3qBNv%>ml0zS(5ENm<5S}<%Xue&_BZueUTmgKZ^QTf5LT71LEN( z#QqhMDoxXpG6dZVqQz)cM-A|N7=O0TXtqs2c8>=t?Igr5tWwX%-)EJPVK)rbopU?u8w{%VAm|q8!UThywTQ3Vb zTvKm~WS-vwmA3#;H#mC>bO3qj8^%C~*3Y-9a;B#RC2wg^viE@J#VLgybGfUffvvqE zF_0t^?J+fUniKO1dHapHZRBNR#524p3??@dL}o~CV%e{67N3{D^_5f7M|WgSF{vcR z5L%r=O53C`QEcEXDIeo!NV&{7rhUxt%&?}#dlWL>Xj>L}Gvb?s>2_&2n5H83-XHOx&6vk9n6dNXS`!OX+Lkm- zIg!}4))c(HdYWnsgBkZnWZ2yTmA3#;*Cld5X`T9jntBWcO6s`&i(#ALTYTVc8mOEV z|8qz#W4!iAQ#F{+h~1JJqKa>DNS7!_o&PLKv_4#de0GSRqw*F(?#EJBTLj8;W@OCr zT&_TQZUMq|0_K(~Uu}^pS6z@F^)77S7dyTzwlt+q@bN=xM3QhmE|PTle#LGou%2C)hY+Wm4SCZV+V2G9|Nlw`1*sv-|3ArfKk06Db*!;+Eb?IT^$jlc<#QEEb%e$N#QjCRHiq$M;G_P`DF7`x+!djwT$ zU)dk9=!Q^t;%`wG3*u0h*HFHBTVx7#i>a|)r|&^Fw%fRdZuc>oNn5U*Kp~Jf)%2GsM1qNzV|wT2Kr( z@;9APyfF_<$KG&qt1N9!cQR28wHJ+j=z_}aZeCYBV zC0vKbq9JD2si(Z^Z2UxvG>C$1-OiJO4o(0CISV1hL^h}Ah=NRj2T+(#P)|&Yqn^A5 z^2vd}p8zofN@S6bmBO;}XE22;D9Ld{W{md;cyVYHIO zl;esl6U1^mJ(1S{6Z|pc5PhX51Gb{Ydu-HfXG~4s(|n~_#D1Y+QDWPYsrry9y*_rh zHiYMLB*;oZOUZW3><@^P(}OW2)T{B+2f`$mNg)rOfQ-sA*l*!;f|yj+G%;g+`M^r1 z-l}4WNaLY5@Ecl&h$+jXezTvLB$g*;aIu*;q~0{X95&Y0l?P@UnbW5OjJ(@W*)v}| z^b;_eXC`0%KL7v#|NnRaRFXSO!%!5*Pin1wS=(TJeE>mluviL35N?{=SevA6n)+;s z;Naw>;Nm3c=AzE74!VdSMFd?63Jwkq6&&i~;0JJV@!TXglHuF~_x#TPp2rnIfG_~8 zW%8ToAckhhl4a0p#W2|o1kn2770>x*ZK@CbI2wcM4NW7)s$~*MrB+2+qy;Bs4uKfl z<9WQj@yk*~H$@>0h1OkGh{Fut=dSM_(7~9Wk%>txs#1;Wj%ozs5$NJ6X0CD}^uulj z)g&s*ROY%#c*Dp}EB(RV9(Ae00x?Wqu|fip7`*A-cF)lB0-SzII?<@VfCRvhoiUj` z-@3qz5m%aLn4A})HrHx@e)ppP6LYw_X_R!uLsJk(nf>S8J#^lr9MtV!2*C@+az}^F zAo?^KTYyfN{rwEu*itC3vC2{|=14jF5rXiD*9k&S*Pp`S~IOB5XqJ zF_W&CoWQYSC_7OrGZDT9wWOCVqv}xsc*5wx<#dhd@L92c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KZU0RR91005dc00000 z005u@00000001pG00000004La?0s8|T*-OfP_Z3{P8>;V$#$$b$1APGwK&7+o-2t+ zQM0FqY-w&gJwxt_2^!6ro?&Nux<`E>mx@3O$U|(vKoCR^L7oig$xlIm009CE$U}lS zaGnA`#E4-8NC4*o+lgYwk}P@ieO2{W{pZp>-95u0x#|NB``f0n<`w^tRReHO`O!~U=6L|UoAbcNx zf0p?B9-hDJ{=S1>{{6}O@Bb38{ACo|s2|sw(N??HIH+rwa)0I9A0jEIPdkm{N4@A; z@y#fA^JZ=~Kc8EO3e8@J!&6iy#7o#I;?ja$E~Q>Za2Hv zqh|ZKaS$E#TL-LXH@aGD9Y*cmqk1RmwvT#GYMpw-B$u7Hjb1k@Z0_ttr?pP6g^U)) zRS)F4DN$=ZjoSU*X}=d8HJbHqbku2|;0;obA|Qy4+MW7wyU{w1u3l@j4x0T#-XGQ< zgA>tdr+wV1ot!YK4O$u}eJ2V7?9G7VYOULCA2e#c`eAg~KIos+TRkf@lxwtr<-|U+ zD)skHJN0h2`84YGAO79?K`*-6Ya437$dj%i{Gi=BY*=nMN*$YbEKSh{2yB7FS1bL8 z-Gff!)Tl_|xKpna`E}v@CPWL-)T4FB-cV9y29=iIwbRpP^>i-32BkRrflc>@^IjMD?ie2d6zl4V?Fq4@-g>CWg zvL1leQVK$U68QJ(-5xdePOX1j?`jg|{>s;J2lJ;3pu?G7Kb#z(YX22f-KuuIN43dR z7`8c+sIz4&(L{n~tKFJis~^?+&0ch;*?x$2r!oZdhl%+|@t;_g{++%sj^?si0%_o) zR6l}WqdcW%?X(O3yVp3$3?PgGU4j@5WrnoBDx_^!hI#G3fNU42Mgjki3;vDvu}zKt zf90Ea{z@OwOTSw^shvi%vz>bFu-bLTP&FaO=MeVI&OYfhdiB(sqoe4~?&@w~Gnzec zcUJ}AQKL5qAc~Cx(NIlU{}k>Dty=SG7w!6|qsr438cW=pc(n4)R=j%PDmlPdlyT=D zt3N(V4EhH)2K_N)U2pUd{upTM<-HU%W+5~}VrhZO``@=vyer#8bX6PB_T3;VHsz8j zVo26%_acN`X!l#t;zlc~MQ?3Z?wFu)j(UrWO!yi6xvrKg2SNO4K)663KLzzdqK+5Jc4!bTwg8{SZ>Bo#*1J|A_u2M{iyowtFR-^L zHCZ^jCcQ?p@l`u;fu4A&)ob*g4yw^}i=^HFojWy*!_*NU_|5`{1}s5Qxz+8}S_4L| z*dv$d<9}H%^w$SfTw3x$Rb)(QL#{bQY;#{Z=^m@t;MyRtm3}H#7y|=+CR`$QkG*>v zCW(3>A$7e-)tbfzDRE2_&gKA>+jQ7WAHdtVv676=00aFi^f$4dZ*1%>_4buXu-qa? zI5NM}Xw7b8l$B8qtB}Es>q!3cts9&j=-#mI>Bhln^YDfp__iHM_hRm}(P9q)7cp>j z#kX4(j6`GjeE^m#q(&U6{*{iHPUAh(|BH3FA45^+x7O^)`nDOa?he3ZTwY9^&+h@G z;YuiAtRcu?xoQZ|)7A~a47f@si1wk{G4nMBe&RpV*+S*N!1E1Hd;KoqIKM6guI+hE4MJ0#i_AG@Ovw2o}N?>+Wi)y zhJY1g~qvOGahz5IYbBQ{8w8xk2Y>-6K~(VzA^4 z|7b!+4k78fjCy}%S}^prl1!U22OZEPQ{;KdoDT+b=xjnXcDr%d=nRX-xJ2p-qf*g> zNq?t6(zZ3_$&@Ff*wa)`(t1KKX8jnwQ=!?!2;RZFT)L3xTfT$WcH9szu)@A$=ZU%z zHcTMYx-J_mFgW>;-tbMcqV8dh>p`)6(e0&ZpJJ1US(gqV*c#G_PTGfcKaRH#Bxc3n zS>l{#dVk7-DGM;s!CBI&wMX`{#m5K~`(_5c?r%((Kbd}BMR zV1e*qt#jDLT7sdg+&Fb8E7{y~;cG|=qsozNUfgKc4x@E+dhEgmbawWN+iF(w@1vOQ zHtn;wgAL-?@y@N;-RMaJy{-0RtmCkYFY4H%d}#MXV%PE>)?AnlQunle&^T%U^5a^w zkIn1csno;{XQZGL#bp|SF1u|!3`g1J99`eR;#$g!m?{PsT;wg+Wv8ZSvsR2NrkKL+ zKPF$`qJ9gNgmHCV5u3R>ZR{-t(`(H^Q>A8bj_VDQhCOzo^;)-ghSHs__gTtjEG6qjAm?x3mfNcxNq2Ep2k5cuhlkq%eN}qR z0 zFMo{n|EJx6F+B)tdJqf(f#QGT{{K}S9oH_pnlcRmnese z=(x^$t$v6D$=K&LD_^@2@DD)C&A6twHV7@#Uxo~z)2(whPONY{D!qqo8|bN`lDNT9 zBrS|n45+5r{zw)}HGSyrqjkP{IUXny_eY6x3-bo*yIDKvv=8ddfn9SRe(39E)bk1*V0w&axFJ4Fju?+j1}u6p#;yd0cLROheZ*|yY0NIa(EgEySs zClEH*qUG{CO@wg^GmN~aT$(aO6k=)}Q|s{FVX6!tcx6BX$@P1C?H+>16CYI}X=di@ z_`Am&?i;f?aQ=fm;q%{sGnn9de{kvFz+29rWtCKS;5MtY$IbUMrc+@L z)x$Z$eM{cVXYj%#uH!6T1pl)*@}?CP`o~dzDVopU%I9w7auE)ozG-00FvfVx9;XYFGlz4 z52KPjec2Xd(7KC*D+nsu!a+nfnbRHiybb&Oas2GHPiLF;$MvS{&mNqe#n)>mjpkEZ zoagpz7T#W&pP#ST6y~r8X4q#6y0o*olFP4FHj1l@v$-Yvf&}8|O^$zTVpV0+@sK^R z^#*zsHWz2RGWEoqG`_kV-G~4=x)v?3L~lfI+Cq#j6RKm5{aju`_GRY2xg2tnVtclS zEzP-Tw|mz=Q!k@K^y#8cM?HUHC_8)et2gpXl*Uabs&@+GI-=u=cawB^kSjvJfR-O`I_@48bi*=^-yjV(eJZlcfIKe5k@`e$d;_tdt+{@ylVSGM2#+Yd*Xf1h2Tgxl`T%nU(ULt-{{^ZmC*cv&C4GrF?#2HGlKw z!t&BwZfSXWCAT=goSR>m&o2&#t?NKBmx|lf?VY{yX8FsdDu2#JHKBpQrLEF#VXuVS z9nV@K9*YaqA8ae8sI-XK<(4%B5hlIyh>I3mz**xVMORec^9VxNcD1+-mVR-6tGHL* z-m31E?8yu>Gt9f{j9Hf(bZ_95w+6yvUzzR>*B}r&oo?RCsxT1+S-sgDNtSq-kd zT~zA7Q7D!+OIv$YsDBIz)tL*>ao#(6h}&3Iame_DkUTN!0erA!U`AN5l_Nk9=0R*>67N(Dn=0Up!tdKGL5jawW?<;h= z5th;%;teiVd#7G|H@err5z2^tHtQ#CIhp^HcxfB0;?91=L#d-{Xu4}qEqr*u0dox; zb>AOEqRur&GA^3<{QNEcTQLbdqGcvG=ZuSLxsc08o44)Dbav*jt7K9+7HvujV19Ka z3!ux+7RuBu2`}fGO3iV7A83=Dnet$ifYG@}I0-qr+wS&SKHgztj?=V*=-A>RAr@p& zuknov%j2l`^whPa!`aOC_^Y*6efDVeV6lF%yjpY4kSOjqT8Hf?-RSKdJm4b3&;XhH z8ESZAd3D)7CAoc3a0j2ntU}gLgN91~fK@;0H?tzv`Q;CI#7gDK4l${TA|(nn8B_B8 zvXkjA;GPHG8CAn-x!xlherFaTSDi;6?bLcMSfYIC6Sy93tKQ?{IHcfWyl6n|c@4Bj zio~E69^#WCeZ-*wB9(lj@v!5+@1 z8oai=kzl!cV)%_iiFvm~QCc){UC^B+m_YcDkpz z8}3y28%2Ac$V3+?qEKxXbI@vU4xfRs=td!_cn*@1_ySFIGobAYc|VOHa^ zxRXMcMUgo$?eZu}pbHeyDIOjj)$6tKi22+^(n?|qbTIOQ|B$2Ps`#K1_HDj59#LPM zh^V1x1BJBx+Ohd|Om1O*Ji-c-5te~1&?DL{#&}5{JB2P##J~=(4{&(ic?88@g#^cds?R+M>!4!F-M+wf( zPmxC#D2my zW^xEghJI4nR^}EQEN;F~!~fCU21biI2aldcTb@eBRAHqN9&iyEPq;7-W*BL`k8vSY z+z)tAkzHRE~rv>n7*V=*k(ygJ*l8MZ?#+*rDZ=zzp?b@tAiFQG^fnuCyCQZta zN=IOf8*!qu7(PYx0|S`kVZbQr;zP3h=$!w)vqj6M$lBqQ`j`)^*zTs9WIu^=Xm7=aC1udS)_8P z&5LalpZaXf;v2;LOtThLtah;nIykqrwA{jHkTJX;fxaYGEzonn{XQw8mQt1I?awz5qqHd44v7YMFz*RJ_jqi`07uSn|JYX zqE2tNe;OS$+nC?FR^0f~er5MfWXBc5@hK!Y5cbrh*(cl}+`;}}d~_SFZMC?u+sZ2W zi`gaZ)KpZrT4Nw=m$J&U3*a!9x5}92+TJR;bDi)8SBy@E2H0SG)Hv>QYydMW-GfIk zMw8QQzun<>=f^y`y#wLdbYmd(1Nea6Q=Am#%q9kyBj~qlFb>2nCg3>YKq}#*O+Ml$ zpxkj%7GkXInF5;JFIsFg+_1a@8@XAJFgrs0Iw}ay)~&J+W0!lVLX6eoYd%P2@hMaV z(=X+F(Ve&PjO#W0@mO|a_5P@Q^C~VIZN;S6%uh?y88PyMw%c+#YmAkjWVwt_eMauP zDA5L2xL6s@%!s%Iv6fs+M%g; zU^hqgCvaR0w~ey6!dhVZp4PwC=$fWs`?TIN-+SL__PY_pefR_;u2G#w2pC&MUR*JG z#~v5~$y`QkW{>vkUR3k*vM^^zDrZ7s5UvseQmZ+vA;CSaj3mywqag)5Wg61WCwj%D z&QY%kzy|c;iH1_C9l`Zz5GLc0N^|QpwqSJF&`ITE0M3wrX)mQb>pf0khT!Gn7Qb{M zxErq3Go$yN{z1>-k4Y-_z(}c#*3SClCc-v+tpib;flzCOYGH)z)IlR3m$2LnKe*yg z4CS)mwXnf_7)%nCUveO057+RfaLC!aZg+O?QK#L<{&c%704=ott_eP9d{^HD!jOw5P~WrDAR|aTwt|Jw2>S6GQfC{koVYCd7yrNt zuw!M|w82mtF)9a_8$5Hz^?-aNbi3BXH$#1sq6yLW9Bgu$21u=L6Lwr};~VPiZ*}Dx z=qAOTjQV)Arrw?!1ZSB1uqhDY(lqFMDuXLpv7eho7qH97}QT%5$CU z^+PhVT*V1DSAc~aprvoM5sMb_)i8X&7sN@AP%E~+e*@4F3eRrVPSFuO254_-F~vbP zTrt&%JzU3IiHk)$(1+=m2)%#}sNJp~_rg%A)Jge!HgA&(W7%ad?13kgMEi( zb8HpVVsfGgtCVPIW?8ps`m4peh4NMfX}AoFw(R{+;3s6WX{J5Bv&ALGw(#x!_4U%O zD{VNlzHYNJYR3|9pVg*Pi5yT9J`BXp;yR-(ZsHFCY5@$UfXwj}n9LRkI|Lg$o7MZ} zwY|GGNwrQKQr~ZJRpFt1$L=z-+jY5ERBe;Vs{I{%pDM$iILmBqukCN_JN6KXi&|3! z$ZQrU+$(JCmn>Aex&5vEN@>kL4=hv}r_hHTCaz$w?(eK^-**+FTA0M*_SP0wAeZlz z_uLxf6lU@SgGFU*30N;|mN#6!^jJfxBsrt>4o|pP)6K%xeqqBr&ZJ7chICa5>yNkY zi0mi`nV1@ukM9teSMP8I|IU8pZuK^HN?3$i?}z21RysPcz`W!*XJW9;f^4=(QO{D? zkq&*s4hoE~rE#W;P)d+|bqg!E@4>*l+8I;c6%|R9qs|v^zg@;I5cFJ1*koXlF(WE} z*KdQ!EIGC-$uK3dGQSY8#%zharJ7>{1@@F+k$!=@6l`WH&;Ay-g$z`9s$GUD((e@3 z23ZMv9vEw^mbbXNzFfhMhONq8VQUZRxLEj|QpMb-70-wc1n-o0N*m=ZXPLt+nG(Qw zo85R)*(ph7SY~Me7Mh;y-zlwC3sfyvonffRO~0ptyB$VEM-r)A#Y#2iJ&DT=cBjs~r0=YI|6Cpj31Yljln=xAs7gzn3!-dtbiI6@ag!iWy z)H><%MASo5(D(%GL$ePgHNMz^0d4l?L|P;!+@sl9#G>pUBDm~ENW?{#o(DB~Y-mJ} zG7!UFsVfvtqA?%77^yg|Ho_k+bxUmSltu>YIG{9Q4x1-$ZyAgYT&TfH=H@;36!Ta~ z-(OEG?;u)RXdcy6l_bY`GzZztfVVE*seCo*ouwOzcIO;}VnXCzEsoX3B-nCxzu+-ft?vS04WgS|Z$?GYJ9 zRXWUM{XpSCPUDu%L`mGPts*{kdv4S@RqdQJl_98Ijti-EUZWns@ie{*LA{_+FB?%9 zi_yYXl1Hy`)hqU4EXmw#KEkY{98-Qp*+sFD5lEdI`Nb&?47*wlaX@iW`$6 zk6X4yt@Uh$!)&;c^?pvMwVtgiH=%{?P+yo&v6a?pwmLxA&j<6eQ*c(uwO2`QE8nSZ z6@SEQv!L7$@!+<~D+=)dPo}V`+w&q`Rfq@Zm%^rQ&x`n`_9Y6KRxgE4-KxyO-w}CD zF%(xe@jot>Syetm5Nm<+e$mN8wHt)vHyn@>#~fRm2A!@_&1H2JD{A$@}Lv6~HNtGw-3pA+HA03B1V+wtan zxJ}K0dvEtPu;MZ`AAnp5=0c=R_uazioIz}Y`3#n8_VZqrW2kyh;!;?qbzfO^Ua8Lj zaZB)|P?MrD9Vu%)X(#T(D2px|KMEf2da+BEweXk2I-IzU%)~VwaG4(IZaLcNaQOgM zHrnJ#dvE-9jD_$q{5a~|`b#^lYv2A<>g^pYn>n>F>EUFlOaiMS(AcjgCa!FA$S{$+)Qd z53--i%J$@4%EGimhz8Xk5L+vPVT98%sL(2}^S=%!>v@mXt^ zQ##WBGFL@6Cd&g{*DF#L-JC2Ba9*!SRdj>0JizK-k*eq>WqE+vzamx9jmq+X!0Q#M zif&fqh@_-5cESEj>%q8SP!{Il78hrWc4~)_U9f7B%%S02)EAZ&a;}N%4%vCUq4s>5 zZbG9oXUk=Gz1TS1Yd3p13f*R7H;+s5c=`)g2A<$33j1O(+oY8szA$~rro}8}wZ&r{ zpKxp$p}u2t@YW3iDO_5JM1To+*ZfR`K*bYkz)5PrKG(fsu`D|Th~kvHv+;{7kWEUK z=H~C2WR*_BRfM169$2#QKrC;fIynESa3z^kcp&yL5dvi>8NQmxWKF{;WH)Lxo!oNs=>R{l-M3yMRLBN+Kvcka7315fGFdkVgy|(Tmj{ z88)8`gBm&%Y`PKzs%BLu!=Y?T#xF8(NZ2_U4rN?fYjp{fw!DpBTznkMl#Mi0qnK0p z#9tVvwpKO>42IDh-j*$3Fen3)Rpl9+lBjkvPAMysk2+=GkZ^M{9LnBu3ZHO_K%rF< z2Tq1V#lSg*FM&e>w8?NNqsu9Lf@4zeM1JcPE%IeCEeFE(OJF9VUN4c-*o1nsx=0_a zIT;pZ-5R4{p_6L)IUlyE_NXx2;4(HN8vueMr^9oBfZ>(0wyYT=17)*fAWmj^`m<89 zYf($X@Jg@5nv7S5b{jhEr zX&%ALy4A>|E39geFvAN1;qQ zYr4Xs&_Wm=g)*_MsU3?#HcC;>UC_Awqi0QdYx<5f>MV>T8jyhDC5=$gVl15Ld;dP(6+a7$X(2`i#naE&m5L;}GHktjpYS_QbTBd~4zDk}gdqhC)t zXncahFzK85YQ)k2&VAIFY7^-Pn-m%t%9I~fjT z;Tm58hgkSzIF!9*&1n+odEKF2qqwZ_Nj;}aa@ir!sGB%}GMcaReoI|KSmlB}(nw2QENZ88+f+%!6=$N4(Q z`sjrfg-M{>3TS&6pGV5BH9A2-uAJ9D>0=yL6h6UWtD#-T*wxU-HE48k6xx%Ik3yN5 zMi)n+8@=&SD67so2I4&7jo$dbs_+Q;gzZlXn8+6N=6;P$Fc7FZ4`6H@*=rN1JYh5I zPvdD1r**mWlCa;li;tAynB_WN;Nt0P3v&yz_o9t^eme*$Q5#8r5|3N$9=_O8Yc%;q z13cp*dMR4m+^(2JGplfRS>y3#I%70+Jh2Lk_3?=!dj^yGI@pW;xR?u@IQJ3z3K>Q5 z!agoWAOm+)CVK*${W1>o+eS-o8W79T1D0X@U*PNU{^tQ-Hw(`Nz6$%! z5C5O>q(5^};+4|dJ2{z@=j@@2J0|DzT9j z>k5E7Sz6j-mgJiI$!SkPSFNrLfKLwnA6t>)f6+rd%Pu2tlfzH@8401OHZB;Q9Q^k^ z>qRM5cqJpC1aA&-pTn2SaCEz^@<_%+BJJhbqozVd(38_Yc5^dnd-7e+D5*%6zoCt1 z`4p0AuOVz6RyZ_lsRlIo73Wle=)_a||NLFhBqTX|yCY=HgtTzyU#hpm)4t^)hkT5n z>=k$zaD=-rOE&e(uPNCp=3I_>WXtCX}r zij%Z|Ir9&FTA*OmyI=S94e)-=!wYHuDI@LoGWhZZBEWZ3vhTQ%r1IIVMmxlMz z44VHSqb&P$$?Jb)$L;I}f$exHK`i3{0Mw0tKcEwrWqz-6Rm@e1UnXol;2A!%9m!5}+*z&I7Z z+~S#d_d4|>%SFb>;fQ0(lm}GC0>ojD&a=k6Ka^@s=3xqz~5B#x# zcHW-1E{x@^>nkK~U50q;+5Cd&$F)FC z$dcCJR<9vf;IRKHV_YP;`MH&)aYUkQJkYN6DUhbrH=gLY5>HI=p2bt*WuoI;U@8++ z@?m0137F`(i#vDGf@RZ|q*=!xx)LgC@;YryM9DAA6luFR81_^fY zZRooBWVHWENX)u&bNP`ZnRntQcIUY19qh$K=NUqy$i$QhGBH(WCZ?*)#9@-eYj-i{ z*pdv2KnL!>1;Q3He1O#=NH{wV5!tg`?Q2RQa&F$hDmo4NCSJhjUytZQuSZ;RbUmsy zpVXdq=btSuUXSpZ)+H_LcyZkFU`QEnFGCNDSha+AYN?4tWHc%#C4F=37s9y5EytY=EAa!j=vcP{NnvK1Z?DctwI9;1@k`yOx>0B}p$B?BKve0TpB$R`M#MNa;$lKwwWy$3& z``PlvI>ZbI;dNHD_}TI$I8ARmT8vi0di#|$hR%DsM*PRJyr(z0m%?X~t7|wB;zIN+ zG*6j6^F8sI+7*oMGfArPl&;W`VNFYVP`^=ok61IG+W0+xcHw@ITJ4GHukx+p2h-QC*k=B-LUqIDAjpa z*FpZh4AJ^d&~ufs>4U79XyQWrr$jlK{_{6Q$ay$`yzi8WULr=47+*CgA+GR@xU>$U zF<f7LD()TJI^hNydZ*}ZFRdxQ?eyc~(iE6peag|&UGjME3+nKPUI`s?qF3^VBB^}Fv zEz9x}BP`ltZ$ompY*Z_!t9=+LDyj|ZMLvQTN#U}%8=i;*bM}8Bz+{^Vhp-ZqVP@%i zL=w&a^WA#AMHdhp0jD_)jWhoesv~cLZZ=O(st4^p*Oc7atshl8y=Ha(YllZiT?52T zhz`j`Q0492?M+Uc;*(UJ>(P+h^nG5XuSfV++0oGa;v#L6k?HoVpbcCC14xiy5`DkJ zG@Lwq+M9)KSUfz;ZJO8KHS@-#kN|Vz{jVB;7wT-FZn8;)VJGWM5_QnrP%7TA&5Z}( zq5JY)bLF%Z)5gS`9WIg_S39Ws z^b=M){%xjq6f0}DY41ZU-8fvkoMK3>nD>}!iB$>j1I&c~^VJerUYBU781GvJ_*wke zFR{RY<>mn2ZF{DVkqY29dPLtc}j zA+Jg0kk_`Iw*M27jqS~J(r!ZyU=1-DI&~LSv$Kc*V63#=KqY;X5m#2P8)rvBrdDiA^Jw z!x0=(1~>_%#zPE6>d}jnut?=81F3S#s3|*C38c1Rv8c0a>Rpp8sCpQ5s82e47L`1uQOViPJi1NU1+rBkO@V9-xeg{7RASg(?7^@RG#M{|RqmFh zT#yUZB=_+{MZ^!O!4HLjA8H_es2%trWAQUYDV&pLC^fPKtn{rNSYF8SXP*HW+i<6c z-=8fnxoPGH@j+5rIWFq&Mo|tgE#e836N%@Hf;`MLLPX&-(^`h;sgX$xryMlu+>Ox! z`w0;VLtH2eVq~qq)LU{Go^ZxeIzkqyJY^ttoOehmzC=Y`q&x(v5do13|1DB^N+Xpc zpnn0}^@``zEZMRk3ob$o2eYRk%4xYp>5o|5wDFdeqs(v0g zZ5tF5nH?qMz#iV?;x-eWlJ81oz;k|SOn7=yu4FJd9hRdxe+G%RYig+UdWj~}W+!Fp zBy>Yr43eBK`HRSCI`*-O8mPtzoBppg4{22$?3gaZVY{Zs!%o|pQE~-afH~MP<&MFY zLsowTbi>Qp+WsgX{Mqsum31*YyM&5uVHy+L7%U{))PzoC1}wLC!B;LFxR?f1RZbdd zSGicAplYyDl=Bm>kxn}@99Mbc3lwA_+d&rTXHe}_>OTTVf&L+77CgUZbeU_mDFd zs69yRI7)>ZF*(Umn!lUmCe_+=Fq4~s2+t|ARK|K6dSvT$-OWqD*LC5mULf;e=zg$F zZtBp9FmcgtH;Ol%ljKG|J8#3mGrpHbLQWSqdL1w8+B!lC(a4YCDH)u^imfI+RJxHg z3Z_g=tk%HhXcozH0|ar{u3zIF1l2um#zv|NwnR}5w)l&5bypn|J#0dX!!~NAV9Uw! z4z{35!@lWSu|(Sv6jnZ~;UEd%G$c8rH5Cifd`FH2O%stADYQJ7{y=O8OI!v{r97XA zInF2JmK2|)$#Jz4&uVIS$KvHH>@A#kQ>s?yB%>+Eu+rwuD(6}bTP}u=vG(9{PFZca zSWC&^d~i7@P+LwE*3l`CS#&xjONoyxyFl^HJAP!4AltP62`Z6#**gA%+4E!SeaP!GnuX9x2X(WgKf=!txaYUw%+~0xMec$p`G&F$N~FW;L@keo$BA;z zjJ^YCDk8Ask-p0%omSPG3)Uj`p!+VtZoI;pxHD!M{*})ifQl`SW$h(1tA^IW}Orxq215?QpTvn)57(MU)9m_&*(lQm5<({tp9fvvI4-Nv5{ znURgNRhB?-U2=@AlxLc)LEb?dyn?843$K1&4)h;JXZ)*|K{FXNq_-lOCWo5ocho2? z8m6PJP55s~X0q}rW`-dhH8A0s^3sm5STP3U<`k`AVSMia^~10*wembZ(t^^sGQ6jJ zJ^MHDI;NS_LC?(00V}U!FPZk4s?P9`YQr$S41MJ7Z!t|2H_Qr?*$eak9{>RV|9Als zWME|AU|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDc7KRHz(k>=YlNm@$0x?KYaHy}Z zV^Cy#h@+E_YrMacm#Z^Gi#1RI7sDPP*_)g28>B@Ds>R(g)ZH~0s+N(P!2l?3wsF^f zAjKeos>a1J#4+C0$JN)>4`M1OP@aR~29OjoTw}%tq(z`QTz#EfU0hsUVCM2OTmi}y z$BNzo*)0xLYY zfjY#XM#FT3z|=Exg4MViXpJpJ6^N(C5rfX39EEGY+4@Q{Kih5Db7 zmEjCf&aAC9h6PBA0x>88{9MCP{lf!R7RF}j3sNEoRR%E%5=mQHrHn5VT-<~-#0+oP52xM7^YeWc41rx&wplH)-oj{NZSSWy;c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GT60RR91007)K00000 z0071U00000004tI00000004La?0s8|oXK_GP>~&njvYxW$#yI|zgE(kYjMcwxs!+# zHG6u%d%wV`>N_xoxlI;p6;IEkX-c-a{9ki)j4%;b?VgZ>C>lA z{}}(jhyPQ!E?t`X5Pk~H`Yv++5&nOt z=l>t#`P=UQAK;h&3F%u;pMDiTUj?+EK=z&baqW$6t=&m*S8p69`9}5V@t12Y1GK!8 z*8q8ciGO|&|8H+EZ|B$l1n%0MD*k>BCH`sruGJ2!N6ALBQ{SuMF>_t|=KEO5lgF+4 z;loaHrSN)^yKy5oJv)<|OY%pZTC18Ank`h^(BSf0&2B5{wrffAAoBTV+R1*cT|aCj zoo4f>eKk319@h7ggKlGw6l^D#tBw7n*?CxNCGF-x=TWs)OZdtq=WV^yPV(zpTggea z)oCE1E#tB;g=LP2f^L?m8x$!d?$BE?3*_=3c$psqH8G&Ask%t3JBUk7W!np(2z$QyF1#K6+hyLxhRRNt#UII1Q1H4v(y zOi!V!$>m4&&O?wE$&Tx`u2)fqYA1Ot%&&voD41k!H&Nh87ZPHH;<6^KWu2vYJ2|d4 zx(C(0PPYYGK6otkAk$Hbd3D**g0zJkRJ*{WRsS++UvGC>^#|Qfv(>(qBwI(dDgd@> z2apg)1gKo>n+C$H&#y<=4+pv*stn;lpN3i0h~_psJBUwZyr`WjxqsL-$&GuHEc^7#Eh0g5); zBP-gq4#g%aFl<=H5ob$Af=L9-Mzb-!Qah-2k2=Ziqviv&JLLh8KS;9d%xJ%8wxyqIjXh_W(&2Dhd%o8>_b0HyS~RwZEk zLxS;I^U%Ic|Go4fxP)Ko!gcDlE63H7WO}+)tL|6YP7^D}zxfQj+3D#=t$L@H`EqcO zSZ6Ys-g9@CrND!Fr(c1{HcpAv_VGEF2>&-*0YfBm{KL32PIVhT%xg*caRZJ4?yZCU z)<&9|U^@v;kDlK9|5N|@ES~)TvK~Aoezo3#t7l4EE$w7VV-l9el9*dc<^6xRrFgTw z4o|Y$r=7n}P;^@)Q9}2#(d;B}FX7`fpqTYWQcd1iFW)u}@-+%EE)wC#@oQU#?ul_& zky;8eiF*Ew0gYtCMQC)N^6hr*7_O(KOuoEszN$ZwjtoSDz2TBgWuHCv4vw#L`myQ~2GgB5GBGhvB4${u4O*3lC2 z!i&uHJVk5b8)Voqd2u=gDBPw`YI+e~$BmXmx)bawT%x^6#R*c8%FNf7=7pseDZ+7# zt$Jg66ESIeIjlm28dvf9i#M-xl%{>%ny2e~CrA6&?J$Au`MM9+PU;Qz5O5K~2k`6L ztuo^F7_8_6^Q`x3GTQ1z%nK;#m;B<0Mu~x z2w%=01PBf2hF}U*Wt1K5L#1WL%}n_{e@tf!()~G(oBh{QTBXw*<{K#dJ*y4b zioG<7JVCw3KueJ)G?Yqk-9TWU511pD@F=v0og})E~9r)Q-KwVSj01}LF`=v>SD&Znk=vkH; zocn`*K|k-AqXw6lQumGq-A@v0>P)CHp@yb_zMsg(3rG!EI$Si5j6Nb*a*BO4E+a!o zx-P@so7t|zCf4aD84>Ex1kv{s;!KG1vgjj?P4LEU)%WYILEe~|?nkdw%lkO@mnMZ| z?8k&AS(`ec$%H1u*cW5QjN3%Rl0P~^1aI$cPF?8fTfT+QHg1RySdY-M<3#NQ%S+&D zU6ti12u?nrHGJL7$$L=cBw5V2bSqDqCsTIZ8UwmI(0L+LmXP%X=Zzg3-5ZAQh2)iSge$^4y zgX0Z4QJkg`@Un~SLpaJ#=V<#57UxoC##_gDfnsoxww#xp8KRBN7*|9wncW}0?mPW^ z^bfXFBF5Qy1uO?^HL(&GM6Vw88!F{a7ne96a*!Ww5`1$|fR-gp5c5x6AIf(R;$?MWUy48t{5?4Ga3{7V6ZXh{5jlmwYo#;4z@l4K6ZlfV3d9l zIkWR62<`u}$)VMpJWOaXk%NgYOzgqLCcbyth0u6%pE7Jdfo;7wTX`FcYq%xRDcuP! z59DH)-&Ozl{M&ZT$7H9n$xdaMia*(@3|r;(>{Mp<&!7)Y9noF*KD|l09+$YyNWZS! zz>mm>i{Lo5d!@FI9pl)|Hj{1J3E=kuO9daU2iXrVzQ2GHfTx>U%}$B!i--{$W@5F8 zACSmWk8F1!`UN>~k+Q~s=5Hm%cd3^0? zH@-jt98U>y{}r_NNE51&=!yJJUP6V182p_OWI_-x5EEgT2*X4ezJIJD8c0q^+-Y{; zA|89M3a_T7zJ~vIdBf#xHU&1cup7MoOOOa7c2E1~{xy8%fM6^)U;pO7++RZqy0Ed_ z9yY&EnJ$anXAs*+cP)BTui-WaUx)^WR{VbDGDVdv{et=CV@77vvco;Ux41RKR*JiHel3U$6Hj87=E?L??VZ|@ ztT*qUoY_~a$MvJfHaqtrTF<|^G&3_(wr`liZUADR$>-wM`ciIoxx7|bo}bPw*bhX| z?F7G$S)xadgzVm}*3osasW`PhDkf$J`{l*tdV-RZE6L(g@~Px?n~6P&9?3C#yDlyu z`65%_SPZF&vE8f0=H@&bw;J8PFpg~p+m0st=rAU)B^|#lEPIkO%hzWY$c-D0$Fqwz z9|d^5%2C{E`)&J*9bL8UzI{0)tIQN$Kf=y51c2C&s@f_D1}1pbmzF1(eL z_HBN%Bjwt9WxII0RNg6WSGMwnFO)WJSBk5vo7-;RPxgs9wq&!AgzM-~caQBeo&M?R z>^-F|zq_-Evdf#h+l6AKd?&w+vP05MC-`)tu?s->(67A0=K5A?4QQ52w>R=TyW7P| zX~kw^O%`Tn=ay%0+?ZQjxRzU3TwKa6&de;#;3ZWHS3g|!d$PG$*sN@B?UdF_Uo2Mm zb0(}=8VFq6C~oI>in!hKq$S{ys6hF_GHJ3(vxv*zWKKkaL3}*oqQ>U2(|mZNE2{6g zr6P2@QrHAZKfk+C*ePvpRCbDXrwL2AWv9$KU%z`(UTLFmdF(fjz!O?|&yPEsYbz)Q-S!WfKZ${p&q;aql37KSt5s=xzTc_j9Rh5GZdUH z)8O>|D=a3zonKoku2sr!ZS34BmP^i@X6hl5p$pLWY`(U(H$Pu2>{K>)ceZwSDl4UJ zJZ)~jg-^IB7l>?QTEE>k%Dfy(4LIqdyta9-QeG?Gt59%5UcoDaa7=HBBu@N*;IHQk zcS;+@3Q#T-%Vn=rM3QrAri+a|v<~|g5Umb{*FNcL>w9XeA!B77Mdoi8D{IBO#kEkx z=!Wa3eUVo4D=XWjyTy%DxfQFtMwLbxtzQ@COa!CMx@UJF=f=i)%qKFdHyDP(*&VHR z0wOu$u-aR->f6cPI(Ep0W3^s8Zpx_-pTI}kBo?-I6YguCT!CXyh2Y{)3s;zHKB-xL z+ZMHtG+yJP0hyV(iGQ+QDR9OaAGWi86Xr~rv;E3kT8NeDlG8OKm7*usWMH_OW$}hrk|o59OU`!N@T9lA zxh4BXONzb^G@W%Mh{_RtCxk2?V#n;{PP5%NZZ#bxI_ z^-9Tkl^YS3^D24>nK>^|{dWx?sW&p8dyn@<`gb3wPa7~G?v6^zeaauG>r0NW7G>R;3Hgf zJ9dqR(D+=-fD0JWtJym~!B}8>M2`K1vFMY96>t&i?BN7F3|L(hbu!>$7@5ueE)Js} zZ~-G4#r=bWTCF-7GM^cXTfLA19`t)*KV;j(3XV#{8sm3IL+bNmAvF+ez>vCMJv666 z<>qEaLo7cYVi9NoACYcR#tX988E^q3x~KTOhm9UbBhP2YBTp}=fC;V8QM37W1?Y^1 z%6L{N3oDJwgU2UWHF9up5x8VwrE!6{w;$FIF2p)#VFg@7(q}tPIFD9` zKAC9VMRN#-ll%NAo{2R|-|EBo8fFdQkXbWp$aQ8|Ol}5$a53z#UVRs**&ZhcSkQtH zCJqJLcR>kulNu|7{9~|6V z-81J{8{90KNQ`U5ks|ge%I4(equQfn8+e;ijO~izq?D<60K&Ma6Ai}TA;9mMf{7l2 zMoAmTMDv(Me=fWQ%jU_C#iky{ned5@G)5CJiNJ^A%_54vLG;8?J_Ah?Uf(n3 z>0mJr4%?O;>&>qAr=iMln`hfP4)U!}WGWYahO)CGu=H&_Kunuzr0dd`@(K{`*kG8m4fj}yl_R>UB0FtaY1_v z>)AWW6|}gO!rFEtmh)%hIqlG6QnONHO4uyM^0OP@AeS~u7{=V(D7t;f@C8>2Pr3(K z5PncU>@q%pv90#rLnxz3X_kv`ae4kbJZYc>=Gpf~L27%j0iDO#+03a;s^Hg<@>Uhf z0sqA~9S01ivV6452RyROZGUDj2Wp-x9 znePE_Ii`{-;WA6W+e!{Ijc|`E@`|Eft{%VyX{|A-2cXRr{a8=aVuL5>rwTZQhNj(= z{KR~e%&!7?>99ev3+%dU{Y)gk)!pkj_$gYa9_TTZ(CS&cd<6Fmr_8{6GbL0Tp<0NR zow(AdeG8aYh#y?(C%Sa8@*3FpU{IMvYIeaDnR>W_FZq3r06jvWU}3cS_P96&R?d`WP zE0uAlQ$>Kol0IL#kY6bdo0F#A<-EPNc`Ls*Y(~QhE4tg8_ma^vt9q^#ifcVUDUM+t zQu>K3arl-uS9gZRmRi1MG$T(P<+`~;(3OrNX8hi&pRQ#jv2$>(qV3f79yaP#d z3fA3*SSDxRtkxu%|#}6PK%>$H4GmEVRBs_{c z*3!-p@!qa`Ys*fzb?K+MRO#hMwUdr>$j(;o%~ZLi#yim95z$V`d+LD}@s{alu0S9U z&2j`U+-c*Pe&R%rKqDR4NT*9beTKO>j^(LN^7_!sG-vC=%;ljWduZt!O?Z<9oOXwE zr-7XG47FhE`%j^CIJ491)f03G4^gz&w3Of=8LpIQq#mx~t9Z5|9T2jX@v-w*XWVZ4 z7%*nYn9__J)UVw!F(XlWbaDp=B$ztrcbEvsRzWQqAZ5dhC2E?PzHgfTO5skvv{A-8 zT!us&_Wpx4GD@js+cTR?vdNF0xWNo)(DOTV_YUb$CV*|}q1sn&_%_1y;NN$%Tk>^3vGoqvtV z^Y%4KwYz2SGkMq}XP))VmEE;nM;-!kUTY=;iOmf8yZN=8*|9y zBxRJ`;RzROx}M+I&99lqsZ6d{@LuJ@Oz@4{!aFiT26+ah<2N|wmD`-KzO`GvQ@Mo| zF&3bf`$6d_l@1RqBq%z{86RvtFNWynUaVqk(4r}JcAx-OEJen z8LS<`VvIajqgae6Ji8lQ{?k|BDRvQ6q}|D{^wSddJP>oNls34EqEyDpl?|*~*x12) zT+IAdv25ofjJqgQ2O){K&etJ8;tud8AJ@38c*h^k-N&}UtqEX|W zx7Hk!scEbEvJ;a`>VBF=Lb<6fmF1I%c*2#*O^%1gwQnoD+QyEJ~XY-1`#*wT|1|$#>smG&TWC3+)3@O;3EFLmOX& zN{z(edon!@UzGhrIG61NFLBYN&jOoSEL=s8G9bfVsmm0$GcpIZ43(W)o8Uh#bxUY& z^H4hL*jP7V3Y%uu-qIQCJ86TJ%;h}wZUlT;N#9@Znd(8XGbYmvs;MeTigO1Rl9>*7 zr`vka3}ZC|ILjiX)1I2R!Inm*fUxJuD1QxGwlaQbXI9D#6MV#_)6=N*oiZY^MMBP_ z55?|i3NlT@(lwdV!l$(BjYvfD3B1K6(sQfYc-y|A5rQ{h9}HQV#}BYnnz0s)Mlvq3 z+3ncRB(+F2I&!IRbeb%OZCV;6d*ZC*u0P<(TYB<{VA$R=mia0$?~(TwN)-HWwDill&ASXi*c2URzfe+w7|8TE%l+eqQ-tmJn;qYWR ze(@pG4B1ixc11Oj%gSQKpcA4)PyyHQ^!)%Yfii~RS`k0EOr;{A2}9NO+<3ipr{w5H z2+CSXo%uQo?d(+sU{$OlyZ|O8-vU%zrsjQsE5;~UAD`@0 ztp=iV2C#AFBP7@4=e=~9RK3SBDa_Njr?h%j)kDj*V)vZ8SD=Z}h{DENkK2j+0P>>C z#>4E>T`zXYViSNF*5SZ)Vg|19fXnnqw@b-Zi_-@%!_mGTxA(>~k8BAa!H>hv&BL_A zy7udbGhc6G+RTal$cWf>x*sUYr3HYLccP~$-&X*76AkDI=4O%;H=sl)(-tpvOEm?G zss5sj2D~UZIx=dHf1!^uE7K|hd?93XDC&NJsPqd)Mcsdx@8jP+FJW|4)cuSFg^M;| z&zaG>L$VOD@6S`E9TlbLLit8Lk7h@o~pw9c^DntZ<<%Q zXeuf#x^NU5g?w4LA#;6_1x2OB60hks-Omd}<5HG3?%39wSj{R2PV-s4juNqN zW5^F@@goe1+j-~p62(QubB&^s&?t(`D4QGTy)as?Hi0*pKDGT<}RYZ4C z=3H>5*Z#c}qNTervwfT%SHBlqM|5XrwvP||QdC5DiDp#`rFG<`sEF=3$>yiMI-)9~ zZeJMlYkH~ahGfa%*;^A89(Eqv#Wy4jDR1{_NnBNQQ?jJ!tfk8d9r1sWtD+l|#XhF% zC8>&TP8RzZua~4Mx=N4nV5%LTpTUhs_rLt!m4={nO4ZLCeAx#=kdB)^J%&X_0~0;FW&WH<8Zfm)WJkY zn~dE&PRZjgFqj#5j4dhbhyG-fR)X-t@FANPGnLh5Pj!647G^Br2K=ffO07_9Jwwl0XPQX~jE0YrGe^XFy%Nhti95(jx7gr#E?2hD6?v?}6 zOPMf#V=UC2L!n^x0?6N&xckTeSd`2xCWMIhVwo#p^YI`k#S&<`5-e1Ws*VRk>6XMV zA~3}591n(4uGm~%7D`>-#4j#Bj-<+l8>&&vDR^Qpj8R)D82|>ta0YL~W-t(xg30+B z5lV?yJ07K!mdW8=5g6ibjt4{OTTa0fO0iH#mH2_t)e!2ClbnvHiA9#Lq`aEZtD&?_gG*CNEBELilyb+SfwmUf zMvV+&PDM{*kyHBkT>rX#S5}7x6&QR+a?4h==3YS(8lACprPmsglumIR$1^k7Dt(q| zdszX}P0;A1R|*}AzFY37~*|Clh8a>r&5oJG0km2%H3ID$VhK2x`vVl=PRTLTmzBvM!xFuc?%r$f(K(BL$P zhM(myCLff3Yj^^Kh+|f(bn(UnqEs$6uj)+9R_*k>f+H0m#(G-rm^DyMb!<|vBa<{3 z;{nn0Tw@bT9S~_U#ss32TLTn&IUwTLj0r?(U2IaP10v1Fm_U@8$0mal$XOjtJv(|q z!Rw)xG)`kyL^b0YU=I*+1jhuT6g@T-*s(-h|1p6m1zb=7duk%##xa2?jgL*obv2Q= z*O)+*!Y?R*Qj@coEIprZLBWx-ZTl)S0LQ~$4?1Xg0>cpLj6JB245i^3UJne>@bO?MeT$80ve2`dLp?`v zQNfdXSjm-Hjblo#(l!lGV6YzBEBB?T9gkK@>taLM4u-U}W5Li97h)s-4u&)xLBEMZ=M@9f`zE7>`8CPuK7S2C1S~R}#o@ zcIqvp#Kq2l-jgJ@G?RJ}R9zt|A4aE<(rXP)K#(eD zwNH8*hb0A1VAyJC(=l>2^mYvzTpEP7`(jUd+Mze#HTdMUVo=JdbTm&y!3+tO@^U{neoSxTMd>PId z4jgx^!eo6MRb=;IQYVEy?}Nsi+r+Vt)QMzd#dAAojKIUf2-@BBEE!^(+8>K-Q1f8e z;^^(NKH{G#M0TTVOnKSIYg`}1f46)51jzr$eCHpQxK-My=ypK@cN8YO1Drh} zhv{vjr56o|=I9uBi2qCZdUv2_QNC^#o=N%2?K^w|4=*-#w7rK817vzi0REFMAXMw7`A;aq4e)>_fU? z@BU$=zJJe`7hwGR$h+V0bP3S@n$Hs6bs~8`6@=X9h{H$K_a=l#n7Biw~_7xC`n ze6KksEDq>I7$4jUbF1sLH@zD+5sajF( zwFp0+7Y%kj&pmome-Od%Z${+c=OTGy_9|B1KZumK5h*XYH-qturTg7TJ-!ivdnE$* z_ak{@Jc^|Ytz^LY_abn=715&~iRjUPj-*Q>>Hb9|-RC0d{&6H7#NOGVfOE{2{L@Hz zxk!028hkyH_x(fW{dOcTJ;C1Vw-^xVxnOoPbqlR{NPH3RVswtl6-;{u=Zkn3(^d8! zvKyyUX-W<5^>?>bJFrwFN5aJ4>O*#hC_C0T%`^;O{N9nnB3DSKIiIF85yg*cSYUWk(917$TP?q0jEMWV zGn)_Teh`Eq6@GE?lf=XNRhBr;B*%HCw~IMcyxElPYI^3f2`MZxBRLgY&V)5f4(sDs zvXg}~S@HRv{nw1G+|vwY9PDi(2y!`xgr`+>gA zRwCTY$wSTIf~&k$z1N*-16&4kBhYqM|q$CCbdU9d?t}Zr0q;Hoy;+Z_Oke4 z9v|Ec8uQisVP|<6A7tTD_(BQK&GOSicxmsS1Zsyw3!YJe=XlHo>?n<;R z#{A2dPLt9v9cR)noi+zjF}tg78jy=8rL$+4%Iq#gmo78IE*cYA7Z(aOZ=JgKyvIdI0a&j{-Hw(C_9zCi) zZqGcKpLb>6z{?d($-kPE@3VX?6XUIY!NS~b*;f-BCRW@)F6?u~zovYXC65_AwzMaU zGqZA+TR@ilI`+D5)edb{e*-@&Xhx71n@{1psU9)l&6CC1oO^|xcm2C%dFLyX^Oenc z(&VI+`?Jiuoqv*>nUgY>Zb%tI!6%E$i}EfYf5YYAYC@NHX=X;!k$eW>S&zxAly^TT zlgc8jbz=S>BdqmU`-=Dy1ud+7a&UdMg*ui86cNGqew4?CoGXS`=fY&+lVx`glfN!Z zo&}R*4ys8RfaW9VL*p=F~OqB>rehSeW#`{NjGB{-!z zpM&u+sxw2?aa0BUMPj}Dh-^Mte5ML!6+sa8vYL{^XU8%N-K$DgL!gc+T0Y6?bv$Bv zEjL}`{Yz{^k&ibbU@rvMzF<+3EVEuG{@mGX*vK_;5k!1`|Iq4>W07d6rQ5OiarwHr39VZNX9o}=kdQl)d!H9Dmm;`rlY z9X}Z3&x^$sO1*W#&Vwt9(ov6cxDw^hUl;x? zz_FS0j*V~X`v!F^xmaz}{4Gi2EYfh!VH+27{AP@2o~{?pDYAdX#Cy(#Q_^{ha_a93 zr{>8iAIce)QzEI)BG_hSK<|{2?$o=c>u;r*XGJ_C{@xIKE%CY~bqK*3vi?k@2THhJ z5qCvv0l3f`(76aNMe2VceTA&G3a*G6B}lW=wRFD#!YNP0>OqE`7s$nr2^Y17JSQ&t zYCl_c_VH7qY&DV|=_t77@Tz4!A`8H`Sx{26RdGoZ=J* z)6+}!DNNP(a5&PF1#}kdjcch)Z#qR)S@QK7io)nAVQ^C^)WrI&X5ba~h7#;m2bP2_ zFC)dez}g3pTrrDkXAAfV{Ma)Z;4{gM!By|yl%#N#{UjOLQib+uZ$r2vjKaRu+-4lh za9g+P*@5NzO5lashCu~Od87KhbKo7t+$2Be}idBw_?Ye(!hmRnbo0eQ%JAK<^5;GE8bf$2pa;b~x8E7Lss z#)r57I0{3NK*3Nkv`Ex2PU7|9>H8*#>dV8(r}=ColM!X!m^Vbg+;|}kim8IL{A!YU z0W)ooc|~5D8{fqG8>wD;iits9B*05*RsdwO;3v}pAa>A-Yp*!`Bpo?(J{_o}n3mxR zv9M$}_GHkNeWS|Z7(kIQTwSn?v#84L_-N zAVmY&K(7!=_J~-*8-*Q21Fu11nJwMrDMA7_73!w})4(t;u?K67C+!`+`+a^K3A{u&{`~h(L%QC~03gokSIU!hYWg98W zq4jm7O!0+*LdEC7D^KL|N6ui?B{0VO=f`0fC!k|d1 zQ*EpMMf{k~Rcf}xkh59RT`pIJc>Fl6L2g!<*C19hZ3#?ml?I7#?=&dYzetrWTgAK| z6+<&%Yxx6w@Eba3*(;lYQR>h_XAP6#i$X^v$Dxxl$qFWng)@2o4JD@pheD7QmcTyG zQN;@{FJ1y(3{kS2XLrPso1JSTR62rt-&iISLzA(q5UNm?Eag_DXepaL{y4c5nBi%L z;w*f}71>)m%Wv7w$qQhXrldvQt>DTCkL|wZaY8XmTNf?cT7T5mGepXrByT z>AY^B?B60mN?M4YmlkwB{Jl3bp?mnEN{OxX7JiIu=!ro?&j(1!2|O^)LUm?7OU-51 z>-SuywxrFBv95~CVpklOrQME{?Mzrtpf4;aq%g1-ZEGDV!0F1^1>A52^k_q{8zTO$ zF0yTN7L^;$T_?)Hr8U{YV>a8ei&=T{(q^a0YeZ3zSKMWXk{I(Qb-W6o;XsYsQqDzMWee<+}^k z@#9Q(3pR#=Yrw5?2(AY8o^D4E>drvee5oq4XW1W~Gg>yE^b{OCd5q!d%z@1(hRfxX z$5=k6#TgQlYUfB|S!EkRK~~#kP@v$PBMLK)B{39SBl5Mhph;vbZ{uwy$|pf-<9fNS zs_nKKYp14dg-Du?d)leU&dHR~1s((fFX}#gbt@U{v`Ey=cT=GQYym`k?%gB`3azQwl9ErL8 z5b9&e@AM@iL^Do^GUTV1agOBIXO9W_srK+a`zbS<=5xd#<#VM4V8*qsj2Zc6z)-y> z{D$wih<9UK`e~Y|u0|>ID-MG*Yx1bG@e^AP*DU>WJgSyMi^2fexgfzDE*Oj&;QAo` z4+#1?COD#{+Mbk8%Se(b&xXqG*n3AbIneVl-YTMvPbli(fZWtME0uvS7NNg_pHngp z_{g zu;)6WmX-q9A%u#@@dGO^&OXUwM0(^5;J=fWo&geZicLC2s83Oems=B^xufT-%vP^u zxtg$dj_nWZjrlv%uGJcrXaD2^RMf_U1~8di%nYm!NKc_)?`<;N9c|B?J2bqKouVeE zDA_40`f@9o>tDVBZLyC1aNbL{g-=U(aIv{iQOUlK#7KyfE7y zqMM?ShC=HuE5u<6?{k+ocj#cm&&b3i7FDT6bw@RV@d$MB6f@_!5c)wkgK83$Wh!&s zB)ni`tCfCZZx6dvVU8H4uUH`gNeo`SUw2Q?^8%cHN;=V~zkme5fSoa(J>9s#j3HN= zXPBH9qBhrRe|&vM|0m{fb<-&6iif5kjxxKC+dJsINja$7zYu~ajO7mYn?dwxG`0Yp zF8cfFx3Ps#USpM|T+ESj_9F!09s0 diff --git a/cpld/db/GR8RAM.cmp 2.cdb b/cpld/db/GR8RAM.cmp 2.cdb deleted file mode 100755 index 8ef05ef95d2169c0e69c13c6ed9c4f2887fd9ea1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 38319 zcmeFY2Uio__cknDr70*#S7|CuP(UyQ6#?lgN)4bQAcQI%5<*c>s?w$2QbiyUkPZm} z=_Mj16oH{edPpcCgyiM-ykFzLX05r_%$(V?&YpdCx%SNYQ>RW%u0oZ{yA{}Gk;|6>r-MlM^6ROeouiy-&8zY1~9 ztg;ip)>a%p=*|`I;ZxWs9q&ipk?etdr_cQr5KwDqR@ykut1lw>nV8KrRtNSn*3p>8 zndAMkdedA@f5WEGlA4+t-+Pr7BIozDU6lZR9yb<^57XY^5)>GYa2H(jc7FN?BO0D6 z0wnWZs;?6%!z?`5D|07emRKAXYQ{>d?=Q2Y@je4TK5S!{fdO`(UBsk-zQ0i0eW4QQ z;<|$!quQfQUUW#kN?YQ>{3BKNd&Bx2xT}9(EyQ+=HV6vTJIe0pi?H8g`-s0iq%bL^ z$%99<60gR|Q`Zj#t=;D{Up)V9e)tda^gL?+(!0uP9jBN+UMfoLm({b$7KXchXTy!I z=bM#{tBji;DrDo#|6Tn*ZTz2Y{NGwQ@eDzz z^08V8g`8hA_jthD8yll8mo4i968vt{%`QFcd-`a}_UX7AZQWh{eG$j7I*?4xb-Q6_BpdbqPEdNIWEGFr)PV^CZHuY9^d391EXCl6#e?v zRSL+G-Iu2ikk4447#|IUho4aEy$l$=V@KHIAp4o4K+%o5rM4*r(<9YK=WDm^4>?9< z0vlUhNZV*Hu5W{Y6?pYxoEKYdmOP))h&k`k@TAkHmuq=;dVW+ja`E*iI6on)?6yf~ zSfSB@!r#f@Lb+kN)^V469?GS-RK5JXvaH zLalXa{mv?dI`IvCmV$6jvQLx6(N|yLj0JbP5|9Xcmh>l*blpug_R zfuZdxTVzvorIw_O%f!B-qor@Hsw1Rm&!}l7e%MXR8W(5JU1-M%Mh_y+`_t9lYC3Hq6+aY z%OX$u4fG?^457}I%3#+_$oe@&u*PhH`irv@Qma6%E|p`WvoJGp>(ZM|24)_Wej8`< zl<*C;EOtD?^AEY5Z)==Pq(xR^U&iEnW&X}&=AD#b&u7r`<9M;X!TB)Zk4T|kz=TRDKCtQm%fc=qK|H^(BcQwV!mem{TUk%b!5>k z!lg9Q^^I%)&0L_PBPmlR^ZH}L7i+WI=Q@9XtDLzotA9bcDdU0M*k%dQBJZ0>@EdV+ zU%A80m9NyD%9HDKWcb39=IbzVN%i-5!%C!GCCql!l$+XsI{#&`L+B>WsC8z}H>*M9 z`ciG})E5JdJ`z!S4tZje)JI14=`q`9hBl)uW}Bi;Ogag?rZiQH#IGHC77-6rMt$qE zWAJ^*-Rm+_3YETIGo$?dPamIJgJ{&2r|BuEs^`0^zgLR!TepujZ+bZslWVaW8)n_x zY9R$}9)kDQ(x*H%VDK_Q1M{D2gd;Ng`hNQysf8CAU|;Y$ram zBR2q~A^G?efiT>3ORyDhU%XQ&b5?}s|6*dnk z>k9t02*!;IZAE>rPjn~YuGw{^t6aAqcO%92+;+3zmgNd8uT2(JUA6uKUWW}Hj!Jip zx0bg?um!UCVXDPK^}oz+k@wVIdRUN9jf`;{p4*Jrw}ZFfe-0yR#*MmcZeh=v>s1GV zZ|nyrl=2+()!(nR+NV1>(3?h*A}_2L3b-u}gC(augR{9RT#r!}BX-l@Oc+QV`e<2b zN^RCSsDM2T!ly8QFbqCfiB2@6`T9X^7X16Ya+S}$cDD)V%?^Uc^EKxJLZ(a8!oUY- zWCA`nS~O3MmR}8!Pf_qiL$;$`%+>Bmjd%Z4c&bRF z9KC+fejgT%>~Ix2nrWFI#M83sD2^YO%blA3+34iw|E==c{QQ+bjkh=|{swKn1doLt zOn=&*ixLAnY3Do>IsQF)CHkVCU%-!{874cpJ)N5+WuRyj<=xu`$qyT_$?c#%HXTit z>OiV(gyvgx2gci%k0_1T_6a(HnGws~Ly1nk`YJ&dxpr{Bo*18Vd7fq8Sn`kV zH3DVu9;n!U#x*Z-K6Btum*~2G9#=v1?k;hB+)mDD)2W_{wMS8pq(S)!Ps(xk?62dJ zQ;kIHk4JyZFBvpeaOaB;X3UVT9fOEHbWQv0mc#`sGq|mYc=&+Al8zrL&YUEdwp6=j zRZBfg_4Q zD`ipu`z>j0m+wzixt(cP6~r=QY0r*RB+=EqA>_yWU*c4Y>4OzMQk5E{SPJ!=V|*>U z;NZUqZt>No_r2?1UvvzcOg=|8_UJn$!+y>WNC(e|Z1{#G<5egwKj#gwL$Xqv>sq@{ zz_KDDiRKF;Nk4zgUoC;ieZs%YDOGF9qiROL{^hNI9UQkb>2sPUsZDqf|B9~0^`{z9 z`_w)Y_W1IeBXeC=EIw44ub~#7fp2JMtZl57Ft@-b{r*9SL|gi!!#C9Wm9$ZY@5svK74b2mj4@91MN@>E$V1 z_*T5a#;pKgVq$`j#didnEPxNXOyO@Y?dcDVTvFxPpS)aUW}TDI#n32jL#Vx%q~cbw z(XKfdKB2VUsZx2m`%D4cL+p-)v&1nWlJCg)cRQijX!Nk?KeFI8m-Sty*A{@IBgNUk zew-l7F@OG%PV4lL=otA}RG<1Dnn7 zOubqX+|+3vJp4KcWv97>!9qR_3uR5!b`d0agFUjBR3m8@Z9R@`ilk7(-{O2ZX)Ypn zUfGEb7br$wE>tn7zl7*pd$lXze_yy;ng8fbzO11ixJma&vuCvP&K1;8c%_ke6vgT& zwHDR=EoP+3)kaV-t0mEW7!AH6@xSR+z$@Pm^{kMR8IX&2x*R27&2;Bi66@s3Amrua zJFsV#p9vd$;;b{5LM`}1i+LAocvfEp+dEgQ#6xv`_PE^}9PT#`NrIDjd3&qX8f180 z1iLp=&cKH{x1iFSuVilx<;YXIZe93YcYjtP@Q_Oi^UpC!#;HEo|0l7E$9y}#0QV#n zYQI|_ocl;Tx5rvSPDHX52icB{R0}!n zGem#xl2Tb*xLo;^>_1<*>QyV|_v<>?i}{7Q>W_jdas#=Rg;PgYK@*bccKaGB!vpA@gmg;={!%B1!ut{36*fzOKRF;&KjG81oGh1RZa&S{q@BG2r9^es;? zggG|;o-OSpj(6{ug1$Ys?U;yZn0=a`!iZ(>}#q#J&6pMKIU0;o^Kp&m)K4?tsr-_T}VO3U7h`bm=!V znrrhFyrC$YWY_$VVDj4<6lFZAfjS=hSK-s}`j7JFZ6Ye=Uryp=#ZZ-(X8V$Ku3&h! zorxyLA(iLjP&&bT4eGP&9G_BtfEo_AyW=hbDtPD8^jOdp1Y#5U-yEg^sx)Y^px^i- z_j6>&POy(Vt7S!8_nk}Z0^K6xh`NXQr9F2m<4R5P-?HTtp%{-IOwYQoCu!<4uCH@2 z&cWz&AGsav9ucer$?2(Zhak28Xs*-2aZMAK%i<)Af}#r;qo z)Gmum5&^{1hn!80=jaDW7`;7tHI;iw9o*z37MqE)^g|1(_+vx9rq^DDEAevcHtXp_F~i)5rUnUQTKM~|GBI#b-m`la{KIv>ck=4z{`7IDM1 z_H^jnW5wz1o@i9&+s*s-zqUg(1)ewhVFstXHz>Qrb&&q_4qI0pH~6Slm`=YkF`CUVQ z@KcTQdSU`={X-m`n2%L84a&g&&_xb0AE&(|#%M z+b;>OFGT)|u2bB)L6qqHCinv zAt{J{`e619E>C(n>wIvs>S_(=j<-WlL;7IzfeCDkIx*t?kKQDPliTz%X;peSd9l!< z?edAAI;*^Puq11G_}WHLO=+fFH!X3K9}(8IBn=KHHQG;7aT5v~uxkMV`Z3p!ls|&&Hpq_y(33KF%Nxg!&G+@MPy44H z-|&XIOx^32h#Vr_Qp6`2ld7F03ORFmW8|iYG`_sn9nNJZ$4K`(Ow@0GMV;0D7QZ9B z|IfE6z{mf`*PuiqY_EE6Kr9j*#lEt6OM&`9$9Cy+A@^d-8=h(?U_b~-5hM;+w%3FL zRg0RFE`ONCxBP2IT`~ly#!uQhj@!~f**}3Vh2bs{e0d7C!~sZKP0$&fv%*G=A22yc znRk)~IRLV28QqW8j+JSK$B7B8HN*dG#*S);Z*pE7nUCRXU;OFZ?^llWKDYOIfZE8^wi{QIRnc98y;me)GtKAJ@+(sPH)x&3(&LJucpBf4ifA5V1;G%Q;x zbScbhGlE%oB% zknvP#tiWjGKNX$N(rfNQs!VxJY2D+i5m?@&M{9mfj{~OD zM^xRW(`Dt}2;S)T0zPa1vm)mf90I04@2|=UrP-1AWi_W;1S0gIDs`C0Q{~2gDd|nW zt>o-Df^JW^$dTgcDafPAmZ5z()YHx=c=N+h6(l7E|K#5-^@hECpB{uSsY*GPaNedX z-Ta&RwY8MrALMbrUi`^`S|2rCo3GOJiUlti;9u_=za0%ejh9YA_JZd7ZENxr{m`QGWw3)ImIBv-+jj9K#g0IuP7aMh`Q*`LoLzn(r zrx;(j@^%jgw4anlCFJTJKRsh63$kH+;V7JC zBVIdB?uxE?rPKM%&-lo;52@u8>RA&EuXBV%!x@p|qsc(wHuG=Dit+cq~1pW6l2H@CdEw|VG7wh1R8`T8z88d*;_ zo;v(WoCvjjJf3(vSd9NwyRAW^0M{OJZv^n#(@v)(tq95{<1dc{Ea7U}m2xY!G3lea zK!%&@A19oRyGP@%^QDJ?r?zYm_ypyl_Q92mYcV!yaXVArPoA^w}%FYyZD&E1Ytg6lgf z6CEO^8}4)UbY5E*nXbEb^zh#mc$(L4LiI@&HuW|{`~7x|wrwv@%NZ%=qR*^A3C$W%{am9M|J=~2Ja`l}KF<+Y3a>Zai==n(4P_pho+ zLifTJp4m^!Z1%7Co5!_Ss0VW&dDrAds0~sBINxnq z0x?fbh2FgrG^bcEUOe$uG9>KtKl1>DH+=GIIAQMv!7?7KW4J+faKEMGA=!%1l%^@k zQen33HIk$b&fPQX5vt`leQ6K}HcBIFqhhb|S$;Le_X=3)$Q}ABcLR3uQuCBf7dXmj z4H^&>6w_iLf%ZWzp6I?bE!7CexE9T8Nb|OHn4MD9%a4$z%142H|7?w@wkB2Ko__>` zc*j)0UhlyfUvV=Z;dN)I5cl25#)tWp!}`!)0rEHQVmNT`KZ4cMh!yV@C6b-D9_GJ? z|FuXP8#?j5CWb-nWG<5cdm$~cGmJzYkfh;8O?ABvKW-sf-x2h0O(dOYtl#>tb@;wP ztCB%*AvlI3ug0)X^*K-lrTl7}ij8&&d-ZvI(o#Dn_sVj$IQxckvAm2JL$A9F7vdVc1C zlYUmsTVE%pRz9DNZF%*p?xV!k!l7NG0551Hb<}bZi2aB-Lq;Q9qCOA6va=)cW^yW|%Q9a`se*u}ElfT9zMASeJ{5 z>J=rw>G}JCs}v?xV{rv1S%I6Ge`>Vy`$3+L4nzZ=kZ{}a8+Xul^H3rui}?82V#`gq zccs7K8^+`A_Pxa8VkX`L{~VeN&;c{Pn&6ko#QA&OXM7@z8FO9~iWfXB-*jWe1nYGm zJ;-<3;S0&_m+y~88JOde!>vrdGkDv`B7GXMvxLKy%4E1(fblzjo_ zzw0W0g=ap-ysHtI6mYkQx|O&2n|yU$io|i1VQQM<`YGq`8&Y%IWs^D)1A5zeKzR=Vfh?JSdbHL{#@380q*=a|WAg88FQWQ*u|+c~!54 zReu?`Y>Sk6(Y|HIvvi##sfIpSs9Jm01|=|E`o-zAgei{vmMB-x4( z#}+(avFrEd*|nVQI^WRwqj2AD69Ug-_WAqcT*?1=nkTA9Yzw><7N*_fV*Iz+&uA@T zD8cTx_y`1zFHXIc(QggZxH+EzTC7dHB9018pSt2c^pBG4K zbn(v>=8w7qrQzDSj(HN%ds+)M3z)SX8=~C{`&?d3;?&o;S1;h@I*#_a-6oXOo&i?e zDx`)~Dyi+-t`xkYC&nApvK72ra({MA|Y9mhBu~E zK@$JgySh1icc?|#^?sg{7@|EN5Q*evoxbL(D*Iy00b3!UX7nRrDNxsb{is};6nk+H z-TL6EKw9oas3m7?H7?8M@zGd{q#LF#zPk;i1*#|-Jxm{{7!P{;Q1VMikP24%bF{1^ zq8_JCtO#HFc=PUOqFL5P)Lve%0cq($x0`rXd#x=tF#NuPqmK52w|Qh+Vqm2IE9TYz ztke7~Ab9@FCK*T|CR!@%G@)!d@yIueC?73X_LREWYPvdL5y+Uq+^U8MeU2OS|I~zkIq;739_d+?P@JgwmpZAj0 zZO+~~Q1}G;`ndX-FW0iIQRfQ5hb@`of?=JP^d6Wy`>3#-Q$tDq(`YDgIQqS?gH!76 zS!smBBD2*6`q(p`91Z z>Ts`3o%yaLcCW5O>_r)PJ=}{a%ht-&JF!iZSgruyn-uto-I*4|2FV}TVfmT!KY zF*UK3`y128gTZ>DB=3e?Mb%q8%X8&Bl>VfB{_g=H)-v?m8O!^I2mg|MKKni*2m+X| zrek+$ne*t9Ahqv{ZkJY;nmH*KgJ8Y*XJXDPnR~ol@eQalr?z}H39Xa^${!#p+$<9? ziqJj{)(FZhY!c2-fi0VqM=$_F5D#JYZMO+fBMYh?umrYG!VeE!c)UHR)~gZODz703 zBD^D8&&N`#d%8nE`m`F8TpZ`KfiI%;tW}>(v%ohdG`YQGc1sK@Fns3Vs}MwTfDxYb zXh~K7_W(5I9pXNb8_R3xod;iU5Ce_r7w!yV4~&*n*+>3*&$3$bmVe$1I5TwQD`uk) z(!>&&5Q-Df%3*!jZqX82zWQ%R`2}QOH{f4$5Nojc#>7o*V~qob zgQjU-!2A1g`L~$J_0@k?1(SEe*l8Q@TttHCY+=@syzGcmC-J77n{hK z{O&DC$K^E9!Px45?V50|NH0M-}+|sRT}%o z_g11ts#1gAAAONL+qQfTMr2Z_7dyTpVCo@VhA7*ns$NG@kCfZ;OzoLFg=T8#GPa;^ z1N9N5R0uCxhywmd^9M4*p*&u}NVYi^ST-w$9bzB+I?{m~Z5bh(A32l9CK1ufc(dUv zO{&If1J#FX-0)U^Ws7etc?MU;3?B{M&DxGwn)h0qH&=OJ&jZ799f*V3D3l+weX3(m zcfH~HKsj)0C;0l0ZsC?>-^z`am)^k}$2sKLuw(xy+*xET>)wt)J{yg_q0tPYJ&jDh6mu%DzR_2WC4AqH~VEEMnyi5-oA)_38hpyN_jw3Z}DU$<>`}cP)Zp8j!)cL}og24nA(+42|rHALx7i%p< zSbCid;5N}m!lGi3np@wIYP@(y?pb#FSqjm3wjLV+AYuZ!UypUm&73-BK}gW}i5hlj z@$FxNC;%1)>RuoXAGP_Hb}VQ|KtVs8BN?aZfus?)xHJhKx_pDY`;J80a}iD%$^noR zVU`TcMQn2;KmS}Fo+0eDnHdtj+Pv7z_6~(%{6uYOQj;U>JcV?IE=}gfGXE6Vpa3ThgecL-55oe9xHhjx#F@637l&Vd%)~>w>vLlmg3U7m zL-|)te(^pGb6e`ZZ=b1F`@z!nJU(juaN$nMIl3?i7e1H)=wMN-<}E)+s7Qv0`wlCD zjTZRAZP+60bOWhH1M9$!VUr&tND~vVV@>k7IKbvU)guyf3N1Imc7_gWaK3mTP4NXX z9?M?PntmNq`D5A~FnT+)a>PZ5^%a)0h0Njq^YQN>TVg}U@)mMggXy+Gbj@AE{_q%e zXitAAeF`uu%%v{7{G|+rqPw2pBYY1O0W`ZRc$j}VMyjDpo7(k(%nw0 znHY-LIVMYm;zN>icJ?|vt!F*qq4n_;D}o;;NL1&eaTA|o^<~qkBz80Qd_fvJxXSRE zWE0^nuK@atcwBxw4B`j_|2)-e7D*Fp6do3fS$@(Qnez}`soQgC^K`e;a_@XLf#k6w#uEG#k!+Z&oO ze-S7}p#un(P&w=Y3xajyD=Yr)$1FKl>0#3POiC?w?1nsAoniu%fkOzozM6T3eZA0h zA27udCXdSlV)=cgNFgpSFA~T2Avp3}61)po3{Gzg>A$L)I_u_y{H}m_N66{kc?x*F zZaB#C#d5T_+(vH-!EDjIW2q==YEuP=aXB!d%DS3{Bw-)0K4h?L+(3s5}H&}E4tSaWzH%iJm2%0s#p5fcs- zbs}rA!(50)e2}@2CKZa#nXuap7LLNh_6JF8kGNmky@DWnbiiFYo&^%~lQUE+p)IW? zJ@3lLuA58FE(Y6IT*4jBBDW3q8oX*sqcCiA5WKn5j0M3(8)0SKqQHR;e%do{I7fH* zJBOMYN35VJF$G&2R_qfucpybgYRfmFn6ctR631h~%aYrc@it6xu69NQm(O~k^DbAl zFOp&<+kc+HA2Sbrlp)+|C(3i;5N)Fjn--oh4a)9EnhL<+%1m<*hWH94L@S^#G&t9C zNH?s+uYGXb!F<$3_^xEraI4`@fCPNQ`qc{vbVNn_s$ z0$q7?QQPJ~Z>*F}vnGdPIWDkg-GdY@i(}62^2+~h3-5Z~IU7iPo$#pEnd}rDYBv7C zPt!_d@vKB>ce~2>gieYvpPQ8`#bIdd>@5lu2$|AP|4DgF)Q*(M z0J7p-<;xmgEITkEM2*LPQqFl->O$q6b~3Q4D}Su|7L+2OrbQrmBf*K5YOuIa z*|J5EXI7lGYbS;@*!PnY1@b>*QU;5w#;Bh-=SPEFkqZTzv&6|GXIl+b=>uh zC7MY;7oizlE9M;990z=R!=l18cyuNa%i`_Qd{~ zu$v8C$O9?LHdeKH_}H``ajv0@SO(^ZgvM9;KbJ#I&V6}ND%;?Un2s#tvaqkw9xT!} zCc?3EMYII#v1gR%INX2Qclx!Fp(dDd^As@=1I>T+c(D+ z2E%^Pg$^-TwPV*U=sZKR;W}Eeon9PxyN`NtnePOu?j*16)uKy24`l!RQ1u~#(-#>; z@R_^X#fqO$9k-89$U1gqTa9>JUd8^rrZj@r#Jl!C0+x{sxZStO_!JYTlMTAB@Xrho zcH|t9fhhb_0+b>c%w8WUpGA4uv_)X@Q4*b17Qt9R1W>$*+k7-{@5<1YQ{c89D4ni$5{`1C9mw!X$BGY?W4@iRT2CT3Ni!+C?=FuD z`0bcmOaqk^@SED+}F|fdXp*SDoQzEWAY}RYY%Sa@_rU?6!yzYjGXKA$ZbQ|ynBal+pYQH zl`x3{bavIm=o_N!lD4dVoEaPXe9#STX-Yd#E(J0V#|e;TM&dI9?n_i&P~F`Tzlyq3 zbV{3##^Zqe@N1-a%yQQ+=|iIcvY&kOHBQKC3D%iJ}HWZ35Wd={kUSfd_o ziu2?>CWcGAAYuVw14B=xTi+R{;J060Kx44l%oq*JQfRw(*nNX~7KvMK?kA3jD{Cwv zPC#tJMB`D%$EA+aq?&h{V<-))!!K9@mvn96@#Zig>TyA%$;W3;6PAm* zQQXMn*=)Jhw>Kva27ZDlbLVJuh{5_zV}VQu-hg3a50Eq(I^Xe9->X+iP9jJN>XG`HwGh_d2v%$% zVP+oSPm2)tA%m!*ytf~m<`SD@26s$$q^NeOe>tLs+APo3%0g7%@=(;Q?F%CytSWNJ z(^oX?uf^y^l3K50$84{xs42f$YO(6NY~@I|{xrHBpx}6khuzZ7E9)jr2!bK#JcUmb zrTI;q=|%m>zPb9FNm086?D`Q?A`M=%UQb>&kvO;dgY(<0ouEuK%Zp6*79ae;^nK`s zb%Nh--{@PCjTg9lvz~5nDBhJ{AoEw%EF}QtOP4%3J`Zw8YE-?P5QF&UBczHm`E&P< zxzDY2l)No#sj3$&d3r6mM`AZM>!!!|xX`wYfz|&GhxzrsTZERHb8)tV!fB{^@|POi zz3zeu)p(kIpyoe?OGlL%34u2pdVHw$vPB4PbU%f%n`d5ldQj+C^r6`uGXb|>PG4I4 z%L3Q63K)4Ktr=zpW-%iUhhet;&Imu`)`-9E9qF$U};X4}Py?Gs+ z8VA<_(C437wmRm`Gv01aUdBlrLlS2-4sHOW+YiEwYzE(y_-lR@%6=94p(Dcl{Dj?G z#;aCFT{v0(PZ$ef#Cs~>=MBn)h89L{iEFM`ScMEm$hcx;HfOx2LLAP}1T}`dI5l)Y zI3N2*Q>aIw+Bx9wN7YI!VADi$_JbjdJ-W1Oe)x#XipE%JQuZRP=~GQwp2Mg8mv=m- zA?JsFo2O*JR?8m@{Z3lyLn`kRy!(>mOUFA9Uq)`x`#zhAuft)lVOrPbc+ZlbC;k2; zWO1#~r7`St?Rx^J)5_2e$o^W*Bh!_L2VXQ*5`scqzQ#N6Bv1~M9()=5^wlD2&`U9O zSo4wqrwVM{;QsV*Q1caE$CEJ<++Re_I<-T%G{!pTIGx&i)OsKe*9v8AM1prr&ai1h zqo+SL);*41f0+Vy_dl3Bj-!v(7pdIO4s+7DJx529H^X#(l0^Ae0IrpIpF*G1EbmRDh1I#5fSyDdRwhup9jaV(iyC zVABCU4Z9z;el*F;f*+XZ!?2@ozO5fQm^f-@uptBvU29;+r@b#xx`00liASj@bd=6I zUqU9)vJW}yt&@rKmKOU{rm=$h^z7N3dd5kvLOs zWGSo{RR31xB8AR0*Rc~cSjskc1DF@|5X1QaVoYIS zJD(qNAn~qS0~54z3NNPSAlMY5rt!TS!+saN5HfNFbmK|6*!#gT-N)_vNu%9r+X z1{#?d>gzLxvJhOasJQoxL2N@_%A_`sFLZK(rU=YkIzf3c@7Co@!9(nGGJvB9O`aIX z?726b^5U)_as9ABjv46!zlzDLx7GkgE`@0+6}bpXmmZy)DsKrvznKY7@;Z6WpI#=! zRL{DG^o++d>N;1L5XBlxKe%+{kZ>Hx<`tCaX6W;Ad#w_4!KNxtIQP@|j!=WeJZMYH zh4~~K-#fDCQ>D`G-jqYbUUW6w7@h{qPMFpwQk5nR3ty-HNCQVdk|=pW{|%v-=5RoB z#P;B!)z_c3Q&n$}cgSG+mgLuiL)=UH1zHN%K^B6Yexc!2QpN$8alPSc$*ZJDzfpwNmb@Vm)+=VOU@VR38VAV+=e z-)TcCTMze}Z)x70(z-USDWo$E=&1fhbWwkVcJ`#f;bHQS&T(Eijj(h{vTAK1q(vG@ z;^x_tg$dtoxperoM;|2!R;4HcDOaF7Wo`cX8Ri`Y6 zHW%#Y!!Q-%ahvQTq5(FLEngEW%jCcXt7Of;1iMLbKLb8b2?iu&sm7-jkDVVa$)3Wn z(pn#e!H7=SJ-ApM1jBlgpa`A;i&NZyepyNbPDoPm}6Eng~{;?01kjOq^!u zUU2Q(_IMH)!AvOzV*5hGue-gyK?wlXq-AbV^0D|+mb*^zIp zAzIrDz}m5V7&}Ga|Dk5X)PwIhSD>l8N)TKEE6`#vE6&|L2k}r|=#HA>AA0X<9(?Gb zD5yK8xAk^!eJ76iP6zm+by9}Uor0Ksvp(c(J7B|a|FEUOyemiYG64h=UUO{hOqh5> z-USBQrP6cd~rMs#-Vr)Cs)5mdrW5wI+){ zTNM^>L-f(_$K$2Qsjc}j zCy6O(5p0(dt^TyeCCV$MC+U4l!EuJcG=?Qu_lUUJr^vbUmnZBdh5sYX0|<@4?odm=wdfW+%t#S!+Ak_I!eftVkrcLg%U_kJ-%D1DTS7Xn# zZ+G_}8TKQKqAL5G(eqMacJl)LY{RP( zBBkX!@e1G*@Sk!Dk`o~WE4?fMHKG^+KF-wI&xrF7W&Y1o2rfD^#hOWb2EavmNf7l< zxNr&^6Mp+~tl1%$6aB2M5PufGjT?>_)2KL}>Cxs!KW$s2*pkd5^3Qo6rLLv`YjU0Z z+w6vPZ9tao$iZ`i!AfZaD)bv~|NYuk2xiw1QJ6j3N{-nbign(OT5n`Z3Qc5NfwP0)*de50`iazx{x3ZE5Qb`;%n5~QOXn^pr7N=gd6Gb<=Fo| z+AMkdDH|mUFuDNnMs16G?^*RZP`A%AvX~qufvisVOT=O>WTOsbBy$L8j&gYVY1?9{ z`2`c5l>%aGu#g(%1tm|;t!pq*3fM^0i}~+4y~ED*X&p>oQa0qTSpjU=LgeQsxpKaj z;nI4%n9)~HYWQ>)N#aLrsqvCJ8zTpn@#utbR?#23BtRb``CzJeR{KBG~O7ux~ z-nH(GzqwwLRCdeybY^lT(&SK$be3|qXFX$F;S+8g9&>wdP3{;$CR>Rusu+B)a=X4kZ0GX^{nn4$LU>= zt--fE$2rRwUc9vw?Xf+`Fz$e#LO|39J9=mm>_`_c?1hg$or(XOgc!}e#u6836x(rX(mZL370q7L10<{btf!1U>4CXVaUhFrks;2GX&|J&V{z7T z&v=*$+$f&X$??yNm37E>wC7kkxVKyKTmL1ue%WpS(H5YBJ(5D5wQ@r(OERS3wpRDm z?$cksjSEbDZCiQe*Vs3c2b%K^H3EJ&NrF#Hb-0nW%$4WdAkIFO7rEH+4Fd}{a*svE zPtY+Ebr!YIIJ=o#(sv-3YDsoV=$E+{^Fh0#%ED7su?AF#Eqv0tfa&Cj^kPB!eCgx} z$8r7-p@2vP^h6+Y5)>+&VGU!YSoEA6@0`#x0=E@MnqNF&R9(Xt0I{Q zwDkrVPmjJp(LQ8wdIkwz(^3}qfkStP|3}BZAgW`Pn6#DWhm{(j@E6@h_CKjjXY+(CMI|v7 z4qo@eE<@VgYR7)lxX)GIj3-Pu1k9an&;*O>FLE?K4d#V#!$f7f4~$~|e1BLTiIK3% zzfHLToN!~FQR|aNaE|ctnCIj_x*)j-FCK<^>LXQ&or~DOQwaV;xZO6eY8)Rv z%#jdG!+Vf9>_M1BloBtRgYtM8O4OtXvmK|R4fX<-ietv{KQr>Ox(Cni-kpw*OOGI^ zEpbFcVKLeQ7L4Na`^k#kS8}QQ7VvB;0=wVuJ-5H-u@)FJN^T0-OV5v|dwRUyTS{P* zJVy2Mys1{F@B-v)J`Y~>jRuRMy-ofnNO`U z!i81_QFUG8ytt9F9~7DKDE&@Y{VBYarCAfggIL+7eF|@0V-e;{ES{fKz}BM+`3#ox?Y?l4_n?yx+{Ap@|9nB%4uvEmKsO8~;i`;f>7*x-KBFsu?H zv_e)qd1CujK#}$z|SWPGIF!zOs=5`<#G%2V!eT8Feaq zA@jA`JKX`zunS`LEcm@%yI>Gm5*QJ@iD4pZX{;<8XefMeZhjR%U3nA2mWMPr>Ef~t zWQj~^{HMp3av3#7g6j-b=UttB4Uk2`<^vO1mW=)?@ zrQ1uXCZ!vX+7BE=;Lbh8ott<+$AYw$ifL4BzVp6u6) zytsQlOq&vYe-_Eqr!pv=to_flyZD#RScx-g(YHdN)gk(5>i?tZt>c>hqW|%?1tJ0> z0?J5{P-4;z7A+tl9a7SrBUK~>>27JM(TvyqP)edDR#RDQ0pM#ZOxmz0Fif4}83wnvN`#AV2w+}hL2=}fvpc)?;G z)A=`<{pb;6Y?vz1^CpD1JdMvaVs1}w<;N_)koFVudFUG5T5(Ce64{QijY3~FK{|X? zj=DPOLu~Si#&g@;KYM!mya9$iYxACA`M*(YSq>FwH<#7WPI@o*DXG2jf04Zfq{h@N z>n&-2Y;QOjcBR{>R$b-28YowH$?El@9Z9Y=JEkW;1ZcZf8tfR$MB@^c?*<^hm2*Wk z`6X`NzHaMWR=oM#5&NJ2;;Afv9p(^+@H5yL2YkeX7R)c1DVAiPd|2NrV(f|=b*V{% z5)9hAvY#FB6}tpMa~?B=ar7_{^S7rWtX~=`{C@Ll{EPe4V6P_`RHRA4!f>b_WAxpH z4BVg*pxZE2v;6W4M;Y!%ZCu7#z7ba=E%zS=BD{XkE@r-k&D#U?ecFiz* z(yw$auSe`Qj3p}{-KE?2ujK-Vzt~#kA_mo$A8A_E2KBE+=p6aQkfOt?Z>| zM|h^B$^FaDZ?Vy$gW4&nE-q9-l$Qe8NB26W;kyQH!J(f2f+@h<$Nx3;lD~_JXIQDBk<6>|OIUE+u)Qx(nf?}x!jXlG+pwpFj%_lT2@c4E7jk#F*a& zaUDqzLI0zoPBEn;(hkUNGx5$tQoW5-T0Yo;BQ#tV!AbPyMGlp7G#z}=!eTuh6R}H; zm1e=tLz2`!?wR=juM+)AukgDt@=G??-vv}iEq#5rLrdAo7U(o&W+y&?d5>sM)6Fm% zm)lEm$Vxw9F{PVJ4}nD(LEV_W%I@bbA*Z!(MTPWHt0kqv9X!jpl78CJ#0HoKp3ac* z=OTW8hEFT$W^QbrWJoC7L^lPP3eBA z7(#fb#8kMGGVdj0KjTzS9VPaJq34-^G}!@aVM(v#c50ZPH_vEKk2FV<@VLptD2-eE z%VQ?~Q5uxslhVflg02P%mSQ||FPpypz)Yi*xE7PEaw<)TIK_$vAb`>}nxkIb)=kEv zJVR?F$ZCGRd?lo_K^>si(=A7TCVUhWdHFEx26PnsJT^ksQT2;*&u4k}k=Auvl;zim z$SF9{PyV@*BsaB)E(CH!$;ObCLvZDODa&gX?ed)`R5**m80z=-c@-!+02_A~AszA4 zt0*?p*Vk>VVP>vbH1XvQd6IR}ZGsf{p^wB>yR2WZ8kC{Af&S*_3XpEa;~P+?gXp_? z7pqTC3Uj%g-*is8Ys;Y}Pk#8ZJeb5detCWApVW7`TR|_+X83Jf%(0ajbBniS#cf|u zpzi6JT_8R#Ie;6FxW%7~AWO>OpWCt@E{_erLG+xwW+yHpskee>S8i@2xE*Tl-f%T- z@fym5I{M{qU*8u`IW7W^s5|sk9HUI^6kwS+Ozc)hUSku z`fc~VzC8&Jao7+{A8^xk-4wqa@Hhlc0ybz=IRphL+Yn>nK501ZM>|hw()ltCm8(;! z9Nqi?l7Y`~QtUUJXCy0tkVDHOv5t^U^xBq*H29S%Q=~UsilY6Vr_0*QBX*&Miw@Nl z%yYYI9a*8&+)pod4#m<~j89~sNOeiRT#L?vJ}#$NlNw#yGIM&INFV==FJO`VK3r#?T%%FUA6?~jM#4zA z&UjW}w_s1&4o;5=P%`lGs`<(gfEZsw3=aCwY<|V%JMh0C+A~3tV1O~5HjC4yh?I8s z%$0K>xljG2|BBByDizrsZ+|*o*Saa@jeUZS?3D+dvN9ojMR+g zvgEGZ{Q&zTGcE%2edv?3yJTQ9eeDZ>!PPmIOUBo{w7hjf{kSX{N{01cIq`8-qxWSc zhrOZR^i4Va6ITXN%VbcNGc~dxQX-Dw*EKb`ObO*b8zKt=oH4AFQS;nT9hG))DW5je z1`tmfLb1t2ujZp8z~jrWM|>-``YY~n>~UE%!iH~z&aFH3{#$-%oWdF!1@JnOkU;Dv z_N3lIoHUVh5JL`;-+|f0kVE)?LB2%ofU-8}w)5T)trLMPxz6A_P>C~pGNKz=as|L~ zW|UiA(p) zHYW}nu9yP?T;+03n}VvGxIxc}=Fc!|MjpC!_TqLK?YGUR5JvLr7fl=WzX&R>BR|8w zKJ??V_IiOQjb08a4l;t<4c`lrYzl@?32jSIMDwVBbd@Q|qn_elX^ee(M|#1(RF!-Q z`=3PQC8NBNCxBbf)tylXPzrmKY$Lt!eLpl9wYrv>ez1_R{Y(d+CQl75rN*i|9Ea*HH|hj zS{>_k=#j|rbz+|!7p`Pz8iHixdHqdtR{SFM+dax+bDqyAv9xC&EO6aU538F#%9FZX z1_{SX|H|P`qq@xdHiYTw5CNYh`8AxOR{%fI^x3@E3{B5_t;l4b1jrvLcd*z{HI&ck zb#iS5+&ki;AObnPY$q?I-*#+gp}x2%JwuPO46VeS)8)h-UXOSjlFdeG8K zq`J!RTe)H@41nDh;$DxSL}~vIBJJ{{_kw|lw9(%V3L}O<#kOg(9HaG0%qTD=41~!J z8%k6;(Q}r;fNEqSkt13-M;0Nux38rt{(5QET4n- zXXm%{0u?U>kDgxWEK?)0d9h)VxxK`=PK~EW4HQ8FO}%##^a35K0)V#>zHd-vF8iTo z_rZ_d{!(QQ{>4rRv{y-e#E*OG=}_#vxjp0dtUlZ6x?6O>XAGySoNDR_Tc7lCJfz%i@yp+r_^vt9<_2!W+BrZYlrB79$g41k6gOc#S(eeAK zLCDnlsAv{Pe-YDp1pyT34*)TY!c>6t=q840$KFXk<>rd(O|NS64Q2k%dmnWkC$P$$h> zCyFje;LSIDbHok-9P;I_*YasJEKhTA;+m0eJ+jfv&9xBAdfjQ%USsK#q;A@7EyS={ zyp!r=5@5k(WKfpE8kp+B1+;sST>B@lf6k}AxqnWqUXaJ(Zs6aIgmDQ1L(<7L`KfR(393!>1h7$s$qB&Fz zY=a-}9A&}r6qO6V8tw~5q(8AA>-}6r4}fuxUBnNE)hJ3~Ph`J%%&S817tht1nfi1V zqK{Tnfm`UVuoIYw(rg>hLURUN0oK>qb=()X_uQ1)kS@coD;`G9SkK>s*!C3fe5TJY zl6M7J%g;0wAsh{Y=3KXrptfP)*iZ2ya{B;|o*6E-f6+g>d*S7$OWzEZcRA0}C58fP zHi@y^>sRl;qMsRcElAnEe9s|+9s;j@9BN~5R0q_wOBk|jrzATqH@$XONbPES&Ji7y zZ!AIwvW<<*scop_LTxszzB9yoz&g1TTu}Kc2;k8aNWK#ZhJ|3j;}7+YxsN? zCuyIFFSs-G=+wa~+WC0xXb0QkuGPu*QA5wiF zh03Ne_=h72Iuqd3Fopq|Xa;)9NXKQLE`Ot1H^mV&&NJ4&vviu(2R-m=CyG~HROetu zGlcKtk@J3|NeO4OYVOtgGiS4jyv1sx{ARY;Yj10@%aIrGDU8GiQD;Jf_QcGG7_r*p z=U#_%8G6#^8=NuZI}^CCp`L`{kagVm#&nZ9O4|&at%*#1ql56y2NSTTh;eb2TE;!t^VU@dlP6X|Ed>F_m%!V#TRlR_%=FOOb8Sl^S{is%5(}~ql^VNtDD-Mlo;IB(5B|P2iiuMMdQsrHITvw-kBR%UD|YKxgh-^=W#zw;f1rkZMttW!N^glCb*I zQ37o8KzogsH@gub8Ccwwagu;Xc@ zC!khj&4)O@1^&Z1=cXhb-ap|G(RIy9zH(69v4wz3{E0IWzYg8UkbD9-f*H#uWykls zn+&J1m>@vQ%Q#5^nS}#Jjo43(6~7u~YBkE)jZkp8LxjR{)%E2XJ=q0lG` z8b*#3>X4f?Zhvbjahcjbn3dq<9~TmZ&ahX89#9XY%&j430y~SxRt;yoYo>k73c{Qg z#&jB7mCPP`E~*c6voGCNWF|YjdnD1Py&9> zdHk}{=%=3Y@|IcE><5fi)SIbl1NxT84J!A#{2xB#A^p=|5O9`8V$lm(T+aRr`yv;d z@u!`~)~ym&@j zw8tlK+$bf3dwS)o7PU3mqA4*qEFPP z<}%enyB&7fvB(NaYKJ`lhw~TQ-$14p&G&N!nLHKqL1-zagD#RPZ*x-JoLWh{?Fk>r zOUB(bRQ{b}W@Xt@(b8D^PhP1mneR3oG}s01TugDHNE5579mXH@7;MpCaA2=E9V->D z6|Lfsm`q4oEg@3z8t)m`TQE;~`03DE(zRJW;0(4&RZz=6C0gKPza;YhLaQ z#UZy;lu~#8ln7-&pyO<{ud7(BWuJN3iUdWt)y|Q;v)ElL-=C4UB1mj^>_O}KBUKqx zKLs?jdY?&b|9PC;5Ve+ot7Ouj$G_H9+^6L#^f)16 z(*8SA{#c#hCbDZM?vuaZDAJbd5R2J<9BD(epw#a@Lh*d4blb=9uYmhIt=?>azgca{ zn=>r4(}X6zM)HHp-%~APPn9`dO?EmYT^~wG^)J^)t1umK-slGURFE&-;h#MprIaJh zO`@Nnd54pInycu2`LR_+)Q`yjc9AW%B8`U{OhJ_1e_B+p%&ide*$*Ypl6pvY zW-An>uKx%ae|)wHaOi1y9=tK6BdM{G*ce6TfSs=%^=Eqjk!kMIblVoh?NjVvg8m~u z;_`gzjQ0BvSu}LiJnu=5gfeMz{`5=^tWd|)!HqQys3uH|N4DikqYhf-Fqy{`{(oM^*F%N+^Fo{%=A2uit1IG9tIoGXxy zoJ_IUQEC^zXE*bo5|gwwX02jK_R2f^a~@Zzn9THqWy+>ZebAHJ`Fo%?eL=h!?9J-h zn{>)Dp6-N77tc=wg$B95{LF>F3j}q``H7GI$>ijxt6l2~M(Kof?yW}@*kY>Rnf_J5 zd1b9P+p{UP#(Zx!r-qgyHTJruo|@k{YZkYyK7;H}V8yZ$(84xkFZj)xOqGVo-p!_d zW=z8XnAz?NK_X*%h-1|(UA<+dB2}xb-d_8CoDz1zYlldew>G<0MYz!gZoaIy@f#qC zyr?_bQ2{1b1fc!flWg?-lF^0bfmNw{IFBh+ym;%x(79pF{`r#W4Fnxu!ckY5jnijj zf5P%)-QT`k;cmPj!+4Pq`m9izNJX+XnSAp^0F77zWG-c>L3EExXXz&78=LYef@jhM zM);~MuZM?HkVFi&uY{}dY0hM^4XB)I`_*Cn@CfS0ALNiFR5mE{>g2#K6OI7Eca89) zEwvKQC8|bI<#h*}G9oX9XC{DSg&?0Yk0QadLklf`P~Ta;&io(}?<=i#%~LqhM%qs{ z%Xsfb2e_FzxEQn)>5y=pq>%x^)PRtdCYIXnb(@Oi*YBn{4vhK`%4Cz;aop4F4Z#Ve zwQkR4<*xMFVhQrXIVBesfj^lC#iAEx|MAbTL>^$b(4`k%?oS9Vom>s_yHvZ06MQU( zB6JbfF$qMvNi#>Pxyvt(Zg1mdl=6S+TLE`(Fn+jfb^DC6{V8?li63Ci5-{G6>%}~~ zN}oroixW#NdTQRJOm!C)zj&ayA-vzte;H)%L@Qg$UwUKvJJnJ7WVf}Y<<8_z`gffY zbrGs81wU?&P-!Nlny!muegF^iL$*a_1nBacXU6ZqFu7^rQJ8<-I zKz8Q0FDd$GN_>@jod$u7qMO;fTAneFe+5}+F9FB#`(aL6tsmN7l<-AkTXOJMOVMOo zUAmX*F4|Xx0-rf*GKUlJsHR2)Ad?oUx~)B1Zo8M(i}d7E!wnk!b-B85PvS8K+=lFr z&a3y$QcYI|BZL0*r)quVaKK#&87xSJwq*t^cdJXZPb;FoN2|@KoT$K~PUy-sGJHZ> z3EL&=$b%_hiBSe*bd#D;%ae?mNp45l>LqB1mJK~W*Zh{|)4fjUUx4r<=j(5^ze?+U zXlH|-Vpbd>OuN;o{d41e|8pIrfvfaNaiNW#n+SSoZ;n5gS?`^J?0dgs;DemMb4zyuuSLC%k5A6jYK+R(RsztuYp=GX8a-p6=h8b?}LU{PoExv zMp@3kN}t|!Zg^7_VDV^BzExai1k|mFgU&9!v*`u~A;Zj`NQWSwDeggaGNy}-d_*|D z6NrM`GeVle%cQ$XNB3i`o(eFqes{<7(N?nA#vT)#%<)N4DN@;}8OSw(`o3XX!lGC%VQnXR?Yak7LkDn^)*cKg%FHp8X`f28t z+b{r-{Z0TwDP*#S{jw*&c6Dzr!-w}nhE9g+`AqE0aK#zu>^0_I1C>nIv<|rYdM6`R z7~xn`gB|uMnwuhWK>Ox)XDgV_QESv$_c0#Hi?R1K_TXsF`Ibe4T>vvzS{G_;LWFWb1oHW2Uk zB-L7>dGmu+D6;f~MhNf0_>_&g4u2~jcG1n;hGuS)7-P3k!2g7kl8VjH|aZG%W^Im*$*L^Z6ND_;O++ z108aGpXSaMQRFIF#zqP^0QJx0+$|etNl$hL%_1#ued%J#(@Pfz7H0>K0_u589`xEv zPqHzsi87InvplDRD{et&SvK%uD^v&64`M_(t@Tjr&Z1rdl+Rw<@hdn)7l~QhI%j5- zIR4&e;kVB`tDc%liTQR?a~@pcv`e2fZ3jW;vP84yb%KT7E?R7%$?7; zxn&Qf;{J?!A%q+1GXIQGM;M6mR|_q_C@XhEH#4v42J(az`-yuOC=OR*gEp{gwTR6r zB;%g8%p^(#BfDMC6bFf0vWUfQfHR3>;%#PpSPPjMsp7BoSqr}B@Ftt!ehRKsO>IBw z^qMOXRYQOxVLR4!EQg9(|VICPA?#h#wVP-dDUf2u)PE zy+`<$$+@V(__MOTTxUY7TswX`v3e&_9-CRg^`v{hTTjt6D}gDd>#IkNOPT-cDY!l4 zi?jf%+>h<{5z3ygRZr%4$BWPcr~ScS-!)1-MVjapx~#d}s}ZnkXoqB9I_>BCeY~u+ zJ;(}MngO!}|Z6G;P-8Yyv3*GcbCboWa>CE;p?N9?{=}7>FO~>^uwoh=qZhj1JDFQI%1z zH)UesS_dzK51v*IfXd)r4{B#bd?tus82dyKH79ADsdL1fdEfi{+{mMi+Ke}x z26%>Opj3I{^$DJ}`vd!viH53x$C2EJS~6l1O|rtyH`O zb8OUb(P_G>7#3&1oHMViED=VTGhgUk+wwOcy6yCs!DGZ{$Tsisw1ZX&Y)IGIG`(FH z-PfzL<{C}11xWZX-6*U8Zl(L%EBs$m4%rk4*N@Kay@g0<;v64K^P&@LP%|+XUpF-E zB5}X(6#Y@S>m*Mx9gyt~axDdWMyr8rwPhdNmvnZ#3DG0f&o-$Pj_itW&oGJDLO{3j zD=DoMZ64z_Y#in3eCTTf1}4KjIZn()1PN!^HVI89e-v~jIE?7unr?zcwOZI$?;Qty zJ5s1x|DaiP;EHH@bpJtXR60Y=2MT;;&tr)@60so*$lglnA%F>wt)~VDFGVYNHso0V zpS|kmzwQ@02CF85qaSP2tP4Cm5Z3oOPF^zHZLV)QG>(fpj^H(?{@T>=qZ9LE-$FG} zba0y0E~&#vT6=jXOWQbhOXWE1kCaN̄c#vX-y!_mLS?YHk*Jc~!GDI|>;*im+| z>W%%@DDSTj7Ksk8oe-}KFrXe01)~(jia$JS9yBS8>j$*iHGl5r^=E1p+Rwc6T`cdx z_JU5jNMZ8xs9T12cMa)NXNCIL3^LWqR33BY*1NYgTS!RToVwLf5n9TOIJ}bW(gLG4e|a)!rdu3*t>FPU*CkG#_8~Bv{mX7a*PVKWUU977^}( z$>eM=RhPL_l;eQyJzFSjxfR|NHskJuG;Qt_G`zzmQw4kj` zQfnFTJ`LfV)blX?A1Kaoa*@@kqF`ffP%{k;KHI#h4J!r4Z9|=ynjMiEZ|6XNm|03y z4VLjhcg$cIAIawsva{p*chfRax$Q-<9>yg|l-5 z46UcpYBZ+*YC@*nhwztW3+lNmtm%pm=*sb|*`@CP7JdmirMKF>7&C60S%q{iRF^_> zEAkccuVtDd`WJWQb(LUcd6Nq6lg|Yk0D3YKAEMnJX{pRG`(})mt>p$|#3! z%H>p9JG{O(ha0R=q1kdgpn&B8EHPHk^JK>VX-`3ZG%tKdC>4uzmc&d)^vv7P{wb}g z0UOQpH25ZXy}cLL24_fX@@!q)L3RZjuz%)C6PaNCRJMP~8%9+dne#FEoeZ~PS4_Iy z6dAr(IEKj7l51=;^E%;&aAqv$Xzb^&^o42-Dj!I6@3`of=_$Gi|2b$!=w5@;B~Mt> zheKU(1UD!da6(2x&8cFe`P@Mt3c%9yfnTKe1djfA&}-HfZs234-Q{$1y_0I$xsb{% z+9v!@#(B;l`P8CVr>y(G9_Hu=4iocYFF2I!MJ2pw7dwn6CtoFKEN_1NRq?xgy8>jK zkt_|A*18JAo1qWNgF%5s!B@S%_jKM3W=(yA(5GI0MSjqQf#2y6S(_YxR`Gyu{VJ$Z z7;=mFYW!~KXq1M5Su1E4JMEF5+y6_)kIGtJsAMoM_pqLw$=Vj9SLQ94^ep3FqUttd zM)|%cu;$f+hn)`$=_6m*pIg|kMgyzSgO5hV2a{P=Yi2%y3lVs7mTxg}kexGdWr}_v8HKn_Qas_$SB6 zEE>tgr!1c^wwf+r3Re;ro*OyeN^h2|<*c$aI%!>&3_R z<^J0-%`eQ(fmwQ#wrj?%PNSI_5@OHB=LF_EI7b`ix7wQ1J-RirnTCWtz;mJ~zL6}d z4v?}CiowZaX@0m_B&#lFMh7>I+`3d0Lh;CXdmB#=Hya|eAR->l4^i(&vdSArK>k7~ zsk4diBiGF;$SfaWkDNOwmrMyg=ggDQufz*-3ytX>MU4`N_FI>LtV}Tv@T@3maU=`T z+5A=r^?=yWXl%R$tGe_0gOK9c3r*{mgmawq=#H~%hzijw*1-sfOpF3b4T^E8jI z;u^O6QgHEEQxeswN|C|+W`MO^dHPB5lIwxi@Eni-b(QP29B%Yp5TtZ?y+oVSUeH95 ze%)R2S6IK3a5pnV=Sjlv$c3VTh@avI&BENYuh=vNlvF|%NQ`8^HZ$>SmS>gMiwLxdNqd+uXP0-hhVa$dTL^ z>6vPSzfDeVSUsq)BSKvJiPz~2@b%gvLhD&;rNKlj|D~l>;}Cb^=0lMf>jvyx?Y8#j zoFdnL@QclWi2+A?wc@6%j4z)KUsg&TX{6NgK`@aR_+fQX)mV zhx}-I1vM-r%`qI&q_%TS&`L}cvZ=zX{s_2GHF{shlizo~> zSbIvqT~LD6wMD`PVl06Iqhn{cIpB_wvL;rlR{3wIfRm8+{(pqRJe4_JMfL)L87B0F zJzHi-)a?V>aopSoHS~LN4YZ|I4qNNse@q`oX$^#ZbVmNaf*5}U z&KMhd<|$mh`6dh}iJDVXH}Ze-?w_+CA#i%2Du>7(5Eg6sXr;UM+$BIf zZnbQ6n&-n-a%)U5%NGSiE@(L`fD2Mh)asywK_;+Pp{?Bew{(%Q`Vx1hWB-dCcC8lL zuUb{K*v$ckAW>+lqi3(SbVbxj!^cib(sLPYCT_o_8;DKGrxN?#Si!L* zE3Uw7lrqqIi>Sj4c^TPULjy*H-hQeSYr4UKy0dc>Cv`_&t*V$i!ecjDmOXSe*Nq*_ z<&hVCcOI3%X|3+^eVoP0UM6pxw9xYc%}&nlsI^*r!)7?M+baw#Q|_;>7Tls`9kWqU zpJu@38h(8qTyZdE3lkqS)~cf37zSUbQ@-&3xTTN?EeKTi=KU{o?5oz`UR+ik)hn)G zvIT0(mUt)-WNa>!1J4TFOQ^EaAto_BH66MX~Q_PIOjb0?x-#&w1RT^b!O#h-|Vb8{L zJ7^Ew)&98peW*xpdX2l41#LmE_4b_zXzstnoEv=H!E6hOS4jiIhc!u(?>r^Erbi{C zoWxw98gwxnsuQy_k6=f030GwTW@#MJP9tC${GPLk^ImN|(y0K)jlR(6TU<(Yxfl?+ zo6Ngao_pZT{R$s2i!$2K-IFk_O%TfR%DNUkJg9;-_D2Ujbexil=p)LF@b@NVpEKo9 zB#;mR3Ev29vYO0+&v73Pn^O8%xrf#DhhA1-+MD4n$nOE;%aWD|!Y`{GgB=1u9A+latcB!YsG$BIgfqL*GNIB5& z8X*v{SD1_s+T^vGJ@k;Nqr`vk@WGzXPK})$w40`UGUpomsV_?8 zNEka=QkEeos6$J2R=p{$RWmdE3136c3fir$i0WN1sN=ioeX99L2FX$>he(R zJ=y0kW;`xTeC+C7_2ec`*xF4g1y30-&$LUF;AdL2R`dJ{j5V;P$<4_e+u(07{8=83 zNwciaFl_n0-}Rq7o0+PyA)TG{)G{^=x%j#2s_2lqZYKh<&WLhdk<$QM7kKznKgyu> z&hKYQZmtUl_Um7&Q6LIfP1R{z5@sPE$mR4HA|CW5I5$s?ae-`2)WenQ7jj|6_w$|2 zW*>}ck!y+C$vH~FlprCM!mc;_M7`~!CF-9vh)X?n>vh+ zGUjDDIp9cMvT*Hg?I%CB$+1ZazmYN-n5c7xi*ZFEZCTBBFJuHZyqx0weGBRL{^S20 z&KT>`PtFMc_S=jK_0s2eqS534)8U4wnD`o6L+9#~9?fp&mD%XOCeK7+@5HTJEh)|v ze&aH$f&cvO|E$)9k%{l3h;Kkj)yPC%*XuuUr;z&@OG%*G90Ew+7E1yeN6pzTnvQkKX`)pP)O({JZ+XP05W z)9A$=y~&|+e+l!el0tgx$wyVIb@Qq3a-UaiMivkV@0@$MEjN1^{X9 z(D!ypn_br}GGL}poY}!C!2RCD+(;pY>$;>WS!aaQPHHFRmvuU<;>9GY0{1y}iB!F6 zz#%`&^~t7rL7Nmm>=)K?8Ge`%;&NKcE(Lx&xl`-^iumo-><1c>TqoMa;vwYw^-6r`Jq`TAj1qqD$ zfMvsPCzq32F(*Kg(^aH>jPA7Pk;~L+Ep%fXsw-n_cE0)PB418?s}VTiW~w)upHm|@ z_;feEjw#&qsP!!G6O^spch5QmYD}D!YQQt&!Jp8RS~oiMTgMKrGcg>2PNKJ*g?ooQ zMQzlTPGX~)#L_KKhL;j%Gw>ch%W~zO2MuV>VaY(IHos-@;arHjqNZ_L7%Jas-b00i z<(qB;kT2WIQ|Tj|StNR|3X+yAU4P>%dO({7#&fY@TD_QY=hex9t94Yw#kNb{qI-IC z8?uMbemfKc5_a;?e0 zM2h#~aL59+t*6i`u82j5{cFlit>Iy@hABNfejDL|!A0N!cCm*MMt`bYDrFc<6cOe* zdJeH3Jzs)>`tjG0rcL`y;a=eM~~2YzV&#K|ee*Q8m@)8bCiAwIJA9%4Uxr-WlI#$BS$Z z*g&uskHagHlSO$1FZ*0jxEn-({IFk-G@AvqUQr*tcOy0@fADdjt2i-gz`RdW_ME+@ z^SO+a64i2^FUB0sOz9tX(oNkBP(9r&-;BC;c6FTJBuSQ&Xf07*S$5XVZUpiJ!8uOFLfwsO$?|t*D_NfB) z!N(O?^%Youn4?09Gp<}*`TBC-#Wgl^PW9!6Q6i~NhE_9BUaE)kDLj1b{^mH?sM-`| zaA>udFAen~gyFsn^Y%%&F&4%OF&lWlk*NSlWB&v`D;Xjvv}Y0Tq(Sn}jQg_WW4tfI zCSH*!!cJYyk}HOibcHrFW23q&kMFwIBfV8%lYyh8kp$V-yNcZsaBac?&|NdQ`$qLw z>&(humnwoc+-)IWdaJ=h2a3YuYuLLqH=yOxEVOvx5O%QHo4s}g!q~{awS%WCU-Uh? z!M(Ytoxx}O%vXzsn-;{u_DBp_mtDHI79%=yPrpj)10FcEiN+K$(??xEwS4)7@FfI4 z-jjlk>B}A6gn>4Hwf%AVk=*=LavJcY(HQ{y!vrM0H`rya=Q`xT;vRL82K4R;z@s%x!Un<_lpPki?nwIZqoHPd>g_xkjo zcm$umpE=WSH&-QQ@0ReVGr1v58{X&WVRdb(zjHf=2?T=yslQcP~T=GvYed*YxYc znpuj2xYjeSuC`r;cw6RJjMzM-kw$BEQ|Dmv-baNWx?Z; zs!3*K`JBwYxAVX0y(I4w*ZR!xf<Ih9bsW=Ms`i zeN*$*?Z-rTH_E9qU1i#dVttoLx7GfWoVYLjE&rR?z_nXeZokW2D`K}KS9y0s{Q|U&o8%JfB>Jw>OV=W9MiZsW18XkYKJFOs zF5gLLXl2vz1l%z3@SlY&z!nk-^m{~pg-SxIT!gudO*}M9bv(OyTEgFl@HGKbU0s?~ zRCvIE|A&EfuBse9&p&j~U8Q zYKI2EaYlGSpbR51>7tZoTu%vSH$8Ffc3_TatP_8_w&%j(H`>>EDUm(}CN4oZpOB4E zg64?Xs*p5Vt-k7ii|(UWZHek};V1s|e?R9(yQpJn=nuJMPkWnElbngzUty-hMV3YP zdaLRT^%}ou)6J(KsVEBXM}oUJ0kg}d66Wr8J#LD?V1-)1{0OnM&}hXASs=isCg98l zE*`SzFW$?(X2WB)+Zov63VRN;~q$ zc;yBr;{b77rB2d8VNG9v%`E2wwpn@5()Ov#M-HyDS8jn&5xH*tQ+cKtpuuYp7RuVocGrF*o^c2`%Q#u8-~ zA8sj)aW(aS3ugwMvQhQF-?92$;IOLOM&}I7 z)a)(Mk#jM^R;J2~lhXp|dy~jRN~tELtj)ffJH%&Z@)bW_TdlrB6@EbM)yk@^C6%JF z`;Q5u9@5ReKu4+^fZ@C2UX918icpPmuM?q)=p^-F3vZ-G<>|;yExmvxRw*KFQZ7%;y(z z(oma*Kf7)aO{xPF-;0CMTa-*J`O=dY4ItP?<(vJPKd_{7tZI%4QqeB>_|m4*jCp1V z`4=^BtPq@^vy*DWZGfl9;ge`%aV{QTKEmf*c%Bj!{|4(i8x>w~<0n4f)ioY0!+3@G z-=uMKbsNS!YGig^rI-VeA?88<_xDq?DDo?MXMtnS+_sygRIJ$+=sRE+=IVgM*k7e$ zyEP(^btnZBh)l#)Do&=qa~vr1KPnJmLKJygco)!Le5?L!O6Fnvqr;$2cEFH=8)n{v zJ0#(4capzSxXzMeB5qRlnu)uSB!wT8f7KrMFYSn}=)FHk#6K^mB&zNp-*4C~-Dibb%wJ_$Y%9SC8>JgZ=en3& zOwf6Bk1x|rxQ)7cAKDtHnIV9W^v*bp+%%Wk1EDUOA0=J_m$e}5OX+4_P$|=sz%Dw`-GiOU_6?s_?xCfA<5eQ~Zv!bFYM8RTcfo*WN z7ix(9Nf27!b)#C2xD23HBhX-NXn7=6Q6$m8aqBL%5EB(&YnklMwsk#?^^p|c`{w^j zm+(|>tgeR|LCxaml%yfzvK&>H*|{o~Mj9}%^7oK<@(>UYSe{U+py~0xuL$yQGa^nE z`kB=jVPaL;NxU&ll>C>nAGi%5v778*{|<9FUOC0Or2Za$hd-&UH41w+w*Y0_Zxs}M za*^_!eyA@1t?#D6vYondp)3#plj_!MX7Rgp(|-#2v1A_<0b*Z1CL<8v)eNui!@jaS zN)(}#i=lc5C(JZGYj4xD%@xX$zZzfeN32C4&X~Vr1Mi&2hj-l&&q|r$mX}!9-!SHw zW5oYRRNO_TIHBtx-Y0R87z_#DzfLW<79FLCh7H?Xo4D5$W-JO_3!2?0{OjeLUykYl z<*;{|I)5_Ehl>raaSs**`!+pGSYO>{VUD?8{hx$5MdwG@V~Jnp3BUDK;ipuw(&yns zy|#SSJSI1R*}Ph(H@H!1HoRdC+Z5x6+H{w+ss@urG#pH}e^?0baViaTK51UG1P-@W z`qIZ>U!w_<=hXPb%0s>3PtD*bkObl(As!{cd``U}Mbhgr-4+-;JHPt zxQSf#|NjXHEs$)yt(XK1FcUmfBwT3x`u}P=^SGqaE{->qF{NUSmX!*cS(e+FrKF&x zHcT#QwrF9PnuCoSXrkcOXo;nQ3oeygI*v;&Iho=TW@ws{WaGY};F97JC?YQK-g;;F z=X`iBT<+(&=lst1oXdS~_I76;vIRC`!Op9FF$8<{reaBVk%7n%CByW`LlEE8_*3qG zx(xVUTm3cw@$zzw6nxgo{OeQPK zWy*ujWLXrgtmZeiU#2_g_<3UC6tNYq@-*$Gen6{;Swx`%_ev86(ntje7um=(4TUD& zM5Y6y!n?g-LDvEtM7=XwtTg?)&LVEXHiG3qdunkzA}M$d%dVVOc$vZbNx!z&8wAMY zzuDv82w9o=9xL+SW5rz-LJt1pN?MI#ZtWpV)#!oG!t#~{40bra`OTh<_s@*N?R-7;(Uw(>Az|P0)EEFCIHJw4Oxa)D0bze z4|EH?TC%->u`;8qyV&75Q=Z&)dO}Pt4j6)v0ied1O&NlZux_$%tKNxVlp1jJio(Z; zc4tKB-W{FV0o`c-D~L?w4Pjv}N|@HOD$WSZ2`A2YtF}0tLhHNfVszOR^^t$T-uGXu zOK!NrT(=P&R%N}}i)_4ozW~zlqWOC6l}XM9x~`HZhU}LNGA42ns(|VChk&JAxSUxsCgIz=-FhGJ9}qiW?cu0t*qE6TfpMWKL!-iEzPh zq)iex%tuW=3#f{^9p!fQZw_XjB5}tJK(o>eR*`g-gHsI2L3W=pY%BrL}_xNT%j=ni$_J^Xtc{6b8|NR6*2 zeRc7ARuKLVkt3Y1b>HW$1q6KYJ}ttl05Z^k1U6-PIX6qtK9jPdGapcl<_S{YX_{z% zB`7`)kBz^hVc_~flpJO)SM$_O0i)IyOz*tt=0?W^SrFQ^&GYCb>~itN#TQlP)fWva zr;pe63I{pw8g;2<-}z>?vFyEj5rj+xT)c0_0<%i0RGP_~E!2Y)G^d zM9R%NNf@vtj2iU7E0m3pXRpjA#-dk02`P_J-Q)g>LFp;M(zuzxB$G*#;>jt}zIB@uV!~m%^0zEP; zM_y}XbhF_jcD)S7s0N|o#En(UM%*jdisC&rQB~8vfKa-dEx_`C_-c-Q#jeRJkjja$ z&B+?VxDe}5OukLCB=AW$xP2)Q zS7|I5y~%Wc97OkTIg7ICQfZBBPilkxs_KqwG5GFhOl7$0^20Z2x?8v{Sxy=86A2#z z2utU}sfU8Nr684yto%1j;3u_%9wX8^*5jA2(F5LQxqCzMQcfo{N~iN7ASGVuI9&1@E0hRIi^}2yytb+?%8_M0zQ_nSq%{8Ra zxWK-;@iw`qU_+iNNIH{JqZAUYL809ZDBK|jY%*)f_Qf(OtI9>-Qv-CP$Ne!{_weUX zc^NU(uP-KkA8BLv4_ssF*%;^qc2|szxgqgXMe-4m9Ec<*2y+zg+ATJh&|yM(>^yVEryDIT;Hs?%$yn?_i)Uq+%Y)O9Z=w+6{9oubLy+j_;Z zd?biCP9bJ#?{eFl)6}u`i@CxYc>rjsy|?#RwHK6&6GbN+)`x6t2!pVAp%=vJP&q6; zbW^`DLq+fvfFf1suwra#ckSg(hpY+ct#{x z#Ls7D8u+b3kmAhTFhO=(v&KwqFTDF)f-P97ZOtb!BkXZq?Mb7)keY*i17)jTY9Q&U z9!QU8`oTU* z(OEI3-26?{U(bINt-Y}D1|O!W*ABO>bB;rC*cL8?z^sGf+Zj2z`BmXxHhwti6N6yu z^_7D7P_g6|{|3c{JsS}*gTwZOn1e)lG=lu%#!2=TM}YqkXy?q7gabX_;t1MdQF4PT zvq(ur?xVqlS|9G(n6@UOBR8YT4%f1zpGH3bgO$}KRuEJ3j~c*P{|F|u9CpLEYwDx>H7MdG0`R`e=OUWWn6MDmG?_h> zlrao)c!0QYqJ4ICpcm$BD)oekC+hw*tWy-1vfvj^47Z0^6%KwtxV+|`1}eB zA{#An-Uhs+($F6sT)p+H(q$!cuG{Z-B~%M92{kP}dVe%^CwG2SI5f{RHF{Q|u6&+7 zcOGi9{X{-m?$0lUaq8_qCPWtZAF<3QC0Tv}g)KbS-X0eBprh+7k6B;$>D_49OxDt7 z#0&0Y`c zsoi>>dqUg^mn6HXOJ73LezR0d%Xj$T%{;2u{_fga^pS#8&7&XYFWi(ar^q&) zzTZ`nbxPp$$j49ru6z9R9p?w5rs?@=f~3=N!m}Nze>qlsh2OnEt$t@bm(moRH8=mD zqbMNX*DuLV=GB?rr)}VQ(rflb>frgmZtegb7U}qKJro`pbMob`52U}7zdv~HYz|a{ ze`0M89d&}$d)_-9o@#wNNk--CJY99n_J{}0-tW)xbiZh$&{CA-^VJ6plwO2cX+F^+ zuper5_N|WV6c#KE(06-weKT!E<#s{r&-UeV6?uZzHzA3pi&`}8&}}I-*X5r%nl5wO zw>@0px$k`K?Kg6=*mB$@q7~j+7&LzB=U;cZv4+@2%m19-ZC*rn1fB;wOVqZL7@t#w zT#9&#u8$9s*AIMjPIJ*|0e$4yRqI$7&iIh_wt+o~q5j##(-(k;8&(UFZ_1mzHkF1L zXcWuqM3%tI;|j>x?dZ_QVsXq-l-w*2L}Q)|}@? zzMVhC7WMMyb@h59kM@*?>zW;{Cgw~a10umG_-lw;pJi>`|MqdxNVDE3WEG}Dv7)w} zMzwrnqZb3(`9ERy)>{Ao diff --git a/cpld/db/GR8RAM.cmp 2.hdb b/cpld/db/GR8RAM.cmp 2.hdb deleted file mode 100755 index cdcd1393b9063339711e9ff080e12ee6ad87fa82..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17034 zcmZs>b9`n$^e$R+YTHvyZQHinsjauRr*CcBwrx*s+qT;?-*f*s=l<^9JITsg*?E$k zolkZ?&k70%2uKw?=)ctI-!1%4s+$6wEbZ-xnONu<>6wUyT`X-(h?!ZKi5b}$n3x%u z8JSp!RZIb{md2*UiiXD4#7x90(!?63M#N$!md^iba=?TAg8>2A;Q8MI1RnYSk>UTL z|GV5lFMQxLDa2g9HbIrVi5W1^T|k5+NjILeD#Sfgaa<+L%#+hrA$1)(72HhILN>KL z+Ji=Q@^eeW#G|GMUxHsEfu+D<3E}*2i+rhtRAT;onDD@hub!IrijMa7ii+#MQ_LOC zpLW~j+{YCvCGuJ2)xOsoZBECCHeA7qLrAR)a`w z@maqxF{dtKU%@4`kDxIH+>yQQLi;p(BsvrE%18My*U@U(%(QjPGuGQTr;@kxwBzwE zU9Inv5gSBpxUV;GV$GWEtji0Rj%*v8?DBqNQtUXQ*IC+~|B2et2IFX6vVx2bAhyKbp2Sk4Vxd)dV%dnNNXoD zb#>|7$fE{)c;ZJ6%FYdc5f81`jnY$mTva{uF3pAp_t(>PBC{pel;O@`YP;? z-2=+EnCaG=XEeuWjj0t%Mh?CDhLilrEv4EiZ=JKklH765QyX7Hk~JpEELFPLBctr& zt@A7H78+r<;e9kFj5np26Wq(U28)Ky4i`GJ<;he=PCWE}-gApjk0gZ^6j7%@k(p8& zCb(O@wt6X<%^>sQE^~i(_D6eqK($FnIH@mxziZZkJeYOuV(pJarLA6GI z)mG368mOC|z4Ap-jue--$WAoVu_gl^G{@&p9bffp9S@15wBxU&c=gOZ2%{hL$4>#N z1}DCnRUA=2@Whj_O3Z6moA}u_D>6Ahs*^{!<4u0vs(u1xA{HmgJovK2i=$`n+hva) z`IqkJw_Ec$>U+b;zrhQvq7y+okXvS=}q0HWHZT|L0qF^?@;LF@?N? z5yliZW>DH~tgX#(wUAt4INbP3IF9rx)N$yYCD%u{Mm?TAG`5cde)7J?ml#tp&_@rH zv!?kL8m$)p@_o?u?|we9{VXUrbiAMVmuk`ekI9q5Fctv?=HzIDY&PgOnoC z^*wpKEZ2gx@0{@5D-&G5Th%bs=8Alf-7NcfjoS(Cy%WxdEaU(m;~5Ds?U*_Zx|T_@ zn^P|6<0`u6kickeyhp5Hm0LSK=ed`%=4h4O?l1U&Ga``>it}%R)!}V-Z|`goZ*hpO zK?Mj{d}BU^G=)FEhHY3(2~Uc`%(IH$GVBane676+RIn?H*4I zrjqZBKFAJ{6ne!%cc)hA^%Q>qCfY-#r~0?C#}lga<+8=6WR)3D!suwDpRkJW+aVAv zQhg-%GXy-xtD!WppDm5Yj4Ss^gcPb;9i2_YfT2w1PEye`JzO(V_EZfhtWYJ8X(cKM9E>FCzBWew z7;h9Mjy%!06v7|2dHUW{NM(4?A{oa*)?jDs1_?a;B~GI4kXpib64lrgUc_vJPC88^ z_$qY8+KHs_`arzP`09lH!Kg|spjy~lqz2=}rjbE^2)!L&uPwfW@`bG&>B3lD7=mvV zFvIY5TXLuGq_`bmbN>x;y~o=K@9GfF|C~;CdM|q`66A{vFp#`&@U08+u%pj*!}|G4 zh3hRgjIunUEXH;e{-=r+L;Jm$-3X#=jotdvyH$8FS|g_huY)pXYO=3-@qz`W;oJKcuU zdP~P+3Sod|WDfk*mPa&C0~C8==SKL3sdzT>AoDIjS7+bdXp65Ig)3k%r}X;iYeUYF zImnoEuza5bL=*z%%H1JF=3}jpsoDthdV^E!}A3?UL7uc4@c#_KT^Ww+}IQTb&wOp|ASe zFw-@qMC*W?ST>Pl#C2?OH0anOdB_f$2QhgBHzG%${+*uyXzS<gm@Fk_n= z&|U2BZf?1G#d+>(^SNMCdEbf%d*IsK!L%&3-VF}|w(IM>I zPeb8~+sPRa@ci3S=OdB0{AnJ-$s!PL_v#en{iHc#Ed*y03jce}1zMilUIf4CIvoG! z)dna?m-?vr6cXaZJKAqpI zM+xA3x0Yl6>tWsSh>$?bk;UgP6vcwW9I!8;*z4?+|KLhbc`@eXAuL1p$Js|?-i;FBsvMZ@7Z?++WlHlgOrs%IgP-4oj+#wKn1M)q<_2^ zzSiWo@i&7T@3`;)+9G0agA#Q1-%PQ{TP_1q0uPW^k8NcoMyBqL=PALrk(7yf9v)~N zR+&?bX)aQPqRWU!07CTH$4_F3Zo7uGzC%STCB1-SW_v>@+`xez2kN7bode(6{T@jz zNP`??lPIM0!pi2GO`kSV_}T$Ksk+EV`B^Zgi@g!ISv$RS@lDW_M%2=D5Y$FD9h(+$^%{x5@4%ooS?V+h#feck*qbo7zS z3*D<8v7)O&X2~);+XOB`9g^$0_jJjoo1R)} z+e6|-M_RORUv0IsgW(Gj^7N#b75 ztL_W4I40_fIot3EnmyJY6t&dGs{*`96*2}+W|FAC@hSm)Zvfg2>P)OLDOZkMd=nD~ zUy!;%De8xuvd5x^I_yMj93I)3w1E}UTA?Pw6@R)1|4F<$1u+U`XnORM>+^^OvPRl` z8pLnaEO2jbJ+Mx#9L?8|cBOCk55brh?U)y21o7eI{rTk590_dC|ytCs(Doge(^ zTZ&WUO^fs9`M~^RHIl+|8$Yk!_S=oK-hYP5e}=k$hMs?h&9i~YuJNISOfi^aL@OUp z5jgk%pV+z@Tbo7135rz1VY~=>ZBz#3rkA(Fh&5CnVp+$kul?(tf-YGv8&k$!I^qx* zGtyq6xyQow^b>Y1kQsz|Fzs~s`2{GfQ?##G94PDz$(N|3#vkwr!8_^#F{XWJh zkm?c!(8w%nc?BBz@=$gcOr4DYMr^xRZ8S*Zqj8pH5w)W`3(2gW$UNk=rw54gF6?Pf*9#(xprKep zjVr6Ow`!KPtdJMFD{~$m=|{7de~SMo6z?vOh^j^XIWJ~o{sCR7N6*kNi&&kFiz8FU z{^?x$r(xJX|bG~aJ{%esaHGI!^JYC0E9J|^CH zD4B@K>})t`taJiGtD9_~0)x?pfVJ`VuyuFc-X!EYL;+7k0!K-rsUpw~%a zo~m{$Zb^ono{e{nZn5mMMQW=J*Xrq%P1-u^e#=akouKO!4yMu#B~+5Ozp)q{aUP&h z5zkG!zfEz>+4%Su14A9FLBZw~aDMuMF8fMbj25v)NJn8{|5r3FBXq`$(q4)Jtp#71+6>%tE`K#fq14BnCFCV- z6F0Kuvtu7ZmbjPM`o(Y?t6l&3&+H6m`pk^gh1B!E&GhM~t}VsXlk*Ez!^w15lY{6` z46`CyE02~UhHy8+CfI<{<~$0XxvpPLWz!wF4g^rRV^>h$2zn|rHBb)P#l&^4IoB%F zqW=58;j?s~caQeL7B#$EUsK62?fAPyLriuMf#%Z9#@f2HVa%?nxdvmp&Fc;;VJ z?e0&m`*$Sa^jTe9=+!C_jw_5DjaI!^qt#GJmK3kTpe+0io<5z{~fK)x>_4MO?(b{$)ckY0=k z$qKQ&L5({oc^`J)DADnc7W#UpFdCGb2+feO|CW-53M^x-=*;lzd*%#!-WX=~uj&Ue zsr~8Y{D`6Xml^n*h#AeK7Q}41i><=uF0aiwtcs8<1wWFCdy-d%tpYw=TplEi51q;1 z&y}i&3@1+&8hL#`MCv`c(JRLT4Qm`_fIQF({WdLbN9JBQuAG9V%$E<=iMi!xf{KfM zxNwkdzm&?tnHW#9i|SM5OG}UNL^@H#XiqIX3|*GP!9xT^)QJ#L5JZLrp^0#ZDNi*g zQ-aE)XC}mWBD)#6TDxM8H^;KmHpu9kDhtd~pCXb1jZo+cnqGa>=`ex<6=sVlF)N`V z$)fS;PH45^!$a(*FC?aJeb|X4K3TadQWx13&%hf~5qMg-lAN&M`2q-T%-p*aYX#Y4Bm^6{hksf2Uj1 zQvbZ}Lz4*~GfrGErD?Zbz}LPVm*NzkvKsZMSJCneN`_oL)-@eHhhmQx`EJ6%OIO z@TD0@xs#L-pY4s0Oun-?0H;CpD*^4M&rb6!M$KKp{ns7Z&Fm9m;jojT|3uLkLbrjV zVm54X&|bety!=_vSce43PD| z`0cR=VZ#xUcU5Z2=`+Uc`6GGYnN%7_LEOk>@=QCAlaY;`RneDF;a)UQ1TI2ogV!9B zt5(YV_k7}j*{y7<{v%*=JA&SD{ehhi)K(dhHtT+N>TvCkY>iC|=(G321v{61U3k{= z$OR~NL#Tr=AZQ>&qQ-cif55v|z=T$U9We&oW%XxQHn1MrQi1xU$bwn$f|-@UYIMw z#0e3p$QRfIx%>$nG>jkM$un^vPlEUk^NLf-@p4$0ppORBlO5yJiYfWWPccty5*ys$ z2WB0W9>WanR$oXuuefQFrQ&uIux1Bj>l6Td#fe= zR5QFN{99Ur!KM8{oSedZ9E?j@7MZ0uoUsQ%_lu64o8p3(I)m(m#^iuYMS>Y{AZwJ+ z5*6kYQ%?(y;GZgh5HgsCI|VDFX@>il%kNgco91oFQ!=fAhx>xV9)QJpz`UlUnC`L9 z#rx!-Fr0lOO_=b%sl<&7-Ub()``|X$lnGiBos1Ue)FhZ|78G*Oof|RJg%2wmg8=sB zJ?@DR&MF^*J$Ph;KQD{G8gXx?JzC@*xPKeIx1?Ny@*Q%6;dlkV*!3J@ACj{JE(TE< zRJ)MtT7JZ%pxRjr{KCqNoG+*1QmAIdpn$?tKQobZP({kI{>?=_hiQlFd@{g8)GeNT$H z9wE=~?W>J31^byGU;j(V!m<&XlSeUfvp8P@i;=v~?{Mz2^XEFL_4U1&yp24n=`MV8 z+BL+*BX`Jb_QFjIfno&_AA&*rl5KIl62TFjTd@d~!5k6Z$QSlO5uiZKi^*UM8KLM0 z^Clk%-W5WVykTVZpn&8n2wiuC@iuZ7E|r!T;7IsjA=9ISUj=XN$aGxaA;T>yAIDVQ*+$5(k}h2=H0WbZ!4E+~b|{Gt2Bl60`F@A(yoG(WanUcd(Q z&2AFe=PG&^vHVEA%h``1zPQZ31y;8TUTE@S$>BNj4Yx_BUyfG?AAcKb`DBpA`mcp{ zoecW5$$6ptXjKP$P^G}yHdab#vkNlyh56w-dcj!f-F&C}hFLNsjP?U}m>+uaP@Mcp zPebJ-0}h}OIdj?~(4^|QUcLw)qe#Ed_m~EWBqDVR1~a@VQT!lYn}7nqmmhDChJ6^*_QH{@%#|D_5Y~X|BLPZ-;C`r<_<36 zM6+p~-M$c~OPrA20KGAqS-I)|p+x^9#*+uZXi1We>E+g)`u06I=bLdNXlfh&2iE@w z{6E9*F}fSXh2Bs&6EQMLZ}l-5?tM2SYixHv$gPPVoo)df#yeejohi8P*Pz!n;MZL{ zSr`LeL?_pvpYu$e8bKULZ)HG%0C)UbI^ZkSf&|KaKn@ZKKLJyYj2GT1-1E&+sT5!t9L5^6|mgigYaQMYFF~BWWw;v zW2L*v|IRN6VD5e>FZgEgi&-AxC+hs z7OdHidGuG{a|{&W#JB?}Y75x&B)X>7pWBd?YAGresBb)2@9|cc^+mWNqk|gdyTOxP zaN^AB-(Q%oF4FoV8FwlEdHgGAp&jUhw94a0GUf)xy>`&o0C0sRo|r*mc8LKWnqf2_4HBT(1MmVV-C5IWg3wk{*#JD$c^%FS5$FpJX= z(dj^#8bz0$h~IE0>m({|X=(MS*{-GG(D7vA8!9rNex>seUwDByVzzOsp{{k?oL>%Q zsgTz<A>C=7`TVq68f+q7D;kYxjzOJwsi9m4HDmXT9Cf*bNcsqL3MUqLrw@(rG!d zG|gQXXZ!Q2NrgKu z=I8w>>MCwpN;>m*u{mp-<`^FVo(@S)5I;?eQ4hZ%pSI#3U-Gp@Zyp1DDy+LGux4d&*!G1M&xpkX0=B?W#?k&O)&iQrZalB)21OdaW#;G zcH9v-Z<{z$9Wzeg_8mS7a|z98V99zHV&s;)T8@6ds1E+Nh&SX}w?@qt;lkg63+Jr` z5_PQ3*x^#m#N*aKyt<^cCx!2WcmiFof9{&mBwx0Ex}=lk*|JFO*1q{6*rsf$u@)sQ z$;*uI0sY$;;Zh!+Xb5nKhDF&EYtK>sI4sz(TD@?8Y1O1&;bs*j+_qAcWaV;oArf#` z6n4;Xo)0QIq^?NMVO3<-6iYG92@3gL z@LCKhET~7Nbm6?nE>G1zNW&U@k@V-#o?zL!jXG{f{C7L*VkJJ$*I>rU+M{>Z49((1 zl4o5oHL-zpb4Qb1gHA8+{8^*V^3lO~uQpYe$E-(Gl}^Xz0X;3&`YY()^&;0YU0P@B zAwY{Boipx`Fxj@{QpX%IbMoA0mSp}_l6sZ)fG9k)Jx54LdsRSy6?E53DS8GXjWv>s- zWB~V*%t}r2*$SUp;Fy>TaaZ6V=D~fGXVHQkt8|lgh5j$}^&<6dRoa#~2KD?;!TVrd zRY~*ry^A2r^6YE$@g}fD#H)A3`Umx@MJgoL zi515bc<`5S=@NcLtK@WdO8YMThZGs%#`ohH#qR)ZK-=^|ZEbqYF{~4}7tQs1zR&lV zIQE*u10svPN8_hgKEiE#>*YI1$H+{#?-ea6Z_7qZZ}^>Vj=O82E>Z76+eayX#Gy*Q zlq;%z-D5HJG(Y2`Ncx?WtBe(%$9MM3cXd~((9~`Y*5C^JsZ1LDA;n|Nxk@78B1M?F zy+NmJ3xYvR)pVhGYmK(d-u}XbiNR9NV9TRiJOP&Wv5^bl+(*VnAk`Ueje4mb5#CE^ zmBBXrK|zszjB?N8rvUuBXk%s6G-|PLU6P&^zY9xL$k5G(Z&$e9(akh`{`E_Ii0)N< z_FJ@Tu53cj%1eahgz%;D++*B~X`b!M{KV?;w9#AtrNL6H)5|d=rCgR&cif=UyGW+D zn@I?;iMxQiE~|P2DuV0dJ`g+MYU6owg~>7!pXYN<5aHEnNY+|ZB#_>^{J z&`c7RqMFl{L&fKVw_;s6ty&T_y@pw?qAc+9R<@mfn4_Ea3F)?3$KpNyK^HD<8^u<$ zC&*w=sAZJcd-kx7gC*NM^)G$D0dr0)c!+Tjiy*r?bIz!@uqH7clGiK#X|>4y$h)w| zReOnB+EqG3w{HAja(!jI_;I?#DFR9`BVe&+mKf{9SgU4jkbc@I3 zY;S~p#AY?ey?6~!R%83c#dUb%BLBVQ9F5j(@U>)OzYDixYC1l8f)Hm5%V_=hY;Q1| z$HU|y@_F;HP-gBUzR+B`t!RfXgkFl7MgO|H1O2lboq4kxgM*nXQ?iqI>Cw)olf`{` zGDl_>O5>+GnmAvA`>jt#q4}JNa4HuF(gct|fD=o8zQ@#&>1& zFy1DMwK&1OqXXgTZP4}uky3<#%E{xS(7s@4edPh^U}W8GImv$bNrCt~EBPyIdAj)fZZ`*G{Y$XtnQr0<`V-Cmn=gxKMWEX! z;&A<}TSVukB_HFVQWEpq?|G=OrL;Ab%WlQ^=u5n2TB zzK(`e7}+aXG}t>WTWkJaDZ5XCcX1LrSaSab4jZaRvXIVoh!lv`!K~LO&0Q*XCRE%Y z2w?y@zEk0wnt=o}Hwt#hBzAzcis4y$ZRu!a?U5`TC9z^U+AOO240|`}&%X;(qn$&n z)6odAirJH_qH->jyPqd^FcDjxa0Zk7{0u`vy0`|bx^mlysZO+3C) z_yhdGj6a5fQ$RSZ?Vab7O`KEfztyr_e}U2;S;u}D!H44wF17~9goi=ivjUQP)&c)A zlfJJ9nR=)mGt#|>ScdvBr-t}W1ib(y%_Y|QQv#V@#15<}eZaOohj-9StbO|9=!pgK zYtTp+>HUc>cQt5DVGcWS#TLLx>p7ZqWYzJ?hA2golbt(H8!xWc?t386m&s1rdTz)1wEDEUdrSqv956l(ONb7 zK~&|=e!(_&ig&;_qOq+B1U(5yxk6ho%vcyeUB2R8%FmqPUee|wSTxoIs?S?|gJ`Q2 z_#iZfi@$SQm_|Jz%;;Cm?kG+j;@a|9kl|i7 zJ^`YhuySo@Ec_7H#G{@tXY})Cw|=Z~;aXppu!>(Dgt+CHkFIza}cIg*nA+4$5UK-8V%~%`C#`U0)le&NZ#D6s-$+quywMW{AX>p_6J7*v%EX!O)4nMLoS3t)mcF8rnHW zsq++Hd4$qNOCn1X2g(7#UF+GT5tX9XtyU<{y;P?oK9&v&~B08lnh8*`P zbFkq$n1D3**cu|dFzka=5(jf9=bmu<$xo6$Vn<$@zE#eEo+F-62BdWnEo>kod*^N@ z=(E4vwc{rit;Q1NmhP-kUEG(SUJm)KkPZCME`&`H5cZ7KQn5s0*;AZ#f1XyF3y8aX zitV1-gHIxXo-s0!MADA@;bmPkPgk6*mshrtK`)NvW&Mmlcp2Wn!x|1VvrV)rvNaX> zL&Bj;fXMI~>oG#@miY-k;*u+U?cH?Ra$>8{a*4qR>h?IMtIWQkIrx34yJu2dWW^|k z9%*lx+|TmC06=e+!H#E1r>~|+$BuM&;|3wf9X5byG5VQ;ISpzbl6IjZAA^?lebf3M zMiNrb%==f_*$pj2c1#_SKS(ljp^?@yel=_3fWi|XxO!z;R*7wmNbd+g)=n!wH8{BP zRfpR~)Pfd=d>`xGj~YGGh?<3VO(R~++f3NRz6u_Jry-f}$G-O7-GG`Jw*N|aK%*%h znNZden?5WzDAxGzT;Ie5*vM5wJwpq_v`cdaC3|zXx2u>uA05fGZRe|D`R8%=)%NgU z>OVKyPTH>sW$oVt4!m4q4EVY^0vVQeyoLg;-LV3Ab<$KP!TIu3r@{5|r7sXIOM<}} zNaU@rg8muH6B=wDY;*#-hv&Z83U)}%>pC+ItMc9cVzG(M(2cpTpmd4?xsY-&7D6l^ zR^X2O)UihiV21qE;_AOKh%m5+DIuG0r2;&>m4B1yk7JjI&G*jH#b%)};Kus0RHnx8 zv3BxXq+}rB9v%f@w!P+Z+3{OM{8RUig47v%JdNBT;;u)m)uWD@yoHWDn9f;m4e3`i zrP{kpoXntvPQ4Hzl)ZrxFzE*pkC3-Lw#Q4L(80uhmb{2jn+;M2*2QWDY=5_eu;M6y}oA2B#EtBWDbAOT6tP*OM z`S$v&3jswi_xqKb_{C8IUPmr5If`>4`L(3PAGO4AHzpq6kM)Trv|NtrLIKGBrKtH~Tb zG^wgr71vv_B|R;wHrM<^Pak(GFMF#?ERQ<;_fTcOMdhZtR5&%cA|Ea#FD^Oq zz=IvClJg5ykx~oQY=-pREt|=e8DtH#A2~Z^Z|j@$kAI2ae#BHld5grA|0!_?2G%r; zo4#>YcC{I2aUP;)QQV6mG4s(Ol9O{Czm#o=3zm-Ed&9$J=bvwT0mE){RXN!ZK^@aM zK`(d(EfV{h=FvbgsEhLN5sc73F#LB?Sk3`OdZS7+Z1Q?V*#(!e$15Zw{{mS2My`e+ zoj>WOHz4B&Sgs7U7pNyY38K{gx(EeSo;`FOwFZ&8GV6qz zT(am)nuh9whuJ@K1hc*6?&lN*8Qnb$#vJScafFeMRFJJE#6KrW*X%NLwsG)OJy#wI z?-7QTN!cQ;U@U|Ycj;#yvXrD8(qsVly^QTQZBQ4Tz!5TjNBDULvR}E50B1r zG#pvtMa#KUAvAU7&b65cX)M<`A{Q?52S6NW#FH7-H4ofXk{~vq7RaLY7bvG%R&xlKYChyff2C zz`Kxeva2r|^FaQVm_5^4nAROt;fcz(hW0kZm#1(E%Lmms#G(#p4CIhs6OW|z+2HwP!&h@D9ZXx1Z9##U8&58Q%A z#i&E*CE&C3ypG~EkW&{;y)vG3wcX2L=6St&)k6{^mN%zkv&V*T8H7x@??qi$X{Tyc zDUaH@vmMHl`sWsTg4gdM2vnvxRW-FDQ=sIlJ{(#o$7>a7O>nDLSj^_3HVsSn(!@3q z`S84dXLb&N%&{V4@BzCOZSL-!xbO=5yzVIe7bU?VvqS#mZv7O3g_>71xcX;m8MTU@ zYTSA9#E*a=MN>6r0%9XJ;!9>uc2_fEl1xuqhMjZJ;pxuWZam!y;7b?*Aco*hh3CNB zb#(eN)?kI?89e@ihv!n5s?Vs65~SZT;X3D#D`e$H^!Eicn!+8qnEWqlW+ce~4`xQp zBBVwU#Cj3LI+1Kp81ta9xW2mCvf$Mnn2t*GHInIik!;)0hjkK(H4=$c5{Y$^={k|< zf1ybv+d35AJQN=Z#uwI{O`#56%QCbQ{6KRdD5Ro0@=U4Ah0=t{`yMI)?*ev% zxtVuSS4Z;$S>IDd8i7}8Tm^-2(<>pSI?$bh1@HtIPJ|i4{5v%Yr-Vl)Pu)mLQH{i@ znpF{EtxA5Gpgh;zyNlI!wgXX5xUXi$FV&u-H)^~elACPrq0qvM2wb6PHX8YqOBGz- z*jM?ToeFkeh@J|r{5v}n%;`7WU!mT@+q@u^Jw&N69#)Dg>fYUy11SZ_9J>mS_&E>n z1lvqxNI)t9pq1dxndbn<-+>e^M@_x+76xPm|DOM0RS+Lq^x?*%HHC>BT<4uLeK-z>4{?2`->F#nRkbu{HNmIqX7 z>4_GKB)s_>1P00fW8OPFJ&)jI`A0qahrlYyHe_&%g8k+~_G)ca89E}xHv;2SW0b8^ zMuJ06{jxjflf}D`YM#6BVX7j9^wFuM;4}!;@K$&#ng8$eVahiJ90EKg&b$K_(4f6I z4{cBa)+kY$-aKPA*-A{CILCsXM)d4!I;7OVT#e;}I{w=IGw(Y)akk0E;K z@Cuh5iRwNcN$T*qi__&yD?m;Po{0%f_4%#(FUq zSgL#M;UR391i?t!Mg|}hg!T2!hh{R=SMqQff?7)g+sqtJ7JM^z2E`2YzlYLt;>H~2 zG0;BQX#5GBD#SIa;b7I7*GV|rXpi<$PX7-_*u0sLIOb-Bfu?LCU zZ8x2hI!9(}l^ihPl~K9FYFPeG4U#HLf0q6p**YED?(<32?RqQH)zgCpr)0b9`5vwe zj_F`p(cBMY@P3S|fP|5mpPNRF8!X$stXN ze8E9()M2vM-TYb#BG)zb=tX?(BDl*XxML(9TiQ0nB#qg8^@NM4xiQRm@hptR5LA@x zvuWiQfnh@Y>$Qt??`oN%`vkvI{bl8>z$GcUW^qDn#{7-t~OxI65`oKjzg**{6+0w z7o`f<34<+DlMNv$Tf-fIPDzLY0tkAtl7|XjQ?Hd&l>QShlAW6W+Um4YJ|W2~%(Ov$ z3nP}4B)B5WgUc`kJJ`wjUyTwllx4o~dgEK+L@V|6kVrh@a2hn%QCyw_Rvr^nQ$He|%4<3JTN1r|*=-RNkQ6YC=#fS+2NDboqZy45jg)o`W>q}cq`bFA?UlXGJ1XA%+sHpaU;@^CP_xjY(`ID0?@7YYQ~oJ#aCfCCIg6{#YBnXK4amn1K}^s z$e7a|^Z!;}wQeJSDxX(1aB}4McW9miYuC|b(6G9U{7b{%BgE*YNHG#r?fjeJD{#R> z^(i_box}78|K?zn>uASiEE2d8XFw755m}ickzB}|Ce6ZRnPN+!@TVTl!pJ1m&?LX1 zICZrvzW3nX&-K30%3vPLqblG;CD7V`$Fya!!2KXrVQfk^GQ)nNr!T?08|GczI?BF* z)b0uSNadl$9p-+MA_o*qMR=+;w*#C9D%%yCsIMT6?1-|<7eW`n@zH{DYfQW6=kGr( zrE&4SjM%oWJ*`j)^r{5rXrl-;koww*ee{RF2+;1Os1MfsE2sh*=%74<8lG;xR|)$X zi+%j#OnR$FuPcQK8%YW4Nxy!J@%{)NGGpksY&^*Gp!tIi?UCm!-0f#2_mUodx9gcr z<-^_Vefv7Jc2C>%_0`uzyDTsFd|cr-XYd#6Y-b3H|J|likh%`RGCR|y#&Fu1!|u#R zZ6z$R}fi zUU+uR)tk*C{U|FmLq?XlKx_TQaoCW5o*>$TiYD!DRV4jvDAnp5Km8ZROduR9+!{p_ z(ZvQe1GV(uC1L*h9$;T^O{-9IG|sPsDC{x~WpAYGl;Zo6A7DACGAr5PNT;6;4rnoD zrzD-Qg)>+Y$FjuNPTI2RDQ?r-ODoJRw&%l9)GXNMZP2b)nSO9a#Z1Nc@|Mh#GKkER zkU6qW>PEO31JEyN!+$xaCD=^U&;8Q)6{U#s3z5XrcVSn6V$Xkx_KV`&QoC{2?Z0ZB zvS0Pu>Z$+<08{-Kik=kBl)g@F{W~>nUG1T<#>oAf!qt~zz)$YOGF~11p<*cp@oko+PJ?`Ea-Fs-mO?WIA^$l> zKdBfP4$~eIJ}Dmuz6?f{Zh3*do8yHObkDKs0v`U4=sPTJ2Caa?4agzg3HQ(L+&k$G z;lt@rT{MP*_ip6+RX}4zOL5d9n(x&!)AF9>!}C&q-Cg{+Q&{$(hDW)6=XlZG-rV#Z zBYsPVR|lrc^%Zx+o2#Dy!_v_=5eUflw>xN|hP4g48hZCYLs94WyB54rkzygS@>}rg z>guMYn^|(2R^cEc4J6!8$iS%B++bvKY&0Q~KQH|dGH4Gm;qg>`5Rj-wqPL-ch{&yO z0;FX>3_%Kxd05<<*M)WZ$=$A|__q0;JE!$Dc*&t)Nt!>}KV{NIDWX()k0@Oxma8gH zDd()}ZRH)o!l!JBVc1Y+imw9m(2X&rq+@=n>N7laVhDM@-4554z*7e3iyUTbH9f z%PlG=w1cN(3mQjqmclsRuZIZCk{8c{=pGJyL>}W|4yB20vHq1!FYUVrY6=T{RI$7` z{phNjP?qc0f?elG=z}F@z{K$+vhhA7?*2Igg4rh&kTP4Fe!Ky`#!_<%8Pl;}`v3C59o` z@-NmL+vmAbvW0!F|IXhLY4BmF)`bs)U_QQdYD1)Bi-F@^{OCNYnPIC8_hB`wC3jkK z%~m>*0l2C(??Yk+H|s^|O_C@t@z){$A~z?iXIFnMLrJh81iYwI@)sRa!6DS7(e+bx zqB|xc3bZ(uBjOa#`PY`x@bMvaa*D8Ll53wXuY1wb|3(Ymm7^vrNUWta`}RKNl@ueP zE~S;ODlJ^xcUqU#jzPcjh*ciRR`^KqffYOn1Q&jt7yql2WhPL7+qP0}&QPV_v`=t> zjdL|K*Sz%acQchCGUS_YyVmf^l1@6|T&ALlY03&Wxc}f=WxUHEVws!{!8{|J>lUOb z+H=Mc)fIwadD0rqy=P80pb|c0lP~*yJUL%snY??%PR2YC9Qd6WXM{O>=`z_QW0~Cd zdo_9$fioS4JM6rK7fikwbUn2lXFkhrM(M|Ahw%*xXF*D$8EkU6vM>U#3&_-DNT97{ z^c6`~M>tQQh~q-Yk}=3cy+->1~It0&zyf8bc$TE`d(%c`!#A z3&DmN4yCqGp3hVQ`=6dSk){I*)%=c_?}cIuKO*o=;wyMgY$7F4QhRsrCK0V^lM5wZ z!-947e-6*SZ;EKxgHSI1

!+!(i_my(l`qW8A3d3&^>}-{cTjeSQ7$mMskK`ICSL z5BOJ&y%aFcsU-C9I-OO~DcZ1rFg(gd9z4t$IQT{P3;FAHTY43{|%&m;w@!;fS567q@{>5e9L}tP7^nPDlxI12-+5kcf76l zV@98^D6G41vlLLOTNl(XhfV|UOym_iE0bi7B?y&vn=OREAV}jxIw&YC=?mi)U4#56 z7<_ioAIjiLVx%5iN*NrYCekuui!zc3SSoEF)-j~xY$hdx{}V_XhhP7s^h55e6eH@ zmJPPd>DEpEVoA1Uuu~eD`^cirTR<8{14eUHD;d;9tTr)bZ+R6$MZ{?=_b3W9-%V5b ztiy(=NLFOn=E>l8tJ6hA_4GO{2F^(OG1b)@N^^fh(p>n;cWqk-?#OHA9&4m4t*@zc zue;Y1%sly#{XOLG;#?G@yVt_X)54Nxbl2jZ&zUAliFfs!{RK!I*}YBbYjz@6*4Z7J zL5Sd@G?jPld#1Gz@znb+SFyPYpa1R98tj+t<#uN%8P3RU2P$U*npaab+wxSy3R4;6 z<$e31TVuL=KREsK!#$rfopbo*Pxl;MPny9-rknIVeWm9|O(JNn(424fE}Qkjg2Pb> fz?N=kc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*F5y00000007w&00000 z001Qd00000001o&00000004La>|FVG8_97doy1A#98NZI;+!4X-qpqeNsyvM@jW{` z1VIYBxP$?8I4=)x2n@i91~b?>L~-BdC4X9e&EJw=@|^o{l<({Axp48&S{tu9PvA_? zbXQeZS65e8RqM^0H*fwIzn|c@hHHI&?YD8O_>-yQT9M;>qGM0}Ao@kTeNug1Wu^SJ z{tcA=2d)1bzyF%~{U^$V{2y=L{4IXGzxh`@1IyRZ?AVDSJCKl%Yu!9lKCgcbf7s&R z@%v}h>euk9ZjUWjH2ugK*sM2ut^XJeEB^E%aK>kmxLf&9lpj4Rmv*+xyTWjR(GnFu zK&NE?HeUE~AmY##{%FDH4?;1tLuc%X$oJjwo^buKGZ3TL8<0Dp*s#2z@S`(35TQSc z&aJ=}e5I@N(TPG~G}>)3wSve)MFnFc1#(Zeu)GW5$I#K>{&P>ce9f-iVG5HZ(8DTgZVGgOb4cjJ$WkC~!{W$PdCzA=<8O0byW|AR(H^ z8@Vo~U6X{4;y}vW(2gv}4L3LBH24TJi*w}I_0NSFPbOAyF*`q4{#E?s{Q5V{E&kqB z0cfc(q@wu^+`4ucQDRT5cx;FA%gmqkzr%}v0g3$0e7i0F{BVGx{p;wi5^X!8*enzn zt|vDUXT?gQ5eb^6@0E`1krlgFcDiL@&=DWSM1CclrnY;DFF~>Fb45fqsRrn`%P*L4dtHkIdiTX?l&pVC6&&XJ8kO3Ql@fa& z#l@2VmN&L7$8x9Bfl6Km@%I4X`YXh33u)IU3Xk`nUzR|EaB;!=KJE*}v15lyAbVVD z6BWHoCTRfNME`!VZP+Q*tzFzN=~m>p&Sz?0kBw^8i=60US@(WwM&b8BXWN3C!iM)C zwWYapRs@Nf7eAIe)M zSJN*?9598UaJZBm<8gs5r5Cg2!G}nfgL%F~U<$bKEcb;(G9++^MpQ{~RY zK3mqkW1hh!xqx24N_WjV)^JDo%0rBAchY5zPSvbtqVPoNhoV83aq{90eW!O?qtTsB zc8J$|_{}T7FK7IIhUt*Jl%i+galuetE_&7wo|pLaz!(n8Uv;UYYv^ZqwEy3d4z@yc zr~z-Ifw$2>#yW4KfpgKo^|fzeo^<^PA&ZGM2>gNVx>>m7Z}3rWK~#;Y<7MCe9@~x8 ztv|Y~HNUUr0+9&0#u~u~_yE&FhE(C!7A0)8Jz>OSQ7(&}orArH2jy*nSUqNS0^%J;0w-@>iyPfM=-%61czYI$`wKei^0t0S+B zwKk0B54X3sO$CrOl;2vFIaJkl<6(Jc->g^m@0ZGZ>H`Tx;D!OxhCj5E0aUpYh&rfR zjP)!YX>Lu+I~o`rzq7;5HTXdpe{hj1Gwcx($mqqZPhXuc*0mIVS4m6^Db|GD4Fg z5?m6z%e^LQZ5C%^NZy!8ZlEC4hA80Tuvc1*cCB9R^v&8y)9Cg()qd?rewE(+y`7!i z{hddTb|36*miHd)?LFLoP~P9(dANUHRU&^&rPa^$Z$du$l@_3X+-p|4wN|s=ttx|D zE_Q4CdJL}&uhv`&kDGo@8C+KZ_ifZ`cUm7;E8Tvp*KPN@{i9k3rB>&K1R|rdDsM#v z)70Cmz~)#$uw&#~WMjdw*L>8Hkt*XAa(CdILt4oIx&vtwqKDX~!bcHo+ty3*)IqEd zYOi5W{3QF~JNT$%ztZl>Sg5#*p|)I?nn(ltPyu3aDcyABLVD^eXY=;<0smpIM$=8to76+k*%DR!-?WbG)H{9*XB})WSuEVZO-c zH8{A|oCo_4ROwP3#JN=UYOcGktHFts*BoFhBfiEW)1VG z)vW4_FGX5`PIA2Bdn0EYt4uW!4$hD-rK-wtLL!&*id)~nNfran9%!-{q3jERrE&q_ z_wnw~LaGs#{SvdH7y=iV4k1KkMCYu|DO=EXc}z36#uI@V4>+wxvAbxA-`@L*FY#Z{ zn*3+rBqL;U(h6p=QR4+AEMPYMJ8dgEQ=`NgNM*=if76a!#;Tq{q`Ig(r$L%RMg^o}@~`lSi}HGrq-}8>BXQIx4$|br0wU~vUL$ZeSJNm zKE4rAE74{Ya_(DWnV4AK-M$`S#?1&@fGwjZk?v$Nz9Wr2hc2UtCNe$`5Fxl8dwzH` z_RONnD4`Z|eg9=2>fOJu>T$>3uwLWj)kKUewYTnh;dt8k?)OzqzOVN`HuIIiIU|?7*8A49+famM)=@ zrWc$?g>V6pwNnO?X~!}AX1V66hl%p8w~RSA6E~8d=N;;Wf#i54BQjT$xX=CIC2qLb z10lrcxm{Y8#PGSSvi3FHH1qhlt=@9)sYzJeT2(8eyVM!A#KX*XS90%v3l+1#0-V|O z*<}7Y!pXBOE0bqBz9It+xkeQEVzhx&RxaQcgF~?PRGd8j2^&lIHn!^`#2GOj7Dx|# z%CB;3pSsLAU}@nK6tou$TNpJd;E%T_IENR=gbEUPyQ9XZSjVUkc?p zZ0u0WQ<(G5bT?^SatnjwhdRkbCZebY1?mcg@1jBl^BaDRBl|QSkBOYpt`7Uv+B^uF z_%8}A3kAlY+Vl?waJG%?b998$T5>(7R~B`EF2f@ylp3ZrwLN*dvF*m8fZ`d-!=m;p z#4NC}NWxhK)P({kdg5FuIBhxa^oRb=_O^Ddu6Rq=OaTJDL{IUykE60$>n(WoZPY>p z2<%Ke%-U59zTy)u&L9IP8f9UoL^Wz!Bls)xAXLplKMRFFMTP2XY`rk{N#_dyE*SwS zLP>k}`#O#90C{cfF~2Cm?RYrqitvJTCj{{z()bHR7Yg4e5G+t~jMWiTd4y9!un@vZ zK*MC8YG~x+9wLUg;VPE4@MaM_52w{u!6Z>Tdm3b+a2H>UA>D~#Sn8eyemp)CI8cRy z&Pb+8v&nD<>JnyirpW4ob=3jpj&-hJ;Tx{}^v*xOhi~a3o921RCM(-CTV0$UrROpZ z&q{~ZKra?~*!gEJU~M0BxYV19Bj5WV5*640s}XVK=^&nj`F|A4L&reanKwKZsQ_99 zT81h{r}F|qY8uR!iVgj#X*;uG996H{lIx0Yc~Wm38uhC-l*6aQz0-OouGcu%&w8a= zpFz4xF>pvUy=LpUdsS+w8R|UHEey`<8hqko@RcHq1b{=Fwnhb9g~?bbki`snPm;{| zu01&OoKKSwGObc`Y9}GanIb!ExIAh7ywy=Mm@{GXGM9fQkEtQ`{Hh=?1EgbZ$yR`T z_53qCoKBIdwpT^v%!1FqZhPY-7BFK2X>&+MP>FaB#3hqj_LB{{fR&B{qRR}zJc0RV z3Zd+0V(1In+UY`37>7cE(TT(|K86)dY*=wqvlO3ku}L}6kA(ueOT$L$uR4Q)6?%S2 zHqPH`3nV4#&~kC!ASEl`gZ%RjfNBv1Brn8&tzh?k91WF6{gYFl^3Bi*m`U*JYIX&~ zA+WzVCdES$K!__(L;jgkq??-R+zL!EN2NquGMq}6NvvRuCuybOSvj)@FE44!6cEm} zi8_%gWJ(O_ROusiX2_6L3(8z~lG78Z>V!z;W?#o9TW3$87KEcv;KrsIPfj5n_h z$a|qcReC5R;796zEkU$D74B6!n4ztVN7WH>c_X~SwW<|e?j}8VDfy({wFdJ4^+4oT z=|>~H@CGm|JRgQ{1&QG}`vYN3V%B-V&Od(-&|!C%8u(u@&#5-J)-A(oU z4cxM7>YZHFPnt|kQjHIL$H&!(yHn$1yTc*Hq27&Y@V;EnoA=n z0jdBjr+~~!DUg*F5bk8^?MDAu?Wp@$y~-_-bUO4rX1Wa3H*T0&U8Ol88B4t;t9otq zJlBSPS+;4kj(YW;W)G2Qb9=4^i7Sa-RgAv zz4lS-neGt9LcXlDnoT5h)t=V6FP2~?Pmmf@t5o~PMx$2O_2#_|b2O7PIk{8t+0%y6 z>=|`co@@19u~olbZJs1!$AP%NuNtnZ$8Xpj^iPa#wcqZUkNby4a+e+Ot4h!>A8& zR1{HodQE0lE){r+-GZq|y<;3Ln{Rg^5*T$b&c!<`uXgI9$t=hD_NlCx+te{ z_eEU~GH2ScVJ@50%VruGr2~ci{plG>xaM$i#Iwb9=_>dYT!a4)00960cmd*LU}WH6 zU|@(cv`hw44BS8*}5c zi(`mmysM9^ud5%#R92uo2g3~@DP*|D48#+G>TvaSa&>WWb%B}7#UKEbiQVz=l|0aL zm~$b{3=RqM^m9is_%KirF9Q!yOU=oWav%kFE<_8|5sYjMXMl2MZLKjZKw1=tL80L1 z8jcbIjGSO)VQiMZ>_8=gP-V!0#K^*M04U7o=r|b^I4~tXuI`RLFh8(^l^p%{%#jhO z1mqu(Wg)H+Autt83@3o1O{;YRK`O+cDnQP0^Y?La4T7m-2CFmnaf=3p87wAH)$lRw X0Lo3ec@z{1@KgYaQbqs(00960bXI3p diff --git a/cpld/db/GR8RAM.cmp 20.rdb b/cpld/db/GR8RAM.cmp 20.rdb deleted file mode 100755 index 4cc47116eaf71cfede0faf5188e1a707bcbab523..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14689 zcmV-nIiAK5000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Iy;0RR91006l+00000 z0071U00000003b*00000004La?0s8|oXK_G(2*~pV@J|TvK>3lua$PiwK(MT+(|@= znmtXjrMd0&47n6zG@3I#!}iW}kNUD)3JN744-s-f-~a)FJOlxphdkxUaDc!@5+Fci zFycMkgNW|VgHw^I;YO9PMx~F_uhN& z{R#fQkH0Bgmo80x7(a#P(Q*B-+Nn1i$yV*S+3I`%Z{IAwRb(Q4UHS<;eGj?+7=PdG z`THY0f5-j(A%6KsNZ)?%y|3cutAO@1$i7`as=e8*wL1y!>WzaW->4ow{c^2kfR?xO z8X)g4@xLFz-<_@Ht^C@b!d<&l#s8l}iGK#atF?pbVY1%r)OTxm%v_hg^+T-W@zYlQ z;BhCpQg|cD-MEpPo}J0fCHccntyN75%@!(dXmI&Kv)f9#?OM{@k9_`AJK3wX>j#ab z(`+8LuO^4hgZgf=-)-!Yg6-sTwXv5pJCAFvq}|-_JgK&7317M7ysdZINq%i}GdZrd zIt?VWWnA`!Ts1kWji*Vo+d1xblKuK&t)1+*nn(D8xBCeIB>T-)?Vw2_UcORq>>hUa zc)wSB2b4&TTg`)3^~lh;30&$&UB_fo*c$=H+Zq?fD!>38R`{X14TNea z(^Keba`{QU^BAN>vZK1K>s8dD+DV=Y^Xnit3MQG`O%!w3L3V^NJ zJ|x7^__C^^ubM%0zuPi0w^!>_>xb=YmyI5Xj@&+MK1s^mqoZo;X+}Zt;!or664Yes z51^|2JFf?T{c(d3l=&xdvkl8YA-+@X9@N_AOV59ozJ?btDl{y{jP-n%hl#MzROU=jhd-fT>-)b^|0!%lMNu=xn>PI&<24-xVY<3G_V{X6+! z49yEe60ivu*-f??sVW{;kJ~WJ^jXZ;p8x2TyqIjXh_W(&7PqD{8|6U_0HyRos}eB& zF~NAXd0^kB|G)HMxP)Ko!gcDlD@WDiWO}+)tL|0WP7^D}zxfQj+3D#gt$L@H`Le&C zSZ6Ys-gS4ErNI4qr(c1{HcpAv_R$%a2>&-*0YfBm{3EzCPIVhT%0;+iS`O>T#x!0%cer*?>M)YtM*C1@x9m(BA!9^*=YUKzTyw@oj23&y@* zi9dxBF0>h&0DrN(y3zRo3BpCI{%QP1#c`|FwgS1s)=NxuKbgG1zO~e3?&O+u>WB3& z+b|E}7R5%V-g(-uMvTFYPT0OVh^3|YYxv!)B5GBGhvBc12JiOIjlm28dvf9i#M-xl%{>%ny2f#$A^2@?J$Au`MM9+j_VEf5O5K~2l4CM ztuo^F7_8_6^Q`R3GTQ1z%nK;#m?vV0Mu~x z2w%=01PBf2hF}U*Wt1K5L#1WL%}n_{|C!Dfr2BImH~TLqv`QyA%r{W@`&JvW z6?BCjKxWUb~4hl4fhq4j?|R@6|A%iKt-5jFBRG#^KGKk{zGM zZKw8b2Lq0r17IR*SCeBq2#MILN&9N~F(Psid{LGUG$wWy!K+Erj_cyvtKJFcP-sXg z1}~ZZY_i6IUU~575q&U?bM29XlX<*kJ|_q3( zd%5?!)G?Yqk-Ae$U511pD@F=v0og})E~9r)Q-KwVSj01}LF`=v>SD&Znk=vkH; zocn`*K|k-AqXw6lQumGq-A@v0>P)CHp@yb_zMsg(3rG!EI$Si5j6Nb*a)Ny{E+a!o zx-P@so7t|zCf4aD84>Ex1kv{s;!KG1vgjj?P4LEU)%WVHLEe~|?nkdw%lkO@mnMZ| z?8k&AS(`ec$%H1u*cW5QjN3%Rl0Q5|1aJ3kPF?8fTRy;N8#lxUtVd|saiVsDn zuF7&01ScQS8opuX$ z_a-EmkO1>TIZ8UwmI(0L+LmXP%X=ZzN?*5Zc#VMC2d{#9hN-KHJ(cCeft z`vY(xdpmhjM{le74(4&#$rm-O=-#s{NU_Fy8*?s92dRBr+pX`{QSv+0L+p-V`c;Qm z4~{qJL~)u%z{@VO58)^~oulnLSe#3l8E+lq1&YB%+HziYW{5U6V_Xr%WOo1Yb>HdV zqkpia5;4xsD_}WTtBIAkAbRz%-%zOu&T&5EAV2yN6MSn>fOe9=MCN`#2o(hFXL0LR ze7~M-Kp$Jl?J71g87y=s%Y97Pj6%dd1a$raZn+rUp>!8Jn*bj>e|RuTUq{aDbO=KG zzid%x6ekZ88cgJ1q6-syFtLd*b-NJSOYS^|?If^K7v~>uVYv)989JeZzy*I?-tv3u zkI((c>eZW$|O;4sDSiXM|5&%y(S(^P2+x-wDHq2CM6A>VhrJ~s$ zK=cc8;38#>0nOh^itl303A))JE^e?BO9MfcKIG*by*MD>)gaXKd>}^dkG0||>s8ch zt-9N4?$!?bMi018<_lI8kIvcCuZK#keEBhU-!ZF(itiqy4Xw3aTBiRwGTrzB1#mni z$o<#E-X~3{MxrP3J9!Be7Gm&sLXZhTyg*EZVIm9@VfewZifAA?#c;dXfs1(Ly(+w# zn)(|4?(v2T)@%xFQDL`i{Z}9n#^B!TpZnMGl|y{7+AR>)0B4c5XJAS-hT`y>2!pFK;Hf zr2P5he(h0Gw7Y5BjPzNzu(bw@O4hMel6}pADZ3+xef}7JwwuS(hqZTVhq8jae{yEO zT|KHFKDF7ov(Q@pt)-cnnX-Mu6n0Aw`%FF;H`kVOv&-ex!t(rdZoz&af^Kj3HO%`w zbR=Z=?sgqr2b+rHaZxcbd(tm2Cf5^`oLos3my*|#H*6;MD0(Ev?AE%tfaHrzePc1C zCdPL65u2McXWaU7`@%T39c(0;?4iS$yqwuL;`i5D@rFO1bb>Qrffm%^s7h zYn83yol<$bxK-KA7rszhzf&pRzP+*KcJgGOn8Qgn3rV28*8#K zJ3F^Ld*jC3;=;Au!s6mmZZWsKJTo_wTO3@rt^?UzENoOZHn&S_r7spM{5ciYEDZ!M zt{1oR+eO@NdeRc`NK~NwVBs@arCG!UZ8FOt!LU3YaZzLQ*gHPF(G}JA+$0gYT`6pU zq@UkeFKm}K)+^gZyLZIY6w@v{W!Cxn-J9}C>wU{(;DP22SHB|kds=zVkGmVID=DT7 zB|f^iPwV1J8wDl)tNB84t+>8jf%vC@P@FkM9{cyBgSdft9|xR^(Q>AYTCvVk5u7d4 z;Pm|~EGEB|UtKM(R>}|7x9=9qC1*}E^$^L>1?YP=Uz=MSpDz}+D;qo8n>*W;mC_cT zHntw%6E4aHBHNg#Z#RN6FNY#Ir%{wwH||%;tHt{j3U0_Ncx4cd=`E4O86FV)wS3`j zX}wqh%7tRN?3Id0a+b_=v9XKRVb21h)uHg(CtYoQPi-}1tgNBP{GDQDwRo?%8j2X* zaQ(b5(rSKXWvg_rxPBtHVwKmZ(kP?#>*C~yV3b++?4IJ>syL4sLS{V%!%#Slqt#A8 zBu5-pd$U%3JGocKp4V`!)@nyhImh7>_-LEN!sbrGoywCda15#tTpUy33UkdTHOp_r zqIQPHYg{xSGcz~&?}mB7Eo!E6*PQB7=;v~?$=WUZQ6+cHB2(B|LYWlv_D!b1+2y5J zfi5}QS--7vrp(!XWiBnm%5=%;nvqJ;GiWj}`r^Gf6N52l3t+o#c+y**_=j3j^nIXd zZpr42$`O4hge)Ip5A5V_v)yTUFOV({HhK>tM?U~BQm!Yp9Vf9^*+@E1kDY%pSUl*Z ze7V}FP46%7&ewJqm#eOcAc*_*#$NMDJ9%pp54gx^G|T3GippJITz1WJuaulsxe;MG ztD=XHnX>}be;@FXdLwi1_ufeV?gRBnWR0TP+h5@KMJJgb$33@OGzx>cnw`fqyKI}# z*kc4~v)XZvF!@W1%-NXhwGO9d;tei}ohf45>yh2iCRJ+w5sv@qqK^?uq^hpgAGQ36 zJXC(S0QGRTUdZ7h;glldOuF()0pKBR# z0V8@fyGO?u3v7?bvA;AHeX_6uE<&AMoN0#vtMj5x23!myvt8f$VblXIU__(1x4&Pj zRYybSGh=b97gE53elP5YY-Cu$;b&Mi{O)K-eSR#Y27(P3QunI|=A5V8+{|c*<;O!T z0xjSp(k;q(P8K@@E?`9W6rXpob>nE{`RsV)=>-)qp%pr8Hs7uQozYMk&kAK>rEz)m z^cd?v_V>>Nmn^I_E)e(jvZz$ zK?O{x>($C`yYZ+CTiqIsM&q^fQE>e+@<k*|ttUC&;9{8zF3Q7Ri^boS$OKa+5|PUZ{wzrXZ<%CE*XCR&kyGx}&`xr< zj#yo5_wmzY-D63gDoi5711^R=xW9^dFjEt6cM+9S*?riCg6#T&Dt5!Fe?^b!X%6vT zNS#SY&`+AJxAB9E6-bigE2{Suc8&guNiy|A_;JB5-a6K>Pw6m-=eC*C;LNCnJKbTV zpvC;mWC+y~)QGP=9{U9!LM5h=F-ZpbP8ckv0NX)%j?o_B1Y}09IW3fsCcQf!zBpXf+qGy#(cd??;5qUak$PaNel&@|!oJ!75@ z7W3fvY}td}>{EXdstmVzwyoi4-ug7o4Ck@xno+6Rr5#e<7aJ4m~C{D>bHsjbbc6y8#YzX}yGD%#HP;+gS`>aHa61dw?b0 z`}Kn^;{zDmYVSUVGMbcT0r(~t+`q%K16p97eQy+`whJ53d5XQtoZ6%cejO=qRiPa4 zUyReS&tNLcN4vbwL&)5QX12ss-V+%#zFoA?sJLPJ4z?0tJ;FE-;cL$zJX^EMevF;Y zqcYK7OV8;cmW8Jf6$~Vm?j?8L!ZR*2ii@VZmdGfR8!m$E+7u)&gVBRVabH0i9xq^Q zhd=Vc=1&n*+XB|x%wiD#HK7mb;p(KRGCsk4vGY^i`98@i=RsNEbXQ^{#XmSE^OK-J z0rUC6;g#B>?!f_DN_w+x)4-KB6If7bFH>|*J#buXlLn&&?c1+Cf%&83ZbUB9dTZv< zhOE@vrh(Zwt~Ce`hO*mE!0x>#h}|YNZiQhq9%*rONFvXJ(xF z9`Kf9Dyb4Kvjn`YqF+D*w% z%ty)mDu9;`8YH{GuDjOHMDknR-HwBwqIK$l9#aXep0&$|aNlrl47@i}LbVa9g=pEa zD~;N>fN6#J!IgfZOBXAzfvpY(l}VhI94hb#D!-{VMLyFI=AxYg`prv$tD11+^b zVP`A9b^@^}>n3@^w8?&}c?AFMi7gA?aB2D0_pjjF`o?y0hx)eJZ9ksAg}Fs0OW!=~ zJ#RLlQPVre$x5^FsZLUWQVh<-j@}mLhCmxvy6^{f39x7Gl15D5vzc}BP{?m>J;1C~ z#+^&g#ak{OYh74J)kZZf)F8M$4?~xmqZ$_5h_ghIvTo zC$hxhTi&?6JuJ4=@>Qc5dFm+F%^iTQbQCe;_g4L6EhCAYgKHISySDqdQU8+63uSPp zeC!?7o<~*~)7Wrq(_0%`Rt8lhNQ;2JCyh-zoLVUbOHU6B-*D;V`koc`?Y^uQOA3!U zVRp6FIFKQAdeQSNXi7&P=o}irnIcY5P*YFNe`Iqqz0xAM5Ps1J>U(w`B$~txRG10P zn2+TUJKOZ+#AMOF_y<;i9V|npRl3~pRT*fmbN46L6Y{>yt?D68uZ?;$8Yu>`oTQRptmY;y5|ZOl7y==B0!9g-yDbYwhT*X)MY(+XCWG&-k=dsSX-S#nH z%#bmq88@h3yJKQTqV(wG4h~2#brt&D6 zKIkBEr9x$Ab7kYcs}RM)yew?2uVbcp>0W93K_AGZ34%r?Ed98hUn{M;blI3g24_-6 z$(=2qHC@ZE@8nnQb0*g->0FozzJ5n|M@GmX&!BYt2FJW|hZEK}cglAwx3D6{0@QLp zC>^EJVUL9bMMpW~gRSLdQB4MW=EBAUkTX|Ra(1o{5Ym=nj)gKs$m-tXf###(P}M{GeDi z_fr{q8rA{f&C+IZ6)PNUvO$_mIwJ077Z{Z{i&7cpS?B|WsweBTiYt{oMaxxZ5GYd9 zubttlmLb6rMG9A;TuE6^!g5iQ3?VK~Z^ySarV^;<{RfV{X=MT zyPYpPG0CLvr)eaVo9a?oK7NcRT$$YDcxYVvwu0~5HkisT9VHTIxJe77TA#(Dv_#^7 zwOQVjSz0>3?3b3#Er-RTv;q9vPkf+58()MI|Em+b^EanYpD0-ISZTt$yEAj4j%%M`XVGDolsm7Q9f;18F&B{a8RD4lg| zm76eyO*3n6>5TQAw82W|W<2#2@mNXUU+oOa4cZq5{I-1)TYjYvfD z3Hwo`=T^1xwtYh*1aH7T7_u~v9$~38V=WksWL#pS+p(WXYLRMmpL^yCr2u)SjrsU0)`;L%h2m9{VcaOM~76A8EE+AiM9ayW{cn;pd0 z^E3Dl7hk(uzHT)6(vn~9NrUZe=j{;~hnJYh+JXGToQ9oqjZ!ac_nPq3?WsZMRJC(X zRR(}|DbA$Uvl?_CiYs_$S?f6sdeN}LXpCm|a{@iDK|8D4OYr9edO?F$viv-2ZIw$) z*-{^RD}=^XE?Nn_Ow$6_a<>*>LqT`#FKua<;6@gl4t_eP%Yr zR$7bMavy8}760cVBg4Y4!SR#rsaD*A}kCY$7bfCaZzUQ&SjSTY4o-JTZkvI5*k zz7#Zdds@IZv@KDEY4K9v)U8S^^c|AcWJ6(TEiiW3IVD=9dhh2QKU9;&a{S`MAz`z> z1lSd4nwe`kB4QdkAvy#Va1Br2pCDrht`$)pE@qmKfF=x8*E69wrF-*k$+3(Ol(p)^ zYL$3=Ry)9|5{mEw__+|9g}$lS)~B^nn4L+5*=bHq1}7e~4oYuD6)mJsa5@QN!`Ucp z_?hSgxYS3+l<9V~IUQ_MbHLu-x&^4XOwIcMSBz1zemXg8AUbCuvmz0aYx476remmj zk7H7pr*U6t^{lFg$T(prcF(zc1)3O*Xh^AD<96ach`i{s@p$@l*Na`U*aTpPbvSUH zn1O3N;4(eZtx~et;`9N`aI~+-?Y;37BU{2p@#C;_^DynOuKoIv%-1`ZHgjx0(!y`0 z`+=fdS^!9SCwiLleFdO5(SV*HA`r*7Ei!~Mow=o&0>xB+QAPt^6dWBHwa35EN12sr z6#+gMGCCA>zd%&_1*4+wKg{>>?=DIh9TjyyV?p7f4cG-UT6ahmBKG|vRoYQedM=c| zg+6`M3#OvFR<%%CM_!7G=#JBDAFHJ*qHbRp^J{vk>4s#<;n^!&Dm?5w zw)1aD7*gKq(~`KV=%!>z(OFBE6FTDmB3DH>CX0Pc*Gp0r-JC4;>A=4vRnZN~Vjr!4 zNvfiol*K-3|B_TiH!6#L9Iuz8D!N&b?UH(pv2*rcSPRApgEBD>x41Z3v{~It?1WYG z%52}xNqu2jA;+3H?~t9x>uSxX=_b@$*KEFc*NctAz2;#D>+WnacJnwTkGsHNX5bOF zq_7|QlTBI)!VANPY+B4zR+~N5@d;a)vD61P1#i`WUL?Xn02qLGU&aEU{0S9sk{Ynj zb+1q;$qE62IOghX{Nf5|6O)B&GxyAE^`3Q59)83;FlYXuXx> z3#Be^;1?GkM^a_O4b>>hoNrwZW7Jkk27rMuoWWbS84LuaU^xX%EE3TMH^i$Qk5Wp@ ze%bS)@w*oDfNy; zREYO!4WSM>%_?~5YG|)!^lB(=)8Nt|v~rIQLMeAF8c4xid8lpF$ROra^duHJrH{|` zuUq$Ib!bq5!FQBR*{asuD@a14QCH9>kRg$CFQ#QD=>0#V+$1}H$t?#7l3{f`Ml>2+-4z-jb)Ug`C` zf+P47<5TshDLQ(BzXm8kNTjeNfXpAx4_E$%p01$5X%G!R!C_23DAm^R1O^eugjVU@ zjR{04cWg@4shF))ZC=5V3J_yGBX`Uis8%{QpVyH|+KTaj=qawTxugz=v=(CmQOd0W z3cVZ<@oB~cqO>kHpVI-67Gq2xO3h>QzzO8EKBk@+y`bRrP)l0ZF)N~4a1F2ri1>hG z0#S+{n+5DxB3}QPK$HS5D1bdR5ubcaAWGw7GjUx_B;++F5T)=73ZT^FG$u<=RZnj1?b8 z_B{0!-3F*Qpz3M>4Bs*EZb`2U_8iY3Iqk~Yorop8_=$3DE5K6UU+d!Pg$I%P_mlYgApJn@<0>)AZy|`aP z69_C+?FTS2jQHFH>Ofe``crt?#%^71za*@;#oovrY_nX$2VC5JZSLCK^u1*Do?i|^ zOw>ZspTy&OvxAdcs`W#jNq}cu1TR?&Ya3Yph@cB5-d zdD+8jTpz-p+dgfzj`LCi#H3X|Od&YqCN^tR>Fiv~n?m7?y*4S;Kec;`obEN4v0_k(xC?dk6wLf52@KQNi0c@k{^fzI5WgTFB}76{nXKlYNlXPoj-Zk)*(zxeNY(u-6o_e#Q`EZ%J3ehnv>Ve58V-=VaLNZQMjM-7DvqsPa8 zwuK{EplsPnPnFcf%7AF`0^tQ(qcGBrqkR-tcZKuCQ zGM6sg`Hxe>zWWVdO2|tO%3gtnPvn&<`RkFqf7z!CH^1W31+32Z?oT`h|Jb*30fYO# zX$tScUC4XZ@BWG>Q7AcZ-+!F?Tlj3BLb_q^{)w+|DDNNm^1{1+A9?p%5xV>hpCw?> ziR67P2)WM@ljmS=e6p#}`C@rHVSl|h5uRgtzsm;3Tp@3`lW$YXf}HQW zZ+iL-h8tmJ6z9){V*K5&d#d?Y(Y)tDmmMx}=(+wdg5Tf%ws1Nm{-sFXm~D!c_m3jw ztw+iWu1tU%D=(Jr_agQ9W(4k)2;4u2VqjPk4J8^8V+D?kACS z|1y&9bCGnv7D)%OcbXjF#%#nti>%D%5!HJ&hJCVAD z7CR(9k9RRT$K(noJHwCjco)+xI`Y{~%&9b`2KU{&*Q)JXDv~2%8-4o~>!RBB>xc2f zrvxjdWc`%un8ZH0o-}=Hvs=6^gzbk9F475@w(ohX`cSSv!nf+yB}n%#jPo8gjpxU3 zUK2m65dH9^phsWmwpxDm77_O`U^XAp)gTB%D*WQ&Cy7ndm*sm$u z)%4V56H-|4MRF>(oC<4}9M-C_WG4%!#+j$JZs6p;R1KDw1!ynElNN`|nt;JIbc#vfahqCfazjl&b$>V4_p3?W3WG;7YX2xY> zt;4YzD1b@rF$=-ZOgDfHnUnt?ZS$ABfmF<%p*ml>*ion` zUuKxfmu{>OckbfL47+GdWQ|$?Z{9j}?Ro1e6{>I2x?1(5b=3;UnzWgEnYXTDMABX~ z>w$x99A;fJXI%S3QBsAcQIwA z#(e22Ea|MsjLgYEaaO}0eZs~&oHuJ>^w?c0zO{(-X}-@+5xpQ{PY z`PBr6K(`*|a=$2dGjexBZkFX{R&M6xW>IdI95*pH!c=XP(W^3p^Ie zH6&M)@Of$pvq@QYI$eCHmz~7&RLqE|nABXe-16+2@N5=5n{~2!K|J$VibffmU{q!l zc2bLGmW0)((8(xUE!nc{bWOt7d)Z0-%b2`#RLY+d25WEBw`7c2YDm?DX>LC0J~jYsC0|%vR0H+iSwbIjZT!npR)iGh?S=n6v*w zx0oZb(>Z~=X?9xgLOc!6q+#6+?q}v2DBkpV8lMxE&46XO{+88xF-FLrf3xTX^z4~v z^mJHvC(67OE#X0^$&up6T2IJ*_?0v_xm+NkoZhwbFva?W=EYOP)5mkxdZAu6L|FKJ zI61Kjd`YBX3DPisp$dF1E)l6twVw5EvaC?ZHl40BcGSzxQVDVUg5k_dNoaHp2?MJXEKarufkg5Q+mzDfGx7m z=9Ohm8+9^09BtFFdy!-j`8mUWjqzrs59xcN=c2>lwKZN@m33i_zn|vu3O4DA zsG8^TMHt@8qTh=&y#1TubLfbCm~ZLg7kV{?rac5$rd!(t1|H;{u^>-?qP ziLlnou&2UvR$Ka3T%g`5AqGZq&x?Q74##>i&gi;;?g!CA zWuo!k=gD~^>*JTY%3`z5i{EU*ZAa@Y%(U+=M)|!b{MLP@;bZmQ+c5EVV?wh*p+S1) zLV71VL5T{&U=rP?Wv!oViT4G(vBQv-G?7s~ z6K3lTw%9<73#yWzYC_G2Q06X<_Ib7dA+tA5mrYM5g@;Ud_>08DoM7uhwHB#DdF2*| z97`Kp8*98_8k{uS8~s6UT%1&E`=ZtbvJS0nAMFUIl>)1)VSkG(S~8>J@1aE1I2;}y zRd$ktvz4fKY^@6&}}HO z8cvEV$wxAhF5dGxvH}J@D{dZ#=Ja8X4Z1PIxTkpFM>a2@r4PH>W{sT84f`z8S-CjX zAlavgotev$?Fc+uWLsdrrovijQ{lQ+oSK(na@zjkE3V&wUzi<18o(zO;%2Z6NJUxj ztxdjMJ7jxYZVePK(%tusjWvW#8~}sjNLpA2A{tV)q%!4-fpzrr0U^`b*Mee3${1NkLy1EjH8a2w)r)~6?W>2bg;}X z;)doIonQXWns}%knd37j!I@u|E)}pySx4ENc?FP9RRJyIaWAI88cJ6|Fd|%Rtz{Y< znGt9d-wum7IvOirLz`jFTZo;=t~YF(!p7Cc3Z#I_<^?w`P_`((3nDCwgYvdUOpFC3 zPZ3aVDwwMuO;iA7%qBTM7^t_S(zscm@)QB;0>lm|k?sL%#nS#Cuc^1Qvd@nNDo+L| z%g4nps|DFkkdy`|S#}zzo!&QylcDu-dSGFSR%Bty)_<;|BxMgw6AvE*GelKocPR^0 zo+3b9M8W|jp?ZLtdJF_=C{OllcPi{GmjxkS@e-oJta;b=8n&9>?cy5 z3s7EVLe9yR&akga=nPBG854?;8W%lAu$Y45IE^3TgCAorts>)zqK6+daMjZ#P79Bt z=?77=GC#EU1UNPIGx)QI_OU>74y^0`>s@`5RH3qw?Z=VVSIBnJikI)>`Mqur3nmJ10|)g6V8fHO)=VPCeoyb@>n2! zQNL)yPk4ElS~OwGu%W&bEoE~n&eKWJk`>F)G8Fzkp(?F*c5zE)0DDMUx_MkbjY6$9 z8)kZ*qgcxZ`Vndme$$$yxFTD={Q?r29y_Ha-G&))HL(sWG2-&LvZaNOl6k%7g^d=jHjOwx^y$sX^-FaY71Y&&Y%9yU zq4S$H8it9gWRW0Ove+ks_3cm}lm^u6;C+T36-m4>o* zG%oriyCls;$AtgTOHF3=6pT_cAmJ&6p=#$Sy^wTe%?(}CQx-!-yd4`%P1mK5$fg@1 z_nMrISs3q7>6@sok^4O2a#jSgW#4d(G#4@3u?%|oHnp`da+*Xo@A9%u=kcOFNjndQ za|$nsv^l)Q;6%!H)bM4qq;%OvK2+IqY=Y zN_d8qkjW9c5@hmf;fC}7Z5t;_WF(5gI?IkoHb)hkEoCAY>dR(hiQLQ9RrqUoRDvTB z2bvegz+;lZ_eM`m3^8v4ioFpE9Z#}TVx31J(|LG^7z=_&YH`%jL?4T?^DVRy?O~hK zdRu$3h>mFT7$KUI<_x!K?9Pzesm8Pib7%i8=F%y0n9HNa-0@Acz~)OC9>#kuEo1po z;w9?s;K^ewUq4b&GR}}xvVBej1^M(QD(804aM4#QoyH$a2Ws80e^x4WY;0*wHlyZJ zIQ7LlGvW^V?u&0ueDfcARBA^LgO`c)cwaFUp6Sme7naTlCR@rgq_)fkG}OLp(QyJM zo0d>Mm0`$|lI}b9`M8?!#{56iuGJd0uz%VUs9grE2 zUYIqRrePxrX~B@=h&fqDPs36aCYLS$*-0?bAg?jTXQECFR-Mp62stH(npC8`ZZJ8- zoe03RT)Z(|VWWm8DWof+r$1zh_5GB9S*kTo6G^uEvgTRZHfLt#F`{*H6#6$nH>GuU z{K?y+Xy?i!=qo95Y};oNz~k;MnPD9DJBrV(S+GKr*8 zt0FDZf|D|bKn!m2Jl@{;WhtVYqL79{>nh>>$;0a^7qr+wpeHx7|K&Q+8eg-iYils jtA%ZDRxPfxugJfOpAn&hv6I&>o0a!X-Jbpc00960cEZKD diff --git a/cpld/db/GR8RAM.cmp 21.rdb b/cpld/db/GR8RAM.cmp 21.rdb deleted file mode 100755 index 9c8134b17f37f86d51f7b7f324fb015afa6d7829..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14640 zcmV-0InTxs000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*GB00RR91004zKs=8;+ctE9^?&_Y(sjh0*<;)q&j4km%0z?rHNQei76eM`!DFO*40wg3H z@rEpf6a*q%62e8nIEj z{(l$$XYgFPGV>w)@3bil46n+K(gqZvD9SR zzF*;=AHe@RrPWey^H1Qd)2-s~=TPFG#_vY$sM?6OTHX474WFs!$~WJ~N}fDz*N+}| zqigv$qs+~lnc3`oW+BQoy0vyS%D396xS_$-2d!Q^>UC;S>o5@g)1By`)~O#gqi(C! z=v^`ZsYY~H7a=zBPohY}tvlE?E+ubG- z+A^;CLav(})#lTv)$5-0y3t|1QR_s9?bb135PBE^Ky=t@*N$2w;?-;Q=6<7h!1sgN zyP!mL(rz8KtH*}ME#Oi=?l~r#!rqKwT&;FGt^InnTRVsjTKm1@TC;1#0r~1pKsmOb ztV->@lXk7sX*`WOy+=P=+wVqKyDfta2zlHwi0`+W2X#vghp8j;j>Re30D?_W_-eWL zsI%X$pBUlG9kpvU0>3VF-vn#Hnp(8($Q$QWiGii1clG3?QQxmVYSbe98VJ?6Oi!Wf z(bXsQ?qiS^$&Tx`uGdkAYBzc+%&&voD41mKv{2wl4-#U9;;JUCWu2vYCpxY+dxzEi zZm$hmK6)zkAk$Hb3A*ZNLE1tNsy$%Ru78=duXnob`lDXA)$Yti(N3dQ1;BRg5E9~O zd{tG^SIr=L*lQb^JE(Q5^+spzs?h_{k@ZIFNmT9~A6MH?QwoBBKZXBSpe8fF2UX?& zi~0cAA2tXx-X$et%GaqRsZmigv9_ zu?Y$c8D;g#0J*N3=@+P9uz=d1*)j zHsK+=$u=WZg+}$H1H(+8#l-ggp;z*9ve6>S%KRC;n#ydKhcy6{(o3yMjPVZ%#v838 z8=Cxkpaco8HpOJhmQEv54Pzu8i}+1`XFSsl>M z-ykTuEs`jr``K)DBe<9Fahg!fdNZms6_&0qWi30!I>NvGSe4~Muhblok@xN@IH}Dx&0`$tzrCm3@;1Z1epb~!!C0uGV zHU<82d3EFS0}_OXR{hiX4T@u_*0BP)$JR?s^dOnM#J;uEWa0FhbnA`!m+deQ#w`lX zZoT_-P>nbSH$Gtp<{*}q;-A9rP8Fk8HFy}lYsG;Si%?W-!uM$o80X{oh4?SOZ7s^5 zLr{=~;tLF_BHd=|BhJCaocq#o=SX=M*9H|^PJ0_;K%mWpNu=y?EW|omB3=kcJ(nq3 zQ{N!Pj%mQ@6rgaMKB?(NcpW!d66j8_t8j((CNWNs7^zIfzA^!pTBHc)F?QwT z)5~EM0@S#U;4j{~!C9Kl4Qrlm?4L9aZrEu8+w*l1b0_sCdkA<4;RE>f?N%A%_L!^~ z0Obm?5ksnfr7Ney_|EYEWDV{|kk#3(H9HTnZ3g!{17I1Gmtg0M`v7Wq`UEi15CllB z8UhRr=!RehROKi;+J{Qp%$u3=`~H~D7Nq-goHzR~r?g6^Im|av`1htR;hMaX?Giy zdBP}f?%?mtui-x?#A~-uM$~E_*a^hP^@AEFG%+gJHFKmGJ>&G|UeV4^}R7* zPV`E^>zwg?ef#k6^*L6E&ujQURkSlJnxUl;y&PTxtUO)xss|Wt?>)MeJ2=REuS*?A z)2C8*W~ob&5M;$n0WBc==+0&I4r*dxg(4R4%;?dMIF$X$Btw<(kSg>ni%qWmLBC*- z_sm&?D@>_-N5kHyi8Xbm)Rw`|oh+LSNtV0ix}=AtJCJp>5}hIuW*)z}31g+fgt$ z`H0r=O|vHNQI(5ivEI_{JZYU^OO08@k3z7KrX3x(4r+cJZx2Asn#0pjpC)=fCBc*g zSRcw+(y6vgNidaysUl2m!PFd1TZCz&h+(9uBDf8}91-Tqv*L~IsEh@|2i5jL2Wtt2 zu5#1Mh28e1YbYZgRgPq<=SHh~5Ur!rV;44{v$LDuRYUW}>xXre{BE^@(-BO+s)7CB2tg-` z%QRxV>?ZqoILa>P==cs6*HWhDTPFxWF?dK@uFFnM(S}xxE25ap?hk_pF8>}s!j?+J zxH>P7?O^Q|cH)BQ)yANyQl4~ih3g@Q`Ozl9H-`<-vV>`Z=Tk!2ktaw49!la*;MZ*l ze#iE-)UB($6Djdc6dp z{a-dYw3^e8DGjD_Fx7>rJ($|W@11rbZajHT84jPov0hxQT*BrW9!YdccY@mkxf$kn z)PH{e54-1MdQ#c+q%thUpPp2Pqw@MrDl_M2(1)gu=q~(#-XvX*D?DaoP*-kfB=X@Q zI4&-?Wph`cBr6J4&vek=e9I4Br|}#T+tT>r9 zwc4!iw_E$Q#_+L*AOSBDdr!<44786*9B3&&##udPk5S>h6SSeV_AATuKTDT zCj@!$4%+*q3DrpSM1H4%P+=hkf2RbQ62uF{R2ZhhFcpUHAFGH4k_!@dTV1$_$KI<# z(9F!&@c$lPxV_D$z=0O_fY*No5@E*f`QY5Yf>=%nhH^9bH;3l_8dA`O4dwQ*89!sX zEcTp193$Pc=*_%=h;na#AEz50_8QSFj__$lx!zHfU5e%xZY^YPEi6Yke*C5>Vumip zTlPH5s91~^va^qH2<5$6y8{oyCYi@iW?^oAE)(7E)p3w?b|D+hFW<;yZ*zYzR9spg6cclT{pxabBSOj1wP<-I`c(9$&BPu>pX8XcU6+@Te3_|lF2|{f zu|2EA=H@yZw;SCC7{|7YV@IO{bQq&IqOLy{mOaV&)f?F*a^t4saW-r7QGhqAoW-qn z-myXK=&ED)ZQzKkGD~><471`XNp_F3$8m8ofvtiG+MOp5^Ouxz!&_1Dz~(n6Qf_Qk zN`*Vc@@}D2*~#U=Sct$z~x5H_@T)9oug@{j;;_cS>7sZ+9DI zm$&yy`9h_9H&;U0anfxk_;jLi3P2n&sJ#64=1y?~XqJn2wsO0Br9!2+X0x#-OWEwg zYWC*Mh2^EW%+m7mN@g{?nwejmUtSzuwyp!&T*z-%ws&@mo5e2{D*QPU)+`MKE^HM_ zx!nR@cRXnccq}SVey~lNtkNvv_BUA*5n&P^pLnRTIh-^fhvfk@jV=6CiYo@*XmgJV#I;NnsXSD0%)sagKm7Ils^ zg7MIR%+KHAzndn22inYJ=A7zM=ojW!qs`kkQYBxtAxvS{6s1xu+K^O%+0~U$feSV{ z+gX1IbE-_&7^llzSqhculG8OKm4Y|cq+krhd+BBfhQpifw&6*CdEy^xNzv~yO*6|j zZ%~ftJ7LK3F;2{m?zTGJruPEr;^0{LSmfvjARys-QrmHFii%MFe|fd)nh1ipUvD0?o^+zOckqFSOhL13-e;)X_2pGpqkbtluW|#za$ZG` zAT#F$YVfJyWA#R|E8pY2k-^;u>XXPCMYF%Z!1!e+nIFeH4`(zAgO#1#$27Zao6y)} z25G0-b&fFkON-34n_IOm7jhy555>+DvFr879)FW4HTMXY1@+L!h)blZZqy&O{rx>u zes@6ayLiPzEqYq(nD-faSwxPyT*n|UKuuAIKvAOPn zbIKE7>4WmpCb`KfvYFWk?BBXkHJ5GOU`MudlDXloT)5FN^{Ur8H;z@-&W#|r7$Y*( zCNYNscjs`ylLa>lO8GOO)DJJliN=yR9n|QqjE7NfVvPEs#rO!<+=*S|Av8JHQs82Y z=+*2WpI|PqGbYFW;zaaG!-{bc>g?kNJWNQj@hqYRD zJY+sI5x4pw#dy%~h5e9Y4=cDT4SS5=8xN_^PlVJ^urY?z{pyjq6)LkZKOSPa$q)-b zi}4ZZ7G=C3i=6@&V?_59(fc^)aXj*Tb~5txgNiYs6>79v?^J-!c&JQfh0?H+xIB7# zf?XqrhZliM8dee)hddssAeVm?Sgr!;c&6;;Ul~`;-oYaBiD93C_$~xVs?6 z8nnO!=yVFzP}GR8y-fQhpF$<3fjLPI@|`kSOaZo&@|>eR#(l{gz2>rf9#@TNG-WD+ za}YO>9TPJ(T(`~HTg=NhaKyPk?fjtEW??7@*9-DKbQwq?;9!BDW`y8^nIb>!gNvK1 z`{o{NgPTPYiSdj%Q^X!c+1%XRs6B~Fz}u8!Y*!E`rA&oG5XOx<(O?Xp0{pHinCM~9 zDC*#vXkN4E?}fKu**y8V*u=*d5L0NH1)u0hV>SVc2>ejI*+emL5Iu5~PeId!*Y6zj zbg-BQmu<_5_2yLj(@^Dbn`hf5F7mC<;)Zcv!mb&Ws9oZNM$T=8E;ez=IVMms?zRP+s*h=;{+Co530}5l?$^- z;7HcvfMo}_R5iHS9G7XeyR*HMXur|I{N=U$#uxU=r8kirPXfj#5#Wljr+m#m;fD4$ z_Oo}RYiMyR`HfODl=El8IqlSARI^fJO4u%h^0OP@AQ!ian8w`RD!6mV5Q8UyC*1>V z2tTYJ^*BC&xvkFrV<@9ZX|{{+aC`o{ylJ2f=GkzgAhmtifbLVAZ06J^QSci`dAkbb zfd68gjzbQnvV645hrF`P9e-v^OyoV4L6h4>3yq2!FWXmdQ;QL3V8ll9z+g!)9?`LmFO2 zU~GrKAj0NP5L4R%*4wON5dSrz59#6Rq=_;SY6LNmqRKPK~& zpgW?2@i&{*NMRHgC`ifjcPnN!)QFx;z@u`e9)1XS&_s{C&ko7RI>B) z&U_CE<(x{QgsUt8p_L3)8sQyJAc&$~t{%b!X{|A-hoH?J{n$^_W`if_Cki-)hNj(= z{KR~m%&!A@@u*3%3+%dU{me*yySLwU@DsF7e9&VmqSdo@xdHbLx6HtMGbL1;akVg7 zcH&B-_AOyqA%5^Azv$A1%4_1>gJES7sqB&~GVyT@F}VZI=R($+9i#cerGf5#b8xMq?bh}mH|t-Lb)g*G zDW7;pweOP^#xgcs^7Qt0$;zM_3DP2<-$`TB4kva>!P3(MLmVERT)(rzzTKDIVp0Av z7tC(dnnyCFPA__q1mS*i%&xTwE*^f-2QU# znlV3?L+otRlM$0e`{F-X0d}wqnKtNh!&l`%bDd{Jxt@^sWo}m+xa&6P&1j@Jh~*>= zB{e$@=y9cWcxd`vou^HlMT1M_O^7?u_ubJNYkS%ijA8$Uya0$t4ehh@+X3_e)bY=68Yy0nW~}oLS0l!O`C}9-SNS z>;Gm`AUF4V3kb>Ra-hK~QK?3<9z=3oq%Annyd^%kCT^>D{0P#~Iz*W?vp7mXhDY(n zTG|yN-rIGdw(MkEm;72tlwN*PJLx)y>}=)UN|ak{z6%W=6YYe&Cq8HqZ<~JR8U*sl zY)A0Ioiv`wFD~?mX`}}>lIfCPpJ6V}V|l8RynbkAmaBDP=5o-GeYEt=7QD$kZo9+1 z(?CvohFY-o`=?MkoY~pU>Ipi8M=08BT0(G;3{OHd5+BzQE1s=L2ZXF`eC!Yh9Oligq8r1HXF(XlWbaICeNHBG*-|<2~whC&~04W=w8VElRU6->^3vGU4MsM13lo^%-rB-S^WweY?t=l4NfQhj6|wbWJ-1ohaOu)x4k?^T z86|hNeAaX`x3!nsu-~a%uO)L~CHU4I;T;(vlRU%HF%FJ-Xt%_J5W6Qc51q<<$4NQn7FrX-+BBxQDC01(oaVvdb6*gJ&H z7&-1nv56@>dt2Q8Gf?0ub^%qS-N~&D(h~MOFy>e(ZgCeyv5cK7TiCU*wTo~(%>1BG zHt#bjdK%UN;ho}6VFNoHY_egROgduR&2BI%?-Zmm%(FBA3RO?`X%*HgIf|C6&M;7< zrr$fmT`ePmBZ?HRe7Taao`mH_O;UuoJiVRV)|g75p7$R(_LA4z(mcp zHElgtc4CrBJxJ3?D7Vz5vU>6uUwBfv$?>>x9oPzf&$hu-cIhaQK%*^MAk_wJ7NsQ; z2du;LX3W;o#Z|wxbYV5#EJ~XYyZ0v;)H?3)B;Ny*(bxp+H?$u_HM#JC4sCc7Dm4<5 z@6qfmd{Oof;aqki1mdAd&jOn)Hm;&a86(49sml}}XJjsH87VupHo|{A>Xp#k;h}Wa zajRxM+iw%w#YjrNBL_wvX$e9c4eikFhL|9ot{Qz;F1v;TO{Nh`cUkS zCLq%^tjx)h7JemNZ;V7lpFk)ck)GSt<~ufoMhM=3{V-%{9Y4ZWX^ypEHj?8K+r6%h zCaFcL(UD8U(P^?AwrOdQ?2WUM!-lg7)2DLTPg+T zmo#W4%P+FlR=Kp4tqh>ILTFOuqLt9wG%au~XDb6Jeh$Esnr%UAIa}c{8=ijcdO@JI zoUJM|p_%PKpPNmwmDXamI>4H}7|6>`z*(V1L+li_l@(F1iauhswKX4N!EKdS6yO1t zOhHqxX9c{f01uEa1x>x474S`MOH^T6yc9U~suGV$YqBA~vKcdWbs>Hiz*@cdzUcU& znk<&%Hy@73XZDu>yP}%N)qsd;=!EDHRKPVn{eFs!VQ{U0^6)UzVgNK@sJfmD#VOr8 zcZ-f?grKaIP@KVOXlJi70IOmZ;RWyuAvOzrOR;T0Yo#z#)R|ni*=bHJ1}7d_2c^HF ziWbr*I2*aya5jtEekD2qt_+YdWx5@0&Ia4m9I$sww*eK8srdlliZM#oCnq}vyou2{ z1K2q80g`L-^If`3s@{{B6y|B(S6ZD_^$-~+48`sR_pU$_qcIIBYdvWv?gPk+E*mei zPjzzRnjJZbNZcOKaiK7t>Iom+=#r*&=Y zhf}e4ux#eUM$*EUlKntYE-e70yc0c5`GEq^pJ+%=Ff$*WtXpIVWj6InH3f=^{-VqV zyev36GHQ>1X@D{-(<%adA!KwY>VAQ!^h-uX-G5jd;NM-AFghyge#Vl*MH{e7X0+~* zECuZQWvaBJqV!xSe@g@UsFzGd>Ejp_6)rCkqop@wP#oZ?D$Fm#=;(gaqQXT}QEAbI zqu9*n%E}E{7+`diMZXjjl@`ljQNQWFEEJ7PS=_p7TW?||himp@sQkJh5eGJgj5v!Q zVNfjPoYzaVmKD#nC@KjJqR6}wq2JF3!@R<9QL$WOc&ThIZ5KcQJgmEnH1J(xcv zy%M6OyD`}TPLHeK%dI22vy&a*1HTd#(OsgfYN51_yb=}B9jEL7tEDQUUSAsXYkH~4 zhGfOz*{|(tc-VPt7vGR@NVzniC2>{JP05O)vz9I=bj1HIaZ}DC6#917K0IvX~G8-iu|f44Y2|K`E9%)0JSMYF2eJ7)rNf{2~BD+|J2h zDCG*R)n%d7j@Zn{rgGc~_8xMrSNt>9vL=rBfUy@yraiN}pxfp4K)?dffz# zPkN=$q0x5>Lbs&jgHYNWnyIiLv|BSi2&G-2Sse>P_DE5;#|NR>&d?0l8Kp?+@q&UR zQgj~XNH4^Y&6--82t%#in5+rXODQx!T@eCt{`7=ElsB#c3J|ipS(2&$31KL`4lNuw zi(W4(yinj!i`J?&a%HPn-6*M>vqUjenOvneN+8Um~ zAmUiiD!sc2fhd&=EvY&avz2NuDmYRBVysu>PFMrgN{80-Ixz@#aQotnzu&*ZKlTQdlX?$oUuB(X*c})mJDg2TGC^b2Y z$%gvNSY- z;A$bpil1Y9o_dl=!|Q_~GCLUzrQsT09}Lm($zUjb3r%OT(6gFDy*zPQ!IOGe$(03- z6H2bqHVsc;upXtghtkweMk}Rtp;>GPLt5I2VCXdqp-F!SLz<4sV5r7i!xLH=4P0}h z&10}bso;uI@)ZS6gP61fu2-mNI8wGFk+=zykx2ExH9Ubqs_2cC1TvbPdVrL8*cs5r zk%V?-QZM3-YmZZX-JuC<pSDrQeJL^IubX)OVTn7%t%`0OWb}^0WKV#zH{>wAZMpQO z0nr>iY8j9J`|?#o^g_y4ts` zfn*x{Ff#?-wJxgI$?5T%&xIaT@Qu8l9+fGg$xHw1eWmM(xwDHR3U|D;xa;XM8DgIt z_f+YsQ9pa>f7|giHe-4cUq<&P$39@jT)%)~<1E_b#ec_>UW8J4Z!*ft;?4Q+bGRT4 zC&Sw+kEBdQ(mtZ5dL_l#1#|4yY|`fH4?LlyA|ZQ29S_+QqG_KYWFD3|ZZ}iyb8J_f zU;xlN9^2pbZ?Pl^E@+zlE0Q@KjNg3Z%&1|%>Pw09(m}INOv5J4alO8I;=I2B6C$DV8^=n>jIt^h8}^Mq91XvKI0te5T#UYd`xQ@99~8`c5p>z} zJc@Do{Q!Qy=)Ltg-OmQ{hU`_SynhfVZ!1t|1$#B@9$`Z%<=s3spb}xq-ZZ>7Rnx46ALW=j8k(`PxXTq8#$J^srvXg}~IeEeJH=e|NM9HF z8UiynSCBlrx{3_T>Y@!p$YRbF%aqwgUMgWzt}ez!&mj)iB1E_&x7OxG2)sM9jeB5u zjbJB=uE|XdpNSUc=Q0a#B0V0~I=;yR1u&_-+2J$Ms!K%L&PTJ+0&{3DixG>6a3i25 z*8E{-c@+_|Zz+zUglDphT8abhn~7RxlpFDk6EG@E6l9Y@Ulfs$_3ji3`?x`<(2XZ0vpc3T-adSD(~~hF8R*y*xBN6FvMtW$!%(dG79+rfrWabt`2Zkz&XcLK{8V(DRfv(mu zg&6269Xk*VOx2lzsVXxtRbvJY<0PWp!<3Gb5@dzTaWZBv+Y*1<3LEeEid&nr&+bz3 ztwpraf^ecG9Swzzmb!bQ|=6KGx*5Nlqt z@-i1UBc(jdO5V8IOTM&~ zn^Kxk;Mwx(vV;kFo-N-Ld^02{N%m}I-qq$|M#_7bB~GOr4#pBJvr;bhRl8ziY-KT7 znw?HGzuM1EVw7U1plL}@iufv9rk$CKpAs%EfQwnjs7vCaudQ(6p^*RC@?`8Z40ED3 zn5|Y%CS#{FKkxRllck+W$pX^gF;qeTxOnD-i&=83G4G5bVpumg-Y;IN22ZM{!>w)~KS^~8 zN7ftj!N%6PWPi7xon%;wotMhRtHQ-)a`93%_(HfyVjC`IM`}CSuiMDWi3`7#Uc~Hlo@?5rb)BGplVqn6 z%yhld5XRL!sx=QnJSWAYx>@?N>(Zxq|3?&Wp28yC0x>KvL^6!yBanO-=R&v-UZcKv z(mZw@@_j@q$EfVs(AOCmts5y^G=f5Q_R;=xqNtkoQ$@Ai`m`DuMOCy!r(CU#7X$1X zQa9-GjU+owZV~Rd&v}lmCfnw7v-7s8w7N?Z-6uc4j>?%vQycmhV#1Bex^aZdQ&h)V zr>Xxjz}gG)jHt_NMtsiL1GcP{rZ(sqeO;KWd%nD$NsPMqRWFOTL|Mtma9uox6g0h# zqi&4y1F(n6_8MjNgH{hSUQ2Oqm-s=n%Ql@5#LK@QpL-#CZU)Y{`}BMoX+I(tlz{`0 z0o?;0dF*hsF}`8^aU--p5aQ3v#T7c0Yi7LiTr^Y0PJ>)|Pq?Ccw4-x{s2W`vcld4? zr<@D>oq%n8DYS;tvSvm-&xJoS-W}x6-xU5V!L?nGA^K5A+>N<0?cRBe(GBC78)5xs zh-a5)-)Lj)9L%|JN`|+CocjC1DLvCNx+Y0;aQ68R)08CIEUTmXR4Q>a?c|kfy8c#@ zc~-e4=y9=;Q4 zYK^)NDP5d9YqeAMOl*gdotTi$CHI{%(^=svJwM`T`zpRXOmvuNG33T$Hz7p8y!g>V z<;Dnh4^1b*Bohl`C$>!x?SOglX2`$z0(|K{zp@^K$CZ z!k-(DPNBvKPFuJxFQsM4TXx#P3E7$O7Z51s#JI`U(UmsbcL$kfw{*LI)w3;kjNvTbP~{W{J27i-$;s3x>8fy#x(q zjO#wq8i6s)5;KO&z%2wjU|M2b4(n0kr~XL5GErCeHws^Y|=K`7%IRJ|4zwJib6)mYoD@b!%8n zV>whkZx%%;Zsaow)3NlY5E~1L2a6H5#5fzbiKxp20(Ed}TU{MU8DE$Zv|)Ad+9l() zmTvMDfaykW$E<_Pl`cDcYQD!4A zhbsEA(-bh;r^*(C%sKpC2>TXK=38~K}V=;1oPj9=+> z+upba?^3>Mot<{b%Ats>b!a$o86R#7_lArzc+ zyamK;KT$e9wpgQ>Mnin1-6(H=HyN5Cn>G^D(%j{sVp0vGH}Rf3-ZZNACvKArUi3ZG zia+1fVFcAuSXb3q3TKC{YKmMMCQ-2mW^=8H%_haWZ1Sn0F^ar(n>(^n4B_yT2laUVZsg%vgfm|wF!!S0IW zcLsfZ^9%pN{970xdJtqPcS>7$ZQxaUV(gac0r2S6x(xT zw&15xAeYb%I!m3xfEN24F_0B78UvB|go26Lb7CW(fqb&7?Q5ur5*u;Ob9OVSb%Ohx zfAo9p$bxB^b86+F9%J$DJ+Fyo7VF-LU%<=1OsF_wbV6mc-RDhDf%MsO*YdM(=uM>^ zeiJ~pMu^8lt~E>Iod&2fKZv2Uf9J?hQQ8m+Za^d@Q*5cv5e0p>X>`|+$D9Mt4UevQ zdLJf0lx$c}EY+06<4$TU%C|4Cc5e$qo0c-Zx0P5|Y^rV-eFay4CCMsh=~xRda_>}C zK8-{q^9iT^El^3YgLcld1M7ACyY&6kXsz)W?cjkMw=zx!LwwX_bZaenckq+mHKWk? zZb~dqagScf9re^UN4DS)Ih}(2hkkN0uP0%UoG})jROswEdU_Y>Wg_gl5H`6}C9a5f z`-YR#`OE=w%DMFawV#|MgD0n#NDuE-9DMQSfU`Y;tD9D`%2N9%*pi4o)!NH+|gDwubySR96k{iiz z?ty!L=YP-RiXcE309G>jb#xFzGi1p!XtiRP>;?j8z3`0ZY_m4egMJi^LG^~F5o6gh ziKJ4iBF)o+lQM%q3~uo}++6!*DWaRAkcL9*E-S=g3h#55H+Se@#LvjYBoFbRnrE1t7os-TYJYrvNB<}0aCOrt>57M@AdWJ-kJ~%w zyh%Bz+rJQkCyeC|_M1WUX*9L~oi6(O>9?_kP+nt|rCiLBa`qzx;U2FOgdDTQazv#H zQTh1#Y^3w^Nd!dLgxF&yT{1a=W5rN*tX5_sd<|+zFIz^{qXO`N(Y>?D8q?vkVnr7# ms!R>87Ph%*wYbW@BL6CWMuaxTj$S)#R^B&td-?+a0RR8sdW2N~ diff --git a/cpld/db/GR8RAM.cmp 22.rdb b/cpld/db/GR8RAM.cmp 22.rdb deleted file mode 100755 index 803d184d5c3fef3d8e73903d4939051441706c15..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14812 zcmV<2IU~jq000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Ct@0RR91001>O00000 z0071U00000007)M00000004La?0s8|W6615kKGnD$KX7}ul1`fgQX7|wBO}2R1*%5@5fIK*X^AICI5ah{7UXqsp1_C5V6d(!W z#DVjW>r)c@A+h6JY}kq;%eLgr_f^$j^&g%hn`HOg);ySL)~Qof|6l*D{<^>W?z`{) z5&nM%|7Y-Axia%X{1jWKXN{9ux6x`QJN2_xyZhaU{X*%D5)1Qu_PE_R ze%MW3ExwlIZrsSt=I3(@N#UehZ`YDytBr~q8eF~K>a~+zr=GNqBA-9mNe=6s#&I+0 zwpu5hYspFLxN(pi^_mByU?;g+YaS-8?!$UJ>9mfzk816D!YG%V!A7@}6gIZEle1d8 z+eAWJ##LX)HIt*(e4Mm;-LqadIcl8LJIPVIb&4;DJxTx|Icl}*$1M`^>Z^_B!Ab9s z;luh{phR-kZXLI4r-sHY;LIr@ggjy)m zQ|MZ9^--hy5Tr%2(}u0x6(=NtOJWpXU2T(`$Ko-`Q)!fl$H522%5@lRfe?;6yIlBm4Na0 z3dZZLV;h_Pd*y@h6~EMjPu1&GPitq%>})A} zUS=|T;6hiWz@tWYP=UxcNr|=2=_Qv4$2eO7LnLziLkJmfy94Lum89~x36B9`>v`Yb zOj8qVC&B6J)2;u%>ObGb#sA;dwWq}2Zgk-Tn$m8UcQd6i2}@&1%q^ud{A;!pZ_hX2 zV%7#Y0M`kM{){9_=$AHI-2{FooS!BXv(Zdy$rm;%cZ}yeMTBK$CZZIjVEGoCC` zOF<@4-=7Jfk!*Mfjeb?3)2W}rC$*F*R5r|4^(WH9foPCCDB+_lfnB6NrVZ2C_aV!nXfNQgrycK!U>M; zMss!xgVpqMScM2Rt|9u1H?MR0rgPnzr|So2Cx_SV{DJNHx({<_jV5~tcnIPB`1S2p z1q1q+#TWqP3b7GGs(+;`r{frA{I6Pr`(b2tc5BT}P;8k||IPqd#^j~g`Q%=J8lFA@ zOf>`%lBT^4HW*K)rM@v zUKmB5qTXkqr<_YSSpD>X9@8ekg4{+h;_`A*vfRX!AZHl+oZp+t$=PZ3pw(-_OTM{N zKdQF7C)IhvsBCQG@65l(f6SEEZ=#H()jqT{iw_%zbMksG84`l5m?@wIWFOtRjNU;_4XjYaBAyvN+7XAcUzlX55*|{8o@Keo zMM3Bn4Dy~iYjA}rb?<0cc$!#KXG)DJH8cfucq$vuAvIv>@X$PR^bvz4=h#Q%GI9t> z*JaeOSq3|5Vx4Z95knoCAUZrH&XhPWiayfV1aItC|iay&{b}Fxv<;b^eV~-qsocw0bOs^4wKvH^w@cald9^tM`WVI7BEd{M_%??bz_6q~fipw+tUUv6=7>=^bIXb?B#kG`~`PK;{Pz)Z@mg}-JQ?#)aWCgH7|?5_i*tp?nGEVO4*iIH zcnFS5$k*zJII@o2d9$dulK}nzuvBo<5gi1U@y|m7;OUldv-4y7JYvK~S-@?^DM)0g zXtvuC{em2LNLgb*3%8Qe+t>+%&OeBY0M3VLV(4c8dAV{h4#@X!2=!^c5F_`Wwc_6I zb<}F3cF=Ae)K7+wX+#P5G_m)@e8NEcsKf!C%0rw9WcD(Z-abPcT5rFwO#icFy72{y z;CM=q2k+Fak|tCm(G&TdMnZ*!82p_QWJ(Y(5L0283d2+wrdIKJYd6u5bAje=s|#25 z)O&x3nwj|;{{ICAxZTgDzyTljB;0=iR^n)hcL(SGI=*tBWh^(Ne|2c?uOY>cGubfM z{61s4R`%RQoVVSx=*_%>50&1*0Zw~7>YXIB$?NC`6?(@>eidi5-^{PxTv$wSPCJjc zz(ZH)3-)}^q+CuG^0WIml=EJ_-GL`$Qq0WbC$}&+KbK2x^%^*FJHL=m=9jPM^4HCI z@0IN&msCCnK>JC_9#U>I(zo8h!6Ymy*~CFpHk#8}_B<8){)710ZJo`Y)ZeO~$o~7m z$(j9j?X+?7*kjBH?13ouoqR5BZ>;3f&r}$$lV$?#TQN zteQP>BxE=Ab^~1;n~GZvqGDo>`oFQ5Tu)GP@@lfOkbEL}&1PcHr%!UsS+~neNWRR} zH zIICUjylJD@Ay@Yk*vJuCWtKGi8F$4~lI$L5(?fAGp|7F|+MTZu_)AK;VYsAxX!DyB zI@dRX}s z_I9^Wc4cdCr&y|1?iO}Xc1XJA1fR}6PDKbG29;Ob+So3y1ILl(ui0#@ z$x=SQu$sSdV_|t|F1NJ2GQY66dSi7yzq-6Qylh!tN-<^JaG z-BP6-;~NVQksDMPhoH81wmw%X?pC+8UR#MI7cb40ng?ih z4lSV31ea#Okg>XfA`5q*5T$#i^-#q47V$@Yk=CSC*LKSHN}K0$D`pKf=V z+T!+J!UN=!SK)EgAh@_z#1-b+Woni`A4eV7jc7cyO!Ms3`oDPBENq>2HhlG|C9S$_j=WO1n9MLJmnC(LxO`hCsb-GROUeb5M`TIfS z=wKis<`s~r_!D9Vjd9~)62!eRM(L8KD>LhP$ zvmkTk)C}5t`$FYzEU!A^^h?QQl^YS3%PM*VnYk=bgO6t)tDBR5uXl3>_e-cxH=F^X zX!dtR_I$+?yR7cin%b8vcw$$UNa`Se8G>W38Ypx+DoA?Hh0aakQUbH6dwCiH>>_G)gsOz=rL8rOjgRO3lN2AHw`6#%-77lZh7H{0hNvb)%miG-Hj@xB3vihGkQ@=GQEna-A6#lbgpM zJREk|sJ)H*gin(r>>R=nCT?Flbb}J?CNhh&SM+3|<`Ba|>P$j{e$;Bei61G$12XP(QF)>reh3A|W#)SU~&XV`X${+UH zEDQzV9!K6tF9RtA94+uu{2;hsrpQnG;Ogw!fw@WC;AYW8VmxEc6tPE9F?VO5)E^}~ zz}u8!Y*!Q~rA(zG5XOx<(O?XpTl|hGnCM~9DCyv$Zr*1}I-rx)YFe;tp8OgDtJ zQfitNujoi)HUX;>{7}5vMKW*@J#m!JK+}ZRVUBq^Sj>a#&gE(VbA7;hsB*Z?vuy)c zDK}Q-t@30tLDes%*Kw<8?1M3myc?e&72I1YBRrX`-Iw6&b{#tsm5V0&ihNxg7seCWF z^9J7Wm|0vj-StFfncQ>{WY?x3c{vz8Y!>$=q~Wa(#&-CdIBfnDF|{oqx68*(-q2wd zJ7ConyFaciNM)nf5f(d;U}jx~IKBy;Pmi#Mkt*e5%on>4*WK5YtaD`+65%1l*c9_0 zA6xrzP@ssN5D<;E`hM^Dm`yC*;Erh-%i9S|uQaqNI;UPZp|(v+(}vm})gQrb(&IO> z8fo@5^Jsk58XeOzZJpJdga-@T>m*?J;Uf(CCUqWdGEytYzJyGtq^3wI-|j;-KR@s6 z{*YKs#-vKP$`TM;&0*~m!gwN4)R9W<2)0YBn@OFvf+_O-7!qJ7QkyNMpr0z>92%Mi zSMn3{aWcOQ;7&sYcEdHBW(uI)JLo$2DWp>`bhXN8F0Da7f!~LFfZ+C-5^Bv*Eex}r zxzeb8OIYZLA3W(d`hv0YnmCzaSeZmBzvPNcy}XJqg+opOb~>}W58JIC4z{rSX3)g@ z8dR9@(<+4Ud*@9exSlHn(<@JJh%BUgzQLEi6hhSqA1| zKXbbUjhfv%OV(P=Pj-_clwx=$_7Hcls07-$)`KH)K!AOVo-|@QtSol1u(NZ2h{aBc z0OvEE92EX?L7I^|OiG#7G__L<_B!+(baEYLg?+OxyXBJNLoUQ!uQ!inMx9RdBL3r1LHdRU zaHfcJ)XdbA>nhnO%&xTwE{wit1a+8w2N_J_sWr@m#>fxl5IgIS=EU$&i2VyI!2XpX z(>gtFxT+jyZtzSl*Aem_$*tN6?i7ytBpNA>VL3@dNzD#=Z1rmE=*V=tI**$;^QY73 zm>73*@>`=dx%N~sn6&j!V1!_g0-XsXO97Q}IFoLM4o!O`6{ewiEY>wl*~mm8A39fM?;aR#eIJ9U!v zFp+CHZNVuEm3rZlxXs?_KBS{{gfeL?ag>6Ljv~Zb+65xs*>$nD>~xEjep^VDUU^hM z>pHjUV&&dUm0NDU1q~h(?UcNyUT6_-nQrD91oGHybMV5=`UUSi{l;}3fkt{hk&s_#*}89nL)%dLq?+X=;IC_ zk6`Mc-(ejfTLrZlfs_pkmZ)iFTYzc6tHry8@@55bcnpa)ZTS1~6O!3D)B4-R={9qA zar*7sHYvSlw~pN;wXqZ;SEz9U20~|cozP}CUWCB61q{Z3#PJxI#I_JR=Ih%V)z`~w zyLWArYLYm*zSrb3$wT{%y=Er2%dfF^-bRyDd)qdg$-@pg^K5Lb?XB-Q;u47SJu?|d zY-T9jE3EI8Y^gMJdz*We(wcoAn5i;OruREY+yPPD+g{sx-BpNUVIqrLo10i@UcOh} zy*~glX@W7MGPac5E^L(7UApX$Lk4G3M#-HmpEcbmZ0;4-?RzHIOX*x#2)=noct=La z9M!ON{08^BdWY-PxA!V{tGBSz#sbuGKP(-k(qWH%1SLl~Oq=DLb;VL)z!0yc*B#)O^%1g zbzm#_o^6Av?9x#pfks=iK&lPcgi1>!4p@if&6q8#i>rRi>cVQ+gi4zb-1{>OO`Udl zV)CKMXlz1$Y09DoAMVkXAbDIKVhz3Y#WxgLK9QuGwHEb2(4F8v$Qd()ZW< zR(cTZyva0=YN|?-;yi(dWTwO2?X~w?VX9^bXIZ3l+EeQ`*wV-f5cWJdR$s@_xEuwv z3oB)T2|nV{>1k93uNZN5nve_VL$N!Wf=tt}JSUqb`IdIQF%pq{46%4bdT!O4Z`v3d zA$SA!#gL_Sx{oc`9BaX3B*!JTdR_aOq!y`0M=te^PLt)ZO-qAhZ}ycOHl9tGK9$VI z(v!yshV315M(wx>0Q-;aS5~R;VE(7<8wt1KXu`1UhNHN-#X*c-oW~zLjCMgrH(QLf zWA&32~Pzt3_7Q(opY)(1hh+WDYedP&;uy0 z;9X{|7c}T)!wRD@n%PeX^r8m6@>D@DY0yfRpJc7Aa%m}B7*J`~0$oJ8XeIP6O$%Jh z*~$RmiW--r*%q{xvlR}r;px||Cj?r{*{U)Vn%NHYrP&l)X)R`}1FYGTfxPSloE1{y zq^qo~hzctDh}9;WBs|1|+bXXpzymCqf~JBO1-z;N50EbfO$9Fs_=dJ6s<08$D?cj`vI15xCHQDy|57aScKwbw5LO#LV-)2b;HZJsZa zj1EQJHxQNXNAX#4+M%fX5Q_tRyl0G}?rSV5T(kju#*EgTlBI}!f0iok7%jaQ%HPs} ze(E!(qV#hNiVBzKh|$s`GAIu4RTbvX!szI}lXOp>C!^EEqDAF~EDSI@$~}APR3wT@ zi)GBFpNh{4MdMPIH}Bfkn^?t;$LjOzqC_0%Q}Dw@{0Qsy7M#~h6qgmxp9h7u7#0kh{9fR;r?3nBw$@3JwRv>)<=pjz?C zPAFYUSLd1bX{bhPsp+i+)&51)NV!4`DytxZq4}@F0|jPuF=qE>ZL9Q-OoI^v*9o!} z(VdC~rB*LoMReC=L0PaDt|GdFvfu`1C>1YUMRYeNKfvj6^?SZ`M0a-b1AO2Yq9VFW zlvgd37OfYeBD&+0A7HgqMO5&)F~6plnr=u|9G?B!u7-!5$M*Ca5)LWv3}{JQRdiFb zqUfxp%LyIvf1azN88v(anmSnAFb|=j=bX7L1DqWpN&YcsN_MT{}$dqE!wpak$qy>0+^?O~!5>m*w#w7_1IF#i53-=<(tP3T2NIQnP8Cjy~73N@7|bs&g)#bR0Z2@u2?cUoH<1LCag(%k&L z{&?2H^acp$nrQ5~EGRj{UlLd1iy5M=0l zG6+h!1e&e{3sp0#lfh8hC1V&77~*@Qf9*qH6oEy@Wf&m z!?sp701SlDjNYcrU?3g!bqRBIT;kC z-5R1mp^<9(c^}$cdvX{8c#O`-Mu1?-Y4DsgU}&YZEjDXpO4+EG5+~CG&X&HpgZwEC}t^j1NL-S8Qg- zf{?vZ)a~&>sJ1gU3q}y|Io#Uz(aoN6YZjC;$F{m$hE38dGBiBlH({tn9Fw&}dQF7} z*bl@h=7d0$T5Es;gbZo!$QpnNVJPK}tsuCFaxbdJdQrg<{E6{}I@Yuli%PvUKmkG` zh5Z4eORaJ`^tuHNPJ?Lrl@1f~LFu=KCoqUOR<=qPZ$cnS!((f!F2ro5+KUQ~RDc-k zrMVNK*SN85QtLr*m7XU5^?<}1fmphNdfGu zi8$sH0#OW?41YcGpy3G&L!=A# z^p=&DYj^^KG}@H|jtFq<;V3<+rJ)G~R|_##d>z~U)U!<*ULOpR*~wri4cGAcV2FlK z21DsvY+jRvUep}wb&AUhp47uiuB>dFP;!;FX?OyI_1IZ^AWiLLv{G6Zo6dGHq@|q* zhF-i7oBek%r0JLphHA_;JfW4*z%@7IJO(>d*J(v5`HBLkK}<43LLXW$Rnc&yY)2w- z6DA{(^3ydufkCS19hL+#nw`3jlz2=td67JUT6ih?9m zvK7$!Fg}fxUTbgyf>gPvebUD{tSEQ_!&XC^jI}YL^?u|YGU{%2p^jWq)F<>HH(3|`pzwqZtv`gfU7XtG z&P~FeTbz;H#c|7Ze89s~*cRp%X745I_xzR+Vxl&a{s3M#TU}h>Qo}Vl`zJ0d!AsWS z#+I5@I6tqk_%fX_8aSR@g$4U^Q;$C__Bpt3%$ZfreWWfXBP*WSePaY3Hb>CzrdP@k z+tfK(WP@4@!xl#$oAqJ;P9d@fUSrwIA)@ho0RP=FYU>SLn-U=ZbMu{lSmJhhv#Q$# z8Mvb`*^}VxMLA4w8!f$YKr}~>frs&bDPIqrK8f;mv+zX9S8m_M%m0Tw@y|S@Po?z6 zwpdb59y%L7Ir)FVmW{tsym-Sb-i<{}Ui^Rk-FM%$5QMwhlFBF@Mb}7=O-k#M+669m zhz*w;vDZZ0H3)qLGyi$=NQ-M9W?nDmWPt*Ub(Ugsdi;lXg&x%J^`f2~l^LSROaIG# zrR&+Ui%TL3cf7Q?>)A3HT%R2GOzEmkm*MrvOaJSZrT8mysORuyTyOI7vsO$Tbc&60 zbtfO+k+hE|kDAiGxL}Umm`&PR{k|uZ zR3v6^Xa{08g=pGm2$_dv4$Wq!d1f~IxUM2ze%fREO&gfhzlYAapsSF zju02h3vpkMq@#akpFqR6B}&2lnMhtYY3frm@V9(A1YA9yZYWUW@Ax(}p!oX#6RbkI zfZvmg>v|Ldw4aSosO8gzz+a7|3#Ek6-;31uulc;8ynh#o`%O=mP~KnhSwdVVl6Ni$ zxz7=kzhG{BvYF5M$N(dRLb|6B_mMvo*$8mI6shm8N9g?dZ)NkId?y=M^W}va#c1_+ zxg_2^A>Dt1ib|~fe)A8qacmL#pWpCs!gVO=Gx3#9mp

Hx$e~Zev9X+!!Mv-;VIOK!IIAQrp)&6FcaSc|Ytaia9KN$7Ll!~d5{5%+ zDcEVz+0jk2uQT*IT#?)FJ3pMJ)nMQiH`HCIPhZEX*Xr$3!k`KS(SBL|o@S98*1@}6 zV=NMIW5m&zn1ndy#MtbjP$OKr%}_?k(;I{}7=V}!6752PcrwUvp5!%r#r(&)0SPj^4qd^|#-jZ}-xF_BSO(W#+(&7#s*uKNTvez> z+YdyDq(AhPQbh5lQetk_)K#I!0pjMsdB4AsBtwLC92pe;q(lYu(gfWSf^>^*Bns^J zUCkkag}it&1j5mm5(w(WSb<=ZGPyul;f@XJ#X0H(BZUh&Iu@7DT3lw8N;yX>1)O$o zEbyOmz$efJr>@pRlJi+OBu#ymOB1~^=i+i1uAbDKwYnidI$DWAr;URV=cqugR!!C-hz_1G$>gU|8Xw{*CS)zH+=O| zycyMPoSJWoy+)&LJv8x|*{CBGo-_nG_9R2FeAKcBmd-EpcSJ)OZM#vD2+(+2*F89m zZy>R`5rUe(jSDIPz&6!l8(AUJS7TTl6{T=@2CY_Ux5z;aJQC#qchh z`0Q5deE_bh0icgQIGYmB&+iBEftO~;t1~WlTUNca0ja*9NSPg_ea@foGe(3RH>ia_doS2Zx;U7N@ z60D6gA`xty9Iod0F0Y2TJC^Pr#ZS2)Bjm>ZhJ`BHAbH2j=A-p+-9`RjAe29tU?6!H zr80)wrV~ys$iZcWU4p2dSS*NrJ9dL%6w50+Pd|Zl%JLYOc+)p}AH!E!fkQP5hAGXd zO{c1tSfU#i_O)mScS0@7c~d_IG+{>MHI3Vb!|hj)FrhuuDUBJBPAiR9LFwZ;jN~-~ zBS)KeD?-=6N3HsLNaq(nm-QS!Q_6It5Q`_BZNup*p{r{5BFrkLs_53iHD?^x!*hy1 ziE&mwm|Ntu>Lvkl*Tnet*>I5lo^M;(Fi8XaJ_f!dY`|sEu<5!ZUqFIkG|&Qyj!Nso zm6CQO+7*z&9;Z<>CI)TWkB?P&!omYkLZYZB&gfbaoG`>(_P8=Sg#HrJCki8%Okv(G zds&-Ka*azLBg7CWUP0{aY3Nd{Cml!Djfv2wP9S?x9E}5*#?kXYMiLxD<<->VUCV20 zwKrXBX|+R|E|YD`H*7i^KVglSTp+;J(%##av$a^J>p0?vwd}fA_+ee(hjoJ=@&P}@ z8$U5tIy4=;MW>DyPZ1D@r@Ut1x#;Yf%_m-i%O|f{`JC0U`NTT8eDbREF=4r|8ADUp z?y||N&c;tXm%)k3b-cxD4F}aH)9L^keMU8dBq-%Vk8=cSgO-u6nAh2BoQ{ zBk7G!VlKm`6KTl8*Ll@H5755*DvqPq`$R`a#3Q3TSyVg|{cj5WmuOy5B%TaR7h?(| z{;;cIdDZ!xV7Ll?f>MgLlxq4fj^v+fZ-yM%fS7a3uIIBCA_*%TYgMAfwl& zUA9w@wna9hu=J(KX`^v=A*&bvZ2r?na~)L=!#1|MryA$3?8KN!J&FKm6`G8)A=7>s z6X0#e*!e&!K3cTJcsXC-3rG>SPeBIb#>^JQY}OlBZjx!#I1mL}XU3;SOo`vKR}(jtsV&Z;%`j7DrkI`8HXogn053=!#DpM;_G5W=vgZ-|JjN$$NZ(?l4nNImnzSJ;5YGy6XN0x7K4rMTdv#7Er!HoBt489HUfnmK$DcF<1 zgwl_JMB&LW5VE+%_s!RR-`q!qVUw%^+@SYAK>12&LmyQ{bWDYh_)ON0_&kA0@WTLx z4dw*A$t|4gTKpsA)h2WVMy%TrpD#|2=B}p=x)O|d_LxAtC6%3;Q`#HyTUrrmr++5f z-ne-k=k($*)eW5}>Ra?RYOxgTr^#t6}f z&ssHXSQO+DtjCy)BR-F@7_>K`owPPCi&?HOJf*%9crZA&^dHaG_qm?4)<0}s#%!L2 zoU`b4JB<4KsNig5iL2{i`_y4|s*eixBBKDg0*`&5WJgyGf{t5va1+_>aE=V^P$Oi> zhxSv3g6N|qhD8%CuIqYFZmuX#BMigE%oXj1wB&Jf_2P7G|33f#0RR7Z0aTK|OT$1E z$6so#t*x~U)?a^sAUIeog(3)-<{E30v`w%6Y>D9Dr(8a;Y$;HKYNv@FL-Gh6d_r3SyWRM^X0ITWT20DnMS*l`Nv{JShzkvW+FTCJ6 z*QieRpdUlyP_1ql#9FZ#QFLmTl?9r2Q)Ur}!X2JRTkC&3MfOrOQrBqBW2G2O<9+t( z_8uLK`WcllVpE+O)O1xN7>_^)PdR;=4WS?MGN_?YRi&!XO~5NgwwtMU{`QDR<>!gT ze8oy}NMP{#UX$i>+|~uXDTM< zay4cZO|6S2A%-%0PdmHlx|4EIuYV~7<-d9yEgJQ)qkvCSCIPGvHv0p`5{AOnR6r zb4eCd|DqLQ+r@+~EmOBT-l!S0UEmma@xgk4g) zWMO>C#@XT0eJA%oMoYV1R>otPm`>vUKf}b!`G0NptN&|5nKug9r{%5o5Am&mAq4i4 z7Lz5WAsJ(?kAV+Db_bJRnGZcMDjSb79{+G{rXVH|qCoymB&Ou3h5!8>P}qp?r0bmQ z=BTJ(ZNvZ7jX}cyz1M7I{G{xv##~>}%MMt8b05^+#lG)iLi|?G{U=p_)I@$Uj%)`G zCHqboJ{-$Un%?p&nh+IxV*&^j26)zYaU}Dr0tYGWbP$llA^nqfv-6xWhwtNr)ya%w z_jOqlslN-piSDOIU(Og>3o==Hi5gqY2?=5}7hi|JP%6+Z08QRxGM9!7zpuKQ7-)`G ztef8H!+aeXl0$!e`1`BEimj)%u+`5zJ&wz2!EPIa6dbuO_F|G~9zUu-?;_!6DS;H5UZ0^@O zl+HL)Z;mW^{GKh1+U|edpHg`USoEs<9H;4j=FQHAc%pvpE+l*Rg2h2xye>^T>)CI; zk7@}mx^md+X_^u6W8Iqta_)8Hb8GPlIKll2{?)g$Z!9X*TGHK>JKP--&LclQns0N< zo1Lx5*BusBU`=F!0k7&ubE^T) z-{<@vjCuD_+LuB<0L;U9DhE@Qfq~B8sZ?czYBrxWziEy3^)bVWx@?7dA;%i6wKc~# z>Bfb_UMbU#C)vfIAX;L&@xSj=%8($f5wXBLN9~;6`?1~up=FX=h9Yn0TTZv{K>@{Y zEA7juuYP)<3m*n~uj>`2R<#E1dBwzz-K@fD_1i3HbiHfping?#`WUy+lzX^+!}tsB z^53^Y#@mPwCk|y>2~UduX}R3`)Oe-;=(dSfn?sM(-Hovo&9#d6q9zx6-&GjfG)14k2c&@D z6H7Z{x~=>2sko6`MTx-4(c8?Yr}t}#kH;IH&fB*tHoYp-l#KBCd|5%s7;t!>tIJ1z zWyDxq2UC3qC7C}Qjcy3D-pCsW{j!$vEi;UoPYl&?zQP%&Xl_&8f%g;bs0(V$sn zA7uaXQ0Fu_Ps+z;<}bM>GR&Utzh`&@YCsQg+nBDhj6D1KLW_669i9Y<_;ee`8yh`9j=?L+C3{71NP7D zH#Pz4cjR92A4ny*Fhy2B$FIEuD3MQ2kquf1-UGu_8lmYhxFvXP>M10_o%dKd3o}@3fe&kS zJP3@h(q1AvucQQeR2+-v_LOLW=cpxc@nqeqF)4!^{q&!ptfKsF-AyUsXRx}fXF_6- zkITV`Y8@vpE(HyHC>P?f3=I7Y9ivBNRV)Z~}p+I!hP%ap@^s%$c z=cuJCSL9w2FHs`XXh!8h)tL4ffJt_$&8lU_Nk0S$*fo%9C`^28?v2a{crCtu&?#`$ z>qvX(wNb_+nR|S(ibbP#1f^=ZbFom7quLO&QhIBm^GnmsZM$sECX}*_+a>`e@VcH> zawsZWW35nJ#W~A)cyRpW>&K?0zj5lIC$oXjjH=NcDU<&4D-A{av0Qe=S3gU`M>OF27 zO#+sle6~2X|GvVrkWk(9H=;i7y(3ws)()OkK5CaFpG86qiZt>)X>K$NYQ|6ewJuKg zxN2@9`Y9CBpy*F)v{@i!h38&cb8z&RkbP#cXZ3sI-)Sv@n5XlW#brBl`r9l)Shnxc zp`}{s-l4UWMrV?DqvfA_T6M}QHi^5pRlU!hE4;V$@lCyT`Ql2P?UCwVMgP%Z=K_B_ z-Q^u4Okuj5|C4^hwS50TjL$+#fSTQ8*TDN?&`JKud3j8K(*wb#scp{&a6k&`z~j9A z$U{G@qN!Gb85|(z8=79D>J#C&{7$0o zDbAUT57!&M@vPf&1oe*F5`>}?cGg0*o!WTX7Ffrdp9($PdHu4jr5_z(ZJt#%Tx=LB zYM-^X*Y$Wniu_s`noq7)hFTWsc-l=_2tWC2f7e!2#5TwFju+_ShM*G+lb+%1T*!Vh zeXr2+ug?qpo(lh*x`v55)a_rcnqSHfdt!MlfI83hztz%S1VWY4y4NDTIcGxDnxPj0 zq2g`Uhc$1vx9+%%W_#9IKe8p5jeBe{LFH+y$)Nf3JF1A7(-XETQ zTAMn$p5E>XeQP7=Me z3kYBnGkxx6IcA$76LudkwQ2)%npGHIPU-^AtHgKPFXK!OGO7$le<9$5ryNqLPUHAr zh@flhOuqJ|$d`u`1}>TRAFi!{d;-a+x`(#J5Ar@7s1f8!b-q{1hwo2Id1T=e+NY5T z+7bLZ01kfp(q+Ogst0EdK}8u5^}Emd7gbZ)1TvvAw#uQVyfs#2YQr7W-1_I#F!8tb zVSx4uP-MrGhrdU_>;0Pdk>#oaApC-uu~6UgzO?sKpzCv#`}F|J`HC-tHI84+-r&~> zxMt349`H>AE&>TZO*_n!7Q0}Ph4_4A{> z$(fZPO?X7!?)CI%mc{@}52=lV*=Scu>g z$?Qd`I>$sshOw024o_=dx$dm4!?S5|Pl{w{$rD>n z^Q^@St<;=n;YB60u?kn*@1Nv5Zb$)(h(4`J;^jJ-_8ObXJ0(Hz#xjeTXD5$CKLx=R zzN@)p>Q?iPjjlFJtnJx+HqBmpT&lah+#B{|WgqMSSe3I~gvnWsl%Y>YSLzJSK*VId?8<&uUx@p~GClxDo9I7=}y~vPZj)prf_h6*~6&GUZ8(vPIPlYF2GHp*g zLD#o7@BIzhUe*)LDK(-z%|VJU=J2sQKKm7%R}*}0p(`SzgWEfto9&hAPV%i~kAEg% z^Vg3-W8k z($4JQ`Pu{hzlU#+(Dz*ag7i+LmCbA|pjdsELP0ltA!V0p@YgZ+_p0`g<(7d}MX=LK}F zc>ST`C`A-;_O1b$BN5Jj4Y0l3TV#>Um7wN1K}6N5B`=`PIWu!-&YtVXGw$l5XTrs^ zXAoUxi$~RSf(~J2#Oh$ktrfKwKMdDD-pSAUt0vxnv?;c!-Te}W?|F&$j>a3P?W@7w zF25{kOHo6pA~STMm2)))Bz@G|{YT}8K5W2u@f&EOt=yaxxVhLkT!He&EDzC5{!`bD zi<;DqOm6MsU46fJam~%Ocg_~=`A-58A32e=FS2L?dEI*`AEdaE2v)D>VH4MDxxfYv0(F z&z1DY1FYlAAx5@zKBeiDj`hv!E0X{1WI6l@C37h$B+aene)Z}TX4g^lUb6&(T)*xX ztpHZ0RuO|cIot)IERCey`CTa|-HVd-KIKVsJ`TH&1d&20%!h2J>fK?ibaiKa(r{VQ z{cBSx)h~warbarkF+QHJuT-~LX8m=`6=ZnynvWo$rWpnBbnBj*@6Zmn*G*AZpRRj} z|A~9~=94l#QC;^&_1rr(1OykE_x02EqNGyXF^F!G5fhVQ!UWk3^o9cXCLm9-F zzG9Dq*ZTv%SK0gQ2^?aRN_l$|z2oE%+{+4C_3!cDOji?l&G^(GF8>W+6N_Zz*HTdi z66ogL&0CASsIH~=OR&2O^uW_Ch#oT7x0AM+BsN1gZUrY0p`6}Rq+icFYTo=lk#U|l ze)l^s@a@s>$Y0_oNtP~C(CO&k4VJ&Cz z$u=s8wxq}diKT7hO@S%PgxS)U_&awb#Z)?%i$7#vybq+8%>_Ka-ym&NL)Tas;?3<@P!QGxQ#L)^gCX4L*smCj0?FKO73 z`t}47uT-+M>-#FF_}$Y(CSZ`Xt|zfzHsmWJk`;xiJ_W8~`HI@4lah0=4;HKa9C`N4 ziC$CjYb$32H5AS?mn!YD_iDfVb`Nl}S({p;>;rIcDGzVduv}>w-iP!pFY*Q9^vVls zw+dUX9-tW^JMgJ#d5-*q=(Qdbz9X?7Siu*8Bdqc`V8tCNvT8xwqC5F-Kzi;7{d&Fr zkxutJhibQ8CC^p2Rsb!rjrUJ#@43v)bDkU=zACFss~tXyuR3HcI{Gg+Fj9#X;pBSs z^h@>uMxfO*;@PX;_IL79(oEH8f`r@XvbmZ_PWqAQO2E?LlS3?FCGrNm)xPLNscRry zfA>lJW=(O+roQdWuu|gasdyk=8?ll9Wcw&#MIp=z@Z``$AV(fm7qhwA7()Ee;$S!u z@z6nk?uOxh_B)a)T+R>cpF>>TvBn^;dCWO?s% z!@x3OS#j7xQAmvb4Cf0 zYKV^o|FokPZFNR$rdIajMRiajYjVkAeeKJAi4b7|xk;!sw4&O{b!I*LrZuK{xiXk9 z*c4S`o_{_y{taYnWPJH4o1s`HxRQCvR1PH^_sKOO%vQx0ip#_blU~~2J;fBz*a&6b3&(CrD2GehOl&_z5KMSV4 zP}Qy6BtCNDquw8~laPdc{ZwsQZ9;&m?QDQMT5U%}(l5P`%R4+Vrv zye^#)LoA54*ZgljI(VYOg%IXpYsbBz{Mt($I$i@^c+r#J;Dw5S%XsV!M3BhFZlD9I zSqeGCX)z&JpyU$+zQDi|i zH#SQm0-;VBX@<@8X)-QYFI_*t?&C?C!C{}iZNu9`k$MWa!3( zNgV-SBu;uO(qxl!-f_0gZw*C88A%-1jKRS}Vb{~kUFOcB{%s}7j zs*I9tlh?oD*%Eb$N(Z(wM)U+y!at2UX`#X|DPR4`uaEIimz{RZ=@$d?5Zh7c_nd8WYTlmWQnSm z^=vom(gvc;7uZ7tA}uW}q;}yXi*L3AJ2^Hr)4*8i{Br}}MlUBy?}&_Q_>#GiKWSyQ@DXd9XM5+|f8_CDNg=y$WTQ}J(%aj}GFZ;+OFFY6 zVaqVl6JW;0U2WAScGuziMfRxBBUp```T>#w zPTP$qrHArWd9k!=R=60l>HZ!nkOr~Qy^DUH-T|6Ar5DJSoX@<5gi9PbCSqB$ zTiJ@HDfo74Qh!jWd6je`cwi#Ghy0IcK$^Q`chn!AExQ+nh5;Y4ku$x+tI(J4 z=l-(~=EU}r=E*{hYZ0H==!z8Jg*^Zy%T06vm|gK;wUX(oWKidwXtNBVtPO8)9~PjC&QW9@DDd`R{WZ z*JXn}B#aI>)$HnHWY@1<&`m1b=(`E`zZsFsUFc)IW7(&deNflfa$HzZtnN6&->x{| zo7`bnWrg$6Zz#Sf0(z+HUMJ*xmwG5wc#8>pxg+8YoEI!L+aW-;@=eY@FeB5Wh&*ll zjfDzaleJm%lyl^*D3lA?SraHs?TW*jkee=2bJ>vRsOozH#Il(9^R8Jh@qU9mbjh$< zVVd?{I>#|e?3m#gHz@Eosk5p(h{T0VoZ>^WVhoDC%_RoI5(#YVf@a}+NhUFLO`Hu2 zNR%qZh1M7vMHOa~pPDHi{uR+{<8SkM8P2aO zPQCA+YH$}jJEun`W>BOl4@%CiUqb&xMgw?gRcu&J@L}gNJN9DPf0KXKAqTdkyeJT9 z`3oPEzlzQVwE3~@O`))V6!bY|eYUPk)Ix^xhqN4p0QML^p(_u^0e?Y*T8lk8R$=qsMJlRO-?{7@!Ylw zsrc|K{XGc|Sq~?LUv0Eo3L~{hQ_4stQFI;LzPP9cd8%8Q(nG3ommR;~d_&93WsxkciC^D)ChkPSjL7udQ#4%@o>?qU5(b70_z1ht~Ru*#aiSKJv6kDo--y9 zV<8Kxjd!KNk~`t#DV&DtUSBMo2b@(+@h8FW9!;_>S8W!iUk`Xeo;ZtgZnt4049^Hb zMZt2eyHR-el`xiy?$$&e;Qyw5*V6ao9$D)wy1^@z1$r4b3UIIYe;%XA5qXAE&ee9U zvCv@RI!>4w%8%G$gI=TtZv3}7;MjBe)*USeu$l(k5#SOUveX60vp{OHa`E^1zPe<4({>rxy{<%!8ZS#60mm8NSWY5Hys&m zd?58b!i{cO-!ZC#5C%VyK@ar`j@Zv|1KBC)myp)HE)&s;f8Jzd6o?63o!dDoS8%5O z>Xa8abxAUg+80|EVMo19R%y4C69O?&J#Qtu-$XZLE=t%)H_m?4WO;aQVrYv8ZCk%N zYX|n(m0&yQXCv4Pz#U8r-B$O|0u-S9yam5kvzR*}yG>E&+JqF2*c7;STg!HHYv+{`NF{#WT zR_bdu`bBE6Z-iib-$I`RFHOTYIZmE-uCePf!`Tx^hp|4{BtTR}GB}5{6^r7JG>XA; zM)CybrSpdL>b~}o+yhGvU>_9<85K^O_pxAw;RQFi=mPRfY+r3A=JoE*dD!+vSH&#b zQ`vn-50bb}cS#_oaBUol70TvW5QncJCB({Q+0pP(NRfxFshube(0_<~F(7uD6`5Ut zYUHbT!U#ioKx;?a-Oe+%X>(6Df&h-q9m#rtSegPwm(yk25U0lX+i~(Bv~2RU~hfkmRH(BP3Bn zcT8qVpkkxbZg9VQk^@T|p*Do@Hc0g3~v~0J0tNy7lYc1%F=- ze|xsFsi`}5!cs_=ze&G3ep6&q4=Lt5BWNivzvc0}JGe>!+5|$mxm^Nm@uJhDY$}mYZT%nL;7ENbIM6)3Uz)}T@ z(iB0G=<4qrQfe%WS_b?v)jc(*AZgMIA!pAJmXXYVu1Lt68S`XHrR zZO|*qm(w%q{dK9V1h=0%O#%p!ui1iBZn6b#z?ck(=COcPBNRBHXEs{duxF`{zg7rP z%^BdOhazQ1ZSe;?#=vHtOMN{Nq+TJb;R+v;d2mFo;56P0C(irt6L1=f&6&`eUs#-D zUL5|2M0mc;6R-WWw)NYlDhb`LVP*K$A?_3IR*|jB>RYfD-@6%L=N5W?pu_VsvT^s4|`id5h%v7 zYSt~#)vvX)%zMa7*=v88 zs>;oc)3mhloG}tUmY7y!zbnbCiE_Bw{+xLk@zjpsqAJe_9 zZvIYUgz$x~`})!QcVv4UG6on%tUf0cDO}#Ss-epL*d#0^YlqE?97JYBoX>TJ14x3d zju?1exA<{%amCefYVxV40Q~4v`@sWpBF>B>PYI_MF!DWYjScl5g85eG^*+>ASfkcA zI6Mi~|HAp}GeLx|Z}O!@nJh+Bay+-cs!4SAlk1t1)ofUWNAe!%HkXId3Q9Mz3`7)K-nbM@DoWx9TT@@m8ZFIwKi>-_h9t1#@km zRkQP%z*4^Dg^S@wE$vA5NUbU1Wa*tEdo2*>G3Fu|dV#{c91_#Oi+)NZ*jK}^UC?P`(X8aTB9EiSMb z{3&oPb8+`Oz`lJH<^~RtqnK}G8ZOt zjo)dV5_w)MNWDcqYOgn=h;yU0b^^H=T;Vk-Pnv=wg~#HvNOqZa{D?X>LV1RD6v525 z%Gg~uKl}`4rAcc``b|JdtL|cuuG5t+EzFM>YiAE7l`m6PNo%F`(mro4h@EpaWeUI4 z(JFJHV5C7em-N~{Db~GzdUt#8wLg@JP#)8%!N!2l%(&FeAC*k%$h%k|1w!(X*=rW7 zQy724b=pkwB0u43I zl6>xlonPs`=VS{xe2aX5Jpzyg+p#N^Tk=>fiedCZG1`)-p8escR1rM`ww8YFcV?p| z#UbD4r;A^|q*|VkVv-^^IxK3e@MhZ?%6F2zEMm{HwCf;UmU5TW7u%yKk7CCivgHAB z`=-O%&(~t*TMHjQyYR4BJPFSksi6$viHszh+CHsuOrErq%YuZoTM2?5t<*tEBh>+O zD==H1+n+GZU)n6Cw#=mhI_^YvSNJm@sws0*Z)>i!9m;){cWYg`O72&lCcGN6SJeS3 z;Ob^SRyS|v!-0j6b@_&9Og72RCr!~^;rXhX*w98$9UF=Te7dpC1=MPE!5GR=oJbl) zn9W_qANwm$R6bz34zy7Px|4l7b|FI#whoQ#$C==DVpXFUC(1*fwri9!1_m~|)Y{-O3xX~wELMU#8Bzczs1P_xx)xC3HEoFl8QGc?b#1Z^t%vDAQuvpk%ucL?DG-qnR%4qXNaL zU^;gcWA)PJW>t`9(bXS4R%I4)Oriixkq=%bJY}Y-_*SvO;i(MLDo)w_A8GAE%gIo# zkk+8JK*yt-XCq}P0$gqXHM*qn$WUTPYlnvq$n5P{p0mv^A>0QQBq!K_|aj> z1<={nn-$BFwb|VYT&GjaMB>Eog7Ax@j=ADU;?5BtLWmiwjSFD~34se8S3}rA?1)x2 zg35M4Ed2^DOt`;aE%+h1pglXDX2{hhMcE{UOH=qruxKO**T6|wBi-j}lc1c8n+GQv z9Xw&92sai!J*uc)ZqUL?0O88`wF;fBLk5IrDZ~*TF*`4opZ0}rwD{(6QT-fN17Gf5 zocuk?)hazF|F%$~9q{pIc)sjOt|)J-!>^3Jd?ET7u-EQz98KT1?le*0n7@6Cx9~q_ zt0t4jSqAUU4MQlsBtt2kgvkfT3hgDn!LoD9QmUg3jxKCSW}}VoTM{cvVp))U{+E&A zWFCRzh7Z|W?j%ix(oX#F(^hjV$bF9mvV9r{p+E*bIU{BE@|&d*XQ{#*$SXJy2Vw1_>dr_L|4mlf z^O!zPzVg8pOVy!>tY7-!nc?E0)cF+bXCIF@{I1Bq$WPaaAA@J*oCGvncEt;$Aq(fs zR*{`yJS$eEcfI+|xslxP(K51T!#SFCOw~#!^Kd7-ogEW?@wi$0H0Un<(q6g6(aU{^-sR8Q5z4qNPMZSb za1%^RgsZE0_{67GNw<>1A5vOq#e}UVgO*z|rdG0b$U7$_I| z#1?;*a)G1~L(Cw7SO{+}A&Tuc3Usq$iDx4p-9?H}3P{;kDW6EW(6+Y>VpcJ#n?@3j zg(3DI$3WT8nXM-R)VpLooS`g*owTN>XhsIa$SGsfNz1Vn!YR8=U$(+X9z1g=K{*lw z>{yoRHsP{kZBB3m80eqHU%LHhUF@~buz3yQ^n2BXf~WH?zHjlwcnt|JvQJdsvf*9K zIcNz{91O%*S7w&H^5IfI5!M_xmja^w1@({2uI+y^ zd+#nKab{_F&QnDH$lz1H-`N8l{U%U`vlj7;F6!`8)3>bla?s6;Hn~sOyq@`2)A;k_ zyB}GWOdk(;gx1-?4>F*>{V{6Rs98VJRn7df=(>9YlYDOS>}JRk;`YRsKL?iVg*4uj zhMtPBULVp?THjLG+Dy1@`|e2Iy(Ft0acs=8?BeL;%Q0Pfyh%GCuGEKTzUjG)*$nU7 zX<(Svg=!>=nVyMf7~~AzkjH<(re`;qyD)d3cm@x;s*6upPG>KKyUO>bPX!cl&!~wu zF&BQ!s@#;b7O%h{>)Ta;8gjk)%lQ{{_s<^flShWJH62nrx)-ST2g;zgCIUViYwgcy zzCP14wd=A2;lyLLMS|Q*S|YDfD##0!|H4e6Jpl#Wg;*I3!U#sPXrUG^Gm|hayT1aO zjSIzW$lnvc@5tx{c(0$HCl7AMe@;0sRyV+%-5$_uTzC+Eu_`NjcX=^W(LGDGdael&`YJTK)ImZf?)=h**-f(Yw{2{FJoePeoUq4gz1zNZl{`H+fg~%5X zH_0z0ww1R7kTU)A?71g5+<&;~W(4`j^uJ>V($OWh<+pb+#-f4TM*F57Ezm>3byY(e zX6PQT+E|UyiUILG;osEJ#CVS!a$xFtosSz>RbzXTRhW0r^NtVfe%JHYK|C&vsDLUa z;DyG&tjBGE`2iBF=&#)j@UEU~ zk7`u=x%dC>%s#&1zeZHh-IST;p>mRVbXK2>6e6F-t~8uK!rw}JCWGcfi!AGkw4w^C zIA%E*YN3h^y4xlJyLp0Qk?d}ouaRxsR20t|#BiXs?ziP0%c`1Vf74f+wVpI+GS`B+gz71880?ss&QL3n z?9>G|lq5I=v}H_QZTEY1Ai)KcrznvcWGSj7_?7JjE}$C4j)b~Ou_TGbVo@ZE7#}{G zON<$Fn_6QV%5%R!9K0Seth`XmAXj#*O)^EO^VB|4y3AgB6#YLiOfw7rlQhN9A91oQ zgmOX+7w|F#J|Z0Pu_9phu)A3GN!-cRB^>B5f!AgP0H?lur zB@v2b2?44$S*?AvOa8Qu#2JNTsHObccAb;DI1DpL6j8&56{Vi{-M^8AwO$@$qesTT zg1n{37J}f4fV^nfY3I(LwP|Y-11gqCeT;hpL$ILDv%bmS<f!AbqVMp(<=oPQEjwfoR>WNo@@#BtLdG*^DSiK*$I*Ilfhwb@pU zNL;ybOYhFI-$BhsE_Fy++Er~FlZP6SOWSQYQHAQ>t0*=i z0KEQ12+0Bt$*9fs-tNX-U(Zc#py(xoZ- zBq9^)5)QxyGH*DBdJTfn#Je zG1j$Lu1aE)nV13pt+#7;P1WV(hT4ggW~VG5IL^G{#NMg#@V7*}Pe5Q}w#lrDO-IW? z6huleBHB26F&cF)2+M`ueIExkYRZdUFLOysjf=ElaCrn9#RiAWBFX#S-zQ3kD*CSt zkes<_B9!=FSUDk>h!!XwFG=!_pC;Lz+VfJ)xK1yUPuuaPEHsD28Ib6^oRh{$)e*BTRflx__?hD^P|=n|$#&A~T2=tTfSE zmN{zG4tVH0qX!b6p6O9X1RheSNZ1D}L5B#&hZ%z45IjAxdkvLW38H2nA9L)#53kfU zbo5W=O5v}zGy_(iO^~x(VCY@JGGu7feRMTp_CI$(UWE$p(BpRu4N zMa>iNa_}{|!+eu%@%{+)Xk)1QXvPEDEJPkXwQOF zn=YhF34p7;t;hgbN-60i3h<7h76UliLKtGP&BH0V|M7NB_hmK!Z<}c2WMk&86nVcL z^MK5c(_kiO6x=-JB<1?845W7wISDsU4j8iwUNG+rHlZs}j7hJPg^-+7Pv1KABrIMrV%_1phNoS!2`*A&jb*DfN|^snh%{$nr%DsWTS<-dP3q!TlW5bo{M#DY3n@hE1X zFeUun*gtUK{^`jo3D99BcX>*Cy5ZY!JCOsWh%;j+NSH-TgmUU5xhbq9CS(fGmpkyf3!EJ2KJ)$PYB<8(zj|mwdXq*>&Jg7E@xzvMXX)D1&Igqj> z9#N_c`AIakDjiqIDz(#3f}veWVF=Ajov?c<-WDZ3}vA*-NXc?yjqXk-pSu$ zZ%f7P%XBFEwZUSr9Ow!3PPa6T$bevq;luDbpC~iC==G!4M4S)aqjwl{2I$P*S}2v< z%tFveP?HtS*-n#YR8igYKl(QRz3po?w^}&YGF+f%p{>h?1A6v5Cjw!(6+x>YeS0uq zOV6pw>;XIm4L9vb#w!w0Kd;ur`WQq2Nr>M8jTfl;D|~og%z`|rnHX|6hIp2Cg_6TX zvnPk+Fb~PZD7;~lhf*6DPbZMd*ST<|S5Qut&!~Lhpcxhvj)Y~gc?RS4Fo<10ietTJ z_L_Js4Ct?1<`3i%I0}45!50w$$7yqR6+zlU7Q|-G`hsM~(N50sheX8S>lK>F=KB0) z-{i49{>Ss8_C$+X;0!JY&?E7iQMAe>17tqMaYafsURj*xiezoPLiXf})N7m{s^*H6 zU>E@|v~0)z%kKLBSttt;#K0z`AmWgLO$a^;QVWM+0i6-QP?u)f0Y}Bv{CS~hV#-1D zHCS?Y7SX$`>&i|O5B0>#zFhx^5(nnu67|I~T&VMid+nfG|HI$d-6ta>sC@j1=%2j$ z08aR}xd5uP2-Nr?jTXJ3{Pxi*XMK=3!l{j!PdLh_U~X0e&514gkmlo@_b%k}N(1*3 zm8?){$nmv3A6Z6zGP#TN{p$$%;X`t?E1p%vH89z%Cq2>HfeHb6Zew=em#h}Ae6j{8 z{fl2G3XV1hNv8Wov^@NC$zhU*pu+U}hIXnEg(ox168gEcNZQ@gnX#prKzbb~d6=au zMhz#KIEsJ_x3j(jFgoI3Je!+(rMcBS7RQiAzy@2}C++;~!ZVoI%=<`1hMXcC^(Q_!_48E4oQY){q%ulgt zw>i7Fecj*>hFS9F*x!smWl_EJd&AmkUzOZywKA&pxGe-YXy$sJIGDV)t zPyIES)^H>@(n%d$OX(6zNzHbWl+I>9pDqv7Xgpp5$?g@m*Fi99|CxYN=s z{;A0iEz)Z~#=D;urgA&C2?%Z!#CZ*ywdlzgKo;zq;Q<-=xE5! z5StB%W=3(NK40}=vGF97r*tAYXzF`**gA?C4#h#gg&T#zg2^s16@kD4r@6IPS!$vE*%F%<9 z|CHTo%V=1@CT7;gH!R59k_$ z@%Yv$CReIv@A<>S7R5yEuh^LoKNBGS<ks z^K;wn-H%NYYf^b_&k&;T|K688Y%97Jq8nIhwRf)O&WXMBQG8iT#}}z&!9c~S`Ggp` zEABU4{qz7|euX*4tRJ<5ei$BZ%AejLiJsnIv1e2pWEUZ2ar{h#i&lq&@~1RXd6Svo z@oBqk0`^MzC!#R;50fBc%+WGt>`i(9vc0{Io-~Z@T~@bQY!c$LJAD;_3nvko>8zBb zE{Cm{EoSsl=Pn156WsdFVCLZ8Ndr#J49Y=Gxs<$iEbp7lB+NpO#etXz4}50XkN~jU zjUAEIVrd|f9d!@Uj#A%Yh{%wj1vUo9Yb9$4P=kDvTY?|>R_z(L=?hTReD??7#<;AL z8q@(QI{<#oR#m=2hJ-zAeG*TAKf16_tx9 zD2NCIqJmTb>7idlKtMoxCrS~J-fM`6Nbg;mH0dBcv>?3`dWX1CE^n`D0hGDWwPP7~x+@D6`|Gzo_@lQ3vSxb5Dwjs#fe)IW3;$ zxIRK}Owj9V*{uS2h5)ah;lHQ9MK4eG;bbzTXcK+uH$=}>7zHUb6ZDr}%^9-e%YVE{ zV{%QN;|b9tZ4rYDTy9ao1uv!k^A?EI=0eAnFGY*u79M)pzj zx5}&)Yo9M?eQjITVd`oQYhRp7rhOZX>Yg*yaTuR2BpRCt*CNbPOlgT@yD+i2SSytXbtOx~9E)_&Ph7zFuc>!V1E^ zW0Q|eR!BU0xdJ9@6L06gi@b+NQB*kXaGF6B8x#JdyecWCZ1-9t?Eve45O5Q=whE<{ zAvNk);eQE(Z!#Umf|eKQZfPQ)xnKM>giMp2#s9S0<|?5Zx_pbo_=aXV7yPSAeP=U8 zT#|XtyjdGjS?Kb3ZZa1|F*&YqEmZv&Rrr!LTSDK!2`g?vq-{k#O=y4Se18dUc==x#f33y>7-W>bIU%krDFSX^avpoM>ju2Ka3*Pp(m;gmapzye-Jp@Nlk;JxLD(J#C{eV6BmrJGH4^{a0fd_moFMi_dJtP$=8aWs-TW@s$8|i;S6garTye3S6DwN!3;pAT zZ(^{&6jry@6Qf^qPr{A!JAjgVu(Ps2nyM*TXpVR#UYCB`a%rp~W#Y$BRmk#JCaCD` zxKBdCj>Z0DalLl{S8lB}o~vZ<)1{0RTeEm6e+9)@faB?29%TQhwVP#cT1%2gioBb5 zQuJ#2{Lb%`e}Hk`^J%!FSHU&~lu1kDcL=a~RGIQ>c4QjG z$vMU^J1pX1A==;5oI@7NJ2rV02{V1~*B`MrqshmV&5XXeIu|g@i^xjmW{;1uHpV@D zgA&JWO=o|cid)F34GzSxHx?cCCH;+v%VOf0H?=eB?z0$k1fGlMDSK}eHm*62$=CfA zYBcqGmnChz6U{eC6AnXfOs_P$kU(It@Ue46hr`$Q8fn&w(_^tV`Mz^=tcZ0g^VGEE zZC)#mySv`=WBG=(@ITEAfjl{^VyN(NsNXwLiekExXsws@64i7{O3mu~DRq|_8;9OT z2)#Gwt^cG69rYa`T%;y87rCv|0F5*mZYuS1x4-nxxVXu1Ikw!ItXra~a&>fkT_zz8 zHjSSpx>O&UR)`9wXdKkm1x?}-)(HXnQmZ~KNcV>^(V_gRL!hdl1#7Bb@{zu z5)`?#G{pxC+q~;Ci?GNevl%SDv$B03^w0ALsxCbLG`{x=od4tenTKe(ewuZtQ-Rvj zGu#wv(I1Vvxz}jLLnc)@uI6Qe7~bKXBW=B_1tWM990&oCfu)P1^sIMY8Y4^nb){T~ zTm~)ud^v@OlVxh}rKC)vi&8YV-Y;1@lLhINHI%VpHdh)yzXd5_|3cRJ&jUW z5}9oZvx%7w-NMk1n?^f1PWi32m zL=N1V=?+kn4}M#VuQh%?=tdqD?JCo+*{)skCA)XT%{Qg zr0#Z#GQBJPoe>?hnjGcrqhxm=6+VN{lpkiO zyAwDJbzCBjgOY|fXMQPY+&UbQaZ{JR+RBqD9ygM9 zku`@}O5?M1(*PH#6rp?NcT*h@m;#F2q+xXv_N6%IL0YP=i|2Wp+mrNgvc8SP>laCZ^Blq)ekmqKjS zXf=UF)rF4%xxXVO6@>KP&|5X$s4!Z=>1C3iFS)vX2{Yy>Jb074C_1+b5!F1Z9vs%;D|(US;Sztsc@JfW-r125l3@g}VN@hyr2+Q`2oA z7+>Z$@mMc#S7k`?SgNj-<+Ki11Ch&RrP*^ZsKwh?-o3%X=OM1@QavUwmBHE*<_(##mcE zmpkcO^!xMw-5{Ht_=C**Yi-iTnfHxk`3iHAR=2{NKBSFNSv2zrd#&UxCCM;Yt(+KO zLco8alI|=s9xm2E&ky&R7Kp#(z!u^}`4AL;{RbL{A=1J5>Y{*Fcm_CD?ye4R)|-Jctuh#%9g#8w+=xBX{h`-`V`UR*}jxtwVM#1 zGvO^bt)QTA-+(JS-*RGo~lM-HgJ@P{d&C_&ESj$!-{#k)I&4kp+ja}03I#iVB!EyLmY zLHgM2lS4C!@2BK*a&8fD^T}Cz`gl2s5@d-TeQuzzvhKl~@h={#%Dggaj1y;dVNNb( zQRJ-cIdzIpb(z{9z4xR|G9LL^ySls$VC^W5DpVysU?ER;l-F7^i<@ z@dwudnN+6uPzU#4qC7p+b?3rd6=8k?zr$6E<}Z!xAy-;-s4>H?U^!KxU&0>Y#ZxC; z2G~44e`AY*+S=U6ZXpe0CragRu<-r9Cl>d{@0Zy}DPv1QbWkT(*Jg8!OOFgvuw9>N4{lFdqArDiMJqWq=H8bytv|F1!;PRl%sbBRI;(EJI{-gY04Vc? zu0dY$=c1*S^aokb+?TgnZZ71LvSMg?@Ecs63VZwHB5l=^2NLEv_!}n1M*H*X%5+%t zOKkZw^ZU?5+&+cn^ddX2WO;f^B)(`CNEO9fk=G&eQ+;zM>C63pbeeWw+rFma!`94y zIMX!M3rD$t4{Fw0MQOJ%vxTn5^wWG{>GAd{zY*1(c|0vQQj(asEUt8MBZ6op%W|;p z6$Om_GOqcJsZ_Mzu-i!&SO}x~n_FD+Q|2ZZ$T0JJWAb#qgIpbGp#UR1P1nsNkw* ze-~D1r}-Ox5yZqlq_JI5tve5VLZFa;Zr~CC5|;UGBppxwv81QcwoT^~2T@v^S7f=o zfuOZ3yVEHAY9uE(qvOF7h!jpc8uN;wS=87T&uy6Ku2!(sf39GghHh!H&l$)}&OGG< zLVl3P^iA84qnJu4iNb$`MdM5~XR2>gJ%2pQgXcwlHMgim{Cge`H^`2ESMAi9dZzi9 zvzxrau=O!tVlO-Kc$94^E~;7qly%{SG&EaUXZkqg0c8igT&EOU{ZOq?+vaM79T7ab ziMunWBC2lw2Q-_H9ZTjga|O^ac_q_%6-A20w`1k`5@h2kA|O8%X>G&LcTI?jwRxi@J|2FCuvyVAVK4PwD@FCjzA3es+E{pIOhmoktY_cQ^Zzs_chzCy z9JcAUttADFhSZe@^F_$+&j-uul%X$rng`8*(mM&i9X(2uHR_=^7MunHZoo%S?1;Sc{ zWj3(fa>kNHTg34)|JnLq$oT{R^Br|h!TRFVN_LsjIlD4>D${Tj*^>TD19^_?SvzB+Of37t zzw^`E9jS}UwA<6vB{&2H!{hQGvP7)Lea1adlv2Wzt-pECA_ThtXn)vwCIKsQ_l2bU z7`cAba3NQu!agCIxUK7OF;|7V1DTaJ5;}~qqjrN*?ej^x*Aq@>15)_xftVVc`Fk#z zgWa8y-Csse&_*}>pka{WgFr4%@2sf{5SXi0dU&j4;P5UF?i<^arm0{4pIBIFL-;&c z5wpLFE68%F*Qt>^9l#Q-(y$asvq25+LJb&xkfB3Tgq-5uT2!`q)A$V|gCnaQ;uYT; zgqrI01Wx+YAxqTC->-T=lwQ!o9cMI9o34o*T0N&ORY&{H&^^IqT?}itAE#R+Yi6m3 z_OQy9X{~k5(Q}(|^WJhgR$rD!&$W}eIjga{5WT@??yUaGNO_+A*dfV(fWb8V1NziL zH$hKL>|hffCD3d&(P1h64?h#<8kl7sePv+Ns zPA2^`a^*~F6DqVmDUY5CoV=o=uC&B%wcB#tzGXZc#9o>>LnYjRf8bI73a8U^#&C0t z-S%yj?(Tycha+yCB5+l`zlXEQCtlQKJO_xo7cFw)b zQIs27zZsxbWR7O06MdK6TY8+C+@R|Jgzelvye^(6IW0xD0X5{I{A^+xDpM=cWG}gxx%C*P9m9s9XEsz{HM6(= zI4<-e?^v8!>{ILElI2dplV>2V5z|8PJ2`>^Qsr#D*S@akjl#eX*ynw&p~uogO(Ma5 z3u2!&S|pvgD;ggdT5wC4*dTw~zT7OK*eqvt=o;YbA6GQ7Z>xQe_y)Z6>FTYYr;WULQ&y*Twqk5!?ns2I6WI!Bk*b4Tj=;R8T zck4_VOE`g=tQ<%60+nvJ8(wXK!lbBc<08;!x9G)UKft9vt40Wtp(yCgL6~3E_vlU( z{T}z^8v-9H3`&nAy_((m1q6Q#D<(T*ok@yw#rPY$-mZ$y{}bABPlEMIXj$5s?r}w< z>z(2hd$}F-BBPNOt3CF_RbVeBgT{jkqYT4d5Dk3+< z7(7{HtDMMBO{kHw8Yq6y&B#?Xl-A5zm?e~mbJ<4+)#G|L7OKA=n@lf04hz~?^BJ>} z{9Un}cGhENELgW375fCS(CGH=bE5E?=PNG$*_1>(Hg=!14qSKF%rh*vu7YBDoa>yM z8l~57H>(on{xHD+Gal$q<@D^`zH|+7AF%9(dpPz3}%E#6Nb9eeuRM4H9 zjpKT9&v;tw+G|GSBOC}?bB3CCqIFv{{fY@F-f2JGs_uas72VZG8X#d$E%mBThW)?P z2OXh;7GHROUH7`!4fcH6>E3;NvdvS}y`<8E8!1Snc9<$hOi zq7Tiv7*fIHY4b3OHLJ;A_-;R1sN(oZtAA3pro#{XY_~(o<@l+^=3BU(V71+#Z4T~y zZ7!bvL4m;FUA;#YsUOf|x$)h)qByzQt|4mO_hwBaI{X38e!WPnZvSDQ*MSpF5h`IZ zvI=wp4NbAEjRgy<0d&Zsy|@7?)2B)Kc`b8{HJ|VD)l+>87PjQTSj5_bcu;?LwRYJ& zivP(T%my}|#B1{hF{atCDP5=iJp2?UFs3KdoZ8H4UHaYFbvI45;njwc%Y%aVDcM^} za4Cue{RmW%V!n$bcLlAwZXvHc{!nVb>Xv|E$FKKZ&llJL z`hSdk{*c@E#3Yq0rOYwSrTUR}9Ed47B1-7H!TlE7&my$FMin3@1K#1Syd->I99yB8 z3a?hHp`@b=w)hp?(~_K@n}=WGQ&tAHI^V0EqI+JmDY;{cc&QtVePw;24dMNI%6}-* zSzqS61pC(x{rN`Wt=@tM!haC+dVKFx^Zr-p~IM z=geuOBKOP0uFhEt>aW&mF(+=f_Io;W&Q04}AE9zeZ&|;8m~sKU_3r{iM5A<_fZ9_{ zV!6Xh*VuA<$u8~A<1|yQ!=?WEP!v^%#!BgupS^clHgEHw!v#}7zA)E5KJ40KTHs&r zn`zcJEGyXR4z9j>-;H~a-G18A`m4c!Itw*BN^t9S`=;URx6FhvEOpq0kWRe~B=XgD znl&$|wO(h;wKcMVe^O;}L~)`QVRl(;pvGH1x1UT62OUs8ZQ4*%7Ed@gp9zU$I?7W6grv)_{IJLGK^+-)-L=Ym)TUWRWpMV0p zEa>)66eFo}xm{K%sp5HsPsOwdLUKUL>(>bXi44N6kndp$a?%EtI)6Udr9tUdcIm^T zu^6DY)u{Mpx0RP{&qrNF()Y#2YgeigS)5#+nXp?IM& zD1`woBrCV=i_>IDnH=Rm<@SpvM}+$Vb(o_bWEnq4N(cS*e|USB>l9qVCq;o~zo{2>5(x8vOldLex@<;$P{OXDKJ{C~gkbgZ

*^iq+C6| zYA=PIAvMQT>ffa)$Wku;^$PB={h5+{LdVf70;S_(mG=aAYhehxt_Ksb^P5zg9b-T%0mi>_mE-di+(?Zn$%Z8Q`Eo)&k2)SSDK(m?Ted28ubtVmw=N`x_ z5ou~Q!S)~H^B3372$$bc=^t*@Jt&ixzOs*3X{-6Eh13^QPDFlp)iGp+luPAEF-0YF z`h~)o9OJ#!LlLrO)9=8W>YVf;Cm2C11<9Yx1GLS#Qct7!&PBecxFNraqT)|&NNMgI zJ~Hn{4Be&Klpr;{_HYBUI|l`Biq;A;eP{J$BHPyQz+7|Db#HwQ!|X;iNL?3(It zc3fT#m`u>q3>R|(i}_5E{KCqKXvfq*kD|(d7~dsY>-(7oD_-X=AMqF~gF98dNA7P1 zSPg_Xt}1?rZ*+LN{Q>+{NZIuZ+4yJe?lNoUqGt zcx2)S86)08IEO{NH=n-4ONcaQ4qiz_147F4f@Z_kF$mX^W(;E3`)@8@O$2dI9P^Ym z?Z2)*nJ0Mvbo0xICO9yF?e@Gqjaq&Lj99{B*f;*Np`*e~ zBDzizP3ELzHt)5@VYYNkS-QY5sE$uf@aX0r05W*4_0pK?;|(sq{I5pu+ghH0!}9x` ze`sZ!`YJAiC8-#C)$wPpp}VX!o+aRm(NApV$T09(X^{p;KpbE7epk$@l|EKWUGiic zPTSUVU9v7$R%z3ze$J#%q~8qp+g*Uhy$K{w5m~d>j7c?CC@Zd@y*=*Xf1kiwS+J1BMDG zJ>?WgnTWC7%q5Ig_P8Er@(=mc0b9dhi5wh$sep5Mv>joE!Ur&JG{LE_bXc;-4k$Ew zpUg4Hj!J~_nQ3ZQ?0dOb`NTP#a~vNoZ|IFG;@;kCLttiw5-(6Pc%99Wh?sHqc?C0x z!K;3aE4y=<9ZQtsHYvU~>cFsJ)J!ccEF0e?d*6>4)xY;#GF#V2T5BtyglJ)8V__2d zI3Rn$s`43<0O|93)Ux$=1cFY;ta~y^pSi#I;J??Bo?HwwZj=?fWY%X;8#dx98RGZc ziY8>k^GTbg>2|YM?9rUeM)N~6ZAkP%i`wYAA7uN{L5nNU^RT#uWjJfW&@P$M^Am~? zb+F2o*tm(b*DX z#WH8YGY#04LXIUJVEXi^GScstrXjQ>pJ}4hE?2vC$NNG>!jx;g+b6V53txw)+Kxxo zn~s}~zFgXcjN1AW*w6*@;1-#2RxEfwg{9LxrpkL*Bz?Xbc){f7?NL%rnfs0<=V8XM zUAOVyTjge=+a5IW6+1$H;bT?!CRYq|;5&W&ifx$Ryq-Yj0OS_qFj+mdyIj6I>hJ-W zatWQ}p5`%sf+EZ|q5aUt#Xqw5bAA4jjiRN~>c4Z(Z%)|soWHBsOKW&H)6ZAc(uDn$ zdY*SYZrwF`sN*QGiRFfG8*R*lsh{i^EnXXI>G__ydr#)s z$O5VRMw3nSkPDoiS5c76S4csq;;`k{xD%KOFzBzF8_9UF%ut?qCDT^MYm4M$g5MatA@-7!-sEvHmchsUrKCdaUY;N>eWjc z-1OWR>(W2#PFygQ*fz57&D^%Mp5u?^ksJ$)!8h`^#T?{0;sJZZ^$od%aF@<;j}o!E ze)73BP;LT2w8L?i(gIL9G1G%D$u-Zi5#Sz_*)^C8%OA&;Dr|5j?_V*Tq| z75ecq4cXgf-@$du;+a@69xz8h{L#xy6(k9hn%BJSp6#kj!Rw;x%!8^qt5VC#;! z$w2exMb8fIiZB94%()?m(qMfrwd*ruyGpka>1^CSX@xDT^+No@D`|k}6=6XLh=om= zN*e)=;+6`}7Qf3EGAKb`L$~IP4aKGjj}XB&^lN&n>=<$rG_+<6sNOaPq*8){0EAWN zGjfx9r~>pg!UgtV%`z6`PoiZ~hL>$IEtX#s2{L{H4JY<5nY6cCdBe88c7 zO@9FSiSW%{@Jq>=Fh3>h%7O_8_iKPluP4B#mt&XGD;ahIS9+asr?+X1mc&KC`oW8r zyCy;=!P5Af0W;`}IrxnODWxz0!3?KMbZOUOL9MkG961f?(F~*XFx~ZiSSWOOPhfUI zvY(#th(xOhbn%^mQ=&>afd?IC1- zMIR$NO=^KIbZsv7CxCQozS3I949l349Ih<8mX^|9wzl&qmYEYfrv&EnMQC9SiQEpp zvR(ahpadC<=**!Hk>_{jpRO87DD9&s-Lvh*cX|FHuNvRcY4s}uN-dgmPVwn%PT)D5 zV~Jnc9U8uGPtzbeHt14)k$I2$+Eu=JT>Rx6SjlE7S(gTu=GlcQh)5#W9&jv;&8+m@N~^5O!}weO zZ#%|E`9D&bn1&r6iOgg6$e84FF0-?a$Ya^Fs+4jnIc3=kJ|Vmv(oalg-!v}5K@(=> zp&Sv7y0(QQX00QB?ncnW(l+4OINcuSR@I!J++@&+6%N`Jg9yVBD*VempCg--Je5Ib zA?kxo^;Xo;gwjY{_0#h^W|a?pH=xQh^Y&CsP`AO*+S8aXhlC?e;F))PDTRCj~5fi29O2EIxJN0MEZ(|LFZB9Ej9MZ5Sg$b_uvWKa)Y=vDwWgewUn0>e&$I zHFCn1^I3Z5m=l#!n>YaSe-|87y%M{E1+UakO)lKaW0vE~@|IbR!5@4pz1=@RbxP3I z;XeB>*Pd_yP7SvBL)b_kS)IA*r01Tog4aSi1!7tixzd3vGk|EHSEsV)L3t*duLeRY zK7t#P#HTe2xsVqxuZa6Agfz^GsnEXK_)W%5h)Jz%i!AYPZ5s!R_$dG%*ttp#pn|Ua zH#ia%E$RXym5og^kOu*+Wv$~zcBbZIdS%!#2S+va_{;XSS=7Lm$62LNWge6{n&<(- z&a4(yc8;}CDP2nd2z$M?2hs6!Tbius$czhNm1i@a+CAZ}(68AVC@%+IH7 zs~5E4Q-3aCCvQvV;D5Ev`SgC~^B6K8qzfQ9F-3$y!UPdMndi)V-2rFtHCwI&+hz6n z2g!`g-yvusBjuq~Aw)oQwKxFl2HIk*Rnw!!J}|Wc1US_1=L9uyR#DBW_2xE#dfe=% zozx*=BrAe<29enONESdPEeSZ-cYgi9A}rhbNA}9oTx3yY&4@93G_1}*3EL(TFxIZ0 zbiM~0y=TomcPZq{9#9Tbpa`K#ZL>uG!we#_FoOUGYtGw$SGR>iu9@5!6&|f5Gnu_X;c!H-$l@xH~YUwGp9|yOWyJ^>B5!GZ%s6{c=$4wH@)6@y~1BUJ@`hfzWj}3AP~5b zl5S496PevI@{6<-y^_2H57$!vE`|`+k^h-x5|wuVhz6}Y+w`p3q@)yO&b&N$54m{a z<<^1TPpL{gs2t-fsKJR?;LoD#0O&HpotyuJ8kmRN4h3v|@9)61qpRPLt+2~ouw*7@umcJ1a zq)r6_vdeL9!hG3D{?~Nt@v!VGWl(RnU;uM8>6f-ASD&^~g=GEzegAh+4;XQvZ-7UF zPk7Os!rg}3;hu7(X=VXGFZU)>{;zkx4<6fJM7yhqk$b9O{JTXDl}+iipSXq{myuJ! zlT67^EuUh%SVVW2d(11(nb6B*1WzS?WHR#EVNIo07HtFmE)LJrm04n8N?y@}nd;vr zybZB1-EzKs5YpVSVUQwzK5GqW(*#e~aWDazvU&Zse+{|K9p#emYV6NQ%U|f1`nSpS zDYOYtH*>A_T?mWG)gxH0Q{1cF73-A;_xQFaCBv_t)ZmypTkM;{9DNpUho z7LgxRnXOxleRs)6GPk16J?8up1dcXj`!j`A0qEf>CI29%fHtn(2%t6p#%tz1(N%8H z+5$KN=if2r6v7Db{V}-g_Y5wOEEs)xHzYevhkDAURs;5eBuUywFXgi1yxa?qUtGa0+S@>)Q>?GCjl^ z)`l$4K}QA}f?&qo2L%>q`r;7*=`(W+LaWF8b8s<<=XV1%D4I9)ddsn`ThK$7a#%MY z88Xc(>BpN1m%pe=Y1NNW&%8JqEAGtE{!jEm)S0P(#0Hf)WRQSUlfllgDUR+=WK0ej zeh1af{L^`MkT`Oa`L&Zdy#CXu*-*N{^&#)!tQ_A!Z%ZcV6iX41;;$dxs2$)MU|l&4 zmUf!wtana0BiElVFKD~qmd(mJn%*?NW{-^iSd0#*V5RG1Sj*KZEPD0DUH?Q7E%j_Nv zccSPkL}Wg~f4hI(m5-@k>lkagreE_0KCU26{y**ccXc$dGlwk1BI|*di57UP+nU>o zWVPq4Bm5dZ9ldf%IJX^b|Dpo4V5pI#Ko6uEkL`K5T z=M-%`d<*nez#UJQW{&e&u%spc(aW(Z*}JhFgQ-rD)@Z*F2jJ}HBn&sRa*qtM`6sJ% zsZ%w=NmvjC!hoP<;X!tOCUzM$a0@g2^Ejh*Kxs$TEM>S*dW4r zx=73{N7~FIq@xN5d+npLUqhV#NW4(T@oXiioBX8~2L$x39?Mf*Omy+~vXE#JLsvrp zRI(e+m(N4;VuYxEz`A{ZOC43L>-kgP#B3V+ts1#dUY}g74Kx+fHH=O*vFJaRmHBZv zmeiTU6C$rq6;iR{IZ?&Oq^~Kn#)R80fQyi{nd?bGCNq_(IEezeZ~kY4LY*#8WFLYX zDD=npBzK7>E)8q|bd(Qz_q(LZ1>*I|OE_eDC6ol+{M|tFw;jj*wH^qEBf3t@2o=Jo z3i|3-pVOJltmzcKQ+czy=V*f0jP z*S^I1Ic?mF_VB6+f%|)zmMp!So9#T3SOg@H-p`N!&xfdub$PvgB~c*nuHHF?mV)y~VTqJMnXP(KO5|OH4vd6a;r}4YHDYx%yR-2sSGJdh1d7hcQ--NWfu})brrXpU z;4_r-Sz2d~Y=}0s5x@mnFz$*jm{@-*ky?rKvduhs+kvJ+m`GC_#QTGH;;P+>X7_z~ zU9wv{B3Tb50r0uiP@EX26*0}@AWHEn6t|%&o(CB;tQBg)R>Ni(7fBYGBtIBq3b7Vy z$r^tqOG>{qvrt?i2Y_+25z=q9g$Dm#-%P6xe&YFbBafgy2^qLt`@S$cC&{`xTPUf& z-$DVv{PMrVDKP8f(4n|9Cn%l-P?@W{VfYW$m7Be3vXxIRN}@{_Ue5>DvyNB-u6|VueYkvdS|&EQ3Fa0>$Cy?WC|{ytAb8ir&s#u9l5JQ)cYIo%-)Gn zHJm^Rk2T_Tr8SoK>4E-Mf@%VBR`cn7E(EjviN;o8<~XaVsirO{kFSkV`gzk96yx2%lEl);fe-xG^X)??!clKXbs-^ z=wh`RMQM|CxmP<_uy#zT5aa^bV`2UPCOs1keE4WxO02*%!~aV1m#U>(TC`?`>yym| zw!4m%-z|V~gr)sftV7o-S&heX&_?=pBK(;ncJZ zFH0G4B?t^hB2Qi|mtLoW&f*V%_+tA2$$tIDNFql!VXYd4YH90qLzl56#`tK@Sr?%S zG1!Y;lFQ$`-_Bfc#dL+yk+scI(@&$<$lP}&YV5NyBVrya5(1%v*Bp(bKkUcIJCXGM+;^OoUgg@O} zyOd0lKu93Pn-G`7yMe%57IOCUW5iIEAl0>hD{Q~@6ZTu zk)5(6$79WT;U}O;R@XN8vZ=yE0fI)T!xutv4?UFkSF@|cgb;lx@MlPCZojWLS(N=pVFRP;ap*?*SD zYj-_$T}B2^uJY1snkYUHq8XTrUO{`iNG}$rYYm!9;l+vcAiCZDp9~g0tx|NVf^fX^JGp_kwAI!SzJEso zl{u7#d2w!?edk6+t*I(`*Up2rYGPNPa-^$HqGgqg<;d*z~w#}twSB^o5IfLtS>ul!T z1MXh5^;srkPJ2Sjc0^rmM-0?p|hV8=J8mbozw~sgr@KH^?|$MaA%F zz-7z$^c%ymf?jZk*Lodydu5hH%r!=<48km&%MyHuPcE?5?NuyqafD_UmPLLo>L7Wt zw$}!tWk001JY7EE{+~ zv%Jf%sN7SI97sYX0j$$Gy?xX2Dhm%z#zsPRWc+%%-$3q1yL2t9ips#+_^OnoZ4#_^ z4NlVYtuH(3&hYmHE^|+$1$d<`B98RAOsXVh6d|jZS*ek%q%7l-r}?l_tC$P6U8%-! z|1mCvPPKnprRj4&@-GgO{mXzkTrQ04{s>_?ZP4;4Dopwu#`i?5#vaeM+pM_hXRx28 z-2CUaL8;G3z6T4WXZ|2hrSobUit>owdXHnrfHXwgK1~LBSsq_>UMWJnVx_ZyYHQmy zyhpNsu54vlWgC3(r)X?E*0KMA>9rH6oLVfQ{*NRpIO)n(WeNqoedETB>uc|u-*t@N zJ^u7qW}R2)D9M(dzb+~14gKw^mrt#)O`++ThSr~_9;_+#iTRC&#Q$}ij0k+(`uNdf zhue4QFh@}pE_un%6sxxtPg_qT zp;>%8zPXSvhzN+{C<4p$NJw!s3%+C{06#lLzt#k3)fKi>cNMQj%zg%1rL;@tu??prq97yCxArNw8n}QZq?|;ExGrZsTo^^DCfLGmd+o z@2EXjl%pfFIxU>fXnN>3d2L6ctYgAb-7<#nOfs&brXC9umnQ-EA8J6()|4P4{B>AtXzCm+XP+O#6Z@!8Lh2Dw_h+*NSJw5=TP{O*Zy zl;=8OAnW+C8#uFjg5dGzj)O2jWCfiVU_DR3<;n4fHxZ*8MMjAg50=pTO_#pR9=T2| zf94a6q)Dpy4kukbCfI_0HQd0tmv^a79900s5aA2nKizTklyZCUmXZd%$9zH@X6Wcb z3vno)TqEN0w;gC0DxS{YprfC7B$~iW<0j4Q&DsHbQQ@X@{1PcvAW<)|D~gfY$+f(w vduEf^ZwHAPD6N%;$S!Vs^RJxo(RQ(X-|T%FfS_GLr&PD@3hgfd00960_u+Gk diff --git a/cpld/db/GR8RAM.cmp 3.hdb b/cpld/db/GR8RAM.cmp 3.hdb deleted file mode 100755 index 8237568c30f4e94311af07b1b625d7874200a1c5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16872 zcmZs?1CVFIuP8jWjo;X|?Hyxh$F^5i+wd6EdxNTO*1v!IRCKgg{J`5}?-adu?rB-MuJ^g? zVomw`W1H!84qr#zVH|#}^`g=DLC=a1B_D_d11=XJ*c!Zf`|ou{oWO;HOTCsG=e-C9 zVwSl&Ky7b_!|d3FH0#mwK)Ovx^a^?NR(4`DV!&~ zj$et)l8FFxhBKpSd`T5HQM8MQ*<<^;2SEZ461m)3Zbx`WXrG* z-AD6%eIaqZBRbFNj|%m>DTn-77aY%F7R)YR()UqKqZ{rM#jxrhfZk|by7n;jq}n!O ziurzhL#}=1Fs3Ga>Xt&D{GJ!zvU+z%%&eAY@W#ZI)*f-RJ&d%D4bSEFY5$gWUL9VL z<7TSY9v*LQ1FaO^0MgG{PU@jdLfw7d-MGeC~1J-kOUo zz}#MoGCcDCzZvwlZ+oWgbgYQhy9~{X&Hwku|C0W{xe4!kz7BwM=9MmI&#l%oS+$!h zr#V!u|BNT(O0gt+_GY-zy0(vs&lzVvL|EOcj`TMS#&Pf)2r4D-_A36@KwtL=w!QDu||2IGZ%`VRIiCGEUD{7N-dv1PTtky|A>~DOR#g@s<+e$m7u&-BD zUQ|}~w+o-P<i>wX1bv_e)~ibYjm@C8SAc;KlFc`pBEgKL2Q{>5R#aP5v+I=D8wf z)qApC!MdSvH)odEY;3jXHM9|3q1)YbiP;bL#5U@hbdJ|P=fTYnZFyv77evN=Cfp2+ zxZp9Y@7-}$7G7RzttB4DY9o%Md0%+weYf!1WUqc#P0>#LkMI1C_0^;htu%Ibc!9dJ z{aC;nq9?P__fYZoD$uqlDBTEp$uyg_gLLOQ8mCQ1$bIsK+1&}8<77W-MQ&cc79+LXz`a4}V~YzwwnZc8dR{D=hDKcc2gjg8`)` zp5{l^`rs`Y;weE2B6v(&;W+azer>wMmVvlf+6t_u4I2CpuN({Y!%=3#p!!n=Bc|}G zXAtL|Wko$QDlRLpqbt4+&JgOj{T5=^SPI$Z(tGW>q>bA#gN0Wi?8iWEaj|lNdmT2(Q0cfT=Lw?3 z-!ul5uyKMX?qL*s5_sURMHKk?C^>1j;6n*Of$#c-V6;4f8C4w@okj&-niCgUl*C{5 zMVYpG|0Uo%l!7bezQp=ktT%;L^9HehBe`K7=WEwCV7xXBGaae#g?@jK{wVLN#H%iN zeM7@*eYs9A{mr`5b$VLHy2eKwLE45_K3_@(_i{W^JY@mdWf|qT+r{(Fp)K{5JS+g! zjf9da?(^?wwV|WL$n9@ax(lsZ?=)>42kDQ2%tAt4#&134fH~pUiu*t#ukhV$9pfxThs%Y#M$8^`VxUZ2hm({paZ~jmx!?X2=S2g|*!ES4{)o=GeOY z^<$rc89d#`QskLmALpM9kBRvDYp&0TS4{pD0?&IEUSKNVMU|TZLy}Ejx(?GhksB{i zUQiqz-l_-H?9ISv%R}4fFLc3%E-9kXXym>3)mj=CF>>o()n|1f2}1w$RFp| zmHAemjD5G;i1oLOtnJVf!;17e)wg`y6(%%?bdkX4LMl`fj+#%I>>o)w25A{tL3NICXJ7w0!rg2Cr8!=>>Q#HRXv$h?{s;Q2gz1D)Oar}VEiq$*C2{xW` zN3iU0HB?6~+8_@Rj$`eHfY&kay=3r_q<<#ZioITjDHecE;VhL+h$2>Pvg5sExa8fg z6<8luWLbR%rY$@!_+;M=MEpoGJR=L`T3*$hSu!B|5Um!0=|2Xsx<;}L!IZJO*$YLp zF&oOLziyg$%?-MuAX#4it#p!GllLiBIq(wdV~We|UhT>)G9}FRpyuygv%UiDt)D=eBp2Kdy<5UC({$c$0WftAzCeC}ks{=I5U6?jV6)l|0(4^y_+IDs^R|6Q0BU-whFltM2!86UMm#KNktAR$ zI)k50@ZdIs|LBYI9f>7Juo=x|cX*|B#jN~6>QkYuYM9N0ho71^q@*A+2yJm|U0prf zEKRU>&sN?5>f^z2*V-g}=Msx-EbE8&m5QdxBTAS;#=W*3?dS`Ce<+rrxbd_vP%F@s6ne(y<)d_gN!CuxvBC`i&_})V1y-t)M4B zEA$Ho2W=RhTuTX4yshH~cu&iGo(un-#2X5C^nSfj6Ai$KA}Mv~zbM$zNvB{ZW)s|z zVJklW5w!N^UqRAn_x)=8r)(al0W&zsA(at9;-3tFm(SO{ar39dLpKB`y`35XqNOA0y4R4rIFXwUvV7=BcT|4 zPdV@}wRFwhsdxGl(nv!RNbvNmYyTo1Ec$R`Zf9?65qb%Zkc9pW9!afs#2OHMC>LC9 zhT%S%&ff9PUg^%>$j)Ba&fa@*P6_E40A^s><7h@u-Ka%Soe5q}^-0e@3jMG1$OV#z z4n(?MGpQ|L{%m^StxCiKvc7HwjyFd9?QN2rUYnS$QvT6d{6UIPPqbXXwW60pkHqci z-ULC7aO}S3FODuPUeSL=xH<$mHcsAd?Y)n?r$bAjCAbth%pIsKSe z0U+61xi& zkheP==jMoe);xSRq#TC}KIi6+yWaiDW^92prx0lX#U`W5C5AP^cZD)y))wy04*-=s zL-d?>0@tqMGC>r>noQ%K{#^wvfi*?UqR(;10EHk2W5oyD4QEzJTaF)@xao1ta7la& z3%HMnTpY{{*x_6N_h{rL@WmG5WYU{!HS^VMUWo+t`^qT6;#b$G^CfI=olX?bigMbz zE#9=c=%n)EeHkW9l#P!J91LLXUP*$_UVS+lsrLm-KomC@%?7Rr`O6Nw>XiqweA5*D zO6RH1JM+uM9Bf=!jlW$quXO_d&{|pI5{Pz(>*y`ZpFiPrhJkj*yCwKmE~0=eZa2?{ z8wR#K9s-5rA{6=FO-M{J}B2Nn8C zUjgE``L0ElO`CJwZGl5m{YF2dy|z>ReD*4Pi}_H?OlNKXQ5Fkn{Z4M0vIQi)lm#U8 zAJdi&(%r0jD<{Wets43|C*6Y0tFUv>tmw9l-0}OiSP9bvuvJB}E<|?w2ub=4Dk%EB z7Z8ddMb(6UX8OUm;|;gJp3<)KDbgk;EsZ=;&`%0vwUCKPc1UCE-0QAZ8TTDwPdm9J zk@c{jArzZ^cuv?4MHfhFyZA~%`o0qIX@RmQl(*xQE^+C7#*SLseBFw!6OuVN>zCI@$)*+1;O#QIn#&3iAH0M;#~5#e^pdWqT7O$JAb_ zoo~I9m>q=Yi4H|nbTaRsQc^39yS(v5)849W02EW?)8M+O%oSApr1J%qxGsBN@PGOR zQP~JNGxiqH@bD2;%kX)thWXqyQax`P`JZ>pv#)yRJvIYNoI4=p&t0#^2NvanJo4jo z(_uHYM(ck5jV$3@iBM1B`aO%8J{#xJW zqf`5vNSu8p$WUVh!~iPo9Qyt&h7W$_8J;{T%#y8Qu4M8{A7|-liOt?pcIqy0Rxc%j z^1!}&<>|xBf7px>5}2f7h)Pu9kL<_WadPdJpN)N4%*1O1C8Achdy?qu(w$(ifOxbB z&bAxmw@0<{Mcu)SF+LF26e9$ADp#GEq^KfX@Q7X#jUCxY=?(qbC+ZlZO>+nvt60ED z!OPz1r&(zbTagG*gZMM6>tG-(Ks|U}EGlmF$mmK#6;Gfpf!a=ma7~0D9no)?w16i! z#j^~LaF+_I()OR0EDz3$kVuwD8Dqu_J*+5C%mJpIZzdLI^21pb+SmAkTTm(Z=N|M} zcbyD0I6KE1GCFtMF?i^5&UQ#`QMC9Ni@5*YB?YNYy@Y)d)c8M!4C{LYa4e2PSMzgL zOZ7-R_A2Ut=xo}ze%N0m`XQ#!+6E8PF?a6TAEfjMSlIen@M2nP;Yzc=02_NBOrt=s zPBBxQ7GA+=5iFuQD?M}N$c+Y8>NKEox0Ng=It3j0{Drf>6uu+`^#=v~vxxRi+NTJ0 z9o~EN+%UX(3{cN`)$fiC{1(a*0KFdQK)fFM9b*TW@K@l5NkavR=r^Z3hKiVyvJz_0 z1Q}9%x8q9megMcKY*SLY?CCWydv_-DIi7G<5FNX{qi zdmNja&4@89zr`|T_r!nx|QhCgjZ?mIByy?fspj_nyM{=c>rs zvv(t$tC07xP(#dt*_wX90DZ%ewhwBNtm&UoeQmqE39DA^lzGX;e&EAmPA0L2wB^xZ zO()kT-8={t$xFPWS=m)Ayl7B+{eb7=x-lV^fq(WGf76f`HRa(2-J*S!EtfVte6H-vP==a2>4D2gt8I zkX)-q^Wj*543?#5C9}$QGirmpi-{obHi|-2K?<+4lDpPsdTM6Cw%n)@3-G{;+Bmv` zyZJT}8%q8KbW5^ZZ}JB6Xqz@)o8>SsU)|lnEdEzOkF4*#2SgHxbGxtGdBtYn3EgbI z&pE?&kJT0Tm2PBOv0BWYOSOE>8o{7^B;cPDvT^fgrH6)x%eX^ez&dp}vVz^g`8C-}~r zavO>tuzK7d=PTA0^-(dR>-RA}@+y5HcThsojs8k`Cr_9w`lWwhN+JNwV3IF@<-OhS z0vIz}n}=M#3RFBU_#mIb>e=}Iq+sVVY5vIGnGU`ewR*TjUdd~2E%FDJ#`&DOYl~}w zeg!S{LWIdez_so7Yz>Xyj`4ze#T$W)-wyv^J#Zx=5d3mKK)7Qd^o72IjB}*;hu^LQ z!5qI840E}&*7IQ*=T7d#3;sAxbbm^D|Aa-qVcRRNeV;ckN3Z%Yx;%TVuz)E33Y?T1 z{9$u&5ZW25UD0O>&3tLv43-q1~o%V(v;v^eFOoDy1)q zT%X;i>Vezd29K1SaP&FH^)k0y1{@hQ+_L}CF*F5m4=m{;QwTR|V_yJf;{|Bu*sTZu33156TM zJ_r1lt)MK(SXSHt8eNN(0|8H{2u?<@~<;zyJjtI3qDA#Y;VpG z#$59!f|X>P4rB-hTqsVog3=R*K(Bm-UX2dEqq4qUysfk_S8@$WheIH>3ng>yLr0h~ z(Is<%;1~ZNK~zJ;8!UuS>+!wTXo01&NSU+Sb7mL)VvYYDU)Gc4WrVBdbv0jet4luK zm01twEERT4`Cq~7{|P|;|IzIKCh(Ty@8F(pmt^h$r-y%Z{}LCc43`%;#fm8 zKASb(3wpMQTlziGM=yb0X5&`3 zAaL991OEf(^8VmEQ8#jb>am)8cVRRaf!E$=68VPb3}fPq%~{FZeW@2;$nasFE`f;Z zdoh(RaNL{UuMB>3CUBr`lbO1(W?$yUvX2_k;QMQW-+Heqla}aO*pI#nXVlxQhuJ{d z1rOW7Zv@njocPy}_MHK*EDO5D3;S;av(*r{7Si1UU?!>HB<{L_eR7~r8jaetmrpX*Z-=)&FNtX4l_6l!vOm-DsShp9D#& z9#{LEuL8!?rRY>xatyVm^{}JFX3MbFZH}Q1w}|mp&f!^oz45Kr>EE`8%{w@5hohSx zc{1+G@Mrz64)_`}3vzsd5avB15$Zo8rtvHHZS?^_b~;ovVei`0f-F0p10)O6;*n`k zclt*DykOtSLI(wXkckKCB(%%#)m0_!F zDmF_0jBk1r{ib8hcy%b+GGmi&^2(oOsN4RY)^wvJyE;A=NxM8M!@pO#pZiU}`R~+? z*RXb-QAms2JHjDr4-WT`>15xLf}gMpmO(7yAL93YvN(w@rOSI}l@yb%HQU*tL#JXT zW<|DAgIY}pcV1uU^C_=t&&5;Q(8UH7uBqm57&_MzWl*Z@TA% z!e1c%^u@J*O-Rp%fivQL1W@9+M|q!?#WOJVpA@ zqfKWr)r}Nc>R{6Jl`-D5cyHm+|X-gGb?W94Og_$*P=~@Qt2V?p+=Ga?&Z;al~Rj#1%~CMQkV8!_QUp= zMvpdxs-Nuoam=*$@vel24zQ7%;UhQyvTM)S%FR{HpY=(TIyG~>MvEe;rgcAyLx+-X zwdqt1uO6zTR**37yyv~(fzfR$<+Den76od;o{FX~i@NA(hKJPlNs}T)%4Aa0#i}fx zuf9yIwMVbc8S2HlVhm|Dkh><64$X7+4)98rD!Fw;Yx-)LB;l*c@n-gB+iRD^&`K?< zjmtu|I)KQ{B!4@5w)M#g)*$yo;HqH@~UoMyxUM;I9?A+P{^+niT zeTsOx$h=;pbT-x(w&?elULC7+&1Y2_U0YVJcdrITN;S!6gHpqYmZMp{{e!BT&*Tsa zW&YY`WlNPRJW#n(4c#%HO6V-bF`K{1)U+0W=D?meZ<{K~a?_<^tI~yg(yL(pj6E{jqv1EhZ4+F+=yzNP zfyn5FW|K<6#x||tur~d}ek5kLhi{p>#U5VUqsOd(mXau^(}F7zc!~SVQ;7Dhbzk;W z*V+@F{Nd_#ex#RGo2SR$kuMUdg zvvr-0_U!!1EaHKA1g7S@uvL1EuT1+Dy!Mf0f7jMDyDtVa#?_0G@PBH^Wo|@1D6W*r zgTHssK>|*^Y$WddH=BMNqJ!(>n2d>Hv+0_^@VL-in{>LcId~93zFz#X@gA+a3gGgT zP&?iDH5XFYOzQq*PyGDAZnr==O*+3MXMVp(We!MOlWC_XWq;Yjoxe_hT}v%IR=W8} zsokyI{9r~15hF*Aw852NvAhQ)zKRVu#iV1^w z&JBZpeqr;;PP5JQ{I|d(|E$K;rHk@YAyV9gx5<`CKK!T_qB85QJDJZH>5%4ex>V0y zsS8y2{cl;F2G+*Gb%W<~33o%apppuP=P7mEY(LT?{iD>vG__71dVcNPTm0C;$fCk+ zN6(VW`?{yLcFwwEt*4lr+x?a-C&>)M|LmY>Ml=`AkcFErEqPwh54JLZ%ez_)!Yd$P zqA*as1GW_Zxt$*?t?b-srRSL{X@0DbpQf`CZ0zT_p1o~TR$z`bH^`p0oG`023<`+YVmgr?9StexP>3y%`mG1ES zgj*lUz4V1?>vwvQwg32ItIKgeblubH@mP0!^8PKVvBlM!6)6$FE?MpE=bp8z`_;Pj z)k{_QW90d{{NUT*rK_TF_sdFC>~=Yr`|Ue=>M?NLXTs1(6VX^=MqQtA_ z_sNUtCG0ce@FZSGjo47~7yR1(=QP`@b}DSVbI@m?i{|&s)9~91!-8+~7yCEF{k?YG zEpj8rF`IAT*Q_JrxW3Q&hpA=DD~I=c6~=c>Ot$aos{s44E|PEhClq6-&=Fba{q#|8 zr8a)|!0>d}Rqn(2a5Nll==VR;Jqk4fh8XSKfG4-_%j?V2Pu%O=Jl_ZG@S87|w=B`t z%^_d&HB4>+koQ#a?!*3XW;vSSOwGRl{BB%><7MH;mdWmik233oujbozt5e^G!LO6g zkA)EoU-oy*HT)M_pRc-8f}7aq>%B+Yp&0p|Z|#)Z@a5^^?>qBjOZddS_s{XUFTJ1H zT6awggR|GlN5jG$=9sVTxou+i%v12&@RGpHbm#L$&!(r#=3Cf%Ok`v$G2yLIM}I(? zK_~Kn`uqU>o>yf=7}7uv88T50s4_CLEQ2^rqV4$nriWmd({6=f+6O(d=0$`Ul4&ci z_K*};9Py)G0gF7C?)&ILg-@X-BGlX{$RS(A#C1KEN9nbzy+IM6Kw%%*1%ECj?2KQi zOL2w*a2fsxJ-1dw_Dj=?%CvYXP;giza^jfi55*#~>{fSYP*_+KYH97hIbHoPOeMV8 zgU?7p3}Ll0mcwfd@RXVk6gXsW1XyMm@5R9{ zFnE5V07jIN>0N^zLuTUhe^&Wv4Va3kxj6*N^wt6GfmdDbD{O}SsSoRgXkyjnP&4w0pO~F z*)0SO)q+m=#(TCkO`#6Kf==+pXq?N*JnhoiEwD8)oXhAu>p3%yK$l|icQi9loJ+YG zK(q^{8N;kuF68AP&LwQ#r@#0+u^GdhnJ&m>FwW(8UUvR0Km1yl_&c0g1>2f#V6#-g zCd}F?&SgU$yk+CGKYQV<4XCw3!6w3*InE`*j84fcJ;bGWbTfJ*RrHhoO!1FUK(k7L zH&mlD&ShX;cG2t?NMi%rT5h0AjQBf{8NtII^5bh+Zx79 zvt^?I#3gmK3!RxG&Sg{H<&0Sk@FhCVWvpO_Xu&4XS`p6WVxD&KtPc3vAVKk7higvYs*7xHWq_hc|*!MWti%PyJSg4Yl!=!9)77Wbquvt2gB zo7u{rUCZlKFR%u?got*5HnW{I!}NPniFV;Pvz<57p0SxVqnoi=GRqY7ED^U1_|G~E z=u#HvQh26z>is=lx>IbMLezy8xo;PL@EV0{E!h_35t(7JXrmG}W*J(sPGx{jMKs8q zcegWQet<5Plisc;D>IWgNOyO$RPX2)kmI(OBpfQ1QvEnApO}~MKLhIdVGM(!<9;1H zQAkI_=iyJ44MYIa!TOx{fZ=$__|n=)*|DW z5Rr^jmOX@G+XpdjeI8RD_Kdptj;NVFzuv{6ni26DfzbtpZfamV!N%8PWsG&63P03p zc3cx)DFtli{}v7S`kKIhaKW&$6RmijmRK1U`y^K3jrATje2*!9vR`$zTFqsh91^P} z{CcYsh_*J7cC)&CU$7r>1zTtbOV)FVG*(hBLXZ1y?&23W$N@zyxUj=IOg);z9gR`p!Sbk9&dN zAd#;7-D5W1K-Y31nGFPXDEh_99|I;l~^Pk|tQ#EAHcWZod8>m}#!zOQ2-KQ6C zR&h>B+%db2N03EV|NLX78dO6S2Z3Alcs)L!9s3Qh`d}$QEqA+znIor%Kuz~2C{v9B z!<}D>D#e{&iAv4in~YOycMc5Mg3oAo?(tndR8ze8-sG`ab02=~@8XFIm+EpiNEcAJ zfUwbM8i+c_*{Z;?o%q+4=m(jAD`V{){OE1esU* z5`5AZep9tc1o)avoS}D=0R0R+Y+btZhxdz-pa7(7!Sozn*#OR^hD6ZcyKi|a1WRYA z`73GJyyG=2&8C~wk&c-yIfXjr1z3d@gTBw(>+y<f?vX%0h1b z)}m!pK?w{HlH$RnIPQOprT>b)D%;nKzD8*fm#0LiR^^D-_#lgmlACYmp8SCzlo;v8 zg<6F394ei=BqBgjS3wUItjybVc^wM+b!3O{-; z6%}|Zbwc@Vt}FA9lB6c%)DbHM5Fkh%8*=y??LZ`{EFFMC;SW}XlOsh;W3I=gNE2R8 zigQw+1a85#NT{O3)s@hy^X5^6b0)=IQ+%h&gxcL-S@!ep*zH z|1HZFQ>4tE^82+SP0E025l~)KUzY~+N4ChDOVP7UhBV;dfU*SlLiu;8nR1S8`|g(2 zpqI4R6uO+B!+cKn@00`EX==n3ASb0Xy5fMz+lm*Q=#U5b}&rr#B6aE8C>QFDC94dvMHF%I5wi*u~vVx)#n6{N( z!A=b*m@iiYeN7_^7k>LtS;dHZ4Bnj<&jA?Efx90mt`pG$2qp7G3HX_gksnyt205sx zy?{Uq62-6G`b~s%P}0>r=}VdFM&`DmsRIZaRDLb%x)*((0|nb+3#QY&l2ioHKH_6i zK~4cVT^^jA@&w4cfNa@GAjObZM0g~z88#QFEoxKjquAB-k8HfV*jtAz!FAsV2{6Bn z<;pN$;61wstlTsJF4iS(7M3OpGdCmOU-+`U!Q6sS<6pk|p}b~`7es`$Uq^iv4F&*B zx`@hJk(^5*af&G=_liS9pm6P8^qIOIJJa(wMRO?h_lq~cu@FqjOUEh_ta40?NDe5} zmxDO|^t~JDh4A}j95*48bPlT72M8!CS)LBmi2g~Pi$$xgUb(G<+v3`+|7chI%@(s> zx+gL>FUd)*iG#u7&tdA7k(YA*EHov*{5^s^O!-B5S;Qzrco~FXoaC{{-+MYBS!5p& zK)c=}mJr3o;!E()vheaTa9Ega^L|IajX(qEU2gQ`D?>f>`8H6`=RJjM&cxyu`Raxa z*YLBv#pO>}JcVOHtiz+21QGhwVxwYZrlX?d|q2kw4Y@W%p!l7Hwu$XzN#?3lr zp;}L|oJk|A9cQ3(b<@o7m|&sHKnO?-ljjZ0dcu^M-)R z?{m9h`vFRVKnuo!CGmr3{C?TDR+zaJQLyg{o|ZewWc!`7K@^WE&Jq9<$3PV7LWoOD zLvsd6*PMcXX!zZl^4E4jR;6Iu*Wfwi;@y$(91OdR$X~?et`Q*uB>;FlFJwk~_u42x z``i<+GY`3fSDcU-p9oMPJ+p`@QqXebCH>v088M0w>qHQmL=b+=4-TaoIJ)aU8($W* zxMRjsW4cZ>yh1d*M&#`>V(&6?>oVea5qG>sM6p6N-5}z#jKDREzy*!;L6)`1yE$c) zj8~5kcPxZ}p!L{a+SMRltooeI#%pJ?jgu9??S6@4{1p_T*#qVaJvOC|%u^Y*45FVN z<7;4i$4#>*-jTMvPGnmvvSk+fZyv!t7Vpl~WrX1}P8T>pz&kFR5Cy-H#T^PM$Fhf^ zV|Ud4Uj9oLbU3&x?iyE1Fw8stJ;J*_&`rsCB|!EAV=^VrgES}n@1zZ3 z>p3@`B+;>hoWeRN934dnm6?#FV%Qp%y;KQ0l|7Ar;E5I;t>W%OYf=^h5Q1-f1%h19 zxht>!QDR|i3yZrjFY2$?kY~)%yh*fz7P&yw+MGw)E{6T3NQ}k{b?r#p;lO* zX>zg|FAh+K;Z`U<>_E7n=rkdG)N>VHt_BM)r^DOGjl%ZA2(|aF)6BHAH-s^|cFesK zQ^%7lyi6g|0mUlObc+c6vvOGwUdc$?oJdksSVd(R?nQ*x6hhl3kUfa?HKQPi=#?DL z0X@&bz2CqDf^l58p59-xcQH`W*(Yh2k<`n$8lZ&A%WbSQ%C#>%!Vlns+@s$MaF>GL zTRqafzLN;Au7Yj^PfxO!>98)W$y51zEx`Ly>nltxWYEu!9Rmfm5}v$j=n*B#a!}BU z)YCGc9vFg5Un(mAc(5wm+!G#eBPTU;X!KloEVS6ld6*Nhipt}kQLY;A&eKm}|I~3Q z3N9lSSH8L2F(Q-_$M!GRV#Elj{;l-l*nv1t;<9Jlzt@CgO<;HSkG@PWyG|oJ2RAD> zFAqJZA*bFoXozcT7x*n3KT4WOYltx76Boe*(7PD7AmSrst;Zu7TwKnMl%qj8>cm#R&X>UYJ@uj26$342$YfqY^_6 zRC)WL(^wUwcKv;rn-szv)Bakm1KE?tYF&Y#wH>(9Z?+q+Z^&di1Qy<`L0M$A0%c{2 zrT?Qho3vVGYH^0VmW+_a47AvF1}FUYFmQfXAx)M6bk3!>RL7@|4R1X5hOqGV$f`U~23wc@!KehoF;U?&$+BEVo<`;ys7H+?8G!*}h`F2rnAAi$+bT{`$7+(PGYGH zASey4D$1{#fq>xAZQLJ@8XUq1AzcnZG@)&vpyBO;{__xt=!Q>C!P(;9a(`MwV`jPy zk&1S@VZ*E;K3YP<0f*ec1=s0}z@*RK@_61^Xy2bbfde0K2~k(Tr0$g0Y>e@MxNXR= z$%|Z^6;9yX@6>?FX+O!OXJAxJvALT4Hn8%8Cm?-wef-$%Ql@fBnH(rl04jL>5Q2Ld z-km+DDAVh_-+)<#=(y>H%-0%_B3%0-kRq5j0+J3Ar=^jZ$79rO$(A*QTtw|Ua2@y- z9u=c&anFXa>hV3*iWhKNn!Q5Q+h6osGMc?{K{euQ8i+8|(mM`_Fy<6rOFu9f2Vc|B zk50V1KY|7y#b%zQ8HPv^^%6fi*UmK;vx4l~TeYl|scvF%Z^Cm(#JkhsIq3Jpl9Q*3 zdHqGbpj#yD&5C|zSf)TAAN(dty+AQZNjAZ6EKZg0LToUALe4XbpacCpdQneY-At?l z55`a)9lhf(%n!=L!erBR`XwHl`-*bDUWu}8Bjz=G@m$8b9Q_iP%R^>;nnPEX#@S%ZBFwzaq=CD(t;7j6fY(pqbdm zKA~GV7f9aR}=YJ6ZzXz>;nX9{Dfc6_)eN;PgP|g&_xWtp7}Kz{q|=F(xll# zCZy%}WU?uj%*ik{kg`v}lqxxRFJ20&NxML(zP%ScWvM%iWT0HqQ7C(Sgs;?YaI^ip8V6KdAH!_9*VK|83gw)91K6IdEE?f@8gsvxoW23O7H8 zaJ9dBZ|(<#7#OUZzJ}U<#y~S(VZ}!uLdLjo4tlQ%j}sT})`7tQVl#>$Ky;N7ZOU6@ z>(QU8ETvNAil5_L-4T(-MK{>P{E%u4-dL4oh6Q=rO7B}ae0K?d2do$lNIa9UWL_e0 z-Okheg}1`uJ;)B9Z+*<$NsUonlGOU1{&@YmmI#2hVde|s@JD+SzSW{R@Roz6y1%;` z++l4UHz6B?OAdygTeengZ{>$quvo!JlhqCT|N78z?X z#_UTpaz`ZfYFFfiH6|lJBu*P*x(uy@?Hqcjs{cM{`+Q;G&BU=r%`vLF^r+SRoN&&o zrushal8m9MVqZ^t&&g}v?DAUxHTz?M{pT89F%^U8HUklVj5_iPtvj7_OwrQwz0$ab z&Bylb2>uoq<;5^848|s6D$Pd?=y!H0ODePVYj96DxLbPW4JMTXDa5-s(eWbRNTT=r z(K&A$rxEOGkYm>0FB7pRGuf%dVZ{D)5D>67DAgzFp@pS9cG++(ttF?wgmB57|dlK6e0( zo&&j-2KV#gLEvZ8&NH4Y!9^r^_PfC!d>b6hhilJ={m%9S)46L790C9$6hC#20FT`> z^Qpr)U*)4At{z{Ji2qmFxWrwy>Xad_?Z)w8gn}VEKx3mwS1&XJB!hq_g7k|2 zQV@nhi3-m{67kXxD1v^I5R**O3jl#;3V0LgM?_(J5hNr3V)UE6&rauAx6Y^2PvCJq z&biL<+&ZqN!AA-VLdx{rd?J(mn;=e&f1lWXc&@VafNIXBUXqTz{iw`verF)&xt{WP zzP8kuRBh|o4!r)7o;z&LRP}fel-%0YH}jBzQ+pMyYmDE$wtnY$Sy^!E)A#E+@HBw8 zINFimm0avb{RKal+@;i!s)8kL^8rKhyn8Zr)|>8MMBD032DovDZSHSe$evge%l3j$a3ivuA6#k7vTR<;TQJy=iYqKV=l7M;U{Z(c- zP4P9}1xD!93!ML9PI6Q#*O03it!1^?kczL_^oe(Yg?%+M*W5g@e38Ww8sROv-s(AT z$|{y}s#H=-J7$I!HhlW2G2EvcGDph>ZIl-*cnwh(=Cf!E@Ify(DLRKH81 zN+1yEJx6R2B8I>`x`^k*K2!iDvt#$_(a{7htyK6SDooE2VgKOsypw$1Bgu9zn_uq{ z49~#qdD_Mc_iSOeW6CS>94+tSr6aZd+e~n}(9je#zHJQRj~q4ybr}D5TSGFYc}jaP z7FTG{43zp%-N=@u^EU;;$TkcQFD@vbyKa*ulb!GFk=Zxnbe_U=sc7gqWSfL&vozE zoyzVmz(#S{*Vqd;Acf2n!f>QP2cdSyFEEPFVx?2`UM~ii#3o{49>OCl1ulkalI}|v zP@v|d;3(6w=#>fy=8XA*%G?GxQ93cP1vQ^ezw4@CBDR?#4$)lJ6~7&I8f0m{N{Sm(spi4>Xw;CuOU7%tEB&i!A~l`F=oOC z1@#Gulx3})f>;U*nD9~F|vfpF4Sk$W0h!1 z&|<8mOkpyScSjc%8!)GRri5YLmi_%&*&kB+OU3A9d@-^2Ql%s^;_TE}4K6GG!LYo7 zBkAZXq_FKK`Ff)rVzB}|oJ1a84=~(0c3#9;B|5$li zSn`bSTHN(H(?lupuAZ~60Er{Jw@H1?PUOluyF)Vw5nPm}@~*wlv=$>I@~r8M$?Tio@!WODucYdZ$ETvOn2@Fr+vN`a4!`{A z?j!3+GuX&c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*KAn00000005E&00000 z007Sc00000006cI00000004LayjEFn8#fS^dsn@Sq8D0VfC5bdSh9{fvGY__QCtPq z5v`miPXZ-TYc{*f?UI{Red&KL(ED(|A$7&E4L1*6fJ<^XocrL($;rt-7=L3F@NI1s z-o@0^ajGI=l}>0NQ=Qot0KMC~-(sZAZ>xyiU%34z#viA~@7QDf_#0*(zhDgx@8L=# z5z*WzL!VPHPwcV8+VKb;bT{eq8dnMhzW=ko|2npZG87SYwN(?zIH$j@pPpki(s`!B zV@p??*QmO`UoF)t)jBmID>Fe&ok2CHW$}T|Gs=x5Jv-z0ilHea3=^_iM`oKM9jXb< z@?^p`GE@}Flr+@H$mp4UEHX(9TA=e%SwoFZzfY;iYyw12qqrin?QV! zX=s+|7$<5%88|-)lM21GB!@|iB6`f+@A~EjGNknO7HJx(i5N$c zFgp%nO>T7;l+C#Qa#<C3;RzMJ#b z|8M}-_*jK^Fgq1mkw^`~V5nm0PEY;VxaW%Iahcv*SUP&W;3AJ-lG-avYKJ=XJf8e} zk2CBEuXj))L@3Yn`VNqtfJ-Fex{_#1s2d301U66!3A)<}4qW9cvqSJPiw`lo+d-bX zN(AgBA%}G8_YB-vXXwS;KE?8^{!H;zHGyKRD$;sYUCkRt5mDstW<8IU!iI30}p$D-OHXb(~cuB?I26n^n zD}dY8hRQGD;GT%`MFKOqWmVb$GwY|U^%{Ty`YD^dJ;eqe8@So)^xKE6!6;}SbQ{B? zL2J~$U*{Q{rdIYa&`Cm?(U7fy=uKutAD}X$M-x&spOXDu0OyrJTYqa zK>f~9w>fP0x}#yM(_i1)+g-0OMX%jGmmYVT{~+E+K<^KFcUsNisCP8%9}P#h+5_x* zg9lzHZa4m^G;*&S550`)?2C?`=4kQjKxcAKmt!tHjYoJchLZ4-nIKEisp$ws+w`U>G?kS`tT2M#Edf9M4!{Ja{K%4y|SLEp`TrHxAbACbWnkRIoP~0dwVseZENndMY zlT}`FnxNXR<>y!e;E7_u6=@|k*?B7e1pom5|9Ao7VPIt7U|?W~GPFzvQViTc9OU8@ zALJU~9~1%-VPFDcR)z~e(k>=YlMzTu0x?KYaHy}ZV^Cy#h@+E_YrMacm#Z^G3qMc+ z2g3~@DP*|Dj2TFaK()B~I=Q;IxVk`9GjcKr0A*r#JbYyeq+td_3=9ql^7M0$clB}g zb@hW7Yz-m602) zER4<47pQ_k5ULE>JB%z02Y|wSj*gQ-K8Gpsadmg}f%$sLJX<`c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IyA00000007+u00000 z007Sc000000003800000004La%vM=%8#fS^dsn@S-e`dV3N#5|%R21T&Qn!cauirc zv~rsCMW7@~X0yB8F1bn7m;UDhy$|;rQdbCd?;`;|*4r5~@ z_b#TIjuI6Lt8`2~ndsEM0O;-d-8v(!kBvNbe}noje1D$#{=gpJPrqa4?^moL;XRO4 zV-e1bGV}!nv)Ge%qB%|AR}77z;WQ?zb!avz)KfL0 zNfwXTM~3nu9+QR{DH%Pn4@D}8L34CoDr>0P>UJp+sf~f?dE}Q&HXVhCXQVTmWR@l> zl!hj$j&K6#gdl(>I+atc;wj~?s(2J;V_uKt19*axR8Lb8MGVr=MMc>>jE>p0m5jVF zMvs)Ra!g}A$|4zCA8^pA7*Zm?WmDu2Nh*yAXJoSBcXDJYZ?)3~j*N^`e5B*C^4^$h zI(Ku|>qJLTFouWoK{hm_R3$FGs?$_T7QVUgdkdjOXe2e}?p;x?Awx^=Z;>RS8i`>j z3A2+RRy>y;+NAtLWl!K*phe2tx(OSErP(6*$VV9N3^N)GlMET+lOn(FS|2*^b3>7c zvxyklEQK$JvxOZTbZ~K?{M;ApTjYVrph>EJWZx@eQ#H)2PECQR8%hCzshl7~=047^ znYc8~DLTngmvdujg$hl9FJmtE6)p_lkw&N=QjkTFNN4Wg%v-!xPDB=3It=v?6(P7p^2?yxV51lI zuFwC^11O8ZM!$pE8C?%WVvsm}6`f!CfOw%X%+2$A3$x?b2`kFJuosLR(wW~gNMoB}7fbsL%kw(gQshOgjiYeb+v`9Y(dv z=1#hTgJ$dtJ-kO-h+a(9Y)YjPl`A(YdpCA=2yJd3h!~&XyPcusWQLkes+6~e%F-Q~ z8Z<74kt<>e&_&rBr ze(^nk-!OrN(KJSb!yCgcFAG0DihJ9o65F=F^q8mP`q@Hikrn2?2XPSAoPII4P+AWtWd*%K8%JtnsY4`f>?p~=>-YHg!YVXLC8tsobP_z;)~@SxU#(zlM=wSKeH9`x(2uII#b zd%LUklhkzln(Yhuam(YJ$GAwPb@=va3gR`c8X;AZFOV8HA4p<|Hao86gA{AQi( zqV>l>?e;pi>b3r$bKLJ9_Xh{f9(JAHJ7RfD_iB53-kdQlJL*jEB_O%7H);)Huh^`_b zMHq5rnlroR8J(*Xx3iWQouG`JzvtR8%s(UVsCbH)SkNXg>5xzw8MERp{K00960 zcmd*JU}WH6U|@(cv`hw44BS8*Oue^@A831ysbvzyl;}PL`AdDFz`R2Dt^I1*(>j zjo}PX+^nrNh6PBALe==WhNHNZksGWmjLp&)sDeQdstnmXj4TWXfWmx^j*~$?hbi%K zb$9fE`GFm*;TG5x_J~73h?-X1U@4G009604vR}0 diff --git a/cpld/db/GR8RAM.cmp 5.rdb b/cpld/db/GR8RAM.cmp 5.rdb deleted file mode 100644 index ec74efa45d06cd3179c7e7137b3ad317e2510c6e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4790 zcmV;n5=re5000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Cbu00000003eU00000 z001Qd00000006cU00000004La>|6PB?ZEbIF{XSl`V7_oYJ9YzK^qoZz#$QC~Q}cz%M)_xZ z2f1GW!q4&h*`?oqBL5%w{k#7Css45(#!lo;eGvzN7d;SO zFm*>_lK3NzF%mnrKNdkeb3zdXlla^Y9l;{qoJlv1gwgKx#KI0^9}N|ZoeanW*~9iP zM3BUbBo-6bb0RSbgE?wYIuSr1CPC;-1J|F5o%^mo@{%#rW9KzEAr@gU4ej}yMFD7W z=ZT&M3GBlqjvYISf{|;-&RC3tQ8IV@SWOuExjwMWRhC0>-Yh~Vio6REC1-!@jAF49 z2a*~vavn*-M}a?f6*n}cn$0~$lW2iJ9~|DXlCx+Ox(g{D#x!&sB7czby^X2G)HtG{ z*}LqSYYZwCzwO1sb4T`>=Lozd273uJZ$<|M?zWDpRGC->+1);wU`~xS7DX}MZGIgRniD`QKACUSnNaQaq zynFw@;{Zkbw=ilY+D=TdSt~F+Z{9_m6-S9iq-Z*UU#dG3JMm(1;ss|ocdRQg|2i@M zD*mObD*yi9Spj14aL~Vpx8$wq*^3C<)4-jtJzswX4L`%d5Wk|}ckq@JbgirQE^b@5 z$4?{*&2Qi>(X@i8EWGq@`zZ=ONpMsr(Qs}rM5z=y_IMcSnIFpY^t;e+N~QDAjh&U6 z$wZv=5Bo-2ltwzW+@8Mz=!S257ZHw}Zwc$d$3BjOFG+-#( z=@GJV#c!jLUg_-fEo9d(0w}N0{2S6(=wpxC1d*E7?YGRk9#S>F(IE~7A|*X6x3MMNxut4VfT}HG|e5A z_cwuEb9CMU1Gf-9kTnVk5D!D?XpyCt{z-9w#`b+WTC@{o<8ZIrm>v@=)xW}$C>*sb zwRZ(YZr$Mb{S$t0%{oWu4madjxw2;N*nw*e22U)#bucgJXgh5xPbreI^CpJt zi~eG1ay$?Vxwp#!@uA7~KQvSSr_q9~5FLu)onQaXug|E(onL>&ufM(ZyI3cKAcpgC zZjZuXxf^|5&FoC#A!oK#2Jw|#`c2ugHd6sTf)f|Po$ z@?XPi5G+cb^V;#!V{LPDc5m2o*V7>s22I+=i;v3XvZVmBMO}v9RGu?s_Szp+s)tsq zcKEPVIZzcO5dKs~I@-b5N$2m^P~33g3{^M_u`FuTmk$AM1I1_)ztuxzUD$5T|(bN)>VA z&V_tc;SHyQ+%h7@Rv84$%^TVB%=QvJjRco^;d8}AtyPod9FjK{qLdVb<`@|~oc3C` z-D|eY{?KZkbd14i-yAmUvR3*S531Gu!|LP5`;QKGD+iAb4h}1o>cjGWxvGaw{+3Fr z!=^OpY%~W0U@;qwZhxSf($(qBcpZg0?RH-BIbpLARGA=8}` z34m(m7IZ0<*#shA{zquq>vumkYlC6;bkI8;4C~E4a^3z*1%>=nVRGe(zd3A543=Ml z@a|G#2yQjxK{vPY8dnA2sX-K*n;fFF;uL`qh3_kP^&I<^c;+HdfW5ix%!4%8`VCa7 zg_Y{J{L6IITs%P4QcTL_BmNz#5^}V%t0#y{eZNu_?PFD$ zYyOcc;aZZUtTfQ@^32btS3#|1ANQ=bJ(?kGAf5zK>}R_#{S=7gEIG}!iGsqGPY+7Q zUlCs{^qRk#E8ICgwSA{FIUGH7Mvo3{E&oLE-1W!7c_d!+kikQSVO7iY7Tq)Mqr*ok zw>i9Uch2R{xzFfe`U`NK&T1(Wf_E~wpu!S%afgOx&yHtmVmQHEYYaqnoY=!n301)( zNP-w-qC$7pC8)+3ZeJug+LjP0jV<>q%q~PwzP0b}HIjHJC>QA^1n!a~j^gU#(ua6b zZ(*+n@u3i@5W=jD;Ci54B;)9^lFe$ zow>Y6M|-xsy|hOiDOyzabdYk-ljCxoXm6hD8ttt`x1@;c8D{(l*6%JZ%P34Yq2_z< zM9m7SB_-U0y&!l+ufpv}`RjKgWffPEma~fm?nq50p9d|gxQeu3&ZC(-i9ZioR&gz9 z;faX)A?_@}$NwgE0NswPkKbv!u0*?}kY|ZKmDe;X`{mmaX1p6=Yp^Zp$*-?lt@ig@ zUm2<;CER=L;VAOYk_nu8w`0w_?LBMJZKfzA`X>9&`%{#mTT)cmgR=UYkn^52W zOSTrX`d=O`tGJf5@SIFTmq_BFN-1NQGN*iZ@=|QhGeKH2gO%c^)M4CT#ZeBMUv;Iv zd7u?l;%V-6#d@2T>b5n*MHT5k#-&u)QyG{qpi*meA0SC5vFE|UztoJ_DjFO0%eMUn zmt^N+V*4dt4)tM~qZkjhMC*suAIXgLpj>LJDhTkftkOKV)fhE6RZK{ghmSQ@G9tAq zR+=5|mbDi9$7q%_s>Kr*hDbP?UEtPpN+LB~=-mZ(5RvsV2M}4-NMLg0x@XEcrCqB- zISrjk(%RJ?wn&FTxOE%xlLf*0R9SH>uw~u)m=Egycpi|l1N}57|VP1&TDvyppB#s!~*I< zhAS`CEU7lN)BR?B5=CSFlWJD>o8Cdo6D4)K-2KGJyB6w$8%FA&(7TFct(1z%+! zx#`d&v@XYO&9WzmQsqIYmW6Q^GJlQ+<{Rj1nEQ0_1pwE~fE1y$KgWHW&i8=4IrSM@ zOL4nCz7l||1osS~MJA(I<1Y|h$b5%Da9+qcR=4-%?RN>mhSM+w4UK-Gp>ZcVfR7e0 zJjL7|O4h;ianbiGm?Wxtpg|Tg_fdlwC@jq=Dh*~~kW6O+H%sx22%LLa3>B9_uVFS< zifk^}R(<=?x6c(U)Zxi5?|k|L)MbZW7UGm$uI$t44sh|FW^xkEO2_s{@7{UX`Ly(T z1z5wS(}k!9{xukc0|@)8dce9ZfTy&Up_9x9z z!A+^<&d}nwFv8%vZo&aG1z#z$2)P{NLlrc@Q&^0J3|Y(|!#ut6HE>2V-~A+Y+EYoi z7EbCey_DsE4UZqGyy*6o4CXY-yv*g(%}n zHnZViYB~Nibv#j(e25>OTvB?2>8xJ?am}KZ<77u3V5NFUbW05_PhdVxA(Z1x^=?7c zH#;Z_^H9jp6_#qM4QRE*h7&i_6FDq3sg-npWdAI~kyEje{A~rJS2*OkRfBANx}-Ib zjHqMV!wtKPto#V_=_-I~5d|ba!dGd|Fu)yo`IS_9{au!f-H@@H*EiEE)aQkAPKwt6 zfe=rghJ2b*q`O+`Lgq3Eb)cr&yeDfZOz6W9fs&>@>Ln*#&toWVn?cdldS* z`rs3hi%ht$+JosGU-HPD;POp(Zh%WpQ)Cxa< z;#txs^bq(bsmyZZcn=e}Ljtp--m_a6)NX7%*j{D6>`J%vM0IVj&%VOU7H-VZ)tU zEAqJ{TXX+bd&M#=#iJ<~Rwlw_aDke9tJfYrZ`KD-kj6tcS1I9mXa=A93|oz5e(M9g z*uHP}`le-e1_q!5v#v^3y3^ofcNOx?dQwh}`le1iF1j5Av^$KJHZ4SU zJJ!JH3=|S}erZ}Nvw~4N0)VmC?3t}*$5hS8Hm&Wh^dKK$CaZw2)icw~ z1aTVP{u~}IW~y+dH)q^99dw5|?r0+AKBXD_r9v|WOKQU>9bR`(oeOhV7$T(?>nUrh z0B|SQxTW&1W^@g9cF#9d-KF)A0#yJuQ$Q|d6v)Q^4FCZD|9Ao7V_;<9U|?W~GPFzv zQViTc9OU8@ALJU~9~1%-VPFDc7KRHz(k>=Y6U37QVvwTXP+woipvd?TM<*ZGcz-7^ zS7(S837`TlhCM*CH#gxoNQ)3ui@Rf}yK691Eh9IB0Z`m*Ps<)T0ga;~3iI7$dG z@_?0vv03_pTr3Dxh8#$Y%nS#B!hDX7lR<$4Q{v<5?&t&a0~=V$(QnTjfvyAl2V_}@ zYeWc41rx&wpiI+hoj{NZF(8IH$Iaiz#We_~jvcJd*vBmzpsL|x*a4KAbn_@E Q6yT`<5~Yj)00030|G;P}^8f$< diff --git a/cpld/db/GR8RAM.cmp 6.rdb b/cpld/db/GR8RAM.cmp 6.rdb deleted file mode 100644 index 3de1f30b660dee8a91b246222f699e29f80289c3..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1793 zcmV+c2mbgG000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*IyA00000007+u00000 z007Sc000000003800000004La%vM=%8#fS^dsn@S-e`dV3N#5|$vPs}&Qn!cauirc zBsop`B2W?~v)Ns4m)xZ4OaF6$-iP}QsVk1{1bHffU6RAOXE?*t)6>&`@%@7@gR!-h zc^6Ynhp`HTRXU=cjCEpP0Q7eKZk>@f$5s}*zd`*MzCX`=e_)UAr{6L2_bb+r@E%C2 zkqG8S8Tx|y^T?h^teuShz4j)5UV|i)VftS@{p;8s$(abKt*shM#yLB-etwS4i06r# zomjeByGF(R{bH_ED3+-jSeXc_=>(=ZE3@}>now#a>FGJgR}4*{;VdGnbzrtB&@(lr zX&Q~$M~1Q@nvjMW2^l@L4@Dx0K?`(VDr>0P>UJp>iH(5hd1O~iwjG6t=A=^_rp0wT!GV zMvs-SazYb5PD2@4A8^pA2vS17WmDu2aUzWg=48^*cXDhgYqiq`jtq@ce5|91^4?fz zx^Q#X>qJLTFoK7({d8o;iHco%RcDEmEPQ+E_ZC8n&`4@5+`FdSK!%py-y)6!H5Q{l z5@shsta+|Hv`yKE%AUZrKns<(bsIJaOY>#$k&iIk8D=yX#wjwyCq;JCwLWy-=Y~QN zrBgAsX#!u4=1V&`=-}c&*@Z9Ix5xvLLX$-O$i7#`CTf&gotQjPH;@7X6FEhOEPR~Z zFmYv?Q*@dpF6SoF3Kf_n1LB3oFgMTdEzFKzr+-{U9HS>Y%y5GN0=RT zKaE}O0``)TLpt+&25D?F>|$x3VR>FhTZz1=wQ&>B31@aL|l=p@;Vufi$P_RY%lSgSNMEN41*=ppQDJwdSlV&zvfrg5^>5KPazu4s<2~Ve&_&rBr zaq&HX-!Od(qiKQ$hc|{@T^4?P6!vzCMYe5!&58@zfJcm5+DGh%c z*j2;t25xX0CclJ(J0eII7ns>C>(~aEML*`P*8uFJ1GCGU6KpWp!L?4S+dQiGhW+MY zyE-`T)rZXkcbfSWQ>9cY@0IrV%e$3)v9epK>=mz<3x!f?_qs=V9#bxN`I_}?GzSAn zsW%#(-oT@C*@w5IiAW~YP}V~^Y*n-{yjEhv-guf5GPIu63HNUM7Z+4CjhP-YcItCfO*`4XsZ#Ky; zT7L}GZm)BzUK%^B0ulN3*v^>t)+k2ei2 zkktox2G1nng*HYl!3%_r(OSGbz9?NO?$aHG;(^xMlA&HcBtFOCKwCg--DB5^=qeIY z1OZp31+#0O(YZ=-J8OyY3CcL#)W$}u>cN*8J!!2!XFLFplq{~BE3L`SWBDHd00960 zcmd*JU}WH6U|@(cv`hw44BS8*Oue^@A831ysbvzyl;}PL`AdDFz`R2Dt^I1*(>j zjo}PX+^nrNh6PBALe==WhNHNZksGWmjLp&)sDeQdstnmXj4TWXfWmx^j*~$?hbi%K zb$9fE`GFm*;TG5x_J~73h?-X1U@4G00960j0G`X diff --git a/cpld/db/GR8RAM.cmp 7.rdb b/cpld/db/GR8RAM.cmp 7.rdb deleted file mode 100755 index 08da6669643d7e2a50aa2b26f4e263bfe31d4f88..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14405 zcmV-LIJ(CX000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*BoM0RR91006Q!00000 z005u@00000002ce00000004La?0svDWJz{bwOM1_HfDEcAK1p`diLQNwyV1`>)DOg zY<5+4Pwv)hs;YZt+*%dcRaw=^WM$UmqkDT7l>aL zk|jX=K$eANA*=<2WLAEHU+dxf;>3wKH}AbwSy|Pu-Ms4Rj2ky1&WRJ}84)+``|rR1 z{-5D*YHI3p_?xGDizHmS7wjcz?z?sS2k!}Rhyok2Gl^cqR$Am{Oq_LBWZuX)%``khXz zcO_|c4x4+)!Jxgze)f{f_4ad+*K0jadV~9atFhNlF84bQ8xZoS=MdlPwD+4r4UefO^QPdGtOCI{D13Q) zaKE?LZ5}%osT_724FbQSbYH{JVrUx4El=K9(-a1lLht(VajUskzu#&k_;nEKvCTlC zE6L?Y&He+B7R8R5($_2KL%p9o*1>Or+-R6&?sd@M@c2Q3t?o;{Y7u zX?)pqF?7u#dNAlZp4)Hq>&;g0>Sf3NpYt+utJQgwY!8l(>fOgG7xwqNadQb`GWGu; zTl{-gHvlV`0@3dS{GCRxPmaA^9~?G%7DY{8`bnf9{!{@}IFsw!lLBPzzlg32Yd892 zn_PyW%^8QCg{%aVD46w5dwQjDP#?7V$?aC>KJ3o+36Q^wkiQfEsZ`nD7xMv-#l=p4 z2)~ZD)mHtu2lcemJj%7Nj=s;Jmku+B+Fw%CHnvYvYJU#JE|AI*{$Eh|tDQs1P5*!C zU*P_|0gU^gw|i7SPNt{3jr#s>&-2Qzv%sH)S2R8SsN3u}GEWW;lG|HLTa~qBde5gW zYl8>Peo=#{c9s?$)*S1PAXRDCTaSA%d!J6WAGcvkkaq6c_B-q8?t!YL09`e@WxsF! zc&{$n{}C7M&!gzAW*^>xYwcETC({~>ur;>C+S)49|4Uj4lD-D#qh4Sdt`QV1ofb;y zv9vq=1Re>@VjEoCY$x^P&9&{@&ZoLczQsi%{AK)lJ9+GU9F*3ET%n==#(+k$v2%d- zSCGsj`AH1z^vTn+(!gk9i-!hza(gNTz9AAy-d1B+s5dU55T)GfhEL-*UkKoaBZj%< z!k#VY&Y~9SGhU#MpETvXf9t1!z__R&KZD=g(b{VCWW4TBHLC_I8Zzpbas081t;0@9 zw`TEsO1JvWR`bge10$%bw)@TgmR(U%T<3cs6m#QF^w+2D{e{1i-+TD#Y; zw+m)RR3v{(#Q2BMSS~fx6c+WHPMP>DSK;zpeebAuXpHM?Ma^yxGRF1{5U6y}0dglb zf%qB9fXK*P&oR)Q7}v~bOfp8G0GZoGJkE&@^6jJ~$D5|7e2JltRpM#gnR)usWC*!P z5zhQ>Hrvx1n8l-;z%Jxa;|j8W{KhrTKKHJPdb+lE+}gh;6RQ%5`3F~zn{B$-xCr5c z_zjkA8#A4l-YtM~iP+dUNYfh0=)L|j|G$gE{V1w>y|p4Uw;OIcv{wMjsl2Bb1f)0x zsNou7z?31#A-ORG2vw~im;zO4{b3(=yKX(ewLkQq!vLNC9M=QBeu`B(&Evd|#=m8y zA=@$XOiJ!2854TQj6T`oC1w~fHY^@hQ3c5ycbBU*wKmpDxb!mQ_})lb$49&MeN4d( z?%$~F@0TeT+iRQnf9hB8ht!G zB4uR11#ZwKT%-!kd#%kSYdB{`E(}TMmi?@$Iw?IVY^gJ$#)KM{0yaJ2jhCGo)TE+I zxhD$Y?J3HX8?7!e=(imoH9e^auegX<6XHyW^RkE)d53qH%D--m+ z1UsSOFyPi^?R+Y5BR&Yf)7c;z=qcaE|9+if^SBiQrZO^!PIjWCOH2SD6j zr_+XWQt15&2__`K3^SLfCekt?!GsGYf-unq6LmPT2os~omFI~rfIYy)DH?A1sa@Mh zwy`U7zuw*NVI}v(?M2+~qg#jkKF!yV6(@%ieJg3TQ{PW+A?T51Wdu7r%Nu4T@~2Qu z!lpZncJSsWUS;Jwm%Zds6VX=ZAr{bO>AQiK5BKF|C%lfhgB5QVAoY$Ld(DF;T7Fn> z4e(Yf-?3=n)kWkWh~gr7#7o`>jnh%GnBEHk7B{h7#9A+!!A06~Gi+vAHgEr~A&RT) z|8p2|<7%E0dx86U1spNveyL@=pVIB%Z8D5Ty;Zbs>Tu%@wLIK3?-C3A-bpjJ=PnR& z|3M`Ei*TP#HZb&&Fc3fi8q>YnhEzEZefQ!PhvR5HRZdzbWML} z=#P2-TQ+Y`4r!Sj(!wKXCWo|S4r#eGg}9hO0A<96gay%w^PDMi>g^BzUOMR^>fs_d zZVz5*?BlQ;hHY-cW-kH!0&fdQW1%RjDp3BHY0uohZqioJ~5mqKX&|YRE z2YmuyxJX&2L@PIw>X+~`CSo}d7YUp{&_;+~KwkICRxZ>Kl@QR2af5#Hl~Mk&zkKa- z75!bS?{zzSjaFgs>h`I6t&jKNQFd-3~nbv8l-RDSe#l8NzFVz{xN zP-#LCWr&G%Or#@dhY2%GnBk>k2AF*A>)Yw{;TazVml0W0Q$LBnzllHh4w;m|3;A-S z-!EgV@xJ`~#kKz}o^o9vuQs!Pd3$kY zW@cM*SV9gkkb91Eb#ra8R9@O%U0#}-F3rmWB8amDIk&Wi&6X`sLI$q4nuwUB6g}o# zHgP8lUtdVBC1^Q$Em@pTK9#&KmH5WY(CE2y3>W56e1WA=F_b38a@K;><`T7krB*VW zKi$VMe#t)KWE_mw4@V#}fSy^pRw{8cuKO{bv9~|nB$NobHt${ zB`aZ})(jF!c9)B=u{m7_Hrbq7$c!&35$ODu1!ZPr$+Tf5t}+v}B`J6qM= z+KN2piFNbk^6XOi`t{j``KzV*h57lV(){f8nbO?coD^d4GCh5An%%~Wu{(fLy>)A2 zYe$MR3f2|z1WUM*OGc~UNZgoH1mfc;36|Vl-T=~{zq7u)Q`=bI-Kol3-cwVox8!-S zs12L%+N-S>w#Qi354f#Xl;)!U#^z3Kt@h*9-8VK?S9Y0Rzm05Mbo227w@W)rPa`7d z88){zK3`ql+1x$IG( zJT$R_Jp*osHYWgZlytY3U~_?IDhkSGqyBDkw~6C0q4n1qM;$$c_!D?2^18fvC*c93 z$!pMB2$OkywQtPJHtAQ%IinKUxX30mGdK9p>sV5>bkz?KMSXT=E?K*Y1TIs2QF2&9 z_6^9rDKRTKnFh;Ci+K(D;uKETx8e3mWyvXGlnA-xvCkb3Do9MaJiw`z$s3(sza3OP z^%zb@jYCIaM@GuNaMuBj;}#kx{l~{%iJVMks-iE~+l}dirMNnzx{@oe`%&Vc4x3Xx zU+`o4BS`b?CC6&mKh%Ff!S7DP+BA3y$7a3%07YN^PJyMZ<0?AKpF*`9~%ps45)|+ZAGiod6!47 zoDG$~F%~LWSZQ4DKR(7zw}XRcflC%v8W)Ut??LmR|15CH!iu=ia^v|fzBzz5puVK1 z*_pE;Rv8bm9B2_AD_>`l_VdYC02MKz?y2wY_1gCb z2RQNQY@``a_vC^r#wZ{?ivBr2Mgd&JD5ZnK^HI<_rw$6R(zpa!49}k)E?HO+7urcZ zun0+9^iZUMPZ+yZ=lh8uso-o~=fy!WRGh6~zZ3dcn?>Nzkf zh$~h2ri@z<^UZt*9?szp*DLr7>t;j62(9GH(Q93+e+l2XIZ6(&6BKa|&VAqaJ}rIE z?R(`f;s+PeA1dqe1wrrnxJe2(OXZuBB5bKty6&A`wxq#Nrr6@uIq&FmDcZ#T$s0|? zOWnN(kCXL)B3-Sxgo+zn1je^s-HltazcoN)WU2?3pUm#PA>;ScNBWAL^s%GJv<^q6 zAVELsbl=4fu8-j_Ns_M^|HB=k_7(SZisR)yLMCS#;2!DW>S8p?5We$ z0~|rCct4}h6enBVbVnmf zg%ozF8^&{-W?JX2LG#WA;(J~7J@@%C_dPD#H*(WDzoOPc z0@v_8V^9CiN)2kRiCMDP*mgsw(uVY`SGn|(x83KxT8&4^7P?6gLonidDv+pU`K3xjF&hb8~AV4yKS(`sf1ytPMl4jMgh-81I`lkaPoVL?-Ai} z`fh)EaGdP5I(zTp1AVJsxU;?WI*Q{;Vg5;E_$K54hv`RrSE-9vmGEJ|mFnHy<<+fr zUd_LjUsL8slZHr=Yhk0BSD(?6hg@5)VXk#!z3Pvcz!O|4Jn4?$m7as<;ef#vrZjtd z55OC)qC+C1O7;GP| zzyUu2;7_)Y7E^Uk9iZ`bp+KWDi%Uv)r;PoG(~^X5osoDis+8fCEHRl%bR*O2OvG~e zF<1rjp0&Hl?YF;uG%4AC8N0in$yOTo2Zx7LIBvAv#~C+UgIF zTz$&Bdxf>@2asXggp^G6Gj+o?YU~Z|(u!*5Qw^Ll0?rs~efIk-m0tz$+F_e#2P^ER zH#pd#ikYCnUf;t{A)UIR1+2krh+=bJ&~z5hwNP)zZozv$_N~#?pT}A;esHDlXruGm zYvT>SliDOw<$2#^>gF{(!6DC>E$;QEcOG;*1H2$8AuUW@I3yaMS38B+loVGyVOjb9 z>Q?=cw1sE5taRA)D|i+n*Kmfjt8!wU^^F~T{E}1MgWiMbn>hUFo2dY(j?5`Ep>6X#v|QQRdI#GRI0xlxr8p&Z z!wL9MZ+p42QXRD>?TW8?dv)VxWp&hwZuo@Vw>I8N&Q{s(0uk4=-tZhbzr2^hA8ZV#T}vc+wh9S$O*yOopW z2i!Ta+Gro@;t~DcIW{!qrW$xUb5mm#8~?BZ}O#mAHf8q zZq{4)(o;ao_Q0k&S>jm~Ewy|2GIV3NgU?4Ya_s5nA6)6x~nENCh{2^3~G_q4OC)N5aYjJTdpilCKxPBVn^QL~RKtlH5Rl$%W4QqJOYjBi*e+Aj<(Cd()ovsTlDtM)**9#NQSdf-RP9mY3j!*@H@b>h{3+ivt z6>-N3O_SNeMu2gH06qED&9&XPYAZW$2u37xnH-Lbli7FL+|jb{?%g5+%WqXTx2oIK z^_>cLhRHL=mv`2=*{!-FIhpDVI9Xk`SB07!lFCX^C7KIN5$x91xihP_ja_8x+dGx@ z9Rb41?^L(tCIgX?4k2#VHmj?(^{NyzbL*KJq&mW6*<7~0S=G+4&b(C5)S>d*s@|?` zV)#3_@byB2OaZCwdLyWt;$+$ z^&P2_osP&rcNysCTkrS|a;!IQ-I4|}#hnwj^UkUtWODkg%C={dOlcBv`R3cT-OUOj zk}5_{nkAvI*Mgt7*dB(m*i=q*B1Z6_!Rgdg>`j4ykXPT#_-f z^hv4}>^G(+QmxrT8W&5?FNWR8b7prkbwaf7#|WX1dOTBUpY=rH&(3;jC93J=Q(CnA zeax@m3D?c0r(ulfAi}!z5@h1y97`G4l(F|2E@j;OOt;imiZ24YqjN{9PN_}shsz`h z%^%7`?+qszB`hIjypWR-3YUJ_KqQ`_9s3v@{h@_mlDstMNIt`EmSZnTa=w>HI@9F# z2i^OfIJe501w%_r)NN0#?NU+}=&IqO@5$4LxXQxm3fVrQE6}cp^INq%wTi5qr<$bD zm&&?vm5?j&qPV3tQ*7~Sc}Ci0rL@6B5R=e1z@_YSv)=ae2NY4fr|X`&1zoI2-^X@) zhG|%DU}Ul}=*wdgTiF_}T-)RAJ#+l?&jUa}R=#*37hx{h{ z{m1f@U8>xh`J&vB(2HIinARQ9`mj`6<8~SnW4`3yv?Y&jnIF)>K#SmQT9-nwjDLJC|?+dwQK8d01hq(+Q7&G zZD?$gtawJ5rpa#CHd5VQ%n>X?F-F6W%K2bBngjOE)=jjI%XPc}xM7J4k9>Qm?ryKBRH;4|1r?}DX$1!NZ9aP;{(djN@{&-)=0BuQ7=Qr zxnR<0gtPDkWkJi29zZZuSlOsP(PbCp`cCuO&s1u>-bQ&PSs)`Tn?D%&CP97j=qfqP<&i0;-I z9+Q`&C)SKC6sWD2qbJso=zROjIVRSWEEGum%P}U_m@E`HTQ5gXtU1whP=>k7d-pG@ z?&2;#-A#uiF0Qm};tQa%&D3S(>;>dH4L1uiSiEMozq+`f*}W?D@gxB_nPn=vUl(-{PS zRdfGjEC9y#Ldl7@$tbt=%>~{Zw)gQru1Nm86v<)SEDvOu zGI7(zSg8B>$G{o}P`Rg**JlR6ru2+hLdXfWWN>xzc{~V4uoRl@1RFI=lHi%_MpJnH#S90*2$-IJ zmP0A^XUC(Ik+Qt~z-$yFuAb(2FpRvF3_PWjhoL^;crc9nTQcy5V5oOC9t6g>!Nk%v+XA#iire@(_@lYu#)#Uz33=}T$T;Y3hB3#% zh_;2N-5}!F464E1m_Urk zE2amc)?!Q`Mz}3NrI!byKFydwjCAL1i1a|zVvGsIxZ`n?|+yWc|qCVi5K#ZX0?V|NVqF(=)K#Ty+8-PPSQJ;KFAV%Wz_Okk(=#1BxK#ai8 z8-UuA^QbJlYj57b(Y8ge>ps8n@VBcC7M{XzL^@}Xw_v2)!c!Q|rCI(0#1Iz&j%EQ( zJZ#soEHs7Sd!fpTw`cY|?Fy5HHv~g@c03qH!Y#ZZ7%Ji8!7%ccx01<5&#MmYX2b;p zPx~R9tJ@dH8W>65|`_Uk?*|s87UX>ov-mg7;(!xu|z;vZW^r@cBqZ@&O5h3Kv5$?Rx z8AzJ*Dk*#P!lHqvBQD*r`s2*qutzLdaA^=$ou3_qku?i04Z>Q!vx6|Aop*G@d3+rE zvi_2Rqv*5k@OJ-L0%2dsx6l*<8#V9npBYB})&w$7d=K>FxZA-ITmFnAysC=h68ktD zwSfn?c-GhK)!FI0$?Dy3C=f9*FJXQkZr3|FkoRG|+2Wz+xW`5CT6KAC!>lTtUe~F8 zoueNO+zb5uAg^1w_L2I~5QpO1_4`5WdwdOplcVW9IwV%=NG)=K*@Z)^XHVGrXt*a2 z%jvk-aI=qWTpz-pKXPrApMs5$f89Oje{69RUoy6$sBtENyHn#>P^LOlCvw;i!l?Mh zg@@3xx8z)E@)~8RVVYuniGgWt%cdzCa)a z7{21ulT&{X+KVmltVp?H-B>Q>#d2C6pNb90#ByH)(y@f3{%?RA%TWOhU-4;7{X!1l zUkUBS7JfPmNUZyT-_PPg#vQ)i3mLKQ&*s2DbD6%y8le&A-^V*i^>S$S_zy>GFAv5= z=luh)_At&=6T{apaxdr5^{Y8!9)`n|&1-KZr@ddw8Mi?W{eCfLJpN}6E_((CP5#}W z)gvYQIW+i3IptyvZOv+_XPfuc9GrhGhc5p#C(oP2h%i!l<943BJX!sT6hyfR!)u=X zdcZkSJf>p7XOZ{G90Wo7jLbxf6Y+aqd4H6H-yi4XjoOcI>Y z$js5WwtY{}-8Lb`_cBOMGc4!Ank~myO4zdJg>&P~*kq%Rk7FiV*c;@(-yzX>dSFc` z&lhd{S!5EddY)xIPi0@gapkkK@wjrDzRg-Ck6d?>keJ(j$RR&f4zf^W|?x;*il-BJ9W)I5AJiwAz12zUPCg9SYBQ^1-nQx(_oKwkogPssW> z+8vKP7Y;p|=OaH$KJ;h_k92o)`cb*WN3$_gQiN5W<0C(_9}Bogi+tqQsiM!uN3*1Z z-LM!N#6aVbCq<;uYbX&Z96k$`9+Fb|>deephQz3-7$O^Sh#fN0m(I*kg(WkzXJ%%2 znVF#+Gc)7E%nTDSGecb}AbtHLQqSd@St1m|<#IhYM*Hh>eXruExm@3^p|Y8Nxw5`v zv4!sk#PDr`@EtSz1vAcd#4*m4_2SEJd$STd$V}fq&PL0{Qn9@rK19}VpX3Z5Mo7u? z%!uMV?-|VC5e>J&L$Q!E_cKCI^Af%^Kzkm)3@0^*R5629QIhhQL{7XBqJCRlR*C;- zxPwW$lC0o;xGM?u{FMYBdhEJemzYPsOU!9vRug4S%xI#7M7{N>{A}Cm55AOr|4F&L_plUj#LG3B7kW|(on!B@ac<#@Pr8l68DDS_nXTia-MV}S<_20U z7k>EuE1$&IIA`XccyX{acjLG0hxJaHC)T4WfjJ))j2{_%wBylC2R{CpGAh z>MRK?XcDXK+|XQvy7Q{V6aA-^=-kDW;`2bgK+hD>Qk}gZJMznc7&D9KX77N1K0U*D zM=SHR`P{pBzo{e_&I;r;W-(Cusd9JduQR?+gS!vIfR@!Vcvx^LQrw*$j*H-P(JkHq zCuc?7@>HoB$CIP@G^qO3K-C%bg~oKQ#ukoty_@OBtgJq`7xo z{uBBeIX!kP^fmN8bSr4e6l!O&lc5Et!=47ula>51s%bF#GIS-5kkk62VM+S46WR^% z%*oE8kK}(XP4nPWJR|ByZX+F@2KCNcFVr=9X z-A1qCr`s5o=b0dAypqI&P5usIds{>!JB}L;T|T&y)Hb#@))@M3H4a>?>s1$TSxRZl z``CLtP%TtK9L$Ft5H#7P0;_C$Me8C!Ws)cJ*Mn(fR6~}1vM{sY{hv}0*AgYzRSn$E zgv%igE)fQxOy%gZg5oJF$b02bF-UxgDOWydRZTouEMcyo`re@4y8dLYCvz?^JXZf9 z9o$uGou$%DHjiU0OWqr%h zQZurSGS)o|GbKqrnCVKPJ;}-9qVvRSC=gq;xR6Cg!{sClbWeQ_2Ht&J4j8ZpqUWP%a^10_m*gbt(M0eehSpVq~ofc~- z)_1TaZ?1eL@u?9=smJ4dJxxkgLigghJp%&Lu&mspp?SIlj@^~mNtQRQh_-UlunvYmd;ylU!cQ&^hie9_MKaS90PDi`0dHAtVB2)Y*Y6=;*mJ|GiQm-06|sgym(8S6$Z9 zw^R71$BO-X)%Rk6RoWsWF_JrfxIvSA{<&F)qho9}?>ctsVRg_QaooZrtZ}J=n-!hb zp}7jkM3_2AQ=~}ihzZPzDE%~P9R-}ab1;pY;yg#~N4}flqm@$~Yr!G7GibRGD?efW zDHL#?gVA((I!@a8+K_>d?!wLX`f)I)egpgNR2^kzlC2ye9hRezE}D)F z;U3CFR~R?B^R!MyI#YuZVaC?wQZKO$4IhzC#o#h+td<~U#Y2o4W+;ORh)X7Bc_$Pz ziw>a%i6>}=nfQ>1i$Et$^%j~P*X%i+UqOxRt)xg#3Ck#FSxZlLGZC(EFo|b)S6yND zdGrWZO;Lz&*)~Sdb4?LadX^-S5*b|34SzyJ!{SA9>=2bX51~yMKs}>^Y9bypn?oAE zX*6Q7h`BS@nD`B&V-Z4}xNxY)SO^w+mxG1hL1VDcMH`uY8Xb$kVv%2Uwpy^zyBsX$ zWvspsMr28+#@wRDNuyxb*Hr~`bE%%YCb0D`3tLYw{XxKvaQx7^r7tp+;1{*FZf?zK z&u@?!ZqE%;VS747l5J1V+Z=Ask-NA(Klkt2TM_I@iPdF22a)@q<(M9`Qpk**Ei*|K zPbJN^q$jQIpe2!`dF34m6-Vctpi6^7)Y&T;KE2B#p~s{}23`m=pHAbBjzkdQsJ>Oh z2o`#mg9RsbaTzRhYoj>Wqhk@(ejtjnpJ1VPIaqjM9%dESWFf1}_~ogb_PmN3ZqE^_ zxIJGh+nyf#H{71%Lbx?Rm@%1F8yj*50ayDB9UQjZhnIHkE24SZVdtgy?#g zMM6)}`|B9~V7i1tr2C1Jxe-CfJ!aB4rLj};tEWH?6Vdgckcdlmtv1~rC#G=yF#g2) z5P6we4Md~Q=1RF!2hDlNS$gQ9o@Z>&GEG%Lk@Bm{dMY0Gi#hLL5#? z8HFg!S-Bkw<7}W2`%ZOhJ=&iFI!@_=@I zZIwz|ELMDaC@8~&C|gc@D5;b@LaH#(E+{1#Q4<&6|AXpBO?NBPO1C8ao%mT@zV2NP zGE~@#=mQL8URls`>N5Bm_UzDWs4IjQ8z9sCvbRV=G}*Bs8(-q-%TB4JvGE={af{`g ziio$_YD-=heIC^uy@Zh>t)ecs>PUA@^4BRnzr~XG8q2(bCM9etn50YN?;Rw@W)2Yr zXA$6F5rqD72tC3E5k-J4S_GZq8>&4tS%(_9a>(QZ_)R=@*oDAjhCjO7PSC z<3}h(pU594aZ?ck^Bs6$=q%Tb$~V+QxYBf?nM?5v38k7%Ia+4HN93E-)KiKsdh$jZ z1J`A1dC8Z8fu-3QI9G)(M|QmJzX3Wp*&$6W6yed6%2bOo5$n zW{<4v2G+%kuoL?2fNb|QynhqrW7E=G>AC0x(%KmcC65(wRd_5aVgpL*Y6w+6H?9jp z4g>Ba!$qxv7u9FHZD7b64U{f|h&^(^Sw|Lt+FKem*!H~P^EN|Fjk2E8V6;=xNKQ4+ z2U1NvG+#|`Z8gQ->lO}};~%fdBwSMLb+jyDRnh$utj#sjIi|Tnj?1vJL3|;zBrG|Wd)ac zXffVBjCzGBmqq%x)w1HFRq_Z(jFq6q?uOFTH%zV z8+P%BWSpI#sBRP~aw4K3wCkLUs%7mm^RB-d+K-_1Kw8Lg_Pz5oRx|YWaD5ej!i<QB^+^fb8tMv( z?f1BbNUSrXB9R)sd5P59Jc;zSR_dvg`FeVrSI_HQsi#BW>*;M?y_CXHOXKV5ZB9M? zp6(|>ov8QR9<=C<^Qa6_A}EE6TFOtD;)etQ_saOGgH)HzdvBgssx=6aQ=6 zIspr+&?VT4OJvkaoZ=3?OiCGv)i6*{2KyKPN0{!s~lP{}?4Misr5zGhr8OPP80xE-r^aPMLDm0t67m ztBT#3cBTm)fo0MYr$c0*kBRFy@fZ2e;2Sv5Y;y=47pEzMF%8`coe3=jgw!;S%EK%l zSX0;b9VOKtDKamimSbqw(uK5lE+VdsG^u1%=PH(Ua_p!fAoicceb1 z>|ph8jI+KAkHy150#}{}^X|n%JoX+VdzPcKPqcB?OB16ZB7vq{g8fR15&OwAx*TFt zzltBx{c+_?>jo|#kwtQNC1{VRlY*U#%9Qf!uubWhvoq6ZLpU!z7r#y!!TV==n>S#- z-oJ_iM$Kl39u#BfM(9}RbRb9|7Dp(IS^iL|pL0SC;hJ+UpOcNq7Sh>&jvoi)tYcaz z_O3Pd7*Z29Qjaras?7gC00030|9AlsWME|AU|?W~GPFzvQViTc9OU8@ALJU~9~1%- zVPFDc7KRHz(k>=YlNm@$0x?KYaHy}ZV^Cy#h@+E_YrMacm#Z^Gi#1RI7sDPP*_)g2 z8>B@Ds>R(g)ZH~0s+N(P!2l?3wsF^fAjKeos>a1J#4+C0$JN)>4`M1OP@aR~29Ojo zTw}%tq(z`QTz#EfU0hsUVCM2OTmi}y$BN!z0n*}7Rn8ucK_MuPW#nZL07`CtuD3uCkN z1t}4PDuWmWi62I0h66xhK1avNpooPj@o{x`^nnE*8(7KFZ_gYVfl5Fj1hOo|H6jG2 zf{Eb-P_${aP9R7HEEGV_ar5_aaSej0V+X4<_Hl~_B{EndKvg5iumdPJ>E=;TD8LgS L)U^Nr00960sMt=N diff --git a/cpld/db/GR8RAM.cmp 8.rdb b/cpld/db/GR8RAM.cmp 8.rdb deleted file mode 100755 index 98b2200dc13c03c00d58a5ac5922db05d7855368..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1872 zcmV-W2e0@M000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*HXx00000001ur00000 z007Sc00000002-200000004La)K*z<8#fTPdRM)R^h6&9D3B(AxhmVeG#Z#uFPh4sqS*)DlhrZed_Igvs~F~WG89Rml9f$91dqVALro7$;ruI`2NJV zfmSGNe2lKC!?_BCQ97c5oa@-U2I`&G{T4H=w!&MO{ej)THwk$%QL~7Q)Ov>4Ls7ykQPQOoc5t|5%Rz~qu$Y!=fL<`cX znWu()7087AScg~v)h8$*U&nH$RWzgG6&1Nb>haiXi^Fu9xUrgNj@6jOQnK>RCGH(uEv`n=eh%;1&J|{y(&8=V z^FX-*-bCm#4dP7ZDMFi6JXYoi(1I;g*49nfAPg;*m#+Xf1T)NuhQN6W53x>BT!q$N zoy9z%P(-OOT$9GYa=KXB!Iusx8L60K!PvqNM2eHd>M>)l5)-RwYIK}z5%mKppfHv` zJS4}sxMJd|Y1u*jG|qg^lSZf@*(zpnu#A!YKp)dE4MPzx)&c~C*YOn)CL6yXH2Hg( zNgk_NV7>=gR;e@wE|_4x);Q!}P7#Y@+X#K8rmLgUp7cc;7&-{_6d7Uo49%RR3T*V! z-qrqpwg4;87>$q6XK1tnF;C!8BNd*T5;=+fhgPzFdx8(pXD8?lpXF}sY5!h7E3s<$ z`Z6_#dX^EN`YQ}T_%TIxO_K?#1(iy%6y7AsU1E}@z;CthW92wjMxM813l5cBvLhQ( zkjzylQ$IxRNORY|4B{|#T_i_84X6bBBWk2GavZ8uZ*13Y)M|v9vkN}7FY(>6C!}_p zs+H1I8M-Uu1XU#C*eIiOs$1nPhi;|{*`rdeP03#>uUYGF~-bx4gOUug)hk2%I}*qN9B5rIydd&Iy;AErvD;_i|%z@)VY{( z?d%BmNJWxv>BK~*X_N6sIXi=wLmh;F%Kpf=a z6d&Xo;2#tM5@BEhVpfI=K+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<>Jq z0SAKskc{2&@KrF97KqZ|kRVS#_jp$yS6^2@h?XdzB2ES#AX#&=q#Q^w2mvw37Kj$8 zT1Ga8GeB{(w$>OHAT0`2en?Re>Ds=I`U;8U!c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*J2U0RR91008tX00000 z005u@00000004w800000004La?0s8|WJ!8fwJ+Fi!0dWwcfDr4KG@#%jNR2;nROqr zh?=VEp4zS3R8{xvxIJoRRb}<0Co8iyFVox0&^AUa55~w^8~KHcB}*3O#ghHP10W$G z5Ipe!4}gR~LcD+sc(L(qJ$zsM@kjjUmX(!ReW`ICb#=yx6A}L(|2-}z&IccS@WCJB zZ)$4lFXM0O+O?_g!Ov>@^sLdW^&0I~vR6NAcY5E3+&9+0w9Z2Ix^^9R-$V<4gug$` z{QUv$e;iz1BKT+P!z`ouu17={>4->It)aaV8tRZnCnqyPKTVI=vPON*mWh zBR5=)TI+Gr?)T36z2v0Ptap=>PWu#3kb9B_Pnp#QE{)T^XM=0(l?dZ{t=nxMHEO;3adO-~>YvtIJz*H?HCljjD!1%P z{VQjkdbitroOJt#KUqKOCD(gxhYbjM+I5H@wOhvxp@zrQlX+KgN;ZLD3lzS--#_dg zbsA?*JXRid>U9FYp>*E@Yr&d&a@&(P)-;8IrO>-}cGheh)ef8W1iubKEw&jbbR)U` zsL^`|q(!mQhV=CY`cUg7kCpiikQ)t?%-uE`JnKV3L@2IX(hBQ@=H2A9*6N?sj(YtL zXnFWp`$49o6_<70(}J{x9Mt;2q|^8+Y2WDfI*r4Auife1Op@JZy#|1t`Uxb&)A+jS zV(6Mf^rYW$GIw0>)f&z2O)3*K(d)?VX8TdH-#CH79O|FGV*C~ErJPb2j^K#_l%Ir;q)M*)iVpGB`lwCg>JO`*Wh z-;5&8LQ#TA6wG$JHN93psr8$^A?(8k?t!yRJM~S4O;r>&E z-{`@wx{&Gi#z6*wscS64aOwZzkD(DmBu)Qs36X-1Y{6~UN{s3)f})SmLJ56+tKCcB z%i&O3U~{9D)RH&0_V2i8qS2)#%V4)Jyyt?3$hQ0*@XgAcmvr#{^~6bF}t_KlHNKxYaZW{5tP_s|KR3XqxBsT!bgzYt9KFkW7xEh zAq~cHB~Y#r8yeW`pBmnx{QoJ2_~WSR?bezM(RSRpr&|KcMGq-!{$#K$=i%9l9v-& zF|lK`PAko3vRgZPm!s;;c=9bg#+rEjRX)JdVn^mRx(QZ_5i#A+m1QhL9?}}V;%0mg zvEqo;YgCXKiuM^+$K8S)8o`=)Cpm2&*KZOBUU%_#jq?=ev2#-Bg9!;HB*41*L|Y~# zm`K4y5ioR|D8hsU6DgP|0)yv?E%1B!I7P#i(v4d?$$k$TCu^PK?s==STo~1rSHJD$ zWn{(4;Y4?BZMJL2$!!dJWG^yzFRkvFmB_!2YBFrP%h3*Y#$u~4_oQ}{M-7a&+V9mn zoyKvU8$+=<^H?^6VY}u5Hj26dQunNW)HrFN<@ajMK6dSLCruMuJCTDy6gQMcykx&@ zoQ_I*+`ZZj11z_9jp*3{R({d{+!AO37is%h{Q6bsxGdXiG#g))J-*nqy58zFdXEiJ zTxI_sS9mYJsp=AI2p;ST*PmChJ*m^iHaxJY)+}34b!*C8@wa5mrmwNUHwI1VP7280 z;12~Iq8$BsB>isA&m}u<7xnF0w|9e52gNYnWbYWr-CN}Y^`Gx2rKZPVV<>S3OzFD=0EuMU5 z=$x&a+$+yP!vD$qF%SMxUnjH!kCGUe2Gni6&xVkCKk#Af#!E=9)sOMY8V3h%TVOW< z{1UJ(^k(d(V2XZS6kGH_^PWKgpy)S&GPIJ2N|`jw7Em|p$;C0D1Eo&@3>Sw6PC!;( zOV+=Fw?pBmc)<@y&-#^lGbi)M+2pn}f$Y zg=BheT?v#O6@v^dxI<&N)~Pj{^(NSS218KqlyJ6Oo(3b60m+?Y`|n^l@7^#y2PRPo zeWu)mn;gsxnD4{A7`IihI3>B|8`BTj2>Yp8qQ8?&&|Zn*_%NZ;gdi#q6YZF2N3aeP zVVDTRheH?;2XM;!pxs00dm5reWKB)|H2!K#@Kq!!f!E>W&G~-;0r)TYE3f?*Q7OUS zz}kF1%u^da7}zE|&b5ei9>8T?dcZ_eDTB(L=wIAfzai>4NCRjRk# z2`~G*NhR6;Lh@$)Fj<#lNu(m9={;<7V^hg?P2XYX088F#lVYF1&q4cax>^5F?Jph#T+qZZ2{QLiWnMIdI@k(q@8lj-A;Ov-~QwHLbC<&2#u(|`Jzi@YZ z^X~dP`mg%i6H{uDy-z()KtIrIzBd)ymRb{oUC;*x1_m@%0C|w8^Enl;ySMX&_0@w1J9iIu?;bo@+t|b1&fZ(n3h9X{B0*%xu0$P{2dkq{_0gtd%KW*zd zKtF_sVhL7v@4BNrl9yo*F|OtbQob>7HJoj8FQ=mtE{YtCHvf5rCU+_~J+oQ7y0n;V zy@muXQ{0n-dg*|>=eB@v&oa`c^Gy{JjJ6SzCGX(;isbcnx7P|*p2WxLA(6J!b7Z7k z3m2GiWR(yh={-L4jLOo1cIT_LR(<+p>1eKgw6Ik3+QUZQY_yKskGjd1ympa9unG7d z7p0@Iu;gum(|7;!|HNm0$bBZ6UEqW$TjxXvRD>ye49fZmjwh3??G! z;z%%_@)Azzb5GM|Hf3|ahyv>^HwA{{>ty~7PPcxFjVstNgZX%#2lNJZzVw)4^s<6r zN^JRIH#*hfXZWHI`1pGZE(2}P{ z{oEFR%wn^#vznlJZY#ozY|Yw{J2=ms1ZVq3Y1-!beN7~AW$!D=Y7{8^_6R9j5@| z%*4kA{+qQ<3*vGvVc4mG;psFAcroG@t?4d~DQa?uR+lmBbpI?lYPOHw#nF(PU%b1& z=e=DD^B;~)$g45akGKmAMr#hmx<=U@$OPoCfml5GcMW)P+wy!9kPU499~frNvZTdTZTmCXea( z-Aa($(s|32w_DYaOb#kahA$j9sPudBVCngVFxZ)!i-R4Sy6yhyAv6WYQJz6#a84xz z;TZY2h-!7wi#Ji_3Sbx#4;U7G3}q8s)6>bSlOI+}kco>SK^53kF_fyz%tT~plYFJP z^ygm5axfD-##LIK>X)#@)RalGxZf_s{fgKKm#~DCsY>!C{KVA@9OLO$Og#12z-%C1 zHKNNthGmvaB}P}38CPot-CT;bNO3M+kjymrI1lr%9R-WLD&Z^<*{Xd7hn1ctC)o4^ zNka}Ux`p{gmz?6NBDY1+#k8Y*IPS6ZH~R$|;#5e8qC%F>)PO2`^U=ZY=ftSm_} zR*F$0<#-q4*B_FHDm|~&TJK5@g$qk|a^uL-K0UUzyfHD#gvy zfZ20ite8E6KU~aiwOulmCJhb_Hst||TpjfR_y568SRFOmbYn%hr~d z#;kZ5a3*OAUr@a0NLLrPL_NvarP-KPz?R`m&wD{I>dbZ?>wuGG6pwQ}HDEvR_R&Ev z5HSm#5cB5L!n5fCu&GvCJ8SFs!Np2*1)vGe%bw}0Nm^(&eGcT^*Ec-N*p{(YN^xcz z{^oJ8z-;<_z@}M4cm>S1G;R86k`|gx4}i>KZ+N+4OC{dQU}mT@x@>bowuN!@{<;qo ziAU8#VcK@o(4u;264u(-ejRXdInV}10cb;GlVnBJC7T{VZo2H&#!jl+izU)+oQCJh zc(5JK0sCO@HFn!~yac!riA$6478XtfGCNYhY|D72bp&YoP1;`6n6XalO=C~0MsN$b zP0+JGax$PTi*0%UyJ^Zs9X@^pb$8^vyY!&1Y$A@!4?Ab|Rjimb*{t}JSP-A5$#ArT|X&grP`SEB5PGf&~b_AF|@;x z`4YW*zBII>mbsFs^7&$D2RHKul^2K0b`UgQVA-i>2Jz=0hdNlIxT{}zu{1?TRSrX= zv@Z^qb@=5lEU||M@#ny0J5HN3s8||4M>%Y*uIw8dI9p{>~X}Ee)R& zhK#3Ldn-PQq!=!gAZU31EJ-K`t{MdA3^iXE3hu0fbht>tIin92L6iOLDQKhhg_223 zll`m_95tr@1n7PyEhmH1U{VWGrf7SY7#{|`q zIKp@Dh1L%{a;TQXlvkl2c6?DauF1;PRp^Hug;Y!ImFb5`z9@FrO+2I>$f8FxtLSEm zb@+*8>nO_7!#fbp%I-N2V!VL&AigKog)AB>ThTJpl`n8ltP@!%FD{WGL*%>I_p}MBBw}e!86wNnBiM z*~Q6{vdz?Gxwl!k6)$cEZ}cf{11f%Tr5qJcACZeqy%m#&Ed@Lz04$sPCnEtcVT6Ze zT{Q<;_f}W&s+FKb5NGX2x~(vxO-$x*&fJq?F`wNr?zO-+u;$8r)w_}U;BBXYW&0oi zR2@bFVDzNGP7|1}%4l8D`n89lo^~V{cCSLwYQKk}u46P9#+h4qN-L*< zYkso%0_-pUc`64Mgw8g&4SZ@2nZ`p!}Y?l+Ia7xgBt{dRf^$2SV9@)E;^opq#4&p z*|QfG4ZJj^to^ufH|!Y;7F-&HHRl%xVN~0KOM|eU@8TegY8Rc|Fpg^5xAm6{97UgP zhu8Z@QV2cEi27%tDFilZUgEznjN+{c)PeXO=qGV^fFrj2AxAi+u8ULZYArn(jAwn# z-khDjmu%h(rvecZ^AhHF;}&1x#MyAQMw3Sk;vN^lYt_}Qoqd<-qNwxhIZ~6{ zPL8XSvjZ)*UUVKWBa{Y0r;R8Kn|6$0BHMG2K zFVd!{-1mnn@hO8nxuSf3JwW@Nkcu@1r{3imwVCS|(Trrpx?VGo9rJz;$xK!AG?sf7 zsh`Lqg8N?y_+1VLk<+og=<*^0vD`NU2A?jV^S=&HW8LrjU8QM+@iXCZ%=@bab-zKo z<*ryRru3QR;M2?dd8j~aT~gHl?Dba)`tf%;T5(szo}c{DT>bs~_#~>P^>;8ov=l}k zF&R>St$=>tQP7XmP$MRyxsG{XFK93JD3*I(d0#8&+kYsaGd~}az5Z4~xhL5|xc^U~ zToY^r<-Zod zEz&oBIW!C7qXjUEXcawTY~kC2KZ<$(tH7RqXF<7wVpIRQAoEiNbveD0y^8uL^{Hs!ROX-@;<7;0U>~VoFx$E1@Jl=M_F8$oVdQ zj=b)j-ISwE-n`DC_ob9U(!f}WaUujA)9&;X0d=NUeqXd%*e2A_wVWepoy^T8Y* z_?bcX)cwaGcnJ^mHKzE45{}PH;*p=k$vj%%BR^7&E{C4L_ zwg|+%kfa-&B^tV2I7G2iY;cxn=>FB{!C%IsNUgZkkRorTdb2Wn5%L<#6T4+ZJ9fxu zQaaOfCY|ZEIh~oKI@|QqQ0bC6rn018u1uHAv5Uq;-;#@6a=AH9xLj`@B7a=2?^Pz( zcPskcPXSu^EQ)>!KH03d9`@c5L^;P0`Ial|)r?1TdSVp zzl1z^j2j6J@Qq~c{@mL)@NL3Jwa49=C)N2I36`_4(lmoym#Qoym0Rw@$`HS@7}urF zj<7oQ1l9M}@2qd5E^hh%EdJkj>3x^ROJ2-i^ZWNJu80GLv>( zgP(Nj4`(!&wTD7%?(HXDxG9iI6u3!Z`Nmzr zZ#%qQR*Eqm1+O9h5(QEykajuFR2>Y+u7 zf-5|aMCjj$j68wHdq!4#vkv#6ST^(alTeB9hpGfR&nl$Q?@H)Yg}oZ8A(7;viq5|M zWO2w=DTW0_%hpBT|6zRKcxGO^^kiXf4ouU*??+^Y-R;)mj{yhaYC3z@ES#mWb@ zzmXLyib>911>Od32l)#0P9g8QlM+3b6R3X~F7L4lV`Ujm!L=Xoe7-U`x)+4JE~~ue z1fW(B20mktNGcawEAfI}s(vjiRTPDsRJ};T^fOVIG~^BFJuj((Ff6GsIPtCoWgA_> zu9j4JALvI@|28XDv?n>S!WkwSw1ikY+JnH%z}`?iQ1_XevY$}tbHlKqSTu>*du1`Z z9|4LG46;^!occG0606|5f|vxsNjbW4W2|3I$%51SAgV!lqdY&~a6Ayj4fkNM5E7ec z>xCEtIufQ-I;UWl6k3T7^pk*`Axlj#P+UeAjsinhB!2*k>mLUh3In(oLxuv%(Uno1 zAUFuFjo?K8mXioJL`l*hdm>5lMoaB7#&(YA zm+a}>;sHcz&_w8K^f^;gUZi|f#T6hUQv3=j4E&9RiMS|1>n}?aT(@w|OnocXXmk~W z@*ztCvW&5@v$wOwC6B%Oi9-!v_c;lo%1mbvozO`kx*UFC$GaDl?-ck_*5X08uDVME zSH*^DnOOgFzt*f&p3HV-K@0u1o(}0SIfZlxoseEoZ;14w zNza>fP;!(>aR;NubLK;wpqOz|2x+6=jYhH()-WoeVYg1@T8V2{)u7AOK)cXzFuo*N zUQU^VNF6I$N;7Ym+!|6!zVx)SUrx){n&Vu4Fc^_1k4B07MmK{Z&i@`iZfVB&&xjRC zn!~DAU=3uwWvHT0bD}Z|r}VCXL4NJ`YiS0_3)x^kgq#068Ict;h&XecjNEqu;#8Y{ z>_!qao(vPOq_vuIUVPpyVp6>e6Q@7kC)Wy#E*UzDVU%?I=J7h?nh8HV}Fo?tAKKTuAe(0WWiX?U^J6vNf!v|=d3@58on=B^^%l5+a}X1 zzhwWTsAYPk92(S{uccgg6l(#=(T1eBKHyVWSe6vmyCpSS4Jka`^VZs#g{NYOUCY6E zm5rhWez09}i1NIwq7ZAzugr^mB$AmqjkLu2fkKA2>MjTI_In`?EJq3qE3tlN?gk~6 zn+?`pqjUBj!j7yBx+^k<(zvKwIQ7FtiDJHSN8s)#5cflqfIHd*{LpaVN6$0LE0Atl zB1Mc~tAJ_e2}9MC@|IO+Ap%j9t!Bc9xgj)556h&|8w42hxYnjVi62#JYo&CsRnRAI z6gE&(j6yNG(fZ}O=k?1m$QTW7&T)LUXX|Dxg`d#>F%-@8#|3jrQFcWGJ2kj3e@pBt z?U#s#)CfkMeW3t6)i4e|D?O1;3b}BNc)5fVG_&~PGM(5tZ{veUmph5|uw#h~clPq> z#(F*q{OmySQ^C_sO;+&II%YioMDQkqj3=do41J-wY!It*AGWh zSm-&y1`C8hV)dQW@LS9&;pE=$(sy(pdNnn-Pm8-FGOyXLu~!z?1BV0lgjp zC|KxS0TwvlzIq~R94oN(E)QD|8UH1~HbIz##km;| zNWNtQ~jLsTA ze;29=4yr>1AiUZC0?HbCS~it|k#RWbs*{$Iqy70!H0i&6m>WZ-XB}-!igZ~1Lb_~H zFzgIU5fnG$%@!)@@`TbCJ#vCaMal~vonf>NLkXVjpd455ek3v-6ALjc6+tK&x2>WQ zE-K&Vs-FK$TN8Ni#!G(Vz6@(I1GTc)T3SQ*D= zhOsGZ^bil8=@76{TOKCP2y@DPrA_*&F-rj-{giyRJ(+5AYy+0r_Ut!4k`#V=R8qD* zXJ1S4v!7!~)&!bRUk_c0ZzIgBqp3)n(SSyJ6uLWCkS0FN_NaJ^mDM{(q!bc*hHLaQ zi@L{EX1k3QKL-hN+)1HKO%fd?uVA5f1z7mW(}=}_Zf=y>_u;V!>KHeRsF@Nh^e&Hu zP91&*T#D)>WAxB>uA~ST`F4!?3_?MBzE>A)&(|tw&kJ-Em<5dqWVK>=D!D+09E!7o zUK4~!I;hMep$BgL0DAAIy$0F`wiiFKkZ(_qq|R!Ugjyw9iZun{LB2gbB0Afiv{cew zb;i%=6}0CAv21%z+Do-wU-`+mrw2u4+Y?Md>(X~pc5_t^i+c-q&aJ!I z8VNwS2CbeUC?^ruX?7M%59;_-7o)-gp1`BLJD)ALc~talVDiSH;*^LI7N;WFK|2X4 zddAKZrxYOlQbQh)p5FTj1*HAa)}X&xShb+2HjgZ_nqN*pVMXVHSi$UQDl24o_B0R@aVgaix>g~Tb1PWRYPwQ>qQ zvdsZMk8eaZ@{R8YN{}YN3mE68>Hk$gD^etOBlPSFk~!sFQe@WCKYviktU^l?6vx#G zirQ&!^0$16A_Yy4Bu<+JneR1bAxa&ERWTaHETo3AX;i!gO{2oTsCYREjo|~a90wH@ z{=EF@@xyN_4=_e!XH$qokyP=H{1ILecQImvO=T+VO3_4*gXi8!1l;%^*SF&@eg!9w zy`InfnkxiT~kDN>@K`yPo@T+_KlO>&OiDAr@ek+&P&_ zRn^n7bAw%WIycxgbRrdp8tlrJ{c#7o{|Frr*B$ou8f3_-p^$mXdqn)ErBY&hKD~hg zF;=5wrVJ3xj))+cFq|dyD&u3cl(SFs+m9h~{H8?b`5kn?5ql^S%Z{CK`TbLY-{GB0 zHy%ZNky+Fv`G!L5f-jAgGSSB{X(m$DQ%np>?Shz5n3$TDO*7GRJB;2JkN3%*?guY&2^%F-02Fg!Cr~ArA6_9H-ZGA`SYidOx z-B&+2q<@YRSPt`e>oC6~onpbw)nzXzxnm!MBGhdOBHWCdIyx7Q=p>JGT5bkdCWUjy zKKR{}0@k8H>~QkLOc@RYV>vVi4t^5190$q>E+L-=$ew(9TZFrp@*Mpr=$C|h-e7wc zD*Zg`IfWCZfMkYL_vP8WJrcobJZJmlMZ#NOA%!ZqCxzbHY90+eA}2=*5u!^GB|rb} zSS2eI8B+LRVh#tY-X*caZ}iRM;K`SgBpq>h^66~>`DVPa60)nJ`+9m?RL|QUsi(sV zUr%of>gnuHj#Ts#mqaSR`jID<7nzxqsA)jpao1ai`|Hw%uczl`{YT`w7)5Mp$+BBq z*o+IUCaexYcZefGWKNlNmYdelC4$Ecek)WD5m7Mn&flt;bZxm6hk?Y6OI_0AJ>At= z<;vYqF@yxOLlu=cNPM2StGL`HxuG*|#4uE&PcweaAu#Uyff>Q_t|%9IlJB|5GYCT% zLj`IDfe9j%l9O>G&tD8u!Tq|9Q$c8h7@Mg%%4UvqwSukeB0Iu*qCQlmtQ5VFlH-sf z$Ly-C%PbrvUankK{GjT;WerxLT*dMFl{Q_kr-XDUNgB~~QSHFiusSaSNUaQy#a ze$C~Vvw}sT&Ka!Ww4R^k`dJ8tW6#81@pDzObRoalWY4r)kI> zKOJQtkl|&=NJXD27pbZroBdXr51gGSFjaAa+DJ!`y(<{V|0NZdDiv@jc3xOWgh$jN z@F={rIuxv@DLOd>E@ZXN7c4(={xt}eP#{>Outp)9AYRy&Z%i8ld0a*I%MX(OHXa-M zRWjHO1~i!7pftgLrtI{%Ly^B1CXCKe5 zEk;At*F`ft8P}2Yg=Pe!uKy1J0RR7Z0TN_jWZ+<6V2CobOa@X6+&~=U;uIg`8sHxk z0uo_h0%8`13qaB?CQuW^lLTUrqTolkXSf2CDUKDr15zapRpspA7!-oySVmq30ifjO2itB; z2huPHK>XwB=jiK-;s8!Q1_nN`4mFc`=}Uk*#GppQbcDdvGjf8}#qN0c3dn?q7(`ug zNRX$WJ6x#%0}s%cnv*5vKnfmG5T#K6GqN(A0m_-RwZ?#aBnrf!2=H?aNA(X6SXmgG zr7y@|f>31;qag9a$jop6D9q>RIGGViiI1zhqYo_j*uYATetYH!QUWpwWLb!7LwDR2m diff --git a/cpld/db/GR8RAM.cmp.cdb b/cpld/db/GR8RAM.cmp.cdb deleted file mode 100755 index 39572f8820c99683ea55987ae11b58512ba99345..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 32186 zcmeFX_g53|6EF9TQYMx3n z7hgYDFHgY-@-p{j9tdjsySh6I%E`+K-dB=+ASWw#|AD-qsf%xbtCNf1a|b6k!3Tn- z`hw;zj)GdwuJ2A~jv>#VE_3D#PsslfXRcoUzp@ebe`V0w_1w#!HeQTru=Fv zjC}V^*!THInU;bZt|s5iBk~Qlw2UuYNWT-aJ69;ozceEAUQp|in$0W5)~x8{WN&Zp z07Cu0@Eu?_g5t6~?N-{dKP9S~-FkZDiRiU@cVku0LBF&0-iMK=-<(ChJ$Z7}Jw^Nz zn>@4qn7_p)xuF??SrW88ZZ%X0U5qR>HDK0 z*V?X!C;9kweptPrhW_)_K&rX}V<1z}NZ#*?9IZf)u{-q zRw6cfi1;kY&DUmhE^ec=S4#`2(D1dF>ly0iVZiXx*L-Sl8Y#yHx76s^J|Nk8*DzWifr%(OS z0$cko0S$w}*4y6&NclO|=lpXv{PvIK31~D!Z>NDV-hx><`@iumm)(PkQ5j&fFu&Ty zoL%Vbh2E_jP=;j%>CKHNMLYi*y*qOa0gU{v2 z!sT1wwWGiHcX0A*#HFBN+3@8eSagmgo)y)>y3K8!3AvTG?kw}LFAMDXG=EFTATPgc z>t#W=P3q2-w18JklYJnf!A;q0EIA5PX`CZ_H+<|eOv%%WMe4Iic*KF3S=g;i2vRT@ zXVC&zX&zJJplAhsr;h=s$GtYkiSz#$!7XChxEs4=ZH)|En2}tD!y^MPt&`nV(ou(n zoXe};hr9Jd<;?=C4;qH0PP#*@z}?NnZlhYIbiYf7hXXe=$B-N!2ea-xv`&S7LC=-# z6)d*5;y)LoZ>{Qf<@1#ZFINm_{Sj_{mGqg(a$;Udrv`mTPwBod`ESbt)U+$|kk{^P zqO4fi%T&bczKIfmOM;TiM`Mf}5d6;Pmh5NAysd67+$TxyjcBu4d`lU?Wx_5($qILE z2a*aMPL}(A-<;kAFrUbrL`r^t;Br0If1_OZ+CojZIdq0i-Tl__c1Fwl?XFMbaXD0% zcWz77vCuRT(pnkzu7zeXll6y_xlP^viUUo6LaJrT;~nQVee_0|l33)HK$+T#!Ogr0 zK7pjnOJ!6d3~<})zW&bKr#pZA1Vf^gmOXBY9YhF;gdUCja0u7R9ob1h?%I0o7U6dj zX7`RPdV$)~UAnCo-hKtEg-+5w)wx*6)rgDpWhZ8*rY?CbD$0GTa~&89F~amn>0#6y z*H>E{3@_m|<~Bc3rywvRUTe&ukSVSBs67v|Nvb+7S{M>I6?R!8a?7m`tRlZVb3}3j-ZyNMUn%v zA4=q4AJ@#?gj)!mdGpygBoH=>3ryNpESUT_S!c(oaJYyz=ckkc^*%il={=4Z+B3Tg z+H4wItu93EtBp0u`M5bcSpNzYof6Z#Ip|+jU2t^&^H@vjfo5qa9a`wde!M^o=89G%B}3u!?=#pxb(y-@yj2exAfkZw4gk11<-Yp zN<*XeZiOEOUL4=wimS|aQ|~`28>5Yg>6xw8nf(nuSzkTjs{YEz7)DC2hX5q;{{3z5 zMe<*cwJgB=wD`<}X1sjXTU}7EYVJz48~7!ZeHui}*o2ep93ZHHTmS5~l?%phAKyEV zo*MR?l`ApxiI?kY09P#U$JJ}Sw5|B4dQco@!KkkgPD=gtzuVP;t?vhHappmoBp}I7 z$am~=<5&x3-{EL)k;Pg&T&k{E2SUO$T3!9ybUYk4Rw9zWk)&E0GbLhK0+_35nc^3< zsv%wVRB{=3Zlc{JSrXX4^Ky@iH8b6T1&6F3L%nM5|JO|2ypXy>XGpYWZR8F1C4QE2 z0Re?w!FIP*cqJ`bGu|ajBhr$yGZK7e>kmtMSm&}B7Ar>O%|@r7QMG+eQg@DZ*7DR& z2RvRlTv^R-QWe%*q(hsVieG8OwxmU&n;F$yTju%@3Z5iDVQl#<;_iEX%Idb}3eEF* zhin0}%T?Z9z6Gp00sV1m{kxrpyt#0&hYO7#{thXVP{i&&!bjb`qjSk;F&oILgXI&T zJY}pUc8YHJ-=-gKlb5Wu6N}FuU#d$T!F9v>>85Ar982Uo$0}*@pir=qjfcQZ)6@Z% zxWZ&|EAPG0$6c2eoXVe4Zs!iM0>RI7*DZ35lX0%zrJfd!I)h6IoA(bHu`zoI=d1SP zTN@Jb^`mRq_4rNeB-L9`YEXF6L8kJf$`~PA({Na<=>^eGX}_tAbhvwKbt_0cwc$>A za=^UhW$PTGcqR~dP}=u2Rr&?IuIdQZvD)g9z)ZZf{~&Weq^3%Ct1$WK6SJt^#Hf6e zv{SGBK`=5GzqX?g_79x+$I0wxDm*2JngX~SG^iS)p~g>XOw4lk)NL(B=glVD4=_`U zI3&V|90_4dSw>}cZIn9LhiRXKUqFdG;@K*Qz_uM8u0uT|{u{K8b(tG^D_OxliT+oH>#d8B z;2v5&9!qn79k*my0ol1VLc&x8Ekj_nfKPgmqrk%CMsLyZS5wIu>+b0Y#gX6t{YJ`d z7~L1(8{U#^*+2G@XY_ePEz4@IGWznz#%mujKBTa}h&iw*;R=!!~9Tr)+#D;ahaQ;b=AakcutVNHRXv$+1)i><39t`6e(RHJ)3NRW~UGUe6Q zeqOmZp=S_1&b0j}RFIOH1U@M#3k3PB3J3mYrB)qQ_^9(9?B3!5W_v(}@R6vny_)Kb z!^*?VO6DztgBm~~ADROpK5vp{b^n7Rg9XYwiHCg-Me`cj=^Z!@9D0Roei)UWEN_1id)b2y%|+SkgucN!a{+mJz+Ra&9V~0zA1xW>J;UbQ+XrF^ zW5otLLO4_jV&2K$*1SlkzwN$RoykED3bix;gwdc&EH81bGWL>sPa$ zDgJ>dx0T|~Sf;bN_{P%Z<59QR$C~muR!?;dZ82ZYw6%drb8VL_`>wEcER$d>J=lCu zy!!Nsh*(qrzc?(DQ^#TpT{_=j6`)b#*2=XlC2l<|x|Ci1o>-{lqhi7*`G)PdDm)5l z@3YNG6))2VsAO{UJb361y}A9LurRLHEZz2Sg5|iq!OdR-w{s9;p4r8z3NX9_W$ult zcn}`7q1;gE2uPJ5&Z>mEubcj^`bi-$-MT)$CLp8qx2UMX=b>}xO1OY(1zd=XSdB(UcjG^&;qo zzuPoR-igXd0#|2OI3j$7g)Xmi5nCeTWy81TR~obuv#!?ko@l)0_X`#46c#ucA(J2e zYp2T=)wx7ndZp!;6v6SevUel}Vlg}IM)Y-HDoQQ7t z1YqxI?la_0PqOJJx)fF&rp+Dfss0TXTcf}GMq!hDmuJbCYUJ6cx3e=psN-v zocCFHy1ST6NdAUR84+8Vd<0y5ZwT_5vHC5q@Bf>wy0Vs%?Qt*_Un_0 zt+QkLmrioEo{OgHzLwe!1Md*>B8EU-Hs*x((!wgUju0Z3&rR%!*+F{j&=9c{A{kVj z^e4v<*8v+H7}>6Ei(UmAcW_R%{`$9YrZ*wR-CimN*^nD9lNpvjH2P2NU8^LyYR3$< z0e1-oM>{E@*pBJ&zQeMhtYms~8GQh8Ie3fs`D-Nte_ih`C$?3z!pGxF6_v7=60Vu2 zirB~x@8O*=w2j(mDSA&lKbAH3-}9Tov!Vj@=poPwxU}4jb&TiOtpqEy!^XE{?}ba_ z0&0xt1P-zS7bU_pVKK;{t0E<%Jj=+UI|92Fsxe5tTsB#Dfi5h)@tWl!zlyk|-DB4N zoaS#b^$dJtp4&k)f?ib&>fYGZJs_41VL@S=KYo-+E&()<)9NiTk8@%4=i?fgWv6^B zkJ2eTJZ{mx1B9r-2tFZPbiS^9`>GJ-5hCV4qG1vC8`mKpX*U?W&~SI&XQO2Al@=Yi z7Nq?oU=4MBKWA`xXPm?Zq}C9G#8h+Oty)NH1M`W!^abv0Z<(j+vx5tV=_1N%dBa?X zGZWfK&T}wSAW+_Hl@hlYiwm_()6Vjq;lOUUhakI3%esK9;(3cc{nxkxO4owYvA)|S zm7$A124gpUpAm`P10RrFM$%o}Ffzy_gq1`r$4G7wC9c zK6f)XW}g1yd1iOcktDdmIv&@@0;2_PuO8Z*Bn^G8N9Z;A?35C?{6i3<5cn0Qy#`HS zb@rcqTA1mZqYpb=Bx9lEzj}Gx$uq+X6iZZzQ=x^$_++Zj#>_+#ed*MJEc<_z)QEB> z$rJG6_uOp8I%P&qGJcdax!a0SNqmU(!foGa2F$%%{tlVVg`X?ECVf=L4W$bBHCx+L zLcue>Sl(Rt@>s3X*^f!43=1CEO{k?2}N zur4t9Nx-bhZ;IG%76!WtkL->Gt2)-QEjLU$z4^`Lq5B&Y}*u@Z$@J+rC@b% z_u`MDTx11Fs~`94pApW3GdfwNNi*-)Hdf7pPI<$OJ>p_mHeJO!i2}=BR;eb&;ZPNm!;Zx< z#mEqJ*JNwqE-NYbC~x5BV*tw7;V4qVt@B4~IqaH4LJFp$W0VV6VtH&*yH(hBWiQzF zu>7vT&T4j4t$$;FJaHnr`$rz&I@=zh+p5XzZ)e%Ji2}Zd@a^W9 zAerk%DwiHcPZq{|MUwQ0iXG}H??tMQU#umt?YUd48O2V&vKZI+#p?U)jk=4+?R5Xx zT}z8*Yi73ospmKcQ;W<^jp`cG>x|ASN9yH`+)5Dh)LJ6S5SP3jqT{0Rt@2}$o&TFb zTVtNBA5v51DzbuIC}00a&4>GYN4k(`11qnCRHXmCziTAS!v4#Q0`5%Pf;$4+cfBsw zmWk!^q(5y=dY8CPb~BsX|Mg)1#;;;@|4`6XXPBFTOx=s+#$JA`#UmKuF_fe1s@W`f z_E~_qCkyDW74$97M*M`G{IB@?W=3gjFQKH}hX9yS7tcy5do&P_v2pCbrz0%`TL?XS*^CUlcg-EZehSolOaIbqH~`qo z+Mp{NIc<|%l%9i9w+{A|fuJP74*g-ktl8J(uzdG%k8f5v@_|3gNtQmk)vs`^L#Y1q zO>@QNZdW1Zz_Pzb24l6(TWcs(n@ZF=2+>N?5e^I|e!fUT$#Yfn$z1!KVfkYv_ zK8DMr8<{8nt=hHa0$kAzH{Hc8M2 zjs;_R79hN9!TQeTGidd&xJ8eD(k*)VuW_Q}>xTs@s9Z$4%>S-8>(AV2G41 z@OeMCej$7(@*$uUQe9f+qR0?3TsRQK5~O(6OchTYVUIg&zrQH@saj#_ z1ZYG~n;|1b%K{sByGS3^Z)>gCdErpuA^e&n>1^=hh+(DVD9{H@E^)!bPS+WZ&`>H-tn(l)C*K zv|3I^wmW?4E!*TH7k}Qy4GK5yDlKDFBIuDa?xyN_E)u&3wTr=^I0*E$<==6>l6O_|(j^1nCX|)^$ zQw5A$yzDThH8PEjZah_5!RtwF*e!KftEB^uw%{8frPEP)7fm>qm7`(!KdPHsbOW8b@ggI=ok~fP3rwJpKbsafIch+>+$n$O*Qm?+ zTzhX|wAm{3V}|UK+W7R#>Pg0C&;mZQ5#i(<6hLAd`JZ7YpUW%a$1ZSH_`v&(D{Kb_ zOO^C?(ieY*1Z@6M0@hswCadI`O46&|@t#2WCYFQke$8(?{TJkMAG$I~u`&5AAO86h zu{DdDObl+mWVB9{%Tty(yisNpRF+w5+C>?-{*ROD<8t^yqiN??DSqZycHI%dadd!G zq;sy^^F2o`2C}IVoND-Iy_8fP+&Y&F)xZNi_ZmcJ^7lvf6Ibo0%@I?SO4q}@zDn+lO6IIK?~Aaf(nF8n@+Vh=xl`!ZFxFF# z>FO9o^S9Ej)7KO}5KS!mY;^2voQ@3sU2HFU@lM-o-PlNQ3wrkhB{yLHO=ZKUI&_IKA(`_Wm*%hUn7S7UQyuU6+#QO#Z0Hk{5-ck_1!MKw;zyMiB<0 zD}63Zi(6VoZ(+^Ew7YpsRWBfODN}SHjTvb}<1~)_2M!Ik+afR_?P$tT;G2X|W~3=? zgB?`r?YO8);8BH@?-DVwXUE@aLd6J8eep-GVDLx$OWI;YUz>0@dVHv<(E#1_>Z zm_=8@Sdv(`XW@PTE>-kz`9##L;bBmMaQ&vvp5aFdS!aiQ!_YUddp8eAXVo()vT3Mn zcUa|WEf|Gb1zy10t5}ho8Y7JEJd0`J@lUcp=gR@L@AV?00PN&-Vdx!LpwXhB=aQ^x zwNch6-F^hh8Cb|ZiZm)bxVV$g{lfaN50z*y<$2FKEaeV2F{?Zw^MF-__kTH~J2B|?dakdcDl6NWWL%ui)_ z-N))V^n#p*BCxqLTyf&F?EHqpSAp>4^K_uY$H-VScX}O!E6h*FpZ}Ae+SdqFa8&0@~dG3#kzwy&* zBR$ht!iRf*4_*RQQFB3+Vwk{5ZRRN(o}G;s zzdwMB;CvfP7n`+2yd>y%yVw1AuY6|0RlSr(jUn3kek*ggK6MtR$b0qQI<^so6;;AIBHBrjyy7gKJJG8UQ3W(GIF$t64AL}H)Wt=Bx56$@G@|yAa>It z2XrV!7`UU+8twhsUra|u!}tzjhO=*!GsTE;ov`~ZgyT!iul?s?JOmnIhyDSS_Ctmt zj99?RJ%_W3xn)4B5Xt(Fl)XHMEvx|;gg`|JPVvNFUu>5480B+=B7l95`Caye ztMzkU^C65MR1ZQvPg_{Y`cxvTh7tl63iSI3I4ra*8?PZ5yiz7byMj)(D89P~Y5dXsPJK0VMc^;KoV zUKWR*hR!YT5WW6|lWP=!6(dpu6Req(X6AvXnxzj|J7bB`=OQVCd_@`G%qx*}dl7(C ziu3n*mv#1Dl7UEU;a6vv1}T&=o;u>n-Z?^HR1n-Mt6C;1Fq**F1e$P@h zriGt}z9!I9*RK$~F4Lqiy}dKH*n+j0?5$~&?4UI7E^s%$B50AiwVpo;$3Pd@Kz0^oI26 zM_gXH&WKsEPN5?(v8rbGEY`H_fl5u@jyDiZTmnSHUSmvh9N97oErd|M0^g}(g4haK z-#TiT7|-IS>VL*vrRW|*Y>(V`yD-_qmNOwcPQkuVhuz;y&LJt>3r@YXbEe{b7sf{0IRVn4@@AZ3a*D&Dp83#D)H z4kIrIv5=lPBw#fWV$YM<_wh_b@vN4pwQG)sjOPT1x`C#E)xvBlj-P}88tK^z9+b;I zL2|;8r*+pDWKop>PnaPbAcnBq?_?hu)lYzITmQg5z#!QL_OsIaIrS1&P;pB}91LE9 z>m7gPSKLu8TYW{jtaO+w6Lap{d3#a9YW1qVZc`l`H3n(2>^zD3+c-#~@tNRJn3BHSOc?I8BW&mFW!*%Mli zGMT}XXJnIPVHjW6Jy~7Gc>+Oy{x_Mm$ef@NYKM)4rybx^p)sUO&Sje}pB}u6q0CG= zU~q{+*RC^+2wOTppi@^>n5Elsiatck(cd*ZG60BuO4eM~+xaIU?x}I2LugO1N&VB; zLX_o}hiwCD06Z2QWuMl>Et(o++D-)NSs1h^qNuLrvdoRvCUVprMA2Yb#!3(W+rApb z&^ofZ$VuFOxlP!flT|U0bAgSe$Osa^v%tm_Zb8%aiKZQ6wzwJkaEd`iJbW}y^B^>; z>H{ri$>{2OqmD||oKz^;x>iwCi*Fk0q;Vn}JQ1YSSxU6$+|+5DncmJ5vu_cbM854- zckd8U#HgfJ+@{uRFn*9{;Vrib$xKB$N1yk-wPJ2Jzs&J#!Oz`hk&2sLs1|K2YE*kaf$SFrxMPDR4y^elk+Y%zHwh5d z)BeiTIqp)SpUcj}tX&e*ez{WEG$K0d)K(2SK(Y6&vTM5cZR~$QPBUY#YJ0Aryc8vk z8*U~-Lp^kFLdm0O6=AY%6jp$MV?rC`n66Zy+=0Ojgz!=>a zijhi(*bMgd9jCHQuiL}%GQj?6e1`uF|9oyWvZ;B{N-{VWI^@M6?Wv({=Sc6JII{t} za$+DLe0db>Rm!0fsfGRs)R<*SxeLVWgVCvE-t%l*l29H3IEf5{AE{rcBO!=*TUu#q z5t6Nc)<6Z@B`3qQtr3M3q$?1nFNSOi(FI^wE9#w*xBhxC!N2>kfJ_~QR4@E9AJVO_ zK31;VJh_k6x3W8HZIwV@WGq=eYBbRFD}X{c zNQ-KJws|c$Ocdci+W!p_gA_tE^h&dbHIgsG0UU&c;;rk3^bf!y7W6~f0R}S4-tvcd zgAhJS9cZWYw#)0Pi_p8`5Oc#5M^1zUUf{L%e~zyTJ>!||pV9g-feGZ7QDx?L&~aq0 zs4b4(GAa5wLhI9{^rqO|?b6c?oJAYWOHx<1v*EI3+e!BWJd}(5p8_6KIg)>UQs!!H zPzW|K7h;uPtgDIIx=u*In{gOwUtPY1F15acrENrGsPRiUE`~bcfAMVA)2%y_Ia>z^PXPSuVkq!Zn|kT@TzM+PAonxfH@5L zXSoQVOOC;guP*k=^RfiToINz^qwp^8pjAFKgeC~n=0+UQ9CRjv!Ln3~P9~~kBx!sI znBc>cDew&x)x6z*qwXNzoTiMp8@-0WvQ6u?*TE?t+P9ighv7D}k^=+Kb5L`FIuqFb z==a$n(>~`HQ%4bJTR(e;8|@03qH1z4Noq#U2*%Y11buyw}1^^zN@6Yp91)vCT7mb zKZ%S9ZVYb|T!VD_&K9S+>_b-rg0bZALT0?g{MO`Yg zQ#Dz*G6HY7jsIz$G>_+KZEnY2C3~?HZQRjm@qH2&Lcsf}?ETwbgCn=R?<*}SXMl+5 z79Al$v|3GBthH4TZ((bdThp^lnX=SRFzGk?)!F3NHKtRti%Rj+0U>GLD^G2j>Qd?o zHif?t+%QCr{n+XS;O#IeD44KoK?ht<4i`cA;4|16`QCEGvT8J-CtPp_tfmwf0Kp4+Ip1~z|y_oR&6OX6-vy>9EaZv!l_1haKOl0M#qls4; zfLQ{-fR>mlii0mFNkiGzd=lQ#@BD$(dxuybp7pv6Y=@8PT=Aw-eO6lj(Jokn3Y#LYoxq4z3XCj@2hh6WP{?+}~j4=$lKOKIJzLln{8k{-l023aAu(ce43qt0NbW%qL@O}#=S{9{_PRw^Jv zH%YeFvGq7P_9mA4t2tW)2vE!=$Bz27C$#B47`3W8=qXv)#l5C(AK55O$nP75B)?5l zv?}i%9QO5vY-ssRJ-A|2H}t%(*ZODuxM!vx$j49^m0dHfTZ<@ZMBg#|tTB&3rBkq? z2)9_jx7!uJR8zLUE<;Ta)j*irWN40QmT#ljs$Ynw#L&-<=-I`Kh~(HbuA!&cUIW!| z+pomh1^Nft{8d!|w-_}!o~^%JY=AH2;^$czed5^Uiu?Rh#r7 z%h%8jb5M)QHG(cy7|50pb1}BHzKC{x)uMX@dNNA1_}LAgkt4}_o!y4e{dC`JvIM#f zZb%q3KB%eT#f{QuQrV-~>G3C;V|w{VyyBCCSezKQ2^y+Hpk+1J4JG?6r!4QJ@fyE) zFL;0?Xn-%MxXjvsCuxJx5^C1xcTJK&*Tl(<2qjO@Y7xrJfd#_d2f;t{W?U-@$+)f& zvBpfXwnslg?YELy0Fsa<09G)&lRlAp3tZYAXwaYgLe8m3&MTwuj{!t3VZ%e!!4jXE zP{WIUFe=`6Uu?)ZPdX!8Sd8-G=KxSOo6Mh{y}_!lt@x*Mk5*@rx23CXrIsD7@50^N z3$&1^dSkc}VUpeHD@V=hlgdkLD0}fb7BSFLC}*(ZyeXcMGV58;T3s_>PwDOs{y;D5 z3u3)B8YS?0Ok?O(8~}G`<46hNF>;NZz{{Z)Mr-gaFcjB1YBUer?PzA zjK=1DaPhhE6OJ(ba zcro2+{s+KVeW%w>p@bAzLE+IYiESr6fz$;v%IIlyr;~5g-Ojs9*CT`{kWHgxr4fO- zYk^fC@>YjRib`Hy%kX4NMRx2&@XTk7edY`$72KVxljhg) zI6%YB%Kc4H$%it%*21l5CJ0RTt2PA}<<}ir#&nY9y~!@9Tu2fkfKBNGi}j`fhR-*> z;9D|KX#(-03U+5h1S(8Gvw7z&Pn*$J*uy@MrQu$@gd$e39*u)NEQc%)4;RB2aSi=S z+R&(2Fxr8tDQ79%q*8f|`Jc(^nQ7frj&xcJgpbb2;D&DYEV8m9pH65^Wpf5Wg-)w? zO%FqRs=j!4UBPM5&S8GKPRq9M=(zUq4^J-_krKO8vx225P3|;mga!Rq zCWP=8Suqe|2op*&=h>~!=>V&KdH*|E{xLHKKY2n66g?_!qZBg{gT=yr?+l(HqE`?S z2>jo^h9n{YVLEjQupv!Z80tzWVk%06?-(%SwS!iA<(GPW3OnmlXNEfk zjGXy}dYPjr{e1D(MglT)c2_|bYUl%!-XWf+gI~z`>o+ZC zbrr%5${=fW@+}C%^}aU4X!(Q`y_69;-(N?lPC8LajV4Rhns9>NyH4`pCfF$N>gA_ z#~=^NbyB$=wl~$$HI3?CQ48ASG!$5D!nbYRI01>$QZRMW;#8bORuO2P_Q&=zR@NCu zHCXaWp?mQS^~vFc%Ico%=8?#==jeD$mK34kH1Q<$??Eo^u<3<`!_c5g>ec1%zAz}Tf?5($&miU36JYnA!S$nCQebcE&Zh9OR8+0>p z_J*rmgX3!%0#%#ljLG6;WHBM1s8DU1$N7foueP_ufTQ&1LhXfwsyALxc@t&FJ%yZ` zcKru`k|HcOo;<#3A6ST?$3ZI%^YZw z{)lFLwl$vI2?tBjC(ht4X>4%(EdrQ_VL%`^;uCH&_~9p7wB)b8fj111mBnQHi}Yz$ z@YA4`2-tFjfMsx@2v{z4KbyYi%pSbBH6@#ta1zC8^zz^cLpQ&K1d!YCoe3XyE%>(E zZb+o8E~wkhGckTH@%XFQk!cVNdds_cetQ_xOMpTYy4dq#JHi{B@Z&U3cSs05ykOy(DM$l~EZnAG zgj-Q`YQM8=En1HvX*%;3R6_)ULLpgIjjT|m*g0aFZo7a3@mu}asR{yhDaIpoasHMS zow(r8Z5!zs)PeONn7#EQSp$CZx5t|9M8I=O zw{T8hQWT388Obq`_Ef~{(FT)JQV=)<-+OHAw(+AE&G{T_la?7Py=M_I*zmi-A>`py zw(SkL{NX379q_fKUM&atG+y_3w0)&maQxYEFAJNeos zq1~AThZt@Jw6$~+4X@tmQB8kI;~H7=Ip_lsJVV4`dkNZ;IX@8#_|{v90>7`nBi8tgXwEcK*z$tVkZtk_f60WKg@gz2G}UM(FhS|vtS zLOk$%MpLj}1M$bqc>`~=^Ij!EVoLO8zrhBd~pu`?Xt0C9va zZ|1m6mC|dSglqI4$@t?zX*Q??{W^ifYczE`+y06vvyM~KKI)}98X!gtodDT09psW+Sdu zI*lWm8Ld^%Z~j_E@`o~0;K|EBh=&Z@Uirf+;rORvfxY&3>0hdCNM{ch;-jY71Mh;< zmayELbNV?u^0&prEP9`YIUG265~`li&Et{TJO%9GS3~SX>DHK8UYl+oIMm=}?&X??Qo^K9?IO?TF8=sSI`M}967V>+z zi<;0}OnysfH`y>}rzET?FKz6zt*Q1s2)eSB_>u0fdWjJ~7ap}PGdr$ezRHgq@lee0 z|J(|eXn8F!I-wZxG72w5Pq|TNXQidGH#BWu+T3Z*&8R0&3!nCtg8Ty~m5Zy+Ts6Ou zWL_6b2C#ICU1rI;D_x=)c9D=E<;inO+?u`Bi=U>pzZ>d5c}S~Vn6#K!KZ~VC$@``u zQpS$_ma+rke1*A1l0Oy4+k?aZmSXJEwe}CRN!iDp-c4j8JX${9o+(_HhKC>3=quoj zXik`|WHKzuNE)%NcMqLKwuCQ6d<+K=mQc3BP%pyn0(!K;^~Hxc1%YW(l@^g5#yoX1&yzdHf}*{EeR;X!Bdl znG>l9#^d;GAA9K)?+ut!uiw_gbY_o8VdDt4TgEfoy?NZ|{12=V=BF#kUL~WMk(x9Cj4U%bI*LNN3B07tORqLv+4W&F2vv~(shhVS^m)l& zys371=~h@`e|Q?TN=t5_IMrEWRh&R?J#VS+yt$uG))7WDOiN_anuDDHxEnST7aw3W(LMWN{8 zYGUHIK`xwrsz7vr*W#d6b+wdBKzRoF>baFdxL+Cc?kucH3*lXGppCKQbb9&*BFSxU zQeRaaRT75&S-h^>6GoI3{`w3qFFT*jz0yB_pJGrK-!X8Cpz_LS`BLl9OVC@kNAPy4 zFD}BqU_CJNXy{FrWLp`xC!|@Aqt9NH?kVZzoaXGBK{L8O`DSa;8jvRguv`ALCJk-1 zHK8f0&ScitlSBCoy@W&)?iw&r<>h=)-8@{I-Ea_R{=pp#BoMgdD0{+B&>FN)S*rMT z%%8ZiZ<#VFqK5#8(m5CX)H#2D4Mil^WB=*opJwDnJJZG0Mv-a@2e>R&qzaiDE}huX8oaXV%YZ8T)*7rmJMv$snb!S`q;L>92Hl zi1FDK>z0_8A1Ckm=ywW%Z0zchEfIL(;Dt=FC{4O2p+tG-uVoBgl5R(6Ot+m*9r*{) z{4`k6xNuZ2`SMP%7niv_NaF5|^RVnw>#v2QLVE8oV}JZU1IiYW4WPwcs`KzOAvp8K zmb+QoTq%Hi^UNi=OSgBXew%9$bMdi84@7=@LP(s}kmC5~BCAY~anK>rJ&=Ob&dWtrx;qcs8qjiJ#i#vY6om-3M^>(iv3+$aii7 zl*Ekd@=*RknrJG8DbPw)PXQn{rsnkhs#+;RBTG^aGD$ByK0I=0z;ij?gO)X&$X zFPT22xQ+d!%yE}UGxV3LF`t3xTt17e%)X8Fw%hJH`GW2_cD<47ku<*?hI)bn=_OwM zjZ#5O-Mc^TU`qa1+*3BYx(u<8#eY1mJ{5rXCp4L6)EXNq1ix~O>ignqdb!mVbhXkq z{CiP-VlR(>d&E!A+ZykNN3x=wmIb9}b!%QpX&4>&{c(5wF)*8`_RNiN<5&dtr?Iex zd?rKP0$qp$7{LFiDHN{%arrC$*PQqrl)~69&e9FU1U&`S^RmCmx#@0@1~EZjyRVEd zs^h;oYbJL2TBda3!_tdvpmU?w-WaaE^sv7=IQq9b-{@Q8n0(OG-w5!ZGK0&d-+rEv zp#Jbwx&KVI4vmZYr|TaYQ>(Q4e0%$Qc*`i{um-0c_^9{qQZ>E=mG-Y{t?gB`=O?;( zDfDw~?OeUB_ZNXL)$Y`H!o_^V(VcO7Ldi&mcFOC;^GwY{4mSL2;?r`@8Tz$v@Az#b zf_MR=4-{`5TuXm)t9OZK#O?GKYdwfM{dEEUEWtTB7Swd$faF0xNAi!RdcODZxB5a<6huX&Mg>G_q<11BMg&2m2>}8k zy>|j6sE8oF7byWluhIemLR5P1y-5u%AcO#E@Ztv7YRNKiKuO#x2%58GmMT=mr7E7o$Djz zgc~|0!^L>+xJ=wYkBvkt4v0$`@RyyC2NwyRi>%F_ja9xW@xAivM3ivue348c<-tSiYF@?C(G+Vg39-k&Yx)gz>mAY3 zPUGAd!y1m)`?GbhWIiUv;JS8ytwIj}MJrm0owbmtyPciQL#tMFeC*B3Bk@mhEu3iS z1q<$>r*a}s+#G^CE^&a5ga&0Du!?o;bwo!+BZY#6siyD!NzY!pa|w(#5emOM2X8i% z#U~GCkAQ*o9g^fNU6<(*J(FO{Ty?efRfj|7_uMvJ*YeQ}Pc(PJ+@ul zg1C#EW!=W5y3!$4VvVd)#h0L49q=DXggn=i{ydwi0ql|GfjY8k3G>+Ixe&F4Xl z?#a*@x|^J9O8)jB1)M$Uk=A*#l~&4!rsjp z^GI_|a5Czc$W)b-&SonwT@uhOxi@n-U|=30896dMd=a7I^2FJWT-PE+(`O8^(&F)d zF-}jK5jfc@%G^25Ab1G0N_KLVEjlB$x@Xuq&XQ=Fb_L2*348Q5JgZ`h?`Jv2N9V+J z;}v7-i*Ur8wUgD8^@4eu#&ys=v_X9s9P-#pmvF0jabZkKD&(PL01RzXYE-nfW&8HF zO8Y>|-G>a@x6*q@lz=KOrbf7_5!07^AD%SkJCBm1#y~cGq)h-ey1gQ~f7h=6e04rr zc84KWd~4rxSG>)m7j~ls8kHnJxoB`|m@3#Hx8(2Kno_L1KW?cdHNt6l=@!cI2KsO} z1VNcx7~yIGSeIQG2bL%l>uA+LMX189= zX=L+fx)4guU@g;OiQ}_|jDV=pP(!rzflQAi)4_QFkkh~W#}f^bqmQXc=rX36Lz6m~ zp61!`M2P{lBq-L3bnyDA+Za#tCkMY@y5F`b@78!8H^aOoec(F3rO7oWi3l)!VUXJY_**`f$8BixpE@OH zMH8wrKca&nu4v1Mz6JnIs<{wa+uqpU9ka?0PhvCAe5UQHC`ZD~kW2GTHrx3lYl{cw zH7r>yhci8DKEAO#q`@HbMu{lt`=yxuH2}W`zKr|LWyC*L{92F5~9Mm+npbXGi>EQLGJeuwYzqWhv;~-O90ohp2jYNaZo9Jro)ZNXe zeXU0M>PD_7#Z8Kq_|)=N>f)fXu!_YhL*qC0%!)Zq4 zmjaxNv1Q`T%*u5JbB(2~BmqQ@cI71>nI}9n`Iu7dz_h8vV^#mRG+^q+6O)lwFG%x_ zJrkRbVM^b0VmpB;3%`{H32JQ@(x(Ac6`+83x8F-0Qm>=H<~2@ ze0hR4!NcPX`8_tWtZ20PxiVcm93kon8958D>zHw|l3 zN*aeYCGN5)yN583`6V+enpgXDvIJy5B4_)$=Kr6Fk1SKTKL0e+HK+(5Oh!E!FjUjv zQz+gHP;75~vylUsI>p5>LfPkfDb*`WrEk~+kgKV?VY_RLj;Ts(&dU01Zcz@3gjzD~ zU1ZFALvvV3LdhvU5P3?p{Bh=VZEhsHId9N8$QG3a;cxYiRYNb4{7Xkli*6fRi&?Yu zMEEQ#%Xj+DvSVuIiR^2+64vfP5;x}?RA)P)T$9dQlGqbzTW_wS#jnrgCYY4S<@!6( zLO2-T&y ztt@lr_M{yo{cDzsUCTuAPBl7daEA`$iXGbX6;`ULoG+||PM7JzO?-pXZGuf)GCfT) zHdcw%@`GUW@^>};Z-cw9G;J4x7ssmfr#IeV$AxEY`RM|cnFagHbeWy?Yo~8+T5z!}*PUPAC}z<794E zvgjxeJWmHYEstV+19^ua;deJe@Q>>ggG+pt%3_m$4xE_1m8(u@G|{If3)pnFJa*zk zd1b%Xm_Pp*{@x#a+<8ldKaBUw#p_ip|M{2|b{`MV7bn`~8BCw#u&{40F&#d0n@Igp z&L~pj;*Lm%B*sB{w!#>n){;H1n4e7ph}*^%EWs(LO7>u9C`kX+cSFpDG2OgL12qiA zUKV<486(hG`nia27haKLT^5)be1Pe2Oo3wmT-vZ7oW>a{rf%dp4QrkWsSe+)9a;}e z^DY@Z6xe`Jrma)}>o=EG7G^e+Mj2(IB!i~3*DUmZ#HRnpsF>7d;(qs!c-g!nVCfpV zVc{`Drgc+4Cw|aZO;GQ5_kbzssrQJ@?+KNxqVe6Rn#RK02u^!dmdw1^`Ekv&64$5u zNt0K5m&IJYFzA#HVFc_&suzoLOs+iO0hb%HP&@O%EjY$vB$y>u!Mr)=+Zu}u>zXR0 zOe{==+PkF$AARU7j`*0mO303;Bf{1YC3X9^CHv^XXiJba4rz3ND|9u%q%i zzXM~Fu|MBkY;IY^9vrB#8#g}Yiu^62uCLWP*wUvvQggqojC|1i<(w62%A(STZI-=g z=rhz?^PQbdRo3yB!LW@t`NkOWG1lw2A&a5*8zaghgVP!i-Inve^<|0T3(z&iPY2wa zRWdaFgV|S7d;^Dv66bsv1C2{()n=OMR`WKBACN!AW*fQmPd+OOkD-3*1lUxC_(oYa z15O6kaej&^lS1@>W14J38d%-dXw(UKA{+sm0oRgNsZF~R4+bZa)Kc8pEngEw_2M9>+`b!EPL^H0{>E#@;NBH4N8jOdcz3bgvWuzX)H@ z5=}gp`73*jOQomBo6ou{@=EsuP`N2&@51$K2aiU4d;MTk}W6#b31N<}Qyw z{g75&a*(Um04PKHq+va{R~fR-!X_x+KU0=ya$^KHJm=px#&P;kcRw)XnVCkFSo}M% z7f@o{|N4>A7~2}kO-*-zBm&)B`{0l<3a%L^u&ZMZ(AQ>E%o*83PMDk+ze9)wtG;NF zp+s&iVUaPnJ7Kq?<)r+&etlYFe!nBV76bDZ-MG0nRXw+_SK$*ieGf$=Btv+MmMRnG z-ml+G#|0tNADB?DtYCM%sIumhpo69TenM?#U&gLA5s~Qpl#*8{&4Xv$MkJZbpy!w&Sy5xsmpk z-*OC{&PsWw4Z3=YfQW;Xw)f`L0~y)SsUR-m<&!jeM(lIJ(?w-W` z8;~OQGP0e^Q`a{^AfV65WN_uQ2!xU*5;-+0RO8{=kZrjkfxWxfk~^Q;>L+^!&*}6$ z%h~gZz!Ju%-k-TMGYDmsJ7?Z-LPwJ+&kOnTZsQiCNzs!-y&ZF8pG(;V|4t8$PWCP- zppW-I{eVIO)$m2g{z`U8)=!1muN|G#Zk+MhKac6}es?A8RT}2P38Ri@pN@1|M7|AX z)9%^y#o&FDW9n1L+6{ked6L_05dU=w>oyyLRg4AYr*Y5kVk*j+O}8>Eykr1~Gek zq5>KKcJCCdt#w+M>AHoyt`7%^%Vk58)~pPA7ot{b9;$wvpZ%V!WwP@!JBO}J*j4#U z$@96wwhWGKKlp21IitA!Yutjx)T8VY9?RUdR=>ObGv`{-$Ztr}rk*h)Aku7;o-)p0 zpA7id|JXQ@Kz}3Onv`C#wTIj+KUJLl5%({4$06OunL)QwrCUb0m|g6WUZBE<2nLa! zzC5*+M(}yeWtx4d{W!?yz z`95kQ5RfKxCdY%y>tSFod{^*tw&trc_LsrMOO?DkPw;*2FF9)iMzljz*Oe#Jwf>VQ zG{Xv>I`PHvKDiDK*t~S}Fp$$56L|w#qWxD{GrfQEt{25XJiP5rjorNA+N3F^wrk2b ztMZ(#&8N5-y;I!>84;X%Md*Lkt7iXR|^K?}40DojM7hLSC~Dz0cm7`G3_Lx0oX-GnU!U&u>< zpq~J)U*V88?7I6UW;3XxZ&ge56<3w9+!Ln#r{zByKbaOdSGw93>Gd5cTbR!XZ+(xf z8L8GSc)8Nyy_MW;3jf=~XnsG}t39F`udr4h5h3}9Ud%DriCZCs| ztSFrRm+x8e!&dUl6w2oGDB%f1F7)rC+mbxjt{F&j-erp5@2ka?^F!WnD3#wPUT>rygzBa8N z;t5ZB3w#J-j`vr!!msQV^!?UM$&Yw-xAkGuPuFEKMVh_R;mxq#^?cq}VWqd8h*t%l z6!Z&tQZ?}K^}Vl3aFOkt)%!AZ&mW_+DGVmuSsi6#=nCJsIR*}YuiY2pTWGH{>Box8 zy~T2SjfxWKTeLNq2YdLLtpdfu3&t{E>b?z|sT-_@{X{!0=ZW!r^OliUboj1D&-Lo} zbSWqio-BFLUaeM(ykR4D`+-(y&UDcC-m8%G&+gxEE3|U=J-O~K&25mkUnVz@l!a-Z z3H<`At%F9-n+Aa7^3)#l5yDK_ckw&7H455F2{1uTMJ}4jWxZNO>Rn4jAB?<9!*n6-N%*p7A zPJPy$m|O15HUR!`_w!eY(GoyQkNB&ogK<{Nfmc}P9^pJ>|Mvl?g-E%>_TyJo0eWu@ zD_&CXmONYi?hg#RaFJ7wU-ADoXy$OU?r{Pms z=+3J3&+-}_+cn0GiA~JkU!IZDzqPMo2Wd71>zAm07#k5gbdx%t174?BS z4%v0I(LVzEBk|xNK7M(wsS9J|GJw0I5PKJ&tuY|u&s-QTMAO_Z84*hQ%uSn5D);`S!ED zYqI=)!uVC3i$=mrruQszzn8v_O}_SgZvS!9=-L0AzM3>azI$o73MGzdDVRQ}7!$#fUVU*HJ&?S5S3?uSKleCG z;m94s9aSfcqYR?^;cO}ip~>}Km*0TJh0K^g(f9gif;Zu zvEBS90hNbBBEyN*5A8Hvcm=v$A`%2L17CVl0}>7j4;^#Oe(s;KeZHXr8abst6g;Ef zPna{$AAB1%xY2*lJw{B^eD2znlXvf_vL*da5&4VRa=Zf~Jz~rK=i#+^7M8P=q9Vb) z)>!^nRy)nj=6uzuzs=AD7Ip=gUl2dW5kqM1R+;)6lxLRUSHZ}Y@ak##{=C^E`F6-q zNaafl@!$%S_5X+xx(>@ko9OKU5!2P+|+kBF2ewfpRB2uaLfn- ztCSP3*_njJq!?EL@uA*kE~%3kjW+yg`-qnEAkT~cQ)8DBf5?pifWu;WS2q_oU-u{* z^8X3$Tp=zldBX!mf(y#zXx5nQX1^y>+AcE*kn_F-lU3=GV=E06m>bkxA~Uk&FfbDB zx_hRs(VY;mh?&!Bb`YO>On-WM3ZITu!>zqDSq|E4#1 zNW%2?&0E=|eo;pkGl0ieCpEoIsF;qoA0$sumwKXqDj%)-GYsMk^B1Shb%ic;smdX` zgIE!cv|9mu)Hwi-8&GFe{wCv?XzRS5xOdfmI>~|9`d>{L*II`S(R0L}65?Ig%U+ZQE1Ykf|xJufdt zd9IBb{Vy5uTzmj%^SP@XR-eUPZG(SyokNUwJfXA{nGye`{f?@>>v^GtEl*cj> zB0l9X9IcJRHG0;KCB+CxT8V_|EC8o5|MV$a=3c46O`M1ar?qBNO6H98aGauN8TObBt%o=O_o zWcy>e;29$=D1|uN%|qKEVo#?{Y?P@%9-5(M!8C1<_u|<{16uYZBFS(FO^3Ml&Je#&ZATNtF93kSzd4wXv3&KbtoEiY&N90N`*5s8t@hYMXX=}7pT zAE(>Ss=tn2nXU3^B1wu=RdsY#tM{;aed;}Tq_Urvmt7xwDFD9@7z)YvJemM_p0HpJ zJVfnwvH-x&8kx(Y%kF@zYsEu)x{`Yb%|1~N9b*`!=7^?6n=SN@Q2a>8Lzj; z`qaY|zwIZTczQWSXG$R3HFFjkRO_>teGpV!w50CJ-3e*$G=l{RPR8v0HXYYkHm_uCDd;XfV^ayY$XB3Og~TF!7c(`de^}C#<^M5q=4`!?l?joB9LV>}rS* zs*G&r*)Rq(`{=!)&^*oX$h}G z`eZhL!nC5Whd+d_q7j1h^IXZ@De+TUgF{;4%6I49Wrq1*!%|9nAREtr!@=H;ssFzC z`acTnJK5@DWvuOu1Ma1kncrfJ;-kqInsb-&W99|$^eJ)XcVRpN7#$e5l08^er*ua^ zr}U&5YjqZ1FBciYmk&7Bf%POw&bD93Y^zCiDqLhpuyXx2594UDA)(_3q~uDLiN4K3 z3Qz!HCuiZE*WuvX`ck=xtLoU9aR{uLDk7zHYP@<+Zcy+{g_GQAnmHX9@&Qo*FJ$&S zS^LmUFjL>X(@k&I@(hf?!}j-gDk{r&(x%k{12qE4&4R#V#~Z4Y+}He*-NAE$H}uNcI3_maY^!Vj5k_&vc@Ni!s7PR< zzqJ6QLmN$8?=c!u9)Oi6IoS09KC4BsI-Vz?{;mLH7_K&zOKN|Ieoi<WpinrG}u_K2!(7|EGZ zCpc5#Q}Vp2ZsSrqqo~2}Fhp=dpVTsuzV))d5L{AIr#-Fwai7SRKsvsZ=Aoq>>;>6Q z9ayO6?@jkzvD;O$Cf?Ff?Yz}?LGhvSZfBpx1V z7U8kiA2&yvsT!|D)pJ2zL=`%o@6-OwWKI93Rp$efMfIRMJ+r>Pd9m7a z!Gg9!ax%vCEuM*Dkn;4Iap3mdxn3)C!Ah=0N&3HeK&{)9S`0O$%V!y7wm!cQ6Etmt zi9&L$Y!_0>o3Ae(c=}M(K+KJ$Nx)vG!s0%KI-r5DUGMnfgmnV!;SdlI?2zpcAJzZ{ zH>neSVJ}HzrbeEA@)%#3y@+3nKKzZF;AM)QFM5q`JUQsIx>2b*f$c#0G$40~9Hh+6 zHINO$Vq|)WXsNne6QHEGb}+}jo&K{nGH^MGT$@T1n6X}GU%%ahe4Dn?bi)E9s@L2W{?1lY&a-cE;g(DI>7H*1)?VY|?g_{Qo?`=;!!GTqif zuEhrNLT-Yu83CKtW`>00bUar_br5wEfTb$$Cjcy2NtHOJnDCp@lUKVvO)v#;a><7PB> zV-sLzR7swlznEyJ{KXPB&oMAL;A8!xz?N10%^);Hq7dor3UP)6ui9DO2@`tA(ND~%y9DXxLe)}FA4A;!CLj6^!5(in!%D8yKT~>UvnZydNLLM=qs5)SgrCfx=6?L&?01c8`Bv|4e&!}= z1@i6El~tiQz#3)K9Wh;jaBy3d2}ahK2hN &4UEytSEUq%RUlZ0SBfC2wv`>bR!$ z5RwGA&lgnA``F{L^brp*WbNJ7N zR+`HmGc4Sv5!F6fY(4@UawB`rk~tt-tLz7FvS7c;mYd9cX?g1KA=!7qyB`==R96+t zQ7C|Cq?W3i$YS*gAJj*Sc`?4S>$r^N&0AGw)xI?m3KTdg&U|muTm*B22ioL|!3=iVd)P&>xATTz_{Bj?yv)#8(|{P#1n$FIE&;9aPoQW_s0o<1_b zyw23+^5&dFC;BK7O51x)B&7f*hgF-+ngSqiGNZx zTQZS4nicnMp98TLwMZXV6NxvQmVmUwWmq#*on|_@u?5oQ#~r#js}j({kw?6Cz0Wlbe82-NcM zRkc`Ts+BN1Mp%{hr{N1GeXo#+=#JI;gI6n|eV+TnGc$Zwn0m8)(^k=zLe)*@ISg6y z@6wvGeiSC=)8W(=>RY>l;vqbu>ukoIZ6JdMd6cGqzYAIX?3aLA7)Y5*`G5A5QOC|D zo1#5+UhYB+dDeA|;2y46NCRi_VZ_WZgk=u;5@ex!&8L=fRf@c4+dbYLd{}DeaSb3F zc7zs5B{gRmy7~DO$@Mf_B6-$Mk^;*5mr{ znna#HOyy9EEo0#3U_1mB!oAX{<2eRIpcaK=LqvBuM*GX@80NKu%mt|gNcFQAPm|R5 z%BqQpy`5}s8d4b;+#5WCh4@>ltsc8l>ge{*Fxt-`&4+avED#bEB@TY}sGu z0rt&UYR-MEb)17il4jDQ!tkNZ=mJfLzT&YV77f$)hp+Cxc=Q@*@TP#ZAW8mfvYIA9 z^x798P|{ta)Vu`MJGpFEh3n7ucI@i1W&A*a<&j>0G1Q%!U^}eJHf)~SCmlHRV4Z2Z zW98Z$l8Kw%VX-zIt!ZI`wL!%7h8a|oZys;9lph?mu^d$Xi4W!g^A17d3IlCPlz_Ov zsgwBWPStZXu_1T*54^KpIedFcqW>;}@|^{^CIu@Wlejkfx1vu^V+LCCY3gqIIJ>#v z3FpkhpaNBAgd^P{N^572itrN>cMo<80DCCtPLiRD7WI|@!hu@%R_C~$*}r_Kv8V?Y zz_%Nk;P-W>Ny;I02RHYKOA6GbvfDZ9rNxJh8ik+9EvMn7!I6>YO%;w zZV>4XDg1_t5fkU5S_&VQ-%B(97iY48=R^|AzXIRJ;l zJ?UXzC((38aE>0lYfLM@tv0c~zg0OJ2U3~ZZC0dPp6JvJehu4~A(svsxnpsBG1RWY zuGpGH`hyO!_2758I`j z-!M6*~?Yy`idJ` zwQ%9ypbIT45p3pcZhP?FY*~JOo2O20`Qq`)`rc#lK4=VEYJcmyR1!%7AEpXnWuLgK zMNG(YFMPQ!HMX#;OR<~bA`bMitlyL?%@k9m{5ppw;xd=vJzR?X`ZQaGa4gLCQEX5y z^w9ts$Lex;ntsX<40uhmY$3n(KtlcwH5vDH8pv|86QwJNQ;RAn50tWvnLNKMbmax? z6_uXeP$?r$*(SZnw#Z8$$i)|C2(Nd(7jMIe~R0p^k zxUFi127X}VCOQ+X^HQ5j+@k=&tXhGw)$+t$UMt>m2r^)-s)Uw3*wt7Ts3)<8*G-=If4h(OtY`t!dKCQJkLEWRR)G?*qJ0D zOK~&KbD8Ick9FN4#mEO)RiqJGC76$>U-)2!n!LDH6$KNy1wL4lp(N;??yvbm>#^>1 z{Dq_6bfKjU7U8+!6ysqn^Jd6Vm7DJ~BHw!QAd9_QUu6{68XLhwpR_+`1VA;vUzqNV ztU8jfiM`qh-|KQ;=Wd~?nW1B!XYK*r3$+$h4p!PVEhNNmf@T4+vW;*-E#B>G5ZzdL zPlUcehTRG{l<)taDobLJcd`?QHqD&Cu|60eZcD~WAwEzSHt0X)DdxSUX~!N|4GWI? zq+^xy%f0H9&_}my4*J|9ChR*NJ3xO{y^xy_E!D;lT%#-?tL$fw19@gOdtobY`K34H z`;@o*%w|~v5)Jso!h>fEH+xsK1XQNz1@~5+URMz1N{7kvWZg(&%7WfT!xN==cJm22cR}W+Gi!j(<+vywKbN>AoW}$_^J^`gd%; zTrFRdougVI)@6N0{(jN#>6{$9yt9)2b$ah^M>~y($fRxWC5n;?&vzc|ZedJUGLgj^ z0pl$wqkgi~oj=~*yM+lFP12wjnJraED<{u+>1+y#Yn!h;yF#4Lwk}9SrMkU5Oc-1= zhPixNL2M*n1qYK@*=F>kuG;Z}4sW#*v{!j=UCQuitNfz}aMWo@m+-(x7yx;AMm-bI+6W* zmTOkEMonf{q;yGej}2qmU&j3 z;Ng~BwtWlR_L=?>D@;V3>~V)nQCUxJyR7sP_y*1%drqHz{jbtBS#&v*P-F43C^GDV_7!aUvWtwwSQg^{MMQfITL?;`h6J;A7U9|@274bo2MyB83E@z<7uQ8 z4Nyg+&O&>D(syo>QT#c>g+F%6J~ayi$KCZRc$WdbC&6=m#0dvX*JG=5QmOkJCzeV& zl%2gi<6z@4c9Mh}5(MhTGVC(-A3NR&T%U-Sg z=hqC~Ino3CA2J)l49@|2BOh5->(yZ~L7Aqr9OV2szSZ6Mu58lbY+%LNX*Xm!@O{7@ z>?ufx?%L;xKyKpR->XOWF5jN)J-tAOcf^WJBPeVWlW;khqs{XHwAB)Kn106-vQQU*$d_W zE@6Ti>1(IEx72yu>`vM+a^ITreyKp`3Yh}r1f00JCY@k)9{{S{FuEJ0Q(1?8HgQNk z+zXFdVa3tk$(Lu;Kh|6JrGBVMdAd&mofm07JM+Kr#o+Zz1HC({z`EKonvj&#sC3QS zpU=YMHpuG(eRXns(R*0E&hW^bQs>;rh^L?LU%4b?s_~!Ni=0DsSJSbF4^5xn(Ev@M zHT0gN!@txHC`h^4I$!2&@Y++tD+Mnq9PfVQv}gQydm)n0pvG5`9ft`H9`gON?Q@{; z=u+TuZ9y#Km2TX#u1;*d!_<#KBeNGdS{zm!h7C-;Udyiz1OHTc>obtTrB_OfBUALtM8@^ zLEyE~*}d=Hb3$I%vM*{vX0M1n&N_wJe|$zEJ^5^!#8dxWFtDs;i>*C>=B>E?J1OIN?5E>{Z?)mL0-}+mEg|e{0%ggc}vK)^u zPPK_SWn^aY$3wYH$uG8{mH2`JYt%zAX5JZKx-Wb_DKc3)?@nwfw+2`im7@ zcsaGpiA%gkkzaINK>cK(?V+&!R<2IM!E?(;;=K?h#gV~r$Lu!p$S!Ql3pR3t>w|CO z|Jb(du*8wIi#Kr0dO3JPrn~uG(|NnY_1@YH5$2iy1%avbjZ;0@yry{drl5+lv%u z$wUze3!t4HtzI7ghPw8V`ysseSl5qRrDE>M!rN!RAbo}3ra6=kYCiFwmsH|QIB?_2 zY{jnrrm;~DZ?pV|@^_d^OK&mhr`?14#AFFtUKQUG@YRsepM}No8mrW566!<7w38GKi#OjS)Boh9ck4fbOe~#MFZZnBEho07=_e+~7r4EUYmd)e zu;juh6L%xIronPX`N3ay@hI>TQgIZF6$X<;!_HF7`J$xe;eMWnQ~#xBy?y&|sko@> zn6G;AC@^ciKU-6And+J1ayeDrc-zqF0DxA*7(yBun=uPE3V4NKa~q-O0ql~T=xgZK za`_-VGT;8BbK|xv`@;}=BF=yHoV9~}d)rRjP*Wb?z+SfUah@>EW(E~9(ze;qz7vOX{GGbqmNZ}AGm_V{tor3)2H)Y~%S(xT zd(ihkgrtb@D#nvS@fI`;1$=1)&#uJQcQg;xYiS9=Z}~W|bMn(w3^Vy`0~NtyQv{}a zW(TD~CkBmaHD05aq?ylcI>XyS&xGbE5=B2LUih_8W=fpeW{D`|l6(;fCGZ_2UW2x0 zVUqfv#i>ALV*?KpYC6Om2Z+3CUWdPRk_Mc+s>dc&xYlKlXr$3)uCc799O_q^<#7(q z=%;yN-AQf*4*kA8L=f}F8oV`N~-zk zE;WeEIkH!Qm&lvx(|kcDA!kmY9B?u}hNldBob9f{w9xJD^B?@XBlrGkt8%^xcK%XUQpZhr5X<58+K?&T8>Qmdg-yNq9A*h{}Jiv6~b zQz2?L>pmMp+0kCYKRoGH;5lH;Dlw*x3@ceZePa5Qi_=tF>`-?JR7s4W>eT--pXTm& z_Bf$Av2e}!MIx332_Y!8%S$GT1 zNxKYvg-1u*sS&4|WB*;{W;ZO>SPEj1*ki9!p3+iI(jz@eqv!j=PaoH-MXv`M9=(D4 zwufo2@tm+O%8&T}k8kIkdLCk}%!|n6|It+2P45QxuHTKzzt|J)8oVxe za}Av?D9q6RV`hY>_Qj3FcB|t>Jx3$`e}?-{ou(K5-9=gsgnm817`iV?O^6v%gH)u0 zYRLOkNLPG<7x7UUKYLd$A7+klzDMZs$kT)4Ab`6eP_NU>$I9$8e6_jiuZj?$xSSk$ zgY&w`<@IW&>3>Z6T#>|voG_81$toY} zrah@n!j3p8^#6z>Ws;Moe@qP&TqLciE&<&rh*EwLK8KksFeVRpNbCjgkBaa%R~o5j z+kqq9SN{;RTxghT({_cJ11RqiCk@eyHlUGSykWnp#>WdEZU}5O4tU2&`{Nc!Il4+n zVXEj^VakjXYGB1p#ta-259iUK4r`E6I0>r2GymWp5GF8ey}GKupc4q(J~89j9Eo5Zur8tw;BY(#ZYoHuG5koAU2$%- zH`s3AO}vy(r^CmY2K-&n4GficRR5s=W|la!^*edUzDS6Y0{VcW>0dH2;O5w;&*1tO z=c_5TJTcVV_847YTk=^!@&3z}B@1%-P2?Qf>#}^LYppa53Rfdknegi{f7WBNa5B*Z z-v@#lcFT`mJ?PEmt#dcj9CD$P5eT`luUSR<9g(mp69szII+P*Zn= zoT7yc2H9G!!;BLzQw5QEZkwWy=R(pV?vX_;yo|23FYQ%)8QNNbH1%0TAtG2`5{k?_ z)ZQ*-%NSF%YH-8zh3VG!Q@(!%+fJ8o*m@gW*dJhfBduUOAH}5W%^3wKrx+bV@8+o^ zv{1=N7|`sAJ)lI4@e`1z4ZZ>|B&tQ9sSyTk`Fq|l~L zc9%+Tik$Bs<637M)6Az{zHARto-8@Kn+Y$&%W#j=Z`Fm|-LIA9Q;!8hj3%$ZE}v#@ zzKgNlXvS&QHJj!GpQ4plP@x8lr@E4*T5`2 zSaknvIDQvb4@ zz)asm13t?suKz*IutW4NhmDbop7~pfDFha>)l##j!X#Y)#vefRZhI{XcN1i5(~ZP~~FVYr^mGQZas8N`nqL}ZPP z_@Z$eKcIMpAaJD#0gj}$wY`xl}7gy7pzw=54Rq?q6n4FQ-?cCGghmzhOSgu$DsW6xkgA zdes7%tF~J|2u#a?ih@dP3JyR!m?nE5by`0lV!$4Cfqa0ziwi4O@3vF96wE$_$tUk^>4#`La)4k7pS5r1@hMFa?Ln}(rsEO0+N{<%iML>(0;A5{#xW;uKqJsQm5SB>T25CAR5C@T&vVCfj^g0 z8^_ZSH7%?dE*(uvU8Qa1i5DdU3FI;FB|lp>E&e6LEe_dRxaPzYoYwFk3x8e6U$(AtX-?u{Cw-bFMAhFa3K1ZDg(-5mD4e;YG=m z@`7S5Vdzcdv0oeXIn)>B!M1%olG)|joiPnV^SXo7OB9@osSp&u3%rm3QdrESOl~I3 zby9!?{lBs^+M`3q3$)sPGFNrLBR_qcm^9Sp*VdY46UtuhwSbh*uo{597J$7Pa1>>q zty$`~-JKrM-%b{F#0e8WfJaCSq|*9C1~D)L6w_29&-TDUw6#H*?Qap?82T2pKN7i{ zAj}#r7d=VM$HiIj#6H|IbNGZc7sa^r6)oo^cqyO+Uk4@I)}Gg`z3zVdBzWp)7Xs5C-4xm)qZ%D?0Ty+U z$BpVg6|dDdRkxp*ulqMj&$iuaH@V^brrs zq=j|yB}_4;`$OOw96;$850OVxOw=;?7v2H8iIMerv-nD@gk?(rAg9@6AlN_7`De-b zY<8WD#rd}S!$Tw+Mh`LN?psAt@pQyP4r8UG9`^bmJB$H#mgYtUX)w|)GFdA*Fk_Hu zr)PQ*Yp536&cpM);*yQ1$ahc2Wfp-Q@5d6CD1$!b-a+<6y+L=Xcg6MnO{v_ZFL3S4 z&IRbLjA?&bm;74D*DQNGVr|&ACx@HyuCk4^klZTjs$e(3a%JI7k6hWZ7T1Nk`n4kJrD+CPGS34d1dSrb8->Mj2DcJNqu8}D zGKx8*-JEng#`f;eii*UciJ*^>zN-@AIQWzYOwU*YrsDL24*n`y{Yu|mEcRq_wXzLc zv*dCTTe?HL3*uQw7pB%6PXO1l(+Xo;V!^$2)HNCGQD7)J!uDdd!!+wF;voyTD>>aJ zBmL9G=zIL&Dlbi+(R)&f(GU1R*covCo;(Vk)HE_2pMSOpPMQ3*i5OqZ)*>rsT`I#- zLdlqA-o##F@usb*vDSDp7nVA-P2mv~rNcj!TlWox!0YD@%B0&7N9;)uERsG#V2ISJ zUXWM|j?G4$hFh;F?KjukE9yyh9@)u$;T-&n{S~8r;Y{5r(|eqWej`^T6wI-aF2Z2V z`&K6lee980mw~-Z3aRzIaJ${sAY)hIBj{=1x<4Jj zBZ|mukyA_9fi|sj+JMk^9X{6#PIh^wH13IlR;12& z2-yDudUOBQR>Nb|?*HfUiS|!Cf8w{!XZ{oIpLos+x!qM@3H10H65x-WaO@fK!5Npz zdhLe3RD;WMkxx>3!5@M@;j%@Yp=E=aMt}-Zu&%mUs5?I{zby{4c zgxNTV$J78{hRHg}%T-H;$vH@X;rR$I!u9&@D79nuy$kbf7G^R`zNGW0(!6wWN~KVb$)=L=E@nMy#eJ8S ztvwyW{8Q4g-7;sEipbG?`k>{Y14l`ve($Wpy=F;)l}Yrofz_%QD1?BY)SQ2a`m?<6 zJ3J}-T61$t3M{eJ-{n*|!Wd&PLeb&Ry!&hgj!jgFSF zx;tdN*x0gD5`e5^5-=yzVWAp(n{@LTr48OcC*EtqoW4hI^K)?32wrsK(sr}=W)&qY z0PExrVd*nH4S8M(-gdDeVXkg3SDBLnx?#|nhr!?Piy}(~sh9#>hnimwTPc<=kJ%j$ zly6gPY8joh3qOcc1@N;q%h-1a=tKC=Yc%=sgWeZ}lZ5I#t!rC4yc9`2X6RJvarqI1 z66OsH2d~WDP*gCta{?UI?+vSJgqCaVcKCE+`09vRxUdUq)n8&)n#_LMth5fr&>hhs zceI2YotH41p(wFpouQ&6uio#(8t}Ga)i==*Aj{G9*UJDl{F-5{0N1%(>ww~Ww1BQ9 zff4}LB>`v1Z=2@yS9?P1xv4@zB7!yJrg8p(?U)#04p&!}CN`fOk+lG2sX@%?xYZ`Q zNMhDyF!S-Q`shAEfKV$aTbCcByKk6{?DhrYDheP0bbt9b!{Pb7Z1xthb!6g`rq@&1 zmp-6W#_eF;_r}BGkiNgI8k9mHN&y6C1%^uc36qw`73k!`XOq0aG-Gc8jflu*MnjFxv!o)hN&!g7_0bNw`P75o*mltyr!^D%-8EG9xk zm2)o3c=0*%TjoRNbaYiPkuTMEbfYbb*E7gK*~xht!=nc*LXp$!n-C>+>#4Qd`X}D( znAMb2U<=TQMI$VLQ=zkT9Xrtxf)V5lH@MNp^`;Znkg#<){Y$`9>~>|0Ikt^%nbD{F zLoza|m_j~G2|pk*^|tje@N=AAxL_WGS}`4AZ;ax$!a9Y=FNNN~r7an(tM^f6YFx=D7sH9j=`ki9h$EkF=78sO^;WNXRl%O%^zWBE`L8yve>b+^bmtpt?fi{D|LK> zl;)uL2pwU9Z;c>$Fb)=|eW4D#6^I1Ecp5~1zzOv{fj3R{JfSx(^_>U@XC`3hL^}a6 zyBBn7_Y1ExAw(K?9HU@23fYds6|%s)=Y9yOF9cXd?weg7lk^~-(@baseLCumd! z)6j`-w#P0HV@r&1TJ+0M_-iYOPk$={Ak(;qBb)m!y3a2>Rr-$A+zN1L6w}8pNJ{Aj zH-4!}M-8!sWjE}O@!rGQ&k@@~5!Qo&cqYhh)(CsO9Z@6sfV%k?>x<^jf5S+6DeS{~ zqr2Zi!jB0a7`av!tHy554%A+G03_8q^_38c&xEV>Tdx2ozJa>a|ZZ2SC z6w6Q<%=4ib!!Gc`yuowCJY#ZSdJ$NoU#ojZIUX-S{X6aA zq;goD9O`|{=ORud_};~`gqbv0t*h$%`-psWK8T`UD)m`6{#IGPkuII<{*27J;xW#s zI)0OpB82CS6pJ23cMhaH>}Kp3B`+~dt*K~hX_ZeknvR&wSL{T}C=pyO6_ZfN&Ym4# zaCn2#1mhK`G%FEJcUv;GcTD-p!eZ>f<*2n*(@dkszowZc^lrA67_*U;0;4$6Q-%cg zvbi)-{2epvhWV;Q|0;{kFAs?>5fu; ztBY4ndo-r(i`geM>!0$px|_qjn{~zVMDj3R`m`oL`UFNW4iGb*|~3z zHuI3Eb{`5NS~P$2|Ftjl5*&2HRfmhc%ZDLO%fY z)l7x|$B^)UHaq-}sX-0}?p zYp>=br)60&FzZN2tSy>8%^aYb^WaN_sUK)_ie_RERIGrIbZnKBAabjSi3HyKekyVd^)fz z6>KX@1$_AnN2~ltg4-`g3h&bA-rRUP=tlpz1&$h6v<%(mow%AUpf79s)~vVRklZr9 zb<*{AEcZgw#aDl!h?$JDss598l;@?J*p|JF{Z>Ssb@ZU@`$j(YRyF%m@(?R$1b%Io zeDpx6l24Q|4$%~9>2)x6Zk#9myUKy!m$K89LXr?RM+?k^@r~(8N3Z!FcIGzs^6sJq zOIxAIojhF8lS?c~XIZwry^fnG`#Uqwbjta{F14wx9P5%auN8vJc1m`onx9uIMvqYs zjStMpmiWaSIX&HMQECE;ZT>dvmq0eWE6scpSEyR(p{pe1?xVV{ze8ko2N5b?{f|0T zRGz{|ZhK+Y-wt59TSS~r((9C+kq*04#+1bJ)hP-cG%rD_K^ z3l;d(f9KQKYWF$|!cX2QAqL=4hSG^}L?c2B{CTPKH)+El(nWW(iJSagd%$hgPN~UB*QV5kJGf`%b;(t% zYSg@!Y?v3?qRLoUG;Ub8Zo1hxn40=yRxtE91s%p3!)U1}T)-7(N7#M?AD*B$!eObi zpy}GRd0csjucMPB*`!g1IR;cMQr=0C{u_3a6fw`p9*T^LRgtS*ts;5<)62V1o^J7` zXllx_VnHJ#^V}NXni3&+eKFS;@=V3pY3@kQlW6Tzp;6s}SEXrI7RxB8StehjO4U)W zQ)ODGL3>tnjUd_%eo_UBvc2|;QOrbME`J> z>W|MmJ1N!w9i19gZO{;gXbNxgQ0vyEbxqdPY4Y%{*RD)Kba-DO7V*kMn2a|$(h3qP zl0QDJlx80Tnl{Yo{8Z6AP;Aqz1{{F>rd9#-Z|uO-wJx1e=H@AYp!T;t+2A#^cVMe1WSu;ox5^_`JaM zBUz*8p-2NG*kY4yy}lyo(3J3CD^oU^>40#VzVcAoX8qC z6`c+yeIwk}A04++wv~;Ig+c21-x2qrYxg6`XrQ-k>HK^lwE`8|@8uhljukVh)>vm7 zQCO;A)vm>IHm$gkLr;h2r|@le^Z=q3c7Pn#ZIoBRCatV06&={JYj)x&A}eb_vP|>b z+QXoV0Jy!gTXE@$0bQz`VJ(wPdtY5m*zLS8c$dk4{k&XTxPBZZz6Y>OXq=kC?S`>Zn4C+eCeN2?IPBCxM#j9eMBF0 z_mc`xGGEwsa7#G;&`B%{^tzLfOnDo5HdQszPNP};q?u0)!nSUr3-ez4(@QMY(4#144M zx`Im(F*>6D1+36z@zglJuh%TMt5i_N;4b0wtEu6n@!E#H&0`Cj8<{f0D{WVO(ZDFJ z@og@6AZA$0a46>*y(`(pi+Z#iCm}P#7Q?J=v zURPI#=5zmI) z9BxzCoAbdUna!xvQujw_Rzk7MtlhBU43VNKHh1U9Z;Z6v^|AlHXm7=hTgIxP3gy8e ze97zvQ7o!6?WWA8n0;~>hidfKeVq4G-ZKB-i*50n)Eoyfi!aHu{o+*8Qp~-zo=~?@Lm`>(Q%KbiE83%mWD)Q?{?>fPRzINo&}O&aF_?FVdWLE+SP$BU zr9k7(G2q=bic#rZihI(s4Qcm_Yke=`csJICk`_;>M~$afgyFOo{uI|G^h84SeG&Hy z2gf1A7wh-e{OO)91p}{Bt)DII!`E{TjYCm;m)ARl&?p7Vl+#f$Jx6=qXlXyE@1ehr z7Xnp=lw<|7!J_ASu&zVE5_~ByEVGMU`jgI=vYidAHU1?ms)yBOB_p%fP95pyA62{( z0LrEelufVY_#do$O~f6y%on$${|L3NbJGWcL?~@~>QN-33nN&%n2DPwWGSO5BLS4x zyTk0G$%Wu;B1Yi&Cr2k4G>j5khP#uEzN|Jasn6Y1%PjvK)QC2tLSQd0F4*(uI) zfMT8{v*E#qjRPPtYb(!ndJ-vRbOi5F`U%0FE9*f;7>xHdpOod%&0xwP8OsLvYHpct z`Do17z(eFH{H$Ro@X5`9-(r1lcmyP@Ovz9pt#)w`#}_}{Z2MAr9?9?dVQ<@ZdzKOBP~_|F)A`Xx*>UEm zW=v?{nPX=~Jnh>a^I`pFW@UF6QDA)9c zSmIk@;Bo%u%4CY;l<6CE$@eOBW{2Vzx+Ga=+`@HbUQLG`p}=vVyJ2| z7#X2wb-&4kP(71H0^RhK#6;-3aI`6F7^hv%_(g#1q`SZ74&l^n>hasp%FXKyypw(7!`z_ONf#GM$kBH zAD#Phiu;5V3@h9yEbt-|3ZORcL7qj12Kl3c>}M`eX0xGJy-8P{1Ye9oAEal9kY*pC zL2;-yzBBKr`MijYA;OK&0x#a7021>a#93r0P#!91CHIY8gd3+Zi8RLti;oa)))vYp z2NeWA^MEu<1Pj6?T{X((WfgfLH-8B-kNF!++<+W;K%UD>C-MSq4jX9xgk)u=5(*$U z4ypv=A#o5Kd1_rQX3Q9-V`yxbz5aE$@Njaz>K;-L>D<{_VD zkf2&rq@MN@0;2p+=Pb9-2l$!AKyzYP8<$W3p?MbRYKs6jxkv|A<18vDAr~pcoWqYD zD_;kCbrlt4ITI|@DCZ9_4SisqnL?O-M{0~i1$oTWPI2Vtv1LucHCRGd~Z-ROQleyK))JQe@iw(g*L^d)W` z!mtk22a}ypjqq>s?l@WBRF{&lK4{{45AFOn2geHhlaPo!H6F~uA2{Eb8Reg^O63b^ z^%vyXhk-@azN-(ggcDJdj~aoeOz?HgKZC#A$e60*@>s=J)xq{snOJywrMKC@h$ zK*Tcgq7a_H8%8u}?a3i-Un0KG=abKKm=R5Wg^ z*j%k=;FbUG?J*1C^&%4)%W_=WTXbze^ylJw)F6YV@EKNX*{fh#4ALv&i4*l|e?p`E zJCRK5n~D|^G1c)wP<1Yrhd{%!heo?N`MKk;`J0jpi_TaZFEgkJa^N#K8I-MNObsD> z+PM-BR%zC9JBN$cZe^@=IhqLulegaFgw)1Fyi>He;daCf1Bj(Sd-X4OCUW(^@UiRu zx}6PY|7zCI`)g#iwPo5sdR$kc_T`$cf|jei*Na1&5KHREG;k&CZ9<6Keu6iNyrgmbsS_?ef|cQ=vhiiWwoGTde=xQETuANrSWZ3^;@3XgGD3sLRGr}eVsB!T93()@ zO2LfniKGqvAX8~O_8GvpQ?*I(!u$ZBx*mkf<*9d0)G$7RN(Q$Yn#((CB)s}9^~AdN zWzV_->;9F|pS5YATXLnQe+BduvD^(utgrE8_xgkesgVudIYEbwytf|riUkT_L2G<7 zW{w5+UJygC3SQ*XvkdJ<*I*UZF-fj;sDsAO$39&R^&g1TJC-!0oIGze%?f-RZEoF_ zq#U13;9YF?E&=ijM@8M22F&BlLAU7!YFs4*y8wS}gihQ1{42$A{&^An=6L2)vfjQ$-g4nOT=nAZaONZS znMM5hQt|0DxFnZHlCPzRz!$`^Hib&8t)zo<;5>uxmkWfTf}^b0xwd*&u*I3P5pnu$KT;99E!e7=@(&o06yT6f_;(n^7M^wQcm^0*9yP-f% zLz-yyXmY?1TE}i^5~ranL0vi8io=7s(k)LH|EFs+4hhomOHO~A^ZH#7c9yshwNCLu zjgW@)sQ*rwWQn0YneGFv%J_k^vfvjqcaaksKgAxigh&MQUt_0=nIciACQrsurz-s- z$`naMlC)7tmUw3!Ky3<^vY3sq?jvLED2Kc3s%VTNfv{|~T;*bHbQv=OIn#`SXXOOk@76+q)3PqiF@KC(r^0g_{rf!qn3KXsFIlfRnuo@Qfx? zk(-VS{cF}RWdi;}0CXDu?YL@TkX(*UVeF-yIZNX>buHO;?>7^lz=ML2ZF+V@VJMQR z17>)eWBM|vvHKJo0gmIt;Xk(?<|YD5XgQAq$eYV@UIAQ0FM^{v?3Z zt_5L7>dK+|t40x(e60X-94p)RglP1*aYBSZ(UCAed!Ni^QzlLoZ<@BhI?_Oh*FLnKIh}=uyVomjn4>z+bj^?+RNaXu(fV=2# zRYW-FxWsTsWrw1#_4!qe^0yMQRP1+EUx}b;8lfv3+r@E-M~j909`= zGo(8q{Z=ZMtU_ieAaV!qu%x&_TMG(OsDMct;SHIggV$Kcr9XF)|9N5_E}kMof6jT&-UM z<&8SS+qDO522^$PM zLoPg!=7!2x#uld8`7r@dPq1?7n#LvHI{x`t^d<5cl&R*hRS&2y=Dt1le3}}jXJ_ZJh!7IUTS%&dK>#)-RniQQP%$W$ zL6x@#wjUtx95#6O5cyz*!6mZIX5AG|ENRH)N)duf79RGG9mEfJ)J-^%a3hV$ zeh!Hycvwl_WZBX^DenfsQh@RuvcTZ1-;LDt_V!A5p#DkCDx@AV)B6PMqHBOv8rtJB z>EkNt;|l5H8Y#*$X-~5-5zG%R0AM9Z{x8sJ8Y=LXa*G*=2vc_gp?^+=0V^pHSMeu( zB0y0;8z~Za8~}#{W$Z z?tLI&!~rmJ4H%I+kHz>5kbQdn6yyKSg;bYGtsuFGJnXme_##g^o%Fp-hST17D}Jl) zJPMKMYWLwO@6;esf=K%4kiKEe62z{{~^1_5Z;h-?-PS! zeVc^e^+R}|;NJTK&Y$A0N_9qvA$OW!GFR?nkTc}K#L&@*-lSX1jf&o$qf0-eVxWV( zW86dDzCb)7u2mAs@W3}ylmZ43XDe6Wzqsm@kXr0(PhDGe_!&%x8QgGDTfofXk;_^& z{-Cg>v6s)P_`+rx{~$?YVYhvS-Bz^yRR0h+HlZf)*o5f*rxVp2TnH3`arauPt8@TXydE$Zdr{(89mJyqCG0z){Yc#tp|-PKKU-N|o2Pw@vYwpXwX zb$nyr-X-oZ{49ysGO5@qsn`nXG;AQE!c}=aRum(I-v;xgupZnXynsjz$^AFiN?anX zjV*5bRRLeU;pN`&tNxSRENMa*BQ~w*q~=te7xVzsqA%dEPd$KQImDG-OU!;@=08z} zQGeB`=zE_Cck9@QWn3oJV-XT@e>4-`2n#&r-W?)Eh26HyHx2pk z#z5>zYOQ_Vn!rD5bqtZ$iLGJhBLEMwhQB77Cl$>3WuwSP_OLNCLj3K*CpzJ@(XZ9p z`w}h&4KqM~)(G=^s{G8YBQ|B3)E5elfr#JpUZ4itdi|q(e>Sw8_e8(2fs!3V4L<_^O6WvMPBi3N4~^ zM7nMb4Mk=9eDls& z{dyMz(^1`aTp$m@f2fLFsg8?UsnRTHeb1u#8*C~;xQ)Y5^2|c15b0D# zS!m$L*`0W+urHg}cAp=WQA~w5e?}#<=pny158ht?K9=b6zCSp`@DJN&hg_oW#8950 zeiIJPPWF20Exa#I>DqZj{o{%A0u}~VF2R>;jG$A=bLg4PG1raFGQRJQ%eN}r z!KB^>&q=zcVgG1cIGIzb%22DyP&rMsB`AaIl`6mp2rz;IDRFTXb1rmID}2!?eDO7~ z_=&M87hU`@FFCT681A_$m4oPmkBk)l(SWE)n5aRRs6`k65r6)cWlDN_p3To|7>3!s z^1Vh3UIXe&(D#XGEd;p5_8AF$ILRSh_TEiJiRJrf#ywm=drh$mQS*p@J}}JY#dH2J zp#>0buMnsgW4g?p4&`MaRKYptE;#Coz{TCLjJ`Nb47kc|Cu8JP7D8BhwZSI37Z@sp z1xpELk!pBepng{HC9?nlu@fzcvkDd9l8;UOG|4dhkadVpVAn`E>@-HqoKTZgCM+@? z-QSBuH+)Z6Hb(5pZ=IHeK9A2Flf@V{|K4mFVpbZrPFQt~)NGm5$nQtYbgN+K;c631 z=wcpgmB&;)*;F%`t__oj)BA6DTx|@V^?%m9u&7g#JatPg#n{vJcQMEo=e>EPzU%(X z7+571UQv+wVVSkUx>h0c5Icfg$o3w=o|AH$^GdsvO1pS84wH$ks*>yOz1IYUYI{Oi zE?!&NIX|TFi|%{Fbf7Ozo~r_imWq0{W1#}{#=<}# z?|urLnXpC_qfwOXRjub&F?ILnDg6uF#Y$ALZ4w#6W{0H#MT#Wa0mQ(ym0c{EkeULU zZx=JsPQ=KY29MCcdIqAr(bo0wCo8&LyInL*y=n-u2c|y_`(xizd!m*K@1Jx820`d- zMw>R6H$)w=k9Z`SA=S;N;7{3@p8qGC137$>^hA6l)XCN9Wl|0a@uyt%h66gk!SgIO zrEc4q0(fvSWWEGA88YAHm>VXv__)LGD%G{t*XASFq4@)X`oRJ`nts=-a4q+({MV}- zWZk4+j)e3++~W1u&%gYq*!8Tr%qvcd_m`r;!AuWoL09N_p2SC-Kx+7r%ddlrAU*s+ z&hE^uLeyIlrqKsJ>-c;N4|?5jJ4st?)MQLky>nhe>DfRYj8INeJmV%p$6!Lo0KyyG z&#kR%ltkoq%?t^(N;rpApt1H9ilkH8_fTN#X$*ePVjUYP{+Ed!)F74{M@jE`Jd{(# zM&_DgD42z5bngn2ZWlneyX9XivZ;oIYSY?d>St~Qc7YbbFSJ`G-D(t$_WKwA1}MaI zNW`qeg^SqQw8p%_>WFPwA-${@eji0{gFzkmN^BF#mxkbCLp$$MCcji7v=NJNU#46t zm!Y6JvSt3qLctfxa1fnxtv94H&`haW3oS(h%?|o6M}08N6*{pVZ59qLJO8myQKDIQ z6&SK&sG>6TjH2I5;sqv*iNEHWi=>t^Rc^|5xPCeUVc^YerEcr>Vt(3RiYQo59j&L4 z%;)PDdf9eZpA+L2uQ;H`PIC)xnyo&@FW|6iu|ACNiRWG9NS1S0cFa zW4=#DVCih}tplLy?7h_)h6MvviPoF%H~bcZKgen{nMU3rc#Lw(}&i|DI@}MG|&D6;)51 zx`}i0%H(XGyq^z8ja>K*&3@Q+zs`oK6IzQ|kLLSrkx*Gjg*vF5p3xbA-5#-U;n+5_ zaPWb*Aa-T{On3w70s!Mx#%1a>2^}NT37jG~^jrVjCs(U6Wa`7OjU^nq`+oE1n@xR@ zrv?AgL!!jCk|F$>&NXC*>ysyy(h{^AhIqj=)-++32a_$k$tI}BP0HrqUGn7FQc0zK zpQrOuHE3FJie*tc#M4^k@Qp>~5FAPC6w=GW9@6vF%K~^^1KZZn-rlJup)8JtSR4o| zn0jEb8|DN??iu5{P-(wQUHM$^SA+H^4~BdyWJXo)?W>sSPG4;qY?HA3ky4T_1Cbw2f!G(83<0?W{LhT(TVE77Uo zhHK)4=h`0BoBiMMRvg28)~X=81c3e)I~~{9#g>G7x$U?f*}Y?@zfH%70eJmU30Zb0 zs8et3`PPK+1t9n#JtBKv^EKZ@Fcz@D5=Mq(7}n0sajF-E$A)=9u}Gq$iTI{)5e z*Ywdq$&DL7Ad*?FlljnH67TJXhg4Np(KEaI$F)ngEw-KBS!XNDBDMd{y52DeG}ATn zo7EeUf2!dg`~eFA@$umXS*WIE{apozk9zKMpiv*!^8yH zy}tsDJQEG7H9dTIAW2^;9D;)3uT*o3zjy`tKO~t1{R45XZgO}0MUZsm!@^Ktn4Wz< zCelESGs#S&X$?+OuHCm=(;8~)R4@pn>>us-Ql}!AP0}noB`)JjRh=g#^_K0rtWMo$ zWxAUOePhjw3};JC)fPXsww^_xldoABhZT1TC@48k2KAqZ*9j&^H z{d-G{1HqoW;+)KNi?gjwtR&mIdq;GT3~75RnJ&0QHJUs`+`i+O-6L_SFdS6K{zmz; zWi@uvwQ}e0gW4}vUF{xhb6@3>rd|kFx6|2>6^OAX3{T8bFCpU+-(99@sp-l@b&<>d zr%}ljwDy&MmXE`De6s3whjW2DU{i|VP)j(Z7f1(` zEm5&lib{^$XC>-)emF$>^wf3S&|?YW=q55dO44{5E>3S{_9;7XV$Ne0$tKR8k~?Y2 z;Kh8uXp2*1bo?Uzmf{O3uuO~}cw-h7SnylMN0tqtt|?Waf@t#rOEvPFnz@FS)}a-k zFGG0HJ$Yv%XX!40-2^0NT84@PbW(6Ul53W$x{Et|F7^p6M^%)@ku|%l|%S^_8@!GT<@TBw&=RIl!dJkMGen0z4TlR!)G-Z_!-Z2@Y*NaKL_~~YS1(7*!e(YuChUu zQ^v8k=RJM83KB@&V2px8LTG}$E%t6^ImU&wksKkah@DYd{@vqUjddd<6G89{7NZGU z(oympd@c`??n{(896v?7uU2E3+`n+9lE9&}QA0GA<6_%}!9YtRbVK`@oa$u&;AaqP zxbEUdA3%0l{|aju1oF9T;YW+#Z^Y18YOpZZczGTN#|$_sxLE$sRx<@_Wud5ds%E z8GCd*8CF{EH7mkE8i`5T`2-!RAy0XPL4HfC8FVEH0e3}!cZo%+l&~q!LPU}gmycC) z8pw3XsSZ^xPj?iZ)1>w4SI{M@mEq!v?CDNxEBsK{pH6$vNEzV`1Y!*kW%O2#eg83a zksRTDC#vG8A(O=`^sW{^&Vww&sd1$UZbnLq&w`UX{~AOSq9i`+MnssL!dHx;ho8NI zFIk-n5#@UnFS>_GKIhU*eRPj@9wXA;fubQ+$*{i7C6BcOBjbsK&(&2&%lOOmLh&kQ zMq_owIe1X2!k5Kl0tEaF7w=KOwzP965YmUL;R7|l_wbWj&Dx{S@fyP_JQB9hdWdlUtl?`Eld)?-0bBr9|DIw4C;kr?*Mxnw=^Xe|Cpv5JCw25u3`Z_B`2Agm~(G zm8;lnuQR_LSdI1ac)8IYN{+L$eP7i~Kw~vkvn@|GtT2@^y}WNfbUT=C-49Ox{BYa* zOlQSk{B+lw`$;p{$aK@br?2$<=#U5wS7^>RdzaNeJO5x*0c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*HMm0RR91004$L00000 z0071U00000001sK00000004La?0s2`WLbJv)?o0sY=)U>&)8#ZeBHBkdn&6ka<9fS zOjKlKRm|kp8JSgGE)PLuW@J`OM@Hnt($zH@^^9c6fP}#;;*A%ck&t-i1&J4fc)$`M zS%?RakpUrLW4w=>?>p!G=l=KJb7RZwT6*HDvf?i1{M-5e|NLiv|NZyh|17b0gX{;*^TwNlMz0^?uGv0|itT#q>9-qQgS2{3)ChThjsN`x z{N3GO-!E?eIo$R7b^QNTK>RWMZZ*#8t!SsyZyq=Bn7OX~?nlVv#nW!{>~TMOt@L_S zxP7}Yzqn9Xii)j%qg#(koh}$RGPwS*Gw4QxUL)$920nkW7o9YE&9ipY?{r$d8&Rus z);x|*2km1@uoqpgw@;!@|8b)m^*X2hC-rV4;wzV&x6OVpDsJ!XMHlsMzm0?z#&r+m zhRIQHKaDzr{>7jloib0gypXlb4gTp=4^ug5s9*L%IrakJiUoJ1#`essOxG1Nei=RHICai@LKw9;^tIx+8AnxZWb*oK6!R|iME<8Jf9 zC|~ic+h`E^4UzjciWWuFh&G*gB~UtF}B$MvIDBf_u2P>*4H3EhaUKWX+K zL$pYC-n6{l01x$k^i&GJ32_53#oX%v;KcwMVwK{$7OgEhEAw7-UT+Uh>&N{;7qUEh zDtxfe0mZz!?qosPLJ#T#P||IFo3d~A`rYQypx^2CZbs2wt5FBSZsQah;$(bXvFKSd zgq{w%M(0i%{d%+2yLsK%f!N4qtMeqP4$jZ(-KQA?!HXZk-!+)Y-0#Cw`S+|Ifc8fX zK``cz;pPCIfl7S0J~(Ui%$L-E*S?1ra4K{x#*L-E&mMm;BtX?>ePmU;(Wlx36^0ed zH0o@{NHme4+3B?BHyWq)L8~9#ZFP=N?^K6i{t06K^Y~A!O8?G27)LV`iE+1iS_0+4 zMJ4~FtXiT}o+~Rc#UB-lw>oF` zZSwzXpG2VgtpNhML9cdRzli4NyN&uut>^qh%|u;aMvOf_|D@aOH!@#NPa_-RM)Sw+ z?z#XxZT9m3BHJ_&>%H?UhKQ&<%YYFQOZ>-iXF~lRBC9V%)u(NQE4a5||HGZ6G*Rpf zN!ZR{o8teb{`gMX82{6@EvWUI%|3!q197u*kb(Ha4x&IK1cA=`|7IciN@W{yZapUo zxkYpgsw7dw;JDrCM+klqfwf_v&306e-rTO#f0s38#@-0GULo z|E9o3eZ@s=lw`5jYn&r6w$dq9x6N1eU!a)<6`^R4pFD)K z8ccQkQl2{QH+ohf4_Qe|O_ZmT&#{ApypWTn(VX;~t>(9FUmH4)%I$u$|1^&yW88Vo zhRaC&^PrP{?!19u%C~RXn`H@#Ds6;%?VQe5QX~PE{{1cMcz-5O2onC}vC9^N4Ucak zy1x0X^WK>Xs9wthTg?Vo6JTI9fm(p2$IdAmUy7>XMdo^*YBmdpGQ}}_FfO5A?B5$4-Lk&<*6~H_nrdoMv*XdmV^5mY1B1|+)LA4p5>SCf78}BpF&pWv^VUi*sd9B>gC`v{yw40AKU{- z!>d{8;ox@HvDz)$^WS_3fcuW}Ukzl^AoM>UXc1Nev5 z6=WHEX}s8s^i=IME4gHCot6je7_l^6(I##Ku|G$`D{YKJb67jo3V0)GU7XjBJA*cw z3vcW$#{5qeAN7!pUHx=H(L^)Y&21M~ux?_iGXoR(Lkj-6$IZ?F3P z4Gzr5DaGMM^IwU!Iqg7Ryvp(SS9ecOU-kVfuYjqUp4pV@lm$JM4azSW9OnjhQsG1oZt(H(o$#z|-NPd*pa1#x+LxN7IkP zK`qV8xc6p3)VPUtx>-hyKWKsI`ZBDFAwJjgwvmMOC2TX15+Q}Qe2rG~g zYTb|(%NQ{{qBnfqEQ~n9upL%KBZHlW(Ye5?YqQW3K(N-j8=ZGf;>pX0Kyrp z@1FE9!Efl)bI$&jY309dXG_0^S8=a$B)frZb?PV4CK^3vSKW-cTt=Nw0B{!mw7SN$|VFI%o-DmOz=^Uxq;3 zZaH6wcA==jhMXxLDzG+gI$GgUvQ3EK7v#X@9cb}RRDKsrEYX04aB+i;=Gy4K$PusEry+>dw^fMs#drXp z+-!?~;aGu()7hFgASC?s*|?elK}9>*jnckm zd(ZAQW}iQepM%cDe5>&ew&itu)8r>-_Racvv*nIK;f9Ud#kbZL78a@&AXC`Q!t684 zxxBZ%R#;rGZk5)T=L;+L0||6z7;R(nYRid`E$PiBT4^>FXZ#1%WbPGwDJ-l-w<3U! zUW?Y3qt8dL+gx0`lu{jY0Kn=BlCLuL?bSFnNwyoC+1#89=(eu0FHBRRkG<)m6Ex1T z{d(V@9l%!m!s4yMDvD!qK?-@<=A#yE*Ezae?-`Z0YLnXhc+ND~#waU_5*v}bk#AL~ zl-YfbYFo6FtPHHH*X+;86fh;_x)P(xiOp}eE8p6#?U(OXst4u$+Fr5r^~%oOT6uGG zcmKd9V*dlj{_GP)xQ)(>!MS~Adue_?d(SBZ#lwT$+E%H&wNHV_XE_wVf1?#Mp)69b}Q zm3FuHDq9d>wQ_f-2#DocWy5k5;b(CHRDSXy6QyuG@1v#_#WSYN!ozPwymU0roP zB2SX~J(aLr+O6&G9aOd}zgVvEXXmcP#DpTp3>P7xl5#>r@t1eX`^AGYZufk_PYjO( z#^tvT_KT&1+Wqo=Wo!3t4F{D0>~^tqud-8~wydb99`L=5t*e1b>%m%h>b=%?b_mJD zmk2X8@Q924uZR<;;y1cn^gY+$J5O1IHta$dtPR{b+}sT7D@}~R@qhiOt?tru?V-T7i+g)?t^4H^L}H%EY&lG{x4-+fGR$oE@L=!opte!j$J6dU z7oFgu3S;yL%S`OvqUL3;UTN0p6{}mj4{Ft|@`D;(LY#MEA``Y>1IeXwwd&m!iR2uw z`EvUhVZn(-G@dEY4;nGnwgIwu7baG|U*3vAOkQFA6%W$-)Y`^=<$igmmMX1@^_Z10 z!kz)nFNmWp@}51O$W(He-)2@5#b+HrNKQCpd#_P{JG$S*@i~a7w;SgjIjHWl_-I3+ z(%xajLyw}@5E0@~1a)wN3!6;s95|;0AaK#wA&BL_f_X7Vt_wGvGho!Tyt2L)ZQrq9 zv*~GRc9L6OiY&ynh4m0bhm;YPKbs{3)FsLSU0GZl0+eAn1reml;_vY`eF5cUD)A>i1(}QOFr1PW~tr5<;nmD6z0lckD)hLhAaO_p~;$~DO394 zdvnln!{Gd=(Zxqx9Nye9&zOJQ$Iv2%ap7svy+I>1N#+#oGXh%XgK5aO0Fm5Dq^dn6i>lz^9=wuaAY&HW;%Z3R^6Ohe2e`my^F#v zcS_u?mf^P9=-oP3S$nsF=wgbfVm666*rR_FC*@jn&er0Jx=AZjv- zic?dR#un2frRFx9os6LAOD%&griiiD@%aU20rVy;vA;Hze6qM=T0}ZLjS2(O&q_KO zbRmk&zSPf-q7=HAB6{GH)6+(yJ{d7zo~pFcm|{8@jl+M)j@>n!IgOS0?@mV4*QO$B zDB74p+J61a9IsngT9}Nm;&g-su*LL zp%-d(I&arN&Sa!a=Y_JklC&H>y};V4)6-``OBPp>7N~pgar5+{kXXz{| zZkRrqh^DvrT(mKTTAwGfuFL7OM-@{-Td&uSd+nnEe06s+8BJHu2hrtI28c#^_!Xorm4y$4{djPawmocz&qOM=0E`$UadJn*($cs_ecGi8|~WhpHoq zO~&u&(d9(p==O9bC2>FLbl=7gE^-h>(RWnKD?S?KJ0^)~O0!Lgi^Z~F?&jEE>qrRa zw#ky{%#e>eziqPalhqG-_X+#qK5V1;EbYMX_!z8GgXhe898MQFZ+^SM4t1`^;V~;1 zGj*oJbirYfTlj#{r$(FGcQvr~0OoayDIe~Xo|8eBzyQb{Oe}pXxd6XJOhOBW!~ED* zwAZR1H@HIH&}PX*Vq6mrhp{_2PUUv$M^5q?Y+CU8-V8n4 z6Q)fo`q-DDIgM!)sqBIC#kS3ZtmjLeF0{hw)2RIPa&EVPO1s%_V$3Hl?`k8^lc;L0 zC1&o}v#9&%N95s5#dkVQbSI+c?gHz}pDJh%>fJV!(rI==;E4xTD9@pXlvX=*?#?_C zIIS3PSh0r_ZCl(10*CN+`}2c~=(yFv*zjwmt*;+e_g_bHTnW}bhZhcn-G6WX3AdW) zVyB6I^x8)Geyy~%-wx&c`EX7<2pcu50vQOqaaU z<1;hbR3?Uq$!Tq*vh)WTviG!& zy)=3_K+kz%Rmtd_2?>0b1O!INBX{y>w8eRpNQ8@QhbA2S;8b&;g9J)gUs4XtY?1&+6X`%@T*9n1B z*b29AFX0|n;MFU5U9F$Oe`}XxQYUMI1lg}5fwNShzUhJnGy2gT9QQ-!lK{d%vw~p3 z)+;SUy*98k5bB6B1vGjXFB~))zzSvtTLP0$jD$n*+SplU7)%nixZ*%29$v$j;t9t@ zd%gLC$KB2V8`D@Hg8;`Lzqw!BcI0V2(f3q0lRRSD=(O88N7(zs!ooLPI=Q}Q0qyJ_ zM0ct3dxPHN`8$|*X0qhwVLN*dqrctx!;5I6)Ba*VDnT!YXJP|+AG3U55E}!;Gsi@j zn%AZY)A!1Amx}xQ4~KZ}gbHwg*XdEISS=Md%H!r_7434~-P*lV+!{BdDGFQ3?C(B^ zCd*90I;_>*&4Y1qvNGN>`dy@%a;@+gjGa+3rru+M{zzM)pmd!Q9W;&~x0~OR`PuB& zs$TdgJ@v@q!9+zgxZc{`x0+v28)T z2lM*}GQcLHvFbxu!B z%h3l5=8fC7`Xd)Hxh6w?ROB|PDpk_-xCjXYj;eObpz4snOWT9m7Dy8_b%y5!TB znTx}4Ug{LD?_8Saq-yx8A}r(>m2SI(*s6qcrlIB_P8yk7vGx58K$rMTN)^h{HIZTw z+ZRBSr2iQcbC4QWlECDX>8ex>v+9 z7QDk{SlhAp>=22Q*>|SuwUhsC=IrGE%}tw>(W6@@V3OLmEPMyl)WEsenO!He*-aHL z_$`2Z3Md>;fk|wEFfiTP+payRY#iLPuT)jSp8dl%CybuhZ)`a;xt)ye*ZNRYhkG_j zCJzTRnP+=<<8bTH371G*;FrljVKYPVesSxtY@yO!9qt@f%NzDNH&b zxVN$Uz?C=E!n`c)?(AUNedT`Tz)if*V5UsaZ&WFjYn#RG%9cx)?RLoIq-2!d;RzQx z-7fAN7PrjfTqf5mc&~C{TKvvkDLWR#^1979EFHfgwyoXe%ALK#>b=?>EDN*wwB8R( zN3C>pV4X(UNzMd7+eKMfmZ6@xu%8L^tz4_3t1XK&lZB;(lCSMxxdPT4RlV97Q{ER9 z$>gKXm+rh(!IC!w|79!!vdEYa%wx6E-S|a9&8KoDIi}=FBSRfUOD)H8EUXv7QkP=9 zc8EcSgOYrC6y}H1?^x3$Ib!X<6`EAvVto^uqinZ zyjR&PZ(*gE$)bnrGC3$l^t{<+Y}LK8Fhk>CvDq_uXnC?$vAj_$QnMU8!+Zv%=~pIk z&CrFP}+_ zFmpCo+HOQ|h5n(4_h-&#=4@t>%`CEEJHWa%*0di`=fcd{%$&{4+02~HGjTTTGm&8; z>)F_dRikZejb>(?v)>?Z<0*FNNI!ND_qf5u0FPKrJSQ zgK{oYybZNEly#3mV-+|&C}IkmX3^fVPdj(UJ*8Lh!uy4&5ED!; z{g7vBqCW91m~0E+Q*lX=b0c2LnX^&)gYHo$p7v(Y#e0esRACXM3|b~8-?Q^mX6SR| zjdOk)IG=}88SKKiD4Tv6330K(bg5bY%QtU3Q0#C?j$mK}H~K8G*u6WbJ$LHux9uA$ z7dln#gON(-{0RH5aTXS)lX6&gchI+=DQVGajAJFfv0Z6P*rsI#ZZog}aPSmr>=m?6B3L1C8Sz;Qudrf@m_R^>eitU0kl_97d zzANE+QKQb0xT8qnQ@7I#d`Y8TwPlg!`U66}tWmoKMb8NJibkz;S*!R}b8U@FYuQ?k zycI!LV_X)s*0Qx6d4E8twU(`MjsdQ8QGY@~V|7}@bQ;2i?n8K!RFN=6xAiZ#VGufN2gvmutt&vr& z%dyNsz&0jLRAoay(y{2U@LrfE8YPRXsj^ts?aFQf`C35Hh+OG_1a4QrI*=di-vM}B zhV>lm%Jw8;y&QIi!fkHRY)~8A%Q?86k5%~8Er}M3*7rkHjb6NssSXx1VFk)tmp$*7 zvld1alX@2BX+J3Ae_VtsyLM}QBuVR=oO zjm)fHJm4~|()~)b*X2BDEEuz|r)|RVaAynQQ}}Vzxn*s3YODSF89~ ziqe3g33hJa1J^Ac~S z^!ToTarwq>`8{VaB*3+SEFZc}v81f(W#dCPJeE{7^|JAyTPI7ZSLkKqLpNa-a{?a6 z-V1FXx}CF_6aKyoeCWo|qNOI+4wU)10{I{zdg&oMS4p@oO-4?x31Gi;nHPDzmE}rKkPmg z3KdhRE+*lDDDIa^6bH5UWkfjNth#PX)%LA3z` zEhLLT3}Pw-%2EQJnq?wW5T*jJTuPwDO;x!oOUU_f);_`ixMJ~#?kIW{XgMSS1@coy zrXt>j6AGI~VTCV!?Gqy)sDg{8h#?TWSPartJ{<1aL^q zIUNpVUNYAsjf2*_3x04B$3(_#N<&##LE#gRVI12=#UL;k#&dc*HiN;SOst@=2?kdh z64Op6DP?CeB_}N@l(pzsNfY5f!B zt!J?m6dn!RPkWh)dOasgV-pOSiCoiRQP!<73Klx4wjbwVt!j5&wpwp&MrQuUuAC0< zp-o|ArK~M9N@SpHS8ayzxloq3sH|;KVG}Nltn|#U@v&$=lznTAf`zi$c%@qM}%d`aOE*jDtq3YuK> z%Ahs6Bnn-VPL4uZb7*|Ra-qYT$x$fl3Jv906tXyqwmmrtRd&lr)^ijzKEYuNLS{8gSrE#$H9o;X!ZC|g zns-woQ8pKvM|G*vR<^dR@CXB>SWm;9k^@yuhbHklF-c`H9TGjSH8g?LA(850N+im< zHA0b>Ln1-Vlt`4>g(h)2BvNHeiA33XX!19aTsFwmv!PcMzLd12dYzIHRfTJWDI^jE zPKiVrdT8peD-sF&r$nL*a77_ZaUwzalt`4tho;{;PNdIkN+inQR}@0woVhSI{NjPgT^N~jF2wb%3D=duJH*D%IH8gg(brAYCzQ>)}vV(n_zHUh_mA3 z#G$7iXVUmmI7DZs!=Wr(<4fTX3!e^$vbWH9CV^ho9qKuWs|ug+VKrA~F;1zu%GxwO z!9hOuHy%kt>r?)jT4ay-!NivnyvFqj z{JEXewwgF}GRFLQ-$hS~wo1~?tmXqymF&)M_KZG5ndceV%aSeKLL}Rj_w-~x@nn;&G!c5=5T=WLavi8vJra{|##oaT$OhwzAtPI07KPHffFn(d!>Mnq5{%c8}EtcPUU zYls+zWsdzv#!vlSPnnB2fe5dh9~gf3j*vC?pM8$lTH?I_+<)~M6pqQ?9r`Ta_mF?b z-}#SbyG#2N)6xs1qfpY8l?oq~8e8_hWw`e8jwQ zW7hO_89o*Q1jf1%WBSsc2`%xv{}f1g!y9-^b9@))eHQQj;U8vc4#_I6(PR4l9|(+} z?A=!aviesjbo({tC}bb>mFer1^b0)yTHxI;d0Ju|0TnWnoYUFk9PwQ}oe0mL4!rwK zk2n7KC4U>k`(_~BXMDQ&rXSz>yWbAv{e%}*j3d7DS%d!IS)}{-0lj(_ctbe;L%<<~ z()}J@#*(vlAzQf2yAa+#4&Z$q#+9b!Uj+CF=|&tz#Ss2$0A7fej|Oo3+W>tbS+xS` ze*O2eeEbyKQ>p8J1k!y!V8EaC{yqlqZvuE-P#q`2U5MZ3_3j@9czZj*+ph-Fp_<9) z#!lCzF^ie|*83i#WYMbbCbkaTUVF9^ z$Z0L#^8kQVY>dC8_P1xx(4!FBK4^{6T+oP#_QS@37CnWQ*=`Tl13pdwRUPpRd@{jxPN0r7O7PODC1&%Z%)q zJ;M`U#{C!$0$*mzhcBHH#De5YCta5pKXgH|Oeyu&nRr}CCT)f(^EShkd7EL&yv^`s z-a5u;diG*v5SL)@alPB%h(hGg!$?@y!p*|cIL1|Qi6{(J-B^@->9~$-aC&xsoCK>< zdu$4i+OWA&K9GLcdMEpt+(pj+8(1aBY8xL=8Qh5IS#Lxek5;~MBPyPpbhjSt*S1SH zqAE7f;s1-~|APB!l2zKrCZ)~rXj#53$qn-`#Ur0hMxLn`8}PM&^Il*Du`l1jKPl{CX@oA z`k@otX~x&m42dweeF^c6E+-@N+c85Bop~iQxavZF;T!L*FT0OSZwreBZtn;fLSGdY z3$aK%w*_DB1@h};cn>IWWsAufX%!z6dMA)a6cdxBib>?*cY4|fY@=L@D4hkzi@?V` z7x%`~Jx(#X!pQRDoEdE^!H)fVS_B73P)63eH38uqmAd2x8kJ>j12F-obRSE`9MS$F3= zYHl%&V2GtD46%^r=1%aJDJCiO*x_A{AwAZUQYD zL3`2r!tmVq0+V9>qKIP+4t-IKPNA{xyRhKwC2L47et44RNaz~D5tB&?IgX}cgobX) zbrp;h#5oEPSjFej2uIFVvK+aps*&bMQCQETNMnO=+4gJfkSr?rs1f{Ruhg7la zPPa5eu1azoeJ9P4h$nV-R1k4?vDPS-;yTHZm{}qEErc-6Eg;!xc(~s3_FR4Xru$Tj0I{ZS7NTZeGKRcKOqV+iZRN4GRDXZ zW@_LstA-QA4~DUzLwB6H0QOgaD8ZR{z)_sBXeZ;$DQXTA<1Hdt}U{BBXj=b zuLD|wF){v8jH$|Zs4g0M=QuOf6qF8S3*NuyFe{=^LcC}A3e{Myz+4r4kmgHFdlX-) z4i4~Txp_;t(V-ts3=n!p^TlxYwj|f=Sp>!@-m&+NFIS_zhnI=+5~q02$LZu$oT8^> zQJENIXx!am{57UMc91?AEQqo{D#&#DuY!!MTQo?xx%KIdh#N@b=NQ|^zPE%5&fZ9* zxhVcM%c-o`W*2IPQyzWj870wG69lp0h~&7~F$Uq9I?4R%NFX6m>CgFF$dSM!+>Vl{ z^2T1GqGgXRA=^)bAS2sEkTXnT&X(_oIN30Dux)W~m_{Qf;j;}+S~raNxceYP+2{`y z?Hg9KYbZ=eb{)|de+tggn4jA#=0{22J|`mPL@7vz+cf~U7xg{f^C6%gt`;SjM7fC$ zk0>|juy@I>{dfdWM&i0LWYXENKs4e}A+`x`CyRntmwBuQlr`j(=ut>WKvv&p^qtl@ zQr}tgUR`NOIq@{jglxrAjFK%S<*GZe3GXu=ij)&=vMZ1+)D56<1(e0r-x<@80vg4T zYB_s`Vv@xj?gF_{phSf*wX!7JF9~gtIg1%>Awwgzg%cRY*A|L2C{!0!WN4tSkEG#q zfH{WaFt|e{>SE2mfdmN^C32eUs1l{&rd2d9schM90Ff!%MCd{&;9^yJLq>XmTz*_@ zhaEBrek67t!H+?l=EplH<*>4x`Nlw^5oZ9BHR+C1Rhd}Lqan4Cj9p~3gA9$-j-P=T zs2vCt@RO}i^28{+jAXDfxEhI-RqjOSWbCG&E;XVXLko>eQ>7NGP+`3C^KH{aW6pqP z`AK|fqB0xsC8Dm9m8Fd@WjgT+oSfGp3$1Gat zyq7qi_qGeff(%gR0tz;&vizFbJ;?PhAiXO>^ll;EZL~;c6;Ut#@0wRbBH>(eoP%RY z&XMsashR$C~2Jts*dHB^`z0n zD+{hH+yI%bj-vO*vQzq=j1pTh8lM*T*DEXZ-cDA8(NqX452?&#cStGLF_B7q#l7=f z>rB>&S)}rmK7O6aC zk;)E3ABX&v3yB+lA7lCOa0^(V+M+xQNw#JAxg;cGZedUKQKU3YSQ*d{0Cj!KQ(49X zz&FD1p-5Z@7(Im>do)#t2>{~?kn1eOEFt6AtT6wxdD(y@h#(A9>@f_6q5K4;8qB zzMad1Yu|hl3GAN6HzNKXt)r&2nLcMfE%X|Vw*A;`oUyd&b7Bq^1{yUkn&VbUw(1}> zI8yRAo~6ew-mA0><5)wNC!?B4oQ^A2%;_3=K!-_!cD~nS$=5P+y*kn2gGrHzO zF{gkk;`h2JonscMJOz-tNXQ|jp^eFC%%88xB-XS@3hai zR@r{(X9=q+Dp5vw7S<8c7Vn7`pv}c%rk*e{opDYkqE>#wba?_4F3ylq`^5!7I=6cj z?8+WZqsc3r8tOcG^m(`pd1ZS~S!|VLKL;)gvAnWCU2tn&_>*ye7)kUNU0GnVf5vA# zFsh^mCL5GdY-+!bUriN|U#epp;HPNeaQq~uURnXoYVsRUW8|t`=iUApy zqa>lPNeQ`Xo(=XCB}C$YO+p*}Plz@jmP_#GXp_f)lw4TGI6FlfJ&2*rxGxco7ndzs zN+U#xxC}>tJZ1@yEf2m70zB-P5{e$@pG%=A)k+kK)C>-tJZ90!Ml(MIbO>4SKQ1bA zJ8^1Yq`pAKojd=>NT=$3)&Q0;88J69;Y$e#Nw2LjrCxTNumnwNY5toAhgUFjk1*arhu0-*e>EL7qwGtIycUde1&aL@00_(!?MkP~b9h-L&@<-d5?hs|ffM*Nmn( z;>SQX=T^MEn~n@^?=m;cm8?7lK)Dd$3!uOj5^H=beC2uLlCk8|J=ieab|A5Tj(s8M z%W_>J___25Mp9O;j^KPr+wNx{fF;MOqHGD4<#OF|$*B&pl^DaJN|_t)1*Y^aRG*Md zQ?U&KX>hqRk;eeFuIlP#sl67^HegH?3JO)T|HAbdyQi@>cu$!%BF{0`GLIA$gz)i4hJcb!gPtl&@4$P&bL$~B) z0XVoEUx^r%{Y&l>=Z-qYtecQp!Q|ucqD^b)P33cb!sZjfxqR}d^D!a3QUaaSf-ajp z2C_N9S!|*?E}J~+Z2Uy-oLH&u#s#%5&TGe=0hc|zvEMkYb^EQ_!go$iPkW~OXvk+O z+>p<7=iR?Ks}}ST~OhNMClZ* z>!H@fPRQOdhrJ{D=FZ15tezx90Y$hT;?Jh`VU?PJ;-jH>kRmS9@_9tnM+*-d z;RWO|1nvmeFaqs_HLgU2R?HGII5Ep(NX)Ko`KK&f_WTcFXoQ$YFf>9O)-DM{Y8YlP z*6Hgw(1V~;t@Yr`)4#`AmxjHUA0zD)b4H5o|0QueTY{Z1ezF>_h85@^JoByp#;Z%BGbsd=>qmZvNg1elL;EE2jay4J_o%BYP=jDInxjCKfR zrjmA6hZ$I%spL2+>0*LQsbmyX$(b^Kc$M+$e4-rR=d1HoU!D6`@xXV$smh^tnVvX0 zPrCY{J#kkx0X`XZ$XR}#gXJt!F`pr(T(_79u#^Q5he9C$F6{OI7PA0iqCx;%mfc3mo5XzCig|a(!{rRH-@5(!|W^?yJFK0g*`H19S)I#1wS%jSlo$hCW2&V{-qmDDvq@ye1_k- zpTv)wsO95aH@oWp0{{U3|9AmZlDkd2uL5%`cRjNwgIHwNUsdtupR8YkgV%eTzl^9Io<^9LQ>ou;j0JopANet>OAOde*wm_c}ZGULP|pVl2(AL`-4j|vND&X6eOi(BxR(eWiKHdeFI$`94{H!JGfnvzJ$=f zWafDPlFkEHe~PE~$5S`}_;%@!0nBOsu?j-}*kI5`Hhm*|_h{@IK{MsmtH0tv-QuE7 z?=$>{l-8no#%S94X&WD|X{)*Q z%hV(iVXe{z09Z9R6WKHh+&@e*bE)^kLl2 z?Dg+5N*6PEdhI!6-}qMI<(b-fiKTzXa||gA>4NblC--L3+S~?~EFLQznGa_sFP}Sk z3;%f-Or3fob@`|JyH`@>$KsioFG-(kp2|o*VpJ%3z1Wk<&u{N@`*`%8byeChtH5q{ zzmK`Gk@&tz)w%NH+7x}Am_p|c#j!ohNxoYG_e&%;7i0U7cy7c>@)@(S!B@6L%d4xw zhW7-{UP1pn9! z#z6IbUs;Y$;e_YP`)*^3=`zM~x3kOp%waJD+iqAnS{G0F1)#@Ow0MLmF9!0I{-7J@ zM4d5@>j4rJL_!Qdr@gyMHcb^1toDFoe= zCpYq-;NO8-1-w0=o=Kbo&Snt3(VbYvyJ_on?CU58_%HLxeQX@+y`;vt7I-%b_;Lwj zan8;jbHqZj#9*oOq99MH4&LE7LFQ@QtLZWlAppTyTtb~=vaZO;8QYNyQeh#VE}mDO$v zGM~jQPzMjN5DlaF&-GsBGLg_cg$=i&jQ1DxK0CM#*5cC4Lj+US>nmV~lLwHRwb@D{f(-eF27RJ`&?k+IhRC)BN^YKkv``oTU z?Yu+0i+31${H>WP2UEZG&>LXu?+S~;XzLu(gebxnrS>(2Grb(j-1`Ig@`|@JJ)u1| zD}7)+1<`VDNg&iZnYVL9syW>HTVN>%TP=&d)s+3>5LZ9A$aTL;qR5v!>?571&GVwA zTSh@B=4E_a4aq0IIj~}S0tdKGo$v@8aFK8W8~_k5KVn4D+z=kJ1bLRi z`Yu{v`Ix+~=XmZYWC-5`%^4M}5H$h4OyVG5mPK3w^svMLVA4f2TBuVHuD`h6QM``LfsuMQd#AFP{XNUJ#iBS4MN1P!;?S?ukSHt7LyPLZ0JFHWs`FSZNhn2DS|WipB{0xJoW6EB7O1T9PoPnSmsO zQRX29W187XjIbEewZiFM(rqkHe5ZzU=iNLj_Hv zDZ+Tc0Z1(3*9C9M1Cy?zN6P0(-eZ&Fz|Ab8F+lGs8v9bdh|gJT9$j|vi+_nED{Idn zXvQqBd-=vx^I*cZ2KpC_?2Fcek`>XLP%;a;1&Xpox53B;=r9;c5Pb_u7C;|EQHE$r zp*_)$p(sgo4wU>5O%Fqvp>tql5c9GhL(m>Da& z5Q@5q9)uQi5gfpEHwY8p-Rp!4*!$K`!dX+@sVie3yL7>Dgpai)mAfZAiCWbeZV7UK z05_yoEy15#T9KP(w{yUR(vfIk-3&KDQv}=#`rr|q0*(11&Xb<|x^*k;Y5N|vi4L*(gP9>6g`fh*%`&Hm~=fH#AP1P(b$ zQXVgb_MwJ9eNWF8SKzsuQd2qZfu$@x`%=aT-p+WFxvoO$o@#%^t~Cblf3+Ay5FbtT zbKoTif9Y=;VJNPGz+z|6@h^@U&s^H790w};^Chkk*yj>x2l3qDh;aEru^cQQHH5>0 zYNhbsfQ}5JJ5ZBF?3#&Sh9JEo@$`+j-w_IjBcyQi*;?W452 z)8{SA-ZSV?G0EdY0E;Z56gn4*@n;(xz`Oi}2C)1!0wZ`=RznbDL6etLa0h++;YTPP5bgnwrxKU2p0mB|u~Kbz z)~dUtEAZW@lXPqcA_2j?4D7{7hqYH`HX`ccC{hIBgf3EUA?xD$K; z5ch)TQir?42SENGknf6~EFC`;-MjtL5%uGOwWk-;6I8quSTM`gb#{!w2-N4mOC0%sSB~1^W+wr^<^jRO|cKJdg7-- z7ZSXw(J&~P7VQj0IiO)M@;!7R3?+mvgOZidz49E^aLjb1v zEQtjclYo`7W^Q<6>a093!@OqqAR(l~N5#`~giDs#t|F~ogx%*Iann1 z-bl&Bh)?!a!r)Xh)}Y~t+_TiE1V3i1rHSOPFP9clr6O@-nmI}O7-e3PIi{I0uLZ)- z_(2EZqat^0qR)zbzB)bKejTnnPev>&&SjTUq9r!+S#o8vy`b@qgIF_pzl7v4c*jDl zsk{>*IgH-TkQ`R;a!3x{hjH8xwc=gG<>?+SowA~gzA$k8Z=FI*@RpcW5;M2N{Qb6s zEQ8b9E!0%*ddYT`1I}3DG_aOQ>;Md8@qVD8oA@!1fjr(1kQhOp(_g?)!qL&?j$ zH0YUt_&1NIKj!-QNoG@wF@Ui?@upBKJINQL3?eCFngNnBhD_z%2nnEX?8hb2D$d}_ zX&NVRi6F%;Tsf%G2BCTAGgkIk&wl;VX>Pyi=H_q_I;*VR6P-@cH>u{F;E#cg3jDdB zKeocWIw#5~t<&l3rFDZxVzXkXaoT`V!i@@*%7Ap9rFI}JiN&rE&(K<`jD0a(G-(&Sc{0-e%BbbO8@+7T3xG9?mPx#eB}4>QQA}r;I;r8vk_1V4C6Px*KIkOgA{V<@tY8c^r&VN7s+0c8rDbkWHFL z%dJ>Z{9}`1fL8{w8F0fA*8wjq(E@ODDOQfRIZu*D2jz=ZxOt#WC}!QGXkU&)24#WG zbLU?qylV+bDUCLnuZ|yW`RZl2&^!6q|0=Ut&qSPmKEez1Ll&P1+|5J^q79)aTJ$QE z?0_DIp+eA(P_it#9b6|)7{&JQ$S1c{P>AJud3f6lHD%HqhDLyPQwy9{Liv*>b*hRf zCkcUyU}hJPH(>MWh1!vWSMj8)&gSK@}{|Zij=^QMtIng#cYw z(Un-?*$198n_H}F-KG~P%@!g?eKkaH*(qUO50vL=)5HI^mPX11@r|XP*Lq`rm2Rr|)mWL@D&lawwAhl`$GrKv z$`VWI0WtG%4xM*u56tGM`I0ou4&Xc5XJZUACau3}QCm=O#)cDJWLW zu#v#~r0nHs=NNw{71Zr0<-TWFqcSJpbO9y>{2?%tK}-S`vxq-|vzbIzAPS1o zM909$DrheliUrLw+P&{s>~iHKMT&=ZJK2AAe^n=M##I!h2H+W7jm=e2?E8?d^GDITn0To6_dWyI1cX5pik%BR!Pb!E=Eh()fIu zBC-qo7tNhT89WEbbOKp41?N+v3C=ZW*qEG61x&|~8CSTLsnJ1D+Y%}YRcukUdcaG7 zQV;kRsMQJX29!F&{|h0!5|OEh6wOtf0GTHlG(fK(s?Z$<-NZ5?qCL)5=6-RzMA!t^ zsS#qqyH^O`!B-<)FLy*{5-DOOgV+OPVTm<>VHQyruyhrjef5N4myfUvu2UkUVdJ!J zo%t|}s|TiZ5uNEjFHc_plCZ=HU=}5M6v~vB4)LI?Z1Il?&G2%+dV1DH zG7kH53GQ=YeBYq@&hWZ~ zN>Z(rP8jKv0EM_d`mnlhI_L&V5^}(GL3A1a=#W4vWPk!*kLFH=6#f^8MGij;cuM1` z0TyXIMTE%Vqd+WU$YAZ~Gg%^*WhQHi14UU?0rEMXyN<+v*}>}hdS$>=KGC~%z^VFK*RI zzXULadjSfzLHMkjye|0VF-$jQLFtvF^2yD{R0 zLyguytU4;usQZUBYv&fJ!kyt~sl|)% zjVqdfdInJo_?|`F2h_31i|9irN{Zl(u>kBmF-H{90I8$P&_if4A9g*sPPl_X(SCp< zjGt0;Ll)5r@WT?%p>1I(8#EX!&uflg8cf=gI$ht>|5N$Qp?HXs{n)?M+}xD zZw3dZf-2y9fTvK@d4e1UOPluy0;9bHE559e3Sp*kDLF~XYb>t^s8_QJ4-D81O+a!) ziLlCD7UJ#&j6}>K*JHtt1K80(iKA@=TLnR1 z)prc_k5RVCqC-QrjzpOQl=D$ae=4}TFYd-`wKtR3I>sk=4lKrhT=lvs63{+XJz4Q_ z{mmlT?P|CO>L{h<+4KF?`vW%nU6U;{Tmu!~FScQa26@b7q3EroLfzdOW5?bh1I6L*ZMt?%*)m$ z%E+s}uP$73xZsvk$<13BY}cSDua5X$ny})`JJF4_U##!R>~oz7@D-)MSAx}fQ2_aT z={1U>BV3 zu<{o*Axl@%(W?qora}&oYY`v0GW#xhz(U@x=NbB!uD{hkisp0nsLkNJnv}aLUETBZ z$hSw%x^OttnmX%#yK<7bVXmFHQAOr0-v1B zo60YgOa$DoYc}}l7k($YierLJZ#A!yCE|Y1#LF}L$@rJ+L6<9jTh@-A6}R$>c=gQe z=&fzCnd}b<>3L;LuAG;364WxjD=PBMDGdk6cFs0jIS$7EFV z>(=g_lsUC9pP}0G<9WAZl#1@0T?leR)FM)AHxaX3HSr6Pi|-JF@qrJ$RA)Wlvn=0M zZj`Q~4bXOKk0{^nnxi{|mEs)c)bBIHmT#Xrqj5P>{#i!Zw9)!tl?3;L?@PTzV z_PMRHzlS-1kM6(MUO}J*>~*b$vNZ*pEVs-O3N^b-hAcC*_sGe@OVqj}T!MDHf7eN( z*1}VsJ1jswTjSdMks&t+i*jDAR`UmXE@(~sYFBdXMomV~-3?}GSDfGl=QBPNc2tS{ zG!wtzwiPc|wpk*Y8KnQM`SC9Mrhj;cqI1tCjoW22%u1+diJOHH(?EB!#2dFHD=9NI zp$0_xnHYU*=N5^1;(L>Lu-pAx=Js8t-KDB7Eoc0_iOyCo2}e;p{u#yuU}}x z%9Qa;YC)YCq-aWK;IJgSxV48fQ0r=6Z`7)9blqZ>mdB&wDLlU)7M}Z^({yH~W(Gwp zNGa9gtZ)?=7Th&yFIY|HX)0J{lAIMuTG8L;BVKn#W*!~yirAbL)$qaX{fgaPc#DlE z3K?>3;_x2Vu8B1jZ{l1%R3KV9qkc}}FJ{*D5wivn--z|!CwsM{Yb(cj>YhuQ+N|76kzm4U0TE6y+1{Y4mt%@-YN0#%hGM(6(Mz!>}#>`!hoERAX z&iCp0Vo+mJzhwsE;Uwk#&$Zd5AfAUwnKhp>1#5U8*lxi`Sf5G2GT)TQqP{-x9I}z^ zUuHi9XVyHk7pO=~5cX>>QJVJpE3-}N@wDn!j1q@H@s4O1+w0;J6M?L7@5HKKoE_V9 z8iC35>&g-}m?Rq!AwIzzlaje~rU!n9_itqn4{!7F>P@w22{g@1rH`n4?vr_2^xkq; z&Q?3g5#v;2Ib~gcRP(mD>>1i#%pBeaRQHqh{!TYjx${Gnwcj*(?K4^Cyi8p3p8q|t zw|DdVl|$VSWcP?RO4loOM2hQ|VevHUW!cXm&y>tvz)AXF1+Qy!T10~wsRKpM49VJ2rKHrEL zp?1^tihup!_Y--O*uze$*D~@oMx}QUZo2s5)TZznM*jA+)$5TQJt1`$t?S1sALj4e z!&AxjItvUde!GVcyKNkDweWX}U+}6A;_3a%_2EA%Y}H>hb+N{~p}2$cQsXiwTiRuK zKRS6n3>s^a3Qf)K)LJcn(iC3xB zmr%c@Bk-!W{ZVTsugDKjHjg~hm-Cd(K1E~O-v8_``pIKsdI8I+d$_J5K4`(cY8^k) zF+i26uOsw2wueI&+hNGDnAzlP_q8yl>F`&#bc}JB?Ml#&9>*seONWNw$VltK&r2~) z2YSDZniQ|t1OmOQ`~xmNy`OUR;=Y~d@rzIPnH;#j-?GHu)!HJ}f2{8)x0qG_;KSQg zCgq)oo;$SQChOBsC@n|ogaN~`l1+vLMXg>ThY)DWVN1cbzEwHR)5aX&kU$h5Q9sFXe@ ztN;d+BsK1cGl0VKC8T^7oj0Z!D*Z$D=GP*&UTkG6oH;VT+$`;LoN?(Dk3w1avdSYr z-5%XT<6P_8Lhj6{9j~=oMiRaXw2KtC=I_~_c1!FDi8%iKNd<2n)&9u29YkZI78A6j z^nUMV#F(_^XUOsk*k1w~VH+V~8=dw07hWjLM($BnnPJGS4_gjd`ilBvt*hQDy|uZR z@NqKf9E~E=%=x#9{El07@x$euv%b~NX{(3tge~$tmL^6rB6xapCwmW`%l)!UFy^o@ z+NieR5|F&>Uj-a^GA4{Vvbej;mkUi3jXE)F&&3XvA}-ueeiw8+$*LMn-(;*1$A+k9 zFgb2LOr5WBf3f#8F@MF$ZCPo?UT{QYsM2nII&{35o^^CpT(N4lJ-II3jk}?m^CS0F zn$ijJvhVZl%xh;q`8;B_7b9Y>;zn9eVjzBOR)TY9W^Kc>8uhe@iFn?7$( JxZ}?z`VT?u`{4ip diff --git a/cpld/db/GR8RAM.db_info b/cpld/db/GR8RAM.db_info deleted file mode 100755 index 2c5c586..0000000 --- a/cpld/db/GR8RAM.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -Version_Index = 302049280 -Creation_Time = Sat Feb 15 22:14:18 2020 diff --git a/cpld/db/GR8RAM.eco.cdb b/cpld/db/GR8RAM.eco.cdb deleted file mode 100755 index 325d84314f7cba547e5aeee7793ebaefb8660bd1..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1110 zcmV-c1gZNG000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Ct700000001Tg00000 z001rk000000034500000004LagjU;*)G!QP?w5by8;H{+ZF%do6^t~OrA2^LjYh!C zAjHx|!}5mq*B9HlIB&Zzc21nk@v-CX-QC^YMgI5v!NtWR1U9dm$7trEAD^JTTyFM2 zKr^Y`(*Ts#BOr+Lh!&*uNFhk=5tEQgdBh3=#Gx(M@u#YSSRk<12{Lr*^4$_5LG7<` zy9jVZGnEMlgsTJuzI6gBI@?U35>m$>1Qqsx_b^D(1bB$&-WP#VNT{yT*+d&`xJ48J z7a)-Y$^eNbz|_7>uygTN&5RIoJJM_Z)ITHB^&iK6m4di#^K$bpA)3RM+wsG&NT8t% zx-zXDu>SMVjtOBA*4wU62>;qVYz5)U49!$00*0kV2>T%&G@pc9B&1|g}G<$BCRlXP&N z7L_&T<2pF4$JLpKPf0=NDNu3KIj>fiN#nd)d7;ZlOQb0ax?;vA zl~yjzbHu(~|N45XE!TL}8b!_A91dsHm0D`m7Tn(qL4+Vh=?KX|-;1kO*D1<`C|xDU zMyO1Xe*$>WCCI-QglvMUc+e!Mj!;1vd8(1V)`CU;8U%|8XeC&|BWcxw3bFuCHUzjx zXt~|d^7BK>!xAk&477?S$f~Wqsk|w@ao$*Oj5ir`!{Y~Y7D6^a8E?0f?K1PL%&*1Q zD)S-pWB$Oi1*!8qLd&hTY8Ly3o)G26{YZ}ALWg?~5kES~;r1to-y%8O{p4`ZlM|2Y zc%kMeNn897(SdjhxEB^*=AYP*RB(1dTrF-Pt`XOY>lwZJ8t{uBYzo8?| zc)W^Y@*BlWRZLk$SyX7IIsy>2gs83xeOHyQ@Y<{2zxLm*|IRnZ^PAy#+MjQ(_Uhl^ zbc$b!Zrk4i+!G4Bdjiyb0kM_*M+t{XNW}2qT(vH&t^bgtq(5e!Y$)`PnIt5czpDMZ zO$*2uI$sp|!sH8^FI>JTalr+^wq8(~#{TmqBw4|5y~_3V-y0@||ECo^>xftmDk(T# zNwvj6X{SpWb4 diff --git a/cpld/db/GR8RAM.fit 2.qmsg b/cpld/db/GR8RAM.fit 2.qmsg deleted file mode 100755 index 3742887..0000000 --- a/cpld/db/GR8RAM.fit 2.qmsg +++ /dev/null @@ -1,3 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1566710684975 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1566710684990 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1566710685506 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 25 01:24:45 2019 " "Processing ended: Sun Aug 25 01:24:45 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1566710685506 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1566710685506 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1566710685506 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1566710685506 ""} diff --git a/cpld/db/GR8RAM.fit 3.qmsg b/cpld/db/GR8RAM.fit 3.qmsg deleted file mode 100755 index a20175b..0000000 --- a/cpld/db/GR8RAM.fit 3.qmsg +++ /dev/null @@ -1,3 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1566710988914 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1566710988930 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1566710989446 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 25 01:29:49 2019 " "Processing ended: Sun Aug 25 01:29:49 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1566710989446 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1566710989446 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1566710989446 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1566710989446 ""} diff --git a/cpld/db/GR8RAM.fit 4.qmsg b/cpld/db/GR8RAM.fit 4.qmsg deleted file mode 100755 index 5daba28..0000000 --- a/cpld/db/GR8RAM.fit 4.qmsg +++ /dev/null @@ -1,5 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1566711499269 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1566711499284 ""} -{ "Error" "EF7K_LAB_TOO_MANY_SEXP" "15 LAB_A 3 " "Can't place 15 sharable expanders in LAB LAB_A because the LAB can contain only 3 sharable expanders" { } { } 0 163057 "Can't place %1!d! sharable expanders in LAB %2!s! because the LAB can contain only %3!d! sharable expanders" 0 0 "Fitter" 0 -1 1566711499362 ""} -{ "Error" "EF7K_FIT_FAIL" "" "Cannot find fit." { } { } 0 163000 "Cannot find fit." 0 0 "Fitter" 0 -1 1566711499362 ""} -{ "Error" "EQEXE_ERROR_COUNT" "Fitter 2 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was unsuccessful. 2 errors, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1566711499753 ""} { "Error" "EQEXE_END_BANNER_TIME" "Sun Aug 25 01:38:19 2019 " "Processing ended: Sun Aug 25 01:38:19 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1566711499753 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1566711499753 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1566711499753 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1566711499753 ""} diff --git a/cpld/db/GR8RAM.fit 5.qmsg b/cpld/db/GR8RAM.fit 5.qmsg deleted file mode 100755 index 8df64d3..0000000 --- a/cpld/db/GR8RAM.fit 5.qmsg +++ /dev/null @@ -1,3 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1566712873057 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1566712873088 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1566712873807 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 25 02:01:13 2019 " "Processing ended: Sun Aug 25 02:01:13 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1566712873807 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1566712873807 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1566712873807 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1566712873807 ""} diff --git a/cpld/db/GR8RAM.fit 6.qmsg b/cpld/db/GR8RAM.fit 6.qmsg deleted file mode 100755 index ce3ccbe..0000000 --- a/cpld/db/GR8RAM.fit 6.qmsg +++ /dev/null @@ -1,3 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1566713553094 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1566713553110 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1566713553610 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 25 02:12:33 2019 " "Processing ended: Sun Aug 25 02:12:33 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1566713553610 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1566713553610 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1566713553610 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1566713553610 ""} diff --git a/cpld/db/GR8RAM.fit 7.qmsg b/cpld/db/GR8RAM.fit 7.qmsg deleted file mode 100755 index c10d17e..0000000 --- a/cpld/db/GR8RAM.fit 7.qmsg +++ /dev/null @@ -1,3 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1566716030143 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1566716030190 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1566716031347 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 25 02:53:51 2019 " "Processing ended: Sun Aug 25 02:53:51 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1566716031347 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1566716031347 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1566716031347 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1566716031347 ""} diff --git a/cpld/db/GR8RAM.fit 8.qmsg b/cpld/db/GR8RAM.fit 8.qmsg deleted file mode 100755 index a8f6c9b..0000000 --- a/cpld/db/GR8RAM.fit 8.qmsg +++ /dev/null @@ -1,3 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1566718076312 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1566718076344 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "287 " "Peak virtual memory: 287 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1566718077453 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 25 03:27:57 2019 " "Processing ended: Sun Aug 25 03:27:57 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1566718077453 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1566718077453 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1566718077453 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1566718077453 ""} diff --git a/cpld/db/GR8RAM.fit.qmsg b/cpld/db/GR8RAM.fit.qmsg deleted file mode 100755 index 42a54a6..0000000 --- a/cpld/db/GR8RAM.fit.qmsg +++ /dev/null @@ -1,3 +0,0 @@ -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1581829846147 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1581829846157 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581829846367 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 00:10:46 2020 " "Processing ended: Sun Feb 16 00:10:46 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581829846367 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581829846367 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581829846367 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1581829846367 ""} diff --git a/cpld/db/GR8RAM.hier_info b/cpld/db/GR8RAM.hier_info deleted file mode 100755 index 24402df..0000000 --- a/cpld/db/GR8RAM.hier_info +++ /dev/null @@ -1,129 +0,0 @@ -|GR8RAM -C7M => always3.IN0 -C7M => CASr.CLK -C7M => CASel.CLK -C7M => RASr.CLK -C7M => IOROMEN.CLK -C7M => REGEN.CLK -C7M => CSEN.CLK -C7M => DBEN.CLK -C7M => Ref[0].CLK -C7M => Ref[1].CLK -C7M => Ref[2].CLK -C7M => Ref[3].CLK -C7M => S[0].CLK -C7M => S[1].CLK -C7M => S[2].CLK -C7M => PHI0seen.CLK -C7M => PHI1reg.CLK -C7M_2 => ~NO_FANOUT~ -Q3 => ~NO_FANOUT~ -PHI0in => ~NO_FANOUT~ -PHI1in => comb.IN0 -PHI1in => PHI1b0_MC.DATAIN -nRES => always1.IN0 -nMode => ~NO_FANOUT~ -A[0] => Equal0.IN7 -A[0] => Equal1.IN7 -A[0] => Equal2.IN7 -A[0] => Equal3.IN7 -A[0] => Equal4.IN7 -A[0] => Equal5.IN7 -A[0] => Equal13.IN21 -A[1] => Equal0.IN6 -A[1] => Equal1.IN6 -A[1] => Equal2.IN6 -A[1] => Equal3.IN6 -A[1] => Equal4.IN6 -A[1] => Equal5.IN6 -A[1] => Equal13.IN20 -A[2] => Equal0.IN5 -A[2] => Equal1.IN5 -A[2] => Equal2.IN5 -A[2] => Equal3.IN5 -A[2] => Equal4.IN5 -A[2] => Equal5.IN5 -A[2] => Equal13.IN19 -A[3] => Equal0.IN4 -A[3] => Equal1.IN4 -A[3] => Equal2.IN4 -A[3] => Equal3.IN4 -A[3] => Equal4.IN4 -A[3] => Equal5.IN4 -A[3] => Equal13.IN18 -A[4] => Equal13.IN17 -A[5] => Equal13.IN16 -A[6] => Equal13.IN15 -A[7] => Equal13.IN14 -A[8] => Equal13.IN13 -A[9] => Equal13.IN12 -A[10] => Equal13.IN11 -A[11] => ~NO_FANOUT~ -A[12] => ~NO_FANOUT~ -A[13] => ~NO_FANOUT~ -A[14] => ~NO_FANOUT~ -A[15] => ~NO_FANOUT~ -RA[0] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[1] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[2] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[3] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[4] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[5] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[6] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[7] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[8] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[9] <= RA.DB_MAX_OUTPUT_PORT_TYPE -RA[10] <= RA.DB_MAX_OUTPUT_PORT_TYPE -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.IN0 -nWE => comb.DATAB -nWE => CSEN.IN1 -nWE => RASr.IN1 -nWE => CASel.IN0 -nWE => CASr.IN1 -nWE => CAS0f.IN1 -nWE => CAS1f.IN1 -D[0] <> D[0] -D[1] <> D[1] -D[2] <> D[2] -D[3] <> D[3] -D[4] <> D[4] -D[5] <> D[5] -D[6] <> D[6] -D[7] <> D[7] -RD[0] <> RD[0] -RD[1] <> RD[1] -RD[2] <> RD[2] -RD[3] <> RD[3] -RD[4] <> RD[4] -RD[5] <> RD[5] -RD[6] <> RD[6] -RD[7] <> RD[7] -nDEVSEL => comb.IN0 -nDEVSEL => comb.IN0 -nDEVSEL => comb.IN0 -nDEVSEL => comb.IN0 -nDEVSEL => comb.IN0 -nDEVSEL => comb.IN0 -nDEVSEL => comb.IN0 -nDEVSEL => comb.IN0 -nIOSEL => RA.IN1 -nIOSEL => RA.IN0 -nIOSEL => comb.IN0 -nIOSTRB => RA.IN0 -nIOSTRB => RA.IN1 -nIOSTRB => RA.IN1 -nINH <= nINH.DB_MAX_OUTPUT_PORT_TYPE -nRAS <= comb.DB_MAX_OUTPUT_PORT_TYPE -nCAS0 <= comb.DB_MAX_OUTPUT_PORT_TYPE -nCAS1 <= comb.DB_MAX_OUTPUT_PORT_TYPE -nRCS <= comb.DB_MAX_OUTPUT_PORT_TYPE -nROE <= comb.DB_MAX_OUTPUT_PORT_TYPE -nRWE <= comb.DB_MAX_OUTPUT_PORT_TYPE - - diff --git a/cpld/db/GR8RAM.hif b/cpld/db/GR8RAM.hif deleted file mode 100755 index 6a6bfe177b109c316b41b11b64f985a213448881..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2014 zcmV<42O;>Z4*>uG0001Zob8%RZ{s);fbRnKKNy(H?jaezDIOJDNwnk0Udv7u;}X0R(4foowx=*Xd8yQZ7IG+pQm0 zowKu|C7zqs`l`q6b+2DHPi?cyVZ%=yJ6})NAA{M``&=(J^R-?2%GG+Yln*vA>i4Tr zIErr0{|^6guIH|$=BJL9E1OT}D?4BLHTYnQ0T!F3wz-?mY;)-IwQ&KUQUZuvs5C`@ zX((yUn0ePuAoMnQ6w z4n}dUbuqcTj7M3y?9GN!v+kI6qh5SCURnKo#7eVu%)NGhc$42Hz43KGf??zrDIUk8 ze3Jf>4(`&OMlTt~-Erb?HyOU5b~ETr`jgDN!l6ztzASa3+o(VB_f98S+YC4Jff3BGK zWK0m)h00c)534*Pr*vhmcbbe zaPS+huc*Gl`U`=G?26P76bWe zy_D8LZb)*4oK$54ke_Bx}q~AhN|cMC^~4Wowd@_!y%~{P?%8cm{dv=qzJ4# zy!Z65We24UwxJeS`Wa#b1SSj#OPvA`QpU;-#_ENs^dT^k2^so+Q~<*m=fZLc3342B z0RsL0seJZQ+gYNVagt&PuwNPsl@OW<<}9+E=1v zYty!q9UYJzoj~@j*A!$AIWtNjM5Q+b$CNqgpN$Y3A_1^A?PT9mGkgJuqj)%qvp5|` z6*|+*1Gp7thn$Ui$MgnioG0mUGR~8%fL%6@(s6JfALCaE$z&M!lQcd`X3xOnCi%A~ z$1wIYq({_7@l}%f%D9(D1&n$}2OJE?)qt0S(F=nX6D)Al&31tb9z)Sr;qXbJpqT01 zeHBaFCz*}!`*Cm?Wo?8mC-)qvwJYY!`Qwoe-$N4dIrx`$wVADXh3=}rng!Y{kY)il z3#eIu%|abEj9g2}8!~Iiv>}s*j2kj)$gm+d##iO|u##CrrVW`iWZaNZLxz>Cr}IW- zc_Y*o-n?h%MI8++q(Wc^G4#l0JY>i)fI{q)q7q_U2C6zhJ&%dZI1Hf(EpgCji&SWw z&t098PFiP+j;qJ1tHJ`+oN=GkdV(8O-p=VTWY(imQlw0vK0e9S%DGaTAOk{&2sW5- z=r|(IFlZ%$5?Cc%Fk39%6|<>gEf7#+L8w632P(joaoi~fec;vBu#-d1E5b;tv+Z3_ z^QCCgKZeFv#DTAukDn9=z9sKegLkUIJJsNwYVb}qc&8e?Qw`p!zlf(b`*5J1^2KId z%MW|`i#eF<)m&|SHd^J~SZb#+SBzP&PE{!U`#SUd5dO8D`n>yVP-j1fcJhz|@{re( zr}22@*AhSqijB7c=UO0$4Aua1Vtg4qje77p=*?U^!fHAP zdy9YRg3^NprT;ezTJP3EWP6eBMY0$1UPOBl?!~=z_)cOwiS8t_lkiSLI|;tQ#@k$> z-N5X?!0ffJd)pkOu&#dt6~IEmozX%uYZZ`IJB6%JR$$R?-6{}%aWpz9z~0i5!c{^=(xZ#p13<-Ft61mWbu6MLqkYTae5?AwL4D0|zju@V;A(fr@(g7(>5ePXZ=1JAD|vq~ w7}oQl9(Fs0bda_xAqvVRdz{*(TR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWpbMopLg@;|U;qFAuV+&L z@&Es?U`$F$NC`+tNHEwhyFu2&kb`Msdc*p~@q5x8_AH-2yM9l%u*aN6&JK_x|NjR7 DEJZLE diff --git a/cpld/db/GR8RAM.lpc.html b/cpld/db/GR8RAM.lpc.html deleted file mode 100755 index fbc5ab5..0000000 --- a/cpld/db/GR8RAM.lpc.html +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/cpld/db/GR8RAM.lpc.rdb b/cpld/db/GR8RAM.lpc.rdb deleted file mode 100755 index adf85893697a16a10def32b9c3988ffe5b83d41d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 413 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRZULDF1nN+FA%x2?7s{_-oYQ}n>yUv! z%k1u^2k$Qi&pX*v5C_(z-&?;e{JRCBOZ~qyC(4bOf$Z@WJ*wL(5hQbe(Ma^ z?wfI9Uadl`^rm}KWwCj`bXgaO-I(X`GyP%J$vKXE_gsbZzb{3l295mHHVzKcchv{|xP3o44npX603-id&)4g`Ua#|dz0S@#&vVYU(}xZn!f+pEzk9Kt zci8yE&eO}u-AznhQTB$cyx1Kdr&lk<6ciQ2ZYayiE66F_kXIBlw)6CLvb7U?^xW1( zOkT|Rftab?3o-4NPTuSz!+!k3R&(eO4)iY^0v-JizEb@U`X63NIgXVbirpsE+&_6c z=37&L;xQ-Jlc&A?t{<0wm&p?`5{^n&x^rR4ar|z^lJb!r4J}d3!-;od$1aAPztkzw z*nQ@{xa!+ucP=Z8CsSE@KTQzSil37;UFuW}qL>U{?%ymd8!|^=SfW2CRomGQm-zVj z0;dY{i06zmMH(}Jk}+Lsj59CnW>{dV7whLJTMDi`ro@_3Z*_>Zd2f?jammDSN(ZE+ zjJ%OEWAM~1Zvx^jjEbqjc$cP2z3q6#{Ah6_Gu+Hrt|aG;8z#oDre(F7xKZFYX1lGP z|C(!ggu_-V?v`5>;)|@o;h5FGRxbw;EUc|1CVwb< zTg75p?j_i?`6Z17M0mdt>1!_-IcJFj5<$Ki&BL|NT_Kl2wf5T>d&FAu(_wo7d4;nU zdC}ELviH)I{gR@W-4bhL;s<>fDi*im!%iYlOd|xN{?$$4wk;wAPpsj_K))XHbz?(u45MbXg?JN9?D}uW-+pSJvhX z#yz!h?V*{c#g@k{)Xoo!C0D3tF8JKKBW|>SHc_ zF9&b9y`P6_dXU0(p*zz$x&yu22?lMOb2A#YFZF8L%W%AFo1Nb+ zj0-e;cK1FZPv=iu`AT}9s=ebq?5XqMXTxXaM{-|*b-3_@aF_3%%Avd#y5aEswrk8H zj5j!le{b46>9!j4lQ;JJ&hw?wb&folq)T$o2vQ&qtKTufPWs)x#yijBT|uF|F#3YB zhgJ8_#wdI)vnY3rn|Z@MN>Ll}hWA8xLE0}y)i-;%QP&wlxspS@qB29-Hm{R7qTadX zQAJ7`;#rV&+bjTYa8OF#8pjWBIq-g`h_~=Xp*9u5PHt^ zr$us3WC3Ad+5W(-JTkiM``A<7;oWJ#egkc6X2-TnL)T2M%eVd1@+(~J48Z1^rlp~x z?E1CH)7>jCXbROLgAQeuqfgx}t2}MK#mPv~n@sML6Neyule7Lgkm zu&n|7$eQZ(K~cUnkjX#PbYh?XaqhM<`%^pp!J^#tb$?DXD__mtM)Y`O&~#fusk&v9 z+$7M%KcAqG?ezJ$4>Yit^WM|ei;)i@E-mFED6?6j4S$WVX@1Lvt5v1LW$6^9wgK|- z7jr_RsPJ-2j^Q!jdhLEoGVBSs^HT3y|X+D3^`I$Q8x&r zPRSQRySC6@XpF_*mAm>;!6(SfXei1M6By&zvQbW|vJ!|(Tf9nBndntBvjm8ut0m$l$ zpjeoWDDpg-O#A9?{RS=3ouLNIke1>cW|>J#uwL1!PXicyGoyO+DlSCVbx7-#(ehen0`Qy zqLEZJOJpJ*CO6PSm`{?9^-|7QTtup1fJ(lqo*ie%Qxm`;lj-iVTM-4hbw$(B5AlH&s|qPdKuop+>==Uv77JX?)Pc|H0V3rl04xh zpyB2zl2ROg5Whr_j}$?aH>etg*-4%;{bK7Po9h(?F`Gf6pgG6_kNLhlR4C<2(;ukZ zIY{aY$cjtXWKaX?d1bD|W{c?LW}+3YoQE7v#S85sLyN@*qv(~Dym~;0drRavYV240 z`$F}g5O2%Q70eP&-ZeeS12I#cZ3^nacHNAs=y!eUmOGKVGUBL=F^h?ESW6nY*&!te zElw$w_X_lbE7%YF0|LW8J#G4oozxNutI;Ln6wjd%jbZZmj>1T)&cRZXX}juG5BqB7 zm^s(1m)(X?-zkA1APp13HMpoKV%|{^b-E*g9=bT(RrnH;Ois4je5qR~JKvbMYF4Y3 z8yPKF;(^xakylK(ETUxf#%F$r2R)KjsA}I6655kDU=?&pwR}Trf_F&HHiGQxuh)gM z(s}pQIq z6(Vk$g#tbwK5v!KIYkq#%JrLnLl%Fc^Wg?E@6)kcYgV_e@3# zP{K<;s%J*Q^cN9*^yYlN%p^e1_PNA_TfmpgkkkN(C0Fu3)ufR_oKTdnA?jnNyvqJa7^R>~6*K8=m`a&DOMbufFucuE{dpog}B?Ja>s$K4z&ZnSJ3iPJkGEkfd;yG+kY zk=g&krv~0-6AZf?Z>r&oLX?(GV<;Z0GoLm`xbJmoxMg-F-2b^tecRc;8%DluxUme$ z2w$}?W}x8Zm1lNjw%UddiVDwLq~0)cNcB7N^%HhZ5?@rl4!R4i_Im|+#eqZxH+-Fq zb8B1c@HB78YxnSm*WX*2OC6diwz(2mlG61>4*TatOXMi;UTg^!5Wx+V^>g3rzh3wm zL|f`Jo$k|B=1=?VQaBbB$oOuu7kfT448#jM9pWy$kx*bas!KOEi%NQuHbekym|7>y zWy={$WoimRVwp(3K{46_R3;L`Qo;Q z==NgDD+JHie>6PeG+bri14fVtt0kviBW?HZKM6zOhtr214&9FFY`SpnRD0{A7XOK_ zyglP)x+F_UK%|Pgu_Y$Cst{w8a)IYu=Rk{@U_U7+_DNVlC~*2m(T~LlSE*1?t(;q0 z#OlZEK2*Cnq# zt~7q_p%H(%ue-=GdaRGkaep!Mm^0b|m$H!0KkohGFf{6i`FQ+?c>3Y2f55%H7amhv zy6WC#0@b7|&)FpCy(!~iI-OWKjxksZ@6LMn%sNI_>39Dvty|H@BWeSNa0TDC%&lZ| z9Iqt2yP2nVmQU|)(nI*|&Rv9}kmYv$=2IolUFIG+FWRb3A|>+eQZL3z&r0V@%ADev z?|UD!l+5)GrI;S+_;QLj>BP^Y{TH+q?wp!g*Z*?{s#JauQc*o7>{NF0=Aqn$dDko7 z+UNRpxeB+NeWtgn3SZcP{5;JKf`>jSm^@OTnPi`=*B58`1Xf-9puXz7+Fx#PC_4MR zrG%wbpewgyyzI72z5~ueLgk#2Px$3`ca_X^dw`S5x4etQvAG%`@k)-N6xiy?*Q@5@ zGO8<*yg@Fyy%3+X@m*lgR_9EKGlvb2m%*84KUw`V0$c-U3?k|7@UKs9EMBlQqz-(x zFHr8KrG#C7ctb|lJXD`)np?gU7jJTm*E{~xC+CbSp>M?@&LyYL{F&|l@UGwY)|RwZ zM{DvIS#*rC_#3rL?^WT^CY{e0Sg=-W*FP6l`>7>k5Ese0qmFBSwq`B#A7QMplc@2# zhn47;k`}-E_!l0U_}#00X?1!&``oe%1(<&eDf3m+u#yz6^G@yJ6-O)3o0v-`ecHB# z*G!rW1S+3$ANLNe7N9OiwZ#b}S|UFE1Nu4PQ~HT@(KhMF1I2S({X%(^2a7k>`TZ`1 zEhUVW$FY`@+g^45Nih?|MyQWwJvpPV6#?)UTWMP<@_u-Ta&*#h`HWOj;GAoHsq6To z7CsY!tmQjvJu$}>46zT-mM-xSANfyO zl^MhD8>SsjthX9?ix7OO%Kyz9ycv7~aTvY*^}!3d2ZK|CZgQQxDcROp)$sz}3K=Iz zT|9ZZ9f`TRS$dhQs4I%$(Y%+1G@JrhDT(y^o+gEXvcGLz*rR)7-4m2tu!lgi04#ky#ExzXrC)3UT`!tU&^agDpc-$QNbF| zjr1+sg;QU*2f&%}VX8^pQpbIc4*jk*|H^b_{>cp}noM_=ZNfxdb+&!N;(GfmJ@}V1 z@c;=PwtSo8k}#FV-1f{*W`^El?@2+h9D99)fm@Ven03|OKm&mkr2HvKGDO_wf-mT(Kl=AVswGGD#rXE z3t>#Y92+0^7}IZgH+%DiYly&iL{yrVxpr59_#4fOH@?2DKRQ0BzVH0(((4Z@I|06d zbDrcg%e5vivVJ)?xlxZDttc5T*Vw^1S5G>zN(b8JjW8d6*_T?{6fEN@z^K7qx33}d za;OM&5-@oX?|tu2f&6jLkeB7xp;rp5=W`<_-dopnL_goNm+;EVOBk}M(xzap|Jato`h4<`3Kwf#h~v`OC={ zt_Fl~tQ`_3w^2`TC-YL<1B)!?B^U}e*AlC@$c~y79sCRhe1>X(q0M~AxPsCr^@J)f6*jM|Q!;On6JCt_Zhm&&w_ zpR6+*#K#>(kM>keEoZma9e(!+_@x$!-I4x^{Z6u(c+UpyA*;Gp{O5Me6Y&z!s%`qs z$cOFco`-MPS~K$bJ)aOd_2-+5tT;}tSpT5(?|--Z@Op4*<3&lpV9RwWX_Mhj538uC zEqa$JU<0Fc`rBAE%Q#=Z>vmUTFCbI&Hdr@pdRIk(#CldGsZO#nl6`PhF`!4G<&uwV zvh@d+Zq`e}r(9i{0+$f0rA51Kb7=3R1fj=R|0}&WwZT%51^hjDX?D5JRABG!o=n`R z*(18em!Ox9Xy+TPWjsHBRgY1C3Px@bg1E-2>xA}BnnKG)@TpeDv>rda*y77A^f}xn zpE-TmMj*u=bRY*+J<aZ7+F+m*=*G@tbv zut2y1RX$S1Y)E(9#Ap2&<#@H;OqqSFUyJ=33I{d{GV3QhFYN!a31AYf&1dmHH~F^h znpq)P+NrXM%Ab3$S3Ip z+O(lui`kxEi(UK2BJlhZmkP7Ku-u5>cceOG*7fgLk3)H9dob?;L%HE!q*b$X4dZ%c zXrN%9Gh^sS9WE8iH#+s1N3By1?-ox>qwq0fm#E_2kPSd&G+u4&63bd4c(OwBVA3Tr zaH1%cBj10jD3w-WXpy)bo2t2-AQ~K9s?Cm@h3!uqKHiXva#~)UiYUAma&YQ!8{gWJcGYgBM`+tK$a!Bk;c28;!mD!f=z5 zF0xGyx2#RG#`GS0%N$&?_Ohj?O!tzg17-IR7tXcWf)1Q5Bh>=H%be1x#&qNpqAqQS zV4gU39PS8IxuHC6b8q6YQ*^>kq>U;%;b~d>1v!q4RIX$6U&tj|0_O5z`1W~O^@FX) zk(&v~nlQV(r}rr>L`P@4)vR88?~vCs=0VJK02*)H|0fWe&$we_&%E;OoXRD|1AT+Y z+31-RhAWhLCHWkDi*1T+E%RCRu$r^MGTGWlyQlU{nS^s~V|>duAFDfwgV#Llfzu(`V9Du(z9Xmi@Zyv(uM<@prf07IqakZCD~69fvpsX6(*0s$bT9 z2q3H@mO9SipX8X4jv^df=hJvQ^?G2sSz#_B6g2%(%j{C1K!W^LJ~L4`1?MW^sh4{7 zxYfE|FhBSz8g1pj*%ymyx8^CfTz|&Z7ftzsycC>(^OddPSGkH#Q-4-?*aWScPrH-f zU)ZND(K2ghnW%2Ne$s)hH*QC`0IgZNQvxo=%=|oHz7{D-iO$_I$JxM&LG?(Q?wU4k zyLu{t!(kMiesI`#Em^+Y)e$)UY7Y`7{H29@`_oV@|3LM*v_lJVps~~z&sV`$<^m`w zb5>GPlSZ;Gfo_h#DF2SojXxWs(=vX>)1pfJIZP?}!NjcIBafOY)j9Vi3zc-~j4g)Q zex>Zie^%GZ$0xp;@m1p|IFsp#VdN`Q()wQD4KLp9qCr%i!q#L)O_$t1_EC!~S@{lS zLwtZnpR|+}`%gEsv+nbmGIBXbNsIK>%3;VxcsGV{esgLHQ(p4rVk>jXdb9_bL`P-$>@6R z#|Yy;6K3*1lYbbQ0gl2OmqN|Gz|57p(>bE!8Rj*sX~iM5(--hr6Tg7*&Adz|qmCSQ z(&NEiF{Y@QJIt}XPLAM4-_y~-SLhC6<`$CGm>tqk-taW_ze?1z%ScmEBIo=F@u*w_ z@Cl@w!|vWkhTfXux-V=PaW9X^r@*Lr_{$9|w6{ych(PUDZfFuAqy?Xlp-xI2p`&?X za(V&pLLd9i>d4Krp*(hv&yQ3xPL1q)C_so$Xt86yqBM7M?qk>e?U5t`0EyHlF9hwC zG(@D?Ts5N(b!^TrFD#V$;^asUq=n=(G$215sir9B?H8VAGqAkf7?pNyTXI)rD3zZO zc;s?;2>ZHsMnXz(fqu<|W?|4r)O=YH4=u2D%14WH@;9m`w8n!BWgD-Xw_dJP(V$~$ z4d~U~9AdjJxjj*@t}M>Ssxig3*ulcfhJasbK;Li1UBO&G^w@LTxZ(TpQt>LWem}=t zYAh+A=p6h{!9TY6SHW!n|B@e{`k%R+B!D*Mtn*;ilu*X+V5(pj!+>q!!_c6$)Lwpt zb^q{4hJWM9f=~VXnA+W+z-wYRr1@R8swt?lpl2Sx7T?xBS6nRd+8Tw+^`{lQ_mB`GJwo zOIqX^5YRP`j0T-F7RZXSVv zrme0Gn<(KAQnQ%G;9|8>K3&^z6dA6!A|_hzGsX>O-a(|23!lqTvUZy;D~(OzjYBUM zoUD*!nZY-h(0w7<2~I?WCLe0|E(|0TD>VCNw{k^k^1M_6HiR|brZwnP-?%=%i*`R` zQE5xdNxT184We`)ybhc*au0miG@CW&`^2R2P0l#i@fNEsHQyhWR)f^Uq`kogxSyaqrBWo3$2rN*2XJj7hbQeR|7AZP^tpq%*8>AMe zL=t3nSmx}X8(d?br|?yxr&N80*my~$reScKw|@&Ak$ z2*KAV$j_iP-4lrdD`2fJwJE+=r)r5ao*Sq8$9ONu0TO%M{Q<*repvN;9=*TZ5a=hYmjEtx@gJyAxD zL0)Gtdip$GQx8irhcv@*&@FLHMaR_pJ^+gGjnz>C5(Z_{dpZ>FC7i%_y9v2j2fbE2 zu(%uKzZ}h2oBF}Whx!*_mAg{QAxIRLUn;D^aM$T1tiKUR*MVr&Ok_1>(a+5f{irB} z&Y=c`DJqn?3=6m|U8njtcI(@@gyr+=8~_3s_^Gar)FEzGX}kVANGq=;*jjS|wzIo& zJqDRmR_Nd&de;{3TYI^5p;3TR&nv_-1ZuvA*=_}RG}b^x8E{x*4HtC0k5KGE`XbV( zP9icwvaa2OUbOyAtJ}BK>}ZBSDv4F}=2l43+3f(E^G?K2N ze`@}yxlIOw?Iee{4_W0{b++w&zp*(qPdCUV;=8M&VfzXy{L9q>yM{ zHh6xth3Mftn-2U{-WOnlxpxn)CX!G`YTmY0tF4$#zBI1Lwvk6wRsr4+t7Q(b3%@eV zy(&4q5`=#JTyE;TDbwZg>UmTk0{!HbzC4>j)(+#y(|hB%LrCkqIDn8WXl+O0-EP5P zwZkUjTR{wTP&TtX)3;lH0R|M{gl~7n>N0!&t_X&eNA4K-kR%twZNBJ^y4(TK>-;^W z;tv=txkBz@|A-orPLZ**!cMVaoirs(m}IuiX4 z%Av|($*Q1w(F$|EX9Wa)*~3DFJaMTo(%5P9M7W3AI1*n?zKY^on{X|28*5%V4^)G$ zqXgU}Tw6B#c0cUwr4Cx+zwBh#;B0k7X)TtPyin$wu`A+ew&-)i>ENk(n&Q@s!@48F zbz%1?fHbN-T||;@D_MBxH1ZJlji^)~_<;OIXy12;zHK*qI#}-9e7m`y<6IUAXX8f; zx26R)KDCrbeFr8XkQ$QIXaHPE>jK{9w;SFnCy( z*fr1Byn{xn`woNcr1f)&EWv5L5_{qG=xyHf#yLY2Nj4vE4aa%2^WxtqM8KY`x`o(< zdm22hjEb2KeGEeV!>ifA6!Hn>?Aoe` zw)qIHsncrkx;U|CLr0t|%~L^UYp&My0Jo=pfcQ|@dO{^*=fjQs?Ni7OIaM3BJT{Wg z3w%S3JG5oHUt=zNKi&J_p!681nG0unYuuvxMl)B3mj5W(Z*g@Zeb#}hsJxv!8z%vrbnXT+>BO-deb+G>i8*9rmlpEBgo9aD=f>wtJ{ z@tu0*Slzwpx*qg?)SxBnko5+8OE1e-1H5R5xUD&JVkJbPSsoP-`X|URdz^gInmSfj zZQq(C$kixGC7s!8*dS@H?xb$D_7UFjseyNXhlH?!eRY2!aHg(~OB7JsHU0ZHsbgU! zE67=Cj&=hbQA1NBpGRxn0nb-@?yD{j^%Z6y)xpa{A%9_oKJ~+fosj=%$}+0&Vg1vPhk6_&u?)KdANnV@d?Gb`t4MtbRe~^Zd(-aUjXo~ zrV1e<@>plw__$V2PD`WnzkhXID`|2nV1by(xA%G0WsMUXo!zXboS#qT`B+=tcUE`T z32s=qw>wvlqIU8&MANu8>>D>WELhQW{w4QEEh_j^!NW;x&ld&I7V6TIxS&ipY*T6N zVHfXmH1^N*RGD_0IB)8=IFH{2qvrjguKuXbolaXhN;ntvuc?v#uu>rV*p<3z2R`1Y ze}#q;Du6G$B-|tSVY1MI$YlU!uy)jlWxJ~j2s9^a$jCOHK=kIDEj!Fea95aeLIK^u zZDr8LH{sL>4nAHyJGs2!f~K(fgAF1G*rxbomVk!BLb&S>zDceTgZ&VK1LJhK?x5nk zy{DWl2r>7w${@af(1>~6DGxqg(e0_ffM?%^_#%2Cwc(5@ZSQ8f>@YX|a95wf5iTM% z+x5Cs9B5pw2y&+qA1}R5sZC^Lsn59l*?=`J&edCqjn{=R_J5F}r?^@iMVgQ9zvtjX zf!C(~FTf0hNirUYl?hH95m8QXb*e)Dhjk|%YBF`?CJA*7Ue|75jnAcbv7 z2&vAHZCdtXI8_ZiD#VBSLYrD^pO{@xR75sUBDnnmI9p0=JgaB&uFn}1c&3%0Xk^LXCOoKmU#H9?c?e}>hB zEyiWaFrXOs)1urh>+5Mg`$wj?Ts@vOoMGOv?k%h~=JeCDT7YQdQ*8@pP1j0tL@o!b zgV%>b#P$D$3=K8#On->@e}(00;6fYR)@OXGXASaVu=;u{I|i8asa7JOYh5sz-q6de zk!&Mk@yhY|S@8aQzaN3?9@4>y6$|RGA?4tr=f`&u;#M|!INbC3J=57M6eLQb4!#rn zR|Q)W_&?ykHTB;3KYH21;q@sTk~XS)U{p{By_h}B?GbA8e!vo!pSe#T);$P-%@%U` zeR}06lQ*2LYb)nN#2u8TtT{0$Od}%x1YL!GjVh z0-iw{uD|CXFDMYTc`|js6pon>p<|H2%I~@9I+`nyLV&qiE?l5pqRI0`2X-pE@RZnL3R^O0dK! zYe%OYiE8VP#NRx4;@OqZmPBs&=kmW@CU~?Wn15AM0lZA<;RVo6%5G0`P=qUE8+vNr zggDHPT#Q_X*NZEFnVy-mDIe>eQ$yZCG($3i#;nLvf2BpRN}3o&nAFTl`cz^41@AG% zKFNe6e@>};f@FawktN=unH+&we)}aL57t02WYpp+)Zd0nb2jh12B$Ok9F<-ThhZf^ z9}^mSMB%VfDBGJqaX}HYb@CN;R31;?Qv~aAC+=7%PLY+|N6oGeGQ%RAg_qm6?$l6* z|5PJ5x$v{|H4*%yzp0MevRPS_Ck*S2ZSnD^)!M*#_+U=?dh^U?VigKEj-WT@F6<-z zIw9L7{|jKIX4yQFPY1tLV-6f+5H5Wi2?M`2U}<5Y4zSkt{jN9QZ^XO76x?liI7h^?w)MNCrvrTqUgKN}Y4+#b|V zf6IWsGUmhR5-hs?A?YCD{&)+v7#qH)kxyH$RwNM{UQ#uWCkgXS-oY+#Cm@JP3_GR^ ze&cHbFo!#V9R3No+2Bca{|IzAk${kkW<;Kg+CUY4C0(awG}K{{K@KGaJ%TNL zZe}Naz<(&1G`Z&vZZLmlLHnKg7$AS|Dxwu_8Ab z0v+yKufk7^FVxB7#>pS>Cw!e5b*-` zY1m-TrY{hd$vT$Cc$YDiK=BEJOn0@ilgXkWFriM}YRHBpnXpA6im-R%Lv3}2G)PR% z(3rmc)`X0BfxufH|^?*#eh0r*~CuRH1bfP?bINe2{xU5r5pA}kTwoJtbnqZSf z@TZzXLj2Uh{zLx)lVRsa>nk?Sx%GgDS*wg2w$;v(BvYH8o;pGI3e zoDib531KxW3m9iVcrLWC4@|dGj4r_&!qniAt zX=hh&^Nt^{r;va5xJ_t=2&aZq$bP9WFUkqZmF^XGO}#fv9kaN$PvNWHTKD;^Ej{ji z3dr>PQjkHiQp8fr)~#deQU4!G7~Dq?try7f|bS7@WLl z4l6JjhN!^yhu6vNpnR`}i)*l}gVqpnbXRv3R7Z2YRU8eNfj{WtouMl70+={Sc;jJO zi`v@OXtqzCA!GbEyROXQf@=1JSWp|`?O7>XvAPgS-N?>(pFUz1;}fq7@Sp9`N5D$^ zL;>iKcC zyUCy3_g=zc5x+Q~l~X^X)MjEmDSlJ8L0fN;jk!4!a-_uJkhM*sF=r32V@(xlUe#-H@?MAzxxh#>DoN<96L#s@$o(QoJZW@E+K|?!}E)NmvQq zBEiX4TKjIje`?Z*cah^W{A2lTxiM}AMv!w{T@gHgI+55}V~Wp$!JfG#`<kC4FLUefm9z0E4&_fhM0eH?3okEW-!D!7l$@&_TS!R zlR32XZwW}Jhke4l|55Y+?b>d6aitWzPj5cYl<}3%Vu5})G_D* zCp4(W3Y?^Qtg2XWGbGI>lm47NvD@&d-#6jp(hR-}M&^8uPmHgAGSo&)7Fj#x3;6hn zOS7+q-Qq0ty=$)l=~;Cr6wwTwb=QE%=Yg>s>^Z;!fET$nwHC=$5yuZ52j@Xk#y#Q1xwG*s*5d8*VLVu;DV<5>p}4coZVqXASkmue`1< z;0TYhDz%n(hVHOFFLE8A%`4fHD$7lh@ZBXJ$O-VKR;tzg3LX?0w!>Fyj!0bh>PCaJ z_HT@4teLyn*^nBA{@W#Yb zK(~IH#5i*Fg7T}7G`PX;+3D4ox_!MbtllaIc7N8mK}px!x>Gr|2z|=3JIQ6R9(Z+# zZ_F?N-ppNUAqv=U-2MUF!*+n#gg@0y3~7*K7dx|cpV&1|(BIA}}yzXm(&GRiS zf3B=1LJ71}SUG1Ud{kQqXpQJ51o7>s7oJGi;Qm#+^1DuW%BW^Vaz|)VOmyWz6%W|I z;onQgP1n^4ivs=yW0EBGin%ed87k<9qp6L&K~;kNQ*JmMuWtBe88<9V2Pw67Caa~k z;2(gi5uJ4f2FpNP}*D`My((nr0@R`%GA{j=N+ZjZH}b!1=Y z`EU*0U&w5qq}zdO8!_G~Dz-5n-8fKcFIKN404+5Y#rYs>Z9JuA>+?;D{teMh8)@7e zf+Kz}nrDQ}0&SXLS1U$15p}ebF94Ks-NrvLmJoN zT#m0G29xG(5Nqtd2$<^Updk(C>uj|}k!$MdJ|w-O?ITNm#YKLEolyCv`^yU*!V88D zQ4ZZ6yLXLO7dbAzIx*QX4!1@CNeK7kMCF8Ae&t0E-NG(8+n%~DtYxlr z>ipT#uDHmzr|KT|;2$07tB-S904%7BIDF&YPC*cMQwoVS+dOsm&vU-!I+#Ct7ACq- z`dnWxQ^eFCnLK2cSmmqaTZGfTCn|I5wu+*HL!WY(V&a1zvzZ-|vGrRMZ_mJOu;p$) zOWp35e6!DNz2;=6=lO;+xkcqeanViaSB7EcK3?)+QO4oMG-!b21I?~c^Pj!tbv{Rw zR^6C)G|&G4zw|6v&$<>X5oj|S_Stvz_SgZqIjca>gqsraYxFYxg1!54St17*NI1A? zvVVvkz{BAfXw8!{cg@IWCiBGgSGv=ozjeM{Nb5R4-v9X;egB%}#6$ga|0LX5>^|g* z-Ky=fzARAuv`<)Qr&a&=HOqagiR-8RZVMDVDqnlJwEK?Ka~%CBb!<;%^n=2&pL?h* zX?67F1;wbbMt3f?I%-l)T<^(0*2#}=y)XM*f3wW@sZPVfHc`jz`-qk7yh8Dv&ufQd+N86%Wb&(`fB?<)o?q7yQ+mn`=SvYE_XOUkI;OuCh%1&~w)X z#OFIE9_K{M1_`^OXaT{~#v+ZrMt8{b&;$hd3G?mN)Xhp!uCx?w>su22M>BSUic5E% z3jvV=kHUTnciz2ZRr|!@K;Xm2-jmN`?>}M!U#d@>dO7cL!ANpUGtSge_?>>1;)&q9 zW*bvFJiqOZ;C!j-;?E%ZFNER7tnH`V|s4frGwlD=sncKMiTS+YQA38WVf8c)u+ywUj zAU4DQpcnkHp?CH>shmJ`a5e_gT!9zo)@KK*k-nw|PxFe-a)ld7>gH$lO)p zQ@8^BPN&vqDVKR-Eqo#a?az|!&W0~P1IybYgHY4FXg#@~Ufi|a@2XBKjcS;wK&uINI1V<$TLO?3 ziT45Ch#v;eAy%NO;THejz{q~Ed})Y#kVwJuc_QJYPM6z2y=K%}BfLxv-ZQ-RZ^8~G z>8WDD|BoVP1abC&31WDVf&CLNn$@Ur+>lImAAZXlfQimts}O3Dogd60Y zao&yqFz`|yO@Nu4K^xv*!=Wc`znZGxc7px(6NKdo{F{w}WJpb`0-mJ`Lzaw_{GX73=Igd3(fn z{HprL4eCt;_x)4hv7E?SVUhH8^Yq;# z_LI3M)t;)&Ol(UyOktTHaWHg^$3&5A6yB`Y8HbDwB)GcmSH{3Qy{BMZFqH-s&ztJ) zT+EkSw0n6Z@G!{Z__}fPK;U8guY+6K;3=c;*^KyIEhW2>jtbg>AuJIS(Y$|ci1tm9 zCWj}Zs4fEqo%DSm)oAESiu8QQge;zgJlTPf5_;dNnLODxK)o04E}cNSX(*W!BEk^W zjMHTvta!pa1HCx z1J!WqC=3&$*rB)dny}GmlR?m0&Ycx=sSk#=6S1okS(X;%%N`OyE}u&lQ>=qZrUQ%T zc=+)Nu>EB;_0l67Id2%mj$)HZ8O#d3VQ76&>?FEo6!Ni^he;pD@?k%Mv|Ju1F%eCz*!JHr|{`6=u#vy=WTy9{!RqO7Z^{ zi$j(^)Z-2#sWMa(<8(_>c)urZ{yVKR_|@RX8lifZ&Wy5}}UR=|q&aTG!~!-<)u6$qzoXc(A#Imcv2mHyf~8&0hk zSx_P;7+x8b{@1MaiCi?*JM-V+nf#tux-vA9f7OWNq)_&N5MI*wbWyHQjjAcM$S81H zdq;QWdPXXOEwUfvrmWtG3VNBR5lf0|ZP$@Hy^u(4H{P<*+Gg{f5cZX}Osh~|yT_au z;MN6Fknr!O^7A$AWm32{P-L#8@lDz4t}pYpn?E>j|Gd4WS6jwc@qbq4jiTIm3I>ys zhMt!w@J*~jY}cmhBG;}jRNWf5T7{{fJ#@~I}vsvB4r*PAc=ADj^u9^2Hu0z zUY5_897m45x^BnK^0_+Y{<c4H$otgT`%g!HY;>0t)%R`K~LfWgH_v8^`BFjT95E z%h^l0*E_v3akXAxV@Gxg%&DO=uD&VpgDpi%h|vldyj7I3wcnOdBre!QVykB?44#7O=eQn!0s`>p)Z+nrEN9J}6i zyzpblDCJJVX6V!#Yxg#6$1bhcJKBB#!U7m;KxiTdS{S}l>(Yqeea1@CI)-xM=YE*AShAzcUhrpa*;#fC^ zTla?~<15)yo>`G!|2EX=iYKy5Ubi+E*IuvobE>_bWhCX}aP%E!?U?zN(Bx*?y+EW@ z-W@ZdfO7E{Ea|+2_fd8yS#fcyh8LndBfJ;h7I8_3I4@w>^5#uf5rJ`;PwR8EqyQ*4 z3)lWEI>xZJ)64kEtjC}!_Wp5e$WW#9DYvocGQ)JCfy1a&+oPqY6P4B{aiBP=Y$_6s zBPGc|#RKwE^-#2c#P^*2>@#Nbb2i4am|EM&>4hqA^Udqc^;yXGVe(XL@)cqb>vZvA zCvz9~a_{Va{6IrSy&yd~#J6qLO?~!u(y_YUb#aUOJZ+U)HI+BLms&L@@Ia_;e6t*U-M?z= zpBkXH3hdYkev_q4^1pcfdyNZI;eAWgc|m+RHCg^(B&Pf}qOQE*&C|GH#ZdC{`KkXY z(3c}`|9pB@R45b-NS>;-@ei#MW8<&onD$9;Vz^CO zybxfFqkapy@|8Hgf0z92`C>=N_i6q}EH>}T2`VObFo^13xSN&L5oqR3q8e|lx>OLo z5;}r*YFm{c6~HlDOApF-2~7mhh;{GM(Pqw+Q8PV&o4kkMX?ia`i1F*fv{^$w$)T0x z5RS^+l%sN~%idO(;9ja-qR))5PqPt-iGm)B0HMAUG{{4LKzZVcBMIcoPd?||#cZNc z8fzb*G9io)q2D{UgYdp);Dta27R+)R0X2^ZC#QboYO^CDV8%hhK?(Ox5)s-NrGqEY z8a3w{3GxH;j_A)J5wKyb6FOG*X>fUP7^xmEEqC`R{dJu6A>rx+@QY}$XMyBgQAKh> z{_=(O3q@s)J*!VGbuZs-9dmC-7E^@j+3EEOfxyNnhs6_Xy>=pSD1{-wPqM#EOcOt0 zF!tAQZO9|&K&=1ECHiGnk?3c=BSM_JPY9{o8ld833bYyOfJU_+Rn_IwYxLrhlcnuL z=8Xw@ejxM1YtcW{dfR>l3AVUaVXdrW<9_5_J`VQBv!6tXuZ)NUL7-h_SUAygnYfTr zfj;iPr>6Bn@NeY;L(FBLFb`~NN}}cY zdG3&sfsbW+{Ac@~yk3l)W1M#eaCg|cf{rv>v> zLfPR@Ov605tkW1Fs!(=KYtmqk3{V>$WQ=$jIp1HrAP09Dm3Y}Z->?Acyf@IIR^Whe z!W`y-V@<7QZS~!w5wrrg{1L-J#Cm}l;+}6<26cY7XHX0M6Xfw7q>sHEgW-S#$s(dS zt^CB#0!hhVD}*|;9j{hGCy`F*FdUGrsWq+3zI$YW^l_F?FdWFOsnxCf^8F18*pN>M zF&xmXJsBYb`TlAJIVj6zM9X;Ap3D&S&rc9g-vUQYpr*CXji+c4l#|dyv8QIcT2BQrzt*pDpI!}c^n!b&x8pv^O%ZN-R@fO-VgN!hvnD%!4J_{NqtHJ z@7|0{DpPMMH1qJ3)C&TRWKx3$*{B#+M;dQ`m`6(0lrR)8TjdkccNsDicS%wRxjHPJ zRp9T}y@d98{5%K^o%1-310N=I#{g+Vhv`Mn%ncMn8 zig7PRr%!D(x@z0@MZPQjvxR|8N9BI%++Z!Z(F_LYC*b|L*3wir!hLw#AXp^Og^!ve z5Rhpx3NL!mqwV-A)<}LzNAq<%Ai9JR;ener=G}p+&XRM|6t^M0!o*VF4Tn@$WyU;ncKQz;{QwS$Nwyns^{lIFid1cQcI^@Vb^+5Fu93P?Ts5KDWr(G|Z}c5% z+@{E2UeWz}w{LVD$Y{1@4oEA&E%Ah86*mj=`$r~;LVe`d7L6Kmx9ByOIO^Q@{&Td0 z7~lx!CP>d0(TUA5;EAb|N0&9^#IH6qdGbo01k2+nx{Sh9R3>&*Z4rcGA7He)N^ zsq?hW$j|?CrAcbV{LSSV+F|b(rbOE_=8gyiUkOMx4lMT&FUi?{$7^4G$380)c5u65 z5=*!17Sh)Y&6c;;Kv!Ze(4~jmBh~&95A~#yJ%U#}b8s2sLak6lUG)_uJ=HQzZu z7bIgpDOT}sE{;EeXX6yhM?OS_bcY6!r2o~X1YgMo^X3tuUCD9PBh=oPcXNp{oyVwP ztZpYE;7%VJ$Yl8{Nc=#?318J-wpb+sofeTWW1)C>4+X2PyO<<+Ql)RdL;dYF6io9^ zM1JRaM-sXC;Ihi!G?!1c2jgPvnsiE(A=Izs+D4dE=e)+5v4Wy505Btaoo!u%dt8rEa0BqMl9ys=Y^ zitm@Ft`kEPiODSG8JhZ)N9{0!^7cu#fjt*KD#niu1&L;xRKEwm7c5S#K6H)jm8xzY(ih@N)nZIOJQ`8NNUa$>* zom?2Jtk6Ghx813=WYKld`n+MeGq1%``&-Xu!*=IMi{(VWnzn4d@?F+Xd;8y)+C`c% zF3yP(3$(ed3IPk8UX!3cbLajlS%GM|JCJI# zOF+MCMlF3vq~g7n8mnZgSyy8C=9pr3@{O=LBGB?X-v#LWf>nCBq&>b$hvu4(^kOzCuI;Yv|EXDV(xzXQe6i^wUcqa)L>R^`K0e{c z!2v@RhRN8AyiL#sjMKiNf^D3QRh(LcHd0RZPfl6fcb*g;8D4lkZDsP#kpynja5Q9g zARyhio$M=?MANE!4bvdZ%(roxf7ZPHBHoPu%xSW3e)LcmDdHBtb0c6N?#lBrYcCs= zB@z-&g^u>htw%nDM*c(HS_n4-8Qj9r9z}XN6?BYih{`-*-Pp>8#EAhJllFpd&L9aokoke-5+eX?5(_!xmqaq}y>+&*;1eNt7?GPh?+Aa+-U>>Ud1o=!cR6xp_&)gDAq5fG`K#-J z7uMGospLPy6dzjj57*iM~B|cuqGl)rp9=&&q5c;Sj;Su_W$aHqS`<#^U2~DmvtfWcDWE}&m z=(!4mP9$fLrmcJM!wu@HZf%krjz9$PhQC;oS}NbGAD4%{o2U{|myLpfgq z=r`QwvKj%|c?uL6v+nqv&;p`lMgeQH?^&i1Sr}B6lMoxb)d97cn(n_-5Z+e?)r^1y zH-4kxtVYnQiZJlE5Z)@0FD~YIE=hU^8|TwMC7A|$S0P&(V~z)OFZM%UQ=4s4n-N)E zP!Yn#)Zcet?-2cdlr7{a|e#!iZe!G59ViI6wV&_#UUCe0qYrXD1=O&pjB0b}a+YqrxG z@6q61#)o?i^Lr2X3B&Y*(tU^M+T)$B;$^&tJ-voK;koRdM2v=U;R-N;ff=|Di`Qfv zM?=D}y&&lJ?2$GOZuMgG``-+Bf$(H8kSKmf9f#hRj9M4LN$a-H8Z|DKW7DmVmWx3+ z2*bx`--CfiK0XcKWN;??#;XTp zLiRrB0aI#t{)H`?)hT2@Pol^E0a+UOiV>mdl?5Y#xP5z(pEh;Yt)v!?aEY}oIDRxY z1<$0NrKb%bd~kf6c`^R^Of)uM8onqRj<)wM0%ptQ1zN|DOr+1#VyON0=Nw)%D(CSZyoVct z;+cCWSw5A^PyHQ^_5z??>YIJ{@OVlLB0H^bAD1xNp{c!yp9o6t=#t`)G-{irG*bKt z9pk&Wk)=@&0@4U{Y?mU0B(VC(F<2b;!g~;>^fw!gdhr0~s+Bw`ZlO`|Wm&h=;#8`! z?>aPPK2&<%{RHTe2(ASaQJ}>kMJjV)o(n5!JMG~iR6R0rp@z}E!)A>EWA2i){%~!u z{5XQ(NlowwfD@ooLHc1ce}_P@QCV*qE(LqAwL}Ch|29bkB3C`((3GkMuIZY%Gu49y zSPKc!CL8IsM&(#d0eFg6ZgrqmZyFKd;1DT`kU-= zT9P{O-9;o8hU`L+$Dua{koU*tK~JwZYHk%%YTG={`?v#jR3dE@m$J)v8h|SWt}Ygu zA<#rH_3QvDh79Q^XqqG7w~x@bjL>(C5PJ7XkE44ue|?cSwRaM3@`Sayii0%vb!IIVP!#3td6SY{6)>R6^X-VEXI19U38iQ&2RU{mzpmacr~ccdM1ijn;%#? zRWRJKPOkOgRXLJDmSWhlit0Zo{*uSU$7kgthw?lj8;w)5^*^Jhlle=Gwp-KeXljj; z;flxIbdR5!wdfke1Sd+rb5_ji^OtNkJEV~ z04Wr+J)D(u1JW%#UUkKW$rT4@<{0kO0G841_Y5+jJ!=z&`3l3Hg#Yts;R0Mrh>r*O zqDD@D4_Hl6qI7VPwwDxiY0@r0H82|fi+CaLVN$qhR+BL4c@DjT(>jp<2!?wt@u4Wo zTw3)PUpW_!;tR5^@2Nr7pcl`o))q}g> zdmyJ#KjXU^z-c=DW9_uHzd%N2__YmSew}{He-}IlY{C0&Av>I1Do1#Mw}D3gpsKTs z_El3Tk_Yld5K&)ZyNzOHeSRi&Vi#2qmHB1q$DhDaK0cTwJ|p{d+te}!2#YeIY(V!6 za0|WU(PG!9W}Y<9-rT<%o`GtLTw&)3=StHot=0Gw?RMRdC4CfzA>4BBE3j^Hi09MC zO!2LbO|G$rgnqAUR@mtZa1_BZ9s^2t0T&$KV@- zZL!rMW&QMuGD4F2#Ev;wOhc$sFvsj23Cf z&e(-;t9(<**x*kt`@sI*KQO@9*{4K+*u|lmHM;I{!`&!- zE$0B-Vs1tF>0UAHl6<^6Iwbj)q|oR z%VxB-&mKO0bo(`@hQLc8w(My$JMEG79NF;AktOh1?B7>_w>K~NVl91pVkxrMG=$$o zjL0q5v;aJoFjGwC5>olQ7Qw{23W*8BU!KXAKB|kQO-S{VBSQ zEjaAO%M3^!VJXLW$X~0rIfE+8#m=J&qn@3S*1isZ)Y}`;*(5>e6Nu7b+sZ%0hGkO8 zyf7nrvBa*hC8NA-t4is-aW!G85hIr2`RDpa#2IY#ncD-|k7K{s)YI3mw;UBY`8N`D z-3>*oD0sGhDme2gk5<^~8oRq9n_hP~>ML5zD)9i{Q@4Pw+{Utvj_5gy;i6w5JDybe zg2`WY);dofS|ftZ3Vq%BPJ8D!y~%$6joN7XA(m#U5}sKZ{E5fzL3$EBXmR0t%*&Ud z5t>ZB;Fw=9hv@hE>EvH+Tb*qZ@=$g=&Fwj$dj$5O3x;eQSRZ?YNprjBn`+(~!0~Dr z^Aj0zOuL(hma<>p?m~{T8Pp=lj(lRzO3bhqdO-af6fa(6s5%ib#j;yGm1Q%`$Af&~ zQX*{?VYH~Dzot>f82InoLZut9G&T`=Z+5q*nFOKjs8^5XqwEe69@Of z_X$K8i&R>K{k1rZ2d6c?;0=4qH_k1GRxjgTRhFi5zZbpU(B5Wjj_R*!@sEOu&9H1; z3+=l+hF@3={7wQ!c#9dlZ25Wc%;ZlKLi0oW3KUTinZ0=?&#XZnRAOyQs}fr^gVLE{ zgOT!tOA9Lc8IA_HxvqTnQnM`z5EVViMqQXVh4v?nFme^p>e%bk-|{6Hb+uwfI&$sr zFJ@q*`~A5I{LDmK2Bo@`c@`Vrq=S+@0WC5~fr_Rww8YTx>Z-vk=3_=e0?=!> zZ{=Q1)Zi6mZ<)zy}JKHV)nTT-Ly}iia>-5j%|FR9na&##PvtsXj|x)6yb{X0#oeV|n;>S^#4YgycZC?)Z;KIi6yDX=w3%2D z;5_{Oxs2qEB#RP?^yq`lJh4N?y|xD`Y4Nhzi*H2EWz5p-JL@mT>KEFkzdt}ez{-AR z0|T=M4H!?2Fh3TAF-?)x4*Z;|2qJRjcOYYBh#S$L?|vE_9o$J>D>wk7(OS`;3BGQ< zCLVaU$Y)kc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JoU00000004*s00000 z006K700000005T+00000004La>{Ux|)G!d<1qnnz2re8DhdJ?(wk6q$(sE*{Xa#Dk zrCaehA#c`6jT}4j0|*!Rx7@iQ9_4eK?e3v);s%i-k3H|tGoIt)jsWSipj=A-u~V4!Lzgm9)cr$?ZId<+c9_yRQf&{L760^go5bLsZNqWW38uCTU+g zk@M34=zl>cZ0Wi&qC9eRd+QEmo159nFwNFzBpo-5wzNSXF(ccg%1KN1b>eg|jr;8;_}G#wiF!8_9{0 zL94-3L)yFAJ1T_amI|XQaBx}>98jSRFLmga> zZGzF*orRBtS*vrw9G}rl=f2{~MM>xh1us?HhE(|b+Hh-SL)K5<@Z3@2bQ=wTtZW;2 zuGLILG`dJTn8z_D+C_j02qzOiwYd>>5T;RSI1kPTbKLiEwYVBi+a0_M%_U((8S!Ry zEk(|zk`va}16$ZUL1;k9JK>H1EyOAja~&WD=BSxpJ|0CR-X>->4AveM5{n{PhBjVZ z#N1NFlrLEByaATeW}Zj5bXaMRk`9Z2E$V=IL}J8;fW5HJh^cql*j1vjrBOC#ki87T&ZqH{*^8X;+he?+Uu%P&+h7#d4!_F6H7ueI8mROpz#23$@^VUOcS7 zpBRA6cPckwX5#Z4by0XpD;OuZO<&UR9;L$vY4#x9Ahg?N@Y(BK$N$Wu^u47gPiSqp zG8K-Vb7N5jtwb-ylC7<#s~J7=0>BN|hLo<~&4zc|ww;VAqsdcx!Kd^%)>>2yBjf-L z5KPfNtGX8<46&Vqjf2r%6uXAyP}eJxzvYr1D<@p@2l1f3%_zmu1%Geiq3Pyrjm z9w6D9oA4W?MF^_J-7(bNH5jUvk)6Q+C~mfK*M17W#8A_CGo z1PCC#6GAV600BaW5Fii|alFxON z{p-yBsljCOzB@ZqHyd#$fxoj^f>XX&Q0|Nth0W1NdEvO;+vPRh9I}7`MAB zw^bUQayZFkCX&kM=eHDR~$rSQs0i!7zd;ZvB1 z-a2#fwjZnBW1UxwzW~1gp9*z@_(DUz;K*cZqhkQ+Y26MrAE`?e3lKkLaK0ek*ZjQH z_j(-H$b|xN(-B-vqq`ZG=@+B)T87d(qb(oQ7qDhnk0QmSFUUr!obPdxqMV_0jBPFneVk*4+)@S!Gw>j<8BZHmEt9+nploMsKug_BAr0}&0Sv
z%CK9$3;4`x35lB9DBo%lQ}|2x zDZ~y!S`YgOln;xy$={kS3A+pmpE%)iv#N?RXPq4qmL-+L)2ZvI)BQ+~V(LiZ6e*0q zB%@>QoMyb&F-w`e+w@5?>O4)L>6>)~93?!xB>1qh^`5loZp`EQ6T|C2)r9b+CsKJs znU=S*dAYXKHt}{RneV=<@44)GO}eSS>@{AJ9!gD$1J;$aqA^>KPVc{R(th@?QRC2|h^Y`= zuh={=x&Je~$xfp#0 zU0MkP#jSIdl~`rhVeZ&kESOX*5$rU_8h``E_0e=*t0Dt$5r)hr7x2BBYUzz&ycuch$}A{!vv}QwS#@seD)QcT7^Mw`mdzwJa! zT44FT`pWJ=N8OTOg@SmOyr3r5-D_p8CrY+jw~JPq=*Zn;zLyGOjNjZ4!9XQ63uEFw zB?8{SJZ7)Vd(r>0&OKR9N?R*}{$Av0n|)X*@%}ITFFt!xg|mglj?2}%_Me$A`JzRA zc;xD=BCQXlzY4caII^0n&aTV`!?NL4C1zDpxX|X7YVfR0;H-XOfeP@tdfvd*rL@oT zFH>$|ORrYHA{P`6EjM3w!(N)8+^f?$PcG&uho!?aUzM3X)7Tg>{K!M1w;YD-Q0JP| zpK$-o-|x>WA{DP;%u~Z}OXD@ka)e^3p0J^zl?S@X*F9xAGFv2oqszt+uGV+9!=S-O ztg(r3T_xH|X6UJXy?L`IrFYX1J67?YX;`;PgWWtSwKmd@EB*0A5U-x6Q?d)Mv#t2b zIXmF~?SfRHtl8KbDLop)8pW0_LR%e!RTjREyAzg&o!i7sgXOfLunpQz)0*Z(lKMn8 zHiq@k*3r9d?a$YU)l0EEmaH)RocY-UU6Jo~6=4YcEv%JQsC`h^LY}1Km>c4q*Z!L% zcl<*Hr%1mASo%&F6znbZIW zh6Tk)fWWMZX zN@QMY*s(dwcP|F-J`>^U{ryPS9hXvP%^_!gXn{h0prj^V37OHNd(Y4A?ROEkm^8-E_CUklM~TkGo}9WjTSCit5#U3X%ZDA+Fxi&vaX92`3i|)2MD;xU2x*)z#NQ zba|6yE_`t^&c1D@?49b?i&@=G*LqD4S68|kF?iM)B~zU7QqK2XrTMCd`Gke9v)jsi zTgw|ggV7JV*joxU5-oKW!X1OoKkpg7dGP|p&VIql_x9fFu`VuUL8*YSmTbpyji$yUjr3)A96eS z32&!!f}!D_x@_E8=z(bti%$7K1H(fU00SPFY=nZ@vuNSM7B|R^I;>y(>z5;=9d1od z6+7LxY(G;<))@s_Gwa{l){|p_H6ehJ>eC#HB@|`%{k_K!a4Tb_ATe|x-qPqv9?dz% zu~YOaQLIW)rULGC&bO2?KevDvb^T}~D^xWa8Y2OZRk-mZN%^WTNM?f{ZQce}u`x25 zYIrTaAAXX?SuhSx6|HssRi|9l7Azimlq7=ic>pY}0uydTTIvXAQ`P@^LpN(_agMnM zs}nhY_Z3k<*+n6QvKk0a+#K=JSni>sO-Wa}^y*p=JD(2O24y@k>*{3Eu^0AsD$8M#S;JEL z9aaAN(*p}j5%YvjANuzTdu5+rQI`Tqw`Mo{WKx%mGoi%=OAqo=aOTnrrC2F@pz$Bi zvCWNV(={Az-f`sCgcRI(s|np4L1?U4|Mb;*Aq&%JDe_K6jgxohTpqpUP=HO|2RBYG?K+>6v6!+le(uB}zj zJSQJNYlNcjDw3eaz^{*&aI<3SK5ZV2TQ2;&Om7I}4(kMR1smnKdP_II+g(;jy7L=~ zQEAcjAK4Ra9C4SroOd|O+4x?u2lZ*lUCNDUdJS45dkKH{)chSLF*tm^pxE>m;Y5T= zSZnn#Hd9LSW~TA|WYmQIDG(y-TUd;x|BZo%e**r7pFMfAN*$V(WAZrKHd)(1_(%T% zAndRd(w9;2qFBp%&4sI~^%4QrS~FG>J-wbw5XwZk&F#GlaCMZQDOu2W#8!TONF#{bGo`vU>K%D@@&JwgrE{rkQled(NRV zVl!b5%L>84t@pT8sduhi-wQanl=@`qvAu4<=pY5FY$Dg0vQN#*=pPYU$HJr~s5qI18>GG%U_Za_Iofsj zTtZKjl~33g?)zUm3(5ZXXvcL)US?o9=Y&`&TF=bSZmj*i(DCK>5GUSc`E^aRf%}hd ze4igD;OX$BEAL7T^Bv{FFYdg$c6z7U?|c7y;Ln$TYw}e+w;XY-nfetL7}cZIez9J8 zNz1k6$xFkVM`z3$(p$Hs;sxWeW-m6M53A<$0@D^VpZ@9@M;Vj%`;c3PcUokPC}>Q{J|-ea@v?g&S~3gp3)W0Gd) ztn$Xr1OIIA_iHygt)#ilb#rDc^F+nQ)VxTv@sBzs6$#k0dpgiH^;F4#8>sz0C0j){*hVk~9mm}pl4w)sFMmE*|K5w@8=8e9mJ?_2t z^M_{7(q93hk{+SYJrL4WTpN9&Az_BWpIb~+5UG3Mv}tR3#XOCydN37uqH$#`q?cf= z@85(xc$f1qCFVyTU;kQpudBq{IJ)zF56AfIh;*~MKqUf%&V;Ud=`X)f= z!uRLs+mT5wFE?Bl_R~#@f2U(_G^2?gWkUbTcfIX z2B&_t*?bZCePpuk{mDRyDMsOr*@6byjD_0r=fV(45cFjZzkTU7?tLr*sAI2}fpGA{oE=tp0FWV+aBp zCueO476ohhtLC;*!(-cij4P7QENEPd=apmAvz}g|;S_H2?^sWM+waSdR3EHgTEx1< zIxEKCe_{+l)o)|)?niRzK|DL<{rjz27qb8 z_t9gsWl|@{s|S`MO*(Ur+4f;<6u(KR=}QK9ri~09%3kELsSZH?9_)V6($*C{mLt?* zRMma%Y|WWkrv$;q-7xKi4o?Bc3Gtz+oS&ZcpRe;4ge25Ic|sqFQDf67x1Xyg!&MWYJ{{G2WEv|hIgQYMf+f1d=JYZoLFL_HI|pTVw)u|gMss6s9MN11!rN|Och zfh-O5UnwpfPeyV$hL6GaZec5LegPg@%7$e`~N1UU+|81+V)SjVv z0r-d^kUFfwKw-8CC3v~msxEGqC-ww^=zag)@W;3dq6 zUJ}x6nTp>fXP5XK)OG6=JX~-Ov?^#%6@0qTMXxA)jN9nk&E2*N+`F^1e=kS;)#mbK z^gjFMBfob=?wigp;F){ZprmP|7ZPUaOTHtq>L?e&)3?K=B0kDJvp?WdsdxE(pQie| zJ|~`8s={~Vk-_>#Iy}-vUa#!ivT`MSboKD(ioZq<0zRVvB+3#-|< zMC|SI3+%JYB(6&`%Y5eU z+ZQ-IFO_A{Be6B7B|U7L-ORoKBJ(&>fRT!`s0$ZB=Q3DYmsiA0w`^C>tx=n=7;pA} zG~Q7%dFAqba!=>1>xnJ9dHfOCL(L}sDu=I*C*W~=I-IVj5Pc1kL;@f8%}(Teuc zK@NsF3>Wv%y}Vr^dpm+=B{FIgXg0_pbkoQB_*vx*skxIr2^@A*xh325(#MrHj}G!v z4xTRT`-IHaBj8a%@7=l{y@*QMwP>MrSxMD? zqT8rNb+(@RKI)+T>YBdHvja*haw)Rkh;OYclyxdsz0TXvnql)wa_iJk#P8~U3v9D5 zAcOjqf#_gp0R>ne^+F&|%{?_?xV^$}#&dPw0|pQocgR0sFS?4zN5(QXa z)=qo6a9?;00O)InIE|)4dc*mj67@1xUS3GHRyb5TE9}R3sg z&hFop%0*F5jCND|6&&?k7v66T_+ro}2S}yhJXd%laWQKSw#&DoqZsdeLaOp zyU|Lobs6dF`P~LQCU^Sy#xyf3<>rc0IQW?ZMJW{A=gJJCuIHf|kxGehuWX0@4lkJ0 z;2p%PtD55i@?b^L$BPxmfs1n9Zs2GV&=#>n(59dc*ge+~u55SU?h5tw#;7B1Bp7V4 zlXaEqXu}G4W&b4*C1Pb6qFd>EF7XvNT&l(9eoFQ@0SohFs1>t!IYt64Z9fRGU54D@ zl_7oW>pW975P6O(KCp>D2fCC$*&Ib4R@SB+fe+2e(SSG4nSuL!VCScg0;a5ExV zy}srON>jmC-aIVUcyfQrx(RijC1e@09fyuu<=`tJm`qV!T7Okke$J}RajiT%G@)q8V#u5N}RY&_0jT(H*KM7q^@E(WxxJ! z!i-!U-qed(npG@3Uf3OLQ|aMneFOQPCD6N0W_`EG#A9CKHU?5mU|!EsdJS%bti?m# zqULVhG2PnZE!Id`a<~`1lyy=y4l;^=TPz&oo~>ujoJ@Q?9S_l(s@@*>kpHDQY`M+g z!)B_*2t4SndGH0-shmARJeuFY8W#^~O6ZnBEeBVxzEYH8K4tKX6b=~_s0`medQUF2S1s|e>rDtUTz2Z0%hbboo zexY+Vd2*WStN`bUa_2bju4q^5?3AI|g0XJi9@AL&#Ejes3ttB?LC2W6yAts>!|0|v zOWxdL>-Ezh`#)=gvwK$I$jRMHNh-NnWg{DRgW-qj8t$(94}80SeX_qfvgIz1s6LyR znB!}^lU^@8_glA+&kwQ^w~C*&v|Ei!H&KKD9?J+FL+MZDp?j2%()uc}V%lHDU0gR3 zRv4A<4ty2Q3NYN?=Z^SH2rLzYedNF`zYnR|?_It?{n3joE(>y)Qf|3r;WPFf46(8j zz*daF0HAGUak;+3-o9_oo+z1|Yt85`oz%R;n&>6|YI#KQOHJVUuCPwdhwirT?SB{s zReqI}d$cIs93tZW%GB4c6<3L*@0aQK3d^F==}72!FwiJZHk$l}vpf7>fvdR3z=~U&x1<$5KiudpC0DY%njsNUWwVX$V~< z1hv>w7dSwCLr{rpN^U)yf&2Rz0#G^M0GNIkZimnG$yA&iTIKQldhMBmG5Ra_uD$&} z`4m_byQ$Web4bf^T+1c-3Z) zAw@ulxSr>j8AS>jydz1|m_p9u;au7=;?=IO!`C7r0C}CZ0-c3aJ=;(o# z#m$vL0n@e9%ol)>KW8{sO}yF&ROhM3%6mKk}+OjM+f)W-R|i{SiSAnpi7!X z{#lViMl~*h5VyeNn$`TE3x_04syy&7)uPs(qhs@mC5k@IVWEfud+xbQkiRud*t@S= zrF?;jw*g5zfVNI%nl!RoB_F*AE_%t2W61=sVD)4`^;yHF5PwmqbsLA)SQv!Sh#hnf z7y#|-n`$zhs;Y{As_ZR2XbRh(@68uVWC?7Ae92xcY%tmZozg{?{Oy&W8dh-fm&yq+ zqwSWU*ic+e#D`K$>29_Epwdwrs@e_r6G7T-UB}Ufy|b5hU`?FWm5siDM;IB_Xq;If6jo4g)PA$IupDzo79uHo%!Rfd(opQ1^5eXTmR&ykkytJ4|@OusxQao)ypizaXt~*Ni6lJUk7C^16Us| z?G7Twb?oPF?gi|rX_Sh#$b%VwZ8WN762HPAl&xv~!u*M~c-XY5OCvEGA#_Y>Q4o0? zZJ8)_RKsgWIG_F<_U#S$6nIXWGp5PNXAZe(t}TMTaoFA^Y0P{9g+*@?aaRd18F(>I z4{?WXToc4WhpDJzF*)pae8$s{ykcoy6AT;;te!n{tR@mjn;H$IQyo(L`2_)@23Ou z1FsiKbz+2kS@2$j;@X-lcw5PIB}NY1y5SC3-{a;zR5Dx9k_FFhOXN5009KC$v7ErO z0)&?}>dbzT7E(ePy2iIE|2N;lZXOJxUtgv{JdFU^XD!E7tR)-M5RR{#&aO_Hjzk2{ z9pm;oYO^LNpAoMTPE_eCm(#SbbYhNU|nW|Sojn##A7NRuFJ&|)6oNeImRokY%VV>#v zA|m7fI!5)P4)lyEfhbHZo!@wwatdAxTW3Ge!yGN? zD96D<4K}Y^&cCP^LCt}1hMaXl5_qjT+pKk>KXqH-rhAS`q|Hujj1Bc#cCy>~?euWP z8rPb;4@-G4I8B9KCT5a%CejsJh@Dzs3l`< zI<*>{oL^b&7K!fQytXl)k1h5{L-P&Nqxlu|9j1BvJs%%;uad@%yq^#6;GkW}Z>l;! z`xX3S>s|w$)d3_qd&|tBK87>{UEL7WX<}O3S2QqVC{#4@10mvj5wR# zR|-L^RRYY-9<5JG@V__%6*Xqx@A{-X!kwn=ZD^!pUbkInOq%&a5!x`EnTk&Uyslfn z;E7hugXu}R34sWe<>Ounw^B2y#i0@03awcYanD*OX?^^#P|7W|qEzEubSZ8cFx%-b zS^xpho+7N3m-jcyf7LXVF|V~hu3$J@Y{n_$=38Am=|5!&=L z8-rWKkef^qN}^j1o9>Qxj4z z-zta=QdM#;mrrT)AZ16p|3ro!v(68uhJgcgDm$iw2jqmot4GM6^=6GawU#w}Ih5Eo zJLoT}tqfi@j1%byeHRzesnx8$);-@CnK)t7d3_zF9oM7_ zBsxvM!|C))|K@8IhMh*lx2e^CCR?R|Gfery(VsZUJ$%byj!zK~wZ!R!xSzloJRfiY z`I;BrRZiC;9pau4+8m|%X?$Ow?QN@!pY^hI)TtFdH`6-%)ss5?TY)e9|Ac##=|xtp z34C2~ATyfwa)*_Yy2O&Par`xGa4L29m_~n&(e3de11=lN8^AxbThPc^+12h3`?D6` zpzAR0#01cN+iZOvKN4A6#v5cHFxxsqRs+x@T8kvhW&dEjWo0^ zP9Sa`ITDq0?o(G!5L5Re4gz3CdmLUKl3A>*>f9-Fn48}>c9oG1Ne4tyea&q zz;7^mMe=r2#>5Yxn+I}qrh)ljOKMk00C z3Jst#a&yC^9C(Yi_o_LnzP*bA-d~w<+yaQ;C_cjJjTw$_G{RuNSr)z**WJyM%;9|w zfm~bdu<_+&PRbclKLcnzRf3nqGGk^MUhlTAp zTc!9qv2$%hhDwha$xeVyG3X*&dV4QpjN z`t)h(Ad#cLsoWnLxfB7sY*cuL?WEROzp*wa;Eu14-JN(Y1z9ilKio4Y)AsRwR3g6I z30!}P;zGrZ_27Eg@72709H} zxZHy)vL(V*t9GYe0b^$4X{fYefL43VU^Vpc%#4Kj3z2(SaGN59@HiQfH@x8(=ub)a z(4coADz{D9D?7=vRk=BvGe}rO$Z@&hOxC}ExA|EgOXY%S*Uck0=iYaSnu}z8L&t=5 z^@F)LbfvW^qap12Yf+pUUULn25fpODqXEZuMUnp=&=pe@x=0s<_IbLm>Dkz(1d(?~ zHJO(X5;)*3p$bCHH-%Vo^HG@|hQfSi$Q^iWH4&AEta*!UZU6+Z=hJc8)+U&PkGI|f z+5C|+XoF2~fBe(KmD%0ac<=LRbAeIBv(whh8@d^ zJH=|j6l3Qm%l*qmu0q_y=jU^cQ8ksA>aXjfdkI)Z@G!yIAO4iLp085t265U8=X`H# zgf04%!Lu#@!ZMpW*t!26eAD%BuCR8lP$Q*Mr5zRl`ESXVLQpB=SY`g^FP-o8xg zU>s6!pKD!&?fw~7Dip0o;nCUZ!F+es!x!}+=jnFMe~opq#sj(C1Oa=D`CC5Y%2H7v z0q8ZLAv%LQoZ(Z2#C1(a($3~{YE1_N=J2eEf|d-**#+B8s_hwsg!#L3=Gi-a`csD3 z*W{ppE@e&iFeiukpaYxxId^WiN(NA-HSApZ?z@Sce2w_5;o8Zc2DP)GZ! z$)1Bj5XKiT&!*cepcyY5)tOzTm-kIqI?#K%0ksye5ml+yYQ9W5A0)h4s{!4c@@Kb$ z(MrZpUm{FmE=;)CF2_}aVc;!$uC=U-;k|3)0q{k7aU%~SH3#`%s>;5KPcO9u1cHby zC%eB>MbERtXVv%%$S+!-BMpWsHu*9ULPn(5~pXC#jW|IGY0^V zr+Uh!RC6tw>sW872=B znaK8Z3A=F~=$GOSc}%O6Z-?VvCPG$0*Y^@UP-Pq%*nesLzt-{(u-SH9RxEtiy?pKr zsNL&ZX9fAaE%q0)ob?%LNpZ@N7R&YBnXd4?%MaRC_&i_=ouxMl-#{H$)8TP13WiO@ zN7M*o=D|?9E9^9YAm=Z7$R=D@cDvOBFV`nODdacGFKR$%Z(0CT8t{b&k;ski>Gp^= zMP&0)+)F1q9z9JK3P%ew{l(sHiyn_Sy{;%Aglzy%TM7v6su*H`)<`6A*Em7!)Xr-! zTOWuz#>*Nh&qt$khodJ4$`;tF?#~!YE#A6^eVO`oRSih0m{AotL&`(jJ!vjk`CVHf zzlriPvC|{Ch)=Nj81te-?JJD9&Ya+UozcZQqCGSBYf$VIU#VLcL%vllRB%5$;v0O= z2&xAp#)P-VSb{x-c*|(sZAg>KEkuU>mzkS;5#H$pq42{?MA3F1;s?mq^fZ(&+?zW6 zj%{G$Fuw3zw%cL*GSr%(mXKovR_<4NZB}Y4)1k&Yu|=!AKGf#0a_;OCwlF#9@@VKh zCK!9#SK)jwRYZW|R6T4`F@M}>^%!a{h_kYM&I8Ft4fMZ2E|2r1wu?d`7*B|(T`z7f zNJC_Q=HJUC&1>Yrz60x@Y>Bpp&2#z~9+GAg6AqV-ZV^KQdX%$jqL76b5DxYO@j9dw z4+{eOT^~P76$u(z7}ZRjBhbVvV$ETIb!`D=yC}{JGIs{k_N{~r_z=YB4Qs6r3w-hS6u+DPqpmn9gA;M->Q6^RB{``gA7m@Z6##@dRO zk35?10Mlmdu!J(bnacUkB5V%%7rMDVbcJ!WJGUO)O?k2@?A72KO56pkyN+kZpAW)j z!F_iA4);J|ht&eS2$!Y0xujE2us0}Ux=XDSbEsxq z_;lWia665v+UFU6z?PA_{k)#r)bZkROjZ}~RZEYPlr77#2Jmeg5GtkA;Kd3J7T7hn zimP@zo${*|%lwh@px$PQP0skb-TC7L+$U7zu(KR^$}0`%SbI{)?RVZ6!`k7?Qj95a zoU1Z1-7l5xF~QfRvQRflpE4fGtc8Hh2h zY6i}$fYX5#jRQ9vclh3cAJV;ZIvCoDQbY}MZn1+X_C5@o9{+&~HcN5_!OLkGt+Uga z(e6AmQho9*$n&#SFbniAVukW$s#m*CWKs}U4g8S^lfwfN?0dvd9w zVX5@6SF8$spl{w8W8=!+Uk^jMhl-&JS{Z$!>{S5Se`p5P0}ICSEM+ z{WhnA_1Mn-7^m;AYRB`-{$S=eq@ou}WK)DQoUYve(O=9!Q-mT5*|3QX+JDn}Qv>dE zzZHZV+VKS-vbfjV8of>7>-%Rm<}W*ZQ79q}H6~>ar8H$a0_ic$T+BC3S}n?8YO^5$ zco{|JiVN|#4^VpS?Q0mg;VWhY8}c5NN+1`-ouaAChV>1oO*HJk9 z_YeSE-Ja%U#TPco&j~Vla5Q)}n~T}(yy(ETivUiIJWpg9dBb^+&#NOnDK#O2na9D_ zZ*vs$Ys?PQs%>}WU0Esm=OTq+`N8_N0PyI`5zq?)@%A|y`N=R%cK?Bysh&8MRFTsyxgQ$^cs1z=0y57?NFdz0FkHsrtUMjNWNDP z9uW-KlBFG7Z(gz~o6>L^sMcDE11mpTVakC!GjvkC)>V^aajD{Q;0%IkeKI(Mh#m<= zH!sBr|GyFYe*^c~^FmZRy`4wgTO#*+K{i}ew|suz?CwbNSN9U6d+*nSzb(u&(7kc8 z%%s}us zh(n)Cq7>cWxQ*;*DIc2+n$Z5Dk0?afGT~j=#lkHgj#h3x(vgnBckV<9!uojNmL2Hp z!G!MQpTLdl*cV{>Sw(YFEqtNLi72`kCA}>gxW;oxs=cwbRUx2cex=*d*d6X@s}vLN z6>QrSRxX#tMSYm>U_Z}J;)Zu(uA&xZtOZy90Nwe4-glZf%eeM#e6!SeAVLxyKUNcs z;NV5w%jsWnJC8Id!|3q5;owD&X|2o%5ag>5-_C@0OkV_2Ql>=&gx2`6ly>fLw-D6# zY)stF1uW&vOiE5ZO4QE3w#>xgv=nXX#2P=7tykPu9?m%JYbML!Hh+Yo%ecDzv6B8x zQ*B(dUG8uSzRs8!-JlCR0C!q>msKJ)tmp6!o*#z+HptKj5mADdEVxx8=tS1v33)K^ znu@X7WSf_k&~w0`p!4<3_o450n^`q*@X#LZJ_~ zRK!tLjLQ)a3O5V&Pi&9pM@>#dN*?Os6w4m;{D}XcHjVOnBYnmv?Lga4+2YA_ZX82I2i)bf$6qK*Xfz<0z z$USzj!pjyIJ^rG^f58$$`j3v26~g~N7rBB;)J(1oJp`0o209RFwXfvj0XS4 z1;{P`n!18|P4K|YN%^fJQgY*;WA1kI8Q7?Bjzbf}rZ7sYKqFTAwtJ{TO7>QJxl;K& zFPq^mkS@A(?^rHHuuNBa?e~qQK4NYwWM2VC9V4Z?TN1FhrY;k?6^20{75*4O z?bx%BSpt(F9FFh0a_^qaV(-K(2?!}3-V1`vj)_L>o<-r>ziN8z%jJ)BOuqvWXCvDT z7s`#7Dz)|l(7n0k3yi<`Pz*l^L$x;an~AQagn8>UeY_z>3p>2Qbih?HtafO z!8I~l`=RD}Jp4prqRps&yu;f$3r>Q&t9^c1HipvyQ;Z=RU3|0CdCAgGIK*pj8TX!( z$Hpnqz6g+l?f#e!U5{#uKX?&@t!8Br7vt&Z4=(wsoXL_2ib{(t-F0F)TA(6KK>^EF*n8m=+)2`S>Iv$W zX4|TL=a5Z##xU8`Htp&m9%9zGf$wK|rK^c-;8)3!ZSlJ&f*{MTpdg4hO(Y01WiZ}! zg%9Z4ekB4ut0ug)2={JeCn-$ft&1#l@0Ex;YC&||aISCwz4rcA-Pb4@i~WyU7R%%4 zrLnS zzRXDdlOG>ESTU`7cjhYQ76b6*wQ-s3S`rDX5H8mjaL`*zX7rA1OdTAbInRCc4~Or; z)l--c&YjX?H%h|AA<&K$zi!j|*xwQkXQDOa9_niI;U>zork~loxSbq>3V1PEXY%sR zFrXkm@pi6BzeJAhE$gpkTQ{oi|4n`p{zjspJ0=r--j)S=D!OWWq=Sr?`qCd%S`nL5 zW-lH7BaoS&y2#123SxxjdfT3wKS_+MNc)xS+UEw=MrJ2=(C`*LGxL>FC+MmoUBkmb+n|?`C z`+FR@=966W@b;VHOaGcwNOaxrwrQH(OkzLvWSb~&#PbyBo=pE2*%LVj z;TaM>{7+JP?ZJK()li7h|JXMIOSF`mUG z+l~Mr`oio=#kLxshnF zCwe>no8S)r|iTiW-?aeQQdBf_|F;0g2}N9|8i0_`fJX z;D1rzk8>TE3^GwKZyscM1$fb$KOjtIpzUuH%Krf4Kik0SSjNWBi7PofQIa+?bzDpi zHU$+BMIm}p`f1YoME0y@LWsA$`csOzWLRhV;i8L?AVgj6ycTpe78Z1LJ`R^Nb=th= zlvTc7Pkk1;O5Yw@Y_~eSJZcVN@WZSx_Ph7DU;7l@5$AQ;9XR3FgsVTWy=(A_i zxHzkm{aKs5U?H3szpyFIUyRzQyR#|MMJq%zLPm}3aC~t!zOeEibKX@+<|5(_z{{*E`{@?FkBN5E3zhDxL zM1|u@sMzv&8MyARYz^|{Yg~2o<**;a|KLgp1DZ+%BH5#|S@`TXIeGY{0*R!b{!KX? zS$abjCX8J$t_IxueBhUg*G}juO2f$FU;OY8C(Du>9{ZSW`31c`u4A9jw@u(stOcwo3jD%}T23r^6r^k)MbMQZ$_K zy7!!VEx1=1>s0DS?-fP2=tiHRh)a{u{1UsB>m_d}`M|IY-;X``f4lO(&glNU>8|m( zYi8JvMO?JW>NKu&Ry6exUZ>gK_6pgJ^1HI^8dnu!7-h6hC~7KL5PX#GMIl*pZnp{_ z+pCUq$#FE7Px?A^7Z^OZt%QR28mnXCyC34LWBk9IrVC&@X+`iwKgen~8KXG* zi3>rJoWO#N{|C{@I}mJVtgJ?mW7T|`&LZ!9HK>w_pP^@pn{flI2tOdhIV(A7_vj8by>JNlFN6uXqtb6VY<+JzSke$B;-J_g<{VfPwo+s0M-tGYb z(r+hpQIum5G_#=;7ffb%c3h)7YO2S;R!D+B8o>H+lx#YKOsA^M56AtZn_W{G^eB+5 zTP8apf?a{>7^w575oxDHs7ro%>YW!I7p{ z&O>R&shoV44qFfTlBL{RWU?c8RpS!jp89{?nWPXU@lE9{QZdteikwLo#_$da)Z-l& z1(hFRW8!Go93KwEokC1!RZ){MU$3gkyF~lsJ1N6S(ucE|hNF>M-cPVni^H3YkV9a0 z!XF9Y9n(JW-cc^$y#5BiL-Zf~ikR}%ZwZSHO~PF~K@e;BBqE{~&I_^U0z05^7k%@M z-a16bH6ift8zK_$ULJa@L`lzATW8J_Vbi%q=i_8!I1YM!VVX!;;QXIAdYM40;M zpMSi4do+NFI->d=YM$riHZvT@-FvCId%p2zSn_q}g(A`e(~gvYI!rXM*8{i zx$-I*&4}0j2KAKEy^!r;&&CH@=C8DPNwAl%`NiO+Zj+8f;D@kd(sY%!&Nj&IySw__ z2HI6%H$E9x9NS9@+)NzXKwp{yc#}sImz&_{d+uY$Ot>MV(i$_Xj{q z3(7X`G&@<+ySAGt8-@*wuXkOkep<}DDZ0xRPb#S==b&4|v4)?f&db{>KNzi1e0UwD z1-ivI^r*-XC;2~^IKLh6G1+283ZhEjRGyGbSkD>mra?W0?G4!OG%NBULoq7vYQyGN zqt5TXo-mJOcu~&Ly?r5Aq;{f>9kiOWpz*1J6TJ8h9=e1?N$$%7%VL@O3@4aJ>(?wBSWt@J6^3Sf%GOt=l;e7sxowZI;b7gCJp{PY={8Gb*ej_O3TJg;^bRjM@>+0#dN;E;NJ;+T>$O= zj}7#KD}TCie6Wf99gFL5=nwqMpr?aB!cTDzR}U*?hJ4ZP3}|NW`xccd{Q8_+lE+Pu z0$E+UUe&w=9qy7l9{SG+iK)u|B`0w}bk>OTSM+ z7$YdHDD;h;v84;gp+l?eE@&RZ3WJ z>a>KVT7*Y;LexeF6F%|a?VT`U)%+*f=SzyOc8?b@fq39Kt2MCjgf1>2$+RS_2_l@428%X8X4ZiU8N=TUu>Tlk-;8p;b>33m!em7;&S*mRBG-K1|G$)u2eb7O3U_^N z!lJ5EtxdbIEk~AsyZBFCGX-7xs9?ZBd-f04zIjbVVZHj~GqBu!4L-nS*4d6%-8l_P z?#KWkV{!nWGcy`s$4%j}?WFtIeX@S;H}{fS!$rBfd})GVnI(4`)2x0c6ZvN%TmaQl zSMQ(t-7MNbn%V&6V*63pp9!A-3SBBSxcfa5FmJb*cCE2@oJBa?2pKl#ymqZUcio3G zZ&XqAJK(Xz)eI$%LCr z8LGxf!{&>RQZ9;7SVH=`kymkduq#bp9I?Z#cIo7`1aLKbTNu$6tVYb`uD-IiV@dc< zcF(zpat0KjAG5e5~|BX zp_=jMnx2a{Ei{nhm?GYv)#sY6gKqh4NZbSXIjTTSryV&**F?|m{ku{z$pe$T5=GXf zm8I>lY2q2Zlq2USN&zWT$vnJv!aO{3*`$rDWG|QT%JJbOTzhN(tXHH<8%ZSsW1~Y$ zSIm(kLD(cIOl_XjyYg~B6?uzY5n*%49$W^Zn2sd;STpNEw&PytCEkWL4}E6p#>^!n z`Lb|gH@=Kg?+)eF>G;Ja`;{xwMGwC?x-rJKo@24M@K0JGF zUVjxn%`u=vML*~*k8cuomel(}UGPh)B1&Y%OYY*ITppm#!)44fjd3T4jzNYyd1A`S za@^HKD|yPP&C%Tbj@7xW#&YR^g(-6;2{ZTne6T*31q(>U{Hw*cK9>w?w!@~m!Zwlz z&$ds5WMzZVF4vN!y;dmW9w*tD8F}b?)pD8&UC+7PpO^@}{@x}KiI&~=!XZw(?&%&1 zJJOGbIF)eTQ#*WgBs5|W$rm#sib20@sQr?6ybIwG5saYdbm9*vsFopG+xenw_3X)V zNXZJU)UGay!`JE1muCaCy7XkF!Bjwkiz{cO{p(W+*hPEVHV0hx%(bou6g{*+$)7nP zWG$ZI^H!_@fSO&7dgPVf#Pe`Lo_h37by%5K*94}>j^s$^C?vjR9D>c(^sQ56jCT-r z<**?v^bEQC)rIgaTM&CHl*IV%$No$-s2=hD_B09Z@%}acli$!Jd~=v+6C#!FS4dRL zs4v%*bf|FYaLc1|a7apmW3G25FuSnDwDHz_+ob6rOB;LhMo+ zE8g!In@zqw35}c}sBG}$*lBlK%CqSu?E&cN{I-*G1y4W*=vg}%|wPqOy# zG}RUTM2JzOcBaJ?-BWm}YWn%Q%iZ zhdYY_J@=Y@anR{~>$3!m7kn+vtd`u0nST$NJHsm1Cw;qo6amjxXj0VT|b>tELRq3n*1}W*MV_dc-Sv zL^O8fAY(MlzlqT?Mwj6dIasiO8;g>=-a$KGAwDM&qzx!NX5eDVB}m+LRVF5>bx&za zOc;(X&x6@O4SqrlCL7pck~)Q_I0B^q{rf!jqYO8Wm!?}1yB*>$o%ZOfdbh;27+X6` zNWf4NnX%hpurMF|Cysq5devDAUe$#nIu4X0VS<<+7gr9a_QCXf~JNEqT2#Y@6Q(=*n2A95;&W-YaPl zr#ZpjlJh)6C_gXUA2ts3f|X^d3w6dw2KK`YaAkCpTzdAK2@I_F$UK;9AxDfD@=;IMr@l zD|RQ_lHWem!mDfEr_sk0D9QCW})8pzmHSY6S&vC`8HyP?FsyWne(Re2Ryitcv<!aJToW_LSH97nkH5go=Pn4r9nw_(A?s$k77s zrG-BIND3Ycva>Z%>zlzu7_V)m;e+K#cJhuy7q2~`{>1?i@GqKQ!$0<`PDpL|GVB6r zegkqylf0$7mfkrpauX9ag<9>=urh=2_APFbO_aP6t~O%&ek`u=sMIFR@D&;T_CZ=?|W)C}Qu;KZOdPXtl zPw-0d_L>BorymgrlhzD!&GY*%{@rYlvfe@qJ%jrpUo;8)a1?-MIT{CE`^(n*pYw8v zG&FhOo2W(f=83JRb`3?M_r98kvxhMP@u|{k)wKewIG9_saM{&RilpzDsGWNvz|g>< z?JaDyn<|mGZdDuHbhcosB723YS!Q=%xx=Vu5i4>1H%c*m8iy>bE@b1&cY2|y@sww5 zTmg|?K|k1i@Vm4mz+O~ee?t5niJ5lzyUV^Q$rs}7!!WK3oBb*wzTkJZVFp2OI0yWg&9Dq8*DB%3D}Q_9VM1M*sNh}Y zr>-BjAXi*EA^1Ki(@zg(Te}TSir%D+OYY842W<+6pj71C4u$+fkM`3oDVw2@toYhq zFbB%9UnmZ*d#*M1I{IHkRzAVEln(fuvE*KZcsOI)ze&AdS%23h{O@4q|Dc^=fNn!h z+{TKqJ@!wDB6{YMp{lawpj35zL@?uD%l*4+}y-zo71A%yW)4lpV2e|U3`BlA7zz0kqI9JqglOX z|G{E)BV|k3Lke?WRECpHX-B zOvsocE2F`9yC_;d*nM-Nb%|<1-{lE}nhi`JrqcpVRd6G)T_+l~CS9UN> z)q|=Q1N)7)I|$@_@JlJ?6BF#Nu5Fn*K*Wt5xzKX@jhgT&@W8lpu_gB@-`=bsRvk)7 zw>Z=$;M4qsM?0$g9+^uXD)}bYC0}2fDt}7UQpeX;LT5NGD zkQQTfeTpeZ2`F8ezIkne5OHSk2eRyLA>6r~=eW5Zl2nm7J+?e4-1~*20fxbi{ zbz2;6olkh!%U;~~iw5ons16@_$&XjKnPp$&11OdGVS_Ak7pxO;8Z270s+>xL#8~ty z=W!}bN|G2@AxR(8zi!C!xkKNcUaF1S+**g)7uwJ3#~N*Ukfu=2*<=utCBLIz5oe#6 zTl;hWI@MFy6sdG9@zv0mXTi)cM%ad*Ntx2PnpUKHi?%K5*?>jSJndpxE`0HIE|7Z) zjgg0ADf!8T(qId#6stqQ!$;g(4+JTZ2-?B%ULsy@@o2 zfzaglDU2p~0#jgtNka?)C2LruXNeM(Yg?jNnaat}p9w9&KgnRXHuJ@CWSyULG6oixf*U}{{)1}GS zn17X+^cWJ$2HcBgtq*T%A1#am`#@c$CS6^~;LKDsH{^=^Ku*zdCM-HNsMVx4Eb>;Y z62oOX%eXTreWQoB+mMcv#(B2rP%VOl8k8&>#s=hy*cIp(S`U<3bSu^F$nd!Wk=^c8 z`csCPKTQu0UD*zsw3BFy=c($`5B_wf+x})M1&b6aP(NW-f;(_2Scz4l^bO_8tGC~2 z#G4poZ`a{V^=ViuMYVVlYf&}V2e!RbO5?i> z<<7t=k@21>RG`b?3|9aO+OCOHjJ^iMc@ZdCvr@$lLe_&Lj5}4G#Piva{dwWuscV*a zs;(SPM*HJMvu>lpIei0kF;%tbBA6XRja-W8>F|ID$I)@a<~AlV{Ni1pNu9ny`am;R zizcblbj)E{=irRg{2JQVkVP431iYC6~jz3Pii-Hf$UtGAJ~^s`7FcPBCM8Cr9F zmwB4{aP7sVPRnXRtHHBl<#PXSK&n8OW+6N=ieNF0Dl1{`oZLhu9q#R|&()o$cG{Hz012ub<$STkD}beo#a* zF5AuOIhwMzU1Y~uowrUz9tznrVmI;zIyx6%99wBoX3?|tL3LenpjY8TGCOGl z*+^Y9N=s3bU!Is7d1|AJlvcT%3^-_6Tw*#;VeYDRv<6T7aFPmMzY2JH*WHD>TI}T5oSD zbfB?iNV?jqFCoLb{fyWb4n``d$wZCvFv;W@o-9ljA9#rr<{(%7!`EynPruV-8^_<(acVf-aCKAT z-fDd_q;G>$m6v*`{_f=yj+f`7VRTOZ;JDT~N%jrOF@!qz>gdTuHB5CrzQbv7;qxn+ zBs4dEd05fXEiydb8A#q>Z6!GYTxzTr+dkhdcPKVYZ8EIGFOC{FVx}23`I@$R z)&{X8vs=8=!=C?M+&57b);<=z1vBjr&R~$-h<`y|%y1g=9L4e^!^X0auiKmvY<;Hl zefs(Aebmjnr27QCyd+6@Dv-F^G?F+bTVJ-Dp#G1$)#`<hBdbWP{H2&R&s+T^Pt0Bm==l0~R5N?ubfahSCN(7Q{$Udxp|$*V_Gc;i{yndz z5&OMs_7&>Oq5NX~R^f6vdZsh}itcdU~3;sIzBX^UX-2aMu>}q)a z4!eqRM6mWQJ(WF^aHIn=3cRi!RLJ(i4 zNB6@c3Hu#=sfquckogDn?x|-Yp7g2>F~0mEXBAQV0A5i^a2?mUbi zQTu?g0T4=Hz$PqFGIxzR91}%p7q}oy) zo(EIz#MdAfM^6z4LjNKDgQRmVlk6WtTkW}#s2_6ds2-zuTt@#$VRj9J6c{Vu4gWQQ zy3+$G-WV39h>(Yxec}+&sWVzC4$U$6n!o^Vd<#IYIY7lgB6l)QI{O?4A_Y!V;) zL_DgdH6CJ(kg)XXg`p=D;1#A2>8JN6 z{MZRkoh!qU0&GKYj9|>Vp}1mnznurEg5#8bL+!^q_aK)`T}z z*ab7xVdlgO--2#tyJ#jmz4>njJLjWD%mc~HcEOA#=X=-Cfmpv}USE;((J9u3S-)gi zPnYxIC)NgC&p&U5;r}R(T>-a>!>S2Y&tXx|Zl-2YpX}!nD(3OajE8M?BIjb-tjU+X zY(^Ju)g{t}#%zss)jG#|!K@1G0yfeGV3urIk0a0~lh=V;FU__ZnbV=3*8y6;fqjvf zGhtbuE|5NFRt0LEEat&x=4w$dIDI*52JLXf9qGbm#;{qesq0#Y`65YPMNDoPbBNSUTv1 zJliUqz#B_sBUU~ClGzm0#Rc|-=k%vg9sr@fYu@b0?-4$-5vKn8^N~3piDK!H7m3)` z9A+Hm^={L;1v7^N9t~n^5UZA~t7JJFfq$ z$^u;4#I)d6O<7k#%(55E*rxf4W=eCs1oILF-U7vV!0LUb%|xd=i)UbSyrl8~aIEEb zpWge-wlhyKv3Syfb`jz?Z6Z-F2k9bS{VlBtYfinP+(guiVZeAaBm->u=eS)~`!nJw zI9$e~!$RmC3?K(}3Kw$sI)@%|ANb$YbLNMfW?2rxVGOso5TL9I6_(Jmn=t^LE8PAX z*f9mym*}jpf7Bfo@{wgT7B-$dtS|13FMH;W%e!NTXxU0krjwA${=$2;T za$gH!a~or@2kKK3*nWhVjc7k@%h`hue*+J?@O0v{&B7Cbl}*@M93l>s=?#%c7DfC` zWu5UHavD2)Z{JVykfXkD6lbc{O;TGGkzo-vKANY^28w@0fwI6!u{aW1dItxP>9mg} zc?~&zln&`dSE=dp61aQaJ0U`|AFE>Px7MA12@}0vjs{nm%l1fvt-8sUL6TkB9#&N( zB0$eS^&j?OzA(jS&ZpdrTosgCa;Mi(Sbz~eCz z3voEcO@B)qr{69?HI`e#`&&H>|FH%DM0BOEgzcR^gY5Ch_*!q?{OP|8qCZST5xZ2W;z{7fpYGDyadq|RV%u@M;?BG5 z_G9xf%fUDuZjD+rq7R-2OrsIn_|MZ;3(m7Ki?%M0A&6R(&u2X5o-kkRz-At2><4_tujhJEv1kk}W zewIgJD!~6%Gmf)Y{|~g-?snf!MZmfk!y9zWyf{3GY=OJkj(67- z%35oF5G;KWlV7URCmL_u^xSTtA9KI&zVkgAp%y#XKIFysbvonq<8N+>=>lm10S5ZZ zQJx0I$x)sKhRNF%K+>+eclT64{akO|>?*w}Gk^F|q5E{^x$9BAu?f*vkm+e3PDs@V zRp0&Y`;Bi-gQ9?H>Wc}$$-tQTado=;sb`}~JV^Q}WTOt>OZrJAIF2r z-y#ny$Y$`FKr?A7WFj#z$K0@$H>Z+twR2UERX~ZgJaiK`;iv2^f@!V0>xXC|lJ-#UILFp zsuPnG3oT9}i#Z6WLdK@}&$Eg^tX(m_}__1oME<7BuM*< zboD?;$xgC<^dQbG0Zy`hB#0EU6HYQike-yOl^2R)FiATO64`4n4|#(`u|VX`>-Rqb zuixCECG`yC?$T1U<^- z#2!+j#u+PlSCJvBNwI)mP+C!!2`Vj|?aV0d&?ZL~bZ|(R`{hzf^_!J?ietmhw$Z@K zEF_prhCl&6UBic#@jaA%nlx)iZNJHA%kb*WdLTxeVu|P0}+_1ZDu8cdSHh zn(dFkw2V^^1#9D%E8hP8=1t=y@Xu79fxBHA8? z8ShDOwczR^qQfaRxA%jrUx}|KHn%-*g?8DtSpvHd@;pe8@iPG#!3*ZLe z@1ew>K@GhIlP}t0;^AcAFZ}&|vnxN{$DGe!Cwy6F;lhuo?&CPPkXnH*y0}ave?w@9 zazqschn5W+VhzEMk9ldO!~eIHCegc%j{+FeYyN4YYCsr9u}Vbo!@nydc4!WO57RdN zgC`$e;#M1&lsQuOgA2RWCIkC~AmcO}SEJ3|%C6RDzPX7P3=f~cX_=9$#>K(z<8|hy z;e(TkR2%i~>S;Q>=(MO1YA6_rBG{17|2`w3`3Te$rb|!&NO1eNr=(;?mgGa3Bt9=- zM^tWRS0?8Lb!B_H9*=h(`|W=whAxJVuhoXcRsba-Z|>@$awx!INJ&MQ_0r;vDw7 z6`2{!oHiNCI2Yv{KjPXGGN44{&-(A&FYLUl@UpfngC{y%fIql-7ayLFIq~=aT<+9K z)h9@Kb$ZJl$*H;v?uu1-*c~(r=PF`wc*Bd6HR!!ll4a})^ zEU8VxE?Nien9ys2GX?l2W_Avw^@UW4W4=3Qb75tQ!E& zK0eR>o69i5c?`iS5r3`lB?Kv#%i~IFOu&F+G-%)*`4<0}BW%ryo&GsLdDJy0S*E(X z6YRL5Zw;kaKAuAYozDcVduJ zCtJSC3Pm>S-%xK_noK-7;G7C z8t{XFd?Gu2vZc`pKI9~h=n^)cal=uS8z`(n{iLJ#OJz1AHBJ7@MpjCjzz#9942z79 zl&q-Fw@+`sG~nNtty^z>d_L@Mt_wK=3-e2@>&W?zz%qOdK`iLqFltJlvVN3=pWA=U zrlcPwVa$bEFG3_I5SzzCXTH4YH4r_&a=HAR5vqV9k^lq!A0Jf&+ysf#A zPgM(NnFnj{lqfNAUs59|G80!e65G_%+#2xg!}ILtxeRBz4C}ZIZ}^fLck>-W>s1Np6$pva27{#|!6#f9f`I>9 zkEm+MH?h1}^X$8Vr zBLdJ{)aM`$m~How>HXo?*qf7{8mu`8ODKJYk64`m4ot#P|E&HzYYb}OL z?=tBQ0r}UfpcWa;AQ|bRsqOR@6X(l-E=P_l$uQYuUyB`QGQ#%z{1RoTJ{dGdBbYiUzHe3Z%ekgeQuq1!Q*FlJbqDF&_Qo{8c0{Q z#GBTl?NHF+Q$l*V4Gir_0eBBW+D@k<0FZ1i7UzVZ8r}y_c^(S@x zfK~4Yt09V|DWdvLTR$$V`-zc$3$cwR2QRyF@sf0{;6ALfr}?4Gjg;7Zm@i_CLRa&)BwyqfCnE5 z#Nh}83Bw?a+VDmOmFet4X7CrHXd(=cQ2?GpB_1nO(-6$0iEMw0q4S_*+Yy{eyRMQ%v?al6;Na1En6Qfz+ z@)!pNW2KWzn24tr0R11(bP(Px7H<|LF!+me85@^?d226VYOJWYL238;cVlFBH$AI%q^2@UY5AhWL#RauZ0^hsP%&yL>tA!HGz+NKclHZ| zPi?};qI$Wyg~WZmE2^tyB-IdKH z5BL9)$e`bMh!*9V-v(#%bmp7P3+uYBc;yu7CU$k^6^gB;z|XyI9ti^`qTQSV1OJR! z`c~7Oa};&2BQr?WJgXAYwoR;+%jkZuDc&GMc2`~158CItMQ+wTej*O+BVZH4CU>z5 zpjz`A1;0l^%^*yp>F~izjBjcv9-)`brZ_@c-f_Y{a_O!zXu0I0KL9H0TA7S?2&a-`gv_KhYul`}(Ow z>V*-rpZlKW7y54$;JZBj8S5AMZ|tj3|ISc9?xAC;Uo`f93`id)`Q}?)&WJFuNg{?8 zG}7l6V)##heVOQJmFS8?05zT;Tq2@Ts{TFl+s?(-DpAuK5dmZ%2KXcnUY0J0;d{vw z5>F8iNDaiMxU&AGj9<%G<>Us{vggl~6nlk?cYB&$6xDtTziN@qqVpK}HKObqVLr=X z&q??fUcwP?574xDq#+F?`Fi3*-)i8aCQba=xs0w&@VZ5C`vklj2I1d1WhsSD9<)oB{F`zvUkvPnAj>F>_RW$>LTyTxKW0tf2!4HlTCW!~oNE7f;2ZPX7HxRQ z1Lnj`bx+Dfq}#T3t?Ze0_P>g&hT2vWZyphiQ*3Wt5sg1Zt;2&Sf!|Fv$?Few&%y7J z0IwbT-*K0Gz>;}?ei@2-j)gt5BTjRkxWBue$6RS1cus{i4~0E;|j$N0*0u zH4uASiN5!TJ(r-}_^9?z`Bq0PTIU#Q5O7yLCs~ zteUs;yim$v@$CxSbX)ulM4kgiwsw)(6jIqCbb6kuHIL;|6ZO6%65^W_G896IKEx^nF7dsL2_I94-Aj`4N(WdPx9uGd&Es}rDN#<$BLLIF1mv8v9{!M zoAb0SK$EfbC@i_+CC1w$9WOlBSc(fg20-Ib6h`BaD3{i8BhHwwdy2W&)-T$kHp1D- zuM5%WMWVnnLWxh4ihq>GFa%xharF4A+7qlX`@?+;^lYqUMz=+>v$pc6wj){lsHb&R zud%GYxi&1`ICW@8vaTWhHT8JEsBz_#nqAh7>eUL@X(VfDG| zrpK$@Lt!~O8I`t;pi<$v3-$deXHt8#{s05)3#xl;J#edZI2QB#Ehr=TiBSGgHr@7i zf47>>2xZbS?DY(7B^k_v0+*7Rxsf@Ws$pUh`_KM2EUFAFI1Z5@ z43PvQxxdiQn;@7Z@?!$D0m>e52;@Kg;t_udNG-1Yq-5R=K=O`wSX>+DgtU4|T`wmA zTY#tbDIK+SnpZd?_K(&F$21YLNEzmxBImKCij0#Y>;T#Y_~{xK{_^IZKP~RtoP#ChrE0gQHb0@wtzLnPsR#M?;z9 z`@!FJ6Xn(?NlR_bcYiW0ZALYmbx5pJy>{L7B1{*4xs_^;s%1LC<9bz5l|32Fy?E(E z6STA*Vl^(bEf(w|N;Z79*JYA3{e^7g*JmYXTabJ$v?wv(_#wM4XqdlT1#N$k?#4eu zN2S+&)807Xq1Tj*dOtI?*mRrQ3CcfOo@it{NcDmUmXv31*5;Zuxcr&umNTP4!dYN0L}U$^B#_8b%aavIy(x}<8w%@!WnBec&Ojq!h7vJ)`t9J+t?TDM4GZL90XVQ3g>wwep9S0C0Y|i z<5B*qOl_IEpBzmvu~_7&??pdR=0a_%%u6fsG#~?vc}y_2p-qnSD>HyGz9?qh?1eVu zPDOA|oWfr=1a{Dq$3z7h{saFK6h7q6103&i^|jLSJS2`Lci|5daJ?%Jpu3s({?x#M zswJ66e4H(_^;@;d zZpLw3*PZ_Fj_xn`_lTY2&c~TYdFc}m;$O$9F6Y{W%xWt+qf~jK5Hm--UWK>9X$3Zn zVw*%ntUXAik2Zg}2sxI;90H4lk{a{R+lF{>&cwvXA$wR9+J*ST*21&tax~cEDzy?c zaQTDUs#FcqdHJ`LB@?DsiiRPu$x;w8))o=KHL-KyR;P?q7{EaI!JY#fFt&U!YR6ua zxB3VfvnQGg#KSkuyJsn}86lQ{E71Y$VE6~x`rc+`Ss}Hg2Zf`#4g>J89E!!X(_ZKJ zVhpNTX>eFZgC3A)>`N_--`U_VdZsm=^N2B~#uH1^l0hE$7Xnd;ZLm-@`0Ea9)hA9-A* ziHH7vqBRLjZDVDK)Wnj8sp5(`FE%8JQ`}Y|VMd}!o!S7rbsOi_;^Hjb;DK%|bN<}c z*MGC`TQOqvo(DXQQ$65fIBPx9=adepSb=UW8Imq!jDB2ZIS30dn ztT6o%;1zTvE=z1yIh|qqNT2?&z8;_m)15?bb|6QOYMB0I6i)2n$GjVaMD_j+Yc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JoU00000004;t00000 z006K700000005W-00000004La>{ZQg(=ZfoK>`sFf(r-4;hn}NwOx{mvF=2fwrOac z$~p}=AvbX{Bgc;Xu@Nrt-*V@M_$beD`f(VXxIv`I&wk%Ozvnlb&1OIGZ#7@L-3pK_ zNcmRLQYtfNtgh{Axch-x-@W!n)Ov(RLqT|@nT9o(RKlE7M^9`~5LK<}&*_^;)V98% z9ryp;4H~~uyTb79B5_K!VIqeEVsonJL9DLrPq_Pvfw+H_@t^*u5O{_aa|bg%qB-Gk zEDcNORRFZVU?=R#vQjKRH?Y3D0nuO(b>lGV!;l-Q2<%FQeMAhCB6uUM0;?&=>3;fI2w1{KU;l};FjxuVoL@62%@;nm{RP}pzaU23ifW0W3m zQkI-0WXdVvT6-W%n+t?G5L_~Ij?ki5!F;YA%z+rF=9iC;A|_rZrX?CIEmnvxieMF5 ze{~*n4FwT4BZ;vJv7A=(Jlv(DmHH@XuyEL79T1C=DE7f&&$Ll&YK>I72QcQ8phHD7 ztdIudU?s&xYIUHQRdvm!)DXsXFKD;!VK05X&8nR zZ}5I?@G7jYBWHWdOWj^bE%A2zt>_m300960cmd*OU}WH6U|@(cv`hw44BS8*FwjG2Ye3)z{SzVJa(w z08mxzj)$-KfHce@5C;T@1bOe=)K!8~_UQIXX@T`3I)N$JO1@2j(3vu#%(So;d=iIp@6Jy<^K&?*6g>H5Nk|{r`2p7V)}ni{qJb}uW$VSI~;iYwEqZK`Hb)E?0EFl#qX*i;qZ)r ztcd|XgKD6p6+(jG=DwCQ^ubR@rryEAlY|H+j2-gZ9fehGe8eB5PSvDtT=98noA$h| zOW(Cvanrr}a>xYUO|hWfe!tq(d@_UZZPLP=8d~DBur%>wB4=R%+||+Jn-{+~jxHDV ze~bBGy!i?lF!=1WN)wFJ)c>a-`}!&i|WH-#0$>kg)HZ}O_UfZb1j zz@1iHL`j||R*`n?-q&48FJ7QLr}sZ*;*Os#|2&?2OXUvZ7D62H5m3;n2$b*lLN}F%Aa_grsHL5n@A@xCq z!l2(7g9|BB?JMWBBk0>l-&?6>TrhG1rqSp998%JEqduG+Zyr_J8k=bWub#fZ*~2 zU*{JWzcYO-r;oj&c+A_#>RE+w;q#KxLn=kGFn6ai7YRjZN(zt_1Q zz^PR4J*OT*SLqp-j`EW}#Y)=Jms$waaP$A(vX0Fn^rl&g_ z?v~RkkT%AJ8$UZY%az@l(9WfeOAs-28@~WQ9%NnhVePL>nzql|>a-TF3fFoG-`9m2 z^&i&W8eeyyJzWTYI%Pc*4!28}m|*wS2l>)J|G}M5=!y4E45%(v=E*D{tP!wqWAtr0 zRmc7jCSVXC|HoPW?I~L>=oT`;?NQto$*}uZdwdmqhhxgAuuBGLzSORaSNa3DYcc53bMVLO6xOZ{s;K|`^ zcdA#Mo>|mu2foOp@r^R|!iAxZK;lrs(O<=`X8AHDy5?x79>t6_Hwo~89b&!od*gk9 z^^0f#GU|wy_?MN;v7|X63wLmvWsI`K+nV|=C?8s|v@&UxXzM<^E+x(7Dv*Q?iwThY zbL}^wq{wys@bNVb=`?RS`A4{LiUx?w&J8;vok7ser(k2=S49X}2t1!TfP z8*5jbpc?_M;1WLac=feF**Bl_*LvdX9HN{T(jI^_YlLwdt9i5sN+0@H5*L5X&29fZ z;+FJ4ETeyfn0iMkprG}wM=Exv3C{T8LPP}NO^fVTpQ&5KECnVeDrUxb(XS&uOU}70 zrZ8Z#^iZoWo3IaiU3+|aKYW8R$^2QyHoIx(xXX28U{1eze-*jlrOohBZoiTl@-rq6 zxl9og51g;h;8h|lZ@$9w78k99!ihg5kgm1H+(MfcRyJ}^_OfMSX*y96X9fmd?v73< zO)B@l>=bkXhl@O_hZ+4^%Mzq>Xe*{SrZI>VaSzP-Q<)taDwhWanq?& zsN`8czeWjJe&W+uo3f=wmq*oy%TvS> zNbfk-c$RnrHz*La?2*C{su(MWf{R4$O~bBwg(_Ds4yklv;;(Nm1^BKmU4p7tt(uNm z8x{rczAPt7RJS%Ru5Stgxq6licc43uwhpc; zvO5p0?rF;RyQ^-Zh?eCr@M`5Is06%-Rv-S_9^Av<9BgLuK4ydVq`I}X&+MUI_3r9R z-G)lw}@ad7sBa2E!Lkp@^yNWcql49j}@xKh}KekJvXmaMRF+CtWTP z(;U`0-#cmlAaA8g92=)p1cutFKUvLiA=~*l(CVBPCrwH<7|l3AnVPxr{I<>*e4X4% zd}sgPw{*QHXZOh}2D#!+8WtWiapU%Dug*50q%Fq7Dv9~kM6Zx?#K=qYc6I;C=AOxG z#MuLQ=acnI`$xq}Ketx!tubkD2&7ib7P4%%5k32PS<9@jS9)=-j(;@t5+-DR3&eSj z&j%u=K5=Lux75ZjCGB(lh;Dk-y*wDuJ@W(%h`8BP6KgXHYTB^5q&i1hK zP|fqURxO^r_C663AEK*mJ$3CGF%R=}@?!vh%p@pR@s_)P;`Q&be@cWsA~pin5nkES z+dAK+6VssLW2opfLb?|%==(dtg%}-zUg`T6!>d$U0R44&a4#h~5jj+~z5GMP{ch4h zO28bKRnLe*tDclGE^Udn2YC1rzF@b6fmjGmPXL#sfsfJH*YoRkkk#On>{O=om`;WV z26#cCv{62A*`2p=D;b(5`G)Wk9ks!A6j8qLLDX*%4*4SR!6Igd-9-|4-?uWTv$|Dr zX6Znw=&|)m;@SRNxe5(+LXf1+*f#4;xD@cnzC~$rCF<~P;VoE0-bR_8@=;72#&h1A zQmt3Ka}&;kR(HFoj;-96VBAZ4x@6?NQB}B~zc8^%+zn+XuXN1bc)49{#~7;83Cc&@ z?3ttOMad!;{LI{`a#i=J6<&napB|+^a+T{tr?o7RSpaj1BlzE~<(!Tr_E^riFZ z@u?pctpZ

|Ygtc?6EWV)j{WAfn%5%aY9|ToGg4-KiLiTa6| z_buKtk;YcnSw40-O}M6D>p~fQqpxR%`}7*{O+msSTg-5k?_{lvC#*OGcP8bv%K1zB zH(!fN6>IJx)`fH)b?~-+av}Nsx?no_6aD>X<^c6?pre z6YV4R@5as4MX%IxnVDy`|2;4c`5AS3wk-dP`Q2iJYodQ&k>1L_<@L;NO(USb9vf%e zbC>O7p!>A(Uv-w6Sl{hH4@*Ax(xaQkFdwnpy$IPdl#qwZn=xp(U`R-tUv0fsy~Cdyk&QS6!gby0JX~fZwLA`pAC* zdrqBR>N~bvqA>KWHD+$0rurJz2x7YuB^qAzFz_n(xdi>RPIL}cPs-X$Uy4*|%1q34 zI)N%_zPM*C<+SK5Dok+78~S_rNA~mOHe`ZxnzfTz=*(THfIz;YxuH1dN0uV{m9ILw z#g87j0bcdXwMF%QSlIz7E1d5VeVZ4LGyNk3V{nD9|M^}tt4mj}$5w}%+E|vA^Yz&~pS#`wS~7b%wQ+wBSkFX%moESD z%Vhe7&SVFNA5H(cK|$t9burY$c^F*v0FWJ?X7Ej^fb?Xc!>HIe>6=X7idc;lNn_l( z^G<=+&5Zcw(&&q-xmt_o4Jsc&_l0%1{bZw!t(LR2t;Q!xuJY7_vXk{yAS2rHXTF_E zlpfmV2wj?b4o*nAuqW_Q0{>hJH)UU9f@><_IEIITXf zb1~BtlP|cvi7%^bOHZkL*8PCmZz|_AwtV-~Z2Wf$A;qkzD6s0VAZ!P`Bkt~y{aZ+} zrMx&Y$VlnlT%9NXe(H|?jc3}T+~(iV;6nFe(De~=ZH52{)~q6r=SU61dS#@Tj2M;L zW`NrTG)}mrq*+JLov%OjN%QRe$iATGY?U-q8)%re1@4N=TOA#JSUzKmFD=c zgU|jjL35CJYEE^D4`LJYs3rlMq4AYuX6FcfB5eCc!|%Ek%Iu&y6A~*NN4= znCaywxx)nuHg!r>y7!#S9{+jrTkF*mnNda4D|MX*%GuB7*Hz9R@cfk}A#VB8ZECpm z93m>*Ntx$8n5ri=zD@A-PTb3`PFL~`+61`uF}H=;w}$+_7(~l zlZ<9Zsg3W-j*PkF1I%Hw6J0wzd;L=UWu-?Bh2GERR_!wr$D)`2^x*lcJz#P*bq?^U z(&{|ybFIBbtU^BKhjjt0XR$JGTI1Uw()WXDUH&V^aB5~R+mxK%a!+t`RnJ<gH{&`vDP(f* zhYr5GYq>z)5=?e$%5=3MBndF}79NhBc~z32gVnJjl#3(XucWZ;H5RB$@XLuvsr9c+ z0_TSB^c_`{JbTFyj5q|ljgz5|esg?0gsmJZ(GRX^q*1Gz;A$?{0@X`akU0Mbdx#z9 zSEKhSjhPPRPUBbCJrTXyXuo@XtF`05ShM2t`pp!3RYsjnr4ILiN{F?qaX;16J~Zb{ zy>dxp++gGMVT4^{)s4S=>q*FnKfjc_QcP1(Bci9EEApxu5C@uw4qpzGrroXe>(xUU z^F`C_zR+Q95;Fhuy0V;~g!STOBJ%(vp27%3&(13cc0aDLo^VNCn`5$#nZL7CJ1BUG zc5KQq1hW?)*ehbsZWwT!tgY634)d?4hdk_-BE3yAHCceyDqAlGFerbSX{0+{m4UJI zEDyd-VrUjx(+j^1uXivP9)(|qi`gGz4c|B3L%cZg+^94O$uT7Hs`1g<+C<~G=E`U$ zS}!srB>!N8S!R}LOPh*-?cLH&9ZEEwPTr++L1Jq z1jg6K-UDP=WtaT5;fIDQ_S!Upt}iE2{gKZLC6C3Vv+ao#t8XXf{S;iXjh_3aP8A-} zYI9vRu(450NVxlhMVEJzF{o%MVrg7;7b|YwvVLuhyUcv3^eeiYAA!ua zarCP-P6+wt#~<>G_NBY-9RzRJI2(~f0i;#A*5-|rC5lu;lfl^4ejc1t&Cn$o60XlT z)3nY;v^2u@ch14tuC+qnv=0#_?KW*L?nRAimVq>E9j}vK>s9!AhfTCgT<2NH)N3th z{&fFlkOYHjCg}sd!nGy}=m-Ox%1^slOgfJwX)lq^7sAYl_Af?!kYBAQWYicx60TkL zh@BlGdgxU>Larz|npQ_7j38*y-;EciZ_;~0)Bqh@8o(0D0a&ZJH~d#YKgGVo<}c?s zQ90t)ZsntC@#%a5tj(syDQ@ZPngCKGTWeExJ?FqhR%)lGRgUOU%lZsLKlkg9Z9|)l z;UL_3r_DBnEpX>jynDgRH_;ytdarQYRDa&&qbSeuJSpS#L(U0D!P-l@OvRaLS)$-? zB^=>8yYWa+B0(Ekbx@`9`;yEU*hQY{>*x>>W^q%&a?KH0#HV~iZ>bOyB@6G`>2g_e z1IO9z+y)S;^>Gv(?H}AOn(QqB){{3}b=q-r^tX{% z?)^xcw=#2B!pSC~JHv>xdt7CxrKobZ`$sbllLT4(PgMk0M8keY-gMQ$-=NZl@qN`q zyCX>noXgg&EpszcqPH2*=l;=)HaqEblS~|^M{U{}N>>VK!RAAG->rljuW$BE*$u?* zm{&Y2bty$pq1Vn|or!VmnJP}%D?6HW`)P)D!dU;+H+LsoUSr9V%WVUrVz&j{@I!Fl zwtE1Tu~)0WA_gv(9Id}niqv5S=w$Yp1iCfOQC&0d0G$|bCkxwucUvqj=Bf80V;xC* z3!8c${euf}NAgsIX+Tx=RtW4=NjKc=i!fMtkkCCR-X*FlGnCpo3+0Ld?actkSN)ozgri~y4t{SW(#!6^ zW|nXq)=ID58%@Nzg(_Izeo(=IfEyPQaPm~_(;x1l8cXxDPzPmi5N*Ag)yd{yv2ggS z^)gIQqOVR!i@~-JxQ7rv0)2~)t3kC+-?yMQq6fE%Klu@VkM+m8Y=Bhk!VeFv1vE+p z8{FNw5Dto)oSYg=t3m=V9ja?Zcg_ure`WP8Wydnzy&#~vK~FvngWVjHmdnn*_c>8(3*z8 zxABugd(A;lV*9&@!qTh6S$WJuLrM)b;`V1!t$^jmId!&Dt>uV7JEjNJGri(^$2h31 zqhyZn+Lb1&_|9<72ZFb^ok5joaInTrssP@>}a`VEqhTrxW z*(OssdG&t#v!zG8B3)Ov#FebxVz=3GI@A1|bd1BKkhGZI*J@6I18_pSL6(S|de&M4 z#TAy#a5=cE^lbU!B)A_b>n>jMvF1FpeP=-ZRi8USUaDIh5*cjd*N_pxwfG|80UQ zyi3I@*>qZ!N#b}R>3@r{EB_$^n%jZA&&aY|jV{ekZm7if6-0oxKOfzl#q?x$awZh^ zP}O|mW4lhErJMW5ek$}3WrYwO$k9@v)+^xweeKwV&IU%sAB%L4e5T>_doR1ahq?%( z!adOrn*VFsLkmY$_5SJPEfbV{kR3c9E9n7!&)0ZhR^@fuL8crMv!3yRZ-QWS6>hh0 zPO~+Nn0*9I%ZVA5zoxe}{|qMQ$`%vQ9HTWeaM~iyKdl-+(riZ1g7XF*Gpak&*YHO23!F5nw;jz&SD5VxBt1LfykWiGwzw{C9*oAw3T?&F_1B8!K&&L0rM|2|UjN+@?G$o{ ze0C_OMW)gsEX_n@CW^^xAd(rFrLGEEZAJYHY?khf21i=pW z>3jIQc{yQ24;5B7TnuVi^AMyPbeLy6ZHgU~zFne*Y0ps!qaEv%?+b@|ZiC2=j5m{2 zJ#BESBs8vHSqQ;M@6IMTZLBG$0tUxQGh|M0D0^wum)-9`j&xE)@yS?gA+N2ta0HMA z&D@k-A6Goc0pQhtP{VlPbY{rlJ)dW=rv{Fzg4EYnj5dnXoX))#>9U()coxDDL%m*t zDEf17vzX9RzyID#VE@uq%tGhmmSB@LVOCOaBA8!}0o~19oGy?J@@u@x+4n~!*5)TS zKpFTKB$H@I);5Rb#qxy08%IsnGB30m{G77EIhpwEG+sVSuY%K!Rw*)-?xL1WI(_e` z^s?JWT&pLgm&0wsItwIXYWGS0>6hTk;YAyj(-6t?hCsbe`)v$~&L6uas+@a<62%&$ zbocx%+mEmREnB_-_LU0ZE?Q~6qjwFt7POJ!Rind(dvQF{-pz%+`u4Mqm&CGWf zIziuN_jw$o_dZLVU&cMhTjtU&N<@tRudf;Jjy5k#k7*w5EVYEFvkFK^DEK(G*kVTdO6iIV`9`$z53%e+PHPU)?Pyo(Ix?!!kxt`@cRT7?nYQ|LQ z)b~bC#0}0N7m~qGk`Bcc+v8_~Exah2NBqLO8xRHcEscU>Z$lXZ)-Y!u_a%9i!dkxR}SM%J+U2SjB z92Z^{(P2%~0lJlLs5ZT}v#b{vf~8Br_ZuXn>Ob)9)*C15Ijf0h5i;u-(^0B(TUsr_ zkJmVh8+_CK&G?YZ7yBVm?H7sS)bd)&+cGw~HyK$evUPsbs~BO2_=+c2PD`Ui8Sjk* zaWTKxI%&^2DW`3d`7j>eEj!rJ-0lXXxo8dQew&Z$y0=Iw=-88fHypr*wu`q8Z4OI}e96g%4Ci2q-$T~ws_5uJ{SD9sq<(rU!Hc*Fw zj7hCb@8=+F^I8Zv0CyHno_o!|5Mucf8GxWcgbBkyI8}N34FDh#;K_o2?v4fFda9s< zpQu)xvJihj`e8b@O0W34DsWM@TqzSOOeBS~cdD9{*g)ukRKJl@01G`?5RL^3?X5K% zH2nwuK%rnRrrN`RRVAxyIcBR{Csj3rnP&h5q(0`2hrkoVkCa;p6xD=!=y%J5>EYPW zEj7@=Gz#@U(AqquW+&sdXVWs(`UY$S>Jcr>a}cDtdZn&0IhxQf3{ed|&u^5crtx7O zCQ}?U_)I>T_oodKZi5XGwRyy#idIiMT1$ffiPkWRw4*UG3}$-=0QY&XQc>G zXd@f|Q4R7u7)P-Y|22+0<^(t}Kr$nO`+*&B06V0<3SB+IG-aFzpoi)_BH7DZGViz~ zQd15jS~fD8xdFR2-W%LrGqXW+tPz%Bh5k&l0rWrUWX<7wf9KHH-a}i#RTM>Y--J2i zlY(gD{}rOJ!}(Y3fh&*!S;+ zye9ARG&fV~ozl9WEO1cR*JJcI?LTQXPKg;cRYHQF!htdT*n1fEQ1C1LCJ`9@)ZC0| zaVzGF2U}7`3JWvR6D1UfZ6ooFQShr-vHIvqpEW3Y~&hm9! ztUYWieg`(g966?qN*jNfOExA;A*#)MFN8$omZd&s<)B;;k!4k8f)(2}w$Q!OVz;?5Tdz zH4qp2(?4CTHFM|w2mP!GS^ckHmeB&3j+3zt`eoBywJ+XwA?QlUHhXz$WKu$96Od)) zilObzNGEa>+h3gYbz0AnMo8oH%{SCiE>Pm7`{C9PYbSsIQkp0AwFY8a9d`_;Pi?8` zdk`YJg^3&!A&bw~l}Y>_xBHRVo)D+BiJt~`rLa{Bj~b}M9w^i>+Z@vN!On6qu2XeQ zTWDXdBqWXvf|q#$^m;+JT&&M~<9nLyXR$^l4Q=TGyuMB^hlgB2v6RxO`|Hfdk}}a6 zjJ3PiXKe%|1XF%MoH|-7%&4^!q}Y-C-_2vK#)7IBzO&qIyK}rd7P~Ybw^>Z^Ick_! z>mA&hM`jC`Ul!U(g8(-KF|(93mWTlPT+tg*+&c|94msNQXrV(+La=JxZ$vYj7p8;0 zgoq}2gC>+bw|iB=uME`m0$!aAw~6`Gz#|V@5BcKB_sX-P z8R=0R#xZ%0GJkT1^^KxjG5cMpEVZ2($5@u`P&~kD_HoXQM}kA%?7a71MEg*ubTBff z{r%nUhm2e2)d*X_>skC$+kH9>^p%5FZ9H#iKH;9TrGS)aiLSEPwM~JBJdyOcrHlT$ z*42YG(Mu1UZW7Z~1I>+~ME?U+E7Qnjlk#FWZ8~dDwwQVAuT;19YzMm87KR+$AhbOh7Poko)RvOdXne3 z(huEkF<)q_ZKoYRlGsDjaO3@fc$Xej0Rve30BAe7zqfie!0)fks+L#{>^c+Li>tC!-dbcOc3s^=FnbAN^ag~%?oUO#qA^1vNSLC#{q1ld|bdoS#B@J?^$7e27ao96I<}2d=VZ;AJivPc0x;=x)^Nt-3e826K;dNnk zL$R(ec-=w{lgoZTecwK3$B}P<+j_(9eyvDS5tsAt*;TJBHc0(U9P8EmH3W{h_$)B9S zamWV?) z!%8U5yqDCgWpM$~5>?P#_rLJ61CS4xi=j(yaAjYk6H9VWWXJhuG5hRiTe%P1( zC&t@}z?gcI6+)hIB&dRd|8loR8gdp)LMO=SO!dWENtm7G8b|y#sORd{w&VTprdP_6 z_NAZz*>3U~$($xSp(F&wOs0&xofFv&Dk(u<720sHvHodwxwqN8DW!Qz69*UJ&lHFc z7p^nrwwOFSw`((&mcwB5n7r#5C;8a21_6g+X^?-QRYc=_;^FFOwIdJh>{j4BON`*n z#W<(;y8h<_qP*Uq+im20bCIthLP^z2vq4T{M~$bHMl;C`ycYZJ3(-|eZO1nnXTLoe zF8PP(&^5SX4#+bN3+IunDExMZ*}- zs>Op8uZ<-k>fol4bl^$mg!Q((*WT$_;PTH5aXf;RzDMbDm|6H(BVtLLcgLG_ubx?O zHimzp7Mn0cV0>|rv5_=uYx#YW)Qk*YQ&E|gLLIqFZv7DuBKvlpswWBw!M=zDH~B|u z!&NJfMm*A+>{J<2zpl#IjCKnWy{P@2>@|9k+9CY3w_A=-mYy0$iL#RY&azH1rE3`i zgwMy_SJnG9u1O1-c!NUoU5^D_W+VfqttTs`K~K1DimJI0ef^Hx5Q{w^lt9E==8bug{G+-#Eo4X5mZLh-sSK2F`~^$BoU5dZREH{6_@RUG@JHXUe^bm z>{Pu{$N4HPn0b4rQPj{atb5^F5<7&JmS8KmzMw2c%!Grw zb2Kh&qNmum{xBmw+qB&oGh5fmg(=?8pU^cVq|ZxJXz3LH0O`yMHu|#Cxa$20ji;SW zVp|0)ACzJiwuEOsmPY*0-%N9XaIYu$Ng&;nU#8 zOZ#kn!|p4MXAn1lG2LUwHy;)2_08m!)|`ft0h&ttZxP#M_A2!|qFe}dYfMx3%*+xO zd*{}(A-Q31+^Wi9S_K=hC5BCYX9h|&RTiQJ*RiTuSUx-TyrTLOx6_PPnW$Lrf7Sug zTbo%V6G57(_MUrxlvN3o2zHi)G+Q5x8*L`GV9#2}vAUSw>p8n%$oDx&w73TNnXj=w z5c4V{cMpcbyy(oDTG@oMPIXr~hPXN-9Z#KSv^hQQ}y4$nkJQ zSB2zCb+eZ+C$rJmMaBrhN#)M16bVb~?3Zz^&@rcbTn3aEbo2-cgT+$%@HHh3!3HPF=xkh4h+!ElF|2-Dhp;sY-Iaw=b(`oN2 z2<$mt=N832pnO>31au7AC>Y5$u|f`=w6SOnbK92Rl0e_YW92dEGh`cc3TqcBDxgvA z^Dk?0K9-_dYl@nkd7RllPqhU_pN8mf|2lAu3A>w*MFREWYQ# zQck%>#4gb}<>O$27%CuK5~)$kXRV{PUH92y5PkKbYj&XF znKEKV3tn?l%^WTvl1+!b{NrgCe2j0vqsF`QU}o#tbbQt3c;Y`&Y8_KpLIx5w1@KiZ zmU#-D#O;&)P*+57k>y+_d{>C;&Cy$9a!;~q02^~7vD^+~#f4K> z%v{G< zyPtpfZHP2N;d_4(;WWw63c+DFQ7$mUDmkP|0ZtAOjTx4mzMLsDctGQtBpvd5G(5E5 zp_e_A0iW3)@@I6vusE$albm~0S=Nsm&3@yfMQsW(4C5w^0Rk{F>>?ZS2*T_Jbwg*XStB^cE0X^0Z{`Ii@%Y1`rooWT9~` zfY@`3a|S8R)8x?FXD%fOCo!Ve-PP8IKv+?HQK2a7H=%+nyq8EPL2EYAqz>DgM;BY9 zsFbSy&-fAJn77QCw|12bx04WXSMXf5fY8QFd5fAP%1(%SvRFAtKxqezPZyr&h6$xg z@WD%Q-9dSFh%21d$^lcGr-%d4C!=~*?(*-s5QuLj@=(|FLvBCgAidc69n>1se%=}<+RhwslEoea7(TW$SC4diO;trBw+i|D<`pMj$bcGo;#&uA1T=zu54F#)-@bvN2=>6e8hTpOA=p#-?p@oBWwtA>XQq4caO)ruVvt9Aiel1T z|LU@*?qOG*?apZm-Zxh`$A6)+FCp^nx#p*XP5OTiwkF4@VE_$(G|GZFR)HlaDm0CnV)@I7bW`0!)m z+TJAxkJYLaHjqd^d~BEH@n%6gcS8{V8Edx0<@i?XP*UM8A6b>B`|d;^666 z{mjWD<%tNnQw2vcIk(heuPw<(O}2ReRGYWbSS03bw{`lnyYH($wcM$KnLcb?q7WXs ze_K~M^C@N&5Rzv#)ff_Pcrx0DU!`ZF0gLaY&o1*W~4p^>s5qv zVPMYt`HE64I9s=+pilRnd+`FRM*9zeu^0KcjfC4^hWFMMAxS|XW7^yOnLD*W06Ig< z`mWf>iL8T=^2!5S0TIMS{ji^czaLz+YBYv2E=GJDI&1s+u|7@YmD<#~SBqYk48yRk?%kJuevk5QpX(og{XaJxU!4E| diff --git a/cpld/db/GR8RAM.map 4.hdb b/cpld/db/GR8RAM.map 4.hdb deleted file mode 100755 index a05d649375e0011a30163673eee11aca83628e31..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16445 zcmZv@1yG$a(!)$Pg@5IQZ{xr((ha> ztZXc7-&xs7)h*osw&s?kDyHW4q^zXsa-`apW~7o9wjN(4|A0e$i2(yk;Qb#0hKTmR zR8Zu9sW!f;-;9sOJ$Y%D5zh`yryH83wtGR{% zE6^rc0eK#2MU+$=wQBJ-7k(_9Hwi1X9SX*zF(wO#R4grR)9YtobysKg7rab1@^^Uz zl$BQn_Bp=?_Ps3DSH0eMIB)d^_%(tOi9;RF_y6o~zepF}fmQT4q`DBc4mP~wIM#~? z_A#uEx$W%yMb#B>DYJAni3-~ao<4_nS*lN}--p5Oh%ob`Y#mXscWUkET0cMQM75K3 z`n_ID)WuhW(dc;i@Ga5m0Kl!OWeHs$5RGy5J$i*p*lTC$qPxaX8m!BkL+ zov*jR#wg5tmZO?dnO(N3PG|vAzQ88LpfdAc#36AKg(9_C#nJ|3;yC(tp2&4HC8B1X zHRbV+gs&hK@@qWwT#3?LiNtUW-|A@sWi<{t0*={PKg#I2uL)`oy0vn95Jstdk$|h=sy`Z z!nh`K>z|>2sIjySu8@>`LY^Pyv1KH`8%M()DbGuF>~CxgmaWgpuY*SBaK;5xp4}ct zDV2$YH$KZc9n5!Alw$W!y~koE_8O8~b9mni$D0>587G>Yb@O~kZ$%D5{z7KLvY}cK z0|gr1h;b%5rCTAmzxnqPmGMGc^{GND91?{7viOBG{F%{bSrCej2~PF(#& zOm()!uOnBsWSa>p8YSuEGs-;rn0BKEU;UO%d)?*g<-Pr5ydL6Z>iG0BL;Tp(+#ia& zmFJ6oGVxRISQPF8c?zVV%GV&2Y0wAk?|c(;PI>u9umIaN+FXGbf%7u`)Yc8TZ5u=o zafLtCi$zN1>y}1_n}YwMbrWL%-D#OY2Gc1+(j$JpD^~-F?)X<*{;QvKzM)=uJ6cjc zGHVLsoEeHOk&i)k2Km*Fjtjlj3)~z82#_R}{)L2HgN(Ibgy~V*3@JJ8vM&;D8FXLmE zN68;mK5+zH-KKfklkVB$E2xwwbl@vkr%1>YSI2=z#}A)9q`uD5%AzKfZv8ck|5xAF zX~@xw$-UlSX%W*dpO>YMrSRNyWY_xOFfX!bewe_TcRqdi^@_pYs`*p$_F)bgKWLl zeLI0TzZL?KjiriL?;ny-=8`y(`ui|(t&9ZXJH$Mw1|EyG{mM_@rXl)u5vrabI@QTT zMe)($dDC+eINf)g%T<`+nk3`T9F}L5f8|4aof+HCr^Jb#LIFeUdLfBo%y&j2+|}Q2 z`FYILn&CNuGvRQHcg3@I#t*teWN3=5H{nSgZY^;a0S=Dp;t-#=ki~h<3HByR5~V8h ztrLf^S(=K3=l&g|h(BNTS_{HDI^&)wfJbt)42sMMFv-kENOA*ekEVAMiVV%dE=;n4 z*fTtIVaCdsRVmBrXzyeV>wO8!)m>NR(%J zE%JJniPY0AcX?{Y-e=~iD^L70IH!e?<~af{By|bLiu_0Lg(ddK%dIVnm^PDT zNg=kh&qWCjQr{upFfR}TLL+XVhtiN^S%OVEqE#c)373vhrHVh$$?yl2(D&qE#+C1) zAFhe>4{->sNWun33q=AKMqkS?b>|qIGF6KJhU2KcT^9GT3+Nv%DzRSO?WJZBTBP?e zpHJmCb%IrwG7rzM4U@w@Hn?XB6ny~XLp1p}+Wh<<|JaWLPjK~r9WL$qd`3b~EI1tw zx_M=Lv-Ek9q;Gp?4y57{zh>Ynq%WWY0`|@}UfFFweFAYM`r*6LQ?Y<#{e6aK2OA%i z%{cD{ZlB+~y7$u?wyv!&+<>T3RJ5{j3{=Ls!V4#MD0ip+%XzUZqac&Vgfmz-R$;Wc zO+9M!erZOZ4=7d4RR80N@4}FkqOv6UK{v~GZ9(+HI*MU)g?|q(Bg8v`Vwrk^E*>E_ zt~C?z!Q+PdHuF?LQt7+ga6NkTqe~oON z*FUk0TqZ{xw069snh_d!IV|tjaozlYYlUyyyF_?PA7JH|FOot?N%Zk!_HxfrcznwI zo*s%%zuOJZdvPkzj_@+pqOMWg3MKO&u2RFO30;LOalAasI4F znS?kNSRG~Id&ep}xBi2L|AgbSDuXTu#C*Y@^09=xmz{abjNE>?=LR5pGiePd@AH*> z_h%k@C^wtD3XMYgA^4dzfb3912TPLQ`%3E|jNQ?jmn`<_Pwa2- zg>;AqWyB{iW4#}EXu1$F)AkJ@#ZOEbE}cGV{t7$$dF4v|?CEgPYq0byW`Aa@J6$z6 z%n9vW_cmn^V>BW=I~3ytr8vI#ohC=6PYz7yKkRyE(L15Qs?FLY`egAj1GMR@`|1e_ z(BY4O5KU2d#{R?F)BcukOKDE{Wq z<^>H?H&*LfoK4ZgQ`!nhJb`2Q7Zj+=dGdGUOp>hlA6XBM;%;0Md}&aGIL3zLK6XKh z_1ak(%H@h63B{9taitS(GPFBW7S?qz1jf%{RdMdfmVq3U-8qvcfxK8 zq)@anuJwmEwlCq9Sm!lpmu#15i^a8?00MA2;)yvanp%0TOItZ!^gsu zzL$(ML8csI$x%A&HZp3yxDLSkol+OJ*IzX+;KGOMx9!3F@aNe7EM%T{6|(%c30CRQ z3N>eHI(AYNeH6gmrE}|{7BMuOWo?ILZv<7ObNhlZoRv{WyVOlD)p#JNjb*<{_Z4`r zjRujTI?1kNj0Vrg<-7BBj>?#C2UT+dce~}N?er?jM()-Pwxb!orKK$ zK_-8}_T45JPY*X3mmfu0*m3CUtyHJYmr4U)>cm**4U52HLcC;*d%Jtup08{op)$hq z9Y%gW(V+T7<`U-N$%cWV*bp_M50f;^*Qw$r4sO=YQ6+fZMk#BzcV2#a7C(0=S#!lv z4fs&lOOLd;@1`DKk)&WL=}Xa2(=1_L9uFgiK+K>?*9gnfk!`R0MRgcOA4%J_%|#i+rP&lQmPTL~f_8M5ffr z-MC2p;Xa;xd@yy}(>XL35C`a@s6%z(I&iy8KXea*jg!T&kY0SkJ;E&il{%0cnn)hc z;v$CUNyW>!H?r!Q(7_LERI zRq=>h;2G1Y;Ix3nGOPgif(#q!0rfAKdjmWHRp9+y=w^x*bdO8W!svY{N0hN(PeD+r zrgYJnP&vS~d^T@Yu**|O@z>QrIxcmt{GoL#=K+6n?Sxh5Mh8c&PgdvRO3P_e=C6@$ zDk)lmE>=ey1#qKi1#xClN1d?{k9q>9Q~f=q(d_TDG5~HQgQGR1`WiHv_Yup?(4odx zv3y@@2twHG_9l#w>>%8V#$9>t&x6SDY-N^N(#&?q-OOY`9UN}CqQ68qN06BY9y@P> zBWc3X%z1sI-cM+f8B<&82&Y(`L>~!76Ni^{5y!Ge1?5`F9`m`zcJ2ADy)+*8A492UKHBd{BTk5&cq?A>6mptjWG`@Fbg|*VU#& zi;c)7)qI9LBXvKq8JGz7w8XXN2Ux8*YZz>I@apjeQgGrM@sA3t@yfx?wV!o*t}s8M zwqUcR+qvdt3-^E6RiO3Hv--&$RrqoLgm6u5qJ6nyV?2EKE8M?}sJb9h;bGotDbHdV zpE(*7G{6g|p{l6R@)qLLi)L(Zn0q*hWgw*e zX`(qgPwv!UghJ-k+1dY5U4{hL=A<u?>uO~P&O0nbOHlVqf2Dw;3RtrZ%W8nEHRTR`xp!*l@Xc9vF zR1S5~@~@-mg0{-fLfimOPtt$Ic8griy>2so6pJ`T4o+oIRVV%hH*hXRFoD<>6{NrJM<^TaarsMlR~h|^ss zt!qIz6i6Ef;jSj)+MYGh5mFS^u?OYQ1{GV}x|1ofDmC5j_LNLP7v_vbu-ee8MT?>k zhm4%644Td+^}$>v3!fx)3fTog(d0%M?sZa@bJ+$()HTJ$6G1)bK>XV`h&AA5ueP2b z8`w=WMA5SMckkWxr!zJ?VnNR-8~oKgUf4YN+@<$guYFrKCJ}4BIUVVr!1GIYoOj&KemVU^4T?1E9-pEt)_x&^5f(t? z>+ri#Q{KCE_^pZJP4v-uyvR)O!4A`PIy1?7n|!N*<uXHU5(o2C~}edKxt+v)J_> z+tIYojJ!%HdK=XqLw5gA4tJGI9aB|)O~u~=me6*e2I##b)3 zU77?xyV;PGU99E&PpT#MGC7Mo zmA|kZ*pUTi4w*T-ix>kA@1Cs&A^HZGuY_2AXwPVACws05^WHs`xAPv%h(8%vN`xGH zH$Xes7wY!sD~qO@)0+|e%cHE(pHVZ< zxT(v(dSpAXNT0F?KElCk3wyuh?|7&8@<#^v1b_I4%|C2-_nR%{73*!u^^bn&ACe$KkuKfuzMul9X}tn?H{;!Hu8FGfHZH_(uRnJp_?x zAj6I6e!iH{k2kJ;Yw|v#XVM$~M1G)f+#4_OQgn*w7-vO}2q>|ulM9)xBp%4?A%J3O z6mnqpI=W(`PwerKApdt`C0$OnsI?7s5-JYFZ+dwQWrC9Usgiokkg-2U{7u|1 zRtgh?bZ{bbtm!W*9x^kwu}C4f!VR*s8~jTzWAGy-R1(?M^@v9GXjhxNl-Er#iw<6UE&7zIz>ena(s(|TZpCM+K7;^Iju>R(Q15$TPV<3 zo^Kf|vz-~pCN|F^pOshLN>>Nc=6`Fg3M!fG%t@=6z@v^D^{>u^Wv^OH+k#j#ke&OY1ywX~|$n(>s_uNc_Xho>6CF z8S+6Mc0Jj21+R}LIj~W1wLv`~Pbq-^xl|DuM3oHX*5T@~L|B$HA}T09u@BDoi+=~R zfhgo${pv#!=%e+9klL}=g~rQf?#s>-961CDfejo3HKq&hDGgGlV&0~h)JX;+-ZUo# zAwB!_-URmFkUfcRCK8Pk-Z1v-C3*>-tCOb5f|zb%lC~mq zV2ioQ4nsChlWz4*a-i6PaZ_$bB7dLPg$e!fQ^GA6&ZqnxK=Gt67)St;Hu1~V_EPC3 zvSf}oOQx{)rD>fGo(jL?awxg}b8K@z28x-ZQ1_gCDr!mY~jS@oS;+w}>bFwpFl>EuVsTPr7L?_JE^_ zL0HdFH>hO!5+8y4{uKv3!2FoE4PYDTr~E$9Y#vrbkUONF%a?(EGF7K#qhBMCAl7l{ z?)p*mkn_|z^syYc&We}lrxf%Phvm-VIuPhQ1O)MFZL&l$vR<1~ZYtYC^gzdIL2NB3 zl^&`-kK%pH2>WQl>s;efd`1Xfh!B|jEgM4z_QV+$CwcX6T8c)#3&UZmuO5HDqPAWP zqz4_*CVuc?R9U^k0TKi=EdFgQ@}7|Dn-kj>##3yv8S;Xd>T8hAv$jZTVP#?Hwxy-q;u@4COlNU;Zf2V|M>WPR5^n`OO8n z1I^)A?ta!KKUs#h&M)bdl5v4pQq9dBjDrR#=2|ni4*#pLsDuGdD?Rt-$&C#sYg}S6 zuXgpK3VFcUE<1Q3W%g{#=57Abv{)APLWzK6w0^gr-GQK9G|e?ewkjg#C81Zov7$Xm zXS{az)U;uH3x9%FV3`}_dOORqv%7YZO{O;`?#RDU)&f;;_PXqq8!t;}@a=95ttXes zy?z+~d~e2Qy6x{063_--90^dO-z0$R}4Eyqo`nODJT z71r&dRx$O-Il1TqkZ}l{$^JG=O*jy>Z3v-#vA@g_(=rS4k+^LYb~Nh|hpxJ!d42 z>~lH6tvW6H7T@wE+SHg0y-Kaac-~Z6<4!nCH!CNv`J#eYGDgno@Hde{Rfm1~G`WuT zS`NKhIct-Gzk(LDs^Iwpc8*)CYv8C<@|S?|NvE#$id2MWjFL2jN#7pLTJ5e}vvQ4x zFo`Oa$I_|u%&6L5cPQ&13UHTVH~ zn;CRPtLIJte8^BLZ7Vifx07leYA0F*lUCIR4ma-$I}*_T5-?lQBFz@7&rXFZ3|LiZ zdew%^0KS$Gx5_1^jPZN7o-CGYAXzGHc0I|6MEbQbJ9l3-Yv28xg=DEx;RYk_?S_AG zv?`Ge7X7eXMv@+F8^dJ$f*7>I-*2UHh^^-~T&+8CH)A)|8(O6*6Wrr1a*%`TlZX1H zPF-3|OIfAJtSe+*>ft=;?g%+N13mf^_jK-{!gb?KjSbwSs#*D9@}Ix^QO zsQKPD<;Hb%IC1*`$ATP(yl|8m{SpGeY@a*tl*QLWl~ zEq2I=Oc320Si~o-Ffdg_v0}w)4lhm_dbEa8t+=!7ZvL3q*j49Fl`0je9^)HgU5j*F zuxo}jHyc*P>qj0GNs~1Ai`UrwgVHm*I2j>YtXorU*&Alnn^mCGNdQegix&O8UZqiu z$6G^skI9wGwdP|_6u5?+39eohXZ0e=K=~YDg?XRGaBQXdqehgmfd_PyAn=gjUaoS( zCe^M*t4D{nRle50Qp>5>s77Kmxi7j>( zM>OKg9t>F&6G*7Yac{WkrFwbHKl@RLN^`+^t0lelY|;BTNIeJJ7PKXatMGn$*gG(D z(TA}n$TU}nYBLf;z^=N*n5G;>y(-&XdZIX=XKsQY!Ev7QmcGD!^C4*ZW*9UZnll(= zgyFt!!D7jrp1~pH#Hi-GkWQJ`6LihCA>>b`l|MFhrPHECIbxeJ*0+#9)E1|hOoChO zUdh$ryM@P(LY*BN7;UZ_5!X|4meDFXLSvJGg?TNYo)d0PzP2=CjX2-FvR22D-RewIj?D)!3z8qpf|%0l0&-%-S6uF_tsNIVDM{g&jyAe%LW_w$2uo|Ay%0 zUrBa&m7kHr!Ixr25p@_J!Sj3}6At9eRn1;e)^-Y;B>cEd{*`jE_PDshYpaJ##~#)8 zdu2yg==Zy{drzACc40A$p=C#16MIich^6-xw+8hD{@TQLB zVF?_}0mxNt`8wQ z8|dr8%Q!R-ZC4?U?)R_mjeB_uPE_8qdtVY|+1QOP8@pCqSGLaoHDYs@;Vmg|9(VYk z9**C$1a9q|$nOp(I40-H-u7>34^JrUQXT6vvdC`P?J~6n#W=QQy#&&(H2qKUWdB@_ z@)YmaE>u9kZmYwf9&sP@swHvf`I-4^Gof$(bBZ+h6(q$@KOsxZc~{rNK1Sdx^*JFSzoF3^>#qsSgi0Qy?<{n#I6=-^W}`dCL(grL#-q2%YaQLr^B$wk#;J5; zhQ>-K&tC28eL?7M9+}LSTju7rje(8%s4W?)~|#GS;al`A1Z9U|ey%JKZL^8-~;2-b|F)wcl}@rJCseG%atob#hY_fM8Ka z_3wHu((O;oXWY)XfPu+9K-Ru=J)%NEkNEC$nj(NwxaYIzuyO8p+n6zZQ0X2`Pe7Z* z2-Df8XXB~7@AIsVKJtgL?Q7W63w{U`5KUmU8 zo}67j8dphx2_&B&`>j}_e36fJ#yw2u{K=2S!NY0b+3ftF4*FM;Smo|dRKSPa zXvCy2Lv2vy4eIZAu6KD5%c5}WLrB0yKrE!+Ba{Cw_@f{W?pQdO`h=;j0_(oOU`jIS zR3{}D`k}jq#E%(R=9GG_P_*fn%E-u4TtxWj*wN!3GMK%@KgYBQj$<|&;C3Cf%NTU% zVD(CfEcjw1t~B!$dXJS|PQgVxRSE>kfN#_jrV%_=Nmb@80-A+|%V$V`yWyC*juz_s6O@9Q)#nCX)V{FWDJFz4zLO=|)KSU(*2Sa1Uwmcez z!3Sw1(4-(hyl*t>5-{K5_oJg{QHpVlkKAH=4JWFk;kZX$Qd!->=Th^&I#$~g;S=wQ*!A1`)qZ zsV>6bryOgR1w97Eh6ro-_~#fiMuoFRNNa~2Yt16Bzoq=RZ1QJqg5cJ^TEkoL-XX6FkO!x@2ZNapxnf6{b3uGZOq;qjWU;2m zt6!WJ%sC={Ey@}bz9W;3@q!IxL4a)WqR4BLRCVZsW}Ftp`B(2C&3uR!V~f6KNihUJ z_{2RZ%rum}zQ)S;KyT7W0Lak?Sc!+7F?gHOoMG@sOdNZ1JM zoJP+NIY#rqxRB;#XOsUh-Z3mkJCFf$?|V(gqyGN)>)r|M5K{-ZXz#Ce=^_0pyD_wZ zX@=8A;J28t(bE7Uyti1;Q1;-jYxtt5Xzz9! z%GgK_T`1ATxe?j;3Zf{n1S;Z6*g$3PWhXe zkCx+WyM+<1=ppRq$F(#UGwi_WtF;3=(@tZ{RwF~J# z_*H0Jh~SL4S-Nmz&U0MAJ=Kk+8ibezh)HVI{{H*b4($#}V zl<~elp$jE@>?eZQNiqX*3#m5~9*pBbQ2=dttq8C2R3Z|ljDYn;dX=NmNq8otp+9b9 zLni#ywmFRs@T#KJhmdfkV5-=6hoRWge`062E&ObQdUrb&E&t~`L(xX;FYG~0^Q>l^a^CVG3=@;rX1M1rR#4}Tjh|kiPwtmm_7=90QCEfD zfmZXJB}?_6m1d(aNn*1^7^{-AE0TKNcWIJ(ZZIaVN|)2B%^Rr$N0*YI))h`X(u5(E z2KIrtlv1InUNl10GL2+7a?!cCQf;{R2ogDd4S8ShM{1&wn+qPBVi@k5VhDbL`P8{@ z(HJx3tQl^yO#`Cr@8$54@7%0qhICOWgW;4g@rPYQ4N29LB1O*r8byATRjDfhBxy&| zBxy?K^rtaVo5}bwQBIGlQG18QYWIyfE7BcOlvz}wQ7Iy!YRJk|s;OrtytDLCRg{1k z=~9FRw<^(^5;uP;SGv1%InISN4<*`Fe%RxlPtdWS4DobA{hDk z(|f4@P8AgS>wXks(AXHVw^&AVzLB_Sd%ea@R|xtM)@_u}bxD^`ekq37!dLHYCDDR& z3jvOack!`c-0~Td*v!n}5ct9arNg)i50}fSXHGdm(Ze|1l_u*!xZ)At-2I0{1 z%dd#&rV9X+1pvo_DZP#)kC;W0OoiB8wj^PI=lvqvsBFX$7 zm4?7HEwbg6a06%TfkO%=!QL$t-tp{8Jq13S`gh9e&s(i{?NM?yqpO-rO}vsxY2 z_=S{-op+-~G|6$2Z=@lK|2P4_mS9TM+lVu6c|8D<#;8S9xDM{cb=yW;sjrt4-g4g<9ImarLBLHUr}G@6!=mIG8o> zwyRuM2Crycm4grF)}D^4xR=g3w;g(;s_{&2P0~Y1Tto5iONd-$u#fYsrX)2W5;cgQ z1XE6jTd#!+ASM=@o{m%|ee_p;j3u=YjKa{oO2_$4u+MB?i-sYmVA|8bF4vO~8LubY z3JyrJetw|UdgBDz`MUUfySVYdkjqRfMfst)q+bz0{b)mX#>>gV-{6@0I&kOZ!!z2) zVwi%;LJdJiQC#mA7mOmlki3&oE}A}p?`g#qcX|H}`xy7|L#T`9j#sd7G`Lk_#8-qk zypfoQBscFHQGVVpd^K`14Z=40>;`e_^F%s+3;e`Aydw2?OoDQ5hnx$NJi?8ks5XF` z*1~O(>ZgwWe>8c}r1;4{O`qMg#)&%P{)Bj#vI1-ZiJ!v#xypzHWCKjHdGx zQr3M1kJRivS@#1*1RTEHRjbwUIQVzH#_edejLr9w0H9HgISm1WQ`^;#}L>} z)U;l8I4rV)63u-5T|6v>qL5Ru(f|QzD{we}^wf3R)&Q0TGI1}}#l(Y`bS%sT8jTLc z3;VV1Zh@MR)^M?T#{Nvq7(9{(KQZtdApyT~BZG#&CCj!pc&zYk$USO*%ilz1)Au>}C67E$_1OxS)Bq57UJUA}zpO#DrtJP1-r zG`G`L{458`752wAOaF=wgIOE)H9aZg{57W*S$zw+Q$uyfEQ(Jq(Ty+vek}mFexB&H zM%MRL8#LSp2F$ZjSK=$!P6y0e6G0{+_vij6r;EW6;`7J-={~Nl3TpWlSa?8!Pegz) z*pqz2bDN2N=m~grVvmT+vL}w(%0NLYD&9j5URiK)nu#L*zpT`Dq3r@bZFV~W#?q3( zkU``9sxY(9OYiaDDJ2NIWB@W$PK4*5USnsbU*pzDk_T}ciS&DC~^voX9FU7qBlOGZPc8#tvgwpQ)YZ@wlG5C47?0GI-{BW58` z{bm8SLUb#1XDgBeA#sN^x9$Boa$Si`Azo}97mxI)99O5P!}EGRLVUSu1~{KT7t1q^ zc*`Sl>#&v^K5AzWlo*R_ACGR|bOLUzlC`ao^KiOP*piLCEPo)lVAsSGpaO!$N(~K-^A|c0 z9~z`K8Q>UjV}cOOJP@8fx!CH?dZrQ4+T#EAL{`7^cqj=gx$G9P)PL^&od#O8pUO3R ziGsiV(&z33~As4(iFE6T(sxmp!egq!6Ird~S`kSdXa#IQQ{y_h#T%$MI3 zvVFr!fNKbLIh|lR*^-$}%np-hD}sIQ+?TS^inw8RNuJQ8-FHK$H}<2{Q$y!u>J;}P@cKJRv73qM$uy z^~bC22=&qwX~Te56t9WzF{Mcdxsx8Z0GE2jvV1a`n{e6pYmL!rergGMkSLUWXP-O5#Eu6l0SXJ|_;NXv`HqfB-qz z{uDSl^8l9m$Zj~T-O_q^ECFdW9VP$>!@qC9Z-Iff{x=(fI*=#{XD|CtW1jk3=rrP} zSP9(z6Mds3YOke(=r$q{2dX!l&_0rF{OMm)aI7N-vCb~Tq*?f%o{&L;2$$u*z>@2R z3>Fa+gX3MSxF;1~Mv3dGSfU#-{t|FF)KAw2P97nP-ceZNP~~v@(xDys)5`rFhVx37 zrvX3uf6#xf9l)kuZF4;#wsxCutW3vg9if#Ja0~=d^djV+QhTu7RS}Rj4=`|n(Tli# zk=v{Mrcq{>%&4k2`N9b6(erFtP(pi5XEVQPLjhmO@a*Aq(NEo-iKbEp5Kswhu|JJY zqG+EIhK}W!ZzWR2k!UJ*imzRXHQ&OOCr8O3&^xMk(WBD^ui+#|s%s*(_0j92q-g_u zn9y3o-+yBLBG}`k6YPbDn{c|ds!m*95AW^=Q#E)cuFiYJ|3RDg8Vp|K@mvfONe*&G zLK|L7SS2HQBz*zzG@Gyni(2JpDD$5!kVe0;gp^3S!G@GLo)N|;jV^Kq8`!&SOj4vU zA6fmV7im;3&#jX|B`OS>D3I$9B0yV5dI}<@c1t~l3hgx?fJ*%5CFp+Vs`mCMh+6cC zq{i`%mbhQ|mLwW!Hf|Wa()q3)hBuu4sYrHU{fBbhFF`iC=y>^BEtUBka-eBI0Lm`` zPaTU46_Q*j5@OXPBF8?$e+5jn^Nm%+tVXj4viT9dB_|Kv| zCllRR^6xVQQ@)Yd(U645aXG$S9+qtu^KgGIt=!Lp^gj*k-N`^!aezy3u^w zHsTsxz?;`BF#fbJSf2RjXDfNHwWL1@oKB91;0G)Kh+69#Xd(H}Qu1vj`Y8(gT3BmH zxi<2%g*?zo@}HyR+rQ{1VTN5?5gn;V;_5_WJ@mdN@{1M%w|q^Yb4}!HZRD07Ix3jy z^=h*#KGWAbl`y(tStHuq^vnD;v|h6&?)H&s%u?l{bQYmz9Y3qmr7huh*YlCe;}8FP z)R&lNF{193A)4$sgjAd^)dLX0Bf`Cc*Jp#& z^Q~v+Fe*&TXzkl4fwZc-rNBTEhFb^f2nE(RVOR*rOz*vch?)o|Ytd*boClGylt#_y z;W-iX6)Q4=1msGj1mQid<^-O{%F+IcaiV?iiW5=ilpJa8Uo7*KKb)% z4Y>r$UOCCR_-~;=$rU&mt<`zzX;IRJ?`7}0K^)W!;z11#ql&x!HhQ} zH$#|HJHIh)TUSeLnhCaq!4#{#?6u6J)bbk#PwecnZY)3Hm?s{9;*2$&eX09U@8x0I zQp?(YA1EZC+fU_=II5_RJyk;gzB325YWH1@E-vGi_bcWt2#%LYL|z}-gxLaO z68b+;!N5L0y}?Vg?H#Z*v3e=$({Jc6t(hXz#F9c~juC(5=5FN9W$Id4B^9}9AYdsV zKoO9M!js8-rw$cEx(RV9;WSwg2pf#l8*gJLTPsuBB$_X3RZl`&+gSaFQz|0 z>2)zBxFz`5J#DD{kKqN8jO)Gg-aSi#Do%lIr`&U5xi;sx9DmUx*UBnjS-mG`A_m*B zHha0&(Qw0{v+h1ha%E&X2d^CZ_fjsVR`QFR_`JQ{pH7;g)<^ znY+0{#@&cU^JIk1yz0fv0^ zvwehTDXHhd3_yc#HHQQ^!0oYsjpmBb*=EfOL zlBq3m)uAy`T2nM}X+2k>@7WT2U-`lcm4avykn5wE257snTz{bmHwPx8e50NXZm6K& z5Jc^MN_W|lM11!(_ZsGP2>sqoXKs;(@h}vd-zS8qrYeJQ60OESZ+m}Kqm{Bm5#B{o zve0;_{t3#1MeE!SR{4;k*r`xT$=8hYcUWxBAU5haS3JYRxtPIsbgZmj^>IeQ@QZ3S zT^>=kiDsOyR5H~~oux%fn0W;~`1Bza>DplcMG!+aV}|@+H2X{!JZogcX*?Iug{{Bk zsWDoiS&j?W=Nc$9BXPIECw-&FdMii5oR4yuz)`bbP?dUklt9f> zb$Ehb991&U{~eiSnG~kr<_Vh{cTEdn&TG)SX5~1Nj766x0|Zf-arA8Qxg68Gs7Xb>6lI1KXbJB-=UYiR};dxAc;W+CV@89d68^nptA8ow_y|nZq*9L znSe85DHg4~EW~dXBYrTP-C&h}W6|E>$ezjm@%D->Y@k;aW0Lf)ghw8u7v-U`JydMq zY3b#0QVfXTN6D^rKyfZ6D(n6btxnfsKa#_MxQGU;$Hc8v8z>V%M38I$H<3qpe^R@6-K7Gy-q@_t=98{L?xaY4}>bG&8XEL%c{os|-m*TFP=wyh)# zeN;84%ue)8v=EuzaS`Thq+X5r)<;X^U~Wc55L|wp)2c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JoU00000004;t00000 z006K700000005W-00000004La>{Ux|6EP4@KmxHK1Q!m7!<-68X~~0zwkJZ>A`nHP zsS2Esv+-_?y!OhE!g7Is%bgqIQ9iFXO%8<@tNGgPRsynM zE#_jGytGNqVlG zNcmX+w7;Mewslz0BE?1B($4}tja~*y9*x)vsR~qIXD6)TTz1L6}Cl;XF9+%yHkt)#7S6?Kbc(G?#=CWyG76 zr4%WfN={g74{Txc6rm0!?}R%7v=A#q%(a6Yn4@Zb`FIqOc%7KlFj#t2NGytE8QOSt z5pzogQ$Ay<^9EQ>t9c&b(qW}ON*XKzwx|Q<5s48W0`|f>Bc|SIW7mkrk~26oJVS*v z7$-|9o~Bj@nt4;#+>ARWq+Ltet!wCp1MScp1xt-ixs;0s^)R$bm?BZ46l%fyym(lD zKQRECZ&X%bX5!Nnb&+{Vs~9J^O`lVLox1(4_2JgwKB3(Qp#7!F92MB(5LS3Uax*FwjG2Ye3)z{SzVJa(w z08mxzj)$-KfHce@5C;T@1bOe=)K!8~_UQIXX@T`3I)N$JO1@2j(3vu#%(So;d{^6AcawlN1A^CHg=Xl>!*5ub?BS-WWiu41PuBRhwhwavgllSxwZXR)fVR7V|K zn|0nXWaOzel`Fo^&a2w7#pRb58=t7xv#7)fuq#uL`+bK3tCI(R9Nl*s$T-W$<5{oB zV7N=9) zXYcLyffx8qRN)WHZ*}`>`&FGYhD;8)U6V=#6Vqun(G+cJVpvyExhj|>UKvmej5=d`rvVQbLT z?P$@*!B>I$;V$>$Iv(0<&IKobFPL;HuCGBdb%vE!o#A`oDXIT30<7TOTbIm!lu z3Eci}D~}N*=VY|lyS=_X;Ng6b=x?AUe)G;G)0&EtEp9okPQTo?cKe+n|`{I}x^g>T1gj-Fdpt%Fm`}$$}b-K>k06ZSD};CY-oc zQkln$Qt+Bf!47xZpD9^M{FMZ~2O(6`C_iI09f%Q{37bq6zpU~bYQ*gPazt_N#nsvC zbCINRsD@v#%Hzh_UT#bb87{}xmFlAI(oYQsA8psXz$ea`U@lf%a^ zf%SX^O!CU6!pC;56Ytx6eww>#g_#j?Gf}3PY*pY;@J6Y&4a;Frf-0Aw-?94*a*OHN za04f`&zt>^@|B z_Iu;{Wa(_$19peRM{!tA;*rph-O%c_G3bIi`bL9w+Nx7uqzEx<$}Q24z2F|-w_@#Z z-9q&fv8PYhdDLfJ`V8Ga;Jia|LSe}nqTJv516>JQS=vM5_FYn`prWkKY?XG$fx&K+ zo19qkPH;RAd((VFT$@_#TG!#(qr__*9DirDt$^)kKP)SR@i`Z4+*ejG|9keUA$J{T z6~nNY!g(3m#t%Mm5BZddl!@VV8zRC7)h2et6`^>`TOWCogFOu7D#D{z3S!z9J|z#zh;C~AEgZHgYkKmD)%;$z z?~hYY)`qOhDDNGMr3gn7tlMLcm59vrtZ4O>A_PeL+$hiK+m$D3i&S4_H zKp4=QbESduuN^N^EPA?eF<7Q z!PQ{|t`bKYW&W5+a8_J`vo)zqNq*(`UfHf^eG<;xWR&@Ea!59>VB{}DqK8)F zX*ETw!&(oDrHb&P9z5~#c4KS5`zJ{`<4#wTM}KOxOU0Vkl6Sk~bUz3?_J(W~7q?$- zk4i=bc=3f8-1|zLwilT>xz$xyqyFLcE)#CPw&uZ(j&Or}t_E>J?4-Ch)VJ8_q2=|T zn2C?hddg7rxWV5Of$*no%ekAdbtj5D?PbrF@=_HP&me-Dt zLiDywc_RDJBqAZv8LCK=HFIh!*Z&&HhxX7K6pl>4_$Fnsing&Vnx(Bfh*TrJW3|OO z#z<_NxleY>eBsln@prIa9`7N1-)1aU*q>L8FdmksZL6hSQlH zKjn@)13hR?3&-9zkAV^pcbO z^Xy#mhkKRvO3Dyr+uPt>zpS=&p`#i}r^g9#RKn+D;x+3!tA40Ij6Xg>$rFXB81Iox zulBy_ckowec`)>=^>A_3ok` zC&hV1Js2{7_-GQNibL{jyHV>Vgm!u@j9Wx{T-@Q5$LmXJV+&;>Yz-3-n_ezct1|q^ zt|Xc3wXx}lF(Kwu7BspsmuoA+Tn(@DsrgpWuwSZktz-JWz`X< zw3#vNWO5l?e6xQqF~FyuYq0ZA| z8nP*QD9GLmx!JO*g-u#N-72nXywn7F(|fO|x(u_y5%9|_4+(G9Ha_OHvrFlVPTCJD zFBG|zSafd0RGBM}gSp!Ls#$FPTcN;HW47Dbl4s#eyp>j0pN;79S-Vf%eP!a>J)x@S zZi4xKVEBHTeSE~;;R=Vu!kY&dvolz{wLRDueecEM?FCAsS$SXE7<&wC-q&)lar%6cyqH?p#lJOCA7Sc3cX`$Rx=V@kpKmSj*$v z2Q`Ha`ZzJgVMxonm~yd(LCy!7$^D$8ZZkz`Aah+uv6xZ;$%dHBQ*Yrj15K#f?SrY5 zMNU(XxF_cyBZ}fV`Kr!^G{gz5raro)>A?H&z4RdK*N=H$x)n}L@=gj{MOdsyw(9pf zeAZ+nSFW4tZWzQ6ibn@Ysf_F%_eIw(l75ChrO~o;%;V$Bukfzi6BHa_)Vy?F-Sf4z zz|GjMe4&f^>v`j*u1S;u$g7yd!pd774cpxw28oNTPlme-iwb}u&lpAz?$X`4e&dwW z=Fh)lWqoZlC-Z_%DVI?XvOk9U6uLe;yUS8ino;j*pC9pbRi9{VmmAN-Td{D^>WHY`HpaI8)26C0{%`tNtnJQYNB# zQ08m}mv>fi&ly5$V}+w>G5)w9RBwN=(oPYl=Ww;`Dd2~Cly2sj=k@|VN54ofA#>j& z)P=TKbsn>ANHi*J&v$QUY#cS*e!!8) z5+TGVzoyC&IQhIqmK`!Ju~yujU;pB;+-^#miJ4rmCsyh%_Bgt*5i)-9cD>Q@XG~O8 zw228IcOqlxRg(MIuP@rxHxuO(j1s;}86~_(e17^+lfdG@_gvEVYVGVk{0r2kp+KAD zuyxys@S~4!ROO~%k->08RE-#K5T>@i!r1=nO;*|z+@p~4WZAw-cG%G1VG+O1#k?sQ zeZsOf7${+zsvCdWie}*M6LEJMW53#5^GZKasGLw<&EvLkyyg3WL9^q zVeV+vmjZ{r8+${e<$XbOYU9C;oc9Y)-v9P5c=+bRQAKF~CYy9r+ug=osfnbvC4OeX zN^iZH8!;x6YoLvYHB43_(gH%$2);p2Bt+~`sEostOs{Hr_76lzP63eq?Dr~;PtwqWk70JMm zP?1EYnj$}nK}Y0;ckR5s(((=NXpj6_MUT2)_FYcO(EYFcCP|kHWh9@t3~Nj9D;nR| z)GW^juALTchiX4irVh85@k&T1j<5#rJ2D;>5fwJyTb@6tpZm^5piW zv?U`=hN6$W1&=tDZtk|2C92Pq)|@02_cBaNDi6lN-MXgC9o4ZNXsfiDxTB$nFd}p^ z?&wW*Z=|PHgQ4WsKv7mljTQ2z$gaxBK(tw6q-PJ++lb&216MI3oOMdHakrXLljIP8 z`hqt-(zS>B)X4f-wprqFofYzzNV(75p}3>x)xA>FlHmFHqdV2T!F5(?OY!J*6T*a3 zBG9czp+nRSTHUMTWu>I$fz;#M^~u%MHO5TVJcr&jvnH9hrjzV8TGDNZj{Om4iNNH5 z(Sgx4-UPVj(tua8{0&8;Vb3Yejj8j6_uRO;>N6ecAeA8|+}w%|%wzpE8`V)8V_sJ6 z{>rtSRp$~-GziRQi6T48qcIcuTP7*J!Y{B)wN=4~4|Mo~Y*7CC}6rkK9&L zwHH$*$Y(FR)si&Q^NXF2l}l^_pJIi_{OZn0i}9I?k=IknvG%J4v`gkT z9ab##2856VRMCEO4V`%y%kjqGE$2i-W|igd1CCWYNIGsa9V9E1D@@En52{CzW?e@x zf}Mxr#VEmL>cbmSC&X;LB#pDJ(biNnv3KHGPsp>iGwqHY7hE|n4sTub*l}lLwuc zKqnugSbI$!zG)N4;8Nm~BuA<&zkBH5Y^H}Q7x$@E5Foy-dCEKHrkOlbYF%tORr?b*ePzig|WzO+I;ouXv*G0@@4 z+b2%00aIF?Q1@s>xVw!2bV;S*DQBwbnC*Ro5@AnAWn&5P&U-<2$|u&vEM+wOU9*37 z;c90@2>4>3CfM3}Xj1klvRCltZh~3Zf_Q~Yr|bc7q9rtVkI3!cR}l^Ox7)@$t&+&9 zJd0v6M*(8La#5QCi;0*i`5jX_F2^r zQFWSxHu`o;F3EIi9xi;W_;X#HMDB72DUu79`Yhh~-BDt2<%x6J4IQXF zjBLC=#=kLPeKT_UP~Mq&=gUbRv22A{Kz4S=u=|(%j7A4U1$#v+W_5O4%1^d7#tWUO zCG_Kk3^#tYYhn*qSXD5YCO%ZryBd#YK(y=*XqbJ}$zXN{l^=hgFnS-q-ve(i^9iN{i{dekEGmn-gp;=OGA>zLv;2x0}$kt zmwjUfvm;4$O__f4y^M{9XGXoB%=k`F2U5`a%ub14+s9hkM{}>os0K@i&|s zpjUg!XxXvE{T|RxDEz^L*(n1oW!QGMjAu?+SB(eQcbLrMiX5& zn=r`Ou{A4CJvSQ9H1|D$zc!Dw&~;;O+@AjB%yN|4l=YH?kL7ZG^S#)IQ{Q;xi~GhA zjf6*AR4liy!}Q8Y1%V=fsi9z>UYzV_9>RiS0L7HyKu^N%kAvsiJtq;!-5pMm`Li}B z@;{n#tpHQU*D=~%E9&rEd&ikRt+r<_+gw`kE$%x;+1QyWleDizr#~xD)=4kZ6m{S1 zMhdJsmg2Lu;LA1(v94aa;dh0t^Y5WPD=h2@8@tI-*PB>#K~qCKn1i12COKfl?7)W! zpr#`LsZ>m^6;MqX1Wjwd!Jk(h#?2)NUZ*uA4s3aMP3j{1yIQbRUXkDyEQl9*Fqbwl zPTC9SI$d2Ms?5wg$tI&M`l6ADWOzPsr<%YrwFs!7f>dNkknmK^{|qztnE=*SsC%;3THI!|Ngm)Wk< z(qc$bOCWLO`NM6B;3Y`Yu)P)5Mw6Sn#eC%o~7g9G)PRRU?pgiZ#rZAQ;^!MBvb z=6j~qnBRbHrj1^GNjWMRRHV~AcbiOWrokD5Pfs*zgsov6GGoBrL!f-A*m9$v!L6D# zh8OEMHK(E9ysXK8DDsu+3bPvgt4-m^RlAsp-G->BChVS0dcJ|beWg0&_k5#2>*hsn z-u)(=`lyc9$fcbXFTI2G{;opSjnndyO9A1#1Xx(y9I+tcPkCkE{&N5P!j5H1gz5sZ z3XF#=#*$wPZ$1@v+PaKF?vh)@X(T<9&wQNzqhe+^Bh7wjc$v`A2TxxFrN{<6dtEZN&hs_>SAAou zG(NVES#$iou@<|0hYR7KCmA_l?~>I1B(-tSJV?Q!9j5BC{e1qj+}0%c1wWC$v=zmd zGy9$I@Q5uY5Oa_sJyPN~Q92mu$}IZ>>=K&Zv6nR!$rOMYmH<8PDe`Uhm!f`FXkm^+ zzyv$?cy_|tq+D@&lc}{cx4BFnI>HB43Q|7``XjSF?y^r%s%;^u78?M zy^0T_20NV^5jP{CK~%C$Eemut*|20+JAg~&b-9JMh2h2QgP?-|pNJm5UkTnv ziF@BzDPyx~M0XCv@}+8{00y4;cj6msxq|SsEs)=Dspk5js|!lvaGx=2_Tcm~OKI53 zm-Ogd<^Ywn7d@uj_|BM-M!`)l;SZuAy$oBi1Cibt&I@hRQi8w+#m75hopYVc<;8W( zNOVP$qO=tDF{WrpZf@lznueDj;*YJXGSUuZ^I;tGe@#R&EA>V8e4`#lUXYCiSA5zl z_p)7NUII|sj;xbLaKk9DCZuuttoku0QT8T~rj*Aqqa(q49v+SWUfmqNj3Q5o4f*6= zM$r>yUZm6)d}7jm`Vi#;7O|b4n;wCg3qLi?Qc~Dg^YezSEH~+bLA?8C#bm{w`CT~% zO$jw`Na{5X^K4w+_e^I@7&ZAWn>EwG8YdsCUa?$}z?rMMuXcQlHFm>_H~Bp$rpr*W z%fJgDkPX#g2{qp!l+xi3QC@}}9=(j~^3AL);j%}n;^(bFH!GG~IX0Tjt|Nk}>V8!I zX66ZE8!H(Eryl|xcwKaqI|$IAb^gsn{{ifx&7GjED}cFcrv!?*3<~X_HWMrqc6CL* zOe>+*bS>c!Pt0vMvZFyps?@`KO2@pt@{o!0w1!dT?iF>KB;<`Kugk~!I-71G7O}xc z?czHl;c}g%D{?!$;AAL;dU=!G95v{Za-e@%U4Z3#dX8CNm%QdRiUXEAn!ftXtYM8) zV#>w+woRi0es zMak^@76JP^Tf}+3;2czV_g!j6lMAMLe0ngoOJ=Ss#m#;tTwGL@&^hx#?-@r^kbJM z|BAxxb|y)DdtuHlFI2Y%ueh) z;wu*r-=M#phFBTwp@;$6)K13N-XT8Yk zzo+Rcw*Z{Frd;S`eVC{)se#MzkxH>?V1t8rJ$?0;4#<_NepCFHEu9f!ackFLi02?B z-fzNt>w(R_Z;56Vkw4v2$V8cw+d%N7Z|f^l2~;LH8R36H{ttEs^{eIT`rov7z1xdw zjUUa$>Gjc~$g5{AM0sJapJghNx5l3%2>vP9YEc4mC_A5n5}_Qlx`}O)(uE`Ik354G zQ~#DO5|=L^MjTqEP_H;1A8mEZoOB9OJY~f1xB7=Wlv#Q?-f_IG{WZWp5|;B8!|c-L z_6K`00aBgyvBiJf(rD1}_rD>y)$|sPJ~_K99{z1U@U<-JGxCsIi5^O%;QAA?lnQ#B zBwbWzwI`Lv+cGb^Q{<4FdZfi;w70eK!OMggtgyxm@_8+;RF5r9Egs5QK1@8R0jT>= z1!T=A$Sqt_US7kCG?&ik911k9P{U7Za!q}|w|s(Qy1#UQ3;epZj#XEwG&HMI2++19 z1VQKi;YXj{3h;0;Kpg{z{TCAd^XV&~LoO7(WGdh1HZ5!GySLaYw{||}8SaI0oZNFR zI|Fg4;vw~E@c;(Z)1^Q3(!Q=9h5_%zb9KjlhQlXxqK z8#CZ#c$TtPN;j?UC0ce1;}m~R-Wvdf)4Pk|J4)a(|6B-K+3_gtpmPZO38)}<_&Xqg z4r_h?g6~3869B~9&_DnCH@vR2JE$r{1eGhqgs zphVYs1SRz$ukTh<6FqnS7l4~5+=#D*;upzh0GnaWZ$WRLjo@B6bLOH?W~F?3r1GE4 z7~K8#rmv65RJ<2ZfokKB@Cm)YC)4f+P$^h!h z3m9W~V_OQiDnrQ|ym)3G*x$1UOcQ^L<=D*Pp3=OzCE83NJW;wCZqslMM4p>-0kZrD zP{?yM(v;hqg}cb~Tf^rO8A`w5l&^Dh$5Yfr=%s{s4sf8Wzv$aVTtgxyTX6x`VJT-3 zyL_O`S=X0Q8NHf9Nx2!juN_^gl!1P4ScMdwNpx1z-yZe{WTn#6E;o>+k@}TN9SKh_BR^^O z6AQp67TX}18}Ao)_6g5Ct#SK;Gs0CkJ-kG!*1guQ2(X69uTWI2I?&wmRh&-B^ZnPG z=J8nux3IYzXw9UAMqBvR)3%z7`X8b`fumj*A_G<7M}}t0sVB7SyyKwy|UN(bie2%RiDXs*wt@ z5LsF(lA5i&A#O)@Q@GgQlDkNcr4xE_$o~(N1JqM|VE-MA2Q2T0jX`!2T#FPhNwh&i zM5ro12@6(tHcC1m|2cq~^q!#hU)(tN{EcieF|JK1;|;|`c5=B477kHE<02P%c4h>6 z)i5o#J9;x0wiI7Er;*)k$%#f~%dM*QE?*W0mgUrN!;C0Qg203Jbs6pY?j_GOS)D5D%3G?FP4IFg357_ga(^nshgD zUjz=eMmja|;e}kaxV%)f5gS>RM1B!R);0%^$0kqmtp5hj>LGj8cAk?B zx6pouJ1nky@=!q<>WvWZMzT6+gQw<%octNHeaPJm%5hv{L;Zz1*f4AMyB`oBd(!(! zFs*562JyF_{LrT?KL#>29Qi9RNm$`gK#O?C^BG}Dx%Fvi4cHo1;f%0V6r+z~uZYQl ze)w5V9Ug?&M>H#R{Ii}{^2?OL2D6NsZojce-c#7E&HS+HOJ12U+J-Krp}t!2bMU?R z;KPpxAv@q7Bc)V7X`Y7SUO}t4)*Q_QoynJ3kX?NUHsN{^pHGI1dd8DZ*;~@k>+?aAqLk9!a;3s( zS@vA<0guI{nL=UhuZg_>@Q=<$mhgW`v6p=E)?t(UZZBEs@BOb4r}zF^a|~fP9Nsm% zy10Z~e$2~T5O*>ugjotgvf*bH0})Te#a7fCQ#}a-g7uncXHLQm(qex^{ezQ1Y&tHQ+O%4!A~ z*ZnF>(WE)PK)he?)bY%)_&<}PMMzEKs0`QtFRy}lX&+$Xz2lmVhY`kZv^SKAJzbzzC*m8-a`!>&+1dRg zhk3}Ti1=RlJhOKZSXg6Uk2ZsqDy}j7_1(rm*u`2l*fFX)wb=={kag}p` z<}S5bL*85a3s3j1&2tvoqh$5#-EIqQQjj@}-v6lJ{|mg?o)gnY=h|kci6%A6EDFt@ z9f#JiN!8?DP)%XvCPAXq>pr5;*ksU#ONuX31lkm6x-Xu1V;Rr z0U`y8zx((1>C<%mQa3Vmk zm@Xl@{{@TofGj_GGot<%9lvJXyxCNDKg4{)_ojh{0dXbKfMXsr|9~vh$!fA3Y`Yqu zeoUY*`NTui>3%ZeWon0YJIV6fjny=DWHCLJYrk=Wu0X!b5K9vdL~Q^P-Mg1Wvg>HN zxP~VG-s5pZ@Ew_zYRHbac!;M|8;l*M%ucvcG}}M7nL(@vUdCSTYGE-;W;LnaXj?5Q z9MhBrQ43%X<;A$#0|LAh<-EuK1u9A3Jh8;-LzqkxcDq;|R8MRw@>rNNi&XfZFIWf! zGpH)~s}VCMJ6!A#poWAX3@n&FQ@aTlf<+P1J3;i;*+Us19Gps&)br9MqeoZxvTg=m3RFoadt#* zY3=f+_)Q0ZB5+)J7o$g5RoH?KY$9eFGpFh5#u|w7JXI)qh_p6EG{Dfy{flBtN|Jcx zg|%th`%(0X~piE6O%PsnW=gjsBf^k-8a>~g|F zl5^8rTq?W{S5?qHJ$f*I-~`JD20%LnC+V43VP~#W5QtKsWR3#f?+Mb&zjoZcwA@9y z3+wlsn_C`B_B2aTa_UOs{eDZx@5v$oqyWUW z`oO6>d%g4=vdlY?t}X8zRp~IQqtiX-ZgZIyo6=jo;FvoJa{Cp>RtjvH{2=i+{yTHw z>0TrI;sweN4`wo6d{@-)@JwrP@K?!E?%FkPC)Gna91ORD<+Aw{x<6&{?agtf?$`pN z6Ly(4=I*f2R&*MsJ_VfC*9aQw&PZVP-$}Er;Z@>}8Y?E_+<9OX%a4+>$2RiXUHE1< zemb7IO@pgw8dO(QX%NqX2E}}9)Lw|0v{)9S4waDA=|uQ+h@_>*eO-nY^g6#!W75c7 z^Lp%jb#uGd?bd?3v`byyhe!n^pw-)4dA={$oM(+oiLOP`5&xQvx~(QUPhb_tbFNsf z5Q9l*By?pLbklb`dE@-4xp-MRJ^_3Q4|fWMDlF~D^ULi=g(Gfd6v)sT$+d<-R!Zkq~;MiF2k=7jO=z=~{ZojUQ+j%`WD`W!h8%eu7|*%q-7GPGs4(Y^384|Je6YtD4|cwF}UBkNtk0Kg((+dt?+bNy-2Fmz3xZr{Uu zPMR{nemNcXii(0;KISZcD5T3M1^;juxNk0se7nddd@h7xk(NboS!X<8X{O$Ef$4tX z31ff}>N4cyjCaIX#mL9N8U2%R7!6hV&T?6L)fBSusESe^4`|4h-@p^@{%0kEjKSMh0HWo0u=?y&xl>#s+uZ^ zPS|-e=`3u>EZYizouzq-c!dBV#XGk61KVzCUkvlXjQZHDFdXf&!Q4!J*d|0`?G#yh~fRAg0V zZjPC5ro8_V@BrukftL|k^<(y0emr2>uce6PP8RpxwzG{=b7U#6)wsf|#B53qBRcV0 z$L`_SB^%isoH5ArPw=?9$v4?{9v6DUiIGIrjKEeqv@fgHH~F5K1^%TucHH zI=?JcL1=H3(PpXbKxCM17#vJ)@ms$B2}Z&TyW=da>Ah5bAR>~ZI84JO{M#M*;1+Sv zl-Bhr9)uMmEw7yG(Vk`f+MNU!SC)?bS(f;(fWMr1@9?^DqCL0cnyJ38tV_vP&5Qpe z6+$Pwym_NWHR-1Ua`Wxjvz>PD0N--g4sV8({gNPW{Ovf}^?Ac%awYcL)UYAy^Xc4Y zwhATLfGocEO3_D467Y%uVJ6vwV}ogE-*n@%niNbp&k>(fKg-Sqc}Ua%5biwMy=4=; zPWRI*bp7(hWo76lk;~W_7Y0P;C=kN6RBD;!c0$kzrbrmgfg3*l!~`zlSX5u;&FEZ{ z;cZR5$Ti_*5wyVl))(3ayH!2Ih-f0Ee&B4Ed4oN<|v~)FBLBA_b z(|^Og3-PnP%5rD)1;{@DFTs*Ru9E`C*E2}%e8*Eh!px@S*wz(|D5RI~$l=gy`YBn+ z{&Bg;NAkKgV|E+jvb5AOlVO_$+`8F^KU-!oN9Tk2>IE5Qy{uerb=F}PX*UCo{po+C zJ3aM2`{i|?=4)McWoK&VtyEHB*-Opm&p&)ty3(98*Vmi6TuGK_AgiUyBs})2D%EoO z5i)u0>7Ps$W9ci`*em+u!aiSV(i&)dbq=2yXSd9|tjK5cHxoG(fjvqsnZ=OzTU@T4 z`G`1af5;BwT>fH?LJ@e4ypf?}=Myom57CYFPo9HB|Ae@@!bWo(uiD&7HcXUAG`bzQ zQTI$J$8UbJHs7^Ys4p`q*5ZYRh;t0nQy6IJb~|SI|A?omht()m!iSJ-^Y;B z*Zsp0uUFFJ8#*Y9ot-D;Uz>Sr<1O)47A09%gG3ZMp={S59W~UOB|g`!D+_p4pyjYg zEUl#}s%8|(F+~~^=Y4^}TwGW5`C2`HsSxMXWj*}8NlcRgLyJDVzMh=$v#GD-FVB-F z2AhMq4z~+GN}hu_+`78D^NjBOZ>zl6{i1v83CaJ}w!3OnVuwa&=7;0gkQ~P=kCJ;t zsH_~lG@cPAF4pZy%~kFO=7If{UkS6dH+#(+39Ls9xh X019WD=voD%VGV#Qm*v7=RZJS?g+qNdQZQHi33BTBU`JcPqx%a&L)?U?ByBfQ? zy1IL>UpEp62uKwy=y&S){Rn<@RTBqCb6XpNKTLECbbkm0oz1O`2^g6e2^d)E|1i=s zGW=m8P%?3FF*h0B=|$1BuSuVVn`rjZ0_`3GY#X%cQYU$i=6+(KwuI77Y`8l zU)&A!!W%l%M8x?iBfd3~#)d*14D=85_2Y^hlC7L8)5843EDu-$Qbr3Sn9vNv7BK-s zbgQAfz%0%1&(yzi1p)_j84;d~n2Gdr0A3b~ePlLNG}C`?U+1b@S}m7~PY;X>U@bo0 z9p%$6D;y=VS>+wwo==xs9j*w?IP(K_RaqA~IGCO^k{tp-lY$`PS{}F!Fu)v7Y7^pcu(-=5q(SP7E`lyu8ytgm>mJyv1pf$ zCTp=!6{2RF9>DLy)3q#_ti|?gXOd=_#A-`7EV}s*PqHal%S5fau6E z%Txs-eTZom2}@$(xn^{`Ei~#>Vg+;JTj^$jV_}&v+)}$Ih$6?2O%#Bxf^pvXLlhBD zg!r1$eC^d=a+y;S8HvSCK!S-cszwW<0t3DQf(yINinr%UG=*othZ4n>AWQ-LfS@3n zMnjyjrsPDa99ih+2eH*XG3qxY<~2CS(IER&-8FClELVF7ocJB zrCd*l;=zF{=tJNY4bYP`t}XfKtLN_59lHSlbMHAZU;qSK{6s3&NiG*X$^ z!e?G=qoN0>eo~>QcITw6&J2>-9~#pdyKnuBt9GN(i|{$Azptm`im5hIZ{D-=QqooC zkrl<^krYL?E;|%H6;H?-TrfdefnBz$Sn;II@R;&Q;zluAE?5_yn{Si~RH#p^mEi9B zFlfs$V1B)}giw5$=-Cu#+msV{gJxB2Xtd?w?-{Xf4C0QFTc2v=weC3TokJhr(tB~Z zA0u11_f2n4zzS92RrX;%kFfbytgWI~-MOM3T~9m>iN*8(?VJ7$-tNu%ei2PH_?^0) zd7-Id5~TFk*~6v)oBIl=lZUd#*u?Gs>DT|uJG=Z3z5lwr@Cc;RAmNn}uG5okIyA^_ zedndO%DZQ^uPSs^Z=E!?IiNEJBNJN^PVGMwW_D*E*(U{GizXsEw(-Q+9@gyI=^Cup zJu+k1Jv7^3T9h4jXrr~Bg{H2vYGLbJFl0Fa# zr+DlSc85=f2c&6%M<$_xLl0O_j`0Mr+n=MSM?3io7q~oAeR&^IKT`zE^l)^Q`%8*N zK6no|pEYC~$!GS=*wRo2>JxsN-J(>$fAq<@EB-quqG{)c2yE3B`6TR3kmY#Ste`rG zOc#Uz=F2R~;ql&c`qo+v^ciJ2rNqN(l>a(SdSRb6M*s@r2LTU}LT8*K9wWrQYQGl4 z)m$g}Ksl_5vzyP2U=s#zND*?tvW_tuCLb3^Zu2OZ)SOfx#tP0&*7F#W3dT7_>7OD( zx#fy=mrd%UXo=?1@$*Jv`)kALMD&Al+{>m29k;T(?r^YERPtlhjxJNOm6W}^snb)q z>S;uW-w9}e`v4-wLStErWX$u=5;ruJfADt*HRBv51B%VJ@sd=uj=&=^*KjjNRn%0> z=d0S{Zk-9aL5oOYjii~s`y-J$FZWTB>-E9}nI~l_h@l)EA42aam#|*Vskc7|lYd2# z`{_4DD1^o1ET1BX5_ne`nZt1fOMCpJpr4~2hVJ_S^nceg8U3+a6LQtw-wFU1aFo2ul*)?pdbA!)&IX^M-65ljc+6MYfBB~=5opuKw zt>;ie@5h^WnU6#cUtxQuTeqd$u-bv)>s8+&e$1`pRnBk7L&Hx4$?>MuqrZ>7TUr%c z>MJjw7H6q=lU~_U+<)Ijpcvjw;Y>l2dAX^Wqt9H8;8U~HeNj8Qr>@4!CSJ^bupx#qj2*S zk9sa%J#NQMYz2QTpZR4iZs*v^)+2I#;MFx!70w)q-Cf>4X6?Bkk{ybSc~RWGKbV@l zOyZ*4=ncM;#!h}HPZ9I*VnM5gzl?}G7YH?@0jo7-(kEb>HoC-cBDaG1KOon{ZO>D- z)E8C-Z8WpXl$r`;Wym6V#+KGY)`19F5|1=&S3faz%^s+gdi|~mT#6r0ve>@9UsG1 zi16S(m{u^C_#XdM`(6zbdGbED^g>oRT$!RI*MBpc^Ih&dtQ{nlzO%&pvtz$p$4pk3$%I;mA>uaw}rTbW(_x%Yu_nY)r^?q0DX4`D+0o)*lrLKc| z{;+L+7j~M#N2vdm)>L{bPD*yvl)u;Jg1^^)%oE=5e)~Wkg>T03bgC%Xxya#Sow+;A zI5=oF`_g$E07~HBt#?qIi8wsRvOVzbH~p8bR(mbCQUqN0d{?Mj5#0xaaN*zmp&@P2 zBc1Mq@b6G~mdlKV4VuxQ$J2@XdG`;^kJ}d=aC#GBS(2|qk|dKtj*Q+uR%~h z?7mdLCWfMBm$);mAEde(Y!)&`|N8wDE^E73mnkyjUtK8(=5#2wK{(y*Gi>qr;aTII zltP<*1Q{koXR$0KJH7n`!jj{MT)$R!#lz53zf zd=YXj4M*9WnNMn?nWc49owcFh`b&5#UfXoql~7TyNuyYaZE4yWA(Oe*>P!|n^|G(R z?=8ZFIMkh_a~`{M6T5Q}yK@!0a~7K$ANCkf=C^Ce&_ubGK)|{dMZmfpLT=7#NBb|J zu}qu`pg_P;Y0sQ&g`YExj*o9ESNJZ-vm842{E2r1_xODB>!BqM2Wa5s&>DI(c7r!e z4(WxNLpR-dLv_#I9qe>4F(fpo)xP(zdn&pTSc0vMkZohdpk6rdB0rlF%lG6dviwqPuxR z4m$HXoZCiqQ6)_pGcRUU-^x${u2W9--<4c40SoTx0Sj&4^zuy{8sG2V)b>p;X>}X~ z`>U6x7}$JS_k{L!H%u&1(R$$htIrRl-$MJhpz_Br=9+}v=l(jk-Sx(QSAs3-!6z_%g5$kJiIlyIjew(z4Mg_p zm*0XX*shzcuoHt0gOqJyq$#v4#x!y!UA8+K7_Kzb$qwLPYN@CRm~U#Q&oO&x*N_YE z9+riZjwu^>G{gX#l%M8Cvb5?UaZtb3`4$$GTkab}MM3S>+U>I??$f-GCSeqAw|%|7 zXa50HhWZ;>R!fwOjC>f%m7YqRf=MhnnYN!8Oo?lLRp27Gw>XiDVX1FvdP%Zq99L!5 zy7yFskk-Z2*h}X(jSeUuhKtj*+yYO?*6!l$Z%)}9!G3MA?;URIWX!+JMw5KNzIXlT zcKrUZcXja^AlZOb64+G7T#qI0CyTM*$1!Y{GEabo5p|4Li)qNlLzSBfiqzoHXCb5|lU0_DZ2t!fwoqjo-@0HbhH${@`m zwjJ66HbrGOPOBv*ogj5_1{Zytb8t})Hqb?EQ(m9ppTm_3h982MQ3Ckd97{Kf_kO0b zVk-uc;vgt=H98>}yx*B7|VnkS2(QMREI4d&aNY&Zcgr1VeTjG zw8kjKv9IRnRq3^ZjP#+m{=VuvkR!QQzuCPZr;NXTK38BCC%vq5GdICV8H$c5bqM|W z%1?@+!|tkfQB4#@m)$}A=tdkxm(@{$x=887^^Px^IlQ_$KGJZ~jfr?ce;HoI8RRuIytLKc>CY zT6H4i=}~WoQ7mvsL%-M)|1yQ^=U_r;`#SU=wVf>0_fIPI)=?2mw|0Uj45afO>Y_xc z<%PMO z_I!lCLx75_Y1rq|yD1W}KI`4DDodWlRNfYm%I*MGxUQ3mQ?Na}@bl)ObLzJbE@4~3 z@tdi}-l*B(0WY1uY)4e;Of`!fG+bnTp+;Q@;RrwLV9N7b4uyk!)FW4h|g@&K)%m`m6AZ(xy1Kz~_UnmW{;&k8@ z7+X{ev^TOX+I$Kjq$6eA@(;&OIs4Y_infsaz_ycTs}}I6f|k7piKXGmK8I&IGSWbL zUTj@HRch3?5>d#v3G$o5F*yeVJ8=W1umi58`w0Q#qg9q_ZHqDjpsG`{_2RzZ?*&JH z?|iL8-($mfrm4xZwcGL4(S7}$VbEjh>i%2r@NMKiiFOD_RjyVSc3*^~x;7qDTo3ry zdu5kt8Tb{t208mryIEDP9Y?oa%=DI_twvBh>S)fkI<25 zsiHfkKR%^GDKd3`wwC_9wK%)NG!xiCpEpl&u;OLpB)<#lsh*2gzoQC!gp@x)$%8F`0#_7jT ztBBv-9+Qgk5!sxJDSJr0)o*-(w_KvAwS#(?N~MV{cn8zK6M6})=Z?7D zs`r8z=Sw&`&htVTukpu;nUy%{?a9{``uuq~Bk*Z{7&vMxz#H&RJW3w76XivG`$Vu4 z^e)_4u*W|7%zMFuWAYfRumt&=U?2D!_s}<(Gcca3+15~stNY3izB-KDus|=z?^khT zMl2lf{E1`AObAK~Q4d1$75@v=>x+HouoLdB9D!csd*Y~^m=El&!C?y#zMv2Gt-xUm zv0mW&%4iO##!P6{qQ5jr%oL^-C)SJX3Hy&Dvx3Q?K<`3pa{uX1`>j1K6L=AghtzX0 zUDQ^LDe&kc+c~#Mu-j}Xf)8Qtgj`%C0j}}fw(H(ZXH}SAm#BI+@sD9GpmmkYh4`gE z0CGYgl$$@x{W1?~5;W~Jd^x;|PF}MU9hHh~0hp*f?>8`h?>j8*qAqII60=XlIA+O< zL=)}xK)TUHBMSX?-KCf|m!VNhDb5wNh!epX7lPJHIdF{iy<>DlLksN zj9Kn?;OwT8o2|L8&YL+AP*kt*X_Nyj|*NW1e@2Y6vG^;RyYlQktTpUw1tDU2sNH_=9-xEx%mb5i9D-Yb#A(2i$-u6XM^@&9`%U&@H!aR zo!a(+3K!CSp7YD{j%nUTas6e_%N%U+YeRn-iRVLj5sQl@{31U*kN*xFn3uP>oZxq! z(eI$LIjj-%p}bWi_C>n2jGrO&rM<-+tr6an0u`;JiI0_Z#ZmH_}91eP=A^01v#!QKh*D+dAhaA3-;=(-+_y5&a~Pc zb2~Qr^^@bHKhUR|*orRHw48!U)ijaO_x&(LpVWR)vK;3_E+S)@r%n)JSk*E!~yUdmS{bpMB(jQ#kNaFw1 z;Qys~EQ8bqvA$ZYmbqzb&}!n0-ir<2Hkf^u-ro4N&J19~YP{q3%n-2jdsGcv1_#nY zRQ>$D6FhOeE021b{OpT$=0$f|Fe(=B3-tnii$IVg{ONOu9p8iTau@%_b1O5t6O5x_ z+>W*Q0PWQ^r=?&%sL!?AMHx~u!7e{;8<=-2a(^r*aNKq73wAX%s)d9Z=PApUH`JIk zbWHew&qrb4WqPZpbaKF=!E02up7x@}4ovPlq0PAFng&(h| zv2G>vh3R8Ch5MD5NXYTm?6-x{TG^Se2ikyKZ^i*qP*kzn-^oe&YPZ6cSeMbr!orL~Il|oN;+M zhhM^0QA?@!G0qzc#Jib5coh5)eO}!x53NDpE`U@y6Uv*lSvsqdEKsUeksQ*jTLhrL zRxFt|%vGXFk$x)k7j|^Nn&PpBA3wbejJw>SQg$wN-XBWQuY1t=#C4FH2DB2z%tsxd znymu(BzRd}_q!?tnHmv#y^7{WF(S4;O)C^9)wH5L+O%y^&xb5Z7HO7mWHNr?U3L~EBETzG|{bZuR|b^N?o~( zPuX;AlqSKvAQvXXOnG#vRH=1j8I~wtB8Tck#2$yW7fmpe}sIdo~){FCC=gd!RLrxo)j zUgceGW*P{Xv~FY8P$*LZuuTkkvF(%^D!*X|OV-Vs6rzsr+3<` z)>b1@x5y`IT(pt}X?J=RXM3+*?p{>OHmX%3n@lNp=-g#rvzyWFQv1;K&o4IX+H7}v zxa@zrc?`nj_G4G9)U|a7s)`MZ`Btslutp9^f)%JxtCVj+NK>bBeGGYYFW#zBViF^( zfm|{zN+BYX`z%P?B#Y(^szHYdyE6Vc-n>EI9vrPW zJ`Ywc)2&{NB&7j;(QMeNa?aTLv7Dw_0t{xwP$MJla$kEKzOZV^;5I%oi&QF>YiEgiH)QtmY$|$s*83=!sfJ8P zfl1XwTB7%jzjuU1f-O3jKZp=^xG)spWtFIA3H_%|%9*5!6AxcopbUHep9ARxOufzz(^TCDSjBTQ5eU`Qn`T zlC_f~<_U6gD17!Ys?4~;9BH;}m9tf3$ujMnG`3F}mTX@vN;x+#pnM3O>cWvq*)C~D zJNPz4;ktfrM7};V zvcgUW>^=A0sSB>YNM8}HBR6`k?A;7sS4O-=!j7BYc-0NEu~EcA*Q+4@a;M@qo7H(q zMkQtqYE^DC134h<58{fw6Lu0_MYmg!hj$(@Xh%8T$rJx>*gq=%6Lgn2I2cAP3bmHl zUwgx#T>uWG5h-I^L1dMyGI+WP9H*6hmXcW!)sgk^0*%u%uLx%(*H1!=ryEE!~5I%&V-fXKzQ4=nOuKv>a(*f7G#dn|Zdt zK?pM3d6?M+Z;dMca3>v@e&kOgIj^Lm5WVT^ zd4B4Q{xNCy{!%rjpwy`sZ+XPEE*O>g)C04lZHF{cyU-5D*Q0YYi-T zL3p@%`oIR}4Bc9PJ{*(l8N~f3eys7=pr>>+&!;U#1zNKvV4uQKUAuGe)~1g{a*SFT z5hAQo8&!wL3XgogcCgNfUrs-s+TwKj9%rI6`B+~fkLX?B3tO%Z;y-UbdTe^0$-6%G z)Zt^UKKI@}H~3zkTE474W}eTUsX2M^Ew);DJ7UxqK;UjP}!ul$i2(;j-?whcC7;1kfZ!o({d z*s#{)@a*MnH2>UXDe}03p9A>9KY2fjLCEk;(fTqxy0U$ z1<9jyvxbhL{634^szuy)ua;J;Refxt;2L#858JhrN#kC~*zsAT@3mlebm6hZ2)i!4r6JafdFa&rV@d+z`kmo+G+5rdW`0 z2ABHWkPC~`lJnzwjd>VIb@T)^U>^0JUnXX7u+vvVY&|^zz*`xe%ZKWyc1pHSSD*Zh+@;;$p zAnqBgUg7W|i$cxWJ(QOcPNJB6*8|i@D+vCLbRwc+2S~teXo>0=;;=+C(K#s6dd50W z@nT4$ThMIYLXOr4(guedKpgl-H7|dxl+|J9WnjB&#^&w+v=$#o5`g8y|AL z$8&iw8e_2y08MS{s7GUU7`g#z#G49!tj5@fUqV9to&}|nAJ6! zH5Krz64(G;KZjc%%GEKOZ4P`C2~-6GNZxDDZ6~G1sIzj+7a=o{6mjvDd z1kNFW6A)ED)=Pe^o9BKs2v7$;q6Mn{0LlisPytri+~2yTj$+1%gIq!g`}Y#|S)g(A zD%g5F!kA=e+0uiIWCYc#&~8kriAb2!ubrpNYYOFYCfG+?+bD&fX}3*a4Pt&UJhj(^ z3H%U}WJz16#iMxeukNuwSc zSNrzzZ&DOYGtn52@RFRQe2QvPbq;?|Q5w<#1#N{q9Q35qfKgv6pb-{TVd=I4Ygk0R zvPeCFC{cE<0&dksXi`@T)$^KH9^+z^W>nOY6-{CR%w(#Q_F^grL(ccPj}JTl=pA5uVA^2KDftK->@C}!TQ9SmF| zbQHPJzL5 zAgSK9Xgzf;`9F8b`nm1S2FTQo;rE-SUG9>GFJ71`99~VJovjbfwot!($_{pF{Y*CI z-}u|vCQ|yqevKZA(2G9x7IXW+oi4i*>+35K`qDXo#svjPP^1SXNKj-1MTproy%KG< z)Av=q{n%_@>$VuJzN^Urml zJC>x+HG$+=HvxP{ zCSeycmE<{(6zWx6GQi+;mTX`?PbQ>DC<9I^locxl-kOmHcOA9S>H9Qw9Gh-kKv3Vx zG$dpu;3_$X!;6Xd;9NP&CkHES77<5YkyW}{fnW~cpiiZa&wMr@>P>{G#*}F0=`5R! z)iS=nDY6l(Ux;~1RrN`hWPbJDVzCUb~1|ctR8|aUVBGGMu^bAe^WpoA|hM zkLgh=yy97^{7F@kpdu9oRaBQUUa_RCTUA`&oj(4wq`a)ABqGbQ#Gaz~P?tHbH>CVK zvh1HctqOi*nf!NdDoT}_r38_9uo}mfeo4CEkov((F$rDixfmp5eE2;c5H2W)9ArRg z*JnoINAZVezA!3x21_wTb{M3xI{}mZ>nWMkQY3P{K_GjZk}=QD4=7rWvAf)9lNS`^ zKZMoLf3=rK2zw33T=-9t{G+kS@`dAE>U1V2K>GCPwo_6!f^lHAX#e4vg}&F}I&|VX z{2&;^Z3o%wFmyc4Vz4kUg%KAbNMwcybnl(r`v729ZegSzhIBx|(}tsKh*2hy z7~m={D(FSX(Wy8O(T7b&JMa$L5l6pHs29Fm;QUfC2UW$T*Ff}%7B(yWp?qzCNBxh7Ts1vFL`yOyJN?z0oW z74`F6ubtWX>z`Teiu*Mnho~S7xo3xlj2{J_Whf3L)Tcuoqih@_vP<5Fi#TrFA?cNd z3*ijJA;W6By+y07ez~oK8*q5ef2=!xwd<%1aBbYv_c|8d)EdOg%GlkEaO~MHg7lRg zsM=}F*JPmY1<-e(_(3FIQZ&ee!7lau3#9*ebp=6MCOVU4lZ}O&gAq~tkePZJ#GBy^ zED-x*w=Y{5;ep7bm3*$`DM)uClJMLEnP(p5)tx7A<^-Atrje9!7{r}s*u&V%c;J5Y zIV8MHfEM}3kbOrZG#5C6(5h8>y$~yi1Gtb=xvk+r$OAUp{V$oA%%SiW7YD-ww~Nem z0ERa@!I;$88vlKxEO*Ze#PR+5?u@5(ZP^M0J%1p1D;_>p$pD*lOlAm94RsrI|B!f`aI2IlzZknX}ub9RO&T)A6c3g)>+XXR8}0QO^DSAW&f@UK^lLI8`oU4`PlDj4L_H^4a@T9o93X!i`eAXM=GYrcSsM*D{F*9Rx&tQ=nvsmGyVGf|)!})QA6;ykI5?DH%e4B$9=|ONDcB zlU_no+RK5%`%1*$lU!pp-^355ifRRM55aTv!@HN`-ZJyIA`a=Q@FIh_ko(|!Fe8%x zKhrH1ryTzK_ay=XpDtc)Hxpm=saMcEF>kt&NU1n@Kk5FMh}N;sN&% zRVcExIe2AvlotH5A>`|OV!;Etuyanhyp5gMWj7T3`mPI|U)?IIQ7#0t4MN{32^!r7 zIL^f&4q~z8>eC(Tg;9<0^ErkhM!^hjq?V`-IXmLcNlEa1C+=!MIbeMDgnqQ@F|u<+ z;h$MyVrIp37yv=@n zV}O$*40WQ-1r0)BS$c`kq88v3N1Jg$>_02A7L1biIG#RQbNwscSUe|}Sw@#HSbW*t zgd0z4YGdiLKHhxH@*<;T)PXA(Sw8Z+UPif^sKL!r4;&FH4q>zE-RfS=YeTn#pGx;H zGcb-n<;lg1v9{2c-n_!!V2?QWI))prnYsGOX-L3)`lT<@ITPX5gLV_kukPg%`dMu#~f!Sm{DCd{E6Y;WIu(2a4-mjDLb`iI;LFij6 z*C%B4X#F=FPD%H(2_=y&l()DgA&PCyXCXcnlj@;#t9N)&c( zF={P%muWTLgIS5}0?`X`gX~C}%NRLsRHMk*9OiEri=g8~2&PCnqF3U&>E+s-2Xo6V z*s4Mb0LqJXBcOMrnfTXSd!Vfo?C8nxYM`jsy4Iu*_Y+&AJ)+zooZK+p{H--+6tz-j zF_Io?Y!HBFU}VfX?(QNEf`Gem#DB5(S^xcxYbvQ#RZ^Rav^o)aMJAjJpFR8ZAU%6d zW8?|=kHlb|!WvXoI%RARw8y#DNdRUtn3BOwMP8Y}QdN%z>GSF=h%~+H8LuNw;nqhB zwGk~bgyAxkaIUCd9lKok z@C-pf(=mlzCAh7RaXX9|ww(8*{?N%L8uqWvH_9KN^C=G}oOrv38D{l#2oVnuV%z@Q5V0u>fcbKD6*--$>^R9tUOF1b6{NAx^Ewt`Xw52=PKg(Ld(!Fg6-&IbD=()4E8s{4j*=+)&iF zk@IgGu$eAV40~Z_Ksw0fcwtf<%u*dR^RE@&KE8;X*&x)b73v0rdX2%qFcOUUc*3lX zg%MYVm1rV0@T+rsHmQtC0R?qULO1n8JGbE7@d^H}~;bMuB_EW*EpKuC4S z^B`*#sna}Q*k4s>a#``s}yW@i9bDeGck{BVIs{KI1IH zbJh5l(e_Tav!Tw&=J+ComXi9fOxw=o7T1^XA&pT4r#68~8XJRhT4-$fBxULNJ0af$ zRFC_oaiU3O+Z}g?{E<-O?VjcfL)AN`#xUX(KK%sXs`g#diqA*?iu+uut**yH$w6gn z60Ik7GpVnATldCUQ(0rAtbV-Ux^V5;9=Ly!RB~tKjGIy1iRpPI?1j@ce$|lBw8>$( zb9d)(u4#U2yV{j1hZOO7@bo2h85+s*Qse)gRm!BsY3&a7oZ+YDj?-Oss(^X%7My+V zIa{(`JT+3Ni?jdpHOMkQ|C3eb$wgl;_Lb&##oVdL65<|Ppht0haLNo)NwBh~qdvWhSD>v*f-m)(Q#$%sk2m4Iw!yVdl$(cB}kWnt2SR;FMUr(YFAah_80+&fuPz`sQ)) z!G9Lj5Pfg?Sm6D(j-S>YJhJ-*2?Fx<)Ko%efcM}YqLUw|WMoQHO{u9}7P%J70pTy$Y zUsC$r0HolUi^;WlLr|-q#PxETXNTvhb4EvPlNthskoBYe!7*K!G+LSaAG!0yN>$}4 z`MgEFEE7}rd719=(NM%=^VIorbCnIH&dQ@OSn~}Nee42}=J^;jwY_&+-YE-<{uXx6 zIJZv|+tKm5hS|5ogpc>9G8unLRN71XPV%WDnL|zByDJH85+bXFc!UT&CcQY;-L!4h$zP;ijEKA{U z#TI1}Tc9$V0!EFiHBk1zjGugSB*pU}+D8NLQODTmLun%0%#+gTrG1V)>e77gQwuMS z%w2U8iZcBg&>L)teb5B-$XFg%wjTC;-NOqY=zRkIsdH7WHs&Fh0i$wgcf8iiW;YxW z5Z56UMNUdn2i)@9!i%YN?|*Q_DTB|*s=O$3j|~+huXaLpcPkSV4{NKBpLJ~FN&>3U}>PNJAQYh3l&y+3lge}Pm3B`SKcZSlg)EK?>@+SNlqxB{?=vE3Q};R z+-Jb`G5tWrWt7eXHBeCT@W6Asq1_{kPFEg4U|D51OAaLpf&3iW|{#Pp7kfRW-ZMD>pil^HKl)1n} zx}2SFZeCou$Ycu*>k{6mwLBna7D+i(EUBd#2cU+DoqRZq1n7m#(y%}q=LYj%LDa?h zEZJf@;!@Ag+T%J8&S-{|phjv4r#;SCl4F~v?EPaUW*i6#_)0=DB%1@WOf@+(Pw3ZL zja)$B48dg$CZixA+adp29bTOJd+8JyV|=oM8wlTr)m6wWRV$o?Y|$I;ZD&J=Y9;09 zgmAj4vbl#7K|Irh2dZwWt$PvBn`{DM#OLXJ2Jfc$8ghrn5@7H43oZKI=Sooqc91p? zbV_hX_z3Uv-pHjh?WB(>#F%hBDw+-jYFJ7^<{3uA3PC#?$8OfjmjzY1fnBy zWQR6|sG=t=NIIRLvgK*EFv&~QR&FtCQZq*et!jZ_dln(x)&}4%{j}L+VDWWGK zk4jieQlPyv1iS{op{JeTi~7%_M+T_L<6G;~xqR33TILW4={^?S$AjD{KQRuBzxeAF zJcoJ#VPQ=Bw>L1dU}DFpJL39dLaBOnBVdss_{RnM$WgDKN{ZO_9 zh?XEZRXAUtU?4>}d*0;b`~0%#)F(QmKADgjvpH>w;B3UCebgl`pDbZe`RqAoqEY;r zgQqHXhiTN$2}>Lp3f*Ei`JMz0IZ}-0MxKp#>hX{8sK4qYBOa_NeXL*^=D%>fs;fCQ z$MwpOBh-)_nN^d0K@lWXp6fAV{XzK#21V0XlAJDui4%C0amB3vMUn>ATGg7!HrqU7 zNY%3hX3Y<7ilJI>g3rtUW=Jp4l%T^@N0q{A=;(yWBid(9aYy}`epOuNwQL}yOhV0g ze{?ps>r$~GBJyz0Ndqx0{`zNW30u<9S7=`QdEC`X1MG6t@*kch(a1}>=Hv#r4__d}Y7Sny!sgNWxy!W_^tVM6NrFN&~GNOC>|HfbMb&emhIC z)>GgDAK&I9#{zq(-m}}vp6WatE8|w-)hRVMp9QjjWAHz(2G_O9c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JoU00000004^v00000 z006K700000005c<00000004La>{Ux|6EP4@KmxHK1Q!m7!<-68Nk~!zZBK*>5U8y} zQ}MWfoQ-#D2?|B1iVeC>8C zfmpEet>L9MZn3dCw$EVp16JRo^+#CUz|u%DSy^FejV6_Hhdjs=Ulhz#tM&`|JcJtS z8*sw^cP9WpSGz)ZmmwT*>x9bb3G+F(iy&6V_9x7~B9QQ}Zv2=3DFz;(VlE-$9iB5u zd)kSVpGH9Y3p!z2mz5Fup`%;dw`s7sIp_}igLN87#|@)xZO})|39XXX+8gqglg>`r zzG-QOmdO=4t)=ad)VWA0^C}J2mJ+6Bq|sM~tj^pqGn~RwqaH-zERA-@V=9?(3W^aU zIRWX^7ED#7y(_(=Oh|4iGrGVIRx^SF%CzCR4&9R65-OE`7UUT}0uxjkof}pZVM!wv zQFvJ=7{P8YeI(3UoeJjojAlCZ1y?R=!cHi7DdIGw!rzyMTPrKFe)^85juNNqXh39P z>%dd3W+JlDMB30ijxo^=0#sl)nfR$qjVOaMjdH_zaNb$)zKf&9(Qta)(7Uu;QAU)J zZ&sF4q--iVVXQr{CFTi39ZHUcI|Q{*D@4q-gB+NnYH|3u6p?tHnAHF*Jt`y?MY2k5 zJi5rarGhD+vDA43E~nKZk8tQfsh5(5i@+`FfO$k>#D~DWu+E67ciPw<(O7Z@hlXdU zkcQ)ACB>7}>OeDZ>YAHz$Aq-Kq}{rLZaB~m%~7z_=#)#jyinhSRtc|2)F_2o?tNZf ztiOLTK$~w&)&Mi{X^OhYyreF@L^dOz({KZ=wl&4a0#hyOJMqNsp8huKI&|Fn`PnA)6QS0_MA;SNHq< z{v-lktpQKt`Yd)f??$bQCt6Fs!~VU&F8}}l|NnRa;$~oE;9y{2h%&TH22u>%Kpf=a z6d&Xo;2#tM5@BEhVrGU5K+-NIP?HfzO9C-SQE;fQuVYYTe2Alyk88ZYlb5SAL<=iW z0UN^}AlaLn@EfE>2&%>1G1T2P7^;?$oxuPoZnkmPejvplfvU#EF~l+6)yLJ>)em7R zD}w+~RqT$3ulRs8%pnj51cwB9`njWM;Rh<>VBi6gH785TffU>!5G_#S895ow0Oi8i zEPX+01c4ajKV*L~vM?L~3iCNSP6qi0ro_k9-O&f;9WJnvqu-u60#$$=3O2y=c)!o}%DKP(`m2nch56~j zd}=Y#*umS!1>`9rryz4%MovV_&&B&BfoNeWffb+ zPnYkDPJUErw^Y0@a!{lhR`ike{I3VG3l~ujIUnXd`oY2z>3mTq&oVG0HtO$-JUaJI z64+N>5|qyqA0{tv1}DT0naO zavw-n#-o2sYd1E!3&N97>8sB5Qt$iS>G2k~zlE6@-r6mE=b4{G3H{~SNQ51$A34X( zl)cqm*&vzUWpg0(8FLN4q`#oQSa{u_c3bAAM)n{f9Dk-OIe2~9Vm`NA|BT7SatTYh z5bKLFUuFs6>t`@LUF)o<8_8Q#VZ z>q^Bo0xJ^X&o(Fc$EU7AEu_DD41tC>Z%NY;oC1{TdDTZ7{SPWE0oPMMYiQ!?!^7(y)OM;)>thCE%hRlFqxV~O z=bMOE)hk%f+eub(J-Vri3tx!DvUhMBQr~gN)SJ=19^@RfcyAR9JEqlC&zq{v#g))* z*U6>UdQCBEiYVvq=guH07cxBq7>uIGTFN(7FgEDkx$9UfbwRtwmBOB`s_q}DG3mYB z!m}K{5~SN5m%Cm0-vq(Mq^?(ev>r%g{JA7=A zvi@T!A8_(my-{cCRiqDZ;dYzx%>ZfH zg5S1otJ`TU4XHP7fMf(0x}UGxT@mz@5-h(3Gew5ef4TM*6tSnSH$1cC1(W+Tz7h+z z+tl!&GlI^tM;;e2Cu5q64_P#)k$eZX?$hcz(EC>1oF25Moz!oRtBfD*3ctu{+tY}n z@!x0czlGe|)G!SQrWxOGvYqFxnN=7JwxLPSy9QJ=k5m27qbI9}z99;DFI~{DZ4dI2 zDKse~car$BVhD(o5{rz&QmbsK@d3IO$V7SKlc)CG*94l+UID&t93PiVm3Ba>Ox@Bh zs+y;M@(C`cgFQNs-G$N*@9jo+^wDc=vXi}CJWyV%BO&jMYo*6|G2&%I)eh-{F6b!tE5t7;x=4m10&OLfu=x=g>vEy_IzE<#B8sf1>}# zKgg?sO3x2lpw2aWY7nD0{VzTA`)>S>bg$d372NLt)Q?G5YDA|NMBS1Z5OWT*Hf6mo zy;zp)~Uz6ny}|gax>pBpWE+TTq`?Sxx?Yn6*6U;IViBrDH|#CkZLYT zN}1+nuc8>&X4c@7JtN6;nj>=il2_jB*|bO7+N!+{!kPhvuw3gp6WGL+j&DW< zY&Cp6I`EUb{IKUD-&e3Co$gsju~V{k};gzda*sm}4~N!;sknM$4o zgdx>yspKHg)FfPcJ@$b~@^?Mro$4rFnQtEE)9JUrb+mvF0S`Y^e%_IsSB{pOBQ19H z@=qrP;PV7D{wF+APtIMT)bWWauu(v_OKil3q_Y#q6?^gcQTY8g_T5dn( zS?;ww&C}J&m1wtQ#}h56UrD6gaSAYlsYy?eKO9ZAP3i1LV`^cHZH7+57UD9y-GFH} z(f2iV5(2ayApW?u&K68u<^P6v4H0>L-eSA&hq{-=XmPr;rPD0DZp_1UGuNt=73kK* zZ{KL(ZeCU38um1__x218vQ#2bo22B}9)Nje&t~5p+tmW(Zoiq*PWGJWoU##z@KQc< zI>2m!mb?g^qt$lArlO1bRL7jLNy59U$P2(!+jTF=nqBm59XgO4%q6jpC7(+yvFR~a^=xJxW8Jnrl(3-rH9 zsuZm&e@0y-DX>H*P1m9sO@3pNPq0H0LeeKZ8ja-Hn$w?K{E7I1FRpF#3OTHx;jzPP zva=d|++9P!6gw029OhQr*6MUy!@#et1KUZ;oK^D{K}9U+E=KEnY&}wj>X*Z|$$tp! zQMZb&&*Kp$(y#ZZcRG8e>HXR2JaNTm;u46fpz=qar?UFfL_vKNv4V9FW`C0Ot6M=@ zDlSsp_$;@kr^ORRY#^~Cob@Po>}h{_J*3sD65baTd4!DhafNt61I+BGubJx(`a}e8 zWNE(O4ZLB<8%Ye)cS($s z!n65CWk-o0{jPaxr9dCE^Fu)fZ9-p*q#!i>;n3>j`BO2=3sRR*YC{=K`WbqQILtjuI6qch4!g(X_eJ)8KNsP&a0Gf=QAS-+3vp^ahNl4*OXJhbZanb>iCF}69?MOmx9YQ$P$ zXJ0SF?;sw%(;z(&!Z+%KZ;pI7XRW@dY}LPQyJHauhJOXQ^sd1LTsircxSE}mH1lJym`V}-m@i9 zv)n5duI1k^E?1ZLC-{8fVQK$&9cTWORC6tV<&ga^3R*22I`SK{d*6GGgac|AMyK{$ z?HpZDu|$uG%b$r_=Z`-EDy@x|JkR0$;nG*Ju0=n`k&Fl$blU)=^z|ZN1=Exv>RPc%JLZeJsK0_sgdw zPlRBfBVfY*E;a`DRduu^+CS389GEV8t7`2L=!40)iST#<_>k3M%8teU>+T<8D!#2~&Yr&K`y)@LNnKgI{-lYO!wZ(*)}{G6k+)cKK@N|D3gN9I zS9H0|VtpT66Sz{jy#ZDJ*6ir%sf1wUrzer3gt-0aX zyxr?(l(u;8{#b8mSqZn44(r7JO&2$$A$r<#^ZVD&%E3;0*rJGIDhFIK|Lv!sQg0oW zU9O5BIZghq#jy`o4cE`LzH_>HwWNm%ShTYlB_1B zGA9eyXV+3z-tL`}f3k<=vJRdOEHPSpS>60xt~`6X_ABqKE5mhMC3$cXhryo7D!As8 zQ&vB|=e*$Xspyl-%~`ciGDZ$=jqjhjU`t=FD3s&z?*fJSpK##;^lf!YQscKX^x!yxrDuia_5hPm(sxQ-1fvT=yaDtey8+ zUVABdVO7(qXWF)m^>Kv07Nt=mZhL*eWLy$P6zmwtsIG4_|M=!x&8LQQ=P}`JKTHq^ zW4&7m*K@Oh6`n?#E0zQM5!jNOg*=OrEXh-jy_Nzq-C$*b2$XGRn%`JeF)Y)fgHKcE z{v)~M?zN1<)CXQsR-B3VIkcmn^f?@1RFj;pc{IsrFPhiC$@9M|CClxfzkQPQ_DbJx zjlc@OQvgJQ%kC(Krs><^A%dFT2p)Xm6hA2ob1{x52e4ATQ%r4Z_UW! ztVf0eEn3}$M!x>pMen4I57je!CZuHmE|-ftl6Ed7Oph2-oI$U)1PAsm?5^pnK3zfd zHcZ?t(o23YQ2kd8dvzINvUcLGhiKOODbKrhH+4nq4~zdy#E>mY7F_+(zHrWb{9$r` znak}~+P9I$08vItuSV~Pvh%_qyF+*`;lq&NgoACsx>I!N88e4Z^qBF*Jh$PRIIT&ij<&?q{tXq8eOrf(Z^2Y7W)%SXp`In2@{ zI>>0OmQwHFqvfRmtNUk9Sq#R$$ttMK%fwt#uS@91Px!vk7<|+mK7>^dDZ7?H}&YO|f z#3n-$)>gX9`*rIbVBe*7ReIENq;~(R>sM%y2Z^VBI)6Kz|Zdy5#Y}@@vF2uHMr*)4(POCKT%&>{!i7WvG#BFIf;0y4Yy2+UTv@UTv zyj0ppyr=1>TcdT&M+-habvI7TAd*;@NL2Q9=nU0txK#Uhn#H5#SgSOt9YRXtAH%Km z%zp7lL+p*Ukq3&gyGP$|8g2inySPi4`B^?Lp`q<6qrD9LMyP5eJ%&w?J&pmh*>#t`f-88*e;0rua<1*AmAVu6^{PwW6RW z(k%wk#IiQuw2nwTdGPC_l{NUIWvr=Ijm_1K4P-yd)R5KVev`N_jX~uS8V)CojZ$=K zD~gDhe}w1+eZdfFX@y(%MP078_&^b{9e7`p(bX?Olo>=_A*IW_f2{*l-uq!V-JETXN`^#Ng zt6a!q!1uB&r_LYA7vsa+uJYr3r?bSrQ*nbCb9E3gVb7up#bS9HHwu@_l{JdkC(^Jvi(amPBylw_K7)VzAA z`}?3`V?}Ytx~MsT`)4HIz2;h}DnNZr{M_c+VacPUf;~$2zE5NKK?Pc|Th^6p`;+G? zY0k+d9%QsCyw)3Y?D`~(-t-2GG%a+GI@X99oX=-f%!3pL)&73r4xxyd-<#F)d8>LS z`gs*J1mO~pL?rknkZi_($9B^JSC8{8g6MU(t}M=KDWjyG)Z`b!H>G4(clt#Jl#ck% zs_LwQCW1V9`HmeT*dPgeYTrwp=m8h5ECOk$Zwm|IBM_*Yw#hQ~vdKCm^I?I7vgqiF zD?L1vSym;jZ%ym$FAiJL$iddv(FunqRwwZgzg>dGB+F2j4Gi=~XtY1CF#nIJHZWRe z%kX*y(fnd4sVU*`CoFnlD?zy%^Jtko6BCWzo)M`y`?67?jAV!20}bX7AdGGwh=jnU zc>VLxrh$~QmO?h9{*WoAxAp*N;QKsiBZq?-YKW|6HvI$m?@8gyr+T&sB`Jh)O? zwZ44#b&0k91{PLrXB}_GF$$v(SPI(Fx?VN-JDKB`ewOzG&Wx++eoP?M+4%{j={{PP zZMf87$UwBmb0eP(=QK!SQ+ch{-nbVqZ%*wI78AYROv__I@Q=wM}D3)RG2@LM+@ z-<$Ph?j9F#tZ?ME3=5e-56F?*p{n=KL|{7M?2r-Z#h9uJ}@ zcC)0B5Pny#gLo^}YXwPlZdVpN7(tyjbm#cSeW>J7vtAgT0!t%dOs;%04cd<{&mz_7 zUs)8VIt;EJ?x`v;=|^B`%pL15lOOfTn_*B)#?t|e(cJi##+KZW`F=LE@m~{x_h*Cu z(1$bXi#a{hqB=2cofCyu5({^$kTF*w-8A$W*zEpeljK2EV zXU(Z%xzo)v8cU3a(!-pL4A`c{%KJ^eALR2_%{< zRG>9HT}e(}b`~8*}yIYI??2Tfct@-_XpkDoVQhjsC?FD<@ zL8&3P$hzrg;n2@ilggokBJ^d!m>`+9 zO;9b1u1!V~gM1!*sqk*b;oV5+OZzTAKB(|-OG4)HT~XIE{+Vp7rRFzYljAgr`&0F{ z)I;r^Uq;ytzXyY}#)MA8{-B3J*0XkEYPkN;NX3Kdjki!x9!8RnA+hzUY@o5e7%9PZ zlq2< zQDL`%`RyuzZblGT-)#>oYY)JyDZ-#t4w}+6f(M7l+4TqxuP0DnfNd-G<;PtQ6&+M!vjAgFuN7B*XjiMyI*GYOpu7NqwJOrZo}FtfZl>}JyS)0|$% zsy)>FWwei1L-E3&ot?3m?6Mi8VTEv5Hj4E0_>fx=rqN8=)o%1@j>?XU|IY2&4DliF zCd3ME4ITVBxJw|QiDi+VY6@ubUy<4R&U;Yr&Y{oHCVGb3P?VF#ZbDnjkdOd~W>t^93OuuaLim?#wSxX2ZhNk=Mnf(vZ<=zN zLf{iPqKVIE#kUAfS!&+KYi|5%$T;3NO2d_KOH?LF`-MK6O`FkM2aOAng2mr`11M1Q z3m-cU(X_PKG)FZFvo-5>(cW$ z?QcpqYxJ#D^RK)y?{~}E@gQ! zb)TT`mKp&|m#i~zfhmM-MG=l5xf4Kz1vA-%3e9R0>rdLV zLZT{kt)oGy3vlIBezL`oM)RFF5&^`brnhb4ye>8Sa~gK zwXL`y^3U`&?_ow#Vxhj%ptNikozOEE`FLVH(S=BjKi-zzI<-s{JBT}q=uu!_^Z0@1 zunkml*)1y;RJ-*(g>Pxc>TDZlM@w5c{!j7JR=i-rTq@JR@rmK6{fs-~6(N6qjKq0! zDt{C9`jp+Zmp2`EIt(=?C-kVVEVwmPf&N~tjWZbv7VX;O--+%}@Sb{!&1{&J;I+8x z0U6OM$$Tps3M=-L=JM4`Mr0kWzFdo)yPukEc$`l^kB^{7dLHYqm&e*f&?!z0TsEue z##LkD0w>Y00bVs%R2DiG#uoGUBlg3BV*3PtA_5PK_ma+0F>_h#zC2LE={l1zR{oUN zvc$E*YY>(;>tAMcTfsI`5Two4pp<@Op%H6DfZ%3vd*Uec~-pC27|K6f5rZ995RW_=ETX|Z~zyn7` zJ~!5yJpXhdhz%3^V11ucV<1i{k$w<&O8K*J^}D^QI=4m6B>=VK(DBRo)EHd&u{C;z zMVEGIUHLjUL*pyYtiGJUNk|No`~2n@2b?l3g9$=#z*Pq3i{cbM;M2a4vq!0?hM?Qo zh1szvT+Fd?o`xFvVMri&h0tOkEF?f?kx`b_2{}s>n$B+}D!xRI@e?`7{%AJDghi@y z-fXi_GVrr*HK7`DsZg8zkVYFa_ZgCH33;)etwhbQ6kZS#a-zE}!RMPLGrB^pH3{H@ z!>7qT!MQaRye=?p{Jf*k^=d*p53zOT3N(_g8xpM9%K2x#;~Yf@!W;q<1ibV$yOwPt zHbgelLWhSIotQR!7J$2ayiB!Kg4aVI&PHC3KEDF2e1>SSTtgh-*9$vMTo~3fwYo8V zKQMs-MX)p=BWpsldqtNaZ*6)@!0T-j)Uj8LOJ+i~Q+8)OMx~o{R%J&(INgRy=h(bx z3m*x}*f-?Ry~>rEU0^lP1Jt`rbsG{&U^btfGe>%+Wt=9{V7B{P$tPu2B5kN$C6b${ z#5$daLe#5n7G*T0$U4^P_ zKxa(1s2gttc=A3lvyG8-yL-ye+t5rP+&fAWyD9)zBA+PZ?(J@qC7h|ld{9}#gUggn z>JgL_=(E1Y#osgGG*4NXgYf;O`>j^-Ew)?Dt(i$JavIpL1P2SptkDp&pMei4>oIEB z8t9n-FSbaK|G7Azdv?1nU5tnb;e>&`F!e(wcq(2ci3}W zO4h#u>O!3k$+t2HMmx4X5oGYs4E>o0DIS*tPKGECI^*Nn|n^;5W3eS06K z`lx~f9+-npuVS@{ zYI%FZ1JJqTI0cnJ%!Q#@WMqK)Qfs4-K+}55Ig|7g6hdq$#jV!(0$FJc;1zEj9d`om zR5SM*Xigagn#$$QR(N&i9#d|VO?c)9Z)e|{!}DU5)0O!bScB`TCZ?3VRNDc%N)B6- zZu&pM4ro~uner+NaWGN?2mHWZMkZ49=oc{~L6<%(+cW=B6Kqfa-jFu$wBro$h+G>0 zXB|FYgn%bWoXJyj7%s9`-t8C^vHn$w{V(DT$N~6i*6%9bQ@dhi0izl}N4#b$um^c+ z!Opwqw7oi1KEd2K8CN&%Y4!nox%7U7?T5*=C0${hh(Nu~o7StI6%7+T`Z(IC3fA<+ z9T{C-J}LAip(}8H?nAn2`O4IVp?YLjXqMW}@)TcU!25~n=8d9q<%Kw}ov<1r0bPnc z5RF@z`qT95?6F*V2i&SAzdA=njO$3fHO-N%)Xp<>UsK95Xa;_Q>)1BV>Tw;5?lctK zjsiF>EmHyg=-baDcwf$_oT1J;9lWjqw+ru3=Y~!#*>#!JY$gs51$uKt&UM$7XZkN= zJS>ONbyYJSWx_i}%7H5hsDo{dHl>E==~;LuDqcu{;l&LP+Qog8qGy!G$+EXm|C*1a z=k6*{@mby^TQ0z|-^~j`p&$1pm5JQ>yA*;B@~XyH)V0krtIG?lYXhUO7a(SQT5d7; zA8`8bo4AkwUSc`Lhp0H@XH!8MPLjtL5%ey3NHJb5h**6yLiHn`3;UrtxHuRHZDwk( z6h5~(%qKvM1n3GA+{@~ZSelkwY*`ta*tat# z7RvVAg%5?WQJt4-`Bb4_whJ_qy9Y;#^Ur&6@D~u-m-USfz2RG{0n6ZR6aX*>{lB1& zoKe_6Z(?)NlN+$f-fAXfrZYbE0(7ac{%CF#+NV%_e|G$4lIg$z`?N?utp?t~BNz1P z_MUP-{Id;gdI$B#7I_tBI~x-SGq4{zi~h}(DPuV(D}0d+>Z7tS#572zTmK74Lox2T z#f`7CA4>(etSxRq+F6F``2dqmMLO0f&Y?;^G-M;6v5l+sqQ>pFi89||qFL%HOt$!r zgmczZQ(3zn$-1kW42_yN^0F>c-XO>9a$SJ?yJ>n9({kuyT!SMG`eCK`_9KKN`K9`W z3j`iyschr6qXD`Cf#9*U|DY0}tGS?V4ncQh2-oIl1PU+yMdltH z+T+d=Hyb*)Datn;Ew(k#y1aZ}Ln6kh`EMZ#4(G+q^&h}te=|taKBfydVc9pvPC|1u zenF^FI2>)7zG!m>k-{S!?j0&-wm8+CM$K1WnCh}uvHMKe*F0a27WRQm#ffk|pTNek zQ_lgIi452Be*u>@yuS4sK=w}r4>8?14y^Boo4qx)fO*bQLsdrn6`eal!k;g={zkT(2>#RAlR6%9dC911On>sug z2(DIO+Rl8-KgEN=u)ItX?js*J7n)v4rzgi`S5n@!hA4&M)5@J-oao!--2>vYPmw+! zrc9=)J@1|&)!o0`sSa=iPpwk5-*iFvfVCGrHRlJfw9Mo4jBX4S66^K8AT&Xc^T!>? zoThKLg6b#g*yF;rA%~Z&2$@HWD*}^0{zk_m_SU_(xEbY(+l-vjha1UsKKh0WpvQ(A zPAB6&8vYAK+}v;#GOqi7DsOQQuJcx)7Ap!ZD{Q)L;?#C9VWOexDm%)NRrHfij30`j zp(%@?X&e4t8}AHQJMfjpp~`1;*Q)9k3*Gh~N*9l&TidZOEqN%+)m)Qxq4=ns9&9UI zWO~(65V*bgKlo3b{h!Jd0D&BhvED&=m#LqT>#$}v zH|1MQ%$F%YyE$r3R8PA|8C4pBSU;?vf-my#%*yn$q1v2xUe2D{Qhx!;qWCyd(o8A| z?b=OV9~OrRDi5c|*x;_v8bfs&8Fv{00dGeDx{&>0_Lj>nnKvO_sv8cL(9f*8(-kRd z3%@#b`U4DDSI;l6A83rYjBGWdkS{=cZ5rt<2AdY)SNFF@yY=w0jKQ8d(dieB9gP%= z;>>#e3lwb< zRR%M*luttENeUm-pHBTlpaqCiz;N0eARYA$*KC26yxW zec2*y;0aA}PT8f3|=&62V7RC`40M3OxCAp9R7wg0yBPgBVcX?*FG zOe+_@dzABZ+K?A?Zu7xBbt-Q!1OkHo&21*lO=2OQThe?{YTKsNuI}@!yKmk(PE7?X z$_?M|4$D*D!KI4RGupTo{zL;oJWC{jv_nEh_Ljsn;=`2H)C-$h-Zc*^Q4ofM3)V9L zy&&Es6_jJV_|hEfnZI?%=F)rw_7?R=f0ag6g7U>e*$KbJrP)&P=TT__|JWbX8o6Tr zmF#=zM`muDl-GOdvlZmivB%OU);vD49*pf;onKsn5$*{Hlq4Tv^HEC?unYJ(^>8Rm zR%S&P%}ja*qD^}Bp!3Uiq(yjalj6|`Y?Sih&a^50odTM(Lzu`j^qZF51pwCaY$mlX zVSTW9sa<9C!ypTBh>*bHdW#&K`XiWbdU*R*Qr>F-WU(bdH+Y@;HAZb`<>EZoAg()B zAsiaWrYn?rV;V27axfcavHrR4i^>8Y1o5Z~>a554jTGzvEYIxX>`=hJ4R8su6$MFT z@d37hmQskHZRZ!>9{rYV6&&eizr23}PDPozZ9*?H(|g_XCCEQ%{(rLlKVXeM%Ov6d zr*3>qC7OnuR@^+6ilSXszDNPjMc%Ov(RZXnzi)&j|EMS7GQ;s9{nNDBG1-46Mc*ec zT{!!KCx!z~|0uVME;-iJnm6{eQ((CarJ> z%XUxdp$|CCeHfG!LJIfsT&~4rtDW4>{c=vHNK5q6|eK{ji3Yoq~N@znBlu4Y}Rfude@&riKJQ zw)V`B)->|DX_MGLQ!Pw)gw`Av&0$V!(`rFsdb@Px$H2hnANYHB3-!1ds_D8{dVLl; z6s&Qmm)!6`W;o{k{{Yi%oQz3*p>uxLtuL)gi(+#ulQzf;3P^Ew?F=?Pl6Aap<6C$= z#cWmhSMgl|;(LH@Bd%pwh8gnNg)HxQe(vu&Ee!oO%MH6)o*FthU>KG+%jMrqGnwBW zGOd?gMR^AN%}50uXHd^^_wTJc&;1injsq~gYU}%`rQ@O{DQZ%dKa0=~4tJHA2X}BO zBc4oC)c!Yv{R3)?E&qUDM%z0`W-lYd7&@C-YcUV}d$KR1@*gKVShAdVpH>+2JZEAP z3%*2R5=(WjB_=OtcJUA3ymvoTgZlH*QQH1zO_+3#8H_EN_Lux0FujoG-!LK0o=yFb z_W})Eq1LvBTn)<$$+HPIXQL=L90lptSA(|vaX1h&G7K<}uqsbve-10zLXKn+=(@CJ zNdyvm5qablqx0Y+Yu0I0m#r+(VvviOn|X|%1kl=QL&AX$XF0N_md??cevjMvFcYS2;kD64#UGxj# zET+`shO08UvnKcRJ1ecjo7vx!VPB1cCL>fP4KV0DuiiU+(>9ML{DXvkgf2t#`$r+O z=-e4fQ>aekR!hr(kO1-M(A&^+W7Qk-pfj|6T>Jw+Z7_GuiEVHfcnMr> z!9A)pR!R(~s+UlLcms;xT$SaOt)_veF2gS`)uWO}W{z~Htedrd4*m}6vJU=%H?`93 zqB()*+!j$9VfGqZf7@bWaX(lYI2!7TWiLKwrch&nF1X;e{H?psL}@1?Fym;~rIk2? zdnwF@j@PYLxGV5)&=V4X?42xwzS$FHrvK;3(piOz8zM)8%mpWmC+Z3alqN%Pg4NH~ z-{T$zixq!NssNEDjAW;(Gj}5CL)fZ;&cU!DWBUHA#^D_5w&V}kKb~l(T z9I+!!x{no4dG2LVU>YtOl~Q8SgX&b zTc7QXli;;1w_Nz_Eu0~VP$5^-9Mmr4iU`S?hVI~EvI8c_vPGJA_h)mSq^cYE`8PMa zg=!UO_UzhrlA3II+>Ey(Rq_SZdf(*QiVwatWljLHo=r{Mj3p;K?iW(ct z%Av@w?_5~JUrRnj*I<)<`N7o$)i3!NVo|4;;2g2YWo4&D5p0V3E1a^}9~Z6#+yhT~7rfOLvKZ=T zYep-@x^9A88ZLTNcBxfqtZTnv5b4CBTfZ0C%Gia@qo{e|qSoHK09izTg+s5A8TX()bQf0VQ(E;@a7OCCUxE(3w~_!nmK`~)G@7<>P1 z=#W!%0J&@7p;!BAK#jkH*Nrh*dVf;@7r~|Ox;>lb1@5jl8-GPsWGA#3*odEY+65G6 zwQwCAZdGf@h;qX;o*}y&!P~o$;Xsivi_-N)&>&afDWFhN0zh+@E*}||J#@iyC`N7V z{ox&cVa`Kt^lgpaLp~_UhYRq}etkz+W7-AXY2iGw^Sq%2lSrS?g!az7M4H&?JrttH zG^&!t~i?VZXnT-b9*&DW{# z&>o`7w}79cVZmV%C{JCMNLY83)M{;~7h@)o98xx6T|gJu6MgQY$)VgiB4IVYdO!3{y7U zEKPG{+#7H=^xK5OL#C?QIWkb8p5ih$YV8?o$fHEv#TsCGo_@jYeufEf3@+oywJlXJD+9-)VbbkXzF?# zWp8UlO1WLw{*20U8lM0ogK`>EVRMTcID3O*t5)r60%GSr79?)WsoV|#voQRn0zPtE z7wkCW{oQ)+1fw|jXZ102QjmHtVr(n@ksG{go-=D`l78@_zFmEH<*v{Pidoq*;wogD z?Wj*(-&!1szQ)wK-PA-@0O+kA`6u(XOJG>w52;lp9FCJIrUL&V@Bq;Nz|+{gCXDm5 z5Po4s?ozB%AD3@`2MbyOM^Ok^PcA*bo=+`ct4~Yn+C9LWa#AjsG8gjy9XY9Mk)+(o z@0GztVk6PnV~6ToItkiMEx~`yasTs+uVq6BN1&?roGQz!gO~O}HFqR!`N1(skfTfG z9Z;68!1&3yiU`JAn)(J9_wgNYZ!Ka>Bn~!Xl!#cjnI|YzFL$Dqo^v&HL31pLM*G+; z5&Ku)g<+8B-eh}6=3c4@H#Cl?Jo>R$Op+upvQ1WKdf>`5e~<$kqo|5kWzW88O%mbd z&DBMo<4B1DoB#<(3iw>A_uuAerMtgy{6TqXTR!%s)CSuVC=frP$6N|)2{VlDPG?|P zaFusgAZrG>A|enkk<4(NH>Oc)hLWepjN$K(aqllwYlw&C3BJ)tI9!s0RELSPEAP{c zW^4v#&}PUCR1E*2pywdh&M9D4_AoaDG}61}6uH6F(<@B=^1*AxP;8xG4w{SRh83tS z$2`+&;8fZ9SdXxTff=QIkjeWN5PpxcrpiDz5Hcswk$#%@Pk>#-0-ss1O$Yc!-7Fil zg_M~p06)qmG%8?DQy%wBJ@g)xzk6ose4(0QPqJPp(YFU0(^+f3Gx0q?l|gA# z5Ke4jRoF?z2cf}MGb$H0)b**Pr>`+FHkS=E@?e9LDsien10=?kD67oK#H5cqElh1# zx&PkEOgw}{3O0$d&IK?dQg>tCB10vNcFg?O-0^WB%ZFD3dan#FYJ%w9H!?}3l~45^ zJ#v4qakjMpH_)F+sG-O;Qyyk2A?^j#{&?p3?IZT`gWtJY=6B9szF0k&9R2=m%d=th zi@))?$u0x|g1Vs7S9VG!bm%a%Vh%+iw|QOu>n-%C^X^6PCBg?=IDA>aBoOuqb21I( zeYYOnbpMgit=}KLy}=U&9_O8Iq#LKnrI|>C6B~8J3PR?w4aMFKVgtEfKHEKcECotr ze*hL*lIWz5NV}}*ChQ;QDz?nYYVhrhb7qgyty&o8B(x z9eAJL6lpi-v;AVu-}Io3K$&B!$aB}^NQ6&t&J>Xh)(JPcQp6`$7Tt!8z|0Ka`D({GwU^cr`c{`)VaSrMZi`*xh|p71b)xkYbN_ zu&c;BA0egIZFAv@>S1$}RoWwi#>%i4CC}Uz*MBrH)U|U;RM_Lp*XM(|BYAnx8Va`i z|I}K?=_>n$29nsZVWW#d0>S>5AS{_LfE@FbKO?P?ya(H*Z{`2CcDr$Ybw?+&-b6y{ z$?gY(8}~_}FSdQP1Xhdv-6(Y#-esVy!B9t@>qQ4 zC0nR6Wo|cq)#u*jw0fR0Zt9CIMKKKbR6T21HW2}9$`d_vnzR0u%ghC==D!yE{{X7w BMf3mw diff --git a/cpld/db/GR8RAM.map 6.hdb b/cpld/db/GR8RAM.map 6.hdb deleted file mode 100755 index 296325c434989e4adbdffe9b43154dda5b7c3c41..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17087 zcmaI719T=q*Djigt%+?{gJDFHF-*@g^>-^{5b-UM7 z>Qzs5?b=nldv`S&7#LU;!nd!`>FX5!iq*{=oh?Yq%hD4|emom#jbgB)v4Viun?T)KtI0*!l6RxFJa!JdgdFgn)FF*? z7H;pC$3w#=P{o-|ci1*hQy4}|axhcd7&aSPb#~^*)>VAoP}G&YegAZ+xUAA~D}VJQ z&HjD1+){Cx<(JLvGF9Gw26VgH>hyXv94F;NU(bm6hzM|rm9XR}+q6mg4cRPV=!I%) z5b_pVZAv{J67rMB^rk00WiWse`2&oyw~v6 z%#YD~^@=uIiA*)JW}9tpsJVrSsZe<eSKKDzOI|4Cq!uax2?y>NTFaMU&bb_@w)X9;h=x?GrqJuPPD6^?~~yFj5dbq;vS$g2`d)hD{QGwikZX4u|)wwN^-G!Riv52W3fu`4zHx|lOe zI;XHfSFrcfyySN;#O{tHN$w_@AWRy6M{LYBHer)_ErmMnZjB))i}jTUrmIV?+tL1B z2yuK=>%uUpAN}a}};!)O+lj(ik7wNawG!)?k>K0Dr1T!K@gu=MFxXDx^2_5EXWKlZGx z)Kzv{zUo*`Pwh7N7EQ+_UOt6{?17(V&OvPJr}uW_=H2Fe(m5wi4MdAnktroLzi=pd z;4%kf=Jk#0dHLPs~u>IeZDn+DvDCq#j0HLha=SiCc-{XHN)BjM3uvjR@OOJ2W5Z9TJ zt-{QD+iRC-xepiy6-l`zASXy{+jR&2slcP?X)8LcZryUtTa(zxK@|R%+{J}*n>-&H zmAa=viBoa`^1mZVB2^2e)R@`CF%;eoY=35B_M>Ni@QU9j%c+?7E+yn9nzmz$^AUJ_ z>))ruwUed$r0en;eGy9dO+hdDx3lkunmqkHX$K&fKMQ7~KFHoSa@x%?`t28WXAdjC zj$CEltY$5IH~6Wdf$wc%J*TgB6xZ2+V)Gs!TF18k6pIqH2gA<~ij-WjY%lQRB!n>7 z&NO-geheOoO*Bko{c@H^iimG=hAY_jq&a4bDUBi&p(aKG1GSYyy>$ulI&s4{4a6y{ zSH1PJn=adXtXVy%VHh;?(?#S&hsV|_T>LMYW@|wvX3vUMKWruOIEqGC?^V)@dqkSa zJyG6GY6L>pi69c`Fhxk#Vp%TZ8eFHhxYlCKl8=XTHe&q~3Na9Uy6B5ic?MQW}G7)o#2>3*{KE-c+ zTc~X2Ob~mHR`Z2iO;#8$6V(GHNRdPzyZj(Uc0zs)d!nAeetKg%KnSPD$58<5wZbe! zCFiP~CyHjggBVf`4I&##ModawkQebKSu1>n3LA{=-C4*`hx4=0)C^(P=;C*#-2Oat zsx+f9xFUa=-GluyIcCGi5)ljZAN#peUeEdT$h&EN{>ZvnqCmqVa1hg#(L{rDhfFdr znStP4gI`@8L&E9zWWd&Pt>EBIvjF#F6z5h2@k$gWr*?@VW#I750aPO8W`DJ97N3{z z<=DglBgU!MXS9R6v)T&NbNi>|C~&|ohtgr05|x-SnV{RWnOfrr=yH>rJ9*d`TBGGC z@W}{&*$vU>TWvPCK5X6{eN}ZCe^Zxr(NCi}_2RJRQjy!O4s6i1zp5$mJrO*-)7=*& z%EcxAM>kbk>kIr?jK%9kznj$PnlNtayGfAS_4 zOF*qTY&w0HiG{s>+tSl>PM5lP%4x^t4QDKJLec zGR*=;jmYO=$$K`Hi)vk=jPai)dt;kksPlZ`=bc`kXf!_}_&2%N!wyOg(tZBAp3ndA z`g}a&?^KfXD|cWVaJ4kcfqUep_xx=eUt9t1;Efpk?|e0=IG$4X7dY7zHMqYY!T~+k zNH%sC?9uM!iTfq7;4#|rCvR|nLL{?zxh0dBl2S+atplIWRRC`n#pg!2*aHFQt@=tH zwHvwK--Lef<(-y*WmC#0YrQax(CN07ExIK{Tv=0+uBTWGJ3|w>)z7EK%^x%GkRYWS zxmRy2?~OcB$4AWqn&IhIvRItEl~B&x#b$w9k8qctL+VF{1MhN5I|5;!?zXR-HlJie z(;2A*Del3e?~_uM;Tbg7x&3}-Hk~W{lxq!#lwlt`K5^at-)g>lFLi)wML!*!5)Fhm zjZ_OPFAW@6KBPfP4@aFWp7+?o{MP!8G`LZ2)u;FWr7VlDNj3mq?M>M?xoQl^)Vxa& zc?WDOxWCkW(Z28V>s&JYi`_>Y!2YVIX&k#P=*7X>|4t0uRm1k55>GmIm|F)0m|Ly8 zgno3F$NNVa5}uWTC%d~Bj^U%1LsNyW6XF)W;j2?(JB1hSn$9TWZb{_No02Vwj)zK* zQKN_-Z|c5qreP09*#+y&u32fM|7o}w^uV__VU5~~AN)Rmi<`;9^(GPFTVwQ`#lkP( z`SJ8t`<@6gKw4Eq@I@-|$Ii2$AHia44qA;z{6+HzpP_BzvG_MQOt?vfuASGPVG+sP ze(~G4=Iuxk>oK&^__k$wH+G1sC1iu%9Nk#_-erHbZh6}al0_BBYVY@JT0BU;eOo)OKl7j-2 zX=jtc;V`KXEv*6X24&O{_Z~D{b|yF5^f2w=tfLYE253N1-lJf_$K1j(X$Bp6Wdx3@ zk^5HbBegQ%SpKCXHQE^aOqYqG3pbZS2U1P|>R1fOLv167?>}cW;Y-dV0 z$10RY#l|j&&7vn5lnt&i<85zK=JXN~cN+O56!JwYAU`tmb8YoMf&5YS=Lge|YJ^ii zeiYp?VWXhgpKP4<&U-8el{!_!C>-gaeCMt9XjQyZ?WogVczJ$>AH(8b<6QHUqW{TdN8jMOYJa3b|}l@y(p^Nou2JJMWK_ z*(9uST;iC6;$LQj&=#&Sbt4&LMR-6~;L2}F(6GA z7U?S=A9)&h;_lmwf=3^lP%XYHs6m#E+Fw<7=(sZ@b9znc8Z$W{Lv=rN_PiZ|jkym0g|^E5Wx z1YHB9n{Z2m7F{e&f5w4j)0KRiA1+OqBf-UvK4PrBZra31;^AZAO~(S-c>_3+Qm``l z`7o16fARhD#@mP&XB7c>n(|U=!@_v>5B&Yrr{{!N#0ta57ZWFQ@Ez*1k2a{h@i&`% z%SQW(v;HmtSFsP=1aFfa^O&=S)hmqQ;ACNhtA*9@c?#_`#mje85m8I23E|Ff8Z4aw zeW&9Az|dW?M&tH2r;s!#e6W_Rq!aYr2#KbQ!)Q~j-5X1;>kpj<>cAgRNfC!;aE%s&o?P)3f_<7bolZCJ}joH^RY(|JAYXjt6SPUG+o3mU=a628@bBpnSU1@$y93xWI}(&rEU$}n;H!nQ9A%U7c+A6 z9=sb<`YYW2Z}^Eh_LU~a8msF}Ymlf^_i4(b4apA)a6xHjNmvUBM%mS#sixzteqBnf z22O|cyQx)bTJB!!V2a2ggiZg|)R^nrt)XK`#6mW>cVkg~Di?4qd&};s{r(a0J#s#* zjeTA+00e1OhT40^0UTSAYmK!Bpi0n3k!^k7C4MEhOQ?=0Yxc#~oSh|0%i1#3uDIvm0^^Q(QAEvNM#2qB)%MPvmnP)*e6=>6y_;3jLS)N#u0;0GXo= zPJ~X?dostoSq4wJZik~NC}1HL?H)0E)Uj`eF5{0x z+C^W`KD+=+ZAIMlD_#js#pec2`Is^&cQ3biwYW|(6h{AU3N(cxKN z2dzeLhDXSh(JBiZKf#%gq&H2tnj&T=B{kW<{!aJF#u3;mqMJ!+ZBW?KRm%b9lk%S+-=J8{(en72)$pXiLgWnT2d z5Bcyn2@-@;rGi6iu96dh7>u>QFQF=lgFMMr4|OKjL>+QO3spI$*sUW|;2U)XJTrD) zCCI{~Ifaa%zR8rhiqabb*P!@NL7@h8Yz=Na?M?>(|K1tiGXUpM`MmAgN<_&MXQ5GcRa7TmwTICy$D z&*EB^uMk=zTlWz$_Xq{3AdKYUz0zjzNzR%cIMx%}{+BL0)u5P~(_IN9xw-sICPin9hr&Cn?`z(z?LJ^sZr%aHxO{~oBn8{N=C&vorjkG zh3$4{r?J0q$CpQ_QP)vyTgWoNSGn&`Fu~$f@7?7k;-T|llZ{LIme8skNY+s0(O~fI z=U7b_x8Ou}B-S1}3W!H$#T?>A$ggi7**>L$#=)JcFu`CK^$9kMo6!{`*dqfTi@ugncPr?9NTmatM9b_hrI%xbh}s%9c(K5sRd%)z{n(c7Vd6SUUa=G&H>!f zR3?ExS~JhL18lm1H59s(`L%@7BiCQ$;_7M}h}{xoa=!vibT9+qA8Al?*MPS!^a{`L ziqAXfN7wPx4Vzt4*gcLeTE%+cF6i$BlZCYvX1jZf42HhOX9xxx1^vJa$-?mm|L|GznC|!xO?N{G5)v+ z(e270dHVP(QDTX_H}9l2_utVA7mzbt{M9_(nUnc66*rDPJqYlR1?hQpZ*p|89)ep= zU`5&C66lQrv3c2m8C`e7DemzQXs1Y~AxWPBop4Qc>p2wFZ;cit{ur0MYJ&2g6-8LO zO9tO`XxqDvKpUzjXL!(JD1MdTB%dfT)$yBZI_Ur2Vc#ngv`w`%+BYFQ=EA^B9xK*Z zmaB0*Y^9Fc3(%LL)x>2XXpzDY+et5-eQR5-IAFoe+% zL(TL_^O3a7Bk7#7l$;53q3`7WnIsmPe&E7qow0KLt81)lb#y?4&6@JoPr-kLh(?*R z#iceLe)B~A1A)ZAlz(by;yCb0Iri#+3F0|cJCkrds+O7-yP&E2%PMUs%%0XnDY;TT5c#H_w4bC(ttyAeWx@w0qeiZQU*DZdWz0e00=!o=aKqb+f|5*kI_>}$!^AtGO26*RSadfYB zsRlJE9ty49*I(v&Q;+GU4T1+{orv4yLce#{ZU)3OTH1U@-b#ZEMC5_jpnx;9brj=Q z$T9K}k6Q7JdP27{1}7F3=bX*_jN<=zTK|W0%*^f^(eUn!trh|BCJ@&}NlGA8@6dc= zVf=qO9vRx9LP_J7S@zktf{&V7TWxeSW`<8QG3~8yxxk_Dlux3nS1nZHHU9hx6{7xy`;@{u&Y^3hVrEVao>xU3la`*ewlX_jcJ2 z@%U+UKQ1U&>{<;=&JINtUv}Npv@Twlahv`>`)E<8f^u=Xle8PmBY9& zBbm}#(}S8|L=YeK<$~83Fsy;Ty2Z({`h-`D<2pM0j+9|QnJQ2pE)V)(Z5D7OM%zSH zb=>z`5n+T3GmoPGv+-mhKXR~%dAM6tMu$Qssix2Z$yFIIQK6V4|gYyB(y84JY{!aedfxof*RDf z9rye${H)SIq^B(cDBkbPq2XozXP$ScsK2OhkGkJn!ti4L(#vTHv@Puj#XcRtNaXuk zdplPj#nT7h1B8-2m1r6>7PT9c?Ncj~mFu(_7V$$qy1K**x5_YNC_hvMi(Dp%WoU*p?q5MwgjD|ufF^{ADf(?EF7HvKFOAc zJ)+$-Z>hRoEDuz%Znn-g2X0(C-@YG67pqw=(hEIbPVi_x%vf>Uynol=cTl=TcvkPM zQNObPrJbzZwYaQNwY0YAnXh}UDzk{^HWVbgSk z&}@l?&mTa}NH#CstS0y89`r0^+NMdSFP1mxoCTyr#jwWGfJYGWV&U`m2bhnJ9r1(+ zHz^)hsAg6<)@@clq{=MHx2aYqTl+kt$6|p;)IX<~%bH?-s{Cx=Ic>;flD{llU~I%Y zFz!mMdF-utzZWjnJYiNwI8ZO1Rqw2YpgN46d*RY)W;@lnuKzH7_Q^IcR-9a}!&une zlIP!MaM51uGHy~DYmv^0x0_u8zhrF%w@FX`@&r~1TwZ!YDelHBo*nC63DJ}77j~~L zTm6b0lqTHzn?mQ4&8-L>#fotDk98qAoO#-JpQ^Laf)x=Q^NLmCRw-Jq$v zW~DD`?NugQyil1b=}9_J(3m=lXR9w0$D_%+YKCEPELpoQn3BZ6wvl^LzEGuyd)}s{ zW9D#gtf+{CaaBJz{lqyzPo1&(_C4FUZGkSiB1FDP^Rn>;{=&0Pak>S+NnX%FHObkY zI-$&~SnHy~IA6@a1+Pyy+uYC30AIgMBNA!){4ch&M*I(QPw8ilx~> z7_TDNy4meh!{n=9r`evs?~yhi^-7O5utXn_Kdc;1?6K~uyenpD*{vt7x|RSl&Y+nG3m#hwlG3~Km3KDNOM#j^(ezU3%COF}nQC_Nomcq9pg z_zh~*q^mqTRjb&o2Zig@tPW)s9TD!0DbHats8REUxredMh#6Fxyn%|}=ADYdmeAAOjs|Ni``=q*kM(mdxyEoUW?gbjQYvD=ls$)V% zOFqu%Li@s0-ODZlx6g*Qc(;=szhu7tJ-|+cS;!@5OmlaJa+wMk65OKGjvaIOc-W~(a_uXc8ew~5m z!6n!7=Y=13j10j?FZapL>0eF4ZTpB+YNWax@T>)}?(Yo_8H{KiTIX`=J%%ve5%zy< zO|ko7?IA38pOYS4=gP`w@FyCRM;yI~=z6=UhK#U24u zPjbdZTVxW_2W0R>l!4E;8+XaaEEG3~>jt_!IhIc6R{cB&8art}Frw^UyJ*1ADUPIk9Ku@}QStHhruj11O_S4TVeC3E)s0Af0ZV>quk@1zefyz5;BIeMmVv10gu_v1npBnIvn~rOkI@) z(Gj{U^9N#A8VT;zd;%k}RGcLf5SvS@Pgrh$M(?Ai6T^(9ZgGrBbI!uN9F;Co5@R=5 zc-fSvi?|MN2NWuehkR8*9yi{@Q`1as)0@Mq+-p}-7rI+5Wba4STEk+0NGtrG7lqF#ZlA9Y zG0oG$&+M-+cZoIWBmdDV*XkMMNj}n<-068#gr=`@Vatn;Q1Wg{Ga*EwK=%0&hBKY zqlDbt-OKuMeI>WDh2__L!<2Nj9=k>n!?fnd{_gWEv)P4K-d(fJ!|)NYG+pv}yL*S3 zDfRIRG~@E!7MOk5-hP%LBxZkQaQQ???yk9Sx$jo|5WX<6zB)VN$6sx+xY_`^0*-yY{;sQj>A!npju^fh)9DyrHaB}Ejc)CH1JtG zghfp1=&^*e&c~dgtj)Tyi(%5Ic+tG?84^oh<~Q0E6sa(1MlsTtOvdxD#DR^FY*;m^D#~X0- zn65=jWC(1ei)akbOu?G4Mj^CG_VcEv!ZZe(RSAXg6C$jTENCpTRId35Lcv&?U4P_Y zMgMUzl+pZC#eV(H%qt9wCX>e-_NfX#O46^Ss}!+F-i)nqZ0x?hHC`_P`%`=^iK)`; zmH`WI{D!edBAhw|Q|XW_Div*Qo2X>1kSENHjb6+3Nuk(1-yjtg1VoKT(<6kn#9*(myDR7b*^NpMAsL?X$K_kzW4PXyu8zlt%)_8}shA+f3o!1H17=^S}Ap{)B z(}P$GfxckNyZ8n0gIlW<0%A4d20ducxZ*S}2e<|ZdGP_(k=9)D^ektpA#JDfIuRT7 zpfBiVs+j>yFc*$Nu55r*+(r$5*K#2*N3sA!hNDX+94sxXh)UX0l{U5$cSpdYW0FykQwY*N$ zMjj+QEV3i2pk|^*AmUn-;A@(Y4#FBCv@KYp4$>MbAeR|XA@o`+qyw||bsYn6#R0$< z@@f(Cq6K`tb6EsD=m)t{0sJgx*aIFOp)V-&HUTqJU>C$+Ttcq`&=<}#TNX3&5Epns zu4sT7765kOLn^c_HDDR9QENuudd6JPD_dy&+u9k@8dRR1#Y}UMYl9FzOqJ2h7P9tc zUMECj1JW9g(Ce3=paG9KjpRbFT|!<|fbE}vY48gK=qmU%Y$R=BKrRbl8s?%9+7_~L z8n5vv;DIYh9pd5+x{A1w3AYg*kjo074tQXKu0mW(Mbd_A1R|{=2=@CD%+GJ;8Zfw> z5(yDNea+9^-%>Xj!Bgf7nbCX$<>pKrX~BhZ!mT>=d$#JLl7L^k25g0$k-`oeM^gzPAkBO$l7&&O`=xA5#vFGFmmzz+GG@GqQ?P9%HT8SJGD@r zJPcndzSS{|dc$$?I5Pr!7fe_Pl1EY1V`Aqi;mRRKPxE`4P#B%1dhI|Q=iR+b(51wv zn?W#lTm($VpcO>$*gHd+FkOQ22oT!2xUW0uIU0s7iXva;2MYh47`+$dtf&O9I6NGg zu-u{0jF;tZpSotOXubj!Q8~h(FgC)$iZ^clruDJ9Fo2Bs^zZKY6KcqDkP}%JSKt(OHyOdDAyaGg?gJ+{Eu!<%g=aq=fEgUO=mmcy_6#WNcVmp7jfUd3i0~XF0}P z5~75IScs#h;$H-^&+>n$?V;ap9xcDsh#&fCUbov!4Gae5HA{snwYv z3UQTNwz`{`9owu-v9P{4q~FiK*)V=uVk8#{_g_JIY>C=!bBSWcKljWsx)9@=mdz{7 z2d1w+s7dR6L|hG457V<@8l^Z9D7M&)+r$kDVnJvj znzF~BT`lbNiV!6i@UqF2MH1pOXpYExH zg{8I}l?+2xbe1AXR(O>{l{aw4IFYHZ>J@#XovEiP^WqiJL#=Sje!*Hl+nx>w(Buk$ zI6?@6l)?up+=X4ud*AzH2tei@qV$(Oi`=N*0Iwx|QHVybddQz|`ILj*w>62zT2du$ zhxOq*|ZX!>U-1o!a zuX_VVk&pFz3cExW+iGyL2(;OFOK1?QNo{5AHi_eO9wqjd-t${s{0P3X!C%>(rJx~V z+*}+3Y*ow6rb#tI{tY(1$w-}Ky^iz_RxF63nz>SH%0|agQ%k7C(ieQ zp7zmQB_v_m@1f6%j}sDJ3W$KE0zRP`^O)c#=p@MPz=WMlMRad`=|Fo?sp~2lxGr4E z-dIDa>wOJWX9bzS{FAt5W~t!(lNl zu5qNya-pu3wb}j-tKBE5VRhe%=b{PkAAN#5TS6X^nT5TrY1*Op)FIW(H+i4me_cO;x0LAR=pvK z;xU~d5m8VBC*4>MUaZ_1SH|6sS|>)BUZA>1VfX|m$V$bcUqn#1rlKxv5J8pTi2j3u zC4%J$nNP_KJE5!&K}vNcgyQr}Sh*|{XTCaVV02d)9YSJ_k;O(=ma>k%$%Ya>ARI%aMk)f?<-WT3^oNpt)5ZfebXb^lG>S&KaRyvUpTppQpbB0xy6s8++^94`HZ^^6 zabyI!YJFq`@;}9h#6c0tKT1#z#;UYR2cja17^x4Un`R6YuBDKafkksWU^QpzZ?PHW~0)3D;R&X{g!;*{&@BED~l6vOXH7a;Iy zm7lB0Q&wc)p!^-SqN{i)uD3FvyLeSz?P5xP@F^!NWtxq3G^dbuWGNQak&=z0C5UxgyJsm4aJ)lx~P_8asP-@k{~+CV76VGCL>Xfx^)J zN8a|&B;mhrqv%T4&gulo#Ic$OH)l_$i;<>>VsNXqLRn9lHcK23!gBK041fZDQndZO zz^qX-A4G753>%nWaom+wBVK7pOYD_FT_o5K$D8(!K1LAW*h=XUhX3ntcy@?W9I7jv^Lpcd!P=eniYyv!iH!tc!n6U-EZHy6w zSAqJ`wZ*z8utpj-mTomr6qLeB2pwFUdj#J1T<5tD`2E+4pJ5=}K~1SbWISrb~K9IuuXN_&VjW>G$S36Jb z_vD2T_~MAER_bq1+M2pA);yqZ73SR#;Ai%Xt}ax|1(LCZx3X}!gaUd$b@QGW1a0V_ z8Ul8a=bB9N+SE^)&YY5hG1NVQT5|q0M7CiB5MOerWBrrJitd{K?kb5C2co?4a3!6F z0Wlx9J2ZQyH5_y21IPduXG+D%%wrFwgshffHeevw&|}l9ry-;>4!dK*3>>bQ@Ai`ePeXMpTurl#FTVs6 zQWNF_j;*rY9a@m4j+=XB+HGsg*P$&6dJ}b{Vxwnw@TtV*d(fMWIG0wKF1kilTdcA- zZ6SDNmM=K*HXmk1gDxKtjtY{^nsY3|vQQ))pX}<$n%98o&G6}U2I~@P@>;W_u!P3=R%5uR19-qqs2}{&f`Qb z;`%S*EWpT!KJbWe2-9UXk^VG}dzKL1GVp*_T_tM>9g_$h8wnkw32#eXN9tTh99&17 z&g1qk;*P)ryMrq-DlUYVR!B>~ITF0|22^<9EPB0+gf?}SqM&5W0f|!c*ga{v0%rYy|dLu&Z@%C&Np@K!`_k!Z^d~)7p^0~^SJI75nq$AH!RdQ zO3vqRz_{&iebz{v4fr`YQ33~_e7|*%5uUePtQR%T6;VnAz(RZ!-jH8o!FHI0j$nG5 zAs6i`;`juAFE`=QmgO6)BOpr1BPLF>NY79qRZ~;iLH$1F)`+>dL8GN4ge3S7b|d`t z{weBeu1m3q8rz8Ap;{SNGp=JE3*F}${+@=BHeAxf%MW!3N6QRT+QZ8Nbr^?{CK4d^ zT_mh{fG`LBu_&pP8X~N_NYWGj332^Na*t>Ghj5O07=ck3?LAwaSa_Y99Y=* zZWJGO)L{s;uE1Z+OF#!3$M@@u7d*hZP4p?&7jmUc*GNp)L<5xQI%4ZO@+B`q*O6@35j}s3=|2jqA>M1qZR^O- zUxTG3n9%f|^|g3_?ZU;mrB6pgsC^dbEoofDeL@EdxTUSmWpKM$h`@2&*@F!wH+MO? z>Y!g@KM=+C5M9Khs?k(e5?DVJo+>CnctQ(~KJcT%{;{*^aJwHlu1&BzoQ6b3*X+f z@P1;&Sr|ilOM*ShKvRd_BsL;J6skCIR1*5N+BaKYr{ILUuD>qfgfGq7`cuGwE@ok? zGQT@4Xa2O^?1`#)_V%44hL)y&W`lV(8m}5uc%JUrDNNhrHJKC@xJ-IcR&;z@gNnmF zxLWrS;xQ)airX+T3Z%}fzHBf)wVhcB*h@xz#86y9LCl$pLda@88Gr3=z5U6HM$o== zF76D*bGY=UQmY~!Vo(n?>pYT+zTjH~8msyf6KMddo#x zwpE>hfMq><5Z+=o%9LE8tkw~GY4b(aW>?}gg;)uKVADuDBI=gsp3gH|;eN%n`v~Yd z6sdI``z*uyTdB1=k}NWLlkG;lz(v^#Pd5 zaKCyoe%RnabGJ28w{_A_B*|OKQB(WV^I^r%cP@~;t39*`=JDR|t7Rxy$#dRjP}@Xztk zv_HQ{pFo|qqNQIpH0F6weE?T|K*ktjHnc%XSe#>s=vNLLtc5_%EB+d1IJI#o^^#>I zG_vJ%BwFeH(;QAXmE#yP*E(`JM4yNkfh1Bi(vU#+ykvxR$v~6x-Wsh#c2cX8|9(1u z^$Bi}i}!j?CWY+XocYdxX*v$kwGNETQE^XJE_e`!kC+^{+!+Ez-{)rjjOe^unCMe? zFZ^kw45RvhjWbh5UN-vfXS=*fd_7ePjRt!ZWui_i-3@mf^7%C!8ImV@#5f@P)%9h!Rh1dlY)_9&p@`~B~| zDy{n0Tg$h)N&ioJmi$89VeEg|oY5$wJ{o^bUZfhf`OuAX_5ghEuyLjuADrUhC1aib zE1^CH(KvFcpQ*wV(*aUTj~|@0+6vvVDFsLoOn~}I=GcKPa$!ulk-~s*^a7!!b<)x` zQsS>(h)N_#fq z!JSI=vVqFs<>TzBAEpmh^je^m{)AHOa0TTt|-1!jhWPnDr?RE zMOpvtVxB|C%R36wp+R)OK=(6FbU*<6)`6s!Vj#C`Glmd?i6Tke z(_+cIi*3A~R#Y;mzP$Vm`7rh)HJEz6YBKJ(xXG0z_QxYo=rr8WKASvPuoVhPrv-_m zekWt@<*|>mHHL=&03HU2^NpJMGB-UT0JEve|o$N4+aFQImbp=$OK zmunWhP2g8Q%DS|{l_OVnORAl&&`rbbH#2n`)FU9DLVKjCHFSGa`$lK;dAGC0Wtcs< z!71KE+xFM)FmhYYZp={6zUGPh$KT3*RjmUh_c@!lR#yk-v3ZZLzXjz~^uxQ{$obVD zo=nv1Q@AD0yl2xx{r^t_5PKvl}sumygSCsukU1(mH8>20g=yviuR;_Kyi(W55x7>OZ$f30{3jA7AVLn4l?jD=dAg99l@f zw3xkXunH{nm~1nyeahadbw^J5@DJw?-RVXQ!wf(Yy6uR16_@tWa?yPTkrEFx6lDK7 zvc&j9DygA!$tKQ2dBu}JgxQTcuNElh$oZh;GsR<3ify=mlg=Jm*+IM(G`uFWD>2|D zKN!ku7aNV@aZWUL>{V$w^3j*+>3-*gfz2IKrqe?TH!8C1fF*u`gcE^o?|YH!ztJ1 znH1*HY@pM$+ce*m)3VuPeL!@bT({jj0hKxwd7|`72D6XRA)-L`fp(|WO4peK)6tx< znZ)a%i}kuj3)NDSz-;*XaXR*XBkYo=V_$NTW_3kDGnUrlPz~Ks&1K1(F8xtipTgOy zFLO4r$=U+8$|9@HI7Z2GODlqLmbLv@If&?wl?(&X`I!&hXs$U4FTfDhkuS-`G(XpG)Eg1K~Wu#VYK-x=1{dy z!wz-YiYgB5k#m~8SC^e|R{D9|TLE;5l#-#UT z!bw()v9UPOicxlCoZ|m@CBmerE=7B*x$NZ4l)hY>fCTY&U~TW#H$c@x0u=2)%iSZA zpj(I7M@GLt-rz?3#f}bbS8MYxqg%JERl4VdV)T4buM|TwK5H}jz&!v;Y5j7jIv_tuJ@2Qo)Kb0clxx-_nb@zS(Gu9&ivjE6j)7)siBmkV|L+7NFCCpLuqNji@_uQCHTOM_>JMP)|0;JPQJ`$EMh3zYPDYQD#Mj$vVe?5mmDwy3%N~WWn6Wf9Zcw zj8fY3S#FWx@}(k4n%%yS1~`(hOa4JslbuUR_Iq@9Gua#&=^=54ZqV3~u(UX75Mv$} zS8f$c7r9aCc(r1)OFTOAq62>q& zrsS&idFurmJaIHKDi1-U?(S0>Gh&_mxuB_*6M(L*qo3~V3zT@w|D?+=_ z@JUduv6~{tlw&G)O3>*yImk#%f^-e!17L!ZzBrc{2BfE#u#1Z^D0=6@0~4^bV6eDe zGj`4@i58QQ%rr3rMU}ef8CD~uynTihDeCjP(&*rqkzh3t*yYM|fxHN4w8Fal`cjr8 z^Mi-Ts*DPpktA&EHNFw;qD`zDya8m@;~SB_Be^J>Tl4dt?hrzC&@-!JH&+6Jc}e6Q zSE6bJ@rkSO?ivlmNBImZx#h}`9ORU8^rfyJY_PDEzvNxW#U-;uPt=@2>GV&O6;AvG z-Y9!w?vh3PrD$g+UJG}vWEX@qc*eL7G_KfQ=U<%#ciK6l_h|8&FsBJm^iX~8_?IRbiS;UJ5(JI!9{5b?b`EXTQSB{ z@4H;ZqAGm$w*#wiziclzIzzE3Be(3Unt{+*O;s(^c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JoU00000004>u00000 z006K700000005Z;00000004La>{Ux|6EP4@KmxHK1Q!m7!<=|XX`4+@X*dxoK%lk? zO~vB^ayH(rk=I`N0W25zr~C(Qh)4On-ZVKBPTXLnmB;qXH#47S?4zTjqo4RY?bq#g z5{MdTUudewd*pM}t1 zeFIMT|Lz3fXBt-s?;?Z)Zk#8=QICOM#`xd36QMxwhr$ZV`#|@)xZO})|39XWs+8gqglg>}r zzF}#OmMIiDt)=ae)P=|>_bLn4mJ+7sq|sM~tj^s#W;lhVRy~NqSsL$7CR8!w6ci&y zatzXIESRcEdslf!xscpaZghzqtmXs%3V&Z2Zmq1z`q>+vIZB*vq5+Ym zZ354 zzFAdCk+GTNgt76!mYBy3^(c8K+##riS}9_#J>^>Eywk?66HO#%aAAn{rZZI5Be{&-pY&2~NkFdXP=P4c%~(gWp$tN)-L%pbB+$o7RihxzXK#hre? zKaGHw8^DveK8>Bx?WlGBSZm35*xyWl0RRC1|9Ao7W?*FCU|?W~GPFzvQViTc9OU8@ zALJU~9~1%-VPFDcW`+wu(k>=YlMzTu0x?KYaHy}ZV^Cy#h@+E_YrMacm#Z^G3oB3o z8^azT*_)g28>B@Ds>R(g)ZH~0s+N(R!2l?3wsF^fAjKeos>a1J#4+C0$JN)>4`C`R zg8)!f?2d=8_<%IbArJ=yhXi^0xua;|2P)!V-~o~~CriqK6x<;YEl}ebIT_9X<-*u3 zeL-pjff(dJWPdTTFdP61^EoXG_dvba&&hSl#~{~CoU=Y$j8yeMo>yxO7NbHgrt;&)ICXQK|NbfUq@?O!55a+ z&VrJHdd~z6ZLI{=Y#hCrGf_FmnadnI)+_rj9FslyAAGOyAM|5cPd$SXd*wmI*UW95 zc%E|cUVBC?Q0@NNxwupHjbQte=}&ayZbVB;e%8aB`+PNu)#=yybUBgk&#K%kC)4o` zjVmm7!@~tb!dXun%55INU=(9#YSHRM5K&q7FO9m^-#=WIQ}Sxsl!DSy>e40&>Q#EV)z=~Jk< z>3DL&!rme`-ui`at;@oBu_n$Y&YK*U69LU?O-m7E_$<1?!45KCYb|zErTbWH$S&R_ClCcfoDb;yui5(^5Yaut3Dr5M6+ReT<+f-!aui z|AIEnk$*vZ=Yq`91yHluD#X+!@zsM2sM_r3hD#Cnhz7L?Dtxx8!RO-|4Q}|qoBgjE z|JOkN|M0V0s|h)fzHSL-pgGFUQ`DI5PfU~zm(9^KGwc|GX(XaLU`H2+J2GU~@`#mQ zs>3moz6b+EWYp#-s&!`%Lqv)CO~%{}tlsHo?K(9w;TG@hLarYDjYt@+?(U-z={{98 znVF~+1w-+{gh6pJiD`4+BjYfI*C@svMNi@I>B08Ybxw&YxF>13=Y_TC8U7pPQoZE0 zw%#{r8}XmLq=hfJ{uX!-&Zb`JAlBT?s0)WvV!2X1g^EW8NXP~ZzCKqFX%;2 zAz$im%JPD^A>0rnqM72DXi0($UxfXEt`^A7B*c-oV$uTX54vz;EGhufyW%!HjT|ei z79M=pKg9Z3u$Q>Ha$fT@1M1p8yZRfCHbCqxU;YuIzubJ@c_HkN%-UES(BwG8RMslB z>~q+(3yb7_lGwwrhQWw$!9{OTL`YoKi07)6MXr?V@aOco{j{<^OU>{$v7ex_n|rf5 zGS1JNYXsNCn!K7cHHY=~O_0lVrX}c zFReHYFJY>Zw7PtKtn-&;5?Fl^a_WUj2ot5lZ^QO?)|yJ%60Qx5`UN$~*SkYBz*Eu+oq^kLc?9Ihp^JK-%DkXZ_6XI!B)_pY5a+m(f(S*MtkSy!qG#ZC(5zLib zxq#^)Mq9bv)ZzDmzsZYVG$N2MEx;1vcYIKaeZi)SzZ3|qn{f+2DqOx#HjZ|vuba0B z{;=&a_h>s)a&W3`bn#8Bg(B$9c&L2f+vJAiiz2xJ?aF~1AX76FOXY9a{GZy*wB|HF zhdc{i@C?Sk9>|-nfF##l``Yvf>yNMyypdKFS$zdSXf z;*-Qx+a2ILb=NA1g#Pfbu)OCY4bk=$1X|-El0lfgCQ>%)W*)REWxA2!Shj5hFP_YC zU5|(-|9ap(5OO9p|6+8@+D$h{YqKwxhm$la#3V1{&pfae5*dHt3$ErLUQ#u6N;E<# z+WP=^adR*KphGZuNE1y=D)}=ULWh({XTzJ=S4nPb)3}W zYnqKm?u9X_NhV(+uS|zNYS7?wS)j?%D2hCa^--VU5X~rg8!KeSLpY;Nkgt( zZqfe5`*pdWQbYWlQJWw7$6`##-?ATY>8M z<7{niMa4m?OmM9Kz@JF$4(3KxC4yYvUQYG}muh*h-uT(C%RI(o;Z%HMkaKd>87pLR z<7+c6rE~fR2jaaUeMU&zXGw14j9Vdc?T>6GPT>4UZc&zm&V76mi_)wC{6TYt}7Qnp?jfE!kJ&IPHUXMKNT0hEEmB)EdQ+R z(n{n}%+<79_pu3_fo^9(qdj^J$mTSiwJP3)GSL(vE7e*S6S`{n3#_{ZB-J?>=Ju?L zl8`~*j(ux^rCB(SwH?u1BZ#e*_^Yb6^6O1E_fYR|O2bRfyZN}9EEmv*xFb}%8%gf>_^Yh^_q@qg2U$?eLi^f0iN`Izh@0cHRWqXL z*G&9lvI6#$PlKiennUliQBI}cTv0elNYs~G#IG+c1O$lD4HU7hC!H> zVp;O8NQ?t5KVhnscPO=_YNXiV<)&$JbiIQ(z--t~IS|1hankT8RZ^tEoTfU*18d=( zH=mZUVgjDyv%Z^TUG1A2=K7mjNwb^mU**I3z3ba$BKakp6a}!bt8Gf^$yI?JHU+|I z;})OHa0p1yMS5DaweQ4ndNimxDEL5&$~Xu65>V3dg8$swBlZ;DeZ@8b;mGqaZ`f{wj z9B$wvK@pPzF9dAy)8gxLbHEIHE4{2n0w1DMejcz{IlC9>W#2#Nwe(aX&x*8KDi6hZ z)Q`G8Sv`)oS+z<^dfO~sZZb6yNIz)%z-sH4C6R3?RpeMpx_MY*c^@9T{AH6>%))5x zt$--G?94J3*5vE*FXyBx?X-MxG1nw=Ya+ulW0UxM)2=fD^?EMN*((c$^}8Q*iaoXj zEBkUO&3?`?)xAn!ep5e4HGP@QE%03gS^=~qeQQGQrED~{e+*V63Ut5Y*c`LmY}Jxm z%wJ$g=RT5AZbJnIie*a=kQbz?Tx7YdDV*Np#yDx!4*W&)*)yN_yLx;v#^aVK&s|Im z8rrER)=LYsMWBdMVLB4IL7%Zb4jX~b3WB`cP{svaoBh>=-*uJs;rlGtVAEQYhA zCx!lZ?VH7R)s4~W%4yy@_w1;BEub{xcT$44D`920gQB_jd;?*feyI52ZrLxE$fMiA zIsV~C&dP5rEaq)pKDL~?edoPQh0t>?N7l@Dg?5eNAw7i#zvixAxz3yaA&1wcd*Hg0 ze$PWjlL1Pf;PX4|)CB>dt2|FPB8iXPC7%$IwMT!&=j8h~ym`xQapzS^Td6JW^Yi@l z)W?y(QgPqC2I^hBQVIgv<=?sOa9e#jbM^R-FVSwl9_GAGKd8@-c6!xU{vqIX#*2J& z+_jB+*M~-K|Can!+d@?H)H4XGV(1lcz=cR&8$LgcAzosS9|lqLE{2J4#tq`%X!boY z)Rj)}%c+X2f8TIv*KW{=kvlS#^d-E|M6vt4-8|QU<)>F`-B;sWd(35JkKg{S!7oxy zVpG?zQ`oq_^4buvRU0=DU$#xT^Z9q?{hLs7`+(6Sb}?7|frH}sy*F|kXkF)k^py*# zk77JN+Ho%;>L{p1W1U3WiQqTqxY^7dQ*>FM2kfv8Je_~4=~Wut=Y2~&O7DA5M1ZB) zjqTsh;-=$(_g&6zlycBGiKN5)TdL^@eKbVQdTZ$2_4g}WD?s%L;Fv>U{6L<)n~2Iy8Dl$ig1iC;x7S zU3;cnWtMU>&w1~5c%ti|6lW`Cy4U#cs(6)F)A-eeGTar7+@4Rtv|--|8Mc=kI9bb{ ze3u!#!T9#psab)7hx*+5#bP#O#q!NaV9+ZYu@PSS=+|{#*=rg7;E5NTU3(BdnTnU% zLi{ylr5neps|@h|@6RlEn%nb~1WhUAYyO^WM755U{WkiW^qJrDm1|%+Xh212Se>#D zRh0vpov(eJM~rz}qvB8loa}?w-id|gNvDv_9Q`mkkfBjZDz6_5cAi&G^JG{9Z_OCC zDqkpqNA+{Rz3r{ z>R&bDufDxBsjOc8J2YZ%ruTU5<=T6LYfe>y{NU_>hQ5=W0xi5HFZ~`!xlYRrl2pqc z|MBmc?2`%4YIZ&;X3esq)NspM)ku_tSYFi2H%R;?(Y^vuS-xYQZb1mp&LrFIl@-4? zydG2exGO(P`hX)Vyz1MNT=AXW%mNozVXyp%`zKEB^Udyu%ARlNYvLLbQqIY}5H{dO zIJ5jQ!sBWDE{lFmOl-+dWDTYAi%txullz;Y=coI`da!R(Q+=WWV-m+Bb43q7x*PMl z%Bs3j6jj+dVNU+~!n#EY-XXk(*8;6q*;A%$;2Vz)PXIV+yw%ks4}64dCbACsQ0~&_Ak5k%`T|8~meVIH_qtkUgT*FLul-+N4zG z!|44tLWo{xqd~4sE3={(*nuIRZWY;4aKD$M=?~2=uJIxH?6oHuGX-SP9}@ct(>mg>zFK(n7fh+%xWwbW4$z=t6>$lO6 zO>K7}wJ(Hz(aF=F${Q)pe#){kES4WULO0I6p2-6rdYf}NepoLmwvi?8a5yv-txeCm z@YB9V&c^P~9KkV3k!}aa@eIdA{gsY|JL-HiTGWW?ggKrAUJ6UA)>w2NqSz=mhgXFl z+X7)0i_>N$nAz3ZPW5Wr+H46gkv7Z%EiDb{*%9b&vG~zY!rjl#V$lL^TU#hWE{m-+ z!$u3NB*0BA7WZ?~vBVB=ofw%Bl%%e?_%kT!pxPGkL&6K#rjI#)$0Ja=L5v&{l=R^B zuC4Nf7+Eza$$v_BO)h;2Hz5UCPuPD^(TPOcDmRLe)7_FdeLLviOcq0!w}=V*4=Xwe z2U4h9|6t>bWPeS)vT6F;sHvA#`XtLn6v=S|hnnu~$c3zQOt_yKdu$5xG+uO#QwNs8 z9{YC>`nqKM65qc{fJ?W5tKF-oK1G=D@R<38I@^!!ZrB{Wg%E-Rrcax^>ol3c*QPm+ zM-Nu(BoT|`lYhH5)2tsEOs zUL<<>hSy3P?@NF2duw<|46;A-GiUbN?I%wTFs>KG@*ngjxxt!$ow1=y{PsCGA;l1^ z=l0BszGc&V5^%$3Ymv{Z$Sn5NXEAmKV!>LW*Q&H@@ zqpYxKZ0qYJD(_;|o<(B$NtW|l{>*!n9^|bP) z`4-ojXi%CBeYxCZbM$qzFKh`^5gl^cEg+TTcmj~o(uKE zMqqr|w)w7dA)|zLnH1W6>@OqZ?IiNKc{Kc)V(ee?n80A-{*x%%Q(umEOKtE@w2q&J zBc^`=)xSFcm2~und#)qvcYSvSV|kCPKU{_%Sw_qS zn=uCyJ2emdn~%=_P(!>Z=d@`lxvphAAIzROr7S1~E{9m7WeE7e3Mojh@}=VH@r{SB z%7#)B%O>2xX*Kbc9%%0a@FSBG{s`wQJi$Yl`2Bm=3Wp2R_k?D}w)M9Aq7I6=gA1zF zh(AutSGw!cCD$+S+do2-Ao*tSN+0L}!0o}m%Qu7U!XY&i35bmAkE`Q{{60ax>RL;I+Xj$O*aCKtanX#kFg#IMAPmq?}udRW&qBPcxgRh~KNQmQj z`-GmS&e|GJ@Z)l|DKjbTm^#NmZ_NjK6&nxU%sYY8b2~9iNdEALL+%oR8zqC{Ym0<* zjb6v|Ch!D{mZ(kXNns}{ZXeFuL|~pvXO88UHfz##6;rciHv3z1t&F_xD6?)i-(?IS zR!9JjWZqoOP)%&y^wSR~lQu*Vm%4`nNG&Wr$LD)-y0UM2U*}LS()^o2mCm+PYOO7l z7*+zc9&b()01tmEo}E#3CmBTiIHSG z0nV3#FAJBy3K|A26QD%NIYnr{gmIHNvp%RRwu3xCf?40PVT-x>Ot-bGF6$Q|lv6hk_6Y`+mC?H=~TM98gn=NCSu2AheZQ;Ge~ z58_inBL-V5$sbDgbR@T*mgqOKfx-i5KQP=>|JhKA3v>@Ir<{+@t@hgwwHY1#l#kd@ z_{c_kO=s^oiv~TN`eeNMy%?5QzjjNhl^hpgLA-aT5T$O=*K--3r#d|6B~q^oviQE^ zBUbsnef*maWT^db#WTEq7wS)#PM?M*waV_}Q7e+)IN)I?X0?Gmo>JIF9G4K2m=w@3 zbp;j#>Hn2+D)Z1XF1W^9``JTp;e9nCcUr#^+)=#W`NvZN3AJ9>CY~769?k8bkZqJ% z>d|b0RrjZcD$hc^y5@^P32#f*LOHMO9`7#TMVxCPKPjk}z7v}ML_o@6d|7Xd7x(Ar zJH3a!jDHI?n?(l_LRZ=0%RoZUUC}=^=6t>rPydGSjk9gvPCT@6OJjlbb4mwjy)0or zJa~H#6Xb**+(yOAE@wq{I?jgmi#4{7;QmFc*BlIg+;|(eLNfx;*Y%O)IU) zV2bdSo^-R3jbKBYza??Jp42p%%IYi!$iUpjdPNK-|{V#d$Su||Vwvv=o|gXif z0;3hCy`?X?B zZnY~NL`lHuf+EQ~7kk(%`jlldC>PQqtXtq0+;WubUMoty0>wEqUyUwkMwFu&y0|n; zO?hPg-Wt)R*xk|9p$CGt+{1qK{KKhZ{{A3sXuO;vX(K_|)wY}}pvioOJ^8~!S_zW?QBDj9#Yq| z%Sq%v2|231hXn1M8F>RB*;_k))8T@9ywUd35v|^quDm zPkWO@pqE0JHDBTWdv ziSpNwG{4#*Tczx3oAWTW#KeJ({2QAX71P_mv}sjzp$&8y=02<4HZv2|kvTn-w3G;K zrj)vMwx&ZWLZC}F&^A5?`KEXKBF@I?_G$TO0pA)w7Xf7V)QNScQLpnxuMKBz zw$cAah%7ccR=6npikfe+@wuXl{r_<&#A`Ob&D?t&dwTJxu{z!GfH77-S$hc#Z^hD| zPC@)091Xe*1>^T(ZL&PqZ_l(^@W1lCIeCh2f2}?SE5Ju+o|K|pTatLjf;iUK-FD9Md!a&iO=AE)lr`!Bb!Fsck4lx)s}=1v~HOa3fk73aHn(O3+x zhmglQL+r?|krv-%h0-kgS7nWwf~)qpm#iZh_!uNM5tUMFCm zyY0g;FN38Oh0uIZjy|uq{=g$Mm8L|-pQ(VIQs+y#F(JUi=P|?6auFcF#QF>W z7kt+1dkbm}*H}MhpX$3g0AS4344Nav`ppGIU+bJFemF-2-Q3h_n7XDXjyNo5{U;@q zzRq^yCsqIg9D!iDu~(eYzJnpSsj|A!fILUWxb9X5-nIo;#+-rO+Z_KKa)(c{{ouIa zY3hv)6GzJf!iTUa(e-=c%l}pjEa*h`1m1be7BZjQTwRC|2Uu?IO|RT)h$m-UG_^Ou z|LOQgZ$8S$)3Ss3{cxy3w)IAX$@unOYMdobW&l6}7*2_Wq+4tse9lGL%y|Q63xp?{ z&k;@IH55x=S*Bw=OzE0K(v_Kl@^ErYGlgebmL#EmHqmThNm>fgJT>`IQ7lAJ-IuSB z!qN~XS6p*mqEiv^T<#z!j#;48NltsehaT9?n~9yn+k1MnV~A$9Er!y*Kc;IwDEb@^ zLvrdSd0@r6IwCHnMS57n+o}yEhG|tpQ^goM%H(OS)7!CheUhDmXyJiq$v|>5B7(AyG>bw&@RL(duN;f&K z6PpMQD>|%aQW1}%H|PGlntIB=i>fo@!vEbu-31Oc$NFvD48Aj*b`(7*vF}j@t@OJV ztmONJ>0nF=f1&`enD(Y8^whDjG}l5BJ(e`?3h)5>!V&4^6S=p>RNDQcY+MU>`{pw- z7t*A4-N7)Ki7W0sMAR=zOg_RIbIp2p=asR!%5P+mA~;r;o=j~QUm&L&DiUn9`WV1b3xK_NA|SbU zdG_#H2u`ALRZ&`QouN5fo5q}S;LufbbPlDgjyA1{NgNoDN%EXM0LnqPl+eyn+dZ2K z4IA;FmLfjP!Z`Fibj&a>(Ns43&9aQZFSQi{*g|kKU`txkx?cGoTv%FE`E4=3@J}vm z!Hkxa9X|KeYAIrew_!%3_~jP6z-a5#?V@2J_c9Nimz7{Z$Np$@ROM2e;kZDJJD~smxCo za1%{i0pcX^UrdSo5%#nuFsi$0+P5J{A01Y@Z5%YF6%L%6d7fW^p9v4(j7C%cANbA5 z+3hUhu^9u4zohy2T?kzUWAxAfkdb{Uo zqovfaGt}p<{oj^uM+G#8><0YgPM)j1JK@Fyp9e5v6>XsN0Q`p6rfdvoN8VFzn{^^c zc+6td-~s@3QFaMB9|llo`mUk8$9$N&!2ayNCrViW(0EW;`!NVu$5&7(8(sMjKM%6< z&NF+XyxP^!D*;Fpzm`@!{BKC4rOs%hZUeDfN4Cq{P;>j=IJju>&9J)*g5zbn znna}s_wGwrw#FiHY}L=sah3I)4E_Iw}xtIG;Nf_wz@9lk4brO4Um zUjRD4tceT=o$k@rF*~Ed8NItrGDdcds06Ik_nP}dOA`h7b_-4ti&TP*#6taSI z5xxnmC5PND7#{J@K3XpZ&ZVKZXTn98w(}1_ zD-Tb3s0HKJ`Ab63+e~txBsbU2WN*x~Gr}jp82f@b zzpv!_DY!-Mp#0be4};4A)ny^7Y^f2%(7@^X z8a=AH5G=q)TB`q_01zLB2p%qj=IM`u{Mv6Gg5_2_Lxh-M)e(|D8P;-Su=nDq95{DO zpyn|lE1`<|IuvztT6< z_lP?TJjO`plUp}qn~1q9n;)`wd!U7C!%9vSI9ga2d{TiDrA`z~2ES{k=M7D49=Es# zN1g9poV5~}+g%3aV-h(MKg?DM;3Nl#zZ)*oT zGckd+kM{j$JrT@iot8Wwe7@dQ41RDXp#Rf8JG}uTUCToRoxt`W{Ms`ow`UwGz;f=D zr6x??Y9he5kpNJy_DQg5z&eV0anHs1b_n5l0sr%E=REYyvTW)fADs=tr~A`=)XY6% zhS-W=Au`U)~k8Oqx!r1?1X0FkWSu(hMtloHm8C6izXg zEow%uArA*-IR0_XXsXIfUPAgC32UTlS+gMyQ8x?We>H228JmGS24mxk!~=!rVjr0HT!V|z?Vd1-JcxI@PA$kv!C1Nir)`fXi2?AT zFoCZzVTedp&&_;`8=Ys8=s(1}fzhxZVf*rd_6hLrj*mizdc1OHeJ6~Eu_^Vs;*6iQ ze2N#Rsu!udjU5c>h3&&T*wNM%SoysXda%^CUm?+&2R`x+VbMd&&d0>TaOf~O2J*CQ zb9E_OX>OtOLKKPEVGQSV@>s02<6R9Qk!I&6vu*tn!gs@?-{^DUP@K>LXRJrXQ(XHsV2B`~f!-+<=JY=Lgo;DnzIQA-!6un=^7 z$a*d2&88N=oXv}=_;lY7N1%_0883PyVj2{mxEhA3WlwR0ng*xaTriQ*`L^xV<6cv= z180|Q!b`eEqBvtP6`E53fX_MK7vk16d>m;zGvx^w#nT5HpFBxyRmH@SxtG|CjmuuY zLzB1JG+QHXPD ztFwQm;_JtJW-ac)EZrb~5R)kTW+f)g2&-XMrcC=GkNYg1cVe!@R3*k(}M!p@_Wr`^^CLoKDs<;?Kb%OWt)`49<%*K_7e2a1RKpjs$Oq zANJHp%Wa1&Au9c)5t7&wyd!MBb-a5LviPd>9ol!OJXUZ`gz0eaH7S47e}MLXrnmoN z7&voIj;XB~Fxj*(^AN%(0N)A)ES13?cr5!2{6>=YZg%8Pufb4v6oCMD;3Dr|c$iA% z-Qw4)lE*5OzP9+WXczJJy9#kCn%_JpZ?m1{CluW;IVG6p{)2k9pp#A{y59Y|Ny_ja z)h(hf#ZqVM%eh?YVvs1H4D|rx^>KE;Fh0&L1l+7X|I~jn`Z)1&L;ab5D}Kp0LEGFo z-8xCP#zoVkvyfl|XE(3|p&60nSlRcZoEkm0TdV@1dq%bg8Jr5MX*94fFeb`3yzu2{ zL3f5&Pn;%(t0dKPtgfqZFs@+X?Ud!P6pN^YPk`g@^cv{FX*@Zr*8M&IQQjIby8WEo zVyJlk_pdO{wulxM$I&S8x?hfsYvjBZ=~STYf;Q=tTr!Y<&HY7TA&@BOsy8WjcDG=d zQXvPOM*~{W=S;DkFT@e`!MH2kp&p~@HzKBw)q{cpiwL7t+LIK?lrwURzV0{HIe6fa zRBUQY7=PGV9Oh(Z(epuxy{j1zYlotYsTNnD^IW3ZucE}RMy629b5Jb{irtVA}0gfFz zI`U)rpx>pbugeY5QJ40jyNUL5ad9R8;5<=$$4}a8sjG}CSxbGADwFsSR$ip#7JA*u z`n*c=BjcMVPMys6TKJ_FKA*L0ar?dFYMhH4ItWtDRERL>sfs=~9c8LM>~m*Pef8ra@NJ$#^?bijD8&jNaV$8=K5mhGM9 zHE)NvGNu6*i{i1Ljq+Ba&IQ^C&u|wU7PuC2!Bj34yeQw&TG{_V=w;#5O&dRw@B1uu zI_DrdQ#9zZMp~Do8yj|G>k8I=*U;cnyFiNm!|<|~4fo4#V;8NKSrRN21_EQOQRI%lplX}Acve-4nxjmlW9aU`)ew07n@u-<#?pM9qmKG4nY c5aNQ}Ch+s?K6Pl#e72g_bIAPL>Cw^u0nlbf^#A|> diff --git a/cpld/db/GR8RAM.map 7.hdb b/cpld/db/GR8RAM.map 7.hdb deleted file mode 100755 index d5b3e70bd2f2964eb77ac6ffc072d180c353223a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17423 zcmZs?1yEfvw+0HO#a)YgaV_ppyg0?JxVyu_-QC^Y-45>VaBzyl!CfE!y>I5;`{vEg zmt?Q3*vd+>XC)gA0s^860rJy#{Cq?{Lser3M{`>n5|*Eg%#17~BF^SkMkK61SxJ~V zm{?euSeaRVk|-NHxR@InlPKsLT9UAkC`*&585@v@8JRnM=By?E@>vW7gfRbq1rYM6 z{~e-;{&(mGdFBO|sUw#3{`)VcwgNVeb_QW4X=jt3U`v~J;;fm5s&<9mpMRb*^BMLow$OW}oFyLV@ z^unH!SA(8DbCvW4Cc1F~h2Hy;D$&uCE%HucV7x6ad>yKe|GSn~IXCad%HnaO>A83l z#j;k_L3A2NgQ(S(`wph0azh6mPg$Gc@@?k$-gN#~0ui*eLz5OO@I=|`Jt1$iBJ2$s zd%@0)=48b-llUZ$)RIiR?y|+6m#o4p-*1&kdVaQt-1WTNG9knY=Ld+wdKhX$qrYZG zqG+v_GZTd)`8Lac!bNw=j|=rnnB~)z{Ml56!_qZm>#cIhPHFpnZ_u6zDy?=-f8B^4 zV^}dO`a#oi=op`EWx^)GsTj!`$CvS_c%fq0*cpA;{YWhVuE0z;z(`U>jl~B8Y?S>h zYzX)rozBh_uLZdt?8ISR{Y}gMNr?QNp7LR43HNh{NHxxTNO2WKlCV=iH&Mj+&e_{-9g@az^D?P#s=8*ZqYNY|_lm zu;s8_im<<_cID7WBVByLG*tXHzlY_6u9{MH`6KEzym%PCX0PHE7;VnmC8wo3%vyCj zy*u$G?HenC5%vgutOiMgkcnSWOOLdsZJOnIk*)O)mj6)xho$yIeLIDVsX5j9!`!^s z{C_9?zq$X1_dkRl2QA;jv|FBuPFk%nCuOQ6J+n#>9u8d_0z=Hd4#Bx32Hex*!4;9w zW7XhY<+`Y?;D)5Ny#_uxaRUA*8N!A?4o+#bSroiwGFLx;RO45URvdQ7X56JeQM>;b znvl2>ot?WZ>ZM+lS}A1B`WRWCp2d$Dg2FAlmI@-s20h}M2@VcfVXIbuTah>rx5kn! zTFo)%Y-lonN`{iqp?&~{rxeI?r2R)sNfQ*6^#%1sqXlCHyVRBW;L}uK*9uRz!K+6A4Xj2@}G&&d9NkJz?WZtG!KE3t2myBWLO8qeDL9I%!#8_Vtz7uLR{M?SKE zDaX~gur#Ml@u%4QJWrJtc>WT-V2`#_O8Ggpu215sqCN?aF00}3-4b$R`l4HPL^J!p zb?ATh`0qz^Pf`W6J&|vY;{dMz@pBMZWp}OMIfd7qxR;XGqItl=_GgU!n5BuOj-T zko(Y@{Q5|)>v@5Nu)FOWni~nyV&nk@*Dl)~gnZ;=QaAHG!uy}ctt1@NK$B-hJn`Y5 zlipOpUXBC?#Xd1?;E~%MyqbF4V_4lTk94phcT3QAFE$!AczEVk!JAN3l{h%h=DD>$ z9+cg6bB0o^k{hMA|1RIGu6TDn(@%l*=JWUO-6l-5Mh|yi((tBAZ)zT< zMg(DT4m^7=@tumn$!}t3-jMr2vs-_TNwiS8t8_9JWW%xIrF`BmIh-aT2%#0c%-InB zcTC@vW3dT}J@dFsVW!A^0gea=4GzTA@e)?(JrM`dRsYSsmy&xY)F>8?27?ho- z^_Vwz9(xdUAhg8Q49X}ce?&6r=OKV{AgDD6(ImMI^?5xuRwD#H?^xZ$^!(eU0Da@d zApu%o4WlYkb^Or~-%~dCo}D7e$uS(8CMCapqWy8l1p)5;4-n6u;s2ynmHGZiP~3fYsRm>m{GQO{;o|)8nt-+ncbAPu{PMCE26$ zCc-R>=>4R;&)6IhyZ5clvE<$ow7MT1>ssZl|4?i93MB9F5%F2>mSsZq9l1|?wb^{g zd1+s7{8;X617%U(Irw1TE5kT&FUP<*yc~I&yqsg+w5)YM(_+rVeq_AfS~waVU3=9$ zC~G{8r4t|Dl;gMy#obNsK1-y|zhV44jE6aB?zq1jh6vyA8ZKYQsxuaWcJ~MHp%3OG zSh^G=Bit>UI<%c8%5*Vp-2F^T+;G*;o`yN&AybF~60;ynh&LpZc<} z*|p}-mLQs@OFWL;<#|!z*mhx%)y7k3nq#&%cbv8$ki2mM=2Z)F5@r!`ZC^RzMKyZ9 znH;N6v(&n}h}N1+nPBZAMh;~4ikyY1LH!p~F!>;96sS|JE}O1}z5DrvFtlI3j#5|r z2&51NYATTHqxRb6vuUp-&9TG9D<^B|-1qAs%0B7EHe3 zc)*`+hQ?1YoI*fWUcbwU1ar)K*P)W6_3mt?t*kpoj+OYSR zqG8+noKr1^goI2kecRS| z?QT8JWcnYT##TL|W}o<19A6v@;hMj&w_iZB@YoRqPk&v$LLtH1N}`JRkQjb>2_siy zY+)b1L+&%Jjq9uhbMDS$I*8gCWhyt#89!@P;Yz#qm#@<<2h@mo>nDL8NfzUpSgz;V zl}eUNTD~PZbbzCJBo}tMA3{)Y+uQ^} z?)}9OhC2ZKWPI%{s+p>CAbhbL84g37i*wXAxw-Fwy~RbC!Kk7{G%C0 zdH9vx9tzX-aB4ix6-#t8t|&5djZ`V8DXmzMQ*C;D-)u<8F4Ykx?DxZVm+uQ!N05zd z+@-YZrGx9GlIx{`>!pb6C4fG&tH>idp?BcGNY;PFh~0n1jgYA9xTh@@WA1?L&hEjC zr0i26H~-Xo0eEx(fKGw9YlJ3seDQxT?E~|x|GGFAHbv@NLas*7iH4|NJiq6hUFrjP z1aF=zW{f|u=kA!OP+JSf5$L&j>1dUIE;U~fSKZM1A;Ro7f z@Yp!)A-sX3$1ixd|37q+>hm($eIZ&Wh*_kf$NA0Pstorvq>VY5K_;T;YR+Jl$-M6D zx>4OjS(9D@z^2+=DO*D5ibR046!oL`n4Z4(SmqN}pNRZKDAFm;ZyD04m>kd)=muf+Kf`508rfycSgaC$?{wAh^2NA);Hv zMWN=o8ydxVY?HU0&Oe*+nr6x})AKcCs2^3=$I-?7ytNkXOx>k%Z9}G%5~c26fZ;Fm zT0UG8KP5IOHKjXn$^uiyB!0<(`pIRCuzBzPbFg#&)2fRnPt=b-w_lba;v4CK^mm7) zf#`BN!NWttOBmk_z zqpk_g4js=filp8jmNqJB{?)27&^)`nJZfiC2O$$GOPa$!ANLGwA2&Mj7)CzGJI&pO zvk}y~wB648ojkKC@Sx`^GiT~BcAQlz;ZcBvd^Xd^GAP?)k!63&#GuF)&IYX983ugz zrxA3!T9$hoe%zZU`<>jJqQPsv-;icjgli_@Ur7ntd3u3h68Fm5{CDrvgtM-yo*=fr zY7u^aG+S4kl&d3Tm+3NFxm59k%I=`d6Ysx=Z?Gn|8&kWED;y{1wP*!fv>-WFFJhYe5N}Tci zHo;7ej)55f?VcbLGN-)M^5FZh4k1y&+w2}IG#%Nw6=h=-#`o#8$C9araAnCO3v$Wq z?&i6QC#$Z_t^)tXmmL#UacrazNLhQpj+ufQI+~~5>2r^I6>*C&!NG@f{)i@ojYS5R zT04xPd9@ZS#=NiO-F-Egsxuzablq6zG-;)(vBj5kC0LC$bKy7~8&u>iji*&*I`dlg zal_|7I_YUP3cZfk7w(_6J=@(^|CId92+qL4O}|S^8!skHRZKq0Gjj3b2~PWB1dH@5 zx)Zz6NTR(3)=IBSIH_eFOZkh?7$oNFTCn`SC@QDsby&u&&{fh`e>Gr%NljoN)&~T3De+pznxW(y+(RIeXe`+yvv5dK3LMFMF`lp8hKx1PW`{VsZtx-IrsVl=@Kn< z>T*Belg`s)1n6&vIJOA*4Vq7al-g;6@`C*Ch@8AAoN>?vT5;dhf>=Gap6Bj(bhw_Y z;R}j`3bx8?g0X{G-`rhYMtZc0TsaL>F5+BZpo{zkcd`(Hb?4sO{9~J|yfC zi;AP$`D^E|F`3v!DXU0Jj3~!^dLAYE!e$$J1eq|Y)>z0=&8mMDOoIvNcT&-7JNwyQ zVbP@|G0j}{T$5W@WG5R_#Z=e4rdYmw6hk0mHog2i)kVf1W!Q+oLS`f^NlDDQk#8vx zw|da<#)NiR&p(rNA2Z`zAv5qlE%pjXVGB_H!_3uNIaG|BDHog@eZzhB+VZ__Z+1oX zC*;~SnD%@p*{$Jo^RjIn+nqp8Bc{LMdKB18xk@i*+HqC^u^p7gjYEzpK!|6E5X|}F z)LgAPL*l$E?ZPYSP5P+=(P#Msn3pA#$8c`o*c5TL z?%3=wca7M<9nuT*OBK!rj2pwo6ub>!+Hb zqdT?ODndbSH+%EtdTZz5q=qg)T;b~Gd~Sk^42kakcd7!d=VimQONs@lha}QwpZr^X ztWHooXy;0~#bfnyD{}V5Xkk(yp?BvPGNTfzif=0B9le=bMg#g^aENP!^@~qFF|%n< zG7nWAWA;%}ZyR3N;8N1n#hQ+R$;HIx_vR_-n*dw=sU43n)0SvI|Ih&qMhQVZ>A+kE zXouShn%?-W6XvNt#U_-+FeWU9Mh?iC`6rp_8I zJBhu!iMuZdgch2}`37xI3n$GpKJqinLm_AFtFE##;lX97)HlKYAD8!>P46z4f%fT$ z@)jMYb<5fl0mxv6OUnaI!s=tY7ahl8nGB7fSSDjCIEX`p$F*Rv;R+DSS|B2-t8E5J z&C(G^Xb5j0G?@|r`!4jxMOUlggo2zjUpt%5$1)NJ;P1pqu`;|{31c18mzbSxlV9~u zWqg2BfACAyo)VMyMmxXhcI(_FDD3vX^&x+gDeR`5Hzj{#PumQ_r-h2N%05{H13R!Y|rgiP_d94x>ASpfYk<-c)E~ zSo-RzASDG!iG*jCL}$XGCxc*y8XDrwnd=^J;}#Sldhiv4c=gRgRW1fFW5{i5w z>df^Ky9=t#p`EdXo_T23n`f5vc*MXY-~E_5!duPSrxe!1sg$Ega-|!7=r79E+`W35pY@X1Bx!+!uEJ9>FF#5;!(5 zfydA5V%Bgju>&*JHuuBIK_RL1R4SCadt_U|n450^Hv>a>8?%p-!@eMA{*Wt2atk9T z+YJkr%0HNliRARJq20g->tM?`~bIhvvkgJ>w|uT z86^K?*#fDbtVyK|sX+fPHiV-G8H9}30BUp~BD}2|wknx0fK5gM5G0ANJ_hC*;s-s^ z?={r+G4w?L3-qApU<|bpt|3FOvD+;Q!QHEo;G;aIkAS~`Ktn~#j`AVANzqITq}Lb_ zQ^;gSevT=yBixN4JILQ750yaM3QP^5A{LtH4|yOJz`qhC6TrFZK-G(IquNy?%@zj` z?t(-0B2p2+%j5_7NlaX)B;G#_7|%AtTm4co3h)RJ!q#*yFT}lknP{ybA5Jm$Uirsz z$j8g@aqKglYLXkh3k8E3)9quicAy?V2#)jy@1?9LbR)qLl7{JMH%KVhZX`Wo+YlU! zg4&4n$i{-_D`^JCEdDoRPrcSQ;zEz3w>>`5Io9Ec8<5eWq9&G|Xkq-*Ecw3;c>iS;{=bACFDpnu z9?gRb7%)q6oilSS%`Y%=+YI}Rd9*AslLPg~0 zusEsfOJhW=5A^4>sF9fPT;(8nC)tG?;3U}$eB=+E7JjuE0GM)ZJw{Qp05FSA(d{n8 zpSa=n!}=~vgFbxk1u&YQ=>|F(Co>ke!rX4i&RU>f_4FV<1pP0pF0b?n-tv%)$bS+2 z+4RKKfZgq=&B{<98ZMcCy2QPJT{f4|3j#l(IboQNcSHD&rwGaY;Ro0qZy6e;DBSO- zvg#}$#O-tq=t=u|q18?=+5xtrUb5VD44!WzAiHD|9?Nhaauf4 zXH$a~NpuZ%JZYG5w3wyu$75Hp0=l4$9a)USKlW)!4Wk!BaM=XMmEO>Zy8~&Gul1Wq zRQA=!=!joEN>D2BK6M0A}G9b0XZSxZ+Y%Y2j;v*^PQhUtq`5gG5|LOooT zGS)yBowA`p`?WD_kd}! zKP5nV;H~ga>bbW7t>j_o>9UlYk!AMDQBF1vhHt-kSdH|PT`WO+*26cNZdjUGh`XlJ zzhQrs^n&5B6$^wda=%0|u)!;e8D2g8tS^Nq{D+sP_X6ETjU}HG79)O)NPP|esGd$# zSm+l^(GpyjXZE^E`V2N3=(>FFK)bnWb?@9*MzY$tqW!hsc7X$lvG7`;fcU6;1syaT zK#xdX7&q2)Xwtaqe*v{!pf=W}qT9xJBSGLI5Cd=kP(;{N=?d5ZY!;zZBlO4e%0*Dn zg8)r6*%uWq&mL=Fpmbe}ZmEk!kY=8aWin5bZqVDlt?u+ciYtZ59g=3e5~-cZp(0Dh zE<4`z=UjdkPg=DR#dL@DWe4xMO=mm6`QE3k%_WH+;(+eX1E_Fz%buQO0Bq5yNg8kR zs8c<&PQeq?lW4)?>qX`_=WFplUTu56T~Du?pZqu2P<89Ve@miV;ldMT(Xn9eYBA|j zHE)%&mL&(Q(JpJGt@7BG4cIGky{uzdnz7)#VxdqI7|-9sxvuiCt=e2RYou)gRJ62c zRy9@JiL|YhC6}{TcS8AHCxtQJ$WNYIsMAuXoGvOAw8UP}EkxV_%9Lnad6GODG|V6F zlG>b*zN+>}FlXSLTb=s`#TU7P_QgvU%haTU0C02CLE=?QXH|DDph1_iN7#EtRm-Hqj!VLx51Ir=@+q$J{C%h+-ytz~Nyx8dOzu!8F4?#ai zvDoM)n;r$T^f>s&M9E*j&~5)TdHjL05^sFS8zj=Ca8> zqspOfqxwGS_o7_epXwybt|xS24TP^_L%=X7ji--=vvnCSo719EtRzs7YJv8I>-C-P zt%Y8f0*xxq?Se>~ig}|7L#3}DOIM@!`#oXQ^Sua74t;mad?jZ2lSi9oS%D|-6JN2f zYEz54b>cOHx6S~P;QYatsfSF+=IJTIoR=y72N!-Q>W85-XF&Dg_F~-otQy#w9dFQ} zD0;IznYJs7=MP=Vn%AHvaKVaZ^3OOoROnzbphJ3h+ZKnmNwX|qx}>Mqv%;%uP}LT= zqISZDm*kOWblw%D!R^u+#yQ($`J|brQJzHCWB{iu1O%BmmuHEW__`Ob+PGIwjwboZ z=H3n&^PV;|WXUI&|q5ZouMI1&9R(lpAYd6eqgo zs-6|8W;@$e!LYQtJJk>o?ITaxyi9!GIvy=-@OolrrdvChR)xXibc^(l2fxxTcp~h zTdtbXWRSN)%YIX2(G~=#LqCT}r`paF;aS5mCYDid0(2-;yJ${oLXG>112=74@CIF~ zW~-Ad_6%oWaEd$y66w1%te3{UqF7zl_eF>Ws%NY3lJJV4H}|wEu)6l+OZ(m&)#pEQ zaU9w%u9BE;W{pLNO??<%Y-fQV#^eSdyY=kH`lQ)=+XxQP)7|Eam$U5D`#U>5G+M_e zZ{K{ov{MXu#H%XkRAU==^_;w_xzAW) zV{fR>Vrg`2Hho%tVFoi6d4r?%WB{sHco{hM&^xN1;W)bhG+#BO z0_|Q>*!KwDN4E>hevWd+kFCFN?|3U^>1VCTsoC%kjI+9)zc}orMrPo62^9N3$JTRg z?MN*JjbIL|BBvRnI?AoT{^m~@iwFI^C^3(6cLt4Vtcf^lMhnQr<1ULg?+6aQ zlY(3jto4+<#z}7kMe%7pfuT4Z1yZ!IdQRgj85(J9GA1}XOlk6^etmVlxFq;=Y4Sz_ zr1Y^-Ay(0a)jJn$aXn)F|zp&kO&Np%Y7T;PRlp504di0R31CfGQ;XxMZ6JQ zSRb^6Gdx`Ir&-6kZCzRQSH;YV%ae~2(~<~_ai<_zHU0DVu_RobWG8y3twgUM^Nrd4C2R)Cb(>GQbqfT>@rW1MiNvfX(81D%v{b=B5%jW3rpi2>=& z`#OroS_iS=+_W2$%Sg(4Jw=+}gxFP8p|{=IWvL{8!ceN#4h zLGES>Er}G$t2X10ej2g`XxAwontCLuo3u5ct@xPJ_&e`h3bqB#%Tujc2gPEF(Oa|AK@tZoVUiUeFWXjUqAMz_yg${B>l97Ojez?3&f_l z{bTS06KR+K>(0$Z?{#feaz3E#ZPiV5^O6?czbc@$KQ^djB3J!z;PteQ>P1=B{P2d*yl% zG(2>1dbo-2KKFSj{9#w~@*Zz(LDnK&jS3F_Dr%$4AX#g5l!rxDNr3zzlkQ%Hs9z-pAAVpxg4utS( ze}(EO>ewir*upomM$RTJ85R8ULGr+4xkF7b+8UR<9W{gxz~?P zUOTL%E^4R3!1Wtp&o<$VZ(v&_5TaQPvl)(`OO@~%9Ec7Hggm2bJX0*}nJ4T?WX8m5 zHvJ7e2n}YP(KVQ1{06oNaDg*pVmHGHxMvM$MrgD|1QD36A%ZN0D)1Y-p}^eG;0xgl zRSX0|Y34QMc7uFl^XT?CM7z&+1Q^Y_N(02iRJCzKgsz`ghk2pTM# z+k^rzxn0w_vi=;FGrGv2OyLgb zMjdFdajuTlj4nKg5*lnV!^m#N1PNvhxHp)|{^a@!;za~C2)%>~^I|k+AcCmPY=4?f zLxX=oTl1S;u$$ovcg*HS`Q6I}G~+hnBjRngpLdSuW+8wokU&AXU4yyo!W~n&)-Ygp zXls14n$Ldw-tz=BV>K@0H!|dQ_2y=wfbfwtVH!Dc8#&BuIm{SlvQ1}nVL|)Q;BUG7 zTe&*lKsEs`lx8>3U|XS%zFZwh(AIZ0nTe?+XlqV0TTZh>KMwPmO&Cz1a0g_geZal< z4A68Y8WQY-1ggvRTFxbe0l6ZAu5!KBb9ZE4r>GD?BxWB*Gr_{1F~VzKK@v!KK=y6G zL~hkLa1Ig(H+REe23qK)Ru~@=oB$1Wnh`Lbc^2+i&E>%2uZ%96s>8txes$GRedLH>@tb&7roN|X_(u~QZN!^kgGjbA%RD{SS z$SGtH;}IUpFeZ1?-~vtQByW5~-Ark#__uz{jCpnuYP+pbCH9iKfoI?!6hbD3-%YNm z)*8uI=qG10SN{sjBu0pEg;UL>4(p8V%b>~%M4~NiwU3xLZT8eIx~G`%9^9>S-pJSK zCl1`aY1d3pvx~tEIb=~Mbt_ST50MpqY7kMb2ge&RAenZC$zM!@fHugDp7ml}om#l2 zC@4WnpWi72Zt)uSSVV^!n@1Q29S_tMEVmT`eZ5mm#Hkp%$wf6c5*GCjr|Ns>P>|{^ z=^{AASWL@lP{1Qb|4w37gl9MDaY|Y!=Cp9xJv``kCFHBl{MK*TpytmRUPyyLphC-< z*dM5*gZfm`Cy<<{9lppb4HKuBPAiW+AUU9jw>Uco{JpAGk(qObkd!CBU|>}FqX98m znpt=3wTnL;5p`U5Jcnjhq2}f*n^M&fUs`M(t4ZZA~)hhA1(?rLgNpM;0Q}dh( zoN+sQ^XtYGaqTw5NO$m`rGqS2IR%QRU=xVTT*p`|KocR8xteBM67nrR3GzL zXL4({XRKax<86r;pJilgg#(+$M^O7n@B81tO1JCJl;4qD_^0ZY^3O?s%*@VgL|VPj z7s-7LEE_EU6H9ji7WYA?VgpI1v5o6IqevTmnZO{YdhNhelCQDi8wtcd2^~PCp%@9& zvI2y(m}^ET-Y6`h>dBIVl%%(k%JquXO#EIdzKJ9AxH_Nz%|5kam#di0j)76Xn@2xc zA3Ez|n<;q;xpbBhT6Ni4=>hwleE{owRR2sQm=aLFVOaCQmhW>OPXAI(y!rC$<3J=- zff-FKL4g&{I6>hGRwQB)2+Mi#+wKMk!D)N_fOlOk4xAoY?&Qn4zOZdFX$-zG=Jzjb z+9-LNd@u(-3ryPj%im<|%)b`9l|QPuQFKGc?5S}gf{>P)gedl$_Tuh%So^{Q}lP!$) zks5?j+9&e@7#uWtxBbgENV2N!wNMa^OM+!uv z>}|=Xcr;1;x)mM2jjx0b_$}>kD=l?ND2WbuK>OO&LfJdxq>zC_(Kq8{*N}I-ZSrfr zcQUv*AOlG@WC^+hAksj?t8dUylbrDY9_BS_x#+Yj|IZa#ZO?U+{4ZcgHI~1^y>4BnkT6w zMFu6t9JcrC*xutYJVolZRqv~B95L&);V8^gAW_WGc&= zY+_ka73IrY%y1(?$rzy~RiZ*Z=d1Z@w%Rb<#;JWS^-58Z3<7iF^|*aKZ63|&QzEik3-C3>Ff%c)2qCGKQMT+2(h&BmB4ZUL~A!$r^ z|4)5+*n6%;WpTZQEp5ep@f?sd3GAtCzLhcN=z;N~7#%4p#aNtZMi(Zfs77OdCg~+g z9HWnxzx6aK28UyTo^a%jp0GlNo>1=k`-^h{A6xzp+9QN(hy19-Wy%X_{y+IynC0m! zj_@inK_{|BUfgvtiiwkXD3MHP*COb)yvUSlDzQWiQpgH zgOwz)1VzZ;v!}{M2dVni+5q^x{}9Dk|K$hD$c6vYZAg8p@>NJs=0`{`^+^t8v6ma8 zH}=N-LZ5#2HBkI`i)&OL8<(*@!X1+2aevp@Jx^z(Ze}t6+}>s6%4G!3WhBg(g7fGL z8&0kQXU_SWofhKW{Q08MmzH56^&e2(0T?QAv=V%lArp}C>$$(dB8)BYZF7u!78R%h zMb_q5{4OIq4uyCE$G*zJN|z228LVzp zg-HHtQkv~g;vKO*4ZnZ{Ix$OoJ~85t9HU-?;g3A168?rxRsgRL$?2YzXQY1ew}gK2 z6bsc?b}o9IXI|bt#tIWxD8DC89A4grh5Qj(-n}byR#um0lUAW?INueMOR6vZgoT#F z5FN?Kb*GMzftX8f9U8K}l0=pv1Te7PZR)sG(ztLPGXlJY(301Q4@MoaB@hl@oi?~w z7Ul(Ke+o3Z{FD4}o3=aKye|9emN;Fj53pjWPO2m((pd|@2<{U_^O1+bshN_IxsO4< zk3kU^`g(j!WAB5MIr#Prxzmq`|4j8Gm=ozv1~Cfd0fvfOHe%jS0P*SFPm0$qmu@y- zBb4Yw`W9CwZ`q|_q`g`c#Wl!VT+Dvu!!Ihz%()t_7foncV6;K!Ar*%g+iSw;^Gl2PT+RUs#K zdAgC=30=CD!$IG(Rz8@h5QEzAG8pZ7@r`#K?3mt0Z zv(|*N%ud~UD_AoYgNmvYDC)VifgvKQ8SeY(Lez;Ubg$gkchu%f;o5iOD_OU`c-x_^no+CZ;k_(U(}>_j zLt?<5dp0M?>JVM5M)Fi|)3Xc_srTCVJWSmb8OnPWo3lbH*!0WWB;<7+`6-Cdfr?}l z*s#nPh)$pE$xK4P`IK#*tsIJo0y*T(e5F7`b38DoPs5A?HI#qb503qGNG5CilK3Ug)g*2@!H7klzfwAq`70+ghAzH_~bs1dTgeTb-*EK%D;NCKod`q_BsN?b|`^XRN4)kMG-o-QTXjMuiQ)mmB=iT^ z?aSZSg%bIhHux7(0LNCbkpgh+ryE`&jrkUcp?IdTKMdJ(FmUlJ2XIrDdV;1U!V)-YUs#-fzsHlJ;T;}A}G{VC-(C9IDnGIbJE#uV6(r5GU= z*69|q>SnrYd)_^4-n|5uk&?4m`8CoUND6l+z!Kx0qsvH@%SiVMX$}m9#v0Q~&?`O( zAH=oXO`3P^wO-@+^JlpXLT)V|XZK4B$vQ}s>yh0^-%UZ!)op}Qt$J&ge0ZLXFhYOw zT8kb0HDbmDe;^&zK~S!}*bCJ<7Z~!JBE}%n9Gkql_2jzE@p<_-Rbzlf@8J*xi&7MLW*k!oG*9&NBFZ%7Cf>O_RN3r<_v)^!#qBdh_%e zxP@4leSS!A7Q&E%EqJ;GrR?{I$h4C1qCZJV4_+xcrvsL?;Q1v1n49)Jl!@YjBy~G) zq~f&lyGMeiJ&F6b0e{g%t-dqNJJ zU#VONJF#Yc2VikaUa;8XB3V}ao}>k%P$qTL#Dt`pA7@c#DCTP3W}?nxAz33U>;^5c0q;`Hs5GkTkEiyP|d=El=6`UqC``K2-xzcTbe3ym0}&!FLRxLZJ^ zTVE*JdbgSp+BT4%fP~i=LZ#s!XPB&hm&$wbXo67wE_4TmChl!m2|r9*NLy) zYP6Wr$C1!$7k5-*tBJHW9c6VE`m$6QFEJO<;6Fgttom>ZIGI9Ulgav<+?noB$g|h^ z-xGh#RBIY$H=I8Ux$})cNwQZ{_@E!@z@O+lf_hjBSS8App>0Q+Y{DHBeLfCybeN+N zRiVFqw@-RJdqy$s%Pid?I3BE-JHRj9aRy5RyOUlQbgAf=Nj}q)CBaPe(G); zi7LB_G9c9W!-oqxU+PH`QFQ*^gm*88VnAEnvK@dN8`_jzJk~w5PyqL2fGC>apoJ)E zIiqGsqA9io5qNqKmnZv+ysqG_+t(mtDYIA#h2Fm#LpdqE~29Mi!2n@l~>bx3N~ z;@Pkfvq}o^5NjOl4slO}R&FMO3`r&(3cdO_B-1!#-6&)oQL?`I!wBbEk$2C~Wn>s~ zC+xK$1cqu^c93YutB>&*TgWQZ+=Ly8Z-}U|}#aGU=kq&xRpj`<0rx||lZ>~->bS=#+7MSRPw>Pl91APODLXZQ6-SZMd*KSQCv z(xHNY4lG{iY?tqZeNYL%uBP?gAX@iq9`mrS$mw=JAR(g?stZ1H2(Jw-`CZk~1nbDW zEyP|Y!XG~s0fBBeMRlO2GE`3st@|^l6Io7o&-7bpifR2mUc{BV|0+xLY z)|DyUt}Y2ZhbNC*0VT@hPnJTi5riW4r|dAKUOGb0SIZkd#pmxE)qtj~ z;TXjcmxn>%{{C;45Gv$WqZM4Q$O08dceXx7bcZ$+adLprIj2xAc|RwC+1#}CtF`TBOjc>7)L)TwzjnmQn1r_0-G={xjrUYcny$H6k)&|cA?-lzB^!|ON z03`s7ZANjBGPIw37^RiZAk6I$U5K?T^0xIhWi3Jpk#onq!CdE<=WO-!#Cr8=m6oyz zbEt#s`3CO??0`(a7r|7XjG-uR=TP39wthLAM49vrw|DW5_YJ@x!CYqihHqQpIKFZM~Lw=D-GQZ$=jAQuHoAWT$U`4|@rj`+3acxpL))6L)J8}A2u3aKl zx_c{J5>)M`t{p#YM=s$=r*0R@cAue;A-)%7%o^=PU6XSuE@7_e_y6OH!q1Rk@C*Af_>g=@1FW(IUwH9tnrPQwF z<8E!Gc^I4FGUt?cCS2Y|V`G{^w&$<-4Ei*EotpM_EiP%=T7G*Z5{t;FK{k)*BNd!z z+f7I_^$j^EF9p+g5rYCO5B85F9zErrg0+1lo~OH+rxD>@|1E@&xS zi5ZS5DxJg3%iFJkr)6r6D_t>#L-<@(|>Bd_s2wuS$$2)88ifT&= zh6Xi0;<0}k?!U9klP^`M(!NP-Sxy{ntU3uZeeaW~u67T$zWcn(W1m_Gvg=(HPWb){ zYK@p<&R*7e7g(lgq2wxr;bj(y4ueTr?ZbItnm%BJ=UV|t&A9^f=W{iTWCW*4VX(W= z4vIYQ*rt76J3EfjNwz?rl3oF(A<99x=Z(y~2=)JlxnxgxUUY?bB;}m&2DeAV6yVJ%In%dQz4f-o^G@TRLpAOfoZIhqvB_mByM-4FWs90ZjwH;&mbXiVcO!semwN&H*zh zczFa{A5%A+OC%&`gIOvBF~Lko{ZCSLHjHlO4}3Y)$=lOO{{6!`xW3Ec#5l!seff#U z{WV7ttl+}jYHH_vf7O^t3l?*JrPfnnX?{11(EE3LmZ;=*z29{`^ z=8NHATm9i$yO8AOCQ6{mVJEl3898WZBSRpMrd}q5C&*Y)+A!8FakHjz^2M2CQYk?Q zS`+pbnDXoS0O!}v;|MzLH3?ATdeA`Nt$dB^1X{I_X_rSjDyIoi9+$=h)%f$kE=NGoGV=A5z&mW|`DguOF9 zpl9OX?)FiSWFaidz!Ogg!PlG!?6o<9jbB5qn6BR?PA_jJB_NZf(r`9O?5@(LR;Kkb zIx(h_&;QN&vi5OxLPreVdVe@LV+=49Lr#i3h!H{(Js7%l#vS$kOh*#TJ9)%COTbqA zN$(JARehfO#6sk3Kp?TexmLxX9#6J^2!hWa7%=nb_PV@g-!4plDxOjA@_#-|>$=q@ z3SQ&py8M}pvHdK;D?pcb5yqBlI#<`=H=-RHAW|BMwlA7FX@saFgp57vNQRY^ zXU&W-kVazSb~Z+RH{>%T!l0W=s~L1_2?6&-fH#PXR4Hato`r}6Bd(k%=QNP%l2IM1 zT%PVINmjGv+Skw}DyQM*@f>mO);9PdcRpPRJR@O*mlTNAm(NyC{O}=wQjYLS6cu_P zCyC|st`tAbfh=g@@NbAzQoM3{*Wdk#Ad2#-Zo~-0iJV@8jy`)8U$Q#qBFgtDUUUyc zmfT?0$Mmmw2G+N!=<%(hV;ui!zc3g0K= zF)-j~h}cB)+T!+IP#=Q>+HurIzI!qV)paPh=-S=$S3-FSOxsCAW1zBxO?Rq!<4x?5 z?H%VSX+C~f;ikEfxcBCHh&eLzcLnA#ma*5`=L|kx^q8x zz4OCepHs|9{^G~Gk0425BhyX#p1$1kqb?G3Uue$%4zg_4^K%bHH3C~Yp_LM@Bx9TY UVv8b~fim(dCsO!-00030|7NMm+5i9m diff --git a/cpld/db/GR8RAM.map 8.hdb b/cpld/db/GR8RAM.map 8.hdb deleted file mode 100755 index f7df467022a4b942a11d51b25bb300cc84643681..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 17443 zcmZs?1yCiivoDPM;_kAzE$;5l;_hPN&mJWjYfyFfg!cMDVZF`Rfw>q8jE-&Q=cgq%3SqKbTlZMP03I%}80+gTnwyYHm|3}eaW0cXe2D=AQy2Iz0;Yue z-;_f9zo|R;xjwu%r9_4wo)(r8>dz}AuD=kM6XUn%@xd7*@~q!8L~vQUlJIa@#S(W= zGwR#}%kqys4clwZzR?h$g;WNPc~-Txw^g>6oku=~1QN2nerjsEYHE@!Fb~HE)EM%r z-!FW=o>pGIRi`5|KtkNQ@d-P#IfpQrdV?o-F2 zGs^DZu{QN+uFTx4PDooVJa49Dj81*8VY+#xN*w`vA>$9KkFhga;<(P+&Txq zLd9&h#fDCz@E3>6T%Bq-L^VNNUN8ueja(Y>h zKUP)^>w|-0@K`Y2pAyzlwVVpUWN0p)IUzmx!*b?_-rGB{lixqJ=ai@I7?dZ!6;a2< z=J3jXgdIFshPv>%r}FwSKt0&ka!uQ}c%HGb-6O`Ww3)YU=+Y65Z|>^Q%TynTZ^{~6 z-Silj_#BeV%1o17#fcpOQ70qsyk>mECacXqH4CASDV&RPBTL4*p_xDyv22W6PTOUO z2b-GDPSLcoB{w+E^B-2HkwWm5;~MTslD?e^O_h30mFojcZaK~KuXPKq4CDX!n~6E&zgxnxvif9ES#xMriSA*dRyTxw^`de6M4@t_*2lDZb3ox3XT zrCE_#{>_>5*|T1|9TRjN4_)s4LK;TR;tRjZTvwl2oMHw3GQ3_^URciRlL2It%1m|O z`SmZv>9W$BXUHtcYE?)o z*4LlG9Q!XbLyxnRXSaoZQ?+d9E@ODB6|v$7Y%o!;M5 zF2?R}rTdI7g!@rv6tSCjhH{kD6R0EpKs47S7Fm%c~4WgcbfBBUHPzLqBcl{_^hr;?EN}NkL>oR3idE*kVtlo3EwMu z&f8OT8BK$sFEG_5^?Fc&ERL7XXL+bLT~Os_CZQ^6iq(ls?mAvEBQE~WGRY7{m&+LE z?ugQ>GWA2ybm4>$438^mXxmwr1Vgysawwy6NuJE`^ts1N=E1NPt{Txxw#!S9ujR86 z%{-8SB!4F*M_TIUWp11hW381D8wCw5BGC_~`f(4(u$aym_s%Gq&$b-2Dxl<7SA}l3 zp7bij<0-Ll)Lv-SN-?fSAWAY_OouuQh)<+dpnOt!jjbyjTQ~kF&dpX0DbYiCV@9Sg zPd+#uXBNVk4hB4SOEA!U2!%<|y>uHHJ#I=xt@Lp8rAR4NnpG)BRvjRZPX}ji$Gyz` zxHpe(n=0^OA?O^aAEite<{l)79N?ay&C)@1gA&x14?_&E+>p+;Q+E!=qiI6Qo$4RRT9{F4f_B3nwrfAt#MZ#&?R@gR{(ZNzuFuOe;QYtu zY4ySdU3trEWu0N0P|NMp^#{M;P%cl`+TaW5MN@q%bW zvWCQKRWRD)K;k2RdHy}>!W^@{qvi23R_YDsL*TQwwYtXVoyT8e=~19n&SWhZ?gr}l zNe&ZMpWDLnB!SG!hb66DXY`-l39(R8MsXO_)0?3)0|*0f>*eM;Yo2MB%!Dz$wBJ?d z^|;cyKCg^0wr}0AmD6sRH~tPb991d$evfDldZ#=oY4TlHC4b9)FL z^0)Y1Ob}#crD>!)Uphugl)a8I@(_E^lBq}v`6)H;=aA0 z4wE>rlPtGlmLRimus^DQ*{u3BIrpOllCSM_PxrwHgex)fFgTMqbF5}oLFL&4rFR@J zkeXW}ID$Bsc^DG0G^B!8!K+~9@3u0|dmhN=6nq|r8Ov>LB zJa5CmCQuBhWAa@my1KV_U+XrpAKmSJ*r;7Q+K><~eq#S>YjoMWvi^`V?4iWYJ_N&n zz*OiZEGz2`{S>(<_APF(@R4tYAua}c~zu~Lw+nf0>u%~G(v+F1k@R^I# zN9wEL6RD`Hir6gq*S~4M-0S-nrW=(|YMBp_Lsx5jt2{=HBiK%9XQuUs`ftx>0mI0W zREr(^b-`yjt?l_jHF@NM+W*nb_3{2uj+AdXc=+-9g=_fe#lrCTa!g9f*GUVL5dJMX z2G;A%_qYGK5ynS5?1S^L!^?CGW8OG(>)T)1$UdAOz_&ValSw&bx&5owH}nx?so`+k zo*|C8ccO^MEJBOqW9N{A+tt*qsjn8L0vx*qY(Kw0Gea}~3pD7(yn+~-Mc|CWe>MVs zhx~@%AdM>ONA3&(8&09g)WS2oNA#PZE}^3i^tfBfv%Ili7I>P%L;`5C@P_ts60nCd zj4TOpwcZO-Q$lmuO>r$&tWwG&QXX?%%Q8**17GYD27$g2va6rpz-WKF06Ef2l~{8+ z(@f-y7HA3noyYz*1bp8JLk9lPlZ|P&x43rf{%^p$-M>9?n3GEOdLt)2kygF@D4HZa z$nWW#l=k%6;H&T;nBl2g1^!bx0d zWSficjwi$&D8IT*TV_GD=msaq1{cT%r^p89$OgyAxp5)$x?|3INK~AZHs}LXm1qM) zXIEMZur-5v@tj!YVeL{7GL&J^o~ok{*C#I5*VixNW6b|d>~Lc)FVUxs;^H=E+!04- ziHE4eym0dfFO9vOdcv+xb|-E%3*wRIj&x9R zry7X)b4&%?dAmKYTq8#+yE!fV{C2`K7qoBht66GF+I6Y+(Z zFC=^+fYkqO9T(FTCOr-xv7dV;_lqd%dVh;E3J&wMe+iTC@B8c+~^v zbs-bKuzj}(#@)#Q7TY2@05%WZ&?wmkNZoeXVdy>C&9G$o(G%=H6oi)B-P*?9k~0s- zn;Hw;m<;6ICj)u|Y`MRsQui|`M_TMAsQH{d-y>Sy4wdsX^S%xLZrt8^dEuaVUIBXX z#!&US_g98VZR7?s+)w|6rp#`Oj*f||rdSsuqcw~QBWo-b|4a*jI>sb4At5k5N>;)D4jOmgOr*=C zY>P)`>@)FpCyyZ>s39Q0R}3m%C{a>?K8VgF#@`I*Nt9$A<`Q5{MQ03#6yWhS!QZLj zflxc&j+lXV89D$m~wRr-^h{DzGEXJGh>bSV30~Ny;7i!O*}bu zKv33lyReLnrmE}Tu6K&oVKnqVk1i;B2FUJB>!!g4A{Zm4M@LuA55NQg6XyEBd_itx zhsrdxip&m^zBHOt7vpt`mwWn_7=L&n2-Y_&5n zB8{h$nuM!p`%Y^kY0wHRs0jD%=U>KSUoC-F>y$KW98;>HRP-Xfw)w#+O{t zTVgiW&RP2f8GW@~S)Fil+Yj424a57P{b0`sN;bU>w zR}N>wg3(a^U{fPdts4if#c~CPfPO-AF{nvvwIO8vqWcD8d zw=&G{z8;gceWvRLsEaw5y?cHO5`BAqERubDO2|&Abk{QhN3Fm^FDHVFpK2L=6IPHZ zdM-QBIrXpSKib@frj~=cf3&#_O{vr=&9?M^8oNA4=NymeeB7bOnndZvBmxM&WY7BDd8+tlDGu!qb{E9$V~Dz@3lD#U_VtVi!$ri^;m|W zlh+_(KUFIa?VkohchM)?=-2o7g~+<~hoK<))c12)#%Wc*5^xC&g7O}U3RYYu_P4`!?r5w6m_`0HA2$n`*v#S7?QA&4e#Ap)Sk+PfMqWR zacH52ZF*TA!no3k`Jo7tBS}_#(xe0k6T=4WZvLwrU z}qF``%xRj7G>^giLrr0rZ3RIs=_6ZTpOBjPq!l-KVqG0K&n8w}#Pny(h zEQ_fd4jv>Z$!{&F{E*G0STC~fRF$f&t9sLeO;D zUSWY3B#d&D_NEC>QIT*M9X-f38bf;At+z@L`Go$e;w5$d+mr;&f3!B8M^gM2I0~=9 z!8y6DB6H7|H$UYUg$7L+ZHI+e$2he-mZ!Trso@f}_whD%Gl6W9X>q;Hq$y_+Mj~c_ zS6ljm(@`R;+eOG>%EOX@&;yVQ6T`pSMt4S83I2Hloz4+0c zW%-TK;k3}q4$3RyGHzoh;$ImZ%`Yvccb@oWHr-i-f}D0qO==)QW{3D zu|bYYbJsXirU{qN-f+6R{Vnc()Is=8CtG)b!W&IrC>!fLHpXL+kie(IQ&}Y(xN~0b?S;0G5zcw?-A5+{6R`^LRq3-26TvhLWQR7cMGU+TMAL7L z63a13w+9cgG+sFI4iisUW{p*-#@@}vJD@OxBt29D58_UNqRcVh&kz?no+g5*Ev60Q zc^mwlXt;ywzLv%N0mKaU$V5=GXt%756N*cy?R>V$&0~g8k>zLZpOLi`|4qFGlasHj=?Vj6moI*2|q~- zanh_!@0Vja#|nzLNseQxm)-wd@@hSTc{}X7xZl78Pusay?Xo9#2i{cE2%#Jhm_Mr} zJ0u>&(DG`{JW`z4##RV;{J!;$fLoIh9gFQm44T&<{A{VCygs#aklJee zWLX9{82lKFA6TG(p|ZMz9=Gg4(M|Y-N)Zyibd3JQ&t~F=er_6BqUc6`#=VInBa!%U z+&_yqjPqu^Q6d$>duC3UpEjLI@gc>C=pqbOl-S29i1Sev#5?G(*AIzlOA$H3-X(fjLj+_sfeuVE)>Sde&I#_H5%q;*FTPj>r?cu_Dm6KiyVUOd zn>6o9`wZ#?M-wWg+!=qj$%iTpygXVKuj^^(c}IVmkHr+8J+b4~1aR`m9;*K2&cx#4 ziKgZe7{JS-5ZOlWH6kCh`~0M>#LqN0XYseC zqf!Y<}(Qk|+d?Q<-*|4sc z!kfZV&`Ju?A^RYvC$~bO9-I`;pjQQWr_GQDoH5|(P|)X6{!|LI1hWrjF>}C!ooz>~ z8{Q50zM-(0Yh=#WHL??dPwfDGB|RI6jNiRsikG@OK5{i8oh( zrI696%74PT|1&1ngU}2XxA$wgnLKvQ{U)NVESX5DX1ixpZTx@6Ia4f)-WpYwk{#V2 zUKYL?>}O#ccklIn=0IO{lX#Z~#-S`9qnPC5XWZo95RZ>YqJDy}oxp<}y?HzR5gf*r ztQfkX)&|jBFf1#(G5v9v1G39}pMK17nVRjn`nEa@G@kr12t~L=`$)rFPJ?_U|2FJp zxZ%)shCZKW2!0%r*`ZnJ8=w)Fcn6NkWu1;v*dR@7Ju z=>ef}a!Q>`t8gK<8eIh;)FH2p1~kRyJ4#b6Y)PvAC@419u0Io0Av;Z#Byn^t)K zM#GbB`qrpM8WC3W&+<39?f`XBAfp~-$>32zpUb1FvIwu`y1!zdsn)HU)Ms=@`Ytjo zWf$r}zlakqaC0gDjVgHn>|a`5AY-76&jIbScuZ(nfGm6S42?Ke&>;2PNFjQBtW7XD z$m^z1o&P75AXqV5{;19No}Fi6B8*t#I`L3w(RFF)af=b^Bl+p2_*ALV;dO}tm!peR z8A8fYm*IB?<=Z$hw(a&oU9?=NKR=@5CFwBo!e%uP1^1?Xl*nyA~n z%_Deufpzu%UzBY1>Ir)VNTqb6oMWv?u|eP7jraM(sd%oU@iZ3;lcXppE~q|CqdfwJ zp!H^jn6f+GVd2&K{zI}zz5GDer_R1wzZz%khflfAUVmX+tEL_IidwRGIbW3ARUEUFKh0y5crdtCMRl9!HK>HHG zmV?0kSXq!LA{+bG=+f)9F3-0ExY6!Mqk5for*ixQ{`$s`$rs`XO)Ixc2yotFvmK|t@K3h z=d}>oYK_xvRT{XfE^9x-`h_&+hcw<8$zlT<4=;zzqzIm)*p@4*P#~irJ-b|!Q?Ukv zG5m-oLA7Gj{4r+T6#E(@b7SjYR@##o#FiyXOTHYlw3Mi7Z@*G4rY6|~Yw$Do*7;NV z#L@BjwpynGi{6g8D&-dp3XY-ARu-;sv1h~l*K)(h$1Yf@c-FAr zw;YA7By>Y{&`=LROm%1h8$mI0bk-zWu3no~v0zcHMbj)h>0Pd4Utmxb{4RV8MXn}o zx%Bg)msNed1@XYTbfJplWfdgZwP_E;9|Ts<)}&bP&trhZP7IJJt1^IeNnQEa;`;mKr!dn19NEIKMUp9SeMzG^ks)x?}FdvK2qL2kWcEEHWD3=N9Ar zyt{s*_p-FL^LuaJtBg;m>n^u` z>pd#-+IrI^L4q@@z5d;^7Q(66*se(!wKfj9>RYqZ2t$&V^X{VIX~w@)c9{0Owg$w` zp^x2{#-@grkkdv&LB&KoF3H%Pt-%knRUjgfp^D!)+3nT$ChLsWPg1JytAo%L;m_{kIosz2$d*dvEx&62FBglOL`x*4c0U|DuPKEe%-a8?hA zFM-VS0v2(Ha*6+`JoI~4NOSA(?X0P^wJyNV(ff^ZtiF;l&1;AbfR)+J^jZD5>rPz~ z^C&#fB=M=}WG>l4`M%leu-b*oBdCc(eUm-lQ*<=eawPhmJJ3RCC-dKX3YPKTc%ktb6&>I%Mjns>W1B|+u5 z*<%;AS^K@IwfO_CdwYi_U?gM$_7BOQdN-kAv+Qf%Gi8QWI~i8L%&1*m8Q)*SSAV4i zk`B|?sE9=nRtAZ-j1#(}aCjdsBW?jQ&9U}!yUuGLQF7t{q>D^fmwnvCMK(9@t*GRa z=66do2F8C(54Xm%K$%nKleQ<(we=4Tso0ICqhWHp!=V+I?|ExfxoL>c({@qBubZ2p z@0q~bmC$&*Wks*yXKowA4j)`GdqN)y%$CO5E#g>_W@qA7=W;6&6xQ8MX*ZX02ZAtKH zvgY&3I3dXS?(;l*YkKlTknnMR&^^DK2Y9!Asm%s<8FCz5<;f4dd0$2nc339yyYGdHj)#kz6(}s}ELt zggf=gB;JYmqZ^km+1>%gfnA%Hq^FYF;QOp4T53DXQdJ zFC-Eq1xIEApZsMWSu#A^UuuKW21JAj!DfD`cG6!btoV_-bP1O-Zg0YS_#u>p>PfUP2YD2u z0jPt3IU)qmAORQjTT8#w_EYyid? zCRPA5Bxn!@RGhDGJ7WN`su%>M1>C}b9E95k^7SEBw{Y1R`lgCu?5F{LmNQdec60eY zaENpv_FE@au080&oz? zx7AzW*JP3Y7RYQRg2sjX_aqTM_{M3xMv)mo`W?hmW#jutp&4YR&c+(=wDrW(RFC(5V)>ECjvRZBd~WkfiVX zCc&G@FD~oXb6rQ%A7Oig<%C%x?y1ewzMBJW+ibY+gJZbo|xMP{oBXsvGbU;-#PAg4|yp zZ4p6hTbTH~g(&6r-B9#Hh4c^Q?cXYeVzKAYG(64c0P66gyDG#$1+tjb3 z=4E(IxOkOc%a5;EY1%*LQ}S%ojvj+lQB_x$dR&3?t_?3#EvyYG(twq##;Yi!7( z=mAdLffMZr1)&ML8n-)Kai|pPCB)J_w;u6?FPRLDJO zeJNM`cFHKEcB)=yoKN38JBa13qoxJvK@W8{)|ckf1L?dZm=3D#CBWbf6CmDy(g&Yp zAI@b)i#XBt5}QVkL%@N(#yF0(H)2Y?C4UQMSJU_iDmm#x-#bXf-F^do0;Zj~RNL$4 zBO=Bz;Mm@x*(XJ%)Nj|T{_NUGfzMFY7?J^TE5$PE&wA%j{0dnEB=v;T5V*Oeu0;?7 z%pcql6Vj*v1{e)VBmnFf{htx;2wqO@gfUUt;!D{D=6U*t9>@)d>5ZdC^;RE`nuZ1L z0zoe-VvPkQxiV)0zKh}lLFVdvA_no5<|8}O$vpGN0BFu4rCj#CbKG>m9=LLK7p)&m zMPUvOHVh}0XmDTzO=fVS3{6&Wq!g--?Ty4=SHnQvN9ez;h3Wi#)ieYZOooFwT|x?Om*`at#06hf-iHO!W}Dfakom@X9=f1o=k_8fXG-DSt0 z=s+B|uN$7G2UEH0!XXx79B`WKejOZH)Ef|id~BdsAXs#&mk3K8sz^wzj`{LSn(eRd zBje7Z58GeYEg1U^E`QxesmsOpg7*9Ezfwa(!;8@BI$WIWRcgQI&X%J0Tjc2xI;F>K z+d;G6E4GUOLiq@6AT}%t=9?UHK|N`k>#5u809TBD8xA-uLN596HcQb}Z*SoSTs{~P zL}mEv7#jF4EXrQ4qVG?@WT>t9#BE&_bZ=GJKoa4^?XWn~_i4cF3-Uhs=US~NwulEkD%dpqZWH1%ZgUJ;X6IA!;?MG)W3=K z#d3Zk`K7tXYDG(I)@y%;t>w!k?|R~~wDW{OirgWFF|qI#{3K;%C{_iOZw}($Y0)`y ziShJ{PNNe~5?H>Gr3+^e3jGX#!U$y4B4I!6m-Mma4i{RebZ$)tRx(WGG`yqg^hu`y z?pq4w(`tzrFbA%dC3EEtro|WG(dX^VTq<Vq^8si3oghN z8l0Y7?-MVu6-Ja<(`hg0;CH@ARH0@3_VMcxlVx%~7`nfTcUyB!rg_QC7tf+ordvRa zs9-}v)BTq~D@&;e5t;|~-4uOKVhpWPz?||f1wc+qJu7-qhrZxQ7P0y_Uff(y8bKeu ze=8*sx0dNU%ay*giL&$>WoWRD%nOFyUIF^#-h-w*1|RJm6V5I*=T61 z0Pn)@E4sVMjw~SqJM?lI=))z-2QGimI}6R!(OosmoGPxWzK13!s>@_w5!0!oYNG2O zkII_YI(@Z$z+G8DLsh$pIYs?d#cC^U?7^qJ@lpx?zsS1gMVNwl`Cr;p;Or+VN1bjv|X1CR(#bOJXs6y;51EBCjhJ0w? znW`b>{wG`=;13ZhzPVBVzE(2a+*DJP2QBH-_~6+MYkPcuex<}lKPaB!Je~p+Uj!yY zJfn@vBuvJY2bU>tNf8&gzHNX-Wo?5LY{zO^~YktudKobkWP`K?Mt{0)oL5 zKo#cFrBURHPC6>9wh8e$ljtVq*te0)j}3ok?fWAm{Vty{AzbWi{k1EkjTh|jVl|02 z-wz&>ziJJ;mp#UgCnTVFzN*X(c&NoKz4OxvjVN*f;7HPUGthE*<_^RlHKd7dZyp4a zTYL=>^aeh}kpCsa?XZtr1 z|8mN4fxS428|BOInwiS>pJoc0g;H&zLM`-nqxuC)5OxvKuKxUlUBq}q8QJ)FIfPlb z|H#Rd37g~y8pMV`@$h_R=<#B>g&3Wt1XN27>E}fFBr78kEuP-C{ebiARU@2EwD|qv zeKpcH9qQaAMo9bDlbW#kcJt+bjQzL0SGY^N6h-V$`%fc|T76VurZMk<7uqFl>SrMv ztpD0ID`xFT6n?mL8!jU;joS*0Bl8f%?_X_LOJti~TCCEUEi#!+A+H#Nj`&G!VI_{_ zCboN?ei1v$n9X|t4Da)L$ERY7ot1-8fh(eq*nXqpILJCDy>BkQgc^R)_l8$*6> zB68v*9j$LzV+uxRNcF-c&E|UEw8~Wtmq&pe`Y_!^Q&E|VD3&Ve;YYxYntNR%gIy+r zT_sZj6JK9q=dS~rksEWk7wRu2oySwJktx-Sd4l4_K=J+O@&9KWoW~b|;!V%vO~HE& z`?M#M&P6rW$OyoB5Z&R=s`dEn!O|fot=yzqkxHMPDY8%8hjlw$mkv&?7Zcun;w=L0`;h?U& z<^*H@o2doCgi=t4jykZ&&QN`;p{BIQ`gP2!83S_6OHO=%M)oVHM9%#1qvT^#OtcP% zT?ygm))?s?le0hp?*oQ+8X`=HsTvXILfnR9rvJxmbkG)`nCX!IG8kfUiV=K2C@)xO z9bv)4x_?W1GOXe?UndN3`RN7|+J+IDgz;}8dq9xtg_}&%388@@Q~Q#|Tg~JClej zhj%#kBBy#R#|-_1>1DFK1~Ea0Fz;UE)?Vaia?;U;y*!X;msyzi3i5L#krM^!=<22! z&JBm~6XXcrq~%aRh2I9#nJ#eT5qu}-twC2;HOq}X`4`(KxRIXK8Q){Q2 zzZSe;D5Kc7#3(7Y31&W>=C3uj@M}tgrHAIh+?CYoCTnCta8C-A& z^q%{~-fLriM-HAkAl*e^(;mtrBkupzl25va> z_ebUQZM3q=v#NB@Bpv5`clZGT?BsjMAnM0mC~Bscn-}J(H>5b&u$uZ#6r!HkRt}2F zCBVM0BQ+FtyUAuGK-eTW8sj}SlSUFx{??KnX7@>jvuK2}+o$)zj?Gz>@!^bGVG&!o zsO`45)he0R#@X3=Ew&ZJ=dPq;#*3pCRTTxcQ%r4;X4nZl7hfl4W#Q+EsPw6?X@&UJ zb~q3aVQiLg0+~-7Zf9bY^LMk`zF#N%h|lhaug?eR3|j2WZ@-bqr#h@_H2U2lVJ%x= z5Yde}-~Oxrca$esAX&>YnNYKsFEX6^bzYPxWxQ{I4(o23@QnSS_c=~GFs5m)JZV%dOTl#v~|eonp} z$icpKEJ83qb^y5`kYNCG&rfxiZ{p{wwaL}}Ax*FarnlG1>2m^rHg*fG>o?&-+!tf} zF=yqr>J&EFnMp8UueW8t2!cB8OWlb>&HWOmEBBQ$Li$2Kv_L%$_x4JCwk(ev*=#lE;}x2`1y`T zXzqG1JQCuo)}Z1B6{9J|fZq1(LQ(zs7rlt9JX*|5z{`|$@Ta%BvSzkoJ^5jw*DMq$ z9`19Lr}`)6bOZSmY@ihECZu9XIO6Z{z{j$@h`-8_G_d%D-4EonecaO(D`$m(U}kbR zM|ROOb4zy7B*ze}Yis&lj#)T6hFa)8AdQPT!i4&)fE9(W0P0UzwML!ZBQ8neYrWd=U6Mt&F6F@6bK(6Rn{cAOhi){gLP{Q_3Bg0H=>VYZjVdI4 z3nHoN66C1TnEQdwC_#X}MQ^5UOdQ-P6-<{I9|nd-DIQeSBBod`R`WIWflL2s&TB+` zPA0ms;@fBQzY_l_rw~iBDKYUI@>yg$arR$^xV)wPVJIEY+FLV)qZPzOUHB7V+RYhGSj3)0<$!MVT`%|0-q$jK>?Q&kE>HbNn7Szauv~gmcwyBlH6^r`t6CXU4{1qfF26@JbL~He# zQLmEG?|h_s(C?zt@1_V}nJl-V@xzT+YL*U3?m47(tBgf;v%g^5#5pvP*QA6$VH_>V zh`$Mt_*_fu)^?=INld=%9TfLa{5h#eVn!N2aUZ z*DWO8)+3+3yg-hAS5sr4wmN)E3$43}+}Bd#Z8P#I20w%P|hikr@>`OMswsHnH?@G+iZoKV=Zm}T^X`1q zLaAm&XD1|qhC_P#`Q)QObxS()zZvX|CvhiRSo~q+WDlps&OiJMD}R~*Daq2>sql9oow{KmVv)9f z<=;D3-_L-)OV5y=jo~KYIj6*wmD$Ah}MAI$SVz|d~JgKa8 z@-CRuoJ_N6LVzSE;VyqPv*RWSEg^L3G}6;Pn>_fZE)tT#xG!c&NdBW2(IMW>1P0-w z@?eG`v9HWi#h1V#t0Y8~DnKcM($--R_HO7b*iH<2+ish(4l#|`wSC@XtYggUBKBos zJ$8jrS4HDTgj37qrhp%+Q!1Ju@{)2YOA(R5p0YWyaRsYoIo&OfZz`Jat+z>%Im7tv zTW`VGtBv{QTj8;HZq7k_fL}U8(FGyx_`iSyO{3Qnf%c=7=466{N`+B%S2j)1#(oLX zqO0#h&3xe)uVRWiaR~L~BJnVeR4ISwq{dRc)mYT*<9K*nk>?u=z4mwx#e)DJvzsBk z!Uxvl#i2s5$=hFMr%}+aRH{$wkvz$q*3zrqklrrCyW0|`7u61{ z+a$AYZUm%g_)-OlcORWCU4I|8Q{9B_pGB2jQ&8{K`FYglyR5ZAv}B8mhL`APC0cnb zD4lN>Rjr;mXKrdlHUdYT!#H6LvzLiCj!MPxuo;MBj{WC>PilU7sh&okjWj%d$xg|7 zy0KA;g+eb#%h}%?Y`VoB{7NV4x3Hc3tfMap{={Y}ioeF0y`!)W3u?{y5vd+^=`CsQ zTqd}1=AHVB)${d25mORO1(w*E|8s zcRVkA(fc)}c)&P}m|74ckW~M560A(XqiSMrKjdcknrzd#LV?&#>VYh-lVT0iPi<-o z`=?7%$ysk6nuV9%`zZRjM|&xkfwftlV_Smnici^AZnMFM+|nW=Ln89H43j1u6OL0f5M4ChNFFK#cNYO<+a_j zv?nfwZd^>k&_I7-6`QZD=xO8gy}fx--%8B0u_RJ>Oplme zf?HgrTIkZYR3^G00EB{n5?sOyzoo{=QOuVgA;>Nn@g9k~)RpzfreMPQtw&vOV%ojS z_-D5EzC!=R?+AQ;EEBT#D=wvj>4du>COHmxOG>*fd1z|?4rR0B&hDM!_a}TgNG&K> zXamU>^tNKWHbiovl!@0y?X1BgIQ$-h8jad4YvLnc6Uv{4T~|lSq{WyOS$}Sd{d3jH zB`<|w47aNiRrc#@j-CwoOLu%s;C7e|y-H)KSYlz;D0jbki{7g#4-(`1X#Fc{s6+#p zaDTI{W4p@imS3tH>#<@Oomc>sV(KJhZ+Na_i)=>N2q;Hf6gSumON{QYYwD4F-c`=k(tfR7Q` z{pr~~$9cIFz%Hi%fd?R)d9_DAAuvP=*`ts%8wq-{7{!TtzN-ZGmz`-PbV(o6aQH5= z@|*uo7fGi5?DBO&n`9;5kc4tbcm7q+swYp~)>%@|E7A~+GY*_k>>;-}{$88y9`26; z=eO~xG`J|ZXWFD{tY|oB5L-|_qC_EvPXvF zR-vHY6WVo}&FiFTa?)%M&yFsS1V{@yW#v?+a*y#XQRyMl!HQCY+XM9XlaB_Xum$Adi4PC z)1OaRd+~$!AiFG)xjhU5^ErH>daUr>1}u%D5r{%bDO+~XiNIqPXY!A&l@lMPFKIU_ zE-DxGptci*DhFNJN*TRKd3r8nkQwh8)Dr`wQWhcl5<>DrxO04ksCy$+dj~#z_X`mv z5u%n5GWMt=8CFW3H8a9M8i`5R**Nvxkk5<=gKjRZX3(uA1l$t=-XJbgrMOLb79x_2 zxO}{f(?F(6Ms=uidAg&dSk0EJUqhFuoQ9jnbHueQXp1eK3h5d z!-oJ$Il?PZROo@6B$m^=Li{ubvY>^-zadho=ao}C|L#u&QIt=0BSs)j6u zlGQmEQNBm0B4(GaUNu)a-2k8c4+`k(J0uC6*-y}v}y6|X|( zXsjyz3LccI@O?572LpbFh>bL_DQ@2h^)Wc09Y<~CyC;KCU59duuGuwzC6t%Iw4E|E z1}aO~bSIlP+`t~$-f^Ci=HrJIZrlXYU>Z2YQLPkL6f6ppF}q7E5K98BYNT3-)L{+pb!%j&BH)NeIJF2JGU@`Dk%8#qAUKh*#m9e-omhRZH2HcVO%st*f*J58& z>27$ZC)j!Nqdatxzk~Ntv2I_BOr92&JfpJ~cYMwi5hLD}GxrWcX36$$Qsc9exw_Bp z&W&gR^8H&RxyJcVbG(hu;u4Y@FYFJ^e zgY5R~hfbaA_Wj`X&JTBdPBAC>iy!Ygj3kAPOgH6w`f|^Yx=7G{p*jCM$g)|_%{>^^ f2yE$uR$^R9#y0)M7DX}xW#m^*r11X$00960d(CDU diff --git a/cpld/db/GR8RAM.map 9.hdb b/cpld/db/GR8RAM.map 9.hdb deleted file mode 100755 index e3c0c39818acaa05c2c031899eb90828b09282b9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16681 zcmaL81yChHuPBTy?yxuqcXxMNWMOf4cXwah-Q8hX+}+*XIk+qw-1X>wooX zrjv9gN%v$j-BpV1B&+g}@L{ z{~ObY{5SRhKlg>pHkEMwI7=<3W($m$qHvpiQujOiQi$#4F`%+B!9-_m|uuIgCy zt?4lLO4sh`)>S?Bas8LAT=wtc@&ef7|0!sLTP$Uy&9)}Q!|aAB<1GR*DGJ`N6M)#b zoPF>*Kv_$yV#fx^zsC~gmQbJ`q(LiH26jN@Nl^#cw#n>7*$TOADNwXtb>-P zf%)2r)>CH2uhUqS;x=1#w$Q~HZMv>0i>uw%ZrgSTRfSOenWW9rGrFB60!(MxE%rUZ zq8cctxS>qc0*}Ocjk&6c3vAL>`d#JTnfXQEoJ?m902!Wgq3jvqhAj_(0F_v7@aS`(W6zDD34b0nXUo~nL8yHua_@5X5 zOZ)$n7Is3p(u#;&K1*ytKW&$<-?nA6jTtZ5w0l+rA)B|~(Kt7C;^h6{$r+Canb=v` z_h&p&J|9Spg%?sKR2Zeo#L_CK?wgEUn|L1$y8d3;_;W8m;nm|MAeS3EcV=kYZy~)- z(f4D`ag{rF{Ud$S7bm7{PufT6d0FcyT<(Y}{~ZUv(o%~TWwz`^@-&fh;?95m=L(}` z$6>D`_PBt?v(u_cV#O;^CGBReGu90d=`G5MS z0w#4El3!2Tt71!fF%G{YDX}^7Cg4I}bB5r0<-!$AI%=ZmpzQAd>6@KjSHiYJc`!ee zTVXtYiXWc1j%i1@=u&B3a;7y)9xB57uCmIx=bK4Lw zNqa{~-~P`z^~&7zsz2ubJ_ZLsrexl;wM=p_+Syh{xPPO6d+6~{+L2n%xN@?X5xk3e z|BQE3zutr@R(%R(CFJw@iQhFvH zIZgwGIE;y5ePl+?$-z>nYY5FW!%>3wx>x|5A12LE=&g{NP+?`Ks0bmh#c>ZK=Wo)* z)taD~XDq#6z4NYPZ-YN#_H0<&V4Z+%ajsO5e3l0EPlIxpdrG~3)2y~BPNV*XL1kO# zNjRxXb*A{SOrDKdymga)8M5v4K?n0otcO1k4@c`G6(@4`NM=$hQdKkP*(6sVYYI^6 zh(CrCzDA#ca>siQDVE2i9h6R$+e(QtnVV;o^VuZEd*@@ii<98hLOq90k} zGfZrR&eiwMdxVZIoN`)jRZniPd0f(AUjTUV*sgw6- z#=DO@Qo_ZPh-Sd0=9;5hAFcCqk*<-CQtsj<7~)?B{oj;5nfTftBO0Im16mxB*P*rX<9q&wIfqmD1#kZpy|_K` zdtl-enyC)C{eVNzROuj$n|`B@mJ+b{Fc3;LNL@^*Ux6x+31<{H`^MIp(_{}+DEY{; zDLM2vEYiJxJ~SNaQ9nPjePza9Tugbl@=?sC%{U914~$1i#6W-_VRT#SAvM)puYB;F z0}X{ux7JIn$cqtgHOEzkdGHp|;_ydFWkPtM0{p z>#1_<&>Ux2wBg>Pkq5dSdLHykxs=FJmwCPrWOVr;wEC!vI{;lDK93ZX3K?qwLTX)V z@5_+o(XSn!i&(X7-#dArx(WFNGOS5GMPWCdHg+>1W=b3;rxVK)`pHeUv&J^DC>I3% zD5EKALL*!4L}TBbb2}sVD76(7k^b#jVjU>L#|kiwnM@0xqIEm&S~(xsKL9hwd>}_c zx4XZY%;`&%V<+RT=o8GG1ya-ufACvt-dG)Y{^-a7Xy-XU<_HMBy6E1y#mAUzxVK(+ z-kX^|PXaKm^#^wjWeI}3H#m^n{Hz%eq(*x%Ds z!vLb!&w{~YvNQMI=FDH299)|8*R=*5&HktluW?0VL<2{jF<;C(E&-?S(7R1&ANx-Z z4N2;vTSkj@?*wixE5vDfSQ34gV^^Uo_;ZM_meDBIcJ-^d?zz>%ud?4VzI2s(vStNk zguDhj%u8NJy1SeMEAuY$0Nc)!KATH%{~6Iy@||UUW5UD1j}WrErM%&YGOA?1whR2m z5e4(%KRt?n7pIpg!p*g&7K#%f{gxR(mV4`s;#J}hsrI7rIveNqY4aoLHxq;`oI30d z(EW9T@r3nZ%K1alY=N2z(g~M*yeE-hTRo@yMoRwkUtCKLe zg)3}Q#{SM+dBB_stp2#LTd-5tMkP-?PazSSwYj*P>;n%V?Az%3SFNnf_oFEo>p`gH zFRiPZ?E`wA(nD<*#LxZ|R=5)XFduYK$R6eF7y?jE>9nT+)Nv#Rr4NfWrl{SC(z-1d z=^+eW!L?uv^FXB-fqq(6WL8&^M6Vfdhou|bcEBJU+7aXueEb_TWHZr}CY7PAJR&E* zwaZN}y1k0{U+RFVnJ+%+l4_PIudA}DGo>>LiB-Ku9aY3nw_VfTu2|dBEoJJ?McmF+ z+|F5CejqOY3NAlB+_A-2f-$p#ZRE;eT#WiaT+H$=O(e2TR2#AFxH5`&9Acsz76QRf znvDUfg`okdGYncG^_V+D?(QBj{vZKfFUW_Zy&{3|IFv_XLBZ{yr>6jvy`-TGKD{>N z5w0{FpEi$h4_VGmM*g*v_A8U1v;L%*Dg*`2#xKU`7X$J?jLI*@Uq*f}70*q^qG$(z zh>gs}4{(M5ON(mAEJ4@mM{1E+FsfRsNnA3hQm z<=R+1IW0zxh>6Lq5V5%S@CdwyvKVj_2oSCL(|v zR6ZVdmTahrC_`v!3AXDWf12-a*1tPzg62@17(R>>wXPg|x7HKRbt*Zs#4&a|cR2?3 z*KbLZEw71^Fy(b6DJiLjVOyAJVY}aF5>4TbP3Oh}>ne8Va;l;dsmZWzD^hRIqcN$R zWS5H_0&O{Or7=m|+)R9I7s0_zW!oCjkS8frGOpRVIb5&=3a61G5qaYy<&foe^^{r9 z^LroQ4abFKQ%mM!H{F!Xg&Awj+M*Zw;O#8ZmrRMld4s@Nf^hkcu@Z?-^@nd$#pllR zoyTTL=_$j?5>G_VR|jVu(CNE4J6OGfe=taMKjv8(N5z^Zmn=+D^7M^@_XwLmc_JIk@<8g^e4;E0#e%Wk~Qj zoYZdX`HPl8PGv~6aQIihU%}kC!XJYfxKa5#wz+ZVcJNFuk^i>3a;;g-oM!`h_o3PB zhQ=_&t;4A)B62d<11ihcg^iY)aIsql+smiEpFzOT*JaZ*nKe+@!8qcMnFvxd0fpdV zq|r@eK?yAnZG|#4{LrXMgC!<9pHjNgSQ9?BKle_LK%lA(ro4=;Z5x*DHBnF?L%K;+ z(XZ{b%#9}3ZClc(Z6R4KQ;ZiRrnYDN6W`gi`ats#Unr!I!~9>(L;f~Q*K{NBUJ6!+ znubJO!PMYaG1MI*<~o8Q+CFk21{E%zD+K#`&c(S4#{;0 zq!n{q%CKAUx$CiD%;R*f!kQZMm6G8p2P8o(#J@&THXaDCw?wo&JghEU6Q)~`Z{R~u zyVJ#T&4(xX?E}((4_2&;)_Cp05g=q;>O~^N2_mT&4~0nO8HM&2&G?qb%keMogwzRW zV6Dg&PBLJfKxWvu*xlxi=J=rI06VE}ELg%BZMK!K9%){hpM=60?Y5Qg7WQXLro3gw z<_@R4$JdR$Fzv4Oe)d70E7Qb00zN0!4viG$fMyBh0;nl;)rPxe^H>Z;6$q}5R z@r0wZ2C2Vlrqm_vO59r98iXQz#poy`yJD@0EoBgl^(YoK{f5MNns_x_z zJ49=KE6T=YR{X->olp4U3OCYCr* zwydW&{JSBF`dx)Xa#wZa_nJy$cFgGgz%ZG6pFoOR@st6lXeOC&HAH~>=cL1$Kk%sy zdIP<)(BD;j6$h^brPBzCjC%@;E@9|{qXS}fs;FQd4(cOA15+3=w&YG325$=$4)gd- zN_3`gVdH||$8OZWtEW1>>xt1`Dx~&UUB(3a%7lNZZci$+6A=x2iJdAeb;rKY9SFRh z7g;qS$X3;!KOD;|gK*8~deJ{q{O#C=vPillW(erh)ah=-=jP!q#OaLR5yDZR>QrcJ zT6ZklCr>mc(*$N5-`>846PWp)JbFBMOEsadnn{CPw)bK3Tq(mgv>Tgv!=JY>{R&40 z??KCHUS=|tZzI&t;P)>IAv0xps6Y1wJn+*YHth4aFU8x@V%pV)@;IwepPBw2Fy~0A zIY0oz=ZJ0hQq^I<&J{+}cwpJaeij>ohy%WTAQs@4q$?6e%!*UfRm< zm1tf9F!d?7*k_Kqqn!7ILvi))94<=o*h81Bl4B>yA5E}A*|CCc-cCwV`?orcs>qfM zxjim_(%?R8(7c53eVr$>O|I`Y$e&?xV1Aa}e(3!dVUG2>(d=+&|6G($#bjMfnUJ%mmBO1OomxX}#3b~LTYfcT z@FcAs%##325+IK(kp}zQEJ&*9M7o=zZA<4+UK&8V&g@QifAzG@uYr}bP=ZmED8mfj zN{}45!_?D3vg4IZ`?%pZuNRfaS+;;d!8m{Xx~&k<*=%UR zRN#r5H{-fpSoG3p0)wm-8K9g2o*5!i|LQJI$BDOfxWs zcn=k`-*=N|NZ(BAk3jqVgb(f&7a^@ z5&0lH&>YPSs6NNe_+dm}pbTj2*j?$ETfrHpGVb_>@7jUrvM#KBIUt!+@>56X3P0@? zSE0DhhaqKzpX8E;m{opM480LU)Pl;29seS0VOgf2FK4evELITrc|Xu;%B!0m>dtS;vjgQz9z!3Xg0e*_=X? zaUijge?7hNGaqB88-_KxZ$q|B}8R>-tT;bO-X}*6f`)L z$f&kP8QtC1m4wPdts``+1mUC%S@qg_VgH0ap5FQ^IBiskes|&BnMYWrge%0iGetCg z@&-ppgO%379kp+H69)U}Y9M?^-6cfwKq^I1j2pO;YIQ4=`# zd*Hod`<;npQ(4N3g6DGM0(pMFk&Q|v_@h2U-SEXLkoq&-+>Zid-Xump;h!lFF2$#V z5CL!7k=ZZ_HDc2<&s~6LtOI*-KeU^TgxlUThEe=cU}$G(c2qLrk)0D@j>^`Z;{~$w ztnnLzJ`veIXlI7o=id?kM@ zozcD}t^0V;>V-DPZw+=>ChW{y8`xl@AdD{6z)U-RL>(~{$a^ByJuwYE% zLHYmB4gYWB#P_`eV~|XtESJBkJrRpO97`B&b3}GQBzFiRpAD#9NOvtEvuF7|9KqXQ z?+he&lqdGc1uep#j3@3iomnCqfiFCx&$O%DPS0=4ufLA1+5MxknM&R`Zf+BL5T4Br z{9_3;ZCR;>%EY}pgF&-Q<^~Y7tedKjmd~#W| zfP@etkH)1nS;z5eB; zRTVK#SiRA#|4q`|N*)<}Jcb<$iGTHu3%`?Ph6thPn8$g%e>_fnbFbd*MpKpGT0wIL ziLEmjgnX?ASrvb|UOj%tU$5>F2S~0!32|yzq-Vd0v8mY7r%$0Ba%&UHs!{tFBiXI_ z=juwj*!$xRi9Z`-rj!Utrope2tWy!#<@MNHRe7a!)*Vh`5cMl%AJcr5y5^yJJloA* z!2tOIJe^_5YE7qmOW!{EX3eQcjRC8&$%jMV?)$%ITKlf&d6ayr9CLSOJ}&*N9Q`iS z<~o^9zp|MdLE_33w+=na@9R}=O>=*m@vDp~x2EUGt`uSrx|tl0uBQ+lW^FjGNRYJ! zMGSA8*UYMPs_s|Lrj$4~=+o#@tSXfzzTbjm3X~3!foatG?XVb5W_IdZvm$jGm6-ny zb()3LCsyi1lqy#3(yU@6E0%!z2Y`jN)jq{lybU+@<^i$m`Hd>0t}UAtnGl_3Fv}2~ zE-l;S%0o9gAT8!n8jS?gpO>efCxjfGGYoGEcIo~*X`+=qiVTa)h#@}BTGXkxOKKIm zGe=G(P6om6gy)$#_Mqs?d_}89?J7Ko@|>Ebi8ZeR4cf+uCa*5V$(1O6yc-I)UUiNu z0a*5^v>e-Grxcy)=(TzJBkd{~|GHn6`(b}7hpc$Cce04O#n&_5Nrgt=p8k7tM-~BBzwPD7+0}D(5Nj@Cg>By>kvYaedi8WvcQDLNgAOwCz`I+dw2OZ8P= zO(120?-d;#7!gZdi{;658Ww0fQ|(v3I_7}-c7~W%B|ZW>ZZc^JAn`l7`oqdi8|*8A zun)Dm9BWRkXD|PT7}ajwnkMV2X8g5zg6^iW*cwmAq4npv}^{W1QMB!zYr zm-d=Xx5^(i_$8l;!_X$o=^aCtKd;-XV6=;PAjtwHrZjEXJf*Il$af`Nw$jKgWf~vH zIlk~F;;brF*$}fTwQ?1_B_GB(UAN|6G@ObvX}|Y{AD>87ew6tde)O@bPBl9X$#krh zFHcmd320k$tl#@oYT2tIs5UEOyo;UMAr8sftKc;m`FDjAy0fgr5xLo`z9QU<680!* zR~>B)P?W$#Io=VSP$acc1wmGZH~ybie&SbgWAY^ zvO(iuENz~cDzj5`He})V*75Y@`bsV(4X*ks|5aCaV|TlQG?g5Ris-@7ZogMZu7(jy zv$N%1+{ieZF&gd%=s(2QJbEyW0!s)cU36}_DBxvkEb{wQGN zb-7k5Z*mV`7rN-m+4zW^6ZQM9RQWo3F@{anAmA@w?zdPn)3ZisGU_}Z}H7-!u=(Aj!@*4)FLK~r

X$JEO`&)os6bem+M4y05+uUH6Z0%Fnbu8(dcQn+{*^y_}_DuK;EC zT&?w6Kx&B~6d2uh!Z64!#_1JDXWm%%d|T#6D!_59#>Bu1MZ;v6J>Tu>c3R+lg^(vE zm=H5M^0CM1vYR*PG=}FfCd(M8d(C38;jUAj8w(=!`+8ucsWyPTv*=9YN+Sva|M#KSE5&m%luY&J1&2 z=`ZwbTlhCZISQILKHdVZk}lZzrp?4Q*7&a(Q@?LBZn|}B6-=Ck)ZJx(TJFJt@e2M@ zLqEs$JNv<(B z?`<+sNU%IXfNLYLO*U7c2KQT^8z+-R5|uM|W6qZT6Hh3^ZTPI4qqS+WoYlqJ=X5~K z0o5b$7{cp$1EwbB^;txp;hTTk{>TAQoWFXxKmTW3a5a*4iG0VD7h_$u~(w&s2@ zFyE8=zI&Z;b9lY7drHLgF#vje;tHE4vVZSnclq#mb9hE^?>_Evcul$ux>R_2^zU=0 zetR2w0P4ODRWpsfFXZ^qNqu}TxSd$I9G-nXyzXcKvVSH{m^c2{tIX{$v+akUr75qi z_%L#53;h~2^U}~o^C9=ZlzO?QV7qsYKqQp(k^6RNr;oA(T)4Ciy@p$vDgGSa)kn4J zDFgDU7r$>m1;2j&I`;lRX|b>KN%F^{^KaWAvU&gc&XnrE^JXqI;_Vkh1kl>qGuwl?Y$|h z6;BHnjQWNfQvJ`!A0kv1mY5VS`74Ozz;R7966t6Yyu=^&;6biXZNZF^z|DzBDOj%1 zmt-NV@dI9Jg9%H{Ua{~pFN(P_2`mP(+8zPPW+`{92`3$m<|lK^`-nSog&?D>#lepnOVJpIX(FGdpr>Q ztlg|dhe(HFkuLH&9ml$9zU`bv4UDa1Q5Rt&wsoU>K(l7iJC6mnMPm<`ZIYxnmBsNF zH_)w4(i_r384o0ouUkB~4XdSH)CJd=D%nBUSSsnwW`Pv*fHnIM4^)-E#J;{E-0`dE z9oOP=&O$lAOTFmlZ?_6bZw3oKj&*C{tOX0MK+c*uJ*0KUmhwhe}ZwRO5!op6UzkuJ=-Djw)C zU$<&b4|*LC5weagqf)bi$#n5d|%Nbq`(L17*5!A7>;#n3ys$;-w8W&sU38P*D|nOjj%mV zI0EnqeSj<4@xQLkQVg}zV1pLPV2^qj>Xwaw=-^-`TKSILtv!vtLIWE&cvL?Iw;Ff| zZgrHkaRm>-PzZR<19{N*xC<|+=K&xiX~Dnv6wW%|c6tDU&YDK5UaEuz6C{$Q=RTQ3 zWG(&HM=$44gQ@0`tU)bgncSP;_l27m7tQ`%RIGM-5?9z)vV1RM2gqYUXjqC zsCcVZsOycfKLD!z6b`BAs&wsyOc{aET5@$2^1||818BnSG!pbve{2D1i)W-Kn>#|x zA3sJ84Lsg^qixrt{B5RQ-(5JL1l&9%PrH|MMJP)X$ z&xm8QJ2e1RBTCuV8T$k0C=I1YddPCoV`n0Bf*jUXlIa3wsfPc7+fo_kL2JfG)a=&n zY8mFKN*5+goxdzss-hv;oMc&=&2VW%p$17>dvqfc@U?%h87%Mf8#CSDYCtf2+ZvnX ztWmnTQE*{06VNNLIJTtq2{7H& EKwA1I1eRp_z3N+5Kt3a8@`C&{P6UYudkE4Oh zl21@uJxaPC9C`X2siHRLT=FmNU&>e6T5sQVuQSoLR96O>M^%HSPy?qsnjV2NlkBK9 ze#=FPm%XdY8#aBw>2ezi!DERgHeiQ>N3YSy1=(iY&MX6;=>WxM+?0z<9gZg_eg*DZ z&aXu{iZp}Ae`Aejxj#BCq`#1GNA(1q^$nd?mwu)C7B3K)$b!?oA8p?5V>F7Gru)hw z_a9(N8Cc{=>A^mO7u$r}1(m;a_{fN#U5-d#L;k1i#JG#I6vXVRKE9 z``D&PJeql+?0pD+bMgMpZwHcCWFp(nvlFftTkd>G#td2Bx%r zK5Q{4IGdcg(JU;KOAcxO@q1^9)z`<(s=v-BW>S=x!4^>CcL$p2gV(t^1nD;`FikJD zYIzR(19m-gRczhnAlj(g4L5?B{MmU9nRmBjiR75*85NntzOS4Gm0)p2dG-}VMY{!& z%t!nW{_zzu)A55O)zrmRz#;y~wZM29l(AxpxPTh^y+#G)T(>?Heu5+C!^lgnVLS5v ziQp_grOa<50Va_Mm6@SG)7%7v(Mws1h(FNfY*cuh2DM-l3UXbT>lmGw>i}QP(I^X0 z$x4W{a9gKnIT67z5jv=4DrOW@PXcEXiR7a#0}*9ZG~wuAV>1>~DYVSRNV_b6t{Tn( zlo)!Mlb|?bNqBhHupa~LLyk!d#pXrHm>9DxOSZ)iN(MpUn!{tahz}@7ey2d9AGV@U zEYX0}RVfr^pu`(gA{|LHT9H++5f{^yIa(6`pruSymBzeF5!Yj&y0PL(csLaAW}=$! z&Zc%6j~K3tA00L#^*_Y8Pgh_Mqm8hcq!LZRu+)!U(yOMZBj(|W9E0YY!yK2QodJ&T zZrf~~>=2{KreCNaS8MvCGxH~$xf4qK$;>?`cB-DHO*>q;3MYN+!Z6RPYA_MF6fP|r z@{A&{e8v(kAH%JNQDvMUaz@42=apI>A?eK@Ll!a`E=2?VsUU&#mr{fw{;$F)Bu!gq5 zvZS6H6+teLt;f^qz2R!%gx;l@jY3LJ`9VT01LY3>$yJLa0N4(2kxg8T ziuSnmuf>Js=$_aDcc+&hifY=FPT9_!6CuZ{+}s-?!4!2&L$eWKKUfo3z$5fT1vvO}>Uvi88cHd}>9ALo zk*y&F;3-?qlCTFO_oG>Z6_+|2jN-L*&?`flzHIC(mB-f!fL5p%5M-4PQ&5P_4y3U0 z;+|h)y67B}E3?vFwG7famRaHh6$L`)Tw*gy(hb=7M<Z5nu0iEQPO{U6?IXn@rQ2 zY$L9jo*%Ok%fU#b@ul|#ju`?oOI6$;2Z(m|POov0`N>xL=)exRhX`=GFyo&@TG7Ly z!a5@T)Oogs38;0q>SnE{MD^jqx$)xtaB&C)6y_$>eN?G-)FGpLP9V=NgRIlhgqX$r zEJEK#5ufXDZ!p*n1lbP!g!hcido*DwaQGiJgt_hOeH%JUQ8Qy9hJ9GCxuujgLrO-d zdilX|g60+2#bP(3s4_?@C*zZ* z;>|Ni%F;>7GD&7Q#pKz<L1nqcw$R3yLlGGg0M z2ny`O=&R~*H8g+S8nYe+_M&}S^2YjP=>bw~BEs;7TMKTTyzicT@E#(pnk{7%K$P%n z9<6tm$zerg?(;Ewx-qI4elNj{BrX#3hT}MOttgP0(1<7l>^2=Ly$Ia^kOp@91eH!j z-pkJdeq>>gI5^7!=Qu(+C_qDUG4^GLF)!msJr%D2jxNL}Xp41&%XZLU zHhMf6-vddmx6#9e@C-rB5AMWtTR@Q6ZIOEao$UZW;K~xzRyCCo<-R254RM_n;8;=x z$^-(!UNhQ8_Uoj)$;A(EDrL*W@7+bwXBWUCA0HP2^Ii8be9hyck)`e*5PS1IHhFy` z>uQvzM00IJ`C(A4_ZOd8f`6nJHruB@;g212!?@*Z*nX+&se*p}rU_T?+6zEMn6>cVFj z?)$b-S(rl&VkCMZ>RfRz9{Q@uby#;-Ou# zkZxOxccQ=s&0KJZ30jBxK*oCn^;lxv*s>k)nT?`=7tn53GL=8g$Nh?udc%QzVaSW& zZ+~xsjA)y<3BbM4W;+mJGhrgW-Uebbi05|Prm-W|^|!e7zb1%!1?0!;H12zW_sL-K zQzWqh3?T@1UC{TuX!aEY9JEHZEO%O>5ut?`A&f*Clb+Ct+*M}qfr1-KZtlc12)kp# zj@S+0GYipQ3%`iLa~^s_ugg45uam@Pt(%1lrFs<>x!6JEEVj5s*^WN!kI`^Vz#zPo zN5Eu2TUG;DL5D0i*e|V@$fxxRfwICGn(Kr`X4~&9Pn%XaqK@!_$kqhU2@W)=D`DuAEjR9 zVn*42BRvn0Q9R--ieJeHd9#EPciaTxyn4C^qka_;O9*2o3E_Y%7W+^`6k5C*vK^2i ziwo7NxjZ8hlZ<#p(&ojTNTJ;f!G@C_%A%nT<@-klP!swhp#r$#knM2*G1(w}m`Khl zLFI%CzWNU}adr3)AuyJyfX_<<2&vjss*?>x9F)Z8*rHfL`N+?Yjlh#KCK&}F47ne* zKPrj1;$c`o`eZkgXmsJkCnf5wvccYcmyk^4{DS>J2{k1MroXnIAKg0+RTYyY4w_Uh z975APG}}6K(=yZtC*H$W$Oy|R4fjTo?O;FPO7vqmq2RQMEuqXYk6HGGoVc{NgAe>D z7b@L*>Yop7V7dY%h7fWd3#p*BxDPU{*unePrt|o%gOm08~pj=-{hdj-M|eO z-%X)?O!wI8K1gzq5SjF)|2gLiX2iuo1X7T^+<_WaS+42_o|yI031a;||Mdh!5rtmj zp?%tlzoDSca$g9(JWj@6;=euzqFsxT?MX>~73hZN;h;U@qJ3J6zb%G8WuRRk&{Ns^%8p`qZS^{|mXKp0-FAvoYN_*vx!(F{rF(*2!& z_*r!eJY7BB$~q32$EY>E^^dQ46RDVA;i~nUdZ{?=ws~&zeYUBF*R$BeA4?#lW^gN* zjB0quIm~!St*YV)0d;V{3NW|2JjeN@q&Kpp?If4W34XsL8LR`NbBwIID8^ zC!(`vJcf~B;tIh)K;pjk_7M(?wKR|np(4z{1S1aSaD>+saju_69v8%rS~Kzx1qA6E_|kB#({a(L zFW>9j@Qu0R{;dR2=$C|~sAkho@FOZ@Mi4DAM#_8A&Zwx@NsmX(JvM~L?R)WOmHa)N zMtiN!OY5jfq5OR4dVNMMs3j5-s6Fs&6;1FbJkXEfbzUo@0Ybdt2c~&qeo)8=p$GZ) zoE#4$za-fv9i8R)IbxnPz@`0^ct?@}b_5RLUoWSO)~KJ<*GveHc!QQ*W92rNT9_#Y zUtXVmjkYkYS&s@d%90K=E_r|$`)wbU9v6@rpH)f5Ti7?kXS5@N#g%ULJ>CQYwfb7g z1T>e}vHY4`hh=>4PLlys=~t8=kn*3a?g*a1`McfqLB9j;AD$kuIk8>|`y|<66=`JvWf>E{owm!c7EKHQ71>7dJAD*c#-(gsCXqIK* z0`FCr&Tfn(w9l52&a_uJ)9fsK>%ljkGV(?&S*l!*gHu|&=V$LS@oFz(^i2Uh>)JQY zpua_@e*HflgHC_*mrlGG{aG^`Zg!bC)7}j6%(k{0({$A(v&?wk^YjPI`~i6W(dt*v zF~K76t)VG;G+U5(H-IH-Yd^wmUTRq`*@KmCx-M);qGZX1ZWgjA-p~9u=&RhcSbPyc z2|bHLhD@wiLW`*NSFK z<(3--9R=h5P}V;hOi8AoU7AiG{-jMjdH(g$Fpayi7?bDk8BaI%grx>aD8|RX825Ec zbPUT{UDEB|5mkk6s((va0we?kYp?<-CFgpf`50FU2jfi4!>Bq902+c8H60^6G zK^}qM3T(}d)t$qWn|{(mA&jks|BX@gvl=AN3?t}X3skV=i4+~MHi}|~XObW&8JMxn z{v#Gz!A?y7N5*{yl4&{~i7Eo?<^E_p5@8j}&_j8Al$zo^Vok{38BkmpkGc50L``M> z-*sCRWt9}XyU>pc;~A=tiEc1rmp<@&>ZwXuE&aF!X1LVpyUc69e&{?s2b@WMi0~zJUAW(pJ)SoP z-TbU0q3hs`R%jV!)S7t4$IMw-+C2Thl&z>qDBQPC8nPk!!i}>`E7wd@W{qZJH}F`q zQ2D*}iZEF23}_qUe`a#-?ZQ$lcb5-+QajOlN|_WIv@&oUSBv1>T-fle?waC#DGH$G>qS{vkUOa;@G_8P9OyOPL9`&6E&mK=GS4e zW8v?U+dLliXPPM`E*!GscvQT7SoGJHT7r0d8!{eI6%tu(rH3tyoS3Dggb0xE!{!*VfKTOS0g- zGX{c&?}sScLFe@!hY!rKlgHP#r*(zy_;u{5&~kkodJp^gQvp&u*y%*;f66rOC=N@< z3!H|K;kgvc>1Tb<^(2{8bM?S*O@_UpIXb~ACL>TG;YglIHhH>57X{G%6k!l`uZDzc zq7~+%vObh=;ixI)a`5#F<3&Vltl@Ah#VcqAi&Unnv7N}ELtMmy*`s1tC{32~BcM4- z>Ii7|SrRW$6!EIG@oy=*s5RWbsLxXTN!dM$AaEpHc6%am7j*TW`GK>G1Z9MD(2$Y@ z^oPw)ChNQuS0xywG5X$BIT&|Kg7Cto9=T&yOzv?wdC>!nA%c0VycLcXp2XAj_3NEC zl72n!G3odtwVn%#_=U5ynXgfv8yemGc3>finsiV*yU;z|pZwtIjyd4gS3cEqFQZELydit-7z2*A zQU7SE2}vpYW+fS?wRz36AWm~#o!ig@7D3k0BJDdRe=yG5A;t!arjuNHQ|+tJgh`s~ z1w5qXRNuYrA=C*;p-zTe_K{gR$f>$@P(I~u)=KxVL3&RyaGr;PX4yAnY(|`3s-mHC(f>(!t%mq zdcv0OF2dSwFJ7Is3<;+{>*n0Kmva9T&M7heZv@=_-xxx-9e#02Ry%Mt7?i^NQs?LM zhB&+XTn`I5FG|YhLFMQmtn!bZOy7yTd7g_0!1MDirFRvR?7c3y?y`lF2tB8AIyZ$I@dNFhVg=TQyk8omGhdRd;=`{!X z6#iw=DL4G81%O9v%{xBQ2?@3ml;&71W9ri8BamHu1U&>j4rMBQ`?OyeBuM>NR;&2? zv$|OHli~JzO79k~k%Fwe1V{Gdwq^Jh zIs+ZZ#$Jts#c*Gb_0Gq^e7lfogB~Fy-snMz?HstVZff*TQRYJd;Rc>x&$NISdmn59 z0-Pxrru|HBaH|l;m>AyJeg18QPqRj0D3Ik#(BUnUJV1~)yoMv@pzwxVD)dGBvH!T^ zA9qNr-6bh%U=>pFHXWTgf1;!Uq?4m0In&$@3udi}9;a_TK3 zma~%aZw>02z`rZbsle6hr4r}v#KB2#u2?naZn4>^3z;z^-_NcJ8X2ejY^VM`DX;O0 z2Pb$=HdHTFGIub}g2jC=LgWOFKRQ9C@^Kx%SJM1tgV&XQIc67poY7lQYJxzR!OOfi zp0=OpVkCq(+TVxE(oBPD&0Z0Mewjp6w-_E|?&L|&+{`bVKEMrN$NS<<=1kbSgREzZ zCTHW(?$clAx+*e+zbecmc<dS>%g2OCS%L(cQtNEbo_5AP3_ar2KQNN0B?!G z?7V3^*80kb{%;**&a6bvkfOcAKo$F~z4{zib{herlAXYYM;jt5)i6aZnsH9~d$I@X zOtgFX10h6ZrO$5WcWs2MQhwRgM|q@P?@6(1RfzN!tJIXzlF z_3Sumi=iqd=LE+uRPwgLJVTE0o#B`acYn}2uEsFyySla;k5B1=(uJR`jN4o>?(SEK-L(}%_ z_RwrsZKxY>?{|?k9MPxV{U{tW=xcsbdlotW%s=NsaaJydl z)GHxh**CH22WI6RYG{TJQ~J+dGA`{=I&Q*S{jF+q)0N70+2nfnIG2eh{8&ZPV~zyG zBd@rp%0@P(ZUR1~%QGM08eX1$*C-$SUj4gMgP1gLH~8`y1&Q?wUl|CM@I5urb<@{U z?#pcmrIl1|Ej6GusxC;qnvl7N!IOJnU~{h1RC$}8#h zf+_(*bCAEIA5C!+KjmkR>$p)Jtv2CLd^A(*vg>U5gsMuZFli|94p+=hDirmuf3*yS zAoo4Z98k|4JG7KrsrU#6-p74d!95h%{mP;>`%7VuR(NY|!XtJ!;H65gzWz^{Dn#vv z*?xZi<|X@g>`iv4Ahn{(trTflog<#!3I~o!EO+zm8;|DSJydoG%E6!xQH8LpF%6^Y zdVhlCuWjt*fy~L&9oopHj>kzebnVI0atSOnsnIX7!Tu>YnZK(zEe`c3gKBosWG(AI z@AoxJou`ji9)~%8^0HAll{*BM+e;rQ#`Ktt4DDxn4aw7Pb0NIl0$Po^_lYj@#tElx zLh?zspM}p2;AvzT#NW4QL`@(iKs;-^his$vKFlvPQ}>P0imUow2ocu~xMsKwO633LIGedsm{{|iGGS%){5&)Aif3k|cf)?JK%AT_pV%*eNXAF`J zU+iC{eJOC5H&A~vN(*Aq0@cLg#S(pP1SK-Vc(qH-_)+37)?#unAe0Ny% zeLdQ%gkB}uM9iFKl(nQ-hQ%PS02=v#D)tctb9`6FY_-oB|B)h&o228lXr_K51zga*nqa2Hu@)uLTV{0R7cF%@ zs6}yNf%mOZEx=V{LA-+F>Fj>Lf~>D zG4%812&Yu0#lO^;PRR}zf=O2Mh1Aa$nZDIyJIWH5?!5XLy*Ljb7VLkh-!m;cr^0(# zpENqTVKyXo&Cs^v!@OkhL(bq^pR%@C@^x<=spB$R_)+)7qHTBI>4h?~#edtTt_sDA z7{6GI{3_C|@X)ZyPsHMSoInw1eT3=5plV#fJ;tB*RR*cAg@c3SzF9sLh}};RXmnS- z_C5JS*_^0dcE-oDVgIJyxy5g{%YC6eb`wuOZuwlA>B=|T=mN8~(l?-DYp_LT%y#;$ z-`hv@YYZ-@Gk{i<*{_M+3*UAJCt}s<$J4|x3Qn^ACxvzRnTJ2#91)Rv`p@3@y?iUerCcR_^H?O)x#^LRX`WiE>TL=hV7>IIr@^L0*vDA-6}vgKT{}bFM}O;~iUV zyCbU_5m}kREMvNRaz(+88&PF4l1~$uF>ZgEm*6_1o4zmUM!cdElI3E~f#~&%b-upf z^6?VOw>1vr(tr8@;s5;IlQw}4EC2n6^T5c37_udoQK>uc^~o0-4L^+bWo}G^Rq|}3 zeWkpGmA6_~#-Y60L;VT**2x!KX47-tREmi+<)G|&Q^86(DpRUA=FIsU#C3u>YDIUu zh=x2M;!NC?e47N>rkA7hfj(nfMNJMik9~bFu(9Snzser-@$nPT`}-*7pW2Inv`8kS zW!|LD+&41Y>}64}QZhc>`zs>d>i7^{pjA=(z4$I1diyA;3;ztvaRmfy7U_XMT&YQq zIj4Tez&SQLlA*j7rxWyjw)h#kF%sT1B9TMu_DBz*N?gSi-rbJ+hX)w;VzdrN-M&3f zP*_H+oaa{%x?3V4JO@Hda=Cl3!XQiAy}u=moFv59QtlNQmy=s3Wx3h@$hieR36>vj zPQSj4#SC`Jkx-^L7S3;XN$0v14HBlli@6lrLHdk6BAZzVUCF#2ndK8+Cqi63-_1XT zd`888{EBvYZfnK1q=*t1;|b}Eqkm!?$hirBvcm0gnLdka3{fv2@RP9gnfM8IC6ZLE z71!$NX82XRT6f39>O%qG%^{*@BQ6a$9GMFj9sbp%^5r>9z*G%$NuuHP7o_ys!KYOG z)wf8!RpBlFCwFFSOB@C;o9@x7exr}v!FDD7w|+=^Y|@+tH!`d{SPEEG!kiX-YdfZE zR$e=0lQ8seC8HLcrFQoSIn%sHh*9+m0+9TRJJRb#tOZl(JU?1vX&ZHu+ zk&7PrBywRpK|uH_Rjjc#VDbc5unWf-H;rW6HhSQ@*=+YM?Uj4ot7EX#A37gH1;@CM zFH6Ds6@#SH3s4S{dwPaMN=1J_S>~_qMar7#0ww@j6yM}iqE$P$mnhh(njryTD%Bro z;c#;Zl1e6W}T~)!##C>YEe>vwV#w z{nIMGYnerPsRYd6r1et1@|l_N@&;$W*e?=}1HSFf4*Dgl65EXS4cw zTTw^9BuV{?Tbon{S#v=3ZU))*r@}(sAWYtkW+F*I{#3viyVJzn@>2(42DQVaA_e2< z;WV>sgij`U-h6c<8EPs?Fga_IHK@v!wfm@ zaBv2c3rvm=Z`=wpJ5_Qlx_bL3^)TY{ zDYTlIHa2I<-UC^;C zwm)4PdHQ4STH6BFtxf2VnQp6k^SJUfTBNdit`X}2zx24y5jLKs^<~b^^u1tU=evjg z!RWLy2Y6}$Am#i`e1@~8;T0XyN=yRJq2hR#gLavo2W*J)8>uh=I2&zH) zKeK_K*)tHxm#*<7Hh+W_)6O#N1R0KTbR0WvFIM`hB0wbJxV=F1@YMI;fM=wtj5hXSH#z|p z^a^bP`fmn`DO#)0(qV%aZOj8WQ#YN}+pl3Z0^Iv=wDTHRD92&P;G(=q=Ws1avxuGf z>&CB%e}A6{hF^TG;LC8sXR~@!3`c?7`bjF80mbE&3=;@|4N!#^f65U`;%{JS-Z5nj zK;|A8em?0aMjD8?fd5l!K&|jYsNUFXrun0E;WrUu3sb~LoKH|`z@f!->Ep6VuWr^_InhRLVn@4S@#= z;j{C-mfws(W3_p!?*BjPj&^&ifBdxH=fMHM`^~TdmrYm1qc<; zA|$n+4N{9>=?7W8Eksh}+Nqp3?m($k70*6O`+A0&kD0pjj0(3~S*h$&h!f8NRrQS0 zcEC!C@s8{C(i70}tPvO!(gtiRtet*wg=n0Fh&yTdsP@G2PzmAp@sVR{o+d=;&md=_ zl-*&}pHPwSk%ER1K4C?a`j?w2w0o>}g?YPTMVI6BLzP=FhT$*w1Hjnt{6Jv1WV0{Y z%gQcpxvIxQ1`bhO9>9vGyh9}nWf$H{@EG6KFO>IRL^D1!n0g87DD)Wz4+`{uEU*@2 z_k-%ke)YNSSdj(^dkh!}w$XhIaIYW0sf5blkNUjgRgsQvncKJ_qzryes#KJ1HdVC^ zI4jD*Zd=mByMpw7o)W85@fhG(Ql$E;l}p9$u*(jz7xg_&EJCcRryy0eGj1SNb*LQ% zJt>sU>#}{-{^(ahB)2tKq-~q|mW88U z=%h^NXD%qOmL>Q0SHOL!h7a&~h)&$wKwX_qoNU_deGoM62g0NG%ukYG z)9q2NVMYs;!xN-=j+r;QyqAJ4_>@lmyx(<2({7b#XA3{ySaB{1OGa%u^HS!Q567|o zBpoQnK6v>NlZuXh^&YUr!Qcy9c-*;NNZn&BcjZRw()BO9Hnfnp=6m=8l+ zH@3PI#~exV5A2T0^l}}uT4lMl)B|9owzKEfj?m$sxjmNFK<+pm?6!v+e^q{me1R>_ zAYau(CMjvn-ykxA7w8fXX{76J1@wbY-7S(nX{p!dHD1H$8096$ zHrqvzdXvdvyn^JtyH*8uok$plepiB5a3{>0VYk&`MCiANs+Qe)>_38~u-O-`v;I;^ z^|Zb?hmoO_vIj}qhmdDb!PkdD)_GBZvq@AdEIC)9341A&5|X!%D>Z%wp)#mpuV`TL zR4a##f^W=8zKQ6xYz-TS)yD+C*uEvCb((6+mEUi|Y#2!x^*$xh5~gS!l{#OJ^6j)e z+Z573+?J>XMQY987K>i3+z6QExy0eE6fvI_M}*WZP&eG{IJu+~h5}loPcK~SEA%ng zjCiQ7Ie4MluK`#4tmDf=0-uZk5S7qIGd$R0{s&GEpTFP)tm7by z!rAy5g>rZJ`#n!?JcQ@pS}a<)Vaqk@%V2bH(Q(2r3bPclV?Nc_X4N+5lkT!{g}*IN z25p!7xw}^z=N}qZNR)A`k>q6{o{KkfY8@{e8UCHuD+>R%RCB{!F@@B!T zd*GvOe1bSlJ2-&X^0?ReAHB)l({EYO8E*bQ<71U#^g!T#OhMX})~}kZDt9gjt*N@V z?dCg0g<+#^03M`ws)|)wM3iQ^g7*uHNV^?WRzHP;^gsHPsRGJPzxv~$HO+*83e|U> zKQ;0&klfe^;&dJ(vgRhAWEGkOI1D_wOTx9~a*e~aAd zkIOA=5wnw28sT}_thbVh32wZ7glt`$@@?b5lBP{yp@`^qetLp=xwwU2!qC-@ys^kI zWxoit=p69DNR|k~w^;8*jQ79jmVI9<0xtgw>F@&7D>na}oeUgig!d^&1j3XmR zJ-D2-(mADyrt9~(^PASE5Jq|aC&?<9Y4@bO1<1Z%Xf#qG=j&pv%0h!*`QYpH6Vc4z zbA5R@v9P^vi?!N!uN?R}T!m$F|KsViOhS5lf<9{!M8xO2T2y`##5wE+F48JkusxHe zwleOQz)FEP2_+o9Rh>F7P5q{(;c{Y=kCTJTpb_ULe`7L_>ieK9CAd@&f-~#s<~UT& z9S9j-%Y@4h6*BhYzb#$iUky;M!lKh5w&De1vNEApv(C_E+P#79cstD9A9KXUT!KZH zbN9nOx0KRuu&rO=CyIL#|9NPR@Ivl5m_3mO$U|5;#iWf zUQ8S3>OdOb0XQDOnKZy{W#dY7xK+`EkowCSeZ_AJ+XYWwQn0G;sA5L&=r*Qj=Iex8 zTyq2tb)GD8YHIjFRsci$TknJ=lXt8E*#t>bfV|>j&jPG@9M|L6XT2?z0qWn|JHPwL z*Rd3)?Az&~oI4rkjWel6AXS*QmUsmVIJhm-bXx_3z=pOS%~GZ0vLqMg*E#mD3T+9=fc7~DOT(`C0T0pK z9BRFeRT)e}JFnbz5y6pTIgzJO{=~IO{9^3ij zKa?y#PGUIEzxLuCkZ>T-APpC|W;q9mw!B^F?G;hgDY^4f!uSU!fspUudv=oM7#U9b zKGh!zzv@RSUHm|j0dKal;Cm3c?FnZuwY54Mnx!Wib&jB1&QW^QNm7)J439Nfv;vUH z=k{;5IO4Cbr`K*YU^E6*E}=mWst)>bp_d8NQ@SAjIW1^$Xq|ZF-laKqfaQ1HWlF^P z-8KOK?9^;*fKR!xLmJ%ZqORkBc0K9072;jl4~p0Tz1ArAj3Jh%3UZQ>&kwvdAk zM<#|Zn%qLTMlQ9q{Ci*rP%0;f*;C3WOvQNNy_Cr}r+O=pa+K%ZMarjHGUeic=Tew= z0czG)lkD@x0KZ_~+)=Yac;Fwkh(*7F%aij}CD5g4PSQ2UwlhTrZ#53YOfdl%HKtG= zDtT1}bg01~&I@ReF|52xpl9bjukF}u4KRT~haMpZl>#BTxS)&^akOdfG;ro3I?NI~ zd=e(*S0CCF^mJCYpo<%8;An-3nWTRkMyIR3h(?|XV6^51~o6}}n9&2ikaX=6&iGGFpOycAyj7Yid^oa$@Xztxky z(g(?&;&)|8YOB_mj`{;nU*2#0)q4vytkf(@nW}89=|ohWBS@AT=lsi3SLOPk~1@~;850KA{2x>Lc^-!XI`kaNoRnz1fYib0hyb# zzdGz$>?+!jj(F$tE)2v=({2jzoT7(L9JR$g?prY*a8=3MYwy{q+XWi*#(>GYb`rAv znxra`s?kTmNRBhb{oPRIoI!h#zz&X!Ka!6r46i4;Doq{Un<5?PQ96TG83a_@t^i5(U z+Vgsw3q=ZT3aEArrt^ypI|DTIPGtlidSM^Dc}f3Y)?q6Cp>KyDXweF!j2VrhSTH0J zY(ZLpmc~@36CvuXaMdbP|3J@UJ3Y8x%l$c=IJV2*mFjM{O!^9G?4{nD;ONX4+<_#_`{aOXydolyT9-On&<4Vys{Aej()1R=$(z2w}U zDKGH-7ky$lhms^B9k@w9S(4y&i#99ui&tDH2!E0Dy|eslLmY*nl@v@d%vtSJa@iY? z*E`IGoysr1JdCEM4k0Iw)5_QCjE^mcQ>)*=rkPuN41c)VO@WrC3}!aKvXJ(N?Hmfc z{8(beSfT4D3Mjcm*I8gYt96lS_Q78u_rrE}@bT~8j@OMSCaL|Q2W(R$Jj*FET%A>M z;>5`@x}C9V$;!urAy~Qr>8-j+E#}ZYS2#;R&$-a9FX(H0G4~PFZM}qcZJ9S!{{tUX z8O6B>gi-uP_7`F!J(n~Z%FLj8&;x6V?e8ISOWG43U{$)nVfd#`j!XfnQ~RxnABBsc zp&iM^m$;f)dJ?EZ=#B`Y4Zt$I;F=K%$lAl>Ze>L6;l?N*d z6Kk>Ul85Ii!%WC+A$lNck z%t8(eBlbNzk!tq@iFtpMNx-+mAAy1a1&Bi=Z^U#y59tF-61C}C(#jAT`FC*b!9W;s zgV=Rk{NAChB$&~UBe%l@v{pO?T{CVP6W=lt(VanM6gRY_h9tS0d%k}a>=2ig07p0QdIY7M5<*GmBbN{6iD_!JdPXn$Hup` zO!rXJHEbtb9VDhWZ#v<%t;y6rK!Ebllllu$eD+oN~^ezSdaAx8>c-QEcD zi@5u`a9-;*RB^%(aOOT#M{p;*T54ye!;61}aTfxx95kC)K+ut1BI2p+D}AbJ08j6> zIA|!Z#eU%p1X#`3zH5i6*(X-DwJo(o(74vX!F)eDU=Y)JJjjx?H%kLdOq!n|l~0h+ z$T%s9Als$&x47i^(NCON#2zT`OiZm`-d@IF*g)|@$sC*YHA_jQRejdouVQ}N9`Qi2 z*5NWf!C6EEL*}8{e;^|H`qb^+86aP)uBVNzbilYE^RA)=);{8N{Xt7U^KIz4E*Q^H zB2{kR`TN{0OTT@^qapt@3eRPBj$?=YJtYwCgNb+-jg?zx_X~l7u><4P?BGm+MpqVH z<#J$4LC^)|8vel@OTWC_4)~|D6oHj5YZAeEiMHh5vj=0AM^ynlesK(4tgTA+bW6c!)VP{{ z-`!+Mf{jMm*0=DrO2@l80oQ&85&oO{W2Q6YI9{www=73kepU@4t(Xi-5moA2z!M@P zx&1eiFs-g^2>PTp*hTb=DmU)r@WNcQ$R6Pzt}Ua*rMcti$4Q8nYxSN(az7*{p+q0N zdh*oDlj?Q+>woBJAycId8cLvU`$J(7Kl^`Bb>2Wm5JQ@|8@k@}IiUrNf>2AmmZA2uJHso<++!-%Y9`BNI(Rw+B)fo(31S8%13 zeyfok-A@irNE*OKZBW?lMtX1x>`8fFIVitrpe<`R|k{i=8F!f;R@X{lF zz^UZKwKEN^r1vq?&R=|aX4d!4A%HeZ`*+uGv?rGoU+z*&XL9vFKcQslCjiMi#3%9! zu4Vkt27Oyx-}}{Z2E6_AL%4c&Joxkk#g4xII*fWgsX^#G8`<3w5l;a90~3rj#Ivzx zi8+HBSWW8`zs4re=%o=`H_cIArS!J%Y%P7BZs zjGvL^ovXqf>vyCf1(n|)s;dtb_goO9h6q@saGvE?Hg#e4N%TqN*g~Vqp4rI47CynX z`|mu%K_`RdXS*?1LYND6`FzsxR6kVQVk5Sf%ul#Mc^Gvz=0vIeW!xvqoihQvsoTjh z;wyM@8)SU+y4lX&Gq1|Ex&4JE0M|ukA7Oo`eMS#5JC;$2mp@UeH-?Ny=~WHvphV6D zbL<`E)%D#$9l`H%4$mL~Ze)}zzEFs*0CAthZ{puoK zk>0F(zbPTuO<;%(v$4AoIG>(qI65TX;Vq8|be+hG45METSCES5J1@9(V|IuGN=1g# zksb_2&9hq@v7>b#j4V7op#Qs*c*tc%hCeM&(_IQXhaZk46`? zA4IsEZThxdb59GoEOQnj7kB?A_kt%^tqbV4!(Quq$M0N^)nK0b2uuiw2evaV0V^D14 znwpYTW+8@O`VbwN0e>TWhPSh-1OIsIsyO7jHo{EtRM93 zmFKWINENr;RGxK6I`qV7d|;9ZEx2SYeokP}d@lw(^nr3`@$Q!e4(NS=2ia#3+ZFJ2 zE7G|+V-TDDDT~0gOu3dsj%xnTs!c?>w$&{@bl3^!#M;aYIJa?Tt^MH4>hGRxr>k5A zQ8-s<`pNyU7aP90Y^}PA>wl3}e0Au_p`#iOq$QU?-;Zs_mDvx%9AQG#Pj>l+S&Mr* zPF8&qZ$0RDe|4y}(xJrtKwhI^ylxq;JO_FXhSQ|ev)>C&PebV5nE&+TG2txmHmw*x zFq^fhVBwRwtNE0r_#q^%#mn(8;#KgUn+^cYuo}QjeCzoKoJCR0`9&cL!sbu@EqCUJ z{u3@XJ>MJgfD6}|)-YmFo6~pR?n55xc02jFl@xR?se}3498n4LCF;*Dv$x9X`4GD$ z@E`!JO?ec`p0Lgav+6B}FU>TSDm01xjQW`A zqVrhF)0FwnXTe43zAn6=^)-(a;%UvD?nmc^_vL1^twT*V!%8K>nDNZ-y?kMRo{%i* zYi?KKizU*$U8({XqS0gat~k$9^cY1o5TuyL)ed&U_jYDYtyWolox3m_o zo`@gpkJQ~G7~i_{>5l#tckaulOv=Ve=Nrk&EF_cu`Ap08+mG@_+<0m4{GS~@JS5bI zZ_bC_@3_K(Ol*96)4;ObC{}7RR`l4PZD7p<#~s2XeD+Joy)$_A=?(-uNC< zlDw~3zp~uaIY^75#n7RTTW~_abH-g-YjZSSp);H*2Iqz-W}Ug=u$c_17`5AIy6}QG z;4d&`^^z}dh56Vm*Pt9pmzq7(^zSH#qe&-ITadjpVu=}xErMXGSlon+9VOh(608p= zjVQAoqnb4eOQiep{WY3v_IG9hbyzyayYUL4*dkjH)_Cx7AVKtovl@{mnJkgrE4uVj zY@d3lf`~AN#$2qirSyb)HX`Q5tE6=UHK@udQmWra@gCA**o^(KWG19`Zs;FJRPFU1 zOGWU`AF6tFhbcRfj0dOo^vU3BylEf0pN-TfpI&r=f9dzdy%TwpJ}^#!Yru#-hHo+4 zCEL|8&a)u3^Ch#|TaRvPt>fBVmcd*1AC*?I zgQfpGMCmsr1ZO+&$&-x~-R1a?#-2WDg~CEiNxE1~;zq&$wJ7-iAT}Yo2cBo2t#4+P zZU5Ky+z!D$ddcPb2*ZwR)+IAl^;zC%LEN`u|LMe{%L#!ti7us#a0B8sU%vB$)!SYp zN7?rj-IrM1ifL^>t-Z?oOVGv$|R5|1=W?lXKtJfsi=hZ@e-BZP+)??lX+%yYOcGlQwzTaHICU(+1lq}NLS^epL^lo3{bVnuohsk6al==hdsN8hpk z+wgye3<)2hz-h;SPOY@)KX1c-x}c^8vqp7%mltd+Ertb(r4d1sZ+Gtdt`*en9Hd(o zvC~b{#nImJpUKA+sKMP7ICPn=alP6nVc*AkIPRox4ag)^m|MpR-uq^x=xL56NiPk4 zd3X6>K@1iDP}*uUQQE$(m2~B8FJV*a(>kC+Yi=RrXZdl49^ND(azJBz@^o4Cyj?wq zUJLV#mfXT0#dL$U24&IQg!{G^{NxI^jW4!Wyzv_ARy=hP?*r97e)>)3EGRZV(FUF4 zM`Q>1W$ikl%tvI$$7YAsJW>Df;UApRKA=QFxP`)L_@sjBR>_GW!KILFaSB6;<~%z1 z=Vy@YY&O+N;vhFw=tX`tQ{}y>=8C_?sQ(8-!Etdxeau6fV$6w$GVefm|TCz-uwALfyU!3 z)4ra}0&(f^s2}&OsxuAC@fMS>{xSc4F#z)^Wkxc7scgG738aYNcdR(Q0wgh;#^+Rl zS*UkiuMPx1(pFcv@AfzcSe{Is{~kX#r?z83=XkuCM>aW;M%J+D{uhtUGdM-) zB?T=-Oh~V9IQ%?}Cu>U`yg}2UM>X~HB}Wn|kBWx)!p=L@FQ7}oRh?mkCh>i^@7qa- z8d1~ki1l5JVqnzS%{7N#^DCC8PuLVRiA!QAyVFlZ^mO-V#l8LWt>d#LJD)b=&yEpuH~ijAimvY`|G9kHtVBX(#4oYY`S;l2 z4E>N4&za56YoWFBCi8GoieKwBGKM$`iA)C$~D) z&dStVTmc%Gphh#yc3-!QG|<%BOQ?|`^h`IF0C)WYA<-o^nBFx#S`YP`r+daxZ~xVv zdZ?$VclH!iOs6fznb_#v?Q*jorW2p?dWQZC42|LUNpucMpi`i0v>9A0SFhtEylf@x zQ}hOn7FIF(>Ljl1X7kmXYzR^Q!=i+gD#cxs?4h!GyB6d~RZ;+R*nlPuv%BfIRy3E) z@S9SJZ2LOZFWc|5yUDM{IT>1z%C^x5+SEdPDFH`B{jQl!^gf#xlOJ1W3_g7C2h;LF z_GvIYQ=sL8Es)OY&1Zk>r?oHor=fM*5PDTgARczJ&)*gE_O14$69%)Re(w`zrfdgW zxKsBP_*v^Ly{R$K`JmTA!ZQl4N4Y9Vyg$3;Ib`^sG)5`WR|CL;PuBB7ANYc}mL2AiJR;5PwJMy#yps%npAG(=Wj-5oF7*k5y9z_CX=9+Xx}%qbGWXXogF5l z{p=Ug4pb$2jV-$H>>LJMy7&@Q4p7!sGsc17(VhB>zOA12X9n`2rt`j;eqh%4v*&U# zvwCE!Pa6s^KK4k4eHPIlpFuc6BNPjkf6ckEL>cq%%~6Z}^ZK*KMoyJN@b+>Pwhdh2+kZp&=^X z+Na5k&tZl>lM5?*yA92dI#k5xkf9Uhk1uYfjq_>z-q155_P@T|m=@{&TaaGX=68~> zIc`*t03i)$p&0+C{@~3HAx1lOES+^4S?xREK#iHqk+fGJ;)N(iRG=v$=wqC#*KpJm zy2q2=&&vaygQtahpKg}3v)$~+I{GkXli1PRZq>pD`tV}+j84^BgnOt5^6{uvHTXA* z-i)2^+_3oNCngd2W0e4EqV#X}kU`TqE>aCZd=aw z{DpJ}0;&RGUm^Z+U_h&ftA}$=dwgq^%wapd#&Mf*?OA+bl}z%Na?)n3xAdbtGr3@H zHngdE@?tsgYZ^%zD$ZRQw`akusFQJVd`CNT6%B*vxH+6O;zfuzvSHKF9jRno^ILc! zoF|z1ed5qnVK5`e0fDjUl15GmDV}bTG_Vl0&9K~xMcZx!EMoa}tXvSNI!>PIN{ATu zLO(qcu{wT=WV^I;ZitQ ziOJGulIVxIxmo?fDa_5xOXz7rxp)%?{?-ynRy59q1^BzuO%~3;P`fFB=<2VKSBDey z4FSlms{do+$C=(FLZ3i_OEsjzVmlJA#u4|mvG-X|f=lHWQU65@eG%`cUqm@%%%uvU zJ~abbhNl=`XV)<4(PeRS^5rdT|vn5HBVw-|46C)pfK#mc8V4(>j zf>a2SU4}-2Ig3izHpWceHm3Lj`xnH&p!x;VFSr)jfe-}P|E~P|7xM!|5EvCjDC6|FMh!r(kwRJ1%%`c84 zFEe*r7d5}ctG%;ek02No-%6bzHQCEc&_F)zG(B3Z?B5%am0v-7PgqMh?(M=q&bGFZ z%Y*#}iP&peScrN-u3jqIgl12VlDbK$kW8r6U#+8OJ2^~j7vKcS|lG~>nnY!_k zXu`sHSQ@&Z?lkeeB&_0&4=hXTvi0vwLC8Yt7dfl?T3ZQL(_8>Mu4$xGEnf%jo)NWv=3taS+>a( zZSMqU(&QgG?hQQP&(0aDTCQ}YtF|F|-GIp|Dk}WV260-Ls;9^6`J#o{xRsfEg-~7t zfU1YhPgl@jp%1vHOvmq?zrw8*fRT(QUAbZ%Ci53$c$q94qjPHfFIjiaa|ZMFw}opi z6&>>7dt)s#x&yucbl2LdR}y}VCj||5c{+YEl1La4*utYq< z?MubfYR?M!4G|(d&^DL(x(L4Rq!|cXYGY5(1^HkUm`M*f)ufUrhL$YsQ3^UK9DE;Cke!yDkl_R;|x<>^>dX)ciE$KalEwCY8}$G`5@&khAKGNk+I< zvJI3_cm!lol{?S9gHNM(_LmS=LAO>2NcgAbJU3JLkiDXb6n|)4$nDr zY!!|AgD&!Ta2r?oh>Xvqct9r6vFVvn>Q)%QvOs3;$)`SkydhzRJAhHW@hmE`8RC@K zE7*oV+Q=t$Wr;Vb7?Mm8oIeJCTw7r8tOgz;1uvn7F+KmKD3jEcdi~8a=lnR<=Y*3k zuV2X0cXu2H^p^kbJLP;h`ku^D-k%Fk^!Em8EJ5U7oUR}9&`Yaob&T)?<;$NGgwY5O zW(J4!!kT3167?jSa;2AkLU?qIveV=~+C7BbLygQsc31-M>`8;wTQqIXaSZ;z6ERMr zku%Bs_VO-D7ud);^}^$(U0IzxNiCo726eqfI zcLD#QmDL*O6{(qa?w!&vs!|UT;GBOq9OC7 zf66G2&J6fSt|B{`^Wn@g75A z)E#8!I9m&A#?&Q|xw~L2$_=>%9Dk2b50Ui5Yzs7bTjuferU7@Yp%*?^W0@#c##--_ ze%H5n_uIoTTRwa<0?9|N^dI<{blblXQhC=i8xp?IuNDwwsAsgl*@V{(Jt~4=CkV9@ zpU2W~hs2H_2!Z&U&=?ACXpCOG3{y!V-QYuOP#J?TMX z)Mgs}G+q8}4P}{E}CNr7aS|?I20{S?g?7tKrgAQf5#uKs-YG`y;)9JI97!e zy-ov{2ggUMd<=+nycu&Y7FTm@)7Us?g_@HdF-TTt8OUe&uPRsUYg(mUA|S_Kn-6Ys zbx({L3C&dzL0s766~~c7(1fW?ZjV*?h6Q{I3EMU?#e`f-u=a@OKg}~}uppHI;ad93 z%JGeqxzJDRS+F?rW&-}^RjoL*H&X(R6g8#g!MozfS@MSVcrXL&=v2T9sia3=DziFO z0Bzu3gS0<;3SQ&TxLSDND7cLEz@#pbYUevtl~GU9%;YM)0O=K{ieCiE8YOdZ$qB-w zr2UZMuVsTH3`hR^iwF#<|Kz&ncw841vTT}K|HS2 zkJ@q&X5MgJFjq|C z4LRGqI~UflW~aD>M(o6}OE?fCI>isRi3a$@yg>n8iFmQ@5|QbKJ-q@IMBkXVTM>8D z3@76Oe>FmdUf5W7{|@inn&t5y4DD-Vd2>WPIRSnBQVCz!tP}qlzd}0a9o-~yFaU2W z5XN#xvZ7M$3AF&Cn<;w#&dMpiz6b7~<^s97iINNTqki zout{zRqolwxc>RHszw1<%qsa`)$h4C^jZR!&O~yO!P;55t(Mg-u?FCVB<;qvv%Od> zKkoyoCzv{k?(0f|5R(~9U4<;peSO%{18|-~TzOo!-<^<5-JrPTf+Z|3cJOl{hGOAy zdG9!Ivz(&fSJR3ShX5xHNo5J{7Z7u}w(jH?<`kse%NW2ZL8+2uLSG9x5YU22QsVU4l0IcKGdhQ_6Z*mK+=?#e(KJTZ=u zzYKa;bI+s9NlRz5?*qT-qpSBzYTsMY>B34np@tMqqW=f|%pZ)Uk4~+_w#2VhDHiz`~LcN;QI$HvKNEO!}jQ^+$Pw zJXZXIu$~t@VU|&?gvJ6j)ov-gyF**(II?ho4=0&uEt0JnW7k(GE+97KzyREJ+F&_4 z?xyls%F>mIoe<1g=>lgWNIDXCKRhA>a*!06bz|Io18zk>c@6kRxFPKRAwKkfV9eeDMN03GW@EY)O10#( zmJ^!}AmUA0YgC%LbY~@F*7#x8fAmcMIi{qIG2ilDj+GVp-!L}5G3GYE|J|FTIcCc8 zyx2GT<&;3(Ql$TlkpBON$9Z{ad(P}y2#6i`*m!k$B35N@n`?I#*2N_6QUm$GXM0E@wKk+1a>LDnQJ6;lpeNb^BEd*1Rxix?Tx=in+(c|k zf8>BR3pnd%lKFZExYHTDX9Ht)T8B)JcZ-+px*okeuIl5SY+abQ9|YUTP*$CU(wCYA z?A}my#jGn!!~&%UUm@xv;cXsF#jm)$uD>u==Z-bD4W;+h z>bgndx(ebd50+5f|C-`gR;UeQ0iw$ik?Acag_^H5+3*69DZHZwKW}Wm(ayCX{gr0a zVE|5lRF{b40$x>GUfHsiKl@SDohpwpEst0$8irthK{-VjgM=qQj5QTx=_Y?aqH7v^}YZe1?Ul$xBW< z8BffZb8=?LvE^(FOLl|CW$2A>J%m*K5}Z%kL)zbh#q>wa$`SFWJSs=8<6?^gzd!Nc zd_eM-kZ3DQk|p^gcUWZ(!)zk|kZKY?NGcll-P>Apc&5)n)Bia?_fXEv7o$m1A%Rdf z9U|1qeu;l-V+As_~CH~%NI z<1C=E{Ms_O69sYrGwdyvW2!u1P1l>e-sp@K)IO#U`HHZH1FbI2@M*K1e( zE~}KLQyXvo84NE#y!}0~TDD*lNQ^6}ZvJi1uu`ccGQ@YTwU8jrq(IAYnlTxS?Vh;cUB9Nm9O2I%OjxGbL;gVI{v7p=b8DWI@_okxrNSB7L#;|_z1m+E zSO|lbwVIQ+ogbTm2TT|a#6Lf?H<{Wy+1pLjyu^Z4t27u6>o2S;BXuvz52T-!{${2B zWS4O3K8IlRFDM(bEW|nRLQuELQThDmpsh!I#ajFs+p&T)JK*)M!|{ zkWy`m@ST5h7cK*Qfd5w)Ke_95vtyxUXqfu7x^wM~qXfniId4itb;2U@f|yn>X*ZSESmR zzOFtS=4w!>I$lV3E!!qLRci99jWwMvutT{A%xy){vO4UZLq&K)lo0mI6nK? zJdMz()J4eHez`K6pNZ8rpqluTV=Y*_YITXG$!S9Tr{0xS6Vq7pS)*&^0+JhhcHrEr z8t0VA@qJBeZFSrfd;Nq5&sGUL&5C8`%BbmGp;Dt#$)OD0P-Rl?F>i*~gSTA;B!*>_ zYyPj&lrg#%h0;y6L7&n{6y?FoQgr^-r=LI@A$G0uWcUfq%3r0dWzX7O8s^3bNrq_8 zUewFTtT96(!Ol$#bWh<&x>J}V$3m&ZtWoA~D;_X>>1`faIjv0RE01+=lPNIX!BWRF498p`g$pfmbcm*{|X-gh9la&c5q2L67L*mO4p=t z4?S8L%lnsT-mJ^3`7-C6bJOcgPASV9uz88W#=KN!O$45I;D05j}%a-m}GOy?5tH*I_ zWIEP{qpbS_iHT2Fe?rB^F#3=xCc;=wrCI+&Wcpt@8^%XvH*ZB(gX*{?y6i@m@;SqM zhm7$O_PXy|n6IJsYc6YDEiafNhs~mRlk1*{o8mDPrNmw{hqcYhS*)^_SUU`*3MKx1 zwY@mR1V2j^3iwHAW1~ZzqYKE?`|!>McF;gCY+hN%$!66l+$k2-&wXQqBawT9jU-jt z&Ags`*qb4oy3G$K2ZRGIn48^wK8GJuhwlY276`Z+LRp>i^6ox=>9Tb0Z~nkC-z#|c zM=juhQlj(On}~b^Ij%&nry~e%tv}t&k)u5znQaKKvVprR?4*Lw6GwgS4nxwfp?`j= zG#7l3%1zklR7~IDyh=>3w!99*H@o&9leQq)JPHQ`qp zr+!Q5<&E}HnN5gOAj z%&>x!>)lg^5)tg$buN1j97JbLn>Hp9mqWZ(dCFpxKi)1xi0a;t%fUbMy>)!1r-qL> z>xPuL7>C@Ri*9$`LOnm*LEoFFyq+mu+xG5G{d|9sd0N}TMe5w1JIFEo_shXYUhdHU ztDOcJq*WIw+1_z&gs4SP4GT3kIFaOv7rc(QR##~DMyr?m-_OfrUr-E%YEDv{S^z=7O{ndPU~ zbJcr^oc3$qh0*L*Qup$KRqjjsOXtwEz|KqBy&k_;mpWV3=VmwU+7(ElJw(k*pzITl=r5)wn-b8yGp!2^xzq=y#y)@DNDV=F4MA3N2 zfc5mXVgt|k1SrHnJ{ekJ-s(~^h;&^j`oOv5;uZH4099X#8WX1&gbmYAOu-D(v4(F} z7R{K2FlnkOzyuR6i3hTGC==sZx)Jm^Wn+?ifE6Y+Uq`Z;rAsK;IP-5Uh%z3iheL@_ z`$#4DO7w-YMQ)%NNl6oDV@8%bGDL7u-9R6Aq~(S1{4T3J92*))xiQ-CXMrL?fCopp z2xGHYMRp=SP6QQk;BT3leLXM~Vt~0+127pIB~|}flR3nX5J~7|EB9gJ4AVu2TjG$p5|31PN)UV(3)B3 z7U0nfoWEr|F_kCh0u7EZmqtF2TM!CVWExRw3E9XQ)H55F{i<&d0LO`oilDEXO~xVn zJs+SDz{z~+roYwo*OPhi#Pe~&-$Dv5O~H?SH{Tn-L6PXfC@1RKoWqa(GG7OI)r07Q zds_9?oWq}eJKvkIL5S$WDd+pMdGs zU>Ar)7n;-TujW^fHhmJWROSi%t86)P&*ntnHi0o9gK72`b0P?vgcy*}^yK}_2Tnu1 zR|B#i$dKsbJx9g6fx#c-MRXC7^G-x`p(p6+ALGhn&Tv1|1-4qsziN}yIV{nR!OA;* znzm#Me!)z1!94xZCm}*|rbKkXmb2j1&<%5;!oONBw04oNgS7hdi-7u$yytQMiZD(8 zIO7`V8WscMp4Pp{?}TqydNNN507(;FH0Bs&{Q-gRjt0rYo<~3gk&zF^p{QS|?q>}M zW$aLPOem-yP(qcnk#3rZLIs7OX{OIq^(oJ!!8oYG{*qCR9l@_o`vn+zAWlZu8S+_h zSnMsQ?jql{S=VA6Aeuq);SG>GGKFCQ*8^U|iwW5^g7=Bp%bE0z@PV*U@rAQ1R!Dv^ zge2-ej``F{Y*$SB%;rZ}sx2cm3=^Vr@@ghpUfndCDE^blsfP@WQ1;<#J5Ff;G8)35 zt>v}M_s)L@A7bO6x1YCVztuw4KEjF$RKzd#Om2u3VbnU`31(~;Y2g!ev3}91qC>$C zpvog7{2A$ovf1X z>fM@|=Yi=lkr=eJUyH7hSPgpfVr(QWW15)4%8kEMV+aqs`0N4A=99Ku?|pGSQ9%Zu zyqKv7D&3r7o5bI^g7V*>4v>(9OLqVRJRL54(fxZKN|BYR35w}7e8V;9YR2fopYd9p z5R#Myz~HpTiT8L-9nQ?XHyNXt20c*dLQ0X{oMwl&)#g=key>$+XbQ~D&vF`dnqIfC zqy6BT7ss3ZU`}afqMeeY{NsxEWv5eP_Xa`)hXFGXay{R8db*VcE|Kz4V4jWT;(R2d z);Mkdf36)d%rVgQgX6m9i_V$sleBajBi7GKmDmw1Z#QXj1JxQDdxv)GBiyS%^#b=JRDbDowBfEwlIKeLO9=8@rKo{Ms-JGXySx@g z?xfy6Or3ZTb5|#@PGRFs5mFAzE@!nLbkf(LQV!Ys3tQzJemj)gOWJ-%8ma6dX}u*- z0=g}!BaJquh&aj}#%h<})Qt&>Wz?xw1)ev!r3Kwq3QZK8H}+20wx4&bQSn?g4NtaD z)ZW=@eW`#iHDK=J#if6RjztpoDmgJjW@oU8Wnk#_HyQ;e{yhY-Ie!nha5!WfR5MQe zc9RI|dWdAe8mg52Us5<*E%M$AWGQ=35rDIkOn|~%?*zXb496=g!TR}}vwi*l5}s_C zILF!JG6 zWHQV?;2@(Rb)-5HnQ9-<+hCwf+_--w@1oM_0VI1LlNZQ}UyY5hH)~&yrR|13l*pi6 zbg&iHfF0j&G?x@_STlWa>lV*Skc1{LTBg?kQ@Ck{7IQluqY6TQ(75P*c|*wKOY2CDVtK8Boq~ zgeVxVZ`dh4N-dZHYcP?Ls*WZlTMjbfIc$cj$Ih=1`MiKgij|F<>X z!f{OHFMq=gEq_#;F7%($rMi&|(z()MZN-Vh-y*&Qx|Nbd^|I8Y(2vsrCFXL9IyI)a z3Te_mRpfP+TyZL6VGRtFb>3WYpaan?W%>D-U{M>|FC%`%sAo~5lK7G@QDR8?n@bTd zqSoejf)uUf_gq8f6iL?U+qluY?{e#ZD~WIbp*Y&EUb0#oET8E5Zosu8?C{@wyeg!Q z(UaUMCup@5N6{``6Sx902Y0Be}S~*0pK9_ z8M&0a`7NkX(#CfLv4eQA0MRjQc;X!*Drf!LLD-c=(omua0gvlxiM>DXWC0x(Q_~Y} zS0z%zYU6+oMdk{^$`LnY6YRc5A85O3R^ksLfJDx~^3#C%r{q=7)A7qr+()T_UW%pa z8=W+E+r5ubqgN*$#ggp)yi8SYG9k;pIvftpR)NM~f)@pG(aEz$kG+B=-iWgH*nRqg z1~o}*LZ){Kg&?_F8p#lNfF6a?KR6}v@sHXm#8L=Ufo#4l+Wk*3M^5JrMy~laffXL6 z2G@ScPthy;{i^G4RM*bUJuhK5jhy8i+`LUqSd>mdVZtA}KqcNgF0KUu_GJMkYzUMB zWwc#gsEpqC7x0~l?Xy=(%1{oZ2YDnp#79pvM!ARuK>?KK4}WSFw;ZyWz)T?FspNfn z^t2U^qOp!dUMP=n_9Dv5ee^V$ARey~dMss5b?8t?aZ%_{(LYKUR)uTwq4C*&6R~hC z#@eYaSsFf(%Ni()#QpP;Iua}5Q8_Ej#4K^C`rSFljY~EC z?6bq0$Q-$tl16d_iF(zg6$L~XUl_*@K4(NoV-s=3J#*&*6p76YqOwd2Fzu(!HH_2i zyJf}=b>*w8h))KlfDQYEYhZUm#6HQp{Q$r%)}{NTj9MCDN>`t%A0L?J+JT8yKqumA5dQd-x@r=;lNg~JY9j0N~=VaMmF&(}!9fmU}2k@BxA$JEbJ89_OPwF zA?-`-r$UcI-js=_#8Rmp1Ig8QWUydwg?pq|A+YO+nP-xk@A8d9RK^T|b(cz^Ys=$9 z5O{wwTK~4A7qxi;)KUVV8G`e7W~u1n6EG+q2^^`r=f^j>Pl?e{FEc^5f&6h{LIUdj z?)`_FAut0?LjiX1LkBoU1xY_HR`A2HuadN%yCcN7K@a&&Za>;?v?S0|T6kUAzn@_; zAq$#+gMBsO>ChxFmTV$6e>^t-s}sTc!l-U5ZkfPpxMp6b&A=T<0lh4e??#F`&_Rr(FYM6Zntcjl1Q91g!8rg#lCPpDIoFSkUn6%=A&x_qk#`%7k-2niGmZ|-6a!4 z#7^4CGd@LVeNIqgOTgZPLEe5hkD>Z=cYJC(sQE;*7C8rEnW^5^?Seb%T86G zh1AESLo7F%Tf|c1qHI=>dAvX~hJ%ba`R4&Id8@MdMVtK^Xyt_B%q5V*3ZcYcp>=y4 zaW>IK*T`dSas}bfZF0f1JxU3*Mm1CrRmu*euhtv&+r<9e+6AP26Yw^DtJHddou)J+@&{={l^{(MGr|`JYeo8P7HKV zKli03lmNDg`E@P|03pw0d(VA6sZ-y2O;%=&B%nYpXb5~Tb6R}efrYut6*u~zGiDq@ zIb_fOAhN|_V|&=SN|TN%1jv?KEN))B^ZM0!5gOlN0gd?r}%Mf(lRYxi102}IVI-EmgP>ZYZ zdTY-OHi6lmS5%|+S{4?i>{%gQPnZs5)k#}nXKBiho{Vg;K#qf;@7!`{5#)W|RPyF| zC+#|<@RNZ;x;hSZ1Hjbp)naH3%B1TO-K<5DUF*OWY}m^cGK`HMV*43p3vWr5MiL!R`*P z(^=cQFn~2Wc}3%Ek;0p{n714#fQbeo=Eb`mSd#R*C2_$93SVA`JgH|aHHTRht)hY;0$J(P#?U=}eFp+kY%Hp+v2weMJQu!aooIRqhfY>_}0f(I7kULH10q zaEgt3nh#x372FRbL0PhG7*sU%Ud^f;o_t1na;KEvLt6^z?>^)tA0o(=MeX2WS6^u) zLFQ%a2*A=6ffzvk$!!Fbp%0vFM^|@5eBQ!+u|2hB0tnn7)qpU#Qvyhn9y@|w`+<9*av903|3TlRzy2FKk zxLX#Y+6*W&#SM0`z~fgo{_jwSLC1mhYfKk+1%1l^LRb87AJOzS zDAwq9=npiOeOn{GM{JbGFPe?i$5eFPO!RFX_!NwCBSW^UCO%Ld1>C|$>HgL?-QLXt z|J;dk14Fj!Q%~y43h&+nbejgc4Fi`UgL+MicgZ#0rg;fO!UK8LIJwq%S~oqv8&-Sj zWEKm>{7aq?5YTh?DV<$g>o&Lu87bYges=GCwyuKLHQ$~ah{G`d;YvE8Tn%E|IsqkD zRubT@Ih{UG>f4{*R#`P>4sGbyZFt9Vq`X-T^o9m2F>8N)kf*Jz5Qfw^Y2z*^0J+g> za3Q^an+*1Rt9V-pR-`nJDkB{Lz$$i1Kcze_E*>_~eJ0;R4I0WWE!JHgEHDB<#Ln_` zyhMswlJl}w%{ zYpK+opvO?}*TbyvREzO6%*SP#i8B+Li9MX8LnNWX=YKFoJ3dZcO(_<%5QlDV<+BQl zvl8Yf{f+l~CNCt3e7Z%7o43V!U(4V`s~i%W!nW4!tBKl4k3->GQs*hX9lE+VE}H6^ zL!}Mj{nrI)Pl^Y5$BD&vvhDOEddfNByEV!s3~G=74{tg!52bZddu(;O6^nc} zeJ5^lpMI*3nEG4(Z>j^n`7%#3 z;n9uZvBkG{QPkNIBT5RRn72gNjWRPhkX`#bjPq`xl?k)8<*DI%LEjlLPRlwPL^NG- zmKCbjusRqRDVWQ$510ha32r%w6N^Vf2YDyq@?S052zd)`?(%O_1EG?QJWDxrh0QV* z+v0o%edg^-FX9%LI*~tozqa*$e!77ds99N~i{WP<=!}+kWIqU2qKBi4N)w?Uf(}7V z>-@_bB9q7!(*6Nb{(?qQzsRBB{)zqqqUQIC%An0-WBgDIfP*I=@QXpl<&UN6g`r`( z2?US)`25^hIn&LhGe}rz&3vA)`?yMTS_!lUGqS#`Uh4{oRuLI1i0`71)l22-S1@Z` z%)xEC@>m~hWmR8TY%_UpTV$IEd#KdHbHL$qGLl6wsNg}1E*OBG$@$@5--yXu}SN@_rUt&6WMy%G`{ZQGQs*X@cb*X{xCU1Tkdsz z#&P)A(_QP{c?jIjFYA?wp?3SZbT==utAfK6=pt*YjjA=;x5AsMA|qXP_WX09-b zW{9NxbyCc8Z+^P$#91PNYI0iL43SHLP&1EmC+w=?j8c?_ScpH@c3D|=M6iv;s3 z2%BZa6`-HyP`f+`S4PVM`+9Ju{3nmH(2nY=Rvdt&C$dt1{c$X%wjE#AkCHizbO5u-}|9(PlZdSU{0YqHsD71<^ zj?8A(>v-Dfm|sF$E)zTwT&~nD`&x6_J~rO0xMNTWs-w93;G=>o+hS!TB2@3!-WCXJ z4T+VzV<-1mbOuFJ<+sH1R^7m{{f}T43@D_`C^pd_T=b1L*g@tNL8J}YwXG>aV0@5L z{Vpl|9{`h-hw7RTK}r5l+Bdfo7&%T>Xk5)y%MRX{KqF`_no{+TWmO)WvG_zh8kpj6 z0(9F{|2nY8S{T?)QFjl*QDTh)}?XSz*$Mz(a|3}zrMTEkRl#7H>4w}#RKf^pxltv3#6qGZfQjeU>zRZ zFbe%;vHtS>YUSOb3YaZ;cv&iu`Teth5N&wwE0z6T#K4#Hf{(8vrWVvi99>3c-&0wGp~6zomU|Eds_$l@d^X^Ms7O}X z@Z}0ExZMi4sBlj-;9%ek%};VyG$smvL$YM;%AM_Nksb4z*pp4*IA7&(ue;kFjF|kG z{oUm6;#@39cdmsQ)54T{6l-zU`%I;3S37Ip5WJ4a)7yY^%}N)FKeQP;@Ze zxgVUq`QfhjnMR7g^x5vW4uEE8Bg55vPptI(=#U5wSJa$t_AaS^Zr-7&1mKb)v~mv> Yit){Vl0`9l5v9iqBc|~G00030|Nd(TegFUf diff --git a/cpld/db/GR8RAM.map.logdb b/cpld/db/GR8RAM.map.logdb deleted file mode 100755 index 626799f..0000000 --- a/cpld/db/GR8RAM.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/cpld/db/GR8RAM.map.qmsg b/cpld/db/GR8RAM.map.qmsg deleted file mode 100755 index b557bd6..0000000 --- a/cpld/db/GR8RAM.map.qmsg +++ /dev/null @@ -1,33 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581829844214 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581829844214 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 00:10:44 2020 " "Processing started: Sun Feb 16 00:10:44 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581829844214 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581829844214 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581829844214 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1581829844423 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1581829844453 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1581829844453 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(200) " "Verilog HDL information at GR8RAM.v(200): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 200 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1581829844453 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1581829844453 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1581829844453 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1581829844488 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581829844493 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(115) " "Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 115 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581829844493 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(120) " "Verilog HDL assignment warning at GR8RAM.v(120): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 120 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581829844493 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(169) " "Verilog HDL assignment warning at GR8RAM.v(169): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 169 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581829844493 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581829844493 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(180) " "Verilog HDL assignment warning at GR8RAM.v(180): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 180 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581829844493 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829844565 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1581829844565 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829844565 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829844565 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 169 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829844565 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 180 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829844565 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1581829844565 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829844593 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844593 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844593 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844593 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1581829844593 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829844613 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844613 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844613 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1581829844613 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844623 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844633 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844633 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844653 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844663 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581829844665 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1581829844723 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1581829844723 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1581829844823 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1581829844823 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1581829844823 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "9 " "Design contains 9 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C7M_2 " "No output dependent on input pin \"C7M_2\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|C7M_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nMode " "No output dependent on input pin \"nMode\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|nMode"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581829845013 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1581829845013 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "169 " "Implemented 169 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1581829845013 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1581829845013 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1581829845013 ""} { "Info" "ICUT_CUT_TM_MCELLS" "107 " "Implemented 107 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1581829845013 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1581829845013 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1581829845013 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1581829845053 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 17 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4587 " "Peak virtual memory: 4587 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581829845103 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 00:10:45 2020 " "Processing ended: Sun Feb 16 00:10:45 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581829845103 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581829845103 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581829845103 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581829845103 ""} diff --git a/cpld/db/GR8RAM.map.rdb b/cpld/db/GR8RAM.map.rdb deleted file mode 100755 index 0255b6b271a21a50435bca2927db18bf5a4e6530..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1187 zcmV;U1YG+O000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*JrV00000004~x00000 z006K700000005%|00000004La>{Ux|6EP4@0D)K#f(r-4VNRu$l5{sMDeZ~0YOA8A z3Qfi1gq&UP#^AMAezYtX_>KG&Ziq)1uQ!iF;lvGAvUY5L^ZGnvPp8xAPaKWhRnm{6Bn^R#t67`rsFS(z(ROtIcQLT9i+K%7S*g#-p6%7)Z z>niYAiiCMK>PTyvhdw4a#sCE}9E|K($BGpW4E;<|>YTS`ybthb@n|R<)buVim%tdM z=bID-XE7Ob3iz))kcG`TLoEm{n3*882+NtzwS_ql1Lf@S@lwRZtHiWKgN4Nk@kJ3V zQ|ph;bFLvL!looPRw0+;a+ZfXbhJ_}1vM9rTdV_OF%rc-IPRG?ijA$2N_PN;oDy`X zXo?k5a~v$CI8Ut#G_|U#xr7?Rxb6ha#$D`&Gik6nauO>UQ_dF`>O0pe?iKNff{Df6 z=f%bP`xgUgv$e_!ni<(R#=1x?hc-SZ0uJaHq8^0dP89BJK89}C4V}+M;{lH67E9l1 zI68vfW_yqWl+00960cmd*OU}O+rU|@(cv`hw44BS8* z4rpjzA=L)~42p=ue~861G(W*c|y2T}|YKnyY#s>a1J#4+C0 z$JN)>4`C`Rg91=h?2d=8_<%IbArJ=yhXi^0xua;|2P)zK8(ed;q#VeBI|QNyYCIz+ zg8|T$VQiMZAT@$O3~~svzZh8R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5-&%fdycafuR6Oe}&Q&jKBT^LA}En z2G*V)o<9z04r%k89Q=~v;<6?-Rj*L@5u2IDQV3H0|9=HzQd&aFgM@^H4x=qTY(YGC z9Aut65|Mu*^*~;PNhmRa>9MfGr5nd}X9;?6Ga7nKoV~xlJ3C;{{>jr<8$0P5ALd)| SXUS~$$_9};4joEv5JLfTB25DT diff --git a/cpld/db/GR8RAM.pre_map.hdb b/cpld/db/GR8RAM.pre_map.hdb deleted file mode 100755 index 926f4f209a20ce1cd98f1d84812b963ad0e12b93..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 13301 zcmY*=bx<8m^d;`_aCd@R@Zj$5!QCxra1R#TorhcShieG#?(Xt%_vPE)R_$(0pE*6J z@9p`cr@E_e-@$-@fT%)-{Jgt2LWNl{J#mJ8t^}S z$MHYx2?_Q?%r=lrd6yUY0SOC<+1{lUHT z7_Hg=ZKKNPgkL_#wc=dMZ?nl^waKBsG_)RB=;k!%PVU07%mI>XGW-kp_oUKe2f{|2 z@rxBbFI`jbzh7igzQ6>nJ;)?@R^XeLuVt^!q=`J4hCIh*q-D94XpH(4aYx&2Cg#;K z)YO4N9hDV#L82R0&=YHrh@FUxZT^!&O5jmnq?a>?174c!bwQWe%X8W-hIKP0M%k-q zMz-CHj|IW7Kdn3J{!gG_48S3Pe_~Q%n4DLro>R%`W@$6Rx5|pa{^r)5!8D-I<*ax` zDOI^dtQw5K4c2_dVL$j=waPvFhnl7)N4~gJ#HapIAss+7npaf%h%MyVx(6|?BS|ly z;t9MI*$(Q3{soT&z$T^p6pVdIzxfVqbI9>`6N*WtlC`?LMI}jVvtm8KLHUJmA?n-U z=Jmq!!4IT4!T$XJUH_lH6f^<8Ww-fiHdeaazxZLyMz!K5WSDMx8G>hy?G|#PVp?p_ znISmztcS5v2A%nX9GR!OTKYvKu#?~-z88_}MPCqvdMn6A)De-_F)1+ZQc*IizwD&8 z2-Mq29jj7Hv(|7)z|{PWt^Wpm-!mXbP7UO=%Scczg9q7bH`AR#p3S;&gW#|BfAj@m zc0d|sXu%^<7~fjl(zO^*W)#qNYjno=7_g+RKHuUlQBj#*BejxSlj_>0+2ZL^AxGVR zZIaS2i3^bUwx0$vLV>Oikm9?Sas(qvV7wfYybSE7B@j`Dn{N!cVQ#j%*w&=EE4BLB z#%Xdc_V~5FDt>60rZMhlgQN!?kM*`w(gs+kmyiNSj z-(?U4XO9OAvBNnM7^CNMvnbM!%|J~3!+K4Yeb^_ocBh8^aEz}veIs7=x z#=0v%SYz&k5pW$C@Gc(^>E!GyW+(Hw*TZ?HdnAcA2)zb(z1tD>n9FdsrS4=JW zVrhx)FL@o>@gmSfQ$BYnq|UDSVwIL|0821MW@M4U+|a_DDw6di=K;=A4GLEt`g8%I zNjc1|(x@*)725eXF8TKf?+n{7K`s%;?|eWQAH&cH^)8bi64{Qh4|#s zMzc41oDt7jY9=NG=r*^snNTG=8Rfkc@5(k4-W%n8Jo09Ad7Os5-*WqN2L2C60m^206 z20iwD1&86igvIB(a%>LWm(CxeRbKn)8BlYksXc4?ZKjNe=2RqujR!LlTW#OUsXLR+ zIjkFf%jXz8)_5y!w7(wJ1Tt8zOt+LD3WZSP1&$tCho4?w7Aew?X6!{VTBR~pXWLY_ zxE!?ZjAR^r3MGszaT=2dt`ms*$0NLczyFM9YQ|}`mU3{kO1f%5Rg|>F`{?2r9Ff|7 z*>4Lclx;oFmr|?tDN9H`{l5}LTr!81_Vw#`Z3`gpVsdHgNNdYcnc#wffq=@{aKChT zOr7p#u)YH4Q_TGH%?T!VutTj7Pa5BL!Uh*rVQY)T zk-1Csw%5=%DM%J28foroLqDlt%-Y;M9TFH-Qp82|FW4;U7NVamKVMrg2Q-Mi4MTl z=?x7g6TDu$^fvV23o*IL{2`Zxbm=eGwnZ4*JkqZ<05{}>yBY`Cp zO9Lo_Xy2P{PVT$cF#bsB9bWbG!|#@DVt z!{(GjlpLA>PL2)Z_YgW? z(UT~p-{*nyh>vKkA}B|-bXX`pbQ-1cI|{WLE6VZFHGwBv2sQU&p8Q)0rv0YjlN0bx zqwr4S@J_?K)JYMJ> z)E=*i?E)IEa(J(j2ktu*R(B_MIX7~KY&!UpW*@V!m=B|!c&&V$d4>k_IGH1?nEba< zxBaI-@%IyTpUD42!Y6`0;rs~`)b0DSnU11{#4R@u%2?D_Aky}`KimVJz?j}5=*IFJc{)Y&*{QO*H_;E>fX4dzxe>q ziOkvN%HgShbud8p75ut$)}X5E>+{)crl#u)PrLC7P;aBDc%gYeC(Ne0NrCE~(?)XH z?^4@JdzBNd25Td|&KS`TrF_T*Z9=FZxx_#e_c$TY!L|+rs%`NgBnRskiqKyY!F}2~ zi(qjIj@{;rT_JG5FXUzqhe*Hzf?geJOBHmh1)pvH2*!Vc#^%qPfCzmwb0Yw+7zd6OG#z=)tXDX zpAA@4UgwXtnm6x>nQccA=$+`eO{zYyf!dkzyqf@-ui6*qHP6P!ES0o#zUhZld|=ad zfG(_{kujg|mv9faXJ876Aq6dypzhCMSPASlqFVd;X^(%kBi6o4?ujdc;@u{`zaftju)JvUaqrS=Iu^ML=mEsGwfU$LXfr!XSY6K!Vq@qIPj^6|S=ZQ9GA>gd3XywCiCGK%;3y*m4Rzkdk3 zh9E*AquF`BLbp5Me8lZ4lX1v}DO2ZgR-RD&`vzy*2)sftzlU8f_hT-KPRqfV%x8_7!<;LNeLr42+_V@qeESXddq zEL?Obo4yrbvXmF}e-{!&A+Sc(Vu923PWc_ArN3_q{H4{3Z|$e~DQW#Dseek68lTcx zGAp!Tx{lg|InZpr=LpV8z(T5}X=IR6w@0j$47o$oI(B1?Sdb0vZR}Ur>8m&GA1O&} zU3y7IMU~)(UB-*mSLBWs05-ONdanN??D^@GlQC0{4$>Y2GaIg&Q7A860bGp_;vkX+ zJP1iK&ej39t^VoG8$R97P>kp%7Jf{$&ImTLjlyby%rT|%e2-G3A?2im_NZCjjbF07 zt+u&vZH9Mhc7EAIf&hn_8&)pGn3=)L0`Kvd_X*oMYsIl@_3lr z$L!-A+GZ2^yt*k{Rb>h|S+t|Es*iAv%dcO%j?#a}T&f1HW}8q*9JTiN0+c%TUuf(n z<4LO1K8k7R|Nga{Bt<(j z)4`1=Oj?m2^mN&kPr_F^PwhcV`%NUN4t2xyyf1m?SNG@IF~!}5h5Tc_r{6@FL+}}m z5!|hq{uI^M0*GaY#kZ&76dsMaSg%Tif~eylVn!KsZW^)^N0G6ymgPhn-jai0)HJ#wVHY z6U1qId>vIEazgv}=T9^FjOddltYbU=)J?Jbv#G||X=j?RbJ~Qv2lw<~r`|&`&@|yc zJx!lNe+vI=RPKdf9P|wT(wEIWo*6~=l@q^f*7;*`f9~d@h=~9?(&U{!>B6H;)ei(A zo`Un)JlY^?|3u;Fv#O!uXl(=R_Z3s=g8KY}K=ZS!_&TvH4iCF^CYz0b4)8E~$Ax8=Mh`9*w0 zK*KAEkaWFpeeN9dwDQdh;(S-r)G9cgIJ*XK^=;PP2wfWKrVSxpfd`od|7TwbB_J|b zk2y~N0cdXXXNe;cRbw$hlgE^o;Bq{mT`5l?r8dX2^;_{yF3@`Ztkq2h&&kE{fjg`@ zb?-Rb+MeOO6_CV7p5FFfULmu z249e`soiLH!nMqx^=fwN!7`(ia`xm`+6IcDD~K;dbAp2zk@S^Cw2Nk*I=$f_w3?QowsCbeo`N1MT3T9(?> zKR@ums#gO32c7Y3?=fIjM~U;>(?_>PnSa^hhV`m_MYb>|ZAIT7bmh#~>M=o6{!qiQ zYurGe*h-B9ZJTtZm$E!C`USB#uuB7D_iw7zT)@m>8pm?IgEK)5`084^YpiK?!75gH zVC&!B#(zfs@8#(=6C*hMC$z(${#3g|umdQMMJTI^^%pY>|Ia;cN8e~#T=uS_eC(+- zhM+hlZO4`s^FMv2AQ8qs?tBghtUL7)11Wn*zjD(tzs+&tq$aNf^R|_NG;LE1NnlK$ z*3$53QTyic`|^R&Nl4{*aa^Ox%B z_f~MWbq2Pyx20&FnoS(R5sS6&)|jT@o6cAE{Z>crJo%E!@p*VWqe6#kU+#85b(7RxhOf-k_^oJk%xR;v)t0Bo z3kbwNEhQaQ;K2TtNF2cK|1fLzkGx%Rpv)SJ^y_uorM!odfSetA2)L$rAyK9xi-O=!{chhWH$#@1V|m4g|cloi4=qe5Tx@(cc8 z=9mGK`X~J^j~E;6Rr80SMOxe(I8K5JHT}b_0{tomG?02Nj{mmVOCB{bg_^G~aeW-) zt6#aj&}XNv>r$(G-)%-Z(zXbt>(9~^@`jsk=wavsuGt&j*gGH5Tpy`lh$Zjiu6fQl zGO*xzSL*!^MvBS!2jmKU)ee-|cUcBEw=?{<1`h!27IOSP9Io0UWA|J&HKX~gJ{u|Q z$K4dOSFZcjVDTn}Wsiiy03+GsLeeDWncO;T*2W}#dj1?9=l6Dwed$+&a?gSN&A*pc zr*V7Q?EAC&R#_J^!i%KChqtb0&F1&4*B@*d_sZG+6J5v4q)!)N3LjGMl^5~vG0%A< z-311dPOQow5>I*SA7yX#V^>(?ai{Eq%5%BQ0n$!!uW9Q6ANR-{Z)8__ImaJmejU$5 zyJQ!y*J`W6Ue7#iSDl{ieQ87i?{B3I#cCo(6);yfJS+i6;|whC%It5GhVrBPWQ!kH zP15gnW5U^Q39t4aO2i-aV~-No!lQk(t3ng+<+DP`%O68s-C2omaXU$8AIBS{Pr~g1 zyAFr19EMM;S;F<*;lrC*P4e$j&kegA8~4LEM&61D<3|Z^@`P=|^_^#%)BCe;U%iyU z?_;mAD-AcSd|k~}2LW>vS60mzNAHKvJcb|6ui5X`zJ|=#A%@c(mHOejd?|Evw<@&2 zCCiVBxQ34SiQ@y*Vv=G5#Z*tu~l8u~qcHqf>r}Iv^7Y z(O0rOdX4T19*LswO~|4T)EYj2PF!SaD{zEVt?Oj#6mTR)zUF?#D7n!EN6dYluUV;Y zD1xG{4$ugMYQ)J(Mk#^zuUb3$~5hx$@bok+x(LioYwZ=m}~*5R4kNK=J%&qmJ`~xM}%P z=-L_SROWBcaGb!-pm2ciSd8ZhnU>!~%;O`~e8XCVHp|-s|5^m=+v3l2d8$j&(^}R; zx3|Z%0Kr1+KbwR)%7QJ}AXLN^YM_I{ln~qsJQnD;D8Ha+I|4`%aYb5`pIY<<+q#s- zIuFJ{GuQ*ix)KY-C`u$hB?P&W33G-tr6fPa0`K4u>_K3CiV2Dfxj%hGPfCT~sc_;;YKw2NtSRaOjZDOHVu@Jrlz^-^9u6P08G=t$vwq9Y* z^rup3tc_qC=tN(jtZBbk=fOLC6KzKWSs<=hiRdK&{RlzRFlU5QN^(>0aG-WfP@!l$ zzo-wZbu+cK0ni`?SOs-<597dM{qu`8&QwL-b!C@mdnF6CM;GJ9QA`;S}tF zZM~^5^$rEn!vZ-@p&wl0ljkO8hR%tyOD@T)iV>7^JfI;IVN%8>y6_ob< z4Hg5lUJ!0?6q_xnBQ&x3#SN`ICmMfx{5s;Ri4qN}?r0Y)4TwBa5)lKd#H0Oo--3$M zebMQ;uXuVop0%lb^zf|U-kI%NG$hDiK%QZ#d=)W7mcK-qPr*#SSzb=jETBk1nc5L4 zfwJiQ4#FEVz`Y`7bnx<k}R!Li4npeWd0iQ-`S@eR~p0n-+De`IC!h z5s|gY5AaU(PJ?@U>0Lmta>0R@5a~n*_nD+;G$D5MC6|27?i)4R}cM#ghD zLtCynaibFCo^gtysbXO=NyNO|egX@2(ScIZ(3`8pe{ef%;{#(>{!Xer!zCCXI}L_M z>ZFKh%Uz15lN~nvjQ(`Q3GGUfCW-Nu=btmPmw(m&hH+^2Ivw(WpGVdaJ)GhEB#=Wa z|HJ{k5le#TT$%u;e6oR~mOQI=! z5Cdm*7_jAah>Q$I>6-rMcxOpf@W;j2u2rf>3BM-QXq%H$me$isbx{N##D`;}aYibt(=CL#P^zOCwqWx|$^yv}Y0%JX1g<+p zf#iCGwW_w5IwcMT{S0~{B`gJfB3UAPpY#NhYC^Y|+FpG;wpYwKl!tqSG%cTcEkDyn zst8P!TJyGAE7_g=xpYqAi)!4S{~XEZqvW|XNy3XNt2L5t3vi*s1qBB~Ly}$^yRFeD z`>~j1{TCl9d=exK6})vII&~F;sgEP!2$#J z`~{iAYG{V2hrl0ZKe_sl5-he6pJA7+nEW>1lp;sSKrPJ5Mv;$@`^}(&9`n{AP znQew%zB^awUPznqR<7-hK@Kgg{43OT*qKNx@BV8($vz<{l0xT{=sdkDh!cJMP@blO zDKR2GlwBUB*l1}-s-IKWqVW{BRO*dv#xdrZY$Cf1jWveEkdXi2@%twI00V`AAK2|V z7j9Rqk4=9!k6JDyJ9m+sb#_!~mLA~KmhCZ{4H*F#$k3EiV(g1docH_X+$Lj^O{#`( zl{-|;ub7Hn+K;)z`CTmgUM?cMbs{@eEn8ehEIYE$_fUaIkk*~g533;ie7a1_>Onkt zHjZLMxiIaHS;@&JhFPgOoX+Z1EV&`h`<5TaHlCxCYiRVUA*TRz?4VT1Y1^djY3WmJ zob^fk281j7*?VHi+UQmY3Jmqm^fdh7J$)UF)L{XNC*SJ{C&t+QfRD z&r1jUHMp!X%!X!V|0?5{4a>M$HQKewR?7wd*)xjS)Zb|v_Qcdwg7MelA&Q8@U1oE)00 z)s(<^YUxl?PQCJXR-|dx>gvQQ&?Y=&G<7MYm{K_tA$Sr~6x7&}4uA~qPFzn#_M(9A z)f!l9zKQ>N86V;~^f$1D-wmk^S%qONB8sK7AQ5)@Y^i#OqS9-~o3tJh{bxA$mDq&k zuk1JgK`smJu@GFl;xi)d1pRAy5Uiaoz4 zf}uc~O*94UMddEJ=Qs(LWd}+;mJ1o+FC(Dg7AB$56Veoy5-RzUua7BoTc?A(9)$Pp z`T4XnCAXydedAYS?uhX?>5On76=PA@idDlrVZ>n|(8NB+yF6u@JtQ1lLoWCxkFsBP zq6fKMa@Y(hF0FQ4gx_8_+qdc(7@TbNYfwczu#9v_d;<;PT~3Pto{=DS*NhgB0UhBZ z$u<&1t7Z{$E?6g_zF#KOhOb|aDBDkCB@LPIz9&zA(*c4|dV8i(Py2Kh7J zGa7^lv@oU}oeLCHwn|Kn{>sf8jE8NNSaivc8~!E4jn@WmO~c_0xr|y@eK!vmZs!;B z25lfk(^uiw0rDqRJEVXXFh`H~qnCuwe8xj%(dS&6GpJ!M3F7JA=q{V&E5W@gz=Ph& zl}m+4u*>x{G7KyBBB-?_&yyARB6!C{@sW>Pd>+~kxm&?V?}e+>vg#HV9{pk1AQYcw zqT^qfdN^MPh4K`KOdIl>psMsTULDf8^nwAmE>oLh07+*OdAUcNyWU#}0p_QgTU)Q2 z=E?k0wk6meDlC^{rqPLYf}!eWivU6`o0wM03Tg+fS4U)zKTS}t+&!BvCrR(3rNiq!HBDcQEZjTz(1xM%+z2t>;jZz#cv6H6!V@9qF~S$XaVd z44xP!{$wW{Iw8-vqM6%2@&+&*N&s73d*WW3-K{QQ>@?6MdgJpO7#6?Zp>To=wG8oY zoKYwGF$U+fZE-d!zA+-cF(97lIRq)NCqjDdL3)iw>Vgj7O%EsT6-V2r2!{+79%LT% z>WF_5FlQr&7Y8WmCzYxy%G-t@XQpZ}4stX1y%kg8GLI1_kyi(yzw$<}_fT@zN5}^n zhwTpPP^8sGjCyftU&bqcmg{&suOWAVy$jEwJkKGHz^zG)Ju5GYNl38=!XFmeRS(Oo zPkHdMG|w)s>K9ONyJAamX?TIXb%Xvr?;H@1u1l|!nHfbZy-3SQFeGgU`F+gM_f3%E zg0v_pWz?!6TtY1bxh)wzqVDDYDx7JRW%;4QyXUB2|GjI|S={pj+b_jD8j+szy-Dxo z$XnFG+Fr(nXF<#3&<*YI2aTlZi(ba}mpx#_Xl1m{4XoxgT1O}4HKCsE$rM>95&q&T z!K9;{rs#N{t9U=JA%B6r@xUnw^UlgwT!=FMd$Xg}z;DLx`NPvzL905XMT<5>3R#?zA9W%IIfa#cKR>EXcS&%L- zFU%q;7thaG2lL()VZq~e)7=H0)t?xB-jD~Sy4{4GYdGq}GQp!)7=NiXr+ z{nuCmQvm_O0PESG_)#wkZ918Tw}it@hBWYLH8<8(0jSo^jkg@;93j5m& z`!O0QQmQ!)7WuD=I1__)(a)-Wjs5Z5Bt_J<3X%|$s|iE)80_+&h|1@&<{Ydz6kQ_{ z(fNMN*M!LP?P@A5_hV;5xsmju?eD!LT_Bk}vh58X{@(XHeFTqiQ;y%BnFa;5#C#l} zu^0uNAlp>=n25OVIFlUqh`d{pRRw*kf&iwzM0<`_H>e;>h0q%N%gjpI4mM>5_uI>0ME zTmTXw1M^KC*~4^S7Tk)V3@PewXVaAXAyh~nd-!$oI{wgW2wA`!ncVThsS$Zv`{C9b zjYj)OIII57nt~&coWi1g*AgnYmBnwm!~)z0>7gaZu3uvTMnXWf`+T$Za(|$D@;Y<8 zNTXQ;E4dpTe}&WdQkk;|%y2rwCK@(LD6Uz*qVmV9$rmkO5}iOPO*E)f%f5c(HlMIj z*8|WATpd^F4xUbH#J02+2ZiVttnP6JeJ-N#hX}%&?VpCf(s5sKsQks|6Nz-O{nxmV zP23QKQOBgJQkf+g|KbWKXBQ8OY#pX>bef8If2Zi|h!-NAnH0ypj^Fhhf*@Zu(|+)d z$E!~dXEM3#h?8Wpz!`Yjj86~l{bdB&4 z1`r%jkZnfu02DYc^y1r0I7gqMc{c0DJsW5IGDZ+r$ zVS^aU)-T`WaQ$I%p+WI#_&%3^gXtsh)5z{2cqfd8Wkj7SMt5R<yV{FkGwopDUdfXi*PRkP z77FaKX-)1j?I7Y_efjMfCHeYUG9_QbBwte{1;#1;2Pyq0Dc>Pru-1j^#oqfUb4@TN zufqfek-UN=Uo#{-hA5lRj)ApxF9$RmnfJ9}b|YWNdxJS8t6 zLw%zMx9_Mb{0!dK@7qh=4cDe|Wgu`WU~nTG2k#+onHXbYerzP4_>$p6i^~u~_SdPA zo1W7tk_BWV|I50xu{oz}=4?*Y<6qS~0R0?em^^3t*)(=+Z^zi&EbUbItjDDELDnde z^Dc~0(^uuL8GfkgZ6_!nC!#xLpWNz==;p1jz{vlMhqmBtHyBdYEyR?|j!rvMo1Cc{ z@#|fk^g~?Nh;OYCsCG)lWPow2^^9A*ID`RB5SI4fq1jg1#kAu!xHXf@AX|EDYG^@z zM=rl=bXGZb7Ic(r7Jfy8jp9Ci^Tz~Ev@bM9+bg^F%U}aS2W_hHEDl+N5Aww%{>DFH zbrL7EimN%BoPR4ZqYDm8E&lr>&KJf-$+@3NsW(DPY9!F#fDQNtrEJBgZZV~&Zt+EY ziGCb04i&rR)*PsM2BnbIr#|@ZnL-h8ly44%Xj_y9W4r*{`S+8yDMHcgl+0~~GxVhH)?J<*5v|g4(%}%C%C%bjp z`<8(YBCVy(tU!NO7b}))nbEvIXXAR!)Ae(*i~Kll8zF3e&U%RQY1ZHu39gj`Us;Xw znsjNKJE1(8;?Zsw!ahRtrOkfuQQU4((``I+)?C~Z7vpKOv5|NxP~0nXxCP)Y?Js=@ zH2s4!IBmnd#h9wmW@nYm85o6KTHKXzvgrVp(S;xPtC6BK4ZQr>+z*N+dD_& z_;z^`oqH7n28}5IZA2?O9Y6}9stXni_zAoLYU$CJ&cxD()-wvZ&mFF@JaMjFfY$L~ z6(z+5M&pNNW84k3Xg9A3?L+`fPOL=@md{BxHXB$r|)enw;r}1=03ExM~|C9 z6POYCFJHrUd`FG8XE?S9-A1IU@i``*l>HZQ@70Gp$mHC56 zkc&+T*`$hxR$n%9Ka=6)G~urCaXjwIu7yE(aBQ{Waz?kBOaZSio%^9*gUfxCGj#i& z`J9Ap;!b;ij6`}A-@Ds$gJ4(3M>1Dm(PwR9FQM=dm&utqYhxY|c0?szR&7b>XD67$ ztcI`Wv#q+lez0UAJpNAG1c%~fL4<%YucdsW+KJ2Ud7?2>1D~eW;7~ZZ zG2tA)GT&x4>zB2RO!PqT1B}6YEA&wQb@X4+BM8=4PejbY--d)ENrH@O&MSmsvPO)j zO8!{VrrT$Df;63B}BW-)@QZv)T1X1)cDjXs*M*;{$SXc{A zn@!|yM$YYT9IA;4ZF|VAs@aXj_>0D6RUt1pAI+pQG6ChVK5>`V%Xn)QM;J6}j~>mw z0DB{!gY17^9?1%AN@rYJ-@LuZf5>wt86SO7%jD^wP4`qk0`5MDe`N5~Y)uKV&`MqIzl~iOl{rc3Q=`l={V*~2zHCe5 zREGt=Ony%dBuhF$%M;-$mTZxve3|*yJ8&TGX)YAxdpECTM#UnV&^sdbO`)0E9(T+M z+&vW|uQuL7pIP3N$|a}>E$IMlgV3i5v`8{x2%ZW(LDUL(#c^?Xis+ z`51G>W{36ZHVQPW(%RiUiR%4HLY4|!@xqOEO0DM4wCEx)(Vg+pZi z%^wPT0xZ({Sy#mA%>DUw9^bEj>iw`mLE(f=Fr^OkMR@A<({ z;qL&8WX7>Q;zpF8Mi)0BO<+FIPI&u;tb7a+LnTn$AO9TN2=ZhBeSSU!u-*yP$4mi* z%3ucI3$`@h(`gVxk)vXx=g14~!n@2MF#XzHJkQy}1rwMh-lNweS&__1F$pDsL%~8? zeohL01@vGddQ|kb>xf%`)@wmpS7wu}GMSM;o z^*PnZqLavs3cgHzYr@qjWwovOy@6&UJ_8f1Sq8Ey&|3*@#EQxh;kS7stWoUHBc2K* z9?yA{l>Ti_!d2oLhGOJVo*1%DyRFY4!(ObH;#v~();Hz-A9rojSg8YhgWwD50KlQC zXq!K?3mY?vmsA}tkFQd2g~2*luo$19-sf~`am-C)VVI->4nbI~bgFti4wQ1&IjZVb zzgTYcP}BET->H9$(hmfKm-ING^XoDzGQ&o>sAMd@Wcj`1m$4aill^&r+76q{kctw1 zxtdtK545zVS|9eT{=V&Pl60BHXseWVVNvvc{KOhY4bhC9pORIk67BRB!W1PNL&t20 PFK_%5@c9eQ$H)HytVP|f diff --git a/cpld/db/GR8RAM.pti_db_list.ddb b/cpld/db/GR8RAM.pti_db_list.ddb deleted file mode 100755 index 89aa9b430d177793e191e5a385085595d88cff2d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3kRTBA^P&EPqzUYg8U)T)h%c{*cei&hzrNrH!}SFT=N|}!@1IcN QZ*N{dL5odIfeow~08m9Y0RR91 diff --git a/cpld/db/GR8RAM.qns b/cpld/db/GR8RAM.qns deleted file mode 100755 index d0fe046..0000000 --- a/cpld/db/GR8RAM.qns +++ /dev/null @@ -1 +0,0 @@ -GR8RAM/done diff --git a/cpld/db/GR8RAM.root_partition.map.reg_db.cdb b/cpld/db/GR8RAM.root_partition.map.reg_db.cdb deleted file mode 100755 index ecea7f411ed338390865827d737fc7e424d581d7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 210 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRx&aLVfoTwm!5T_mg3=X?zyAOKU(cqI z&}_Kjf`a9Dg>D7+_>_};iEL~FY(Pc-|5q?3r6i=xIC$VdLBx(DvL1#fm^W_j4zAg- mJGmpfX2RA(lveQ~>$~hXf%+D=O#7X7F=lX137!bGZK0&yZ^2kU^q|m$3{2vXimEPqhH( z;UGdToenT1gqPmkbi{GBwKlgQwY3b~v^))8+@KbYF%z#Q~Jk$M5>Wd$n<$O9MJiDR zHFaRo>i$l)OhFw=>U{@DVqxbua(JEU!sX;@ru+>Y{4T ze8rr;f3&8cudBp}%#>uk$saLJ*Ys+&Q{4vvL=!U=wqo3HE_08S-wVT%hF8E=Dg6T) z0TBy_mQh)|pblsf+zas^M(h-X)FiKqq|KuiaCkVnSj$1%`}8>Lz|;Yt%mAgdt@!5& zi(8qEwabg41@Zr_{=fE&D|EL*OHk8f+vl3-s?cJYj5xmaEg$|cfGXiwsu3oJt+z&V z0-KYw9>Xdbs?Pz+A-XZA;YCLNngc`6#_Gkm@P#QP`H6vXP9SZMh`II@DZMt|)xt%W zaF?axqduy#X2*rz!{AKLg;FNy%`+TARgjfBzDzw2vD#EAYkmQqJLkcj0y}c?y+0VM z1IH*+7Z!ue_^zapw&i<%W)W3)O0|Nn;5u{j#Yy!v&0XXq!+uDCmvyBc_A@7)JN(JgxOi(!wUh#IT#vJt7^<5~>HyIuq%}?fXsbT~neP zn8+QY@|xTuCz%m*wNn&4a(;N=ZuJJTtnl0gXT^-UsCbNz9m}VVVQ_s!&Yd^>+Y@%P z_p{=py`@0O6#XSJWmzpWxA?15Valx8A?8vk9;@Y8ZkbvDkxHD(#4??>o8xq;MNWyE zbwTJo9&1|NJK5hSZOx3vK39iCb?=FG^!KA+EKVPJ*NkA%HQ7T0@FMxzsmUn8N%zO> zY*=$3`MKRgH>vp2&nr?f_xB}c##A9li03C=mG2I_A7N?CH61_RSe9-$EzKc!wWxNS zEqhpAVp!E#7tXB=w-7!rUaozU76WZQOyf~a(&MG_iu`YQ>BIh6^E_9yZ!bR@n0b@tm9s8= zYo_oc+;S>CLnHvjsFn7idfMJ+dcZf@{SAzN>19r4QY#||vuSS`)pzi`hA#Sk<7NXA z(t6crH}ESuL0inh_;mTO1Vmsf^{t4v~+`Z4!Ti`rg4D^!L;wa z8wrG_^^&|cp@-rHQ*_j?tkq!^I29vs3pWeHklRt^TNyT{N%S;TpwXR^5&g`18@u|$ z_UsRt8g?Ts7%e$5{c2?AMy`IYh@&E{5IVx}@F|daG5T;?s(rIV5whd1(58I#2LI;7oB%%_vDWME}faRKUYk!HZHHiKhPHIV^t-@7qv zqfJF(jzgq_3^ps?p;$h#Z;udd8gA>t2%Rt@6Y-d-;GEVR?RK=LiJq^VqHd3ImW59CVA8K`5+Cx7;Ym6=%@IO zlYBvAZ7wKVgp5jr6PniSvly21|<%7uTS1sI5{Crb4jw>9~PS^Gq)zCJLszUN>;FEiB%c zuxe^dBezca-)ho~zJ8I#{U{Jp-XM-ugV5x(mb+kc)=!=@lgP}AWVS{nC}w1TxRlFo zl0NloER{rl280VdH!d3e`&a!iPz|46?Pgw(ubk%?WBpKX;>Nl47Mhm)SKDw#V0{f+ zt2`l<(ui5$$I2D|E+fzQ$}FpuU0;p^vg@Qx#-C1;$@p+Se!|0??DU@@X{Ofi$8@a} zaKh0c^(;Z-aX8u^~kzTFC&$ROX?f8a$ee^YvV^`?&(O%!|ItwN8(@L8Klt zMRygv?PApe_E8i!L9D)f=FBWoGVsWo=$N98yx7t!&C_;TfXv%aWi+FhA?h_pHK{sGEJ&aVWVGNNAZ?1X|SiV@H;dW=Ik(MxFC3zUOU+=k4m}ZKmh#1HUUvhMcNj z0yLLRQc8o-$rJIlbJH^}b}{YcvOck%&0KMwJlt{3XG$hNd()3}*RtdOjYs`vZ5p!B zCG{lweSHa3+}lXX89cgk;`<#q#`hkx03h|9tpnuMKeh6Nyn26u?+ee|A+N1p@cshd z7oNGk(p({How9q$(Xt_P=i>T=Pm0(*?-$I!Ue^r%e$nj@58Us8?T9Vi8_?^Hp6s;^ z?QPu4KdcHbvc6zh*j!1gV7)7_-6DCCELN32Nw!#1-_%|ruB}TBiW8%aXO#L3R$9K5 z(yS&mXq_1pwXMX8Zmv||wTM^taxq4LHCQ4#qxI*;T5vf^D$9{tDt^#P?jUl}C z8fhjnd{{sS5Cb(6D`AHb-r9n`vPcuLpWGq73L63#uFhZ@LiFE*V61&IPWUtS&@EA_ z1bHW7#Gz4x-`wjW!-2skxbo&@7pBObxO)@zTblsiSd=BEzSINm0tZv1uVZij6v-_Tio~;I2k`^`S z;%-V#WB2ttFWT|-zj6lc<5P50VZ=NTP;yS-zF0HIU0MuiW7ncbs%LiF+@I=p2cC!T z>~d))9xikK+5DY4A5qt7!RQtE zV-lpX1}i^Bt8{hRsL45!M0j^07?DF#4{N+g^2vI z<@s-U@D4Klw_isW_ex9iN=q^H;>K{p1}fg%vM^wJUQRa~#g5CTqSx zY$MpU1{<$jje7X#cA;Wm0^#Hvd3)uhrp9$t4~D?(gBW zV8*sTo#RyG_e?Uzd#y8He$j<&&B%TRI(hZJn$0bg2cO_m*u2i`UMhNQEXy90%Y^Xx z%fH#?_w4Y{g7U3X)&}Zx#=ovsp77E??ctMjULu_=)8+YsW4nY{BKpzP-2NW-u3Rt; z>x1qs^pa3Ml~+6X8o|||4LY(3FwN_VJV-=PY=N*DHm*M7-EfB&>~PF|jQdn%$Bfb| z364WsEFL-R68r&^Z9ekozAS{!FHiars3Q%JvLJ_1bYcasfp7(+kQGT0E9K3^;C^bl zyl{R!;#KI4ce_f1U(i)sg_C=cP}ZOic;NUpL)s1-mouHCS<+7+&nlPXjI>#nPkCBM z7?=u?T4;7dxwBmnF4r4S(R^2}x%_#|`hE~DLr7GNIBi+;!ZHk0X`@l5d+K1;RXM>! zva=fT!GNe)fX=D9wZ45;y@Dq8$UyxQa?XkD)nmRf zuYAwmxU719=zdR)cPn;Eg0^@gxOwzO@A;LrMVX4FrvRONNjZg?(~IJfNv`)IeQ`N{}{Zd44_GeKT2R zVx;Tx_M#7Mha*&}elL*;hMf2U&SjhneaR5aN|bDV zlVM3&iqKc=ycm!=Ylh6vg3zd%^;4xtugQ8!5y*jcTS0HUqjmL<5~}>JFHleV)myyi zu%i5}YSz(r982`xw}%>VScAuuzrM=u`AcAyZI!#Z#Cvjd=I4<|Q#EZf7YnHkF?P|_ z{lg8@k_z@bKo^@(#~Fmx3wr8%M5c8>xziNl5QJTV=|HFEP1jV zZKxBdp7I*oYny8@u-L0!ipcjfz7g+A2E2L?krL*H;I8|ijyLZNyijb)yeTPKD6L-g4wC#_Zn!rnNzEOkNvATYKu$z;a@`M%G9%v(A%)b?tqhK04zm1mZLUN zGPG}y@t|rt3US_x-+l; zQStY8>wn%l2~VWSg8PqPQ63rTzfJ3@>%Zk2ujY5Ar^N`@yzen3Tr6ey^S+xsuRP@P zejH?eL>&aCT`M&O_jTVD&OgSV;>Z5%v2=AcTMY*4 zPhMN4|2us+TIDf(b$-)-cm8}!iA41wzLo0}tSFg_3K5SP1-A%8yhZP~t^6rbwRakc zB{Ghb3wLh@>7lR`oUaac??M;8B6^ar!9P>sY18%e)C9iMHE z&%LX-vLuNTQ%C7;p4bU_!YWuZRMac!Ndb^#fQ%}% zESNUgh)z5j_!n3-V3Lep4zAgG#84t7j&>`eN|=UG@te_-N*NIv(So+b9IoiUg;9Ft z)g&P|o13U2NceTaH%ehMVPtK5TB6YSiLg~j26`M5=I=G%>Gk`I-b6zn$P@x3B2!FJ zvm#Ues4@CxF+RbYBo*n-+r5y7*gA-3STvM9zDq=^HzK2@2&#&fKL9HLD12z;m2^&Q zhWGRHC*tJ>vh|V14*Frz8vHTX^xD$}(t|99{wh1t(>FZF?*V z95}7hLz+ek{INifgdjN}|6G9q(yC?X1NyWghjl*GgUGTjBO`$yze$XbdJL>k1sR`AYmErkz)74dBs zc_p)U;;!G^>1CiJQUOf@2?5_#2j+L6cl7TDfbpaFah z7pV;K_$|`+muTH=dJ}%N8xQncuwgeH4}Osib5RRyUnp=74JQ+7gNgk8>zj!FbxxQ+ z6=iZ#R;y>wmjLmS2_(R4Wru|-h{~egx6>p|W9`mW#bU{E@90igr#tmo%6BmE&B)2- z>h6hGqhZl;m%w#%Y78OBs?I@E@51-PHAbw?(5C~)MVkhK{F2BmlT#CKxJmuc5>t z4%ke3W2+(#zysNAMbKifPS{KqE)$zNtiwt*-%AsW2riJH{j%HqH^~-+n7>6VGI&Y{ z%JA_w=CE3yvibL#5ZJYeiP{pZQIz=5e^-mdB5SPZBYdtnA$%)xt`l+d?xg#)Fno{p zrKI3mQpC>;RHnl@av#{tu`wq`&v1>XEIA_|Q1y`~7g@UdSz6jb@@mCRD(<_d8k1M9 ztGZM+Pm!eVRFIEfh^6tWflC1wr*77A}_gBv-d?_}?Uq;54y-x`_Obe}ok_ll_|0Q#qEFG~B zWFgL?#dTL>{cE7expEsdu4c*$h{B?`Xdm?%!Y~PP-;Ne?zH7|A%xgCPb2g2yc=jst zs=9(tl;Chm;bEFb|Gt-Eb;I(#@~u0+DLLoCMUUO+85A#Zq+fsCmK=axkOM7DdjA{k!RLg+q1jJ=*icM2B=t9k#vO;IOlQ7*_ zvnWzT8dIS`BSEj2>+7Dej2!gzgt?KKlzjXvpL5wr{zhZm9wp+Sm#$+N;bbL=XtS15 z!)24DX2|E3uX7h#(}Kuga+qj5T2tefAut6ix+Ipq^`{$INoU6Y9^?G2u?_aM%H#b5 z;;&YZtK#)}4p$qrOu3_?X$nC6r6CV%9Axji)i(Dckwcm?3*Kp^tKCT4GRg5IIC3p^ebKrd z9Uk_jd3##2z8^{Y&m-+;Hc$A!b2~Rpof(=Gyy-g z*A#Z-EY^G5g3SrVq^oUb$Q2&@z2aAj83NNq9w4Axj;l~{L&OS9BnJExARYxsM&l8~ z*!7SWT}P9N)FX#?R-+_yjG^rRNDNyh3@OOg!$4AKkNE!~IV;{>112jKSq7Cn1v^;O zrmDToykNChdcUn+cT3b=F`l6E-VUw@YSh?24+6fY(@shfTSU5C3nuxyPE|nHkz)Ybjyt1MG>uP6Gry^f8_OG)7Z9oO-4h zUYeNYJc;vRat}-NBU^D>-p2p@r1kMVO*Q0|?we5~Gtp;XALOzUkhhjSO)V->1l0|z8c}~F?@4Db2^NHN_*94uxjeiUmf4o1 zUKMAw;7@GLe$PG367RMsM;FLTz2|0fkuSW( zsCvYN!Oly2d5onaTmuot$w%K%U?}?>m=qb{>}ioU>rD#9nw0|%hhNg*RVOS5HM4ka zDg+1jA?snly!(R)$pGJdt5M~AUIOv zWA&E!#~!`de0#Y^>}t1F-hz|P%ZM1Z$LGz z&Fm3FNlwiBDA7HLI?o2%2|wb26w{iWmWKDZ_!YHel@^v_CAFli5Hw6OF188r_41nd z5wiI4XJ$00nTV0T0=}>;`?X-+$ZiG#$_`)0C<~Q{IFIV53S4I;S9q))%$C~f|BQk( zIyZH=yIx+P@H+-zxCucSD?HquRJ7%Tz`oC;DTHs+HbS5em#sy8kRymcc zm*_{(<>yRErfYk>OY9P1Jr6K8a;4n3(vP28rx9;x8(7nib(!y+Tc?MPL?6K>#3fk( zzP(G0U8~#QtDWis3*=(L#G4Y*7pKHd1aoFo5e~BdP$GILv>OV|9!ykPk@vYBsbieZGI|jI6J9N%8&a4EYhnmW>x| z&mRx1@Fy!5UvJnMr)uY;JKU?F#6He?%ePH^&TIts-GJ*m?O764JC*omKkT=E*zc2N zFZ*nwLh;ajQ!{O&zqd7&pHt+96q~|7*=)xBBYVc{g%h+-Gt_@<4_sH|g^l!cU2(N& zjE|c2ZvA2S7qJrxjg3&*qx|lUaqc{AIDixNNj2!&DqmYTT(wk6U{Wk^n@s5@@weA- z?N{&~CIxpMn)EP)lQCv|lm(V;aq`5?gos$KV&Z*RnzGQ1G}_+LqmEYwo1|=JN1XSG zJsglZfrUwi`ZUg}5q^(_aoV>a?ibtq3gco~Uc-zeF082Dh^XESsC;1&L|@@fH;!015X&q!^HfdqV^O zEe7$o+jdR`jC~4x=bTQ@&i28rAjR$VX72>Usu6rZe8>m?n=f|MU627^QC*ej0Guwd z6H-;}d$Q+eoptp=hLktKzymq_gqdzDoD`RdDi&p_Azk?S^VN zELSZ`k}}n22m{445YP&Hh)t3qD9GyTZEScD?A-`!>4Z8i^!KTM+D^dv)o1tNw*LZ^ z=tDp0PB%qud6gn+(`F3LTM(5mD1vBMY!eI)S$t9BfCmCNQEw!+h193NiF|rJsRc?$ng$!lWaS!fQB5VBfx6w!;?&NBWm5Rnda&>aBMF zKnMBOHd*s^;+pp`vB16}i3={^a?_cIWuJt^g$4DQ8ub|m;ONjjf!K2Wiz@-(_FJ6+IL5Y`he8(h0QHHp48|dy-)r*z6rCa4 z4n&>90xla17%SX}x5A(m1@20Yy0xFn7k?@&Eq6sC7!S?%u7>;|;7bxD4cVw!?j_H& zY^JRO=HfSw$7tw8*{8{HB_wDAL>Ld$%}Zu zbK6|JE=uF9?2DLWGHr;>HBYn0>7z zAMh;uWF$9V@(6*ZO7-y@Cx>$OC$B3-9z@9b;;en{rw|2M{1NNaP2!RFFrt7t1Hkdj z=?|ic=i{9Z3bp33aCXC)il`-+i0G(C-vkttnZZk`!pL$QE8#&*N{_z>X}Y#_Ap(^+pnI$byGA&3tmuG^yZg=@^o>i~#R4VmFzduG zZO>AF@0W?|5(-_!^_d5=Z2d zB%$3dirGDw1(~;~y7unjkY#1&frZ&U)OxdZU!&dX8MEIlq&yc#q#WtctpyJgn1G@m z{x0-YkB$WyhcWJw(Of0g-Xvy&JKOmpvh3%34=;HS^MDmvyp%Lv_WbBuTx-$umT3m> zh2Fd%$>0}Y^}IP0{iqNH+&>6f`Bw=QU}^sDVBL;!?IehLdQE%{{_T*E|z)W z6%`Tt=koe~6V#fZ^T>wz%e+=%m~7Qvy8~FMmz^dr2kMk!5d1aX{+KO%bgOlPOV2CNF26&5 zznhAMVnWcaDqZ9hi9}j>&3=bwyO%(?sIBL3ch`~b8y-@tt3+4tVJ)xWe_+8Zxv$WU z;=UVsIa6A9iooGPFCs(Xiq)lsEe9jP>f7D;Mj(VbnVNBMnF|pqaIB$M+x1AHeDE=t zZ9421dKqsLf_N`c$%$FQZJ`&Y5Yq(lLE7P>i=CD4*!n~K$^l>Q!DL!%u7ktD!^4w< z`X_GoTp%@1+1w}1ZfZ+o&FR&ftW&ksiV7SeK^(g$OR!=>jE$AlXHk!?(}j55{Zhn$ z8qBUZUYaTeLj82$=w6`o*;sfxj;5`DSP6f~rtH@49SNT)VBqRzvqsi)Azeb?AD;fA z8r2D>{&+3YFA{UQ_e%d%Z$Y#T*EiP9u#g&N-MobfKxD@EE7=6hw0$q?;KzOp`?g(7 zAE$$h%)h?NRuy~qid=aD7p~gPHqS3{+1ypST$h-4DYLF$uBR)cQlrI?u$(a}-luBx zN{?RjO1Ltk6wA%ZTcrZjVtQq36$0+xQ@8ZS=B^5l@3`ScKi?NG^bF~4z2wBd!`+Y$ z9$5vhbU`2VhzFF#ofhv`xZakH-V!O`yVcxQy5y#%E;-ZJ#_M<$IUjHf5$5inSgg0k z-;X3n8R2Jx$Ab^#ed`K(>x|6xj-;RpY>4M^T2ow8rRm%(S3VLv?vq9BK)3C6_?}Ds zNjdxpF?mvUx*x9vk$^lK{B8A$bm>$DPo73x&yPz-r(YFx^dvQ z*~a;!NV}@-+kv(3Uq;DyWSkpiPcf-+M1ozl`wgo5zkVkAE-4*ba^9yo{3O(8C0&sA zQGKolQ=>2S>XiF}zFI}n^j6Otlb<~57e-vkFqIQvMq*mk+=%(-@Z*7UygA)=QiS_(TrbLuhlE2-XeJI*cRgxx<`FE>lLSB z#BBr#79Up<^x`hkmcgw{aWF}tl5yX{u)_-;Z0i*$O z5y9F>C~yLL8Tcj@ZQqlmm6)!DOcD4sVU@xZFzgXC)$0s+~`-hEZqz6EZpEQD^$C2Pe_lvsw>QRRE*HK zg{{bV*7lT0>&7d`T!F31&Z)V<;2tD@mq!}apx#W?i~(pIZOse8!^_Tzff)P{-G*yA z{scU!Jp~GU8=9p_*p}~i_jHu05x)SxQ@^E!Y(yM_2b`a4d9=*!uIVSduL8?nFE?&rF7ePWm2cD9#~P^%L7> z=wfmt%UE>_%AG0=CS)aW#kOS-Q8Ao$j|DfFrCZ?MEb z?3yG+${tfVxybNh-?eS*h!Bg4_$$^_pJ@J&R0!++lcrF(w3*98#vw#@mY!Z5vN0~80C5rWZWZ^ zwuNla9e)l7bJl#Xt3)IDkpb*_v5jd(PyZ#YG6EnvRKd)KzRiARaobB)=dg*UQs*4Y z$|HN2b%jT|m)QqSc~yv{3$MPcP+SS(!pJwtt0<>8b( zPef}=>6m!12k;Gs+f(p~&MUh}9*jGYUfNVK;N#;#O&N0|)M4jhx6imWMB+NB94f!1 zT$~R|_U}JC3quBrS(zd4P!2sS*uhfh5){H+9NAx*w7^aQX20J*qi%|=SZKsNq9J5X zQ6UN|e>ie5#{~!Dl)ljWnkerkNnsnEM8Va;&-fyP3{(U;tU9vfeyY2!>n2Yg7(HCw?yCFO**|a`72r)GeKOYpZ7h5 zvqZ-CF}+Mq{l0Gkb7aoo(DF{qPsmMIY3kJEQK}xM4r_cg>7P)T7NwNeQe*`$c|;wq zNsWc@c%NkqyGjY1BUQ9)=l0WsaDD8bXv&2+JThnY#>d%EC8J&zo!q_18^K829kQe> z(Qs|j&62zUY@2_;8MI2c+2+RG9+duJucB>NXwUhaZu$NJJ3QxkEzMjJR9TJVBAhhk zefFGA$lHq1nhUXQCjVjLi00`M6t?-uKk8x$jn%JOH3b%lxkc!Y=m%#!02^8)O1|~^ zkhaK5KK9qi3SA`Zv|#6ESe1eoN0L!13dhK3^G0N&n(2`2k|09-M{x>fn91okPEQFn z`VYi{pN*AG?p30f8B6_w8%XiD8YrF>go1^9WWtt#EYH5YxQMg&cJ+*fKj@}t7k9&_ zTB9lqHqHf0@bDXa38$B;?DgM9h$~Pc0iwUBYYY+^Q|~*+G;Yjm)w(Z@qFyb0TW9g3 zMOIeon=N5PF}XAvvpmh-?3WPfSaOS3c)y z?>wvggv(;ERcyA+o);GUEGdK9P9q1Y%KR|(J0mq$k+&5;8R^xA82g0$&%*P6074we A-v9sr diff --git a/cpld/db/GR8RAM.rtlv_sg.cdb b/cpld/db/GR8RAM.rtlv_sg.cdb deleted file mode 100755 index b79ce10d8238941eecc62edefc88ae567c1b378f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14335 zcmZAec|26_`#6r<3fT#fohbVf#ySZ}LM3a4BvHt|GZV^?WyqE+V@+9;E$fgy%-AC9 z7~9ylvCo+E^?bd5kKZ4ka~|iuuKT*K`#Se^u6w!8eV@ZdK|xW@KzWh+T%30=poNpS z&ufpjLJCT<^0Ep-cl}P?nFlL3X2Q7Md_)8v-LU}q+nQBDIc?W>eA?`Tyo;@d&I-=xIS0Wy3^Y1+BjEfAAnTH+vBrrni?CocQ20lcT94)DF}1oQT|8K zuv^fqa&&DTtN z*9Epl*t7k+yTG{?soNXs!&=OdVX{|67&Y@lV6tyB@OmJy72{yD- zMChR!@o>BK3N4q3gtE*bwB|pjrlpOldzm%qZO5~GTk9<9w?EF< z*X@iN_WMg@3;e2xI&Uwf(7)_@0rn^qNJy&*{+A8uQr<8rSdUnCQ%+7M zdna9e{bqMg#xihAgdf0Crh9)+om09Qwu}0688ZFl%W28)0l?&>$Z^Tjr|M_6a zn&Zfj>s7ZEE@qa_bp2jn|J(QV7hvN8tlbNoz5pBd0*S%DrIE?b#%0!>S-yjcD}}CH ziV~3O6t%T4T?2-t-cep=M$xqeX6;=nfw;L*Z*ocbYDR_rXGs~VOk&CJC8t<qt z5)h^83&PBQglWTx-kp}jrqTr~kl&PDh{<$(hSn~7LdlKPP(BfvtX6pRqO9SJ7|Y)A z;7mro`24UU(o!tE?|oFNY6RvQ67jS*BfmMh;<0VU$N$n)-}r@+Lf5O7Hy{PSB-ePm z%nc`QRy=52iSAmq8Wd)TJsTKTV0iV=hRU3g^RMMQw23F~U^ZazQg4~=!$1ak9$ZFp zQy|x8#UKoQ&>Qn)al!05))5X@!)IN^V`ei~b`;8EQ~r87o3;M9)4%UL(fXsw@zp8M zy#f!)>{Bg|VPPMHAcA4~$FB7gs!IJCxRs!nGj+t}fhN2m+AFnjm5G*D)fk=#f7(&!Vf*)y6`z~2P<=|3l%DW;L7|3plc6xW7j!kh zF~TEgDv#}#E78i_+-OS$9nY%`qawZ6-t(H&MUap=>xrD(l7F??zxLdSy;m<{4W0&! z|CB3*xe>1I^QKOS8>yOwH?}`-e#oKnyT3Wvv*D$Q@#Nb-{)m#LL>XsedLmxN!In;} zoZcX~ahL_Nk?>Bm6@i7rq?1Bw_;B-mpQ^c^S0Bx9@KacnWxg5;_eC8`0y;I&Ngq|)l@$G zo5;s~X{^spHrVWo(a1nUN`o3p#0WcGks$Zy_fI{7K<=G`M0(V~LFxuN;z(|pB@U+& z;q*d1;jh^W4SIyHnh4y30o%63zafNvK>3GJ0BS^=lrZdjqIA@ZR(Up)x zK&-NVsK`(Yx@@-^P&Cj_5vi&I-x8w0F>|JgPJfS0(0svVkyE(Bcj^;V7P9t0p!99yr=Bm! zilwTBZ&E>J0qYl}@(a=@hd-IhOdzG2>kb&*=837ZzIqOcW_G;0tafM9ZlHc(%FRsL zPeEyq3i|F__vbxv{*#}g*}peeS@yd?Pv5J+H{Az`-c`S)G2EDuDr<71i@$%)iQXUa zZsBWUWApaY`)V(izSEA{i((FBIuvw=X&qyr$PNxuefhcpy2}{UvJQMeHQogbVYq! zhDYUNssAV!-K>g`WXQgW38B|Mt;sL1$rq4fjbYwnfi83~$PSAL557=snR6y$0?f;o01-stKUk^atj1zvx3 zt1v>W-4`zndV^Mgo4WDnImj<|d+>nn{(TvgKm2TjzpqWiBdX5SHHsHjCkx?#c}I0J zGD}$4R6DKH>P;cW`nux2G!klNAwF*|Wwtj~pz`)1O9n;C?tk~GvonLXCYIpVMR|lf z_Qcb6=G;-pP^vUx8v zv&6@}HnEy?M!o0SMyt0!i-7yr2VUY^be1M7X8`s8YmYl=g(M7zJr9 z(^iR+w_&Q+EBeS(nJCZxg{CKOwpO@eXYtyr#dn+%9;uhqay<_IFV&!^NajrN4xR4vLiz#SY(!OK zZyx1F{@T9=RBTC%zE+JDbo9@AE0rv9j$VafzPKsi$*i@W08E~M7e>4 zH8UE*@S^#V+4z!^v9+0q>z^;d=4RtH%*sy2*DWuQvF|@Oi(Fq-a+aqyS=3mp*bLhQ zx$3(*m0u95F9_W>!hV4A1%eukLN(#)>Dp3#QlkZ)A z)t?kT`R3*GIsu-~?hoWqCbn-h%bVDUYQ6fhgBh)!0zIUrOJ9g4kx(wGO9~x2w|9nP zyDFgZGT=v+HnO^=)2thE@aTm`Y)4ve@W$Q~w?%YT9$vYpVhlZWIxwxLq z&0WY_4JYp3340XqH`!v;b&wGF{ig4mw6yXK?bs#ic#+gkLwyw5iBfd3!q5G_sT-p) zJKe98?v&dq{ip?XJn=nDcl93HPO+gKg;Q5Zu~(0B2ER!#?0u+^UcmqF>CE!azYc28 zWBV~%Zy2M5CZiFY6KldQa`%|Ig{otZp`7EAUuztsquo0E)x6sy)XB>;TY`8kcqdKdjM7Nc% z;nKZR6pa1eUG+)@p)I;6JM7_g9mB1pbPz9aL}=w9%fNK87*VX+!`*w&a+mVVYH=&~ z-V`cCn0;@!@@utF|9NkU4Uk!7qBQ=xTfK3_ugve|+sjge&x?wNeBYKCwEm0hESXcv z<0@93*>}T;`(y^(+79!c{m??X`srt=_7`Qt^Rc7{w@v$p*T3p#iO3ulJk&BZIuCt{ z8GV9E|F=;iUV=1S53*UO%?{2FOx@xOK8Oc|EK&N#ZZ@o~UWbvHQK8r#qQ(n&0Q@uLV76@sQsy4940m z86LJaTnL`uj5OTimkwBDc%Q^~b;U5=q(#x+SksmM8D!#I?tYe#x+Hr8rYb`bmmCn5B6 z4eptyOVRz7uA()Xqbm*&W8V-+QF>lh%^%;%C%?Y*J52^IJiXG8E&KIt8asTn=W9j! z6UuN#aj?|W(AKYG%)&X9E=~J)$W>ydvq7I3i@fRl_~S__jI&sgTJrJvQ&s+jUyRxE zHuT1E;!kUD;J{7g#Enh1JYwUjm*AXIQm?kw|@#!Jp`&+_-r?Sf~n zW=HbA2&Q(c7$X+T8Gb)Tq5gNATFxLC3nn-4Lji*1in*7rW=24#OVya-GD4wnp>k0KRtvxJxmM|5(eFtlMi*z zrR%Ttx<9d=>>4+|MDFcmei5BkF0i4JI*0EMyh&EYa4#eetEYz76F+NAc+YPxiv z&8yzI9?rZ^(SN{am-~)6&apqY|F&rF^1^_OTAF8;B2Qd;#)Z?6er%$CY-k8a+M zb@@gH7_wwvbBZ>m)YwQpKL1{1sl!pF?Q8N4XrlOt5jY!8=8&M0x?Ep^wi$4+-empm zecp4_WZgjaQ2#e4H2l@ldAR%K&X}sR(Gumr=*Ip<{3U#q>a6h6zB(hcwlX!iH%5k9mPfZsX}} zg^${v(lQc*m7*_5mg;=HPG-2#qMMm19k*@eT}Yg{h+WzY9X?~|=ijX|1+p{B$g1jCNl5`%8MbLdRO&8RYgs|Av+Jr)*4@N-K)TY9%C+q4XAkkT`+^Zi zw2yfT()CD6z4vMM9i`^8uuuAd>(C9%PiN_(J{yhuEAQA7!c3O^}yBiFt3x zZyhG32*)-+RTM0?#2!}fC+}iGSPYxYZc;G zF#=RR5R^@XUqe{Qo2Eq%ykHb)!5#Dco<^wi_ICvB0&`MUu!Zm0IwkNHGoTMJRu+h@ z1w`&bO_~EFS-t@4-a(10BN-==AlCK*MWnL0?V zI^wUw44o|M5$MbK z8{q0e5bMH8DE%N%_g+u82i09N<{c23V82?WbXrLT=8o+Sybol@iUF$Tr%T6s(Nt=D zy~ru>lgloe>X-Mw?!$!G0BF4rCoFhB38EVyMr#=AiVu9{3A!KGT- zN>5Y2wWI_akfKmaIpIMGdVm`V@d?}&c0*^MW#JADA|afFw^)^<`Nphm@x>_-KditC zjK-YtF@+xJ^0W(MRalXw?Dan9Mx11gRG>7Dg|=MQ`kB71*rD2u;kiYQ^NbP*JJ|EgKt#TcEfeRQYIx@cV z4dYl6w((RzD1A)Od^vq*^t)*;idv0Ngyit*5x{d&oJy>$JNl}Pw7^X)yA$wmZrH3A z(%TSpcY0ridhr=F%i$VCYr*fPJSxXxxq6gn{rvqOQ#u$;dvFSGx-9ZwY_K*YwL=&% z{MJulpdt|)I0Rk{naq(r`!t_u<(__^<49xqbX&s7$J&*(U!&kNrOdQ*#XFX6*o zF4}ew_4(tc2_*9sB~vppz#du+FL$Jl8kQ&tB-9onx{;ScD!mW;2VrSXhL(e<*3VYZb4)VMhCuo) zpqYzc#NBvka%>fBh%APD90M44VZFGfp#8cNd-K!fmDffme{vIni%#U-u!x;J)0PSn zx$_*_P!;-&e4Z_RI-yT&Xj`uA|M!Ia;K>r05-V&_khpQD5wY-$-XyX)Cu^AkQ-x8V1}Lc z5^O*v=WY?Xy46RU5d4hx4>fJiH{x+XKH%-B7k(>S8MwWRUEMW`qa@v$2cT5I%Ux_% z8;aw^!+0J`NvfCFMNyLi#C9<>0A~VfERlvp5ZJX(eTULGsWh3#GLa_4c2NwZi5t5+ zSIF1dPXZ``WA>Bc2;eI-sYeai}~A$MQMv^Q_0L z^d459e_azqgoB-$aU`MbUSYJC5X$YwAF5g)V@b~cd0gVrn2nd^l=6%1r7r`zzABL44znf3xSV)Ntpdab!hj@ytSspg&-l;KqrI z3R}Q_o)^uX2t_*Ki9F*KEZo%|y}d#Bg@yZ|NmeF2t@GC%Qy1>E_tpG&Ny z-fTLSc6%*VThb!(cQ;nzH@+L}fTFA2Lj;P1Zd(FbYXf;?kG8WmVItbF8z{mjnT-+p z-2;(+#m_Jlr_Wi{_pk}4_>Gw}=hqTp!hn6 zAT5xtKEJVdlyD9Ehb&;eA4^a(^Ey#q4LOJI3e?((SfDICqaMScO-d8ErX!_Sb+56l zxhs_~&SKwo7LynGp;!OWCjx9A1V`-`b>X35&&$pyNa^ABb8w6H9^PKYeND+jZW85n zT=B!EJ0Y|6X4V?oF`{5!lizUD=)sG4gM5$kq}`e7lp1SbFe(gYk>6dt)=O-?LJDpT zpg&`XD^-lp&B?nBO+I$%g#EC!&-%={0u#PQ)&q*sJ*|xqEpM}%za!GqP4YMgTUW3OzRp<*taf%7M;;zTb=GB3~t|V90MZs zfLyni6f0~@Q^@a!0S>1jH^Zfh49?BYlS^2pO~tZO*;!cER|)l3PWEkeNj{QG+^{#< z>q&qL-`p|Xjlut_FQ*G@v4oSgy6vfCJ$*>8+X7tQ6dW2YqL?v=-}aYKR{+@$c9Z8W zEPEQ11BkU0ULMX$sxB+iPaa>rzbAgd8X2kNU2aD zq{3XamVyM~3i*46JS z=LsJ8I(`c;R;}_wO`m-uud<;j!N;x^Q+M~FJ}Ga;<85c?E?y zgG;jF2INQ-#Z$-j@OVOYR+YN)3R)!*XBD*(uAH!BX`WTi&y3e3KRb0WeeSb~*)z=} z-#M~&-r+K_?|uyHa=eu`KkQSXU=qMX-6XH-{HMLT+^1qd)G^XhAYCo2@r}>-MMhPw z26TU=YZ3xRz0i4)s>)`rtt3@hlb1-ZwlwHekmnPOSrsSd=~E`;(3w@uCoj1)+tDSY%B;T(iF`z z>U&19dznPfdt%;S4Ks((ezS#~rbkcJjzoI3reOLiO2If74( z0l^US&5~^RVLG(B3}}F#Loq-_0)kcB;rVHF^q}Zn1$R$=%P&4f*L>7CDE1NbYooS3 zo*2v)CO{FjTIf{>KI+GG$AI{hqBegIDJJ{YLKMQH*?zZQHDYI8VT}X@H$T=F95|%$ zQZX8XJEkQG&$4BWXDe6@{s`~uIf@T! zS+}hS_gC7;X$ISgXsQPrULWweiB!`)t7h7YvV#DhbMjxsvgp`aoE5K6uG&|UtiYCX zUhiu%h=qI1@My2a!dz_>q{mD=fjQ88f&09a9EK&j9Dve z!s-lfHlwfMISd(w`(Sm8$K7j_>-W>Q-c2B#iL|>hgt$?&;=$XeGw7=R{#3rTknbTk zIL4~8$yU>Ejz9-{_OTbdtx=~+nN)E(C#?E5&u2rv(Z7qPdC34HABu5))PwK(DYPS} zM6IG52TDFBmIQtcVOqs6Bzo%!j3^7fmK7szU48CV2@LeCI)DA67C z*}>k(=uGMp!d2uh2V8M|&h`^melm>C;3L`KzsfnU_I8p;jl2g$&zgi$+Mm>-AYrZP zHGqD8H>2mc`UG`&N>9xB_H&Swhu}r-sd|5 zHUd?whSZO<`L|DA4oHwtv~ra#iHl%dZ3iDqNON=Q`7N9LZ;s;wHgO|n6A5T~IKXe(2eY5}R4Bd8o1`3rg%uT^O+ zutFiK=ZxgY-?`d8Oz;*l2-UJ1KDY+sY=n9&A8vfoy9fN>Lla0BA4w>2lO13cc%GLY zrd0o?UgUabsR^J{+tg@4Dp>cQH31CENLI8P3;xQy^p*MMB5Jg@0J{F2j|&C9+}#t( zl(C_i)vu$W`HoP>44Mc8VQJ7wlv(uro)t%){{#ds@daDqCpziSXT1UY~ZUoPh=$8Lrj`^qNJ!SqT=RhXHRg~wE{2KF`+c;Z> zEC>~-G3U(xF%lI5ns`^|N+ID|fRTS$ap>7fJ=H`7O4gVU?dfRX1vOpr^xu9*aA$#U z;kMfx$m^j<_I9-g!+x!D-dlO#%A2m6F_q_`dNlY)^Y2#%?TSy7(Rm*$4%IXx2*F*I zUI#w#ZZ*Y-jy+oU7ek=8NM_v$kb%CZ%Ef5;ZIu`QnGPM;Re6|Ed4Y4VWyN1bb!U`b z;2^keq#x{$*Wx5-NtGgA_nkuY;_W!vnHDwS?1%MuN!gP@hEo`Y8*cPGdnj=HY=@a# z?B$7dD+j1udZ5dHP~-M163PM<1&ed``2s=B55dI9(NUtst;JurJ7JeX)mx*B|lQFw;_25SKo{h<);3^NJYqLf3hpn8hL5 zVp#XI3KYo<@1^gEe$RW>a~tYHdagBelD8Lm)E1orchI>V#_KURJ9V_;Tj|a+q9u?! z38m!bNqE$IS8qr&I1ewh0P+CWr}nJ+Jf>~jc7_$5VQu>@1}JASZ{vOy{7`X_D!V?0 zVL0YKf92qQJu9A-n->pGj8>Y%4Z&;#c>4gT;qK@KJNOZQOX{_i+l|8MsVN^?@pZ>QXZtmw%4 zhs7idF7H`Y)D}DA1gE;S1J5n4$LGK<&2ABd?lh3+Lh?5CRZT=82<&PITg3<>7W*sb zE<);ZD%9Nb#Rj-`&fF8kMHeq+Gcoy|7}g?dGY%W!o~qcpiL?dUS}47*{yU52Jzn37 zDU&;^Qft@(ebS`AqeSo%>8J7N^nl>_#E@Kr4A1j`2JiRzKgbz-`n#Zx|F?%gaiqj^`dx4_U*bQ~=y ztQ#5w`tloFi_5?vD^WK|r`JfH!fp`jFb{_0LD0JXkR{^~Tt|>`sK-lFFt?K8%;;^| zbH4RmORBKf?^>^{VrW-O!^v6s>4dJlCe4AJkFjOH+!}0N`Bvab3<*p&ekx>%b7jgi z{BDbLPxJ$Q2g0bl5GWzXL+Knj#g;g^_bOlkb~ zz~@E}P>B7|Sb~)Dpp=~$Rjjze+(RIZ_uA8jNV)t>_u}NMU|X@X*G9YN_RV>kd;T){ z9I|i%s*mgrYb=@S3KC1`3d<6V5D#fFABJzl+q1PbP~WcLs3>6}q=)bkw3?vIcXM8K zf(!?B?o_&&8vwl}ub4kdGfomAUtkn~QAgT+vJoFp&X(06fVOLEplv=tbT|CN&G5BP zVmc-@A_z`!!Z{t9EWkWb_TiwR7dLaQU2Ul2^f?XQh#8LRaBX=-6x}1V3!vd5AbVfX zgn=hlAgKBR_XJJc^W+K!3HpP^!sx||u6^F&R_8P71DrAWklvk{OQ)8vw{||<2$B7b z@_r8pvO%|t<#N}v_D(HlGmX}(U%UrC(1D>Gsst}jq)hxS%e|B35Tq&%!jfi}kA#PZ`jAo@S04RnAc8`)WuRC@0O8M9l-g>!xe zO)t^?Bo{1ruTM1@wVO24-(cxGi-KKVt5{iik(Be^1wfxFpp~mpL+3;}PuP_Ox<^iA zcacCuR2-J&&q=6r=j*V#fPbA6i%d|YcXI3~0kb4^Hz9?yCapB;?d<=50PAL%qSlgO z>G<^Ih-&Jb=#3)a`)N?@M-o=y#mt5 z>G|9%`yn}|Au!ch7p3}fK+DZLSe661%d5mlsKYxn)}&eJ4*1#u;c82k-1!1<*Hqj7 zc$9>B^^GAo^!~XT%J<6Z>CGz>#o^)>>wBLE08cp&E$t=fX5K0Cnu!}3Z*>uqz+Ql= zPLYf6IKBWexXu;WzqJ1!O%S960ysKi1_4G&iQ5;L1KFG0BM3)Q@S-@J1uXjlf@F8S zo?!b~L?9~}2!i?0cZS7UZK4`KPjSC6l3~?#vG>nZhyg=jo?MjHZ?KK;tZdlavJ6wne)FxM zzA$FrrW(1;)LO4bTS&>SU&9MxcS|X0U+sF01ZzEw)3+1z zF4rjB??Z^}3CQP8vKD{IYR8fL76saNh-@FBUFJhFX0b;GP>rPb2&0meZ~D4=b6yquw2(H znIW=tm-h9Z5Re%kdO|C!`kjxQODkli_&8{uF$naid$q&6@KB8w#6sgacO?t|&LNjilZkCnO$cOTmY3 zKLv4w6U01Cjy>zV&$1SAwsd?69HD&M_MKSsneL9Ha{_n~7s>`wBoCjn_1_nINy%#n z8S%mR#V9?5TvOam){L$`!F-b0GC6r(HhikV-YD3>uRa&Mzk5qg5Jk~gUF2k|U^wij z{ikum{(ImVo@o~Y=*%&2VR}Ef1@Ju+G1*DiJu|Rb){k@2USygM5&k58cCr(D8p1@B zvip+=Tpj{(aWe*>Kf~{il9TF?#ARK(yGQ&pNcyrp(8gIvU3IFTX}t&7^Mp18WQik- z?UGC31nc?T$-k8Wss^5|m(E`7qW*TA+S1_D=$Ma-PiQ>FgFtu#&$sdOp-cw_@2cbB zgi|`SJ6o2K4ww(*CRd9@v9>p8T?|q~+?37+EN~(cP9k;CF=LgWS3w#fAbWq1w2(R$ zh4a*os+I+VyU)GaGUjnVz-K(G*TV&V@Ab1BGG1h_Ox_?OPCx@atjxp$R9a7t zG{XPomf5ndi-I;0cR|vCaM;`E`3rfREn9noN`%j28vGwR^k25DSbk>rp;K8@EbbyK z1Q#LuDmN}oGbNN;p>;t}D3eB5C|}+6Jg>!wXo!(0$W8Sw2(ITzW@~q7V*71YHAS#xE@~u|J^gq z&(R&tOgK%NtZFuVI#N!ZcdhZ9hbr4W4Y|`4dC%@Lgjo&NRr`1YN7RYeC9CGWJpmC! zK#QD^#UJsZY;eRyeJT-i9#0oNA71@^VZ^>EBOV*hvClFce>KZd1jJYTb)JvET}_$| z?%0wDAwedthG`45tBJ6|v6WE=YKj-IIm%NzC%Qwyjn3JE#@T4dT7}0~O;b98fYak6 z+gaU5hOv8TbG1*ZUg#hsYFBf3aozLO{uJ$VpT^ll1RX(#p3&pe_IXdLcA&xE9J2Pm z=6k9Jicr#K!{^eW@5O*+SU zj#{0NrGqDG!mBA)W)9WywVWuj?EdS67W?kTU#L8fBUCKu){nXmGgd^|C$OC$r%u_l z>5kom1=+aRS_q6XOzK~#B1tK2YaukL&z3ZL+7;}pxVg||g_Ui^iiJr}A8tiM$|f%M zHte?+2+*6Tf9Qj1NEX02+2vn}+-ekm!99(G*2NMm^GGjHLl}Puca`f~r>XUVjn%H-=#GXE4;mn<`kQB-$mzrLXh`+hjBKkM!(qSR zx=+*Fgnx(a7h-AA5W&@pZ2Z64fD5J1I%T2GvzW#BX;J?@jf0E(Zt&dQ!VxcuZX2=w zbj4g0F<@(Yb<_Y!*V|kODS}qmr{B9kDxh^6QvX$@9J%#rNBf`u>Zx5T?WS{6D_5Uf zYx;kPKvnFHA#t+8^V}`H%>IxN zyK~-P+6#0|!YX@ewF?oo$H6t>lpD>8K99*0L*=IbPrT<~_2iFTxSzaS53iu`FQxHr zMmf8@8K}Y9O#L9*5t+w8l7>0@zcph2Mx?M6TO2jl1S1vWHGXnK~H41>(r(`RL0WnLKc_@NmEnkqi64>+kb_uaRr z5A$!^xfI$@%uYGJcfcL?YvOY^@{XVoh*De3$@>D#{(|xO=FtNjA59lr zcKF-y+Q{JZTML7#JpojB{s##pQo?5}>$=~|CD@a_*P&@r4VL(a7t6M00(Q*gA}5{> zfJ=^PT{nx6WDjp={*c}VIfa9ydCZgGE zaV=g`^5#m7T35~wc*8g-c{grPpqoN`@?~IAu)4j~=z){jWz+dcmhYhb>W8lx1G3$( zWbK3l5Xl@;SuuCGw&idP@W8KVDD&UZ`PJ#!?k9r+frG4UIhKS)^qLxt~c9~5UGZFku&04lP-2XF08wV zn(QuzqC(M+q2nZ}TYQkYUxE?4Yx_s)L#Gho#j_js2aVQs)WS`6moop=|MUC2TfvlJ zyW4EymCTv$xESy=C+1(R`sSHx3VoBnFYxBb;cESl4b_U~TS#Zr#itQg{Up`eVwMdW z#jiI!vL1H(@2jxDNpA2U|4?tm^Zc3KjL)m^oW3ci ztDTGzBp4yUwGh|C1_&%JrDNivA0qTNgWWIsp=YOT!n3mm=q!KLhCT73L-tHwTC{_P zlua{L*f);He;`(Ab>@l?HO{_gdamwuy#8UdJzQ+myX}9VyGvv^3s8^^hiy}KA4@KH zmie;EpFAw}lJy6vKJ7e}@zmyRJN?uIj zDbab9S#s)GrIHIX3|Sr2|3%`KPdjn86G?3jIw!!z4_W{aNa_0oWqMWTDpd3)c&W#W zkN$D~=W7gw6#0}XW^a#QO&V|eCrmcb-M%hKXXW7FeDkId*OT@ew+}2-x>vgd6{>zb zVPF6#x;}y5z2Ax78*k|q!!~Cew|dMqt0or^ZvVae^t%5ghZ%|$<6HhEc^myN_)7A# zykbrG207zurjv&Es;WXBer3)@e3dty`%_m{Y;Au<#HTKYGa^dCG;79wah5f)aCF4n z;id0i9>(s3T0ud%8HqCPZ5%C#R+8?u|XAI6V}IJ){m`RheY9zIN7_&5Tn z_|V23b>EnKK9M|7_ijLLOXYml!G_1@eV+bU92{rhG7BGx|J^~W0D{dq%~Gj~q8RwD8F?d*1} z)xn4)RV8yPA4EsB0o$j=X;(C$zF=}ZByE9tRgC>Rm6@Rv+3R+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRiU17(fi?)mU=5`YL+J{}U;qFAuV+(8 zU=j=fiT(dy!I+eikg_2mAz_7C@f^M-5>Fa$+&rpjcjK<%5mUPpS8v|ew@}fzVyR+x Wz+OY;-gQHUC0&i29Uy1_{|^8lH8}JD diff --git a/cpld/db/GR8RAM.sgate.rvd b/cpld/db/GR8RAM.sgate.rvd deleted file mode 100755 index 761f28245a9dd99dac66b1a606c22961f8552205..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11745 zcmV<7EgsSl000233jqKC0CNCy073u&09Ivkb7^mGATcv8FfK75LUn0uWFRs#G9WNE zFEKJNGB7bSAX8;>c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*Gg|00000003|+00000 z001@w00000007}F00000004La?41j^Wk*%#_icrd$eWp{5aouK(1`RSN%NXv-*h*j zA)%Ao0hB07pgV$u4zxVRS0|AV^f=qaPfC7Tf3?ok&A7DfQc@q*K2&1Ebq9~6b zdglMDs#UwHPVIg6KKFJe<51tX@2*|79{;s!)vDV2>~rpHHkO8edZ-2AgBzNOpYNe(yeXv|-#XupuP7yb-`Ib@ zC!eGLMh~8w^w3YWH;9?jyBY1@B_Y_~hv_y!33Qj~xI_o^-;~X5sAK<2bXfZ(J?%#S z^@Luet+gx0F1C6pGi|pzvHR4Z7n!S#$EF+*O#9tM|1Rx+1S)CF(-H z$w}!o&muj3Gn$nC9}^ndpQ;0YsvdcV>@xblPw2%SZ3kyfFS@Kf#r(ITKTiSzhANHn zT$HJt5_P3Azm(9>ZaBZ3v?~WkA4*?UPKxb+C%jYbN_mfyuGB`u_BV-qd~W_p?fOE| zZoGe&w5MY_CQhzRc&FNRb;7H)F3zccO4?H&eky5K-mLmuYe;7MOF~ShykqQ2vElQY zKU3dQH-DzQj78h{m@MPOuzfVatlh#wU8zq#l<;ELc|li7^MQm0Kd%Ow6#t(mG^s5q zwlTK6Kan#v9#UTA?idd#&OW=qRo|v8{QPs_&D6 zy9*!z4+xY@J>D%oCTGg0&CgP@QW=|Fzbs(-?QkYg(DB-EHv4})T>ox3|7tkDOy||$ ztByYqaDI9?zapG}E}UPiGYg$I zxenqK-``qiCNZ=()d8LPnhD27i{lNFt;sn1&hZ@|EE@ybAkD6igB!j3>EPy@<3H)( z!2rkob^N7paGa|8)^I#X=TEAQ?MjyrUUH`Yyag;ERBDEl;n zl*OB`ii1O44UY&wwZ69X=#fJ|wM`4u_v-RlI(XhOrf9;tqB|C?UcayUOT`MLP})+d z?hV`%l)X=U^7$9;6cuV3O=^|rs(L5^t)`ZHJ_DTsNA3aq!~+B6&#ZVj_K@W{qPSfB zi(s*gI#}CJR?U^0xW5;P`3b6-Gga-yg(YhT4;}#;&sJ`yBg3|d7j|DviuPKl?UBY% zTFy4qcx(+=uB^Bqe7)-QFMB8{yMj^vzWgmy#{ZPS-mu$gnrBGLStG}vVP8LVfkl8; z&SMz_=d9S;2e;gKqn=1ldGtzaqKg`K%)k%V* z=+0-b@RSTj=5W(ITeZtn8z20M35a>Cm~W-?kne!F=bUZ*uKR%z2#5hU{qChoQdU)8 zlM_paF?f*S+r#VolWtlm(ewV2Ec$ABh3py1TzVoWB2ZIU`7kZ3y11JpmJt9A9B1s` zGX%ju=et1SN&I6o$*JcbejK3yw>Fi%nS{(q;81)vAmrbm*2TlNtAE&-MI}_+ZU+t~ z`mula+JOsnO3F9Xv!-Kw=oKz!z&w9!A+_h!y${K4TGi!CbR;t^CUPj@I=ueyq?=Ys#ToBf;EWJjC)K^N zDxrTjWkgQ`MpsrUx2O-6{;*dYnv4=iiTC0R9w^+zqC1Bhl+p}Xq<+Me%SXVGZ5BW!p zD`qJv9x*fh`IF*4Th$SR+Otzw6*p_+$eniKR=9^ID@o$;zWrn7DyF<>#7twctxmMl z6eMLp5I43$>z+?nMJWPnd`$FgH3)e&D`ThXzWwX_�}CFP5O;#bEci#bD&tX<)-J zbTds@9$w$O7|NUFlxuYiMXKMr^eok_H0_drk%3w))I8rgZXiJ1s-i$Wdp#LB@wie1 ziACz@6*61?XU!g2ah?P(T2ojN&cE=f=l|@_Y&)8XaG=}LLWgridt6RkqS(J7f*iZZ5bi@PI=5HCb4+Yy zGM0?bOhy)oPC!o-F3Mt^fSxcMkDwBVW7f0|K~Er#H=z=VlZJ7}Mk8@YB@(A0s6^tJ zWDuRWqbCv{>Juw}-Dk7*EQK=)xMAyr^hDz{Aw3Z}O-N5vP7_jzOl+Peq$fJ338_Tr zWF$L#qI43Homr%=G`}c+d{D=Obc9mfb#d>>N0~)PQw-?;Z5RP%&J2u3< zb3@!GZHW8i4RN2cA?{rTH#7WJn)8d2s~hjR&zbbd$bExn5jS_!;b}{4n>i*mrXsSK zm1vc*vnLhPlViuspH$2SGHoiR$hABU{+NzMu4Vh_9$iO#fyUA4k_Bi?CQ^K_a2Y2V){4ky3c#X|m$hBXBTU>uY^hjKL5jY`}^j zp|C)}Mo+LHp%_gh@F;VP6?_ySKWyK?LTe(5W%f)-zDmcU1;(+@uWn=4r@+4K@)p>a zUEc!xvI|^bUv`BH?8`23fqmIEF0hx2+^WX>>sqT8t0^*W*9O#?3$br1~TKb^&-Yd%* z@0T9ks<*+&&3dnXhLFAjGK!t+-dd!}y)EhmE&unHVg>RBp?u%+2u5)tAE^Hizy#`Y zFnP0(7)st3*F~kIUkvx%C>$HAnVO39*hF^W*aT~$%u40ot{R^Nri_hyI7tXFCwUd5 z;;-1m3d9y@x$((VMVUPn;8>dQ>c(0{c6IMgyDu_4Q&(eOn%37$v!5#PhK`ByurDMX z6I@IeoqEf1KJ1jZNzyA_9m_|!+hiQNz-0v#vMo#tYXl!2O%H)maw?TN+Lm*SUr!0* zoFA!v3_;7c16+fvJ-}D_+tQ0&Tww1WMESBp3}P=2e{73fp67qM1BrbaTzn=fT$rCQ zAif-eUk$$3sTEtJ7ql;?3FG%a^z3cC>_9orpWNTqX@f|`c=$3NH{pO0Pw3**G(T3z ze{yh<$>&VDE;>}-`U!QD|Azze_jvY*<#@+`c4Z3UuAlV+K$U82ne6Xwb;Fod0|P z`K=&OF~Rag%XL-omICsh^auvYwSm?^9F$-}CJ5fjIV~ceJuH}DJjz2YO$LV9L?P^~ zd?M&E1Fjx&BVR^<(TMp~hg3o6^Rm|p;?MM03-#wbOB8(CkQ@0n0rZAKZw&UM_;v_G z^T~S$P@Ik}Dn_g4nJw4mKk9+RzabbJTF!h4xo=K?tU&zjA;brereY}WK7`_<#VphJ zO?pxAAk*4e(k75pmJd^Z8_2h zhY$;4HXvUdLj0P-Z|0M#c{ZPnh#y74msMih{Ti{}!0jhKga=fNSP$b`uB(#2P+)%j zfaks!d@4$jE$2N$f_X_8B118h0(c)dr5#KGZby&^?o9!TvgVV8d0M!uD~0WoL_Xtn zZHgh+!rdRG&ny(Ydx-dgLbBcqZP8R6896L-3S-_&%nPq{8fN`eReyl2L#ZMMwVZoB zNTz_?lhfDe;AaF! z6aUZ<@t1Igig{yjmrE#8j9AVYpP#`Db2XkN(G`=-BS9Lf#NSm6%9T@Kn1-t462^%` zuH%I!ib))NAW=$&Y4iwg9&!1Ad6MM24q^U^cSiKae;67;#eyrSr=n|jt`5p~h~*)9 z%9m@4^z|E4g8vTkb8pmrrkGYAACjyI>WYmZsi0S~fj44?do(djn?rndz+o`>$SRdg zox*#UzSjBOcuF+(krHR)4{G96D0%E@3TNY=!eji4cx;URK=ypN8(e1^n5dN4jEA$; z$LhHu^4OU0xiZ;>vdA9e;cWFqJX$whv9|-bSj1!gg?2198y0Qyt6@)tlHjzNF?@1(tg7*Y{$8_pF&^tdn z$Ak19L%hfm7{vH>ZTIQx^rf)wtm|2GR#0fL=1u3d0g9JhY8WxGw|W5!O#jC9 zXF8u}&zippqb{$uAe=Wn`6O8V{if5Cy4KfkI%>qx+?7(trj9sjW{qB(a3LmDttswi z)CV=sL|_y~VBa1yU(wz%nf;btZtvKhQ|vY7{kxG_0+Lqbl|m#pRF`khE!GurFrM1AjwJQJ1n#2qJ=p~AEp^> zV@1Y7Q2c;()BKkZjW&X)o*zLHMpqm>f7x;p@8;zn1kT)#!cnXH%5I7R1t-cCohMqZ zb6a>@%w5^swnN;i@Uqj~G~?wKCxL1;`U?wHMlQFzF7o5Iy%ek!&q9K(QV0sgxHjWOXSKV?-hD0y>yC#|=*~B4%S3f_CR24~ST}6L7 zWZKlm)~ILJl&}B5*fD8ba&!Ea_n#JKJ;YGFrl>Dq=msCi<$PgIHqB>3Iu=FC_54`U zN?At7Rc;i^r#VRLjGxO$KS+P`riIC3*~s^=(L5%2OQ#lnTy~(Q2bjK3=H!?x&Jr55 zaMAK-aLz-Z7ZtYnvmxWEL#7Ga`hFV{UCo-06!Qg=j%m)ve%jx7(*g`L)9fO_%BXh% zfr<=jT}6L7P*AM38F05(5Wd}P_UltbT5 z$I@H=-RJ9ysfar|Z`p@mv?h|T)?@V0)N-Z}WBVSm?S*y!Cd@6=6wk4JU+G->R+^iJ zVH9WUTT)>5zN__cRw>me25ftDr<$614%~s}GxZ~Md(Ress|#16Y?)r{qv`6LrV*=! zSmX~f6KoPU&#}Zbo;B`vS7@ZHb*M9B%5J$H|FZQ17RGV%rll8dvRf+sF{bUlcHf^0 zF;)j9*-i?QqK{^cUYM1m`=;?1N=xntZFA-p(rcPKWUn4HQ<16kB5jpa%3+IK1ylrhXn&v5xsHCG2bo|lesK0w%hxxUlBW*G= zkH(?WpVlhD4&L6irV2+YFxa7iyLgUcKHeb-I?kx+n8l=5(ecch4olundF%dmI__4} z(Q#CC2wW6Wv0S2Kcd$bbD`QiIqoPBpaH6jsT+=a2AKga?VLYB))6rR8vEzqpIy#Pu z9o74Qj-#TZX9sC+tLV_abfT}SJIGo5w^$V&|Fx!L(2i=>?Kmnr(k9idB-Pg1LR{$$ z?bwu%SykuQ9mZVu2ortvfJBH1)}7o}w|FcS9UrXe=qwjsfogkoN7HdsbW9(QJ0xnO z9o^S2D>`Ih1e$Op)z}@zBNdX6eZJT+$paz7ZPOw=KOUXsA}n?E+?IqLb8Y?TL6rb3 zbyTCILzM~)aW(f0PRLaTbfiKe*-G=Z;vpwef{uqL&WIe{Y9PWEYDyT7ONYIiLI^q* zST4~m@+m=wzRlITYyF9+x@two+iR!>*TG9`Iy%cMI-W9F$1m4(4BC;ZRo#~km9E>+ zXuety?Ww>p9{LL9{QMa7)r+OJd_O|@$^ce$JWe|FlxGH5Bg?BTU6e59XC|gqI4U}R zyJknGu5}7J9aTFzj*5;8C)=@qvK`fXmJXHRmv*E;$NB2#C;RFdiP{b57>ujEH64R- z^{$#7gE}s)>mceVsVl?$P-{yUrDC~STe>JAb{E{SRCMT3=lmSZ)U{4Qr{id%Hlpe* zujmlCC?s+W>d=H8LRHbRrKY1BLBWp@g0J2)S;sqvIVw6*po!)BYM(1+QB#V=PVzz z}E(ZRzWSn0y7miO?To ztwQ|iB_Q%th$nUw6P{OFy80mc53;JPRfvyS0^$kc@gK-l^$6V{MBM{T8t4m_fXEje zk{cmBMoApna^2@eJ*J!l@!%2=SH(jfrzE(NYD-riMBN=tg7~N72U+#5;?}@Yq?9lB(0w2T@Kez4-1hC`zvcYR+`%c!4gt%Y&$n;8mHscH65KvrXx##cdTjYc+8S) z&jn^1W;?4Xf(#;S>F=|GI5k5XZqz0^l4?uW97H1ssTCS)Qnlr}22nJvMm~?Yj+Jg$ zGcT@&MmiE5NwuXbgQy=!sYPAMQ-f%~?t#pN{&~StHMMk6Lcl8Hw(eSg5{{%AyFJJD zR7gVh%pfK04m!3>)*&wsxnNUt{NrRDH=k@r3N-p^rMaR%Nok#p4v!pz3FL~&c2rSi z!yh4pF=t;B#ge^v z=HP~&ckYbCbYyPevtr$gx?+U7NUAM;nHzN7>WTl1j)X9&wsh6p@N&6ffK?(KYX*_~ zh5Y*xNKZ98p3Q{lzc`TTFzb3D=5IV5bBOk(A;Tqw$!5!WWX_8`NuVS=NwuYGv%L7H z8fb+`UyM|}D7^01v%rzyN~$eg8AR?F^iz3#dPMGcYO#2m(1*-K$3HWhd{fIgzYVb8 zLZT;ON~$eg-A3?MbuC+hTl7F> znif60nXYBz0@!&>12C^;rMXl8Zh?oKGTfDD{orn)`f$5*osCMC*(AR8IyypKM}?s~ zOB=dJ8sp+x+Nh4sN^`p|2}LwvB|onQa2Ypfv38~EhSQ{F#8bS?4M?+RV_e8*3Hf69v)K7-2=-97Khx(__39p3Q`)KzC&dM03UU1ks?`x#E#IF9xgJ z{xZ}P_LimzUVKS-s4WqWHJg&i<%Ls=M`X{3RfdocLtq&?oRs)y40r<)nOwykY`SV` z$!xg6jDeX9c6%$OGg*zTjvTwO(9xCB+)eVYDNBDLWC1=tN&CMZ7ls=!_R-z~+{V)R zl-e=&>v!Q|Ll4XU?4(8D~8& zaQ`<5Kh6i&!ttGF89Tlm=ivAdu0G*5T4mxmz~eiMHM;XE90|G){o)K^G(_JFrJk)}`_y{p^%BHEO; z{{O5XN>6xo1v_>sVR;QMYIskF=bgH_YCAb1i^b_hu)8Z6)~j~}+fJ{v>55Oo_v+Bk z-*~-l*U760?-xI5{{NZi=&! z3QlTxXpa`^olnfS{^1Va^NZY8aY*4*xwu2B?zU-+KT366{DItiPLDvFJL=%O!A>)# zaW&(U=ejjeIQ+a7Mo2d&@+Xve{P`F`=4diBl9b?gG|n?YXv0rUh^EIwB5k`U$hc)f zvw+*{&ire-oi~PcOMF}C#xf1L?9>5jmC`zAeM+Nx+l`>>F%*t=7==j0o!J1Fv)%)6 z(IcAJtZp|BGoW(E%flldYj8NF&=?9A=X`cUuTGUn%~AL(D6PzoI}z42w-!OvN5x(6 zC)4Fx?R%`6afwfrDkKLB7_#@rKIWv@)MrKJZ2A>n>TtGodr7H#sMADKuta#NxzKQZ zpyRl{@#^&-kGFf45b=@`hz1`COmTf4L1~+YZ>Q7J;ictR_*bvnB)Qw^*B|fEd#c8_ zs~f34!znWw48krLu+-%o?Knz`m{H2c}sf=d4Z2D*>IlX`fR0_C%vX@Z*?n2 zqgBz~ypn9TSsZ-Snqy=9PIep^!2tTt2id=Yov(*=9LQiV@_@+U(za+Fjn01a&feg1 z1hdZQwzM4Ln9#?x)~Xpu(O>b5V~0^L~-2Q^AA zXLGJ(UxIt}nCr_?JF^&I`?eqTJDIy(um0d|U#ELZz(`#~=)LB<)4wUHSV$-xshsSi zC*|;U_;j>fnT#%oXpP0XX`nt5%?MJFv?djM2LLQd`pWShI5-Rdd|=g?JG~Aozi%@F zIDEHH`93?$XAy)OS&;`+_-&RS9Q-sudW|GWptIs(De2pWRoq3i@PXz*DIbkpq5Hol zDr9trhFm%TZGWQTfhk`no*F8CuTRChhE?dku{9aw&;c~~5mK_3wj&)WnI!UU?gjd~ zf}w_j`U?$(Tjo1DPsZ-pjVz>@+cU~IfoX-5FmvW8ri((ij+SQXD&7s2%zYbwdr95m z)6or)y1SWiq_VFly#NC6guItDp$S#=Xzh=FGOg_uZWGx}&ssM4Su8pKkQvi8%h)nwMTjZP=&JO|TBEQN)?HJkBiY zSb3uT7o`_(Ov$nljL%`v_mq^3o#y)58TyJmUCr!1f{meL zW_Jw{a-RgmSE`a7Js3ZnNM3+w3`N`IXzo!|Q7Yjw*@E7?s&wg2zq^wt+|q-VYnI{tYHTA<6UE zP8ulooU`pGbrQU1^GCj-C>xrjmDB;ngL;j<@6D+-iQTb*2f+F`<3K7PwwAT6`I*#)HD1>1uQp05_b{7K@1 z8<`8xFbU<39OaRK^4>L+tO@a>9G-JOXddN`fbv%Y$~Pt`KX2wT{F6}b%uzlepyX+v zMCHE3Tk*pnCE(d@=TYtqC@&K&zWl=kWPU%Y2Q?*_pi;D49X;wC+8?H3@Fd7p(LE%VkosqoE>Ku>IiXpazOcFwctyh zx?1lE=1T&663SC@ls_F%?yI3h>+c#c5ze;Th2hw9&M5)quc-<8mj#qq_(k)j?d9Es zN03hwyK*d#Q3q@!FrD(~qal0ltbbfwCbL}u%gaOy7NQkCXNO^-LCL3CpG#A#YK2rs ztCM@2n{BX;;clMLTet1}>;duwNFE)K;1%Yi^B-ybQ)WDA-EAqmRQ_`H!+bQc{yE01 zcC)_7qX&b|rzJWi%IhS|USm2HZ>H07=N0NlCm$s0J1 z8)kjTbdnW=ot6n-seW{_#OdVf%HiFT6?7Ik?!2J$;Ua|%ov$`FhT-d`lN=XxT3!>O z(_{A(=s#r4s+*lf#(HGX$yN|5biUTu$XM?&on)+_)3VfS)Q_H5C3f|plr{$d21)WbzbUxOY)n|4V+2j#H=g~yx8;uPc-fB8UXgV!} z2%NLiG6?j)Zp^Bi&LV64SkP%%1JmA|vVGokA|u#onc^BXp~qGL^3pqHbM$StTh%KO zUC+%^9lUH1dDhdOPo_O_Um`Wl)oIU{(~BQY1rQ#)cbx%;#|qw0h@5MT{b^z-0H4nUcRxmGJgg)acMl?%C_7 zBBq($E@Xt;1;JQyAZ2%C@ekcw5>i4u3XfE-0x9?QTu{H|Flj3FgTnwwI!MI{H%_NT z{Z(FSiLAK;odiKlqoO=-1Lrb8U)~2M-bSyV9O4bAK`Ixqr$N7d!N7`1K!`S)E_6 z11Mt;krHP!&aS}7cyoa>?_db%$QA%C6rBnG)5ze{%Ya?aYI>2)t-mrJ>7PYQ(Stn) zTyQBDWJMkBy*JLTK(5uN<$`Fuo2jGYN9_U`Uov%&o|r~HeCvlUa0;oX8X?XKa&2aU z^S9D5-j|9c0@l~Ix`!w%_xI4j4rojfsmsNjT|q4y0WQdIJPnEglugk7i!6PUv!Vdj z?^Dk|{J5>8I6b!PE$YtR@ppm7QcK4PIU#t{Kh3XM;@sSe2>zrlUjc4W0b?{Y1y4PD`j4}<5zvNt z8__?tT%*vxsxSP{Psf1`+xptk@!<)S>HuH^yBxPeU^jo94vF5rNx_-jX*=16E(jSR z;*rL*d{r*cjaoem{6-q=CeQn!Paf^DuN=SZ=>emB;ONONnMRHjn(9G7^M3#U0RR7Z z0c2B6XcIvceiNHE#x_a+wdPO}5sH)|A|gGw-Q6aGo84u16B|Uf;zdgk4_-ut_NI8y zLp^v>MEn5*71V6OrObS*GFc2fe@PXm}sx8^^xXD^Id()I(**3D3}jd0r3g0g3Zx>Ir; z+q8qQV(QFRql=CJpDd665Wp;qr3#)?cKMGfIEa$4PTGe#+xcR&ooG9TDUy@|AnzRe-S3^)Y@P0CN zftPX0^=e&BKdKc>#pRZ5A@0@O*_W&AYUlso;y%iQcXuN9ehHX?ab30Ijhc6!WIaK2}XuH_hVf=Gdce%#Qob*?bgbnPP}I<-*$Tg8Qc z4W9bUp%e?m8*y+QO5sL{90iD-flMWpE=eB-nAXC1(}U9Pk@ z)v}H5A^Q5y@30RTw~JYk#15KA;LGPaA3>{KAcz$4c?}<%+|Oss&xC7qJz0{0tl({U zo>moGX%UgjmB(+c0SDVyk<18PK`3)zLYdURAJmXYn-icEJKC(5#KS);-7f!=f}j&C`oj=Be=y00960 Dz&8#n diff --git a/cpld/db/GR8RAM.sgate_sm.rvd b/cpld/db/GR8RAM.sgate_sm.rvd deleted file mode 100755 index 9731e5df226b011b438716f9616b7f5c6d253ace..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 232 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRiUAD)fnEs3kO`$Vp6x$bk`=f3Xyb)ENpUH5j_&z(D0$wGH_^*cKso=Gz& zUq3f5PZ4=V*}JmxA`b)HJRC(76ct47D$B_$$SK^FR}?XE@(pxza1wcL@8B*XFJkgk z#N6q%h>oM1|5;Aj5dE2&bLVbo{Es*%apC{UAOD75^A#wvy5&Yz=CpXZp8gO|>@qKfyDPyx-h^rGQpdP`Etj zc93>Z2T?ZCUqY5EeVVF4MX`rv{^~GbNs&jFa$ z(zYL2e~@<$RUR?&KsMYuecM!JuVUSZey*39(TPnhvN0CVfJbo{^lFPmHMz1-_)Zw9NlDzDsYffk4{k~eC;H=8|`a!xWl!y>q z@y1K8+zKg?I}K*0T|8OL)T}1!f7SJZi#AF&o_iO~=ln8v8|{9+*yTg`b;)%KyO>_j zVMPd>C(M4Y{a5EBP~#;e>fN)Rp2V?U9#v5^;U#!MU8>XvRH4l)e*akmbA(Dmtg<=a z^)3qb8rYJ2>Et}(bc!86?jgRJvH5-F2fJ`YD4)=6svhej)q7Ju?*lWxbgdv)<$Hi} ze}_Z4rlv;$suK+Ro-vq-Tjo&$ic$~ZrJB0?acpw&!`?f5@i>Zi3x&9X{=-LPQLMueAoj-*Vx{>;J_!d@Yqm4W;d65oQJZ`)<3m=0@u= zM<^Y*W&<|ZX0NLz8z^18eQZMKr_)V%BgG~xGt@rkCoOcjXpQH3Vu@GF+H%ghw{Wex zf7%QV%^~&WMJs1%G-IFdzICkfg2=1ArN|lqJ#$mHt1`#On+^Zyi|^%z7=503fN`+M zo5$8Gtrv$Z{PR}DC-&F%-Ql$pl`-%aU;$oa3=XVgt+p$v1KKbI37vq;=kA`qUbuRf zy#$f)@JCB=s_#nWBH#1jp}pjCF*je1C-bMPlZk+g68-c({I5SkSx?oa#$Tm>wM@z{ zH!5N2Q6WTMEk-;U(h6=PO~~8+pk zK3uD{+OQsEdB@1?*cs9oWqp2a{-L61jaAn5cEsxO5uuPrrT;v{*ux^9FeO6+9uMQ$+)+lOs+hXKJ^Z7 zb91i##QQbbdH!zU1W8Rbv_Z9ME9&wUrl#4Ey*Cu74*SVu#oV3eMw+!1;O#iMf~Py9 z|8NH_Vk2`UdSg^M^~m>@@NO=lL1yh8c*3reo4-Kq!mpayn_~vdQ(FT67N6pzjFUXT z@kv{cx6JD^luYc`2{|g&WZ9M-6IEW;rDfA+Ey+PjSNF_})02AkicL&|4g@Vajh5~1 z)x84oWbR&0i-2 z@1@BWRG+VcSC1zzew?c}3vMUH1@QGUh)MVOnEE6b*{G>4+ooZ37qUk%(c!A1)?7awj6gU zMK5q4UwYHY%^nPDlbX=@k)!Ch^DBjO-D8Yj4LaDG^rNJrfNkWWGWhw@qwgR2eg?{v z2g=)H2<@v!-pPwcGOyv4KA$iafG>>r?Wv910#@C~uJp;QVs)BlnyAHJav-O(L3+z1 z-@TfFzOok=P zk}Y=ITW06IyGojG1t@B?lP6xN=1XpxK9{`nPi#syxaH-p#iVRg6>LuEuk3WtJwT-=3)0jNit*j?J5YD+>@cV-LsctF5}qhd-61 zJlQr&WgjcMUBo!Ocz&m4lE;pGz5Yp8L0_=OYZYS*=g?J7i;AjbX`Ywp5<9fgnZpk- zANePN8ZR*UUAh($F3xxjE#QPOFTeLwKEf;^4D@+~yL2g=J_k`{X&P#3r7YS0{rP-H z#bM~gcv&4&%E^xTMkphe$DK~k5AU6yay~6 zJTEYKc>gKxJ2%((-GG@mCh2-eIW?tkfWv zRl4HY3psIocF`HPm6V&$9}F};`B{m*17QaRerLg3R87?g<9(37T!T!cvN#g^(xW`# zETDnEpJ>}bGu>Kh3Ek{ywQpH6V_#pN3r&6x6k>v}ZHBFNh1;3B?Dv1Z;f|BecE3^& zIeJrF@AS+0e@Mz4N>mN~#Sdq1Zbkc`S#_b+ zMoOZ^2(Vvlrnh@rmN(~jR2$m6%o$ZFQlJ)SmFvBDryQ;2*q9~f$$05VBt5CfDi!ha zA=~ZfBbacyeSOBSEQ9FY_(LWysi2?kC?&-k!m{gbngGbw2Zll;mS9Uwbatx*_E?(l9CqtA6{gi_|DYU8C zlaCq8i+M=ogyYL{{JoC=oVnNHQ9}ik{!~~%qicL<9uV02sT9~~H<52~OPLo6bDxUo zKcn|K{@jwN$fKSN$J{X?uM5Z!p1c>xo|geqgn8R1kkx_uw1CiD*9Od7j~E@@EQCMQLZdt=wKzg8%%kgX)!+j5|)`B&Cz? zccwveCeJdaA8aeT)@hgM@+ne3J!_n5m|W6i0dbQo8lyljeG9bwx6H;?F21U@6_lHp zAlv=6oeXFlHPMK8O9PBXwEPyHi3aVq#`Vbw@>W8jr{|A(!_yL#T~*vvzYvaW--~IC zI(?YFIc4iUrq*De6k=aJsWio#54chFRCZtHu^=PQwY3NzowpKqcS`Y(rtXw`<+ zV-R)yryBzPn~N9B#>&dE373YcHz_FPowc`K%Mk*QZ>8;(Io}VD9qNmOkLQ2CAa9m_ z*F0{6-rKqNslNnzJZF&NWl{WcI{Smz+ot>N(nKvMP1yvr-Fn~2#kyn0clQ9!uFIfq zg)8dd00_>V7l;dmHzq%++@z5&fP=@UQF~yV2i=QIt$*P1P*KBZQonQ2Fia`#=eKpA?3I7g zftLj^RUeXTKlRTyuH2I$!fzZu9D9c5c`4D-5XW;TG)!CP)S|h(?M2v1RY2RFla8++ z4Kpj~b-diZZ@ljQrlga?p~GEXzQM^Z|NOA@!$-qwvXv4iipKsmUq7C3kDvcYZ7wY0 zurfciF!mq#`q5MR2IEI+O<@t1L$5XJd{C_1GoR!qNZe?=FV|+*_u#slm zxJ}7=WVvS)9fQMgqzqN*Z!_Qca^cH4mhy39RBL8}(m~AENza$B-deaF-5Fk?FVvXa zuX-`F^1{tx(5hJO$L@!58ymfu%cf6inL3`lRzVPAf(!D#V#-A-7hg#A&FG`1N_1&d z!|QKeJeRyjzJ1}CJIlSYuS19RHs$NabWl>ci1c%JsE6nn%(cH;Vs3<%;DvI9$zI#77HykwlMqH$NW!1vZCJMQP;PsZ)J>577gu2c7u8j> z>}$XoJ#|C@sb|Vi^+eHGHnuUWr>`>jsiFVaDfgG1^2QkgSIeSf3JIiGltDd+5)_b-Zro(p-Q~;C;_KcC2a+V_n`{W8TQo~Y>YWg~RpR$RA9vSzU&IjmG zzsQfCTQ;+(e#Dm%o|7NkpXzg2tA(SaAUZrcKR6jy{Z&eA;gzc!`F-dg#mvEm$bQjwi@!m}|n6R`vj(vA>ErP>0E4N10w3?$)~ z2f}}zQ=Q)Q%Lyx%QDApS^ATEaA<5f(s<6 z?jb@k0EqX&OHysP*jI{hxo8UDxd?TQ@1VadKOSl@qe)z{@DxJ7`>nm6zk4C~Npw^e zX7Ur}+lK?B=HG#7=a-KUf6cDZVhpK#P_b^YB&g9on=vW7PwpH=%rIYoUC52H-07Fd zb)#D`36VSCE!P%q%e$7S(F+tsQJ#TE9s}0Tx6xjbXu8UtUx+yI7^K<3ybr*u&@@1VSA5xripIEPd&VF9?HcO#TyBmOZydZye{FmH&#$L<6}~Pz zOs!BO%=0DTQ-#AzTD{JIFh1(frt9&1_1-{b!tRTU=Tt-MUgkn ztyf8n?zSPxSACI?PF+g4t5guuHUu8>>myP+`dbliNDFy8Dk9MS_O%)|$q3tq@CR2o z;E#JB0aOHhGhQx2exx($H-yfb@bFTnl(WYM2?t8kEe9KzN{fam6QNx%4iO}sCza_) zp6l>4TjPs-;UYNPgZxehv!p$Gnjien%1OjKgE-J5vKc(2(7$@ST!gJk>x1sjiqc`b z?h^d{{#J6JVXfj#rAJ|f8MMt#>>`eR82X07+v$Zq2f2-#Q|g3Xpfs@JTo~X63>39a zulaKjS)7$pr<|sVcx%Ad{qd)eF#_cxj$6G=CD^}UA9{|;&5Bc}hrD8->~#vG&(U-i zQ#{_G*@)VFi*N=?W7wkbODcr(gdAA~X+DN>o^VqZZl2KSHn34lIrN#Gl5J}*C~_0~)B=;j@9ewKwI*liEq^ipEBMU#Ae@=P=8IBS(R`k2n}X=LVzsx5 zlebkv5l!BqOX#TPov@j65H8%@y-w&Q3L`5n>m2+k8-*~KPaGlOZdXe@F~uu-3JoMO zWztcF5a@Xn_iT{x8e3Ljggx5+;LPC(F>Xo*=|ZNN=2A1t-T-PdmuX^aP}3FO@Xz(EBHa_^b!(Nt!=jTh? z5zlUb-)_5Si}{$3kwD+%PU*fRKFI34{p^dJ3ZNfigX1Q1zq6O0K#%M4_WzSahz~p5 zpz(h^m>y22{f3dQXXt6#-jRRupeVvj$bo$ME8zIvA#|;88W?>$B^eo6`zdz}0%jub zELFi(v0vj5L+2FUHJK8QGrq(>qU2`=IbYPEvcsE_)@=4oVx`5FZO!+aKek)z#bGEp ziLpMTFXN!eo~wxNu#`sJG9)S1mG|mD$=%{LQAjp2DEz&k<(Hf1cNCY!)u`#h*fqt3 z-z#79MWH$U6Q;J-%pWcw6`Y<7;mtZ741U${?pk6#a=o;-WPsGptfP5pF9p(g$Z<=rNPl8Qjf*djuZ{E}I z%6ejJRF9fhT8^({StH#!ypWI>UXk91p1f)VIfwrFQDyTh#3Vcqqc_n5r82TB4qAS8 z7Wk!Bhe0L;moIRm_V4y$_E2I?fkxznNH2;})B;0p2NW6N1C zySHO&KuS@f68H|bi4^Z~>T6H*H3{GxHOAsTeX%!7I?qn!HLskloMk*Q390#=-Kb78 zF(BN1P#F1(KPd}5h65Lt0|IadBT9W7ZMKS;w!^y)sbCQ8$}pxsRFi3k54KQ@%eVIz z;d+rT8qn^&fA=9dz`&XMp0Y+A-)9f($i3PR#q-NqG*DA}{@B0*U z-~}B3A~r(+vKjPKUpz$a>VB+5gp-h|Lr6IA{BnNqrxEY|N(I(tfn}fErB7B)Pl=Ag zy8_YcZ15LUxLXoY4E?f*2IrpB{4dshy0))4Ymq)Kbd9O*ZSYStN3?u z?c=GzK`Q<(jO0A;BTxrm`J1XIdHYNa#w@#zk}}x|S@5}m-JZk_RY+PqS~~u|1m)p4 z3ErDk{;_Ouk~&lZP#yIitaRC8f*~?Yx$IcqWkL83PuuXwild%ip3sBbA5}r7+cn}X zALB7Z*0O)0x0jEI)fJr%PpP|IwEGD7Q*buw2F>M@=F5RI!y<{%ex9EB7;QeC7m z#fCrR^Sk%}8Fy&hrhuO>&Mk*QzONt`w@GZ1)hU1VL%uGjzzVV2PpLVD*Z~d7%zW9G z{plwkA)hrjFeXsB*@&f_6j*HrhkQ~uymIICkT`DMr*@R0YC+{ z2t$ZFh|>0-Qn}pwH7y4q=By-c6Jkv&P4yOJfc%x204-V#ss2F8-~>yr(z4SbFIdT5 z1*_$Xps(L?XE|%0QZJlw5FJoR(=_R!?gd5N&QFP<9JF5_R5W5G?UO|_;%H}yBXU~b zL#o54Q#42$OrmF5a|zEl<}kCdoiaV4nrvEedC8d_NZWp5n*4AHbur_P(G!65p>4Iw zy)@w%#i2q9ys!51^M8^PR;=*sUl=Ju<9N1$VPX5tl!o8+pDoT+Gi71*+MF3~D8YDy`xB9X`h%bna<^OyraZ51BE zqgaUIX469EpkMwj2k2!QngovA3nv&9!<)X_aOkMDU)>TJuasx(z0v{~5G0A*`j+bn z(ylN1){4nv&<;ArUkIt#XgVIHzias2Yg$>=?@$uRW97nFLQT`->KM!qryZ@tSswF& z16`j1X}|DhA6q|XV9BbS`)_6JKzGsc%Bdbw$? zs|uvu*?O!PSCE#Q+FcqaySg4)Gb*el2XJ6MoMk@o&`drmf(oB+58fT$t-p0R8zivZ zOC%ULkM|>@oWuKl13f2C*pQm^?wcDb;k0=N^R5y!}0XH+iKaN*^rwa z_lx-uVB`H`*RiU=@cs~q-dF(mz4Gl-I@H|~)B2S+i+-XFDGVHqD{_MEhU&jM(m%!A zgK0fF{{G5eW0c0Rn)zo|(>!tq&g&Ao83-vUqJb~JRhK&9MypqxCi!WG71F3eVY^-e z=0kY?8V*7vdNdgNmiy$AO4mp#?cPWm%4(wp)W+}sW#xTNHOgltxL2=n=xJw-*UvZ^ zf=bnpctq;yhz3NVbSyOD>M!)>s<2$B8zii*8?77*XYE2=NuaR0m&U>`K^$7q->SGR zS_>$8fYOZ93#w`p`ybs`G~X)>qxQErd-di>44vZ;V4O^YBDUtSup@10+GMBTXu0J* z{5}bh0GfqV^zmDDLqO49f;=Q-?by+CBHNq|nGI9;8>lRqUAuE-@qN`P23-JquTVql zZ{rwpRhPR&2_`@TUTRK;8pPxwYH5I~!#}$ntcRhOLdbg59`bt!eTvHnGp)I-8K?*ull^ERFE+oOx`=NC+@oNI(r7pp3GG|d+RzwU zzn8^E@ySU{{mwUPZCtt@87Y@b!!0NYiBs6y9t*GTBQv=|efHk3Kt{z8q4I$lK-bXC zeWd2+vuXs!fG8vKsHANo{;GeG9N@kC7a`h)+NBXDc($}44Hpr&+Cv6tfI%+?ice$F z3}4PkXL@q(glh(;0bK*9j>&W88@*^9@2a<-ueDQmHljV?%CFl5idwy$Md2^8^0CVp95hT%I4ieUjy}x}LG z4OKTDS%f@Bm}UM-{emLbsW z3(sK~fUvFBO(PSkX}E7Y&S2z4`xQYwWiQ+p!YcA-|@Lf@!2Z}vPypfa!KwfB+7xR3?fS)o?M?Q6a-LN2KKY!{+|Q`?y&xvOj^RtYgtybXfp)fZ zuxU?Q?S(=HAqaTmtzCd0je(XXaMC?G3Vg^x86O0u>rwKnBhOfsi6eBV&LDz&ACRMy zp{?JkMJpI<9G?9!$;pRx%I5)z(wSr)5FTcDWLt^K9ZSIL=c@3eglgCpq67?KtVP@N zB8^!>=FmjK7pD8xg@@5Qw>@Fon{l1WI){||{qEG)xS1Y%COQW%TsZhpTaj9)mWW|- zSW&5K?Dl&?xq`a+$K}#$^-((TJk_Kt+E4ce90z3~WqOicjt_|EBH8v6#Gst>M$MiW zxl}jzehJqDdtnWZ?bSw!LMYuy!>z*!Ep*j;FyF>p))?f<$(37&CR%9uBDl$nL5jYb zQ2P~!Oz~>e%8RYLnuDpp{*@XQ>m2V&xR9-eJEdTvx%9X2v|+Hh(Yvya5^O?yek_S@ z5S@_Z{wy->lfiSsU!*qt!VYKuf${405%L856o$bB2l4(yH=TF72dTm!$SsLRn*n^E zGLY*7!btrjK*=~d_#mJzYhCd@fT3GcMjR#On-b9}W{j0j6Obx6x& zJO5S-m%NKS@+B81QU!%b-Zp~=)zJ}DhqX_k=DHojR6SzY<7%Z#qg{}n;`YCu+ z|ER6s7&_{)WfH_L4hmFi1OFJUpbOpA-2pH;fGxB9Lxjpwk9BxI#pI_^}ruv z5`)|fhp$FA?F|dt1UW~s0tN-guCS=K7Xk3eYl59+3}J6HH3f*mJ=8KiA0C+{(l*%t z9F9_YqBFf6U@+kgzCMdnUJcoP$FyL&3Juw&9>^_XbQfT(!hb(JBJJWU4P$F8@b>w1 zOVG?%cUQ82;t%w>VtHy*jP9f)P-?eB<&_hB>~igftWRQA2?Sp-IkKnLEPU^ z4K}``mOX0zxp9~ewY%sc`7#6iJnon`#1|M2 zqDX86c$A=SEY^zrr^81U8fpn_2JM|%3$9O&C!oT&xQ6^VH@u2L?85CG4;#r6ohH;T zY|tEh1ie$}=X&myx7i?Hjfx-KsP(MPfXf>@azT2#NA!m!N!fKEG$Z)+ld$&7C%R+X zMc><>H`KKYF?KwYQwMJU^^{w%{j_;xG6vPT+#>k~1~=OXki^+axXA%0fNcCIqc^ld zkk~EMj9#S7M8auW!_PTT_$XL*(BoZuvIAl%96U|y{oY>D$AzZLKRQsk{FUwK)s>S4 z&U)0x@3lW6<0%6ex1A%RR=)n!WWx5X(xPN8R$<>dpQGShG#f8WC%*yzR#ioWG6C+# z1P*mk<%N^IybukbzaW8H0oMB=n@#&yUG-H%JpHgqTBp2oX$}I*rbQmkwfCgT$C}J7 zgq(jc)d6HjmN(#vKu6M5Id@3#DLZIY3bik4GI}5i+OrCn2RN(Ow0&EF8&Dg+WabZP zx3XVb(aGn5%it#Lpyo^3rJq(H`DsSPRmvI=ur7zn`$EfHM7nq0>|tyENQ*W!H#09G-Ha^7ZiT0A?{21LTCD^`)ImUAp8?Ggt~3R}E_A{V024FQr6Ngw z6zIe*`;b@6Zblsc{glHadiB-dWEMa2>S37VMmWgq1EfBR`sxAl=ntHO+fqUtbu|Ab z+~E5ZqC|M@rG6ImVExbA*5_24LfGN0eU(3ugd_z+<*)?AW>Y)^MH;AM)r{_;7pLr2HBpCX!#NB{nc_NZnG z14@m?KGIYsCx3O<-Ti)M)lwe7GCmz4!-Zs$lG}M3@s!}p~2oB2?o=#F7Eo~a)gmsMc@e2PMj z1i!CEzN=aYw3}2H3|hN628)#rM}N-5lrjU$=-|r!aU=j+=nQ2ze$h|);3uHt!;XG| zvD}b27Nyq|Du-Ao-u2NHdiDyI*BKjX6WgB8lo3b~@~9+PZx9jT%Jg|i4{Q=KTnNKr zXC?Xu#_>+h9p={g0!F?JwT5Nev=2`lEUFeupDEZi&OdW6%212Zly-(+|E})alPzEN zWBeCqVjX~OwpNUDfoY(!Xk=PJMi!w*?wwgFYP@QpCG;%=&?z);X=`z?hc-jK=|4dJ!W6Gj~EU2d&5xRM*oPTISKK;aPZ9chmrYM@sz!FOdlUUn0q zH4&Cykp1-$(~KpGo78#<;uxDl`{;!TwvD4VB`995a3KAO(|1Kek49%KxIeTWfMJkx z&#wc~&S!@9f{C)`auF}_<$tz|7q#18hk)r$w8pkGAH!k=Y12S514QNU;|e1Jf9#NO zR3w~Pk233gAvfgmzSlKnN5I_6M)Tjr@WJIiQh}l~fPXWfVg-5P-wNS8l+r&uktPnu zj|?CLO$dqI^((PiBx$iK~>wrowm^ZCE{lA!7_Pv~9*6B&|Igs?sa%PFE|T)&V1dPw5hvaZt2 z=SR$VI2}4k;ePv4L1@GXGqBZuPLvN_b%AdmdZb`U#?OdtmK8*pIu3&A1<<>`tz8%b zNx=2fXQ!8g9|V$CZW*BIG4lBscxT^qVKrblPV;iWp8IM|^KT=@2nB+NicA>+(tgDf zKe?UCtwY*g@@o6A8PNYT8U@bmQ4D&LE#M7m~n(i^bdn(k~BUk}*3F(w1GmLnLOyNIMr zi)Xqx;hKN%k8h)S)Jch?kezx#)zLI}#gJyllkxdZg{g~a0lqf8&@|ztSrc4B^r)KB zLi7{$Ysk>%%x)l4b!g!;0-!;yX69hw&y46InS0UvZb-9W3GJ7sRUhRTS;(0bJClmZ z!#vLqDxmmI&k>G2~g`Jg7?>FLKu14_udSMF<3OK&)GSdePK3<5<+WY*m1r!up4;c0L(cP8EA>Bb1%ccU1M9t zhsB;2NNQ8ikx!;!4CkF6CVdvYYB{j)gbSVF$NOkQGgFbAkAQqX z*%vgBU4Wj;!d2SLDvICw3dle;3itu2L;6#!MAza0QfBQfEw*%WJ(c^^%KiwIE#gt(Fatr*~Hr0I+$muSW+d~l^@gEhE={mW8#?vVg1AsLROl}_AJ(4EJXioky?2Y#pC z5IE+w%xxz`Cor5=s8R2`Je_A%k!f;g9N10%_fBZ@RtOJroru(uBMi*cZ2G|T77Iq3 z%vUCTN|SxQ%xRX8YlnqnnAkPEY&#&L?_bfH&!4Owwt-F_86JPQOU3aW>@;=Xh>K7s zdV6rCSbYf+qu4uPw57Gag4rH`*ucnhLWy(&443kPB>KA7wm0ijCj+cKKnnctzGd z&@s8VxXxhx`1WcK>8|K&uh*9_PoyJ$JJuMEVgQ$R=oz8-89x801@|4VeN`m~f@06K z!|buEIr`D$-fw)%GvFcjp3T8ou)ZFW5Bw$yTo;y_|gv&~Qe(iO#`770jd(}-ncWHUi8Mf?q z;dcc}_cSx>A1m=kgiZKSVejP7X`vlUP`rIBiw&7{-*As54coj(ykQDzM3wf7q4*19 z^U>3+!6C}!XTz$lRvW=k@QNyeCN}K=?7R4se`k;Ax2OI8hAhaukO)iGaDTWMWHjve zwk+_%tU)T`&)ar8x2f#yu+XXA`zhYOqf7oGf&+*i@f(_HO?-$(kWUJ}F|&RRsdhe_QQG+)YV8)D{jz+4_*V z`S>N3t_CeC);JaukQydYzQ!#AQdyF>Z-OWyX*o_+W z7UtG0L>1W+a&AccIF_>TGK9?$cAz~f=hKD=i)Q*8fU(DDLMqC!o}ETf9k%qsmDt;3 zM~ohAdXp#ltN=Kq3r!5cz85e(IRHBOY`BwFPuBfbj}E`h2S?l#u9QRPAPMaSr5#dq z5Kdy^gWHvIP~mygA}p!XHtsFg-ycV7e%4b%C39H^`;7-R9oR>CjERu1MyBLHo=zve zNsOdiV-s}!JNV@QH1B4s$||Jkk?q!?ngS3u?rT;rhq;8hGoJO!#PIe=*;CuuAJ1%? z_i~?`*aF#_SOffa(>!gd$%mWQBCzySw(0echo|SOb%QAryF+13NBW1K11?CL=-JLz zK11t?vV;iOnAn0*M^84w-jv88_T{oR$X9XyEK)K}AZWd9^3_#JaC`@gipT$CK!`9M zY5Xkh;D7G95%9PKD{d2}5#63_E?%&pT~m2=Gb}OPc6(_5{MyV_%26-~sqNNkN>bd_ z1q!%q`p{#F9>WJ$!wlC?dVxVd3RA8=)EpG@4&{v^>)k(E+8nh92Ra0MKD}zBHXMJV zcV9(EA=c}59$co{;hVMOU{CYa7tU;Jy|vM4OF{OziWz(yS2f=!0mTV{|9;Ipuw^2K zjdvtF?+o7`!U^bkGE<;p0H{jV5{3@!vd9a$2U*bjyc9x19&bVUm(*O$KGq+72=H7JDWV$tXa5swQTr%FF&l9aK3+1Nu)4+=`ZQ**=ZK&#~DzZL3-3Et# zNQySiYY@m!Vt}IE*C}fx-(iUG-=)D5!8JAhaAJgtQ5_Q%Je7Y29ZMMTf}1H~iy8R- z{h!etPI%vNQ_cGV%3<_Gnzq$C<^Bv^br)$`);u&-W#7X#JIvQ<__&YgX}8<>8y_+L znORzX1mXSiZMKlqlHnM}o z7lS0bpi8(c-QcR&1+L(yaq@rq6{p7n7c*i3#8SMty}w z$e+!{WOyy01>*Mg(qFKOf`G4eWpqo%M?obi#}T_1&u$;?id5kWP0cL=dMC|nLY&I& z#GXSElQ54iY3$lxEpd!>j9q2gJz|L08Cc()0rWO;uDpPpE!1)*d{ll?bEEeor_?N# zTjF}X7oKzb#ZUd3s%h*CY024}{~<4ay25QsEdF+kn4BT9y)Ccd`ZeEOGyX#!*A$(C z(_R~sm5u&GIJZBZ%Jvo&8T^NwsU?tgKI-hw0scc?{FEZJ{%?W*YtsKE&HsmH5p&dH zNT8kluS}x_)4hM8?#gL@HGf3?&BFL^o_luB?@Gu59}jE$ra-XZnYs2IYSwCnu&9=( z@*8&P^DHq11$0^rZqjw*Pg!a%ek|eKH@0w=lzSK;HE5c{MDK@%4jmNIsa?3}2%TWIQz zu|pqlHWvxnlHXX&G(uZ|9{ zwvZLg`)+sUJl*+IOBhplSzKww@pkc9Ve4V)Km*@pM|(?Kp%2aXw7VNBbsezq4tX38 zp}Q0>rj;Y_u2R`qO+0n*xYBly>DqL6W9Tz(B(O~AT#Xnb_TQUAmb-1%r`6*zr6JPx zc|squoHdst?@in+Y0W6Jg;dVRf6`gC5FDq=sB?Sk_c?+kMttY8*)_*J+@r9Oc-DxJ W(6mTF5knB*ieLg`_X)#)MEyUQDlA_B diff --git a/cpld/db/GR8RAM.sgdiff.hdb b/cpld/db/GR8RAM.sgdiff.hdb deleted file mode 100755 index 2cdd6148532c8b6169de9b89bc5891913ac4ffe4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 24840 zcmZs>b9AK76F0iSCL7z?*xcB*ZQC{`*lcXu-q@Hp+1Sp+w(U3H_jm6<_uMn*Gu2&F zU0q%1r{_G4^6lHVDmbvOZ(|HvGl(xW-@b)t|6lSg6zPBT zhtdD2C)imhjIW-Ao4|+dLoFJWPrMd{0P0<1WWDY`Wn&aA_Jng}UB#TD(t&Uit`u3+ zJWc^i?LPUy>Q7;r7K8lC@5)rj5JshR!QjPbugVX9zDb>ZK2MIfd0ecmT=*o=jd<{T zJD5-UPNlP0Owv7Wcxep95(@Z5@w36U6i=<7L7<87T#A?)Tecp+Vr_0^^m4VPdpliI zt{sQh(7+NInlTK{eb)!3ZQFIE!B&Gquk^dKgJct zKKaE8nKY6SLL{PM8SaR0-2+ENew{TuGS&Fjgu<>eFCE^zWE^zBcZ zvhUm8J6cUosbu)~ySK55fqjf&4=yi6A6l8Y$q8UwO!e49PB`y6@l*GeZsYGoOFAW0 zrzo!~`!MBl&%O`L6~zicI=LrQwP z6W5SA*P+rRc1+uN6wX+kR`sEqR@Q;$Q2MbZyyL-8lG%Ysy-xfRY;1XII?^#rhZf_Z zoR)cx8B2~y$_Ue(TL<;n_$lwWh4CG)$=$Ln=@e`Bl57oI$bCc=2c|{y*mzA*R$?7O z3Eu?o>Qa>4n)F^9Vk+JLJO52vmPtd_EtLiQkgoY))c!Kv(rr7Pyi4WoxGNnL=dH;o z^DXg8)v!(Q(EQkV=pE!nW$JAS9m`Zb&J@$wUfMDD#+QX|1P3fk-Z@O(wk7cv8Iv|8 zOSt{s{}%BgSys&sG?XVtmZ9cy%yZ6d1}W^xZ*)UtQZ@uA7E?LKWS?~>>$E1ll2}4a z7+6dOoo!Q2z4S0kxfY$wxiKNKo|(+jpX1Kd_nP`lPQEtlLxHJ^IHG;)wSF>QRSMkm`! zj?mC^#%fetQ~K}Xcn8~d+@B4~?!28%U#DNY3nl{=%I&XTek^do=;H`^M=VJTGIlr% zcgmCW(xx6^$Vkl7awOy`;?@)rd4VHw?>WKqE6e#_NOO80ih`$?1wEm9GlhHdeg9P? zBU}?Hxb9h%NEMnB2Tf->tMK?85xb-FPlx(iL{Jv6ob`^B63unE_)+Iadfukk3^AOW zAR-9bKqTW{JmWlobuaD%9D;xSFc0t7Nn%NOQqQL#r2ro|q3Fw`Xy_-ATni@JGKAG4 zv{fSx6F<7M)f2^$CCeL{l?wC|_0f?@C&Tnreq2&jsu#8V{mfk4NLo`IQmzERlJt$> z7>{b=yd78)JC!q_4zELW{`wb+T?<8gJ<^LrXylO!@QiTt4d>>^)h2z@Wj(&o*i=(Q zkwo~Y;Ra)eE|hmXr)Paa6ihP2V1sWJqT}9#G3Ksv1X|JOC3QjRKu2!ppHOlW@;L5Y za_$~dY?gMigD$ka91*AhZ_l=d^7q3`AjS6KEq$y-B6LH;{Dks1z~HQ~ zcZDWEYT{ns#s=>rn<3u3`xz zujqbe(ZZ|LwPzs{qd_EMPKTF$xI&1 zDv|@<_nHBxT^A2ai8>TK#Q*vfV(|t1s@6i$&q+G5HvchGm(u9i2(Y|fvX|PoCA?12 z`QS6emtF2r(z6{(aCA#$4<^E~_B z-s{=4KDB*Ai7sxC{nZfRZ1G=5K2SINx<~fso{4S5)ETbD!^!MZuHzj)w+RX`)&Bw{ zw7QJ`rR-(yKC&EhlNr2Mop!ets{0_IOb^vyey^F-3o`uf{mgdsxu!ipf_mi=9@V1$ zX58@ujr?@N@8CuKe)HgQv!d}j@;#DEV7NDHf>+I}eW+ow8*hT&d3@~S-%HcXoq@v# z^*zdFp8x|;?_9dg&%z{3S_}t6aan{=V+C)nGdX~UKOIUT{t_}t@7OxGI`UZK674jv z6G5S}&8}oD$?BT^tPRzyBu8U2>~L0$ug=}T-RCGgX>EP@WdYzGPkj?8yp*J#P9>vl z3)Cnn?JCp;n6Ku?R-NbhybjN`%$gAkNlPH0i+<`+KTYOW7R&ZjbJ^40HtE&Ec!{Nl zFS>`LZDugUk_yLPN`t*BTIm(#@bEEj>T8?tScz1)qfEFg+mes^`kANbrRwLZK1vjy zN8UzSHQ34-Y_bD&fm2q^LXQm-`Xw(I@o7GmxT?2;P8ZiJVg=WFdy&c1`;iX$kv04o zHKltXjmpc>syrh-x|glwmSLaKO^V_TO4_YU;cQ*O>kt~Ca@xR*pR?ui_w%80YI@r; zcInO;#TiZe5X=5#wbu~>N~h1rYllaK+|BvML%EMSBhPi~NhI3o6t#8^+nCNZ?D4bl zJy!OE^rXpf492Mmp9PVrwZg_1Grz6zflG>)vD;C0-a;Wg3^E%8;T*-`Ak?e zj4SH7lYTs8%4jwK2|hE`!hUgnJ=WLY13F@gSDIxGTGVm`jq3A-nE-@Rf8fK=rEjBS zeWSp3ynn*Or;`1r6zFsBhJHNLIFIvb)`cU5>rGuJsgvG}^{}x_#)dieCotvpgQ6HRK2Kr#xO(`1_H%`$%@~&-UTslE&1MOlJeQ&@ibp z1q_e_JSUz|75+0VIp?{F`OE^;v~q09M~~g19WHC%ev{>q`)A4AGxj$oyWXd@ok;z3 zSE4I`L`8C{)WdMvC6yKZEHPzxpoF&c(c&n7x@-Cp>+X>}E-yl$spPKa-oAT+|6`x! zqEQ9*BRyqU^w`-OY4YzR;kmxR?gWK4)jEGP)`;6s_sS!q>%5iP`>E(7V<&<*(&=Qk z>poTI&dbbe3h2Zji((r5H1+C(qLJUGLy}p5JUX5{uz2jgg`g_Lw_N>Y;^n8F#L`$1 zzHNE~<>`vG)@;Fep*;U~C?a3*NeuH1PN}SQc7tujqhDv{6lKE#^SNISTyWIg*iq?M zK;<-YZfDifkd_^3^gSM2#!HK;jc`^1-2Mv)n|9kV2&-NJ_s-$zO z#_98%E-YfGsciGJ{s`T&Mhl&^z zd9zEN%W|e)@+Wy_sq7%cO)i-BUDS!V*6v@E0DNbPAApI>6kt5A}K5!1-lc)a9B(d+0d( z+DckU#IGLhbMj@|1kE%jnDu%1on8O*(#E^=pZ~2?s!o!aS*W`_co^yIVZk@W;Mwrl zM-3+h{2`FiVK3kwB}M#buHY}#b^$0Asa8bpGPx#nTC@b|Qyk1pdER-2#o1=Jh)UV} zlTh6iKTkn1U;*y=V(ps4AGF^klJ;Kuj585*8EkL8Qthgf9bB+JeSWV-r@fOfy(KZ} z%0DC6WfnGp?ip5*^>UOsso%x#?f?@XY%hFEkK4@Kk-4|auLK2)iOvYS1PCHe^3 znqnqOChF{xBHR4`m>!r)t}Lu6+^P~=-s-SD;w{eDs+_<6Z06EFe?=I($`OjIXv-ZZ z8j7!#u-7;5uaa$B4qeMMJvfQ0|My8ggRq?YB#ZnmEX`5>PUr+xwk=s)4?M*Sh@`#)FEokb~@J{X%A44xj8c049HL<+daCABrv z+vIG=D%$ga=UO3w+B}VwIsnL6v{5&Y7zLvM6mDp2Ur`KfoM^Q;wM0p zY%kR|bL+i2)T2cZcsQYEn_A|jwolmvvQm|)Mx0>q->Mrc7Unr6kXgR7M!IZ(tXVDL zw2AXc<*UzN97U=3dv;RTFji^&{ow-y!t-MCJ9RHEVI7LpJ}E4a5O=fs?3*&1nk2y) zcqgx$8w&vGK&1L&6iNm8T*&J*KR z8@u%ScNl{d<{8-`FIuI8Ei}M2wSvL0i&FlhTo_G#O|;SJJVW{p4f#EmOTlGFFfYBk za*Tdu*5~mtrI-0pk?vA3l0UC!^Tv7q@=WR( zl7Rp$;BUiyqW}@@^CZg{ZF%C2xAYSduXFKxHMb6^16+zeOAM_5k_acR^6!BlPCm_zY$&=T-w=nOmvCBRAAq$&30s$ zP3MVir`wcLc1@8@h4A#Cqg&T(E#hj(@MK%A?a7J;Ody`;I@~eiLBW^Yf&*k-#_I^Y zO#^i0#I`!=)l_om_1>y#Di?^P0)UL^U>_W}fD+5u-91 zgC)Y6cmUKLtXn6yX8n5{3GK~ng~qJ+Cg$n?5<}%n8;|5aZ39({Q?^x0cc&Li!GOlEn_b0Wj?C5l zWA#g-*luz464LiD{cCz!?v^ko#q27NDHMK#yDTuY|IF2}IIUn|zo=8ou5(&GuykwQ zsFl5FujMuoS=7orHFZ)b@OJ1th|XB=JfyXP*Kp}J=OAmcVLV(j$gZmSun6B~f6>*L zct7SuRUhReH3nL_sN(G}tavw54rSIRa)@&14Ukf$Gm>|fhqa1IE*f*a1N(Wj-JwgEL ziOY<|m-Yn?E$g9ttpnhXnh9XJa4F+hjv*@myX*vm3#OOZz%iL=q?AH?R$kNl}-J# zJ>Qx;LS>}sLM-mcG^92lRAX+=1Xd*e(;f>0gj{j>wL-4VH$0_OSoij{3)-XSu zoFo`!_dRwT^e+{a(S9!@rYeMtx5s*uSRww~dKNb5e~;{I2JJSeIh)Kfm~fHBl;V0E zRac~A5rtA+6?@&tAq}F~r?tvRswpy4?n(%edL}tDzMoAfrr@?j(Jb2*}EEI z6l;0BoR<(#awZzT9J)R$&c=jrsnarm=~+Zn3+ikxOTWaj{CSHoFWXJ zPBOd`PiK$vjBh!}ncDMkl&j7X@kf|A-Ldtbuu%VbbRBWmyxiRc)T|ygJ@QhA?WSg}`|#1nWtNGwh=w>K zElc5JD>YUlPk&klj*f1ORJ@JNh%tmqhkyGak1adS{jWMsZQJiC45d@mwQqe7`6H9c zDg-VIGEPPd`q%_399+!m5lCZ$eMe6*%Cc#1nBpGERWe7CwmuY-bZXhP!;}A3%&8e> z>cjI#Fw>g9!Zr&#R~?Tz&(ngB#-0cVmQ!P6gWmhk-1*^(JXKez;#^1{Nh&m_koCpQ zb!nhFn{-#pX3viHXZn|CoV5O|4=Fcj*?$J=NDXM_USxsV_$rihX^9QiJrks!vz-@p z4$iF88-Qk$IVoH|UmctDhHkUTz!Wa%G3P$3ZIRFntfYs$@ceAn$K)y$QWE=^rxe`i zE$q<_?#}Ob1O-8Bpu>>ep;%;=&_8Ipv=s7dqa$j*gn{i*T{;!4A+cnX1-Q@2C2s#F zZRvEgRN&t=D>{?-?f(h?HaawlobRUK9@WjQZSRi^O{M>h)p4S1But11L%EE2wFEQ4 z*nA^4(EYsIY*JVE9_#LC3OKLlPKTx?mumD=#bvI)d;)DX?D46rs5 z#`zkq$!Ga1J&|PS$^cbQI`1+mG7JWj^Oz>7Fo2ai^ue_W^bRTYDji1=bC)j%dr9i4 zUT5so?=#lxGujIr>jjSXp6+jD%X3x^@KIK0X1cgK44X;3DJRVwN6#_%t3-PG>wLi^ z(zE5mF%!It|H!uHr+^|V5{LV%nG#_)A=b5@1jEjIj_yF}*{1i%M^);XL3<6FiGnQL zPPv5cW64$PhTOJOc4pu13w!X8n;mTI=?Xf*QXMsKwSkJKZcB-yM~$(*aSbH?D|{XM zA80k$*cM1;_& z;TFrJEOTa)FIF*~=g!hY*S4-4W`ve;HsNn1Ae_0FBjH<5(g8K|*rBO6s@NUZn3R1x zJ3KvPB6onJkscoAO1>Um*B2-n=$k6~9X@YZ{~lAYE_0$Ic3!`Ju8|VEekZ=}o_W(> zuZeMa<54j~Bj+MmmFjMo9m1MMC=VwFCLH748GJH3ukGw7VvhhI4T!sQok(U;eK1UM{iH90~|c~xU? zIKIcUKuLWeaJNdxu!T)_lgKfPr2=Zus{wqd?F-Kh%gH`JGwi7j-K$t4Q)Pi!x!#=X z>Jd2h?#(PvaP;B~d~0cpq1CAg>uRua5{h?SVq^6_F&%F5_ZQT`7tuoferWwbapT(7 zFfWMmT(zE(1f(1b#)=zcl#6s^S*DLY zoeB>fgKQA1P-;W71YP_gVI4^rI}Ynoy9;|7bZ#?;b{Y1!hF4`Yi6WjTDQ zED;`em~4-IZf2$q;?eH=zsbcUQT_fG8zVj>7(B@-_7h@IWQbA%!JrbB^TatI=PkrXJO9|% z4jxyp{udK7PLS9wrehKf6nw)>Z$35^x9CrS$iTwDn{Qh1+CNec2aUI82}G_RdQdvC zd;2^gW3&~DF;@#48k7dhvN= zT%x$Rs^=Nxq=>IcRk;J0-7LNQXnx*;R8sfab-+@-&)8>!Nhr96&|;)K24LsT+Y_&) z9Vaqwk})RXM8Xs^@vNdtRPAx46*kc)oWc);>(6bf=qL`Z9SQf++oR4B6OGys3uS1| z_W!88+*y0uF;juF2KyGO55bAt3dQ@#HLuIf+nrS(c5_C%P1ew(&*EB5F|<{&Yd`R- zyVdhrb+^yl#Qo%|5A6DhrU+L)oN6H>l~G}WBPA1#MMHLCg1(naWUU*59xoJ8kIBra zgto|J~-jUuhfydUpF`*?4 zfRilC&|Eih1VfE8l&U0I)qIk^c3032Dhb+Jsbx88?Qe;|45d;yaw)(lm&oRpcW2&% zQ4`+c0Ly|QX2rJ;IXeBhj0acw>Yv{+dhAgtksyqE&hK==sPnC}KK~C-4CHPl-)f(zV&3oab z`^6_p?OTuCb8U%rc3Qu|70Ehh3*kJqfp>aX!rt)~GzmL}h$gPaVukV$*s@6DHD4MU z2cs`xOyjPNyMN;Ia@PY1-$r1EVMn2(UdtJHY=5F~WX=YdpNB$kdIFKF3y+lmc?s((k*hOX56j<#U!e}W+!07->`D(`rk zca?Af*aKI-Z~-O21*ghxCj2&-O)-pe`GM(yYAB1N++?69-G01qWXBxp$PGWCD;YQT zH;J+18@Ii-`HGVZbKx$9srb;uU{p+I1kO(mBo+`K%xNU?G^;IN&{`wM^z{Q2_r`|c z&??@Mrw_zW2Zy}fa|G9{P9|_BZxV!@zFoR6$bP~03sGOF{KEJb&IvRpTqQzX|G&?m zD^DPtXUF>YH9L=by>_8Km{r%Nt0)uzZTz8A#r}a)%i6>)dv)f}y1zX^OJu9pn*Py& zQ?BBo*MOfD|I1Ex^?KFP?vXPjKx0nVa!0I+$z11hbsc(0USB+wFYOXWG=O0Tl3Lf@jfpsu&$&Pld zldbk^vHEuB&Malvm&RGAs~K;Yvv#^1vB1wYUVAL3+t$wMiCuTsYzqjxbH`HXSm6OQ z=9$7KSC>Z>Tt;l>>R5l5p@h-~rPPh`_B#3z&Sr@0Qaz5o3uzvm)LG$7(PmZOQY%1< zkI<5=ydA1!zQl0xhMZSeT1h%#rs$4q$Z3sHQ?vjG4XnM>REye^-#_gNEt)n$ zEv|at;4zB$eOeOe9PXDR^`~_?RT~+K7OfTJngS^9R2M43Eu-9z<6e3IlJM_b{C5|D zwadj>;dOmcOSYnPSZiqNc04*_gh_A%*6i4uzPaR!QJXSl$3+E8fDPIZK;TR z?TVwF93#a7hovcb?qA7V+iB=9rs6}5i*%$^c)E)f-DKzG z87<+R`v2QRpz(7TDcgs)6%8X#6Tx+Kr_h&oUP^l~mt?*-w|3Fg{=3%G7NgUIy21)YlM8Il|` zsJ8XpjKEo>QO76Jl0!4sR?DV^jlN*rFw@fGQd<<*%RXc8&=BSxWLL1h;ec`uwJHjf zC=_eNvqk&KQA~B{5_F&W@QpC*y0xh~^y^5I13u)H_(i|k_pM*Z2M7A>x3I=Lazykl zVA$}858=el*q>S*F8)LM!w{whX-DRmMF4xIE|RO6&$()1RUCpO($0!KxhuSJdP_voH-QE4Ohq*j-P?MauQpf|LeMEPByXxuIre$r>1~#9to~RiIn5f zFVw%2hWaSc5$bPq<357T`Siz|kKYbxu}tmShuhEd#;!KEl1@}XE4(xoi25++JUy85 zUZ0P6uAR@=1+4D4`g8f$Bw2#iP3*bf{`g(+H@SLpL&d~*KXhXS9sH=&6`D zQqif;3p2b^&YZhWCcOOHhDUF&JYJ)SS}$8q_Q3nAErvkf5IB-jq@X*%Ivh2GN-i_O zP9Y|DC1r0baaX`fhPPwn`RKUKE}UJTH-3c|;C=gB`Q}~0VY;O)jwn@4o3C?3OX9h9 zo&Q3w((jSj2ehS6VS7``_logV7i%lm7uhLabuswW;4AoQ1c0u;o{a=718xC>TO064 zxK{8^dU;F(_kmvp0Q3Lk{Q7?kh@9VJ)T;VgLvA4>7)zuS8oDiMx=sKS=YMW-UksTq zhDN&X#Yf-Tkd0e;Df?Hd`@IYG9A#z_lvw zNBx`hTuIRzgO2ozqC6^$WZONfOdt8rYRLM981`c2>C;iT0<07*NI+#0kuD`0<3xDx zccrQabrE=?>)dID1u0K@gNnvJJeQ^n=#TL#PKHw>c_$Z`RP_IR*d*&S5#{qx+qce= zgmIDc{o0{GQ>?YGI0tClZW$gur)|8e`t@%Lz?79y2TSXiH3*AeS`Q9%TRbo6xo8>( zcdtpoto7k*4p?7>gya0kWl}61_+)_s_xa$0Y*b42bL=FeXclwmkaR+V28QINmWg35pl5`zMPJpqckQj_m)RL>}(-hw* zSB@@Eit=X#4CVtLAW^_fC_6tVEPfh}HBdqwVT_@wR%{DYLr4>QaRbUjZ=^6H%iHQ9 zCcLkrCr*$yiyrj+`maf+1d3CJ;o#tdjlUfj;c)e4XQP>+{l%?0<4-6jRVnf>I1Q7- zJ^ev|dl)WizWHb5+7PaX*IZU?C$m>ot9#vJUHy~ zk?Sf-*x|?z*FUtwjjfi4RzDJxwA`pZ!B6<-jYj!J>zi^X$Woh$cvL;qy4p&^{`zZ_ z`ZMWYuPV5V6O=GTCfd-DVRz#dBkAv@g(50vUJTI}SfRUQuTZ4&rG!1IMzWUxxZYH8Mx zHb}XpMC@cNXrHm5A?ucbIpESVP9@Y6ZtjI(z(8FSvRtC5De}wXgKsuC&c+)S5Omw- z42Ky*0b`Y!25^vk#vBcVISig)KwdrCppds^B&sD`YnD^a)j|t zwi;46jk1AZMvcVk(<177xVj9E?JMV=JvsSmnyk~!H@?IQRsWX+OR7ScHbjCMN3R^lQ+hxd z+5^Tykab6wq_I5M*trz-}Rk_tI6bnR3EBWnuG(9C7rNv}-{SbYNis)c#?% zE?*nYao3-JcCLR!eJg4f#(guBIs!5FoAWj*`AmCAYse$8Wn0}h6g-&i|8IbHUrMRx7s|-TWrD(ABw8i9Ujh@>weuBRqzAGW^7X$Y^4J{yz ztM>J9Sm-vJP_;`>^7E?(AFq$onzv&t3syYwq7(J+Rme zH!9dvU#CH$NsF!$nce0enx;_8Ydynej+B@}PraYcK7u2?&gn_eP*PqSlJ-=peUeF( zI+LDI1O(%R9j4OnF^^IED_l=lW)_%8Z1KS=YzL{S47|Tu#=V{|^DQjmL;noUkI(kq zZMU@@5K{@${5si}sDU9A7@Py+@KHs=rH)YgOcOd@`-D~7NdPxg07 z?n3aKGST!IhkW#4pglP%J$OkYDcV+y6}`vRZUvuFM{LSnFHsHBJvqIkd1`zl|KXGE z_*D8mL;*+1k|W0atKXpz$wXiN_*_&#uW)<&yX&8c+FqXy8WEo^!CZ-Q-j|&BB>iL> zQxS$)bn=>l8VVdt7R+YWwBT0!dZ8cyzeu=$0k#h6;uxmMLw}J4tqEx9N03euI#+*b z8RQs&r@n;MGS-%l61;#XRB^5>)0i*mek%2+aYU{dlbBU&hH~xKmDb#bGI$f?$t}5H z#T`o1Ap5^p($cZ0F{gge`=O1zb6kE{$)wRi^hvV)v{Y_AdBwpr*SHZgmkgakpU6hS z@6Oyt8&b=ZGokm)Cc3A2pSd!cx)>SzS^j*OPp2xvK0$mFM*+$3n)B%+ZgGWA9jRyEF)U_B zg+HeYW+zs_Sp#IX>`Do#sU!AyZcZKE{Ox#Hy;}`B~FJcrb1XF8&b5V+K z@1kxk^{EdDZJxPuAKVS!S;$v!`G1u-HdihLG-y`cr0n&( zbYysc#BbRyS5OD}1hx|1)4T|C{hTP@_HUjudAQ5M^5hr7=B0!fyzgxj%360y4vM+0 z<_r~&XLdI-=K@KB#xOp=X|EJw2 zA{%e>xd8EdxA0b4^RDea7EC0euOPptV-6}Kfly}>I@qP!s`>P<5+`|tT|BTiPLnYU zx%(F<)AMarihz^T3iRE#zcI9#AN(V~4s%1}W$obxnNBDYo&fKM<>2FZoIz(5k%y5} zsF(uR_eL}3TZW8;h!%^&w-_K7+>x&>t4y}8tKBd^BGIDpY`BjC~rw=2x;rx)ywm?jnq=8aMaTiiP)>nijiiBf1) zlvP}`Q(NkfJg3e$xw8VqL0xIV!Ed3gcjB@_Pb)?l9vmW@^W{SQnPv2)_6f~BxeEEs zJ%?1%{)K^FKX<>oK1gI85B-VdeM_DKV;RJ35Lg{e6WF&x(wgxfX8VF7&2*0Mro z*PGUs&Ms7Ea&QO>#5Fn4$*^5Yd#;CeVd~7gI&J(=N<@9x0|z}0>A}b6refk; zubgu8K$u*#c+KPs(#!5-nP}?`%sKW}urO%`jx0+o)jaF`HL$h3lppxIicYo7GMAd` z^h|!cYDwxlzCEQJR*f&-aE(X3P)9qiovRU@%I&tsuh~)lT0V!^ZVn+iy4C}rzdS@q} zi%Vy8diusekDx*-1HP4NfR7rlP2 zaCSk>OEB-;hQRJ~Si*C9ztJU(bVwWL zAatevYmSFy$(DUM@Sq)c4v;;!&eyr_0*F37PS2@6Ca~Rh2E*9;*r4ll$zQpm-Q?1! zd0aXLbiT7R@aavMe+nvieCjHAOc_oY8d!L2TQZvNe(+2%y}^c-7w?Ni??a@%(w^8a zT9o>$o!LrJe9ix^&4^Hztm7O8YAj^Jy3CQz)^Lk+Jefpjq zo%68)<#wrSaVYz^X6tXD@#*SuXzO8324LuUi^VbYv+~k8ALCe8_J%TiEYZ-jt#DiO zu2|@Vet9)7Ed{oFf(A>r1{O<#k85|X4uu=*RU;TuX&5G=-amG1*+Ud!0&iTc0&D6ce{rJSgLS}Te zcVPn;A15XHVwa|e{^r}PkHyRDi&X(RbZ#~#W=19s_K}S;Bda&C1kQYkPshvkI+wA+X!uytZP4ZIy3sWqp%H=DB*q zSfGcO3khR(hJO_#G&gT((XcTmz`?`9xxQp8@4=qI;xeZv2f~MU-(`|v=;4pcd5JT4 zk^Ph{v@mQB#k|OQ5$tSe;NqO-Tj!JetT1z|rCoouR3p18tWl=F^YM;D^DWOy25WK} z>mmU?ny``xiKtG4Jf=)UWm>--k29PWo*Q7=)t-{9KDw3VZpnPFLRhU;C({jJC6nrM z2*~>4MAsDdv?L2&VI8xG0YLPjqN%n9TEP3kt3o8*_qfAPT-o$3nP4)5kFDQ&06P(! z9MkgC!#}~wmG>Cd!%Hyysa@wu&o2@$=tWp=*U7=F7OB*$k4`oK2EJPWlgd$~uUFkh z+D%-|)2Ikwx1q42JHMf3QqfD2QtL8T>$SI7g+k@b4DDA%-t)b0zCSa6k#+bE%CTbl z*p%@L`p4c4_5A5cs=Has7}C10cZ)W~>wWB>_3Pr_M?l8#=M$~3AT37!weS1h)9RNwNrf%)TG1cP*2N`^;(8>X8uPw|>~AeNc)#VgWj zn(IU)4xzFaK+x%4N*8BxzL7*lSBKnCU1*%E`WdVJY1G&5$D;}tFmFjQw_;L}%i2b* zbm7P(S5^aWCgk(s%tFU5x29_$?pbUmq!jqpv%EuB<_N4FZ3c z`q-Y|^ahlHR>l3XFUJf_qRE|*fXREJ+2*?N@gG*{2s1%7GaD-`Y~5f;B+~8Nms0gr z4H18)Fk`zcKg3WEd^7i4muG=#gS__Z-ekgk7w6mkf2TXX&(Xm)pT1lK$uD~bgj-k= z3(haSF2(+vmuV9e_3(!RH}~eglF78UdZ*cG^^aPsL~c^B>|&7$Q9159HI#Zq-APMx zLoK*w{FzPKxeDfL%^!e*PCu;BLR48Y8cUzh)qo_bcFBI+s>K#>U!7okcRqmGL)ZQB zF&>h0RnypA^7DyJ)40Z_H;qjbpz5ZowAlelPy0Ny>ELz+#8Cn;vsn*u1niW8g#!p0 zr(}%MGgBGYS_JC#BeTcZD?s{wyu)pWi#awPx#JF%K7>i(T&BVNqzEE?XLM67Zsg+&xfA#tv_0HX| z7Y=>=Hm%buFQpcnWqi6;tXYmNQnjB=S6ujfADU&lqIE)0U^1nEe8q-%N&Oqu{MDnY zEl9qSFEc~1AJDJn`(gqjT!ZoWGJs$%3Il?jmeky{_uQ2w`&{=ik%x)FLj%k=2;7l6 zue@LGN)$tE9eF8V<>%xek%F1S>P$kP1|5#BAM=4ptN^8kz9`;mOjmE^C^G< z3cK-q?d;Ce$ngidy_w$BAY5VDrKbv*CU=8A5|0UIFL7;NnO_A){`z;kDZXbm@HT8_ zRz6Ieanw?pFWKoOo=RBCnm4%J3QQs`#n4ir5czu z`44{DR=hXdxSu*icdtM1i=KA7-y0AdZDLhQe})Vi_`cSy{w04>CLX!$7XDyCY8JhY zaLxHt3w&6e8v66bIRD{3#`2oa*7dM*jy5Zh*bRC^sQ5_t^G7=(1o{!bFDX3x*}Ss6 ze>mwi3!D-H-#NU!%>8{{LG(x~rFzd56S>C%m{*%EH4&fY_p7g^>o-jexx7Q30UPa} z7G7N~?o4#jU>vTfWTI$eCUx%i)Q6NDKA`_pe_&RQT|mFr5=+$B7UHgZtui= z!-D#{dW2l+{m0BvM;TL{kNnDpN(EfMFES1lmaam0tGQ?)hjbxqTEwzqnnc>Hw&e7? zk|Ld~Cd@*AQ!7fa(I{htTSM)F%AaL{aztrWx&riGx;%;kNdN}&ckqC4;YiD9lwcUl z8Z{BBqj=N-?;WvPK4nabAH7dI)8GX?I~0|omklQu+KYVPgYwHeBqB6$;2R{7TlQ;K zsBy#t<@ywVHAU@lg6}aurJ#t-ww0*EzLXb-{f-x~A%V@-GwhW)MOMy#)1FTv^0O`= zZEd19&Wy_&@hp;}Qe6cPJdal&V^-8Eutryc5jA2%(21&`pHD!A)hR8dIad}H3Tbe% zPq7oqFGxYMe!s!+HoJMfRFrXlJ9$E(kxlvo>PE4f&(jlWq{M0TKt9J0dT=j@#%XLp zu`3mN)hOVb$?J@@3>V^&ZX^zJr=OW*wY($;xd%NE6d>R=dZ5`2vb z4`K;>079K-ik|+mG@t|pA%HZ(9~6Tg%nJC{@&MtMr8td@sOL&h=eMFhjY6;ZGy0~} zDd7*sLCvC#Sh$V-qIevZ4&)#ec+e*)h#}|!v*4WF(t!e$hycnAV>g=KkZhC+dVnf8 zXR`bhZtR3QzZYG#nAVqQbU^?Kpq;}9J>-g>vRdX+Xr~IjlFfL-gDArmEvEU!8^MAe zPzv}K^8jI%u`HHLDCcTW=f(x^5nK&f8%M)um{*6cbFM{>uCmZ z5G?|TcjjX}?W2Rihb`Zk4PA$ zI&*(rkWL`Uu|?IlLM5<8jaYy}@&74`{s#mT%39cYhyBy~oogL~#Ik^M{MUK1PsMF=8{~xmTNZeJ5QmUdyPb1fo2uPI!>E;;sE~_v0X;3zAUf zVfHMskr3BZ@e^E@r>D)QcEAA5kgkEEgE;?k`G6OO3BHt)`pjny!MYGX%8cHClT(nd zEs_170OTJV;IKQS2v#E{i1(@MdOU=FdZ@(I5>6maSy&H2v?BEXs+U+18>i+n?+3YU8rC$72wN;HBa8@JEWAdAM?=_R zVJ{48x+MSP+|Csk4Piab3)aGCnE^~R zbeWMIo~8KqkGqzz9)F~1muV_&K-0p1sk=p0Ebp3CcG&!OKp$71$_AAWR6bDoK;;9K z4{UrN;7u<2vYqs5_yY7{z4UlB>RJhztnW(5+Uzpe*Q&U(z(%yd?pu9xYwgy!*Eu`= zn8wlt3tMXXZ3(`&@#nXoaqm(s{2WcP)n|R$Ky^_SwP}G1i61w~FdByTcRmeieDL64 zXn(!)X%y>(x=H9V3Ed{4$0YQo1X*h)Q|2>~GM`D5`Ane9oAUY$`Ta(Iz{n4#dAwC% zXm<7x8G_r5mjelsW{ZrLsh-g?^)p)5gp8IoBco+aNma?JNJ&`@DJiQUC1vtcl0|%G ztQ3{mDoq-6N4`6_Rl0pg2MacR?5whM81l`<)vS6Ubz**7^d#w2vz!sz%8&ZDCiMt5ll!9c>1w4+vduMKC^hJ`B;jZ zn3>@d;KN(%8dEb%3)6?^=1B0N=F;K$<*5a7gkRIn4G5ETeh(^3;4|xw$ZN#njx~68azF9;8EO8ix*DF=ZeLP(&`y z%`aZ&LRwnibBj{nQr68iSju`)23?mgIe1^_YlJJc{9jR8%z~riQ~iPwg91@uaSQu>qYfP)z}|ZWB*``_5)&i1k%^W z0k7>b|LvxJOpmkML@~%~c?LzPUiYdFKOEcc)f>|^L1HjouTpZv&>)dkODc@lL<-}z zkivNVL^j>4vV?dwmJqMP65`cYLL$`l`m~hd9YPY~bsS|ouiNmPG~l&Oit?I=QQh9= zrzo!-Q&e1yQQCKTt;IEVdCi`py#1G=ylv#s@2!wQUiW5Dl;L~5qFD7FuU3rejq0A> zC>QLB>YiS&NmISNlU$05>crkSt47(YCrVa-lsorFS+y_9s(n$~_eI&hFG~BqD9`DO z>c{S=Hugl>t1GI;uBb88AJxXLC{#}rsxPXIJ>E9*Wa0(!5bB9@iKv0r8S>WkJ0?cX-a9rl(J|^=3Li%d_s$r0PwYCIZlvxU9Y4E+ZsrIR zS-u`mT)5dhxo2p6cxYnS0uiJqMB<)ExPbc&5d`P&cMnZYj_w=jm>iiH9m>yOnigjg z`Yx0o+P)_Y-d;-da2e4fr9_`q3Vn7NMRt@DJz5I=q*CZ}N}r@<1qN1iP6((3fnW{H1^ZW zvrLs$>-@3|jq*xOm#t%l7|O2;7ZO)sv|bd3TuczZ`_2aumxQZX2ntyW3t0|B4hQRb z1|dS5qRSL!`_RNlZcfuOmlFn$c5&^ylXjKPdGHDXqMtk)qh}Jt-wDqmM8sZJ)9=}; zTF*x54@wJtZdm9G2qJa^Y?q<2vGX*&a>*B#0l$dA^yl7Ke~(H7BjWpE$PdDhABG`6 z3Pb)k4Eb>way>!(zH>Y*1QG(4yCXp`ZaO;_aKpeF?nIdI#l)SJB;Q@5yF_5_N|=Ds zcMC)A9)_G6hTNYZm<=XIhQ>xGJ+r|B!m=J1hWu_A@}MxJBMjLVhCDb7c}N)Y&@kj- zVaUV7kVk|er-dPpBnbU^Zdslc7IJnNvX3ASr+R4PYHp)C&K=#eqvPC>p>sNR)BUB> z_wKfiNg^(i5c=~dyBtIu4kBJeh`?}sNl?g3gNT=ft9f}C@+V=)tHO|1harC(hWuj~ zazhyMD}vCUr;~3C3;8ucaIb-`>_#TW-Ix5oAsi<9dS}PZ9sIAmD4!3%B^0ea?gjfM z0??mF=bNcG;8eE+g&ZRUmaelXw2Dc>=x0P^Ib*3sByn{3}-m!Ola`(vg(X&QJhC3!l&-P559bsj+DP7#AeMDHu zBg2qKg(1&Ws${2U$O5_WY=!7{n)VNZh^xbj{CgPko-pLSVaT;%$k8z5eFX83j`xR! zd>{!}=-7x> zK`!|~f|26BdHP|&x*i@D@`y0x{}2Qk?>TSx=yvzM@${fNXDCFM^MuS}f{0E+1nklm z6!NmLke4e+r~8rTUl8PhWEY$OpywsqCr0QeBzuO&rd&^>69TL7mthV5$^p@yX}{Y6 zNw}I??L7qXJLG%q2(6mO&{J=Ww4Fmw>F@09oXn(C>Iqpcp>;U$Xz~5o;fP+6itCKC zCkE(AX(okINtU~mWZL@sy_ujx4oD`Xb_$u`&XniptTgoYXvMkYl_c(Wh_ZWV`#BwS zgOeWm;`uM#`5BqWH(g`p_;kFWl=DOlV8_T#=2ykmviN*9R<8}nWu=YKR!2jq9H2BUA zNJ64jz|Zrrls#^IaiOU#h(yvR!iNwFi_ktgaWl5->?v}r{5i6O3RQR*VKF1_+c7*g zRUaJe<^mCGLZ9H!PQwp5AW4QflOQlvFD>sGHJ{q9#B{l@7>5aB_YD>`Vn=8VI$NpY z>$Oq4l!R-k)t+QWWPsW^gs}CzrplOIh*r(cu#j;FL{nu~7_vJId2$#sNf3Yk>oX3nHHCP(%~5UqQz9I=6ejPY{esI|eWIu`%nrQkSQi$&K zhe3H=>1Ig~v23qJ6LeT1vY&owg(CTkAmTDYU}bkIdbtu&Pk*asR&s?B(PI{H{+UXI z`!4FUf`~t`BNDEoR(p;ekpXHi3L;({M7+d~(E9$Rga~b8UKW9QxxFT>#jhYlU`c#s zP{^yoLjKeN(M`o^4lB`P0HIH5KY^6gdx``h-Xv$0fP8f=Z`q* z(HitI2SjVo#~l!@L7#R&w2D4U5bSx!M)r-2IY;{!2!%GC@fb~dZdd$eM^zgBD+CFR zfUhY`VF#OJg1+v;WRTi7lsaZVY4-cJf|!>cT20?0h@~qvA>Vfh(Ny^XK|;;`aahbx zTw*kRe@Ym?zSp~?XbS(vE=8*cuW<>?Ft?@E!=j2-&Fu+c6QV(Ohapc6L*5#Oyn`Tq zZLbLn`L{6Songqo69l*E&mA4!vt!D68Tan6r1uacg@rsn z40%Nu^4c)u4FqxA@X=e}90?+>3?iOS2t3HzIZ8j`!;ea*c8$9ay1z`xb3fMn%V3Rv6-2y6A>6mL z->MMq^BV6Y1kDNVi?i!!+Q&_W@e%s5#1Dgr9|aNr8$|p#i1b zV_1=22Zj7Lh`1?;xH*Wpg%AOoJ%MhL`G@8?G^8-d>)mH`c1-ThKZ7`yJy*wV(EHJ+ zv+J>4{g3VK@8}rXd-mSRJsq8WkM8K|tPfI|HQ?98&I&Y+!<5FYSNY6$YVN>%b4e@C z-Fp2l6=3Sh?`yTi<9k$yb`LlYmwdu;@j*-+4u&Dm4ny7?hWwl$)R*4#0&k)A`g`)M za7B+N2y9G`!zWH38Q;EZ*tmPjO{aLmAuh=6Qx>B4>^bWU=Rv;vMwq>g=y~b#~Xg2l~1O`})tQ_YTwtx&{Y&dg^_B+1sf8 zo(`vfRUJ^r?-S`Q#G~6srp_ALIXZUU>EsrejjX4ah>pj_IN7ml_ny(6qfaHaT@zE{ zDFZmk4QquN1uXcbJpG1r;=HNtJBCKbfyEWU&Y|(W#WQkXfMWE%jf z#zr6=)5TdslZ6LatpCXP(3xW-dhDDjdJ_r_bwdJo)~2O65Y)*qBP;iS!O}yq|pP&;m{}rlEsUVI#bjOv#gD>y5HbARhCzY6RiZ zWcJ;oyGO=G$HjbtboN$VVanjsu_MD%Ll|Sj%wes-zSc5J zVXZ|a&x&7can4ScQqEr3nvX8fu?=p3oOPYd$lAx)jod9_?~1 z^Z*w985|ye1`~(k0?Q>Fuf+n3wqAB%cKJf?!*ptyVl^nnGMw9Pz?j1$XARN0TMd>b zOS%a7isty+6R_WKn)Bamb8uzIh(`CxovLh zbKvIX47;dtI$bm+HVo{Z)=8|SySY30d?=uTTg$)E9CHn|OlQ{yt4ML7Eh*|XnwF)# zqoo-ZY88jErmRSw*ja5_QwO#i9@)KThu68>e(-9|!tt_lvu)a2Gwth@%KGaS)N8i1 zUe-&tbcECTn1-@DuGaT^JdKDWnxnX z@;X14>oi+h$8nlt8y>V!xr@(5hdjM3l-IE_>2~zJj{Ku*OV(zVwc0U_gVfHeUDtY( zf8{O5@wO4setI#>3Ll00yJ%3-KKIV;$ zAFJx6E1g`M=rM)J|EpH_(+K+yls}*1*J^aGYd_KdiRc$8nRG`p!90En&8rkAn43AK zn>k0Qs0wRn0h<46H;~;CzfQ$iJCP5?w7z2A4dOy_=vIiRkJeuyk7TwK)1IIuKCbV5 zN)OsAv?Yh-{X)s(d??yl<=g{Z$@o1nPN*p(pKq1RY~j z*W-5;oi2<79pe_|*s~!v_2xYHFJT0p?aSp%r+uF&=X|>{4_w6CFg6;^(*jHU z$y$GKlm&I#^kUl8Cs4n&wntT8>ppnSvExT>qBWJ=rFPL77rzd1!h}oje{p|~@X4hM z9w^+N5$`*A`s;Iu2VAucw{J2TSDm)?|Ktd-{>B@{UGcP*rEA`KituL^`d>ePJ8ns3 zGT!)&@4)9X!l^I+z+-YOPkiyd59bJg=QO?H>hFn0rq$l@8TVOv#1$WY&sC^7t);R@ zWe?3AD*vd}zN@Ai3=>+e#IUW^@NNVV5{^-ZkmhzLcyd! zkXRBF2|TWA{2H&y&$V)nD*Uvb9RkzZ z7}M6ln5vdxTc$9*!Npw?tr!n^fsO65+PG+@1;MnCr|4a^2W`oG-M z2t?iS0El4S%llb9>2N3S+gvaBwUJQw-m~p>+yOEMB4sUgg|Au#OO9q|fwp1S#*g6@ z`Lj=rz-3kmqlERq{9^Z#pGU)6Y7)o*3xVEEBxv)7$^YPr43Ct+9QJdj?kVNu>N$VE zd>pCL)ovc6A`E7XRAoHjtTp+@X0VZTv1vI*5pW{Q03#=T=~!+gV>944+Qtw@QaDA> z3B>cS?vV%483UvfNze>o3DIzDUkAJjp$inxRz0-zElVPBRslLiy7?gMn$oX`w`F>0NOe{-MZm1lbs%20# zfdXX#Jrt;aPxHXbdR|e{ZKd`I<2Rt^|1S+BZjjC%*xU4K7dyw zM|jtWsyHHNu;*c}V?QiLb;iP=N)g;j6qS0xiJgB!eT2A;Q{9LW5@%9-FFEwYCH#`r zxe!sl2k|02B$DJQVtr(fdKOoty#qxg3lG)Q8WGuQ7B$z#8F>e z^*MS_szMjFu!JI)vFvS_*HrZEM1=GqcO0IlOC4fpIZZY6c*ll+aYeRfWT$AveSF!* zO-LF81C8czQw!=Mu4c&WyQ}J!;a3XAT^>Y%>bqGepG~+SDw5SUe7QmkZk7UWWZV-? zxEMG?^OM{aEs4Tk0G+H|wWDhda>u+T?#VWAoUd}YQ(f-~Z87;V`}@h?$GKRLu3d{2 zF)d7aMzI#xea>vEcJ&MPmf&?nc5egvnw2gTe{zSa1A;O96EurCPz=h>u6;H05E`qgs%3gyH^Wp$vN^aPiW<|k`@tHTAFlhHIi&bA zAMJW=6_^xlWVo8|iB+B-HHo0PqUP)y0NJGenc5ek5`bHZ(8`TeD5kalK^DcNMwA{e MjF`gz0{{U3|2fTc`Tzg` diff --git a/cpld/db/GR8RAM.sld_design_entry.sci b/cpld/db/GR8RAM.sld_design_entry.sci deleted file mode 100755 index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/cpld/db/GR8RAM.sld_design_entry_dsc.sci b/cpld/db/GR8RAM.sld_design_entry_dsc.sci deleted file mode 100755 index 1d6d60ff385eac213bc3fcb4244fa82d1a8f7a3a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 216 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JR+5rs#fldg;5Cf%eK qN!xihAG0P~rUIMhC;o!3#ozdgdinVZO23tSE-B;r_{BW~VjKWu4?;r# diff --git a/cpld/db/GR8RAM.smart_action.txt b/cpld/db/GR8RAM.smart_action.txt deleted file mode 100755 index c8e8a13..0000000 --- a/cpld/db/GR8RAM.smart_action.txt +++ /dev/null @@ -1 +0,0 @@ -DONE diff --git a/cpld/db/GR8RAM.sta 2.rdb b/cpld/db/GR8RAM.sta 2.rdb deleted file mode 100755 index 2703b56749f9bd06454a18b85505e1c825ea40b9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 11530 zcmbVyWmH_j)+O4wy9E;5-3jg*g1ZNI5AN>n7Th7Yd+^}yPUG%OC;8r+f3s$0^*U9% z>sFoG=Tz11d%GJA3=FIi0UT61f?mR)TGhnC(cIRCgqf9riGi6!*xB65n1qFug@lQN zk(q^&g^8J!M9IX##oWk*?lmh&^@>IYawg|DB)M&n-xwos5mFyUo3UQoCR4MtHO$(4$%!X$dqRFbk( zxYw@B(g8JU7`41vYfD`fLyYwwbUxqi4ku9h!1>V9(pL9wj2s`2#Plp=wP$#_Bx3bJ zMPIGkJ5;8)$Zt#nN=OCDiGx@-pkq-s&w01c83hLg_+o+&C;8tG?A{cz`5xhM-^;G~Q4dH6AhCFu_FdW7x&V zZkqTIswfKE!Vngt7i-BGt z0KQJEB(UkJ!=*~5@5e0WwRKj}E(KW)47ul-zEias(iMiXd=(K&9b%MTuERz^Sy0(< zypB@4XK^87>Fi!scBa#n>i6md@{3BkACVf#zk_yH`#jxaI|<4^X|MTB|mn5q$=nornup_J*_B;kb!PG#XYHK`p-mp+^_%jH^_2sU4*o}Z*v zbHno7rQ9l+7fJ|FY|22EPilO;FSuC4H|`GU`^a_IcDNV<4fGPw)`QN`eDv82eZWx# zvr9sieb)0UIF;|ZmCut;^MUh3VX<4>GLX@cotK~1o##F&T%$91J%{d?>jHnL%H!Xd z{D5kFLV9uUlLt5v;0h88$+K_D=%loC!?8G<@XBqXVadfwHi|nr(|KqBhyB4yy+yNTt$Yf}rzu zm;q(M+14-L>c;dm$y$(q2efyGMsgArW+8ttJv9p5HLEf!B%+G?ITJF28;dy&9a>)b z9LoqRp4xI}1}o;e?2ih?%s(ZBU6(@fWejyE_{iRc-;d?2h#S@&g;ig8j3j_fNYEMw zAH|;_)gv_rEcp`^onSryc1r6tpp%%!fa(E zQlnbpamsb|%Wp^7X!=ySTK+RQr+zaTP&n!f-tV;d&1bjr1YH6;H-{Yk zYHMQH!d?-vc-LY|yvGt7*LZp%m1#8rWINj%xlG@A1q^E)b|m%hK04&ZD?VUeUE#AQ zWAXT2O_Gt2+)A3N0&s(OS08R_T8B>+Ven6zt~eeX#aeCT71Zu`^R%ilazB^j$zW)} z>8Tgx_?|cAUNdX23}%NMjh2VPs}1s(FY=5c^9}n{@EnG-^BytLpP4Q(EvZFCA>Ejx z$|9PlSjb2HYI9D~zYms`dw#qBUf~bV|Z$_9YKcLPQkXzEQx{Qj-!+p4( z80k|y{)(D8{U~>4WlQX<>`kZCr&{j2Y2^RAt$I@c2oFPi*v(%dcX_=H; zRXANOta3iQ;`~+@icu!E8>M9IR`i1LMrk$r4P)1AWuq!|WqMzIrnjQS7<}~9wzmE7 zyot|+vRP$_qS#3-X3N@&PF!?CfkBD4HX2Dz5!;OpP_JV)&7<8rO#tWEQZ2gUgk zAzcgm2_c`fUmdm2zzWT<0QVW12D#r3x6c4Egqd*A?vNPSfCvAe&n#ZeyN^86@Y&#@ z5zrr^HBs{1v}N(2$cdZAcE-P&&VTiWOIAUstcFx{q+N@ z5D^)f%WqK8_LOiOQQ5%2vKxDPq4o68WSEGCP<9!Bcq9&)X}EFNkLv`a@3%^;m50KHm4$qsbXE+&oRHBi9n1AdBsafL?tM%MXV*Q;hj~k&oUg=i{8c%c0&X2z1B9txk81 zVAK41fzp?#lBg2+T$S_juEi#zN^CMV|M_dkJEDr~*vL|NM%D9n3}ELuG#s#qOPH5sB3;tFAqdU!gPVS7>2T;%;4LC zbb;!y3 znM6R5kvKFOq!D5yGt*$Vocq_o-WnB#BTLMS{i2S@=dEI_Hyp7ME_;DM1KVE0_<1&crkk@8% zH$*dnGoBjkZrg148|;?dQ+_>r*x7Y(67JSGf2Zt7+3GxE?2bOJkz%&Neez$|=eKTn zB3%SxyVBZjiFeg39H#ShuPsDXt?#xk5SQ^Tob`wgSvb11B4!i^NJFgbNArj*;&ieT z_8`xgL37l=IIWt!vbCg;XT6CmwqoCzpZl@c$e|EaYpv|kw1qgHe2gThm#3pY*nS5; zTlSG@g(aBUK2IGUx3a=xm>J}F8edU&r>L5Z&O8n8+c8mDADJC_R&=Ux;g`K>Q(Sq~ z1y3CJ=)1jjUM(|A<>w2GDjMhS@QL`@phR?H6`;_4*4}Z4{7_SlAaI-NQolP@fYW5V z#<%KrdAZ{Q>+znQZ5+l^`?zf?$Uh8l@2Z@%DrH5Pfx&yQ<(#_L`L3wf{<3W}YkPol z<>KOYuAI}6Z*$~duuqvab9JNQ%wtJx8j?lmZS_^e+QtIWxwAQkI0>imCdfv!dwuDf zqhZ!B8Tit}7(gWpZAL7160X-%jnBD^212iY?Ny!Bk(t}~MILKsS#Ld6Ny__=tA{_k z^5t)0qTv@WwX{~;E?F1(ZBbYj7kH=gE^-rkgZHXMIR4!6MQ8~%&EEDwR1>Zj(}qPm zg{U9Te3?%BA{(81oXa4w*5~0~Ey>V3I7VsC2&57jCXMUdC0ANIAojtGJSp!se|8?uW-sWAXr~`aDg4Y;jmRb(M6K=7DP>^)C_jDoYAeVS4oa4NBPCS+8 zR0zI^wV+YnFNk!JWUW-w*`gDE@N;rzI-FLHs@ObvDiEU>5Xo2?EVXOgXqW>reMx)! z{Gfy-pQl#n6s|O`x9>_a$NC(rvOhPxhdwmI!Jt?5&`XRdzYZz@KD{{yOgD$wd@ts) zR(jRVLk=&DEn#QjdbHlVFPSxA!Z90zivt4OCz#Gb+!OE|&J?usgLh4N1nNG}ea9}# zM}oynGK7q|-&p)MkiW>GTa*}AHq?lHSsV3Ox-bC5p;Qwcplp`l76lkUj9bR{Y)BC= z@AW?Q>>D5l*~uz0Xz=3Sl&%FfB5SU6+Pj)<-l)tp9~B+v&)ZMv^g61dy7eT2k6q`N zrif}}`sC4!sAWBWN{lK4Ue=id7ti$AxED)u8=^6l`HIqlIka(Nlk);a(UMGl+?2%L zXiyanEhY+KWSx}7L8FtphgsAt*qRPJ9^qHxM$Y2rkeMs)4t3Z7An|(pSXKr`#AsHJ zDB<5BRuMILl|?c{26Dp?AKEa`E1nz&9`Tf2ipVtVQD;`9Bs#Do)9eU?e=ZyZJ6SX_ z-0s*Q@_A{^%{`Epgf{t1|1d*o^J^U_D%zW(Ibh>8)3WwnK0v<~cY z1+PzRTw(gEyry97YUrf{>10efkGEk&gDmeqd_*`PE<;u}R#7VY>(ok94>3(gX-)FC zyym=vz3ZK9%mRaI`{ndyg!*j^(8&HZ3AfO1OE4a{&?qrlQM0j(SvqCh%w&e`Luk$p z)vL2v)~feYI{x+--il%q{z#pp=c2W2Wu96}ovGWH&qvq4o78O-p5~;oq=)=~)I3@^ z?i`hj!r%R)2rPJ})$PW>8;p%l*WsS#j(GPf{69)EqbhEfM z2VkROB#Q6z^a9b@9c$+atp+gf#=_rmQTMFaWv_U&z|xD`xRwe%{4G?+(wl<`uFgs_ zO1vP=(^0-v#?)^efNwYvlhd7+xUd~NjZuk=b5q99uo&;}Znc!9jRzN$qTm|UCpE7eyKL0`SO?c--_Fn`_RE`&JyVUQ2O?H~JrmpUR$VGKTR}Z^Td~_c zfr|h0N0aLL9a#n4x?mKnCOdrfLY8y#;{}zEUh1KVMu}#8%huEOtaN-yF0)H$G`sCm{tl6CPtqL;L&+lom62 zM9sFFy6v*HnKd!cDPbt|VS=;#&QiXAcAy8Su|+o!4u0m+_$=c@?14VKRxj!7_AB~h zVYio;{Zd;PPBez$C7jGj#DnLAE_}DECN0>y)6A&r4CzJC3}J!zKA%t(iUqTUvgi|v z%Irf0FbI?VW|_qEJ zkBZVyWOq;~`lan&$OWg~y2d%dR2x&39YUg^leg0_=E&tQyc7wrc`HWp$M-!gyfvw~ zotptNv$o}h{nE;9f~UxJt`Zv6CgEVVf*G3Nhj4g=?0>PWv&)e z5+-|(Z>ZloslXak?iM(p(=GMSB6*@sd}A+U+C%Yka3_ko zM*aXn4+|ldQ5XxNx?~@)&a)DGm&=ahF^SFhyQGeD8u06T%`__6oqZnV&eleMIA53V zMqZ>#9;@_AQ1E2T=hkV>jUmm=z}t z5scN4eHY6k@I2kiv>9rTku_Cki>rp=Wf)qhDx4hIo-iM=rITv>b(EAeXsxxu}mo^y?Jv#ThE?Tb;(I!BFr1%~Xs5+?7elRq2 z)-uJ5hvdf_kk^71&@-U6N$h!Il-cDvep&fDzE@v#EkFEldQ=OmmvRVoj392BM;@$O zLW6S%?pKvFP}kfWc!kxj_bf;aE1s)R#-42}h*17ht)}(-27}*Eq&MQp^xI;Q zVNKccjIJ~9m>+Sa76F{GE%j-y+2KO|?#o8>fy=Z(8G+$xMY>s|Pjb%=T6^^mTTEl3 z>nJ3Os{DPdJFLVe-EZz=>(%BM7w`6@cix3R@At${UM7_%{N`88^zaZ+F!R?$XmLrLM<9dkR zG>?p^^~+=y!vBSbLn_`ujzdadnTz{gK_&?~YwFLoIeTJ?g!?S75m|O#g1gww(Mab}Ful&mW_X?=*>WN86%{cxTr0w!}y5Hn2}6&LDVYN%v4>6i8rmZ`j~inR~vpZg7+V6!5E;G z+T``8ynS~ym;DTulj%v{&N=Wj>y z_HY&T8pC2rtkqfju7Tf2De<&p*Nesnca|P@c=5QpipEr=L6xuQMdtZdY>9f*^5!MXz7_^Fg6TaXMj7{X}!$jNpBn zR^4R|y@2WJf-MQh+YpPu1JDJuvl2+%=J8;*0Z&h>Fy^^^eFdq2bX??qBsOL~U)$V- zk&bdet3%XXXiN1*cej7(M)w0~TY>wt@!;+b*8ABA6_6^Nd2{|=Fg@n{Ewx8czj$wi{HgegW?`ODc*HEFsZMmJZz$RonH-^po z`c)z|FTtWxf0h=C&M34{011~=iI&!Me9<91PAyc+vL>ektLg5^#oKTsDGIYewOKiI zUWvZl;u1s5QizISTeChnIYt`g5uiPl!+ek0SP9J@YU4hHX{5A5)-I4(n?JV1Dc9LQ z3i~k%NyezF#nULW9uO&KZ7qUrRAA-#WqxTPMbES=##Xjua?+=%P7Yop(&r1lhvnno zTMgUYK4_6LrWX%VY)?+=E)Aq!H%K&FW4MIt;$UFd`?3cjKR;WySy)y!jWo}iT3XJ% zUb8nQH@FjM30SUDjL$DWX=F6D+}01eXH<6F)^pef08OpeaSuQR#lqxryLD9#sI!iM z<#l7L_x!%K%WFlJiM#^=lh!CuE&iILqh52Tap5~!>q&Z&4*azPN9S1eq)Ow>-U^Oe zgZFN@T26-|-@zyiT(?$pFyH8-CDcZDLBc>%z8U2gmG`p1wn zurf%%tfG0+B?XxhacxF#8ig4x>M^urcdG6OZB)3{ZNc)pZ#RLPdfk5EibVy!mX5_c zZyNWZd0zE5^s(>%b+XTKz4q5}CZ%_I|Gz01LLP5dJNPj)PiYh%7%3n9W3N*8UNYb$j7(#{0BeuH=Pk@s(uc$k zjCGrE{Qs!a@uIvF*-2c~_R~JNspS0i?Iy>-sOt1LgldH?v#q~L&`lXoy8XvoOrt$> z_-`88HIq^fL{%yq}9@qCMJkvpwqNIS6|w6<%7QyGl0y zUi3EETajV-oJlc9{6CD{AT&r>Pp%+6F<6^G#S+4NYr5&uYgMwyZlieoo%kyx)Hk zAHSu`0M^pA5F1p252)^FT5|b&S*(w&^gXw3_!n$=Eke-|ck8mvyVF^&^CEgpEptK> ziHFs^FWUnkJeimdI~s4!sHj%X<&Q5^&rAaI8=BxaW~vW{?h{rD53va z$#;mP_E|%$Z{-$kt@$nO5+27)t$W5Ue@=MrXGe@(Uf&n742{+dXbBq`whVr+3g3yk z_J*}U-mxX%Ks=5KPG`k>spjNUz^c<>+ckCVLEJ%yaT;sOAh6|3*Fq^k_=c4=-FLi= z1vGH#smPD8C{M{2uUr<-abPXtXeuXfY&h%c{E;OQqh5B>_#&wr)SDJH=V0znp9S|K zkW(4Na>qEz9sd~l`5JF01{`RL1)A0TQk(nolsxaV-rgDfuJa?Gx@yiF?xABOTLK$E5%y92-K zFz6kGDn~-fb?`^0a3-xyQzR3z6Y=>o&}7xvAS{G#?WrCR>15-AzE^gUrCX?FSRT+@ z-4+fo*Jf;N9zGyTSe)UxP7~#fOsjC1s^Jei$2je#x!!g0(VtJdZo~7O&vOaOSS5 zh6*0A0Ar-pn}QXC?ybD7hi>sbSt*TSN60TzuU8_re9;$4@sqN)uDF!>Qv~QpS1h%( zC92mux>DDEJFq!a4_LQ*z9q=GfX-pwSgZ541SgtKrVO;t)I2}c_PO4Ck_)Va(5;Xf zV!N?fEgRB_HKghB^)P*BPg=i>S4YK_`FTHoi~k#%mqYz(RY%wq``KA{`wd;UL9{)I z*Bq-!lc*@X9D5p~8*|VfQi%isSvHT3@FLYRFuH*ujXaW)JQo+-TqS zo%)Y@P>kf?V1mhYL8b~5Txp&AI?~wacNg#n>01(*2`n!6_!3*4>gx-s-(-dbfGe#2 z_6`O&>ebipnJrUiHDKC=A!tREwMap?bU9-npFddz1)j!)dr@9j*q;s;;0LV~vHpb> zx7%iPIhnnb)x}VFg?Ih{Ztg;s2$@bDm8J(H1QG$lXb=dh{0)bPw!koZ!;f|qsYI9$ ze}(#MaBVU#z`~r`(G#A`;3CVK<)5YoZgqnaM@AQ8ER12@H-@6MBKq8eUOm)XOiWkH z!(?TRahkHcyanP7LNHpqgcrVR2l~WiBg$PwcEFq@4Y_y1H^JsSB7Zv(52&qR7r0)e zQ;a}@WolT?pK7Q!W=$lk%LZ5eXX4EbJG;>KwMz=!8^<~6^steqnzql&Jpj)>N@Y)y z#pMI2h#(lNT#x4kWM$0&a@7JG81ceSOym*yb4{Z8vKiw!T<6hA-=sOoerol8j589j z`+m>jR3CB+(=q?MGTRSn?GSJAxAh;e3j*|iz~)D*6PU8XuEpr!J6MZ*kv0&+yFUyu zK@4d@47E^gPGE#RLth0?bOyaiz6e#;>}7K_e#qmgZvK5Qes0Y66B}XY?L(8e$URx- zczqYj`({D3>!aHOPnYF{(S5in*_Z7{o||jAS`PCME$|sUmZRN8cjkDDv*PrS8Ox<6 z`bt_2h*lQW$$oOys<>8$Yb+0^h6z6-okI3F3zbu()V|xLT-3cW zShc;|Jif?=uU3>AHAYBKn^u~id>g0X6E>w6;M=yL;@h?anHk7TK(@W@rVN?)aIpP& zJvnfFkP{*CwdCshfVfa{Aw=L^o6qO1#f|@^Po87!0z>S}&+MAv=3e%GiLXN>=u$PX z9-QlLM4g)jiIp2!hPeFkJb>R5j;Mn9QZ<<93NPU7=ZDs zA>BEmZ>SFmi^LE<=&vH13fo-*ohuEEq>N1@o@8EC=?OOHJeWn}*I!aOnnVniJ&}XV z9l1aaE1(9o0DVmbNgZr?Lw-xus~OE-1L-sQpDm*e4Btze6slwEw@+eA%)8jm?|x~E zwy78Lwx;id&SJKF=MUXY+(I2D@B0`p5-dXIiWnj}fr}ic%?y=~7qBP-mBD7^A4A4Y zK7d5V{I!e~l~^hZUo!uPvMC8@0oh~wrAj9RL3y?y2}}H%SwVE#LLSJ=xY<%a zGEHe`z&2^A5~Ngn;xQs<2T2GY|B?YptUiCUBq>AlGHkKRVTJFtfj)F5$~(=b=b{?;L0<g%ez>Z)}^-ZYGnX<)YdR~^!#aFsxF3|{al+*n)^Q?1y1}YVEdH+22A^(tNn`q4LIe$ z0pn==4R{tLaa8u6CiL!Ii5m6rlQ}*%PcM%L+j0FZv5yI$)a)(f_#}SPA%1&ty!>xli2&KQA(4dcg>{hRgwPRx$&%Q{SB zgMawa&K)FKZc@KYAW+^~ZUXbG+r84YA@zoq%d|9( zckhq#Y*Hg~Kjr42KzM+!7-KA7^UnT@8)HMf4q2@?ZL5WRD`hK5qc4#q(BjGfe zjE~#oWc7VQD|$B?q(68d{hA|B^{ja$E{3kBOfOt3RIlNHNr%9mb`#$jp=ktskyZO1F=A~#}s#+xs zik|jR7UB|mS42%?){OBDW9jTBQk{L_=)xlv8j$}d#0|hBDOoUh620Y-&8Yzi%xVQA zJA=UgmzWITNRYHMvUOL|2oT4V_Fi8m)9?{1`~m1VjDoP$P&Z*4Nxu9&ZRo!#9n>I# zN%Kk*?daHix6I7Iyxh1NMVD-y)IloI15ycqYWL$sHN-@S7f47}%Rxe-3$4wx9(JL& z(G=^2H18B|dJ*dW-?MT1UoklQCk7fp61ztKb3|em|En%j|65&%{~b2uYzxVWiwM%m z*czf*r{raVHWG^|>TK4KJ|ZMk3%rn+tLUU^vmMfw<9YAX^97 z2FNy9IWS8a;}D;Rfse~Lo4EXL7BioN&Kqo9pDJDBfu}|@@9$>rHvgVqOc*wqJ{seU zJ$N^NNCl6S11Mv9#(LcGpI;+B^gbqnmVvyziiL=GD*EMFMgGkoLW7sZ~wrZc<5VU6mVm|kL>*jZX2keo>5J-j(Hb)Tv zk&Uq8pY!xw(+6*-7zA>9&WI_#k$HJ|rwQcC#Ne7HJQVqoe5+=YFt8b$8p{UIfXZ3u5vBf#O+SH7YD8EzF6Ug@j z;n9BRkLDqp>>6=FCL$Qs-$0k5K^X=@eq`?dHD(7!HFj{wg@?(*M9yDz7R_IiYXC{h z{dAf}itq*Q*QmadHmR^aO)9QDm{^q8YQ7MS{uFTkd}kXUN~v$M29k>NXkRh5erpQe J8TxOw{})~!b+-Tj diff --git a/cpld/db/GR8RAM.sta.qmsg b/cpld/db/GR8RAM.sta.qmsg deleted file mode 100755 index a978006..0000000 --- a/cpld/db/GR8RAM.sta.qmsg +++ /dev/null @@ -1,22 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581829848408 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848408 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Feb 16 00:10:48 2020 " "Processing started: Sun Feb 16 00:10:48 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581829848408 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581829848408 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581829848408 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1581829848468 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1581829848558 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1581829848568 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1581829848568 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1581829848588 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1581829848613 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1581829848614 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848614 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848614 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1581829848616 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1581829848627 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848630 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848630 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -2116.500 C7M " " -47.500 -2116.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848630 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581829848630 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 5.000 " "Worst-case hold slack is 5.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848633 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848633 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848633 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581829848633 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581829848637 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581829848640 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.500 " "Worst-case minimum pulse width slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848644 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848644 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -495.000 C7M " " -4.500 -495.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581829848644 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581829848644 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1581829848693 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581829848718 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581829848718 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581829848889 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Feb 16 00:10:48 2020 " "Processing ended: Sun Feb 16 00:10:48 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581829848889 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581829848889 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581829848889 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581829848889 ""} diff --git a/cpld/db/GR8RAM.sta.rdb b/cpld/db/GR8RAM.sta.rdb deleted file mode 100755 index 1fea3afe1a549727ad8a5c25c74ffee520d1c4fd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10859 zcmb7~1yCGa)9-OzB)Gc-cX!v|?oM!BT(ek$69~Z}xO;F9Zb27!clVIHd7kgh{qC(> z_0_Gd>i$p9IcK_i=XYwRYTiIWK~b4v#b4hl_03SDap3K=UqPsmK6wm-{3K@l?i zY4JC2-r>o4eK}kUIvr!Cu(fR~PU|^b&GWyb zJYLGsOj*rx6!AOe;2LKi#K?w4`Svh?D0)d^O8!z3bW;)>LKUPgA>66%85qhOb1(a2 zoY;*izpjk4-sbzTaB=Il?ApVs&x-$cVj^La^J?p@^~0QfBjToRMn-uD-m7t(;Zz%f zdNsNDTjz6l+hz0Xiw<>s-yNQNG|X#SC||N5)3Ej5AJ_3i2FM5U*`F3Kb0QxmA3`4Wh=Ae!*%X2^`#{$b&6L$P`-79&A`zYQEqg%gx?6o{RU zmrjSmt9CQA19m zu|24^3KcWAatyW61H~=P)X&jo51cs^JcqBW5m`Vx{w0BbbTvYm0!vJ)rZvl>(Gg(6|bw*-&I0Sp*pw9w#AnOc?5Jv5>|igc@Q za#c?21({L1gd~9FL8lVsxLz2zO^?^(7hP+y;^baWW-F85+|F;lo|kXFk}PPQ2KQiI z>M}!bFj9ZL*OfZZSU}4dFF}@F5{zm@r|x?`^#^w18=>~dZj&8JY+FIyeyk)qiPX)9 za?B+sH}mik0;*Y-98$ES;6{w0_OyK0AY)fznUW313y~!au@{ovP#7g_n%EXWaMSFcp$>V*w}#0kWI zc}2YGdzHZXLO^;i%hb+#>jI17;Y%K)nu23b61|Sf%R4EWH!Ivq1jK;VCf!I+_O#rzjh2<5);l0b&BTRbbJSLqC+L5VsTZ9zlsv82pl0SU-mc zcSp(%zyex-Uc4Wms3dP{6odO{R`=!rvq+s#27mEjKsTQ^z3Yl>K~Ir;sR0I|cp=KW zr=kaZX!tXp=8Rz>I#*R~O^+7jABAF!?$e8~Cp5g7QWDGpqn^h=3}kg9E-OV8y|vO9 zDhMzmsXY^Ib;Ec@Rc=6iirXxyMf?T$bUY`;o{fYdsd9syefYqS+H23p7i#T;EWUXb8|7r!85G{F{@`XDU&+Y}c24piCNcyrK_XL71UBWc=#|%0#$Quxl>Ij1MFL=yWbTE8E zLyY5!sv4Ns$czbj*2CfyLy|;r$vey%oOs)R+eLb2z2iduS#Ytw=W4I&4rQnZ?|nqw zbux*w)jlS!60Ns8gM_xqz6rc5hIdR46Mno`d#)eFjizaJ-OQ0uIHirE^Zej&tO^U~ zws>4hY702DX1o~;a)#z1 zXJZwR75P|(LLnnNmj1vstAc)$&JD0Gn^VGxec>g;v&&&w0 zCR{SO`b2Ril@r|;bW+_to^cCg97Zwe=_fi5;4+5#ICe2yi=+4 zu_O<-4AuDeD(00cJg@ly+^@#`QM%eI(D{O3J49&AfImYWQQ^taE*8duwC3<1Hc8 zI@BXy4$9uLAE2qBnPBW#;0?eysT7?RXDkryrS^HU!L|2hedFhaE{C?Znw^9-QVLti ziuoM1R+a@1t6EU5dt{1G#fteZI+OR;b<$oxPEpKn)`pMd&YMPLU_IAe9_x``l+Cn7 zD-HHSn=#8+YVVpGgUkI|%ZmSFUPO!=Tm8z*K9r%DA>xWem$WPHYL~ec5gKbro!Xa( zMCb7;r`FT2c&x)XGWc6*?5&e;Jn{)&tO;~?2nvB`O|mY@JfHi!nO6eS(NtTjH}}$^ zay&7_kRmf@XZxI2KnZsz>05iU9J!P_00Z1|zmlWSn4&t=4%}~Lk!55q<}uM9x~)J`t0pBS*`7A#gEmSL?$~W=HDXeBtai|6o8**6t$B@>ZL2%= zG}y18W4_CB|BKT`kgIBN^Lr8dhza_xBBO&=gl27^?ppDcXv%%w6w+&TfTT8ZifT!K zPx8D&pp zN9B8-!|yveBS%OWJxa#%(pNJ$=(MGG2}V6)`mj57C6X8UZP2S3?0*wb={o6~7?S0+ z!oTCdKa2VvUs|G7Pei>k&jG_wwC3_`PZ6tFbqw2k!Jj0#_E@@7NQg*6*`=eQZj|++ zLt!`keR^E+qx1QP!l`$^>1BQNR#shONHQy+j8i~LaO@6bhhYaIys)PVYpG5cxR zAXvNG#5C8Kx1loFi-Gq93NO>+)&Xq;W#|}QcG$bcWdWhw&zMI=hKCRoa z#Die6mgah&m%Z_(o?7WhMD<*%FL7O@?*lgq;`DVmz#DHhYNB*W8A_y7*jWsu>_*>C(o=Kw<-2qd2gR4P};48Qm=7{dH!hMc*6S{}d z@EUmXW;P^Ng?Yf=|LCg~zY1nMJ((mx4!VzF#AnuJ1R7xp=fmTwbL7*zgX0TKam%xx zJ%?>Y9y5W*pZ46gbT}ri&b>1PLkgjUvoFZh@#)W0uCMIeRHar+z7I^d0B3%7iUiO7 zprDqiTs@kyj(p<4EwC%0RF_d8We_c+Aw_NU#BQr|I%wsa)g#5FZjLmlSq+sEQ?>FC zHe;7`_(2{fBFu(^9(>lDo75g=ZY$V$0SKfeoBsj3rqu3%(v92{yYrbW6)ND1E}e9nI- z+_je3^bWU}7=~n0Px&07AhI=g-*x0JbcFrOY%fo`U!lh@8Uy!*5UFlho|jp71xI`T zEO^gZ6-O==OCoeC37WJTQ@Pq1tTe@j32v%nFX5` z1{5P*EN0eko-KZ7rTH9UNVXWW@l^||+MA1P{8sdwewL+{or^R5z3N>hy<@!BR6#c*kU>8fO8L?)` z6~sm{qW(P-@Ku}Pq@XcJy`zO^BLWWcl7ljPKbVNt=1AbwXSb*d)#;{!^`(=oQ|LYK zyTQtVk)GIOn6wtS3dA&Z{xD8@`82>n-iTYn7#&7QF=IPV*q5O`kJ7Pk4pO0Y>pwIX zV;FOnC8uY(&`tV{%W)$jzS|4JI(=ylwjFWrOETAC`Vw!6T`uYkuTvCOvYh%R;GRUz zLpU;|XPJpI=_GORZrxl@J4VQKR_a8y7`GROwCWI}xX3)Rio+;*x?bweg5LxSR>_fO zXwrdMn!{Pd9Lwz{DpTZOaCpLmJ)UdBI#a*QXy8=5qXgrzPq6?izmxX@{*{kNHVR2g znlshi-hr0I$;&y(F$_FY|HcG=5o*A#Uc#=vJ~TQ`kJzCZhERB^N^Vv3K>RnRJ^Z>? zo`Jf|TIeCcYH+nJ#AZ;20jc<9)^T#>AAu@T#83 zx$2h&JU1}t5EwS1GtjEu+ixDYlf*xR)ylW*5df8k8f4G><+W{LzclV zkB0Cs-DI#&szdk=&yD0=1A<=mjL+-)qQFr=PF1}GSrZ67R-wlzR zq~{j%MOnJ0p{}O621l4XD&yy26*FRV@tL7Pr;D$f9qmF~tFEOFksorlD1uVYoe*d+ zp9xx2N+tKA=TgU$NA_aF+y^D*;Yt5S==+3Y*6J*GT_r4Wh*MZ)vu{bhDavoiyO5io zo12^A;D#oD-_ZMo^31jdUq8FYvAh08uJUW8dk5z*p3%9C8uaCp!}l-qN3tvEmmkrL zh_Gc*LM^5f`LR|avaq~J{FW=5@mCQ|I!pciK~b3T-}}nV0U5&SMF~jIE~)eRCKz#K zFfgnENWU*~fwV`A8mBOG+yIoPCkConEvAa|K_}2Pm6=HXVr@ajCXmN8RJdC@K##1*@B`*h1ZSpE*E)OMVUtD7j3{5+V9QYOyO_Y{!AKybPpuL6&S3& z^^Ta}Gop=MQy~q0_eydOaMo(b3*{1Eo!|JnNaSe883K zNlI}d6ubjjC|V?EDy7Pzr4n2da5CBZTeIy%KlYBql?%JUzN}6=Y{!wp{_r_#R%gZJ zQZbfTt|*?oWYu+X4H1Vqn)wL5mfRLNhE%_%~;%Xa^0pof^5XCGQ^fG>H#gUaN{o_f<(D> znq08H9adCylQ*BRi!xu)hOgyyxlCJ1Rc8x^4+H&aejHQu%CKh$4QDiMfU^lgEq*O_{z z)A8f-r1Zl_{$_S(k(0<)kEwYME6fr4w|DV}S;m-N%0rYj@1rZC(v`VMZ#{u2Xy)>I z#>l;5U22^*vQ&WUJhozX^u&6r9MzF)O@*Nz@2Je)?7Xenpt=C_q8x-oF{4iQ8Cp;c zMhcr_g4VWbGFwFo((~h0I3XV_Rd7{+d7Hv;P(ppR4x@_=~unS zUO~vY?*ZoiA8X>jusMpoxl6nXPn*D(el>gS9Wx^d3(O5Lx4}W~4QdE5pQLlT0LAJWar9)OBB=SWUt?W>UB*nW3!Ff#uPvl-YbMnxZ1o@vMIu+I0291 zN5mW7-dAdq?ETMW~t&4_8#eu3qj|YmPnu!M+43286zbECgFcVGssE>Qc|na);r-` zi0hPUUmHnEt?BjbVc3+uTT}{Pa^vgY?rsQ4dkyPUw3pa7ga=YGES4+iR26=n&G z>f2=v6bN^V%A|cxl_qeGe=DaqUGh5<$)9$3q(rt{T?2=vk_g-U_ZOtTec~r~`w2?S zKuPn_`|B%gRr*i0^}E*Qv)|dza$1OiDBGBeD4ZGu_mln2<%zuu#+Uq~3^7tW%xQi_ zc{f`v(%K{ekwXjsu;T4)&MxY<+XW!ZE%lLnH}!vLP{f`r`=_VDE8H+8UJ&#oQ;At)Fk6>ZlO2E)1dTCiEUFvCGtn@v zw*b(}d9Ek)NdVK8{l?gBB1C=GdhA_zGueHNsK7IkdmSJ}by7WAJ+Q1*NB9;=cN?G; zT$Du*x!=L-snrxlb%dVT?RbMqHWSHXl{ddj*D^GWpx{y^M=<}PYmhJEdy@v$Fkx|nOM+M$=1z=4q`sdMnMgAPuo zd%sA!#JqTDCS@D2y@wDVLO}^Xp5X`AGY`b0`A}YxlD;&;sO)cJGK3QtVreb1uvo3g zrN9^@ymE4gj(UFD#Pl7xi7S{7Vq?gHJ;U^+xtt%oyw_hlBnovQJUAYu1+SfOZwcd; z-XYSaer&Rd@4^TWjNO>u#Ph&ero4LZtKqBD4ecEzoV37I13ghr z1I7iO#5Hn=`mhOWHHbNJH$+I9Ora}L-tU{0LXMftTYZgBxiKPy-;S|&_(jY$3X*78 zK72MK6x?|mDz!6(wAMU&_0Rl|fzpdfKJ}W5NjAE4Yb;)#BVlc`JG&(rkWn3r3WA@A z)DKMx{mqK!R|36=ID{1H>sJH5_bQxtyEkoJD@*e&E{x2*Memsu=o%H!$}xKPR4>i9_Zh+C(-!9A2#->hDv5Jt zKv!rE^)$Yg&G2hNV?58a9aZEI*hGu*xeN9j^U>;;%(qB-MR1?~5}5fJ$C-X!zW5^v zm8pNNHto1}dQMK^`ooMg27b~9fw?`*$0OG-ovEY!8CaR$_@z7D1Gz6hJbzRayg*M> z#S4ZB>zdu{7Lr!4i_>X&$QSi5Yyy+hkuG@CVuWPUxkm+@-pYNfXi8x{j>pz_VKJW1 zp{ZNbXk~t-T5vvWC9=F7{ClTzhO)O(Zv9{teyj?8;n5X!|wVYa5> z5+N9)Gy};aeTed^5kHtMTFhY=a!pZva)q0V+#B~x-NT1%Hx+eA4J|$(Vi!M;4N?nE z{UN7Q-hNfM%(>zWF*3d<84s%IX zr9!R$Ry}O>PMiI%^)qtYHtGQO?=myRj556XlQd>!q0dQKa)qCI;dRUOO20j9`L3b2 z*45&t$6mJi$W?&$Qt9ILdKiiQ^A;Bpoj6`iw5=`Y4%RX&lQV<0W6#3#)9vjxh6Llw z%p^t19v4p2CiN>e*O|M}taW6var^+=YfykAe}8ws|~7Tg3VJZ0U2*`Wx2+a->? z7H|94hTJ!^c83r#6;quay?JHr#I9B&ogN!|OQY!KRcTXe+!*V`f;2#Br(SDY*E@Ko z_0`D+vx@r{-~BqRMC);JT>S-ay6HIS9zBtf^FciwjmUZejTBS%`M5N^7_lOM8m&Z! zMAK$5i$7C6XumNYRhMqMfv&1nZ`YVMsV_1_uQ#$hKnWFXQrRJp+0<`^*}dXTaf*3hQ4)vVe#Z(LWE*WO$XHwt9nI0#j!q7;MhKyL?YNGjRUQ z%wizOi^Cx~oY2$}bXI%nflmpS3LEQQ?8*PNA#n4J*x40ha6CeqlOR)Hh`;YKr92#1 zEuy%>@`s&Rkcv4vXsIQGqDe2|%|yU$vLPaGtb*^@elq81+A{lB{fvo-TR{(E8+W~G zu1UmW;GSl$#jlFzwsmF^f;o%h*E2ryil+Xn9zR3o{}OHM0X1v?grCO|k57Y&!^Y3Z zin!~i*1Qyd3OSaDvPB&6#yUq7qhkAd6CC26^F^-k_%`}h6=fth<4Ga$}~~S5?s7Djq%>!47lCV zzRinz^HYzI8u3_DCt~DksOKrU`}Ir;*MFEu7egkd1hIXWunI$aUk#3qjOXRLq7$$Q zkg^APPI{H!a{Ti?u4RzOKJVElj z%t~L=b?OdN>@_Mg>t;V_1m|iG{$uttQ3Kr<3I@C10m-rCrY$#${4hRJ zA9A>AW<~x;ydh{V?UdS*_XDhGfhpZ+L=dXH&2lt@JE)%-+@@0C&0Jo!9~eTX#2Ua8 z&TPHG&6x^9^ZR9A&zEnao@f_n!wm?S6&+o&c*p_dBPYqgTy?Ob#4T&*{!qEC^xHYp zX+34t>H@rVk!=ZN>O;qc(yYgW6_wLbxjvQCkw0emj~V)72LG4=x$Zr}RUb3;{;6dZ zk=EgEmL-a}T{4Dnn|MxGVTKLwFrBAlC7NXn;UNLMFrnK6I;r4dvQ;0~>v(73Zf?M3 ztaF{mBGgPQib)q|KiQaZY<@7U`Yw_JMVYBA* z4q&A;&>i7yR#X7i+dk){XZ-xLNVhK}bkZQY2%9lOA1_^LlNlagGcN6AZ+mtqg?2LA z8NhuqTlL3e|1oiYOwb?W902FYv#rH;xB+N+ADx?~G(`u=c==BWIFJ&wAtl&IG;2cg z-IM*tH9yMnk!`f+X8;!LAnH%r7>u1no_T@n2Zq)79e^z|!kblj;Tn4f)YtC9USg89 znk_zMVVhLl-GnV3huLIY2mI**PauE~{Zh*Txm_tqc7IxzYc(pfJa`3B_V>f=@bY#XDF!ed}+o;xxrdW}Vtn zcM>l!bAgoAaPR_}|2m^h0K<*f>xd;j zJyr^>AeXQu`l*F(P8^@DE4y7}by>;PUK8d>qI3KU-qzM`6Xu_Dm}CqMzHo=U zLo|3)&>jXz%W!+H(F%41nU;_uR!gjK5EA5v$Jj}dgozR=dZDlw(g-7@lH_2QfJicQ z8k}5!NSb&Anl^R;n!g8q>><*MHW8Z%J5wQ6g#T^6a^HXE4~qZfC5}~1{Pq>dXsD!4=96Pm)T`jOcp=Kd zg0xm#58w05-Av(=BZ?1qK6CbABd|4_n2cp~3GK{a%m?taj`9+OY#s3hWb+2n zJB@xc8oL53TM9^1F=$Hvs>8pEq3}Ov?f$CLzv}S66!OQQx(=D;7}?@_UDPy9Uyo<& z`rH@E=42-u42R_?kqyuu!1xXB+fo~CYn)N+bPk2>FJ6a{rkX&f;#=f`m^T? zh2Z*W+tvHc&z!b}ldb1{^y3qXIl%QuRr~A|(c?~x98XcE!1-U4FE3SVzMHGSYev2= z^fp2}2|Eg*9x?P{nU+kCnYftX7~>W-YD`66>dDS9 zL%q~8yw|jf)1ONRilJpFb1^y1|KfJ!oT+Hgzn`fYwyK}DSyk<$yB=4}_-B9sWI!N3 z@iVl8IX3q4Xqsah zhfr3p|0d)Y!u50E#+*V6+N$7Nqd<@I||ac(Bgll{iizM-|C_* z{;4i4LQdz$f5tIK{-<5~sQT1eDSFw`+llK&rR&!+uvw6E#^H(dSa|2NcDWB)VM1me@eX!8G$ zog+V6c_EU`PCkcjWJkXNajiI2-}R~!;tM%_@lHeU4;}F`+s{Mq?P`>>W&Ae+pEBX; z&mn$^<+JS+WLv1R?HPWSx_GZYpY+**V;gP1)If+&q8QFhR_Zug9P%ZFtsCppuS9x>A^vEL@hU11tNw;!YHYvL0ARGze@10Qv9n7 z{wn*w%I{w#C%MI5w4_8&{?TCaNgLjPTetFPAcF7B|0m)5m{t*}I`Y)n;iJ!@0Xbq{ z4(s2OcTNh}ZVp3^r%i{&7*6}>t+R1duOOV))BY}Kz{r^m&=4MmV4juw@_Ab7;nDcl zPdZE!O05j_eoLxXPVK4`pfy-N+ES`zJB>4}2kXS6+8B@J)Q$*JUep z9y@%S-9tV8FPD%zw`}k~cdqAO&>6`8f-d?O^q{|>|Na+rL7%1AH3|Pin_sk^9ap~R zn(Q7SsK+*^H$^Dr=eA1@;zr?Lhpy+$xVuBpTG_?x4tk-63s7NcGFSl#F@FL_kU#|_ z(8h{@N#>4Myl|ifb6jip%8X^62ce4hwnQfWc10TSvT8_qGqj*+v@cG!WDqU%e`M&Fw4ep!+Kr^fMISB3vgl(@SBsjd z(*_tGY;mw!c?V8Vst~7*15-23-M#-OnM+4NzoQf2lAmK@t6XXp`mQRK`fl*g$AB*$ z1V^;0tOl5o9F0p^N$cbxB(W3czhR-Ee*gA?-m)~aL^887YxaBSrBg>hK)^(Icl(6l zcJ~p(&GX7F_TKx-9f%H%!o^+!&4J$sL(w93Y{i>kfeB-wwL8(tN`Z{vKw)Er36-QC z@nx9ejWY#8DVjUl1;z)0Avhch^BSln7kumzmR?P!| z0xh_***Wm=Tz~%@{E>#NGXi|>*dF4ta{x5s=a8}wJ_9q8Q(&I8xxqQ`9L5%0P;R_$ zx8SVuUZDq+c68n$QfR5|4qSQk>g9zt?9XlXVo7CmbmXfWk4UCnctazPx&o5-L{wk9 zDN!1;6H|Ji4!YTSl}su6DWWV`Xl4o12x&sx1LER7C#F0!w+cOVw~wOhxZf;u$>HT9 z=RzUMML9?#DZ^uf5Y(D#;|;mx;h9HPUILAbKxXz21pFcPbgRN6uv#$jj3rEezWeEr}f8qD=c zrH#O6Ebw<4I9>_99UBIV+GA>Fe9YK%&*}KEh#Py3n;qYC%)-R`9X%|Gnz*_<+ap6EFIUPdJu8+p_oK>yaZ)3Qi$mZcp|*IX&5{emHEO=U<1bK6sP(!zsyVL2{9AQawE;PYJ4-GsANt4Rmz~FZ$kvMHqyK&2Ja=Bc;?}rK|6zu>*+yGx z6K?+{gjRv(55yxsIL?K_4m*hFp*O1sH-4!CBeddbge=X0c&y+(kSA~ zgbGO%8S+c|jNM6W%kzCCB^E;@mxa>B<$6m!$v8rk7|9{Blh!!DS0U|-Qub6}=v1+` zqg0ccg&K!RsXSzSu>_;bk%mb`m`D2U?c_Ykt>PzaaYagv)CpcAMux*fg$8&!2u$N3 zsRA&~gxy*7Q6swx`Z-h*VM6!QNsLdGntTM&)OK|)w0Gk;{|cwe-Di-FB1yiq=_j zVZ2$$mw1o&CWtjhAJx}Y%ngSZdIHI6H2EBU)H0vh?>w~Ko%|PO=^xrs*L^wso75W7 zt`bbVeDaT;!=YK1J&Bve7eccf#f0KD?a%7661IH^0PG8$%=p5aovAmLLZ}dBu)6sKRZmSvEX*J<|dgwA50Hd{x`SZ z`$)Wu^bzV-^Cl6krK@)8cyr?6LES3myKfzlL-Yw2&uZB66w-SZcH3tp!Mw`3MnXLW zxqHf=c2rJ!oZB_rSMMAB!6-YTIYJ!VGiSMLaY-|<@w%&H6Ip6fN) zgIjohZt2>jxr;kue-|^ItRz4VnJiEZPC~itQAd{H)n&JnpS#Nxn9R7#eb3ex z-Q;(wBa}sD3XolrfJ~6QbPp*niwI)uWMuCXZQ`e@`zcDIGQIAbJmMaXUQnxXQ9h!2 z`8_!=dckuc?+wAmKPodEP1w#bXTokA8Z)$X)G75yvuk1RLP>5vr8f6;k_EEioyU)pXl;&X z=Myv4RD259F2sMqwaU5NDDFmep%+Q$I1g1p9wTAz(LoGqTReWh&@(Nn6p?sWS{pMV z3n!MsLWOcePv62O^S+4tl_m~G`x-?`CmcqqOw>ZGPd};ZEWMO^JKGyJXJh+S%qOA* zN7X0fCycnw&w>w*m~?x7jc*xuLU{IaW(4t;qWdk0YEd{Jbd}s-G@zZNVnH9MYAaUOO^xrFrs;_hh5$R}s$YUupVm(`LJC7Eu`tl&mHE zxN1jmsDi-ll>76~X37(LqbX7|a9bZ#?~|EKmDu_Dc%Jk}PuZ+-&Tz8wM8d)ON2ifa zUwdvQ6``t^HVLx#C6r`B8@4BOye94m4Ap5KbQ@0A5+|P`78F74WFZH+^m+*uQLF%a zT&?Ep8fXnB9c(Ld;O|I!+Ooixd0SpntlmeIf$22l3V+0GENbTEA|`#UFXRwqvFiM8qPPIEG$MWdzSEWe5CtWHqyGbKUp zfHWAO*1W&D?c6Qt8gC*bNars;lYt!t7wiv{3P^bhY1&^{Uai^kQOr+w@t*IS6|a1dHgJAChqkeU$wsiR+}dOy}}II+r7hd9eTsILzKB+QUy&_uD}@ z5KYH!jn&dZLko$mQ#1-CJus^6@hmNJS-9pm-fXFi565}Zf zSFdn7g{-1QDb2emi%xcu(;?fF@LQR-%e$vi%RTPBIJnohk&vC23pS<>2x-x=AKPvw;ddJN>r;aknHX6L$}?i%~!Vsia++ z#dgG+i0an3no+jcGiJ84pdB`T>VXpX{XZP2Dt@n)6p9YheXC_fHm?lkQuv#1Tk2O?EdykUjNlgxX|0HHh zB;Kys1xQicVLS(&y)Yq*Im0*i4W2dWGNsD&gCyiV z&Q5-80I~Mv0EcTQv&yU(=N`>*(<-cGR@ibQ(}hcepy3g_8sEwW@66DNBM-RapQMh} z?xxyVcP}9n#?V-;MzMFlE)`TNwx6~9BEG#ffuN*Aty#$?Q8z7iM#k_zJdpVFzblw1 zN^PQR=96o5M3j~o>!$H7+;+e{qIL7keTfLn5vX|-^0Y9Zm*Zeug3;^TRCxJuOzN?; z;K}Xd4R$3tc+kAh;?G(+SNmM~A0hRILg#~}NRwImCM~Qo^rocL%x|YXgjCVSbVzdL z7b{@p(7JrXM;aquY4+{zU}#vBVHH2_?9!Fqf&}r0ER^NG73~(N8Ze~}T$Z}nkQ=6s zI4qiO`Bo#u%cTWQ&OeMu>j6B`s$JQOxa$kTjvK-S$tSH{5d^O)#nG_fO}DWLe>6% z(U3pQWu%*^3Vmq{3Lvcp2sA!JO+hF5fIThb4F!mb@{43I6eB}+Rg##l_K;h}O;<yDW2JCUiH$y_KvcTG;B| z9=fYIDtN}LeH;N*0b9-@Zwuivg6HZ&GO0*@aa9=04N(^_aclR9EM(m`y>}n@RCMmx~{7Y!u>@g|fqm;0E4Rp?W=t{G1zLjZ` z>7`m6SPw;eS|5)FBf{L^a*z|zev*(*Y49W3&ab~NT&+D86>rxc3YC`^lcFb{qb?5{ z>NTuN9r=j#ijNj=&7Wm&CfrNEAp-MH0dSlnVs86q!tQ81%`$?f?9$NuXYGa6C->-|*4-m$o3!*K0~M1m*Rvlo=r z^0OXP2m)+4uSVa&KaCrgWzZdYatz2>{zmR{IwgM|VW$IdyK*;5A zjk%`)dbnZ9ztaNOsmfec8|z%S#m`Vj92H4`o~U%XUPIm)SQ2NwkwxFefKTH0HJdbt zX0B$eKH#QKj_Wr=MBiJ)%RjBHtjs1tF4q5AIr=fY7kw&fD)LxiPr9%{-_<30qD%!O2$7bFRDf8J zAooGK40KDEBR`Z|qb{)){oAt8_zy-eRx^p!B2Jq(;=f84t_^E&+hkW`{5G3xXLK>L z&hZPl9_hlQs5=^409rv$CIjg8x|p!dDmE?htUme#h`+f$v9|*B)0y~c#4MU4wMZ&y z5jXT}d`!%qO$=&caS_?Lr}BiO(U7W@EZCOfzz+8{&wswF0YlZ<00lAbF$57#>=eA8 z5Szmd7o@8O4|E~G} zqghfQ2Aa6-445O|iF-}mPhN5*lbS(PXQ)hK9h3C=JOzlXy?HdG2Ybg%*=(v=DY*Um zln3^S9V^p}1d15;2K@)MvUsm?huK%i~_*#sJ;#sK4_zyO}nDOv--$ z1$;keHWr{hp;$%c3~FG#=b zT+QM7aqR&hjLQ*C0eWZ{cb&cuSa9(kFdwUJz&yw^yd0B|{Csu$4HJMWXl**n-3wPcH>Q&cTD#8jwEe zvi+DYMmh-}+)tO4q-JvDq~@g6bg2#_nE^S+M?~XIipLfu(eS8(|NbxGsQc|E7?ZMF zs16PGXGAv9$T9P~v>03#c=376SfxS=9u(5)tI6wHS0W1hejrS&PF=k8{4DSP5>AWQ z>irfpr~!0vkP$1pI`!s2np-CIE}*AIxi8iIG$bLJ)GYD??tLzGpXdg^LVaSl#5ohK ziZqQz+LkCH_X0MwLZ6#n0~kMSGt!t;)P`089C{yrJ7909GSW60#H{Cb?h|?N%hVB? zqQ?rH4WL~N)a03c%}Qw(G7~e@9&n8q>!4|{H*wL+qmnpzTsC9Xfv>`C(ib`Kebo`$ zMLh~cO#~n?w-Ap;BgZ+ioShBC#npSO%45(~SO#4S7yzyf_BR(iY3ayEaf@VDrvf%K zdrw+KE!+PW*MJIr6mCy^w&cQmAbvFqcHl=h*i)&J*DrLX`tR!UW*teibNoYmgLL69 zsj{dTz%5zjvM(TKCk<+z;fL1FHtIeHwF6K$(^j$WJj7id?{)f!@{qkGdKL)OK!=`Z zIBo)fay;oJ0MY9ksjCP7t7Sr40WyY7W}W5ZxZBhTT7E}JR8sa?zD)xm@dYo`PIN~> zy3y|#$+B%Z_2>xWcuCIEt|UUsLi674D||w_MuGr3nF`=9NDrP@xc%>;@fOo?A>5r0 z1ITPqMQT7=y$8$Trg4v|mw*V?B&8Nhhorsy`z?{SUCh_f;wWe^-2@&|DU^ATDpkAB zCdfKf(Z9oW8ICOln-_7Z`$bDFoi!V?X+Y#kXMYWxVyBY&vDQZ_k9{D}DKwlKc&sIkq5ZzONC`r;XEi)h*jBWpB=a{6F-8ZW`a9on|wML;+y&q@9ekcP&<}IrVD~oC_qp8~Nty zlC7c-3dr46!u&}Rx`*88=uB0h!UV>u&Gk#jW6iOX0^R(;H*ZpjagT;IRf)DF#}=9+ z|F5Jb1&|zZKgqF;c9x&S{{mR5Zicy@R~3vd%Sby(CJKP86E2?+H3ONJM3Zlw9=e(e zJ9l?!XJp`7WD}ke24Af?*9(iGp)yzI0p<`FySxpX{5>v0Z=rGypVABw8Sul>L&c|- zwlv4wWyfds9~pg9`C}iru;4rSX@~af9?lLHxdSAsvm7F#$E?(bfYyLD}yB$*bkOBmZ#HF9oQQ$+iZ>_5iW3 ztcg|w0ut~?5z-|6{58#}B_r|5*_0d*3Z|xzuP4xMK3{#jNiZLT08;1pdA7d990DWb|m)EbQp4z+WO5~)6Qgq6r}bf zwY$iI$=^ifF%cE^t63U;*N;dulV+DuIjPgNdCc|thTk>`peOGlH5pm01&;i6P0(yB zdfKu~JF&F1E)Lj<5glD5h|(BY)~H28-njCQ*V*gc6z%3arsHm}M2N0co| zBlvFsS`AY~Z*5q~-_`EOx;1(U_T#mW(2EY!1o5yQyS#4QOYoUQNc}c|v>BC*pnc7N zOHPgmrT)@w{C6#%U1?Q|h9{1bz%5zlk`D6!QQ*jcb})c=;U1k$K2!HC?_nz_n~Xn& z6~23kwk*Yiqd{nGM&dcXD;KOU3gPPl>S=5XRa_ZuF!eNY)q-ECKA|yTMiREBp5@y` zk28(JqyhY$>h?h4kLwxTA<|UfXf-T?{L|Lm%-;SCLsvh|%4gN_Da21|CHmRI{<4sJ z2dCz<3aMVm&BSF7YyKaP+uzX9w!Z=8ZZY+Ap0-vU->VTl6>vnLv@PMPfw}6$VNz!s zIftvreXcG!(wv+Lk%v8>{4F(aSR=|nnx&y~a80IEX_o9V9PoJ{J9->;F_;LAgLQc7 zr)i0vDWFwhI~dqD?fnfRsdAKrqq)-bleihpmJ?gx==9Ujg8`2AD;P3#;p2S`rQ*OV#OKbBABa`Br`1c) zseX|&=Qbbk&_i4st-<`-{98gh-Rh@mY&GQuHKHrX3+)~Wb^}OVbSK;PHG`TRCvjgI;_s>{ilQ{K*0V3rf4uQYSs5zq zZiJ4XEOHMZoY|AB=aLfncIp$d6DFiolF%W^Bnhp+QQ-hd0&{`uEwlP-eq9dbF~_;u z`_g2ZgC`?>)7JGgpJHqeNNSblcNB;M(I#5FdFZG0)(Id-0?MU5>h?P(Oi5X7CVA20 zwT*u=v25-|?)iOb%FXeU_B}xijZKSFwg6fI1@||1m%6>SpAmPv&)9)hvg#NTVF{?B zz|bwtPMPla(CQ7+V!?ViNR>j+i&j$(Qo>gqB zT6Q6B^|Ou+bCDWPJFj26uA_h^@xkr(md_=uKZ@!^x%AHOnDuYh^S#tmR`_uZ*Qd_b&8|TbDe{=C?T#?h2)y~S%obzui&Udu)|2h!s@-Vq7 z_SKUi^Qzw~^RwoeJc-WX${suCl2}q zgWv7YpEFFGTU2XrCw8IVm;AwyY1H%t5|-t9PW)`5!+WL4FhFQAaYbUuU*pVE)&EYq zPJAwr=Y@w(agnZ4_^_kntz%CIkZ(yx3TcR**Nrhbp?37IPhxJ+bftp%>w^9xK9<$#e%%5vS^=5#+W#w z&~tC{4#GMD5#JLH!Y*4>`NW344Mpk3P~LTa&(4@Raq3Bo-P8N!KVnb!#H4L^p;2m2 zmngD5Tv*}*?U9GOlAm?w&KpI!UKNEyM!d$#tBX0jUAX7fn44zcT{y*RC6pia#%J^3 zyN~}naT9(Ya%*kFo6tch;l`r+>-`!t} zT`KBv6mL&i##d$TeW`ctfU%RYIK1_K54{{DAAZ(%;o;vgIcuze_#b1lyjzf$=UB!V z4O~0`G0VHgPZ9x9*v zG^HN9w`PX(aW zTAwo`ygBS>RWLB#x1X>2O!Ib>#XR?8o~apVI}!pNtJ3hAFV(dl{dZXNS#=QeqcQkq z==o~169JA)=eN1wn~u!OEKtBNaeuN0t46o3jOx*!cjH$=oZppOxQqne#1~#(Z4y{s z@rm)oDRB5*lau)dk*EUGzs?4 zKw|q-?BtvZWnbt{U%670pDNdFc6a|&qDQ$QT(wK5GxdU3+QFKEHxVfd2T@+$)j#4> ze7)lQP+vX9S;7ki(v+zFGz>Anu}bslwGSQ^!iQ6wfufotaRRk>;pi^EU<{=bX?LNz>C!l0e8=042cvn30?EKkol3 zo4Y#Quv6Cw?g7@Ltk1~l)a}pRi~Xi92xm?`|Lum2N!q?#am_wfP%DTU{CIgn99)Lh1h(^*8Q4PdyFAmrzgEgQKbYPF z@Z9IComA5!bTEEqvkhS)z`BX4QA(43Zc_O*vLaPK+q_iW)oZSPHzQvQ$Vl%SllBhL@#vvUpzjM|2t%Kt~RM^ z=}92B8~}}tNql)_)M>~a!Pe0gmD3dHM)q0Sm9$qcKAUM;JZmhGE59KKc}6aCCCk^w zJ{{c&?Z!Prl#cVhSAMiGGNRf%x5d?reoVVo{hms3?r^*?6!XTKz47GK_jnz{%EXvm zmN}yzMK0C!^Z(os`2gf&jy$sYghVHPjrbt=b1m}lGW2G}zo$(rsKvDg*+V9?^R`FT z-*0dc(&wRDhoq*%7F99tpXs2%UGWj$a@U^Jp>6$s5aQ}@ic!<&ZwrJ*NML*KI-{_XM@WOaH_V_t<0cP9#z0vZV)GcW(2lc1MuUeNn+$(0vx%PHZi z*fmV z=U-umK+|#q>iy5o>bR6y7|~CST^ceIK6}JoKI$4~*NN5awHo{p)3<|LT#NmLwE%j) zP>kwtd8Q1pg2@pdy1#$_oNGW{H*mOKc}f~iYPg{<~Z9>xD*5dzuQ&RQ0@ z#(=dg{$+vg8b+nq->}3`t=*Yt+WqfPJiCk4@}Vr=2c!4o>mQ@Om{xcbD#m6$J-ioD z=!@nk6o8yp?-ND^W)CuYmXOtB(?5IfG|oVpYI$cPQl>2uIc(nKBM0)0x*GCwaff%Y zZg%zw92k7v?!^Vq`XeFmzka`v924!LnpxrzaytwAQ&gF{bt8>gZ8xCgpUop{waO0W zmCRURSCu2_M2X4W@&9<|14cvLTNZB%YK|?mWd}$s<5S>oe~GM8q}sN4$5+3`c8OJb z2!6ycTgc`n>Im5xK{n&>vJGJCu3L6jBbxgVP zQF`>#1hqHj4YN}~*F(!uVg@wDUwQ}=@&op}m5V0l*`EtL@=L5~{j7te69;%YqoJsY zDy4^~VlkLPys<5l_tSZk>y@d)O$~T$LexN{nSoly}V`X%%yU>R5}pGqWY4C%+lQBQt-HPcsWx* znBt}QVt%EmeZ0Ru&K%}qbuz%@j`ZS?j@e~m1*zm3|MqVTx|#R#`_QV}B`dLsO8FMIIH zj)m)`G1=Lp53Rtr)`|V25BuuxvG2EPf8Y0yP1w+}JfT1COnnWP4W80|-$f{a`}_+m z!`TeRxk@7A1lV$E)r+Iq0Lmi~4Q@tHPCrk^o)#bd zVS(G2vzqmu*hqHKW4edF;GqI0a=X<&t07|(B-kD`-0|5R=Z`vn2ktVg3)VVaR1*C0 zzvU0?wT^o?&7zjhEQnNkfa~LfsHHK#>h<78Z z#eZPkuG5GSobk8G-9;e`vAjqp+zywT%ouicja_PsYuToe z)#Y!qqNR?j`3O)?f-nCEt5q{+F%Mu%6Nl8gTK$(JqTvyBvqh?gQu)2w!Ucf$&PGBu z1?@er>z*F(KYgE?Ny1qAC0w~oaKVdlr=+1uI)B1&tpJ+F}UQG|1ZN{@D;V572eG z#0xE7o=LclG=77%QTr(4ayw?X5+%9RO86>ypK5|$62O3=9YrP9QNXKN|HrfeKY6J( zh0-;hLcl-yU$?3lDUq0qt`wCRVsBVzUo7fU$YCed`msoCn;X0nk9~ zyb0i!pu-(`Hu!F}ol{-KC4mVD{sT&oy|&TAk(!2~Pj4FgS9lhXh@DrCmk8+x?u&^qlQ(4n@f4)2EH2K8M8Z$RRxPra`El~vNz4}x0{UPVpF0W%&zLH2BQ-l zz+Xom|AQ*xM(tNVbf8sbYL)WWBD zf?}7cga&Z9{HqJzwVx>#nS3GBJnox^WLk^2 z0McUfye0t9WmI)+@x;uaW$OVO@qb%!VE?1Vgli9I-PKNR(&Ps;-|AYFn!Mz73h3Ur?C0`tNwWkl0rXvaDS-(n(Q&ZjJx)r0b%rs&;{)4Do*RJ zzh=*0pmL&k_Gn~%*3Syg9V6dKQQuta+4BFFM#Bac9nMoT(lpsf`ITx+OY3aM*tm3Z zc2;Zkps$^h9(xoxM5rRNug1Bh&_)dRtG}1YmKO8m>wco2bZIPg-gde10$`$xRrcQ` zec&)h+NX1DT0+iR<7e-pxqHibaV`&DvX)by|BUuM^Sv?YmfkzKXI9$Z*+rF@F@Z}A zM*J(`QoZ2Rafjp3M@G~a7Y;9oF8M}6ziB?9Rv-?IVo}mL?1`lS0~E^RSYiL=0J;^v zt~G8BAVOT^#UIawF`@?$y(`DNb9UU221a~LSP_;M@JuB;V^@~#wiWhA285#|QKeOR z?kx|k;A*@}6pddn6ooA`ZnU>o1W0+jFZ_s~f;WdJu&>B9K|xfb1#R!y0>0`3#7ewj zPRpnewhJJaiH}PnS{)345aHbtqpVtt@}nQ^Gym-|i~~aR-;ECTYDNs+axdGQcC8v+ zEZNCR>0UW<1WQ3b^rKfqs0x8P!E0*bu9A#}Dc*Q5J2k)CpJ;=JV?BaynP41C#D3Ep zHp!RC#N$`+)iV1O8GlhTJJ=T*Q4vT*N>W%h{64b=Vle$T8hS zwE%1bW%X^<)Z&KW!+_hA+AI#WsU`@Rz3c`fWp5VmU>P+A6Yp$;2T30q)wpT)P)iyl0MuxP+`9L#>SzshD#TC0+@LEb@EvLA4iqvQG;soLT zT2kU`=@<)?8TlsCgcpoLSh;ix-p6sxFq{pP;;G;juVf5Jg`zWkn}@a%w;$`K+%JQS zvY4$W+*3D-JKdnVZSoPfY%rt(I6egR~#-&gjkK@;&2TdTBY z@H6qVOwk%8`ApDR!!O84yV}V3E-8jD+-ot@Nsjv~_Wj+jA@$isHdV$u0YY-3@5te`~R^MPT8&Lu4JdWi}*oK0CxIStu} zuhPufmI8-L?Rb>!0%5Ngur2>I(oT@rm*+g&S%!T?@I8&x6FAK}z6QB5--q;1&lY(e z?znB;YF?FG&a1e#|HQ=InuUy4J-GyEqG}|r)y4)aK%fv^iAEpI>|dgcBfN;y;WFBf z+&knwcjqCte5{}t^)O$-wrJ1t9xhR454KeSg_SJtR}k%$Y9JG|RTb|azU(I5F`vdm zPf5?XnGgq7w7SgQ=-aAz8XsHa{w4nwD@-xR*t(|#pHi5xxWZVCK-{+gC3N-M?Ab!wE5 zc%kk{C6Bcwv=iZ&plzx*$uoPfv423bI?9c{!Qp1VXFx`=#CXr(z@UizlV=xmGDv)C zcq@h~=^rL;8~VojOng@^T!OuzK=1fbTcfH~f!Rv&m6vbPi%G!`QR6R|HvC}iRQqg* z9AI7c@<4ySI(~b-lH~Ozuxvr%%S_Kc4ecgc4^!i-Rp55?cE;HkRT*UgQ$9M+TjO*i zHil30vfAQwixspvJkNke)hPX~OdIjbWBA3cGxETu+xJxKlyRz>w(|@#V+XJBgS>?; zm3TZ7C@b_Pb~{|s_2*SkWaSc_V9T(y0Tby*0IGcR`6|h`FfSz{7ww# zZ$IqxeUcVf>^r;c-IQDHg_0b8fe24jp1*-9fZn2TZ2e*dsSM}Ht-~*__@)^AZ{+O~ zj+XTE8E7{I4;cnY!zt84V^wo?A1CDy(nL(mZkg2G;+SeJH@aqmTqLMv#u~0WuU1;Q z?_(i_{1suz%l1DJIyJmed~)t7;6Ug$!&%Fhp$CB=wYn$n>2`tNEbSHSA>pkyPS!u~ z6*>=bR~zTmGTMJ-r&zvjB*FcwMMNSqAA_!~Qx^a*h?rg#7+UzUArb^kwMNe}7h&$|F3dZ2}CY$ZgRE z;$1YKxt~k+5_V(lRB@x__yi*7xGVD0%ID;|YjCM{Q++#=;dQQBYAoT@6Q}3j9yJ zRm%|1^YU5-$gS1zV#)2@XZDY4u2fLiNpA`Zdx-dAzfS!#X5$JgO%%3eh7FaVOlxCx zzQz>V=Od9;aC4rPl;Yn67N_wF6Hzjh|IWcL)g%`L?#bxLr!|KsVsHt|*7eAompy=v zeW+3-qRse1wkxlNNbJ(#-AyG2R3Y~*BC#sjGrmmqB9XBw#lJAoE(1|ZvAiEP5w9wc zal_J~v$n_8#SR$amhxmTCEmSaiR_CRU;REgZs#5A#!5*dF82dcL`1;$&tExa&An*j6XAS zw9%ibAIdyCU;J-^d;oJRpds^;RR!bz^aiV0T!eWa`+k0w4cwE*DW#rT$)`Z_2%CS8 zS3^fxqH%l>TI&|+2nmk z5~WPw@Phm=-)!2LdFL+9l*z;|Y1!U)BphK}T52h|Z?E<#WVdAf>x#z_SBj zwtz)w3-y-w0ep(UpJHs{g@0nKwa;p7d}zQc>nk`1@IkU5B;kbn)w_gk0{1a1YV*%S zqZ8+#U2E7cG-lo9^zH-+L>2s`Uaor74ZF%*)9=-7i_KHNS43u2bhF=u%z;VNzg$Ll1hN)BN&)sY z(2(C7*7Fx1#&$iIdLZ%&RR}u_dcZNU0;foG%6Y31xW6f@clWxAJCcfi@)Ai3zA5e< z-kumLC;6Xp)hyQp0d@FLIR)C%laR7t^5K0O-*TOTkD>fj!x_ADee6c)7K=U>6@abe z36g1r_g&qY5nAkLTFsUu0}}F$eK?S9b$pCktGeCpKx@yRzj|?e-Uv61j#+!fJ3CuZ zNtYKk0{bC0>&3=2XaaKWz*VqDmZN{;qDE#;TuS$9+_-e(&;)CF;!c%NzQw@`KcU?l zkF=Y=jX}#$;)%y18Yn=eEgq@+v5aDJpVf$#w-{jM0VhNi2UBemv4sy+ym>bNh^j#M zv3)4g?iEB9Z!Zsf)=PWNO|-T<^wvVGH+=v@_f>g=P`(5X zxuj;*1=Mi=x8jp+S9PO4m@V`PhFTJBsVmQ-RN&@fU0y8caO~f0uEwgk7T&d__>~~2 zMppl$$MCR8wIJw1WiHOEaWVGoVBc# zs3ZvLqceHlioJ+ghyxNuVZLpHyoPAmRfJ4=YCQ+REhCa71}^lsx_4B_%ZO(P3L^M4 zcC~D%cL*fD^<5O~p(rwOn2zGL-?St=92y*eorLtRK{vx=&aRRgZKMTd(0h`UVSfyj zP2V=l$%+SxixTlJ@#pGSZp6oYokx;WR~<1Xf2Cb{P1HKj*-hS7edZm{k+XJq*s{*| zWiRzk{+q~?r?EnrBX29U1&M}B1x{L7j`y7nMstjCHJMkgLWHFL^>s z^O269KwlquR}mKe#00tf>oK8Q4eebtAC*_2qSAflhYNx?D;82SY((-3!IL*yhUf=89W<3&BFI3)hWP|;YI3wLN%^fvVnKWb9~LK zlNFCv$jMLjuPydl3dxb`RX;5z9CPz!~DtJ;j+~47yxRtnl&@%K5vqt%jOAOoPa)m z5Lo>F7|PM6B{xCfe;) zgdXtBE7kfyItm$Rj?;M*Xp!4wrfO~i-+_P9?ke9E!YeqyYc%HMEq==MqXxqrn~w@` zhl)^d=Owdwi_lh$Vg@WR~j<4F!TD@+6OZ*}kH+jQzB9G_qW} zO+V+|kM#V~?xe8I-{W}Z0Tn2O+VHmrA#D$>#TLUAb%S>+<5R{tlRHL3NDr;>i}7YT z^`q7CZqh9uO(Q)6?xFOad3f84d^tRofs-~5pFY$L`XFB%d<8dF_h;3yUC-+{t!5cs zRf8>pmV~D|3-1vM32N}c#M;9(>44JafwK$3yf+Ek+XLk0PmDSTM#C@==$c^PmJ9k;f|q>Eu0&*&x(u{+?T<8c_+*Ar|=T5*5Br${D#8xMhc7qT;C0xLC<^*7X@tbyqPMCSJsw3mK2{0mP;WoQKZbi0=L{j=xau3*sAXu>Jh4R!mMCrYn-XA@wgfs<@lIJ! zHeRnS4+^OC{di~Je~K-(UQqjp$$Gk{_{$!H2_BhHCsMpr7O6e$K|bidu>B#TArO=B z&)8B+RL9roB@;psc!SDtjw_)?ukeKek?8Ap^8Q}@us6&L6m*&nDBRxLg6zk!tUv^w zDW6u7w2dqqmhFo)w73RTng7cMRLKAD7TJ@k!4N_23AJVe0{<1mj2XVDx}BYgm?abG zs_1y22Iyl%DRh19C@7q$>YOdCY>NIxM6|1^{CL%x4YWaC3?vzt7-ES#pr1=7i#YrX z`tH?%q>uTq9-?$Sa7$<#7V^<1nc?CtEnv z2;4*5nRXjUS}KI~6O{l9`|%Dau>!lOi^A_Y`&@jKlj_FlV~jo}p2vRMdMN+4Ffq>P>oP^QORbC5HdNyo-qjZl|(Sh;BU=%9zM#vo) zuPdkeue}uZHERRC47eBz*DM4d(d{523do7A5E^ zNxFgk1pd2wU3Z;+G2$jT#l8@}cfT=-SC2TiDYXWf^m8+;4+#i68zSwSZSyXGzFSH1 zbZqadOBp6TjxzK`RRV>O;PTdw^#IIdnBY(&5i4HNWlPo1U$ zi%vFQ(Y%+7YY2s)?3t&1J8-El==x9X2geRG7p;*DFcq=RPwmKMoj>wJd*a!Op#6o< zwWm=_ZoE|mZY^98=Eo&QZMNr++yp^obg(yUHrw#E3x|jsFUC< z-0q1r9iTrJkyC%Fl92;7{A4gJ$wAtn%DzrD7+yct+(T56HOTJgiKd0E8u(?~2OE)T z<|``3h8GL)EifLs-$`K82>^f(Md?n``Y*hAZu~9z>-5i;N|v6_79aPdFaJk+`Eu-w ziP}WK!SQ3+4P}d9e_{CVl|{O3GIr*Q0r?n{_GWA1OjO`UyIR8Bnx24!7MCuI`6qDj zcWUwY`wP9*jr4k@CsFClYM)S#wNDyE?7MA`j<@*uPvN?@#)}rhHnfX+=dM}%p!_^J zo5J!;|Bi%kmX+2}uBTl=_$Tnbq(5H~?0?uNQU%Z3B#09^gC#=-*fnMp)QEPCvR_gPFXVzeG{fe*fSp8L?|4(u5`gb3Q zXCoyC*AVtj|IgOm+m!lJhu)Hj9e@EtD+qE1pd&ajx0tqx^!cYJocQ^Kn)FQ4zm(7b z9O>>r;tpyjFc*ZpsV?nj!8nG%t6TIebF4K4L7a-zq_4y=J#wKJfx-)=JzF*Od3U}d8?EE4dOsV2#m=7p2U2}GIbcl=+9u*?tY)2dpebqxk(t)J-P0)3=5`zt#7M* zjKS!gn>7zjK&?|rIPbEo=-d^cS&C3Z@$9}EM&Hm228o?4ouyh`Ep$an`s4wwk?0_C zxO53nMIDv8MCBLsW8Y}YdS0CvY?+ZmOIXzx+tjqH_8wXP_l*d42OR|QucFLGnUv= zD`I+07a9cP%*_IsAl(?nM~|8<7k?0dOdA?6=i$%LhjFBw@zcykk!!m60=#zBH3)Ne z;%95D3RCGM11^i(IuCw)Ujt~3fvuli36a;*HETar1d`PK0`z@0l&fNXGb)*0svwc( z;z4OX#voB0&OS3}JPx%+(jpb9na+klc9=*Pr0+cq&xK0t@|nQIbN45Ri%CNcr!MHq zKh#7gKXNko!923|S`;kAwL5)y{v*lZ{=}-m-jgWfkJ|Q-3IoM;LAo1>r1=+Y`^XYL zB=MlF{89`w)ZmFGl#}lNt<032I82CPou+o-$g|XP9P$=hnNG-Mc~LowRHC6O z2xK(NkxIyCj}n&)v5}$2m7MS5XOpb4Kqd(=$Zw}Dm^CJfP?$GS*>Qve&c$%yNh)R zW?`g2q{#W3?OltEu0OKh_@}Gp^m(F&JYAiipsF`+`^cQamC22(Xxj3KVST$LfibbU zIAmD~2KxNq1W*ag86CNF5Ps}+De-M>W+=pwEKvIxyUMIA`sCMz>A_%lUwc~E7p#kT zn2|1-lh*ZKIRqxs#%aGM8in33-Fql7e`~J*m!_jNNSFeW+{!cJlkaG>ix6{50Kbxd zsDTxz^?5!If>}w9Q<2Mv<;Oj0Q}ZR?KX3MoeTiKcm<|!Ntb3S?7W`Pm^u&AV zZJ1D5!LT>aZ@!7J0YJ4T-R9i@u83&j+lf+Z6~^0Km$7l8dgA@H)nA|h$UuSG%uGx! zz}QqM?{+*6ln{{&x>t*GLhdYex+6?iNMn>pHbF*FWXgc7-x%rr(q#NB0xh6FN;;%tb5yDaW9^k!}hXhOMHg8zjy7U3z;#yV%K2cJi zHy6;5jDRi98WZOtkQlZ1hPXsH)$QMzd_x; z7nRH5O`=u2&e~DUMP@le#rGuiCj_>b_z|_VoA+B^Ku%nCX&lY3Jgjjhq<9#1TsNcM@+mX7_3RzrqNnz{z($pC_3tV-UTJRM2!?b8YSW{Es!jkd%DVq9`UsE{ znNc`1rL9*~j8tay3-D1+*Wj(3OrV}VZIegX)DP+@SEMr6veo#wT2Xy<(TuuD0cn)X}Q>;iq z)kOf%n)VQe$3aoUB!lu*|9g|I}OtqA6G1U@P; zI7&Nhmt_qT33dW&;Glr$%$)+1Zs|VKz^o$ok4~QGn?tf(45D~7H~_9N2$!+SNt#^A;weXF#5j6|#^^maudf?2r*OQKMTB5F^ z=fVZo?g9OQ{A|c47@!av!DV5f!w~@Nm+lHYS5^*0LM1yVXSa!;1HTfwa1@RQp1(ce zFLwEQ3`*|PS!*Vk)>b4kY;|YngSAR|dhMmD`XIPUSEFG+4TCv%ORYGVFCzeh$-U7P zE^n!XK#QV$#!j_nGgSvm|8k03bR!DQvNz&WPGVY78zNlZ#I@8LNN8}JK8ea(rNWMA z%hqL5|H0%Y^8z7L1_OhX`!!EHg6I+!OsD>#&I$bTT`_wT2hfXM%R>CmrsE+c!z8I` zG5ZDpMRXU)L%x1*rJGf+V@!}Qv2iRn>YM;Tm=~rHg;o%Q?jh7aC$jHu@n10w$MtJIWFrs1IQyicMhQ~hbSUq~JFwDBk)X4)auB4Rb@oVPg zZZP&m7e&rN5`hoDyQRl#8W_@?iIcTL6~Zn|ZJeiG(zIStw^2>Od*_+jcJJz9;FL-v zw3VZD_8?q--2YQ0JF=2sFl#gX6n2b$9K*6)dB(ovAn3sk`m~F`Ss9uO+O0!B?zp6l zTAP0k;qiGtY!j!*!vDIY6J_~nj(uqDD1xBU3Da29Lg0g~w~)sfy~I?$E%;&GZld80 zB*iUi+yzMLNWpoLf_800b=G(Hr3|T+=l~Em_o%Or*(QUo@?9W`=_ajCDt`vL)CAcHpA!S zKD&cgtJ!DPNjXu>D;;aXA-g-xJSngV2Qsh`;12AF?k^(x*9j})cBOPq>yf+jY*hy% z1p64O8}?{i9>G5Pje_j~y7vZr;-mY9Vy1!h;B179Rr!!%`i?zCErmUIGKv@I13Wwf zfXBLxm^cu8;w|#4Q{Nh?#`X__uX_eLew`(BXNb2FmBS8pe(NDKPPT@fASvaEJ4a}t z>dkDM4z2MaRE|E-)9eTdr7r@?aZq_yQVj;>+WH#<;{3T4DU(P0svwHjvl=R=n_aI6 z&z@`aH%5jx&(SQ6k(AW2Y25;R#OmD9QLpp~;NX)@Xcr+W2k1?FvheL-VRJ6tA&8WO zEww>G2$f{R>*dx53)Jka;fxvH{}9GjQP>8@f9MH%7OUn11H8k!x&h^vhBh-=CT|Mp zD={*K8C(N-E17@T<>U5xFw2$xf~+8N9EkFwoMCCqR-*IRbO!oUU&8zklw*{qDk{Kg z#oKLTI_zNPeZ7WXZ?#bsX4iA2>w&CMzfch+YS;e1KRZ(Cp9`8d+xmM#x08tG-MV~? z0feWuLy~0ir=R-iXx&{w?9oA`N9Ym7E(#;ARSja!XR)%=bcY1Z!uj7&F)-2n?6%8y zBb62;pz-opeKPjdU`BS5tz|BI$NBBD$%2_Ss=VP?`B)8vv-2&5f1EZl5q>OM%~GIe z(7p}Zchb=ExZ#(%)Gpq3w1g98TtHGFE!n-C1%w;sWE`kUj|Y{$({Koqf?Yg` z0-0Gt!i>=;VYM5=Cz*jVd8%i&^mIFG47SP=m8Ujd)SKJ>xU|N*4CDMXr!7793&`I> zx@vURf)QBhIc?#wULwpy#r}DFi7qNn@`f$s)Y@4DO!!;aSd3L5{r5_63pQaeX9rBM zd8p@I1oVvrO^{)jJ1cu9x5~cY*kW@ucVo8O>*cSV0V6t{6d#S3A1PqC>!rM&w!Bal zoX=LTKTR_bLfO;)53}XA2V|sT^hs+g5s)Dta|tuaD&^L@ZRbAcI%jxU*qg%MP5Ehl zs^62Md*m>quhPPg&?z~f-IHFcQB)gU)S5scb!!D1N(X4kWa&cKZ=zXyEg{teRsc}) zSZ?DVto@g1_RhlE%%)0$ct_p~i2l4OgDE)PWzFV*{P;e+zxQ((ZJnEXZ8iF%~*gEHj_Fy+9} zc}`!Nj*ayY`EDWu47fs)hDoAw4{X=>fu|$D-+|_zz_+L&kfa6|H%78ch@psT?jkDI zx0DoI+#Sy8v-fgplw|RP=zP#T?Au@?vYh)=QyVqYFrp=Wq`9A%$_+d-X3Z1?Q4@)C=p=YF1DBfH5`qm!E8C)Wyj!{a9AH4Kn zueq#5*AL;rQBoJc^tPtP^;L$T*s}i9*ql~9h(>M`=U5lf&;+PH==!`KCaM0qT6{Yg zphlGlQ*8ITg`{8e$_?`r-mz^`(vS++jo@H&c3cVEC3CSt6M&k-u0hA$@nUO#vT9Hq zfGX)NLk9p6QcQE{%bqPcA}MRE&Ek5>%-%<~lGc9Si``Lh_I+MJSs1IxIkwoEIRf@& zD}SHB(~Ki}9~NZmKDE(i9-(ft?Z#<<@4IPB*BBqRHsrd9_m9n8ruq(&^a7Otz=@_F zi067pnIheo`l2X|s{IA3POACjg#tViQ@fE7#~L|})qWG!dS>p?hm}3Jxj_4yM9$BOx z8~3s%oJ7q{oG}d?gXJa)VmvO#^t%Ki5-=KB$c=iZ7mD8L5;5U~b_ z%^#?rI)j?i=CFfn%nL-n_3$45Vhs}ng#LrCltg6?D&?s@RR#8i1$Nb3FRLFWMOK7e zi5m!^-vi=IVdZ0Mf)Hx1kwc@02)=;~+u$xGQmt@Gd5+G3xln$?CX!Gqq@94DFU<5$1Tu*w01xWC;hgd)ij8&HzBQAq z74AQ_9#MK}n^e}T#h}gK`rF$w@$jndb?&w+3I};7J2JHSF~!q~=WOU5587wf%DBSg zv~?sk^7sQbj)}R3AE|v7$Lzl`{}at$yBv3LdECPqcGy=@26pDP#2%V+JzNI6$JV~W zDZ`SB(<87GzYp4;W+xfvF(lsg$L1@`FVHFkdY(PREuEyz8_oaRbhy=vHHFU`)0K>& z8lEdcJ!&$r3m2bcy&mv3&a0yQn_TElj!G;oYBX@YfPUP7Sw1p`_+WTwyOtSoBH3m3 zCG~?*tmjrM{mAJTK@&Oz>helw2EKxP^;}8%#$14!f(?%+@)A}gyv4^gXuGHI_Rmu) zaYkY0ov^FNex5Rn52?!D7i~_4?=~9LnC1zGNY&C>e2#`d?PD)H6mj2#DmnuXnYhu6 zHD+l$d-#qhkixxsRb&@z4yEk;nHQBY^w2= zEpn8Qu++Xn?VG>n-O*(zd@}HMNqe7kd=v|PZwyD;-x6|$1>$g$G^GqF{%w3r0?sU} zGqr(>rhn*yYxv=9zLVh<5i9R1PR=|xo+&@v>DW!43s%F2u1lBs;thpjF*|KdZ5pIN?QvG)W<+7#)>%*Xg@bMA z=eliE9Luto0{y&0JLX9i*pAHWyA~nf$yQvT>3!ItX?c=P&_wgAX6!iD{baCcvtN+; z=3eR3+bcqxdoRv#+AB0TieDix4jGJ?fo_~>ILRQtIz$Q#I9AtM$(F%6z)NF7O4HDS zYC&ewovFNWdTfjY>3J~DPiTAD^+h9lp}C8FY2mXH-#b(?p^M~ML7w~qh+;WHvhyiy zVMky#i`|fL-Q&S3&AQW=Zg^fu3WI_CCkKP^{co=+9FAD;*pS>xESmBg!V>iS!c7w} za<$fjURdBWf$!XzP>yS%U?ZI{BgMY;RgjP>EvBpt76KLa0m~godHWt{dOC8WBMq<+ zmItm?Wq}r%w{xJ1s~6mE0lWL6q-)71!)H`#^4Ldf*W(TlH|9l<+>?UFtot|zY-n_S zY#Ml6flB#%&xKwjL)-d86Kl?v)BJPqpTz42BRbm&9fVB*tJ{;7u^1N`PA6k|0|lL z|3t&M(4sOW9G=Gof-Kd>L-=1T!+aO6Z!SdI0JHvm7_D-Pb>f$jtIA16O~D^JfyZ20ZjHi5*RVWdLXy*9r`5*TPjK(}w+~RX zvMHwuJ-lEZDn z(W=cCA@v>V4n=`a=iT_1yFyymj!(9=vv|fmtl->}-|tJQEp^V{0KBAz7WiUBQ(7oX zJK?279c>Pm%!t zGXL4k&BW+kZ5f!7=gPahSJH&j+vKAuJBH%5PDyx8*4P^mY0f&)1=b|jf!BT3NES2b8FB$e(;Lglqc6|Z+9q!XHJXLFRlID2V zCz91oet1P-`%fmP_r3q?h~ACWlh~qAngrSEYHjXj|FV0=?B1s5fu0U$)R4{1%X&Gv zD4Eo&W_+(wluUBSoGDdLPE2aFvtTjZ+Lhd%_9tm|fB^;?ONWbkx3cY7r+=8NCAK+!To6Q!` zg74w{@7bVRc?{k}!7I$2V1C*_d~yc5{Jc$`{!`Y9;5vljfzgzBV*T^-7F}4Y@xtb$ z=$efj_rrY+JG*fGVwQ1($xBm-D}M_q4tO=zveS!SzDG~~b>DO# z0N>OH9uP5LW;7X~9QWliTolm(@C zLeBj5vl9CHFWozMXZ)M-D@*WEx4yc{^FKoTUaA9Mb#=|0t9+Nl3|ilRenib|eutoKvt)DK(>8|rpgY}N;7N_|nV z#w`A$kJeioE+sW7MpR?&QwqdlsS3Ui7RQ(8mq!Y=NV_kGK*3fOb46YD4IvhchY=59 z_v<%w;$gNl9r4E?F~499(%ko=zAfauX5I9IcLq)G*G*dOb2T7V!L*%s&ES9?&D`Hw zPokQ1pv^K7I^+-G<7U26W~{fmQm62KQ!tHHSyI6w{b#f<(lkQenq|+Dtkke>>rRc_ zutCi0@h?NQXrsK0WN+Kvb-%^^gH#4y@I|XQ{=imBb#U9>U;4xw z=UpJjoD17HM>QZX&R|}CBLl|2Jsc1vj%n1QS@2O7OT&i;+3J|001&6ypHz0{i|#3oSV{ zh&Aa_FWuhv5q-*WSNP_Wbc~@*H>=zZcC>W)KuZTEsj+C_y(sl#d=Z%=&weS682)nf zJh8_y3P`1PKWk2j`xrD&7_dhqh<`(?gTu@1w#eIgzDy}E$FZY3`(V~M2wX*;n?njJ zz*NPNHS_cX!AA@J&uIsN{%g7tPa<_6X=mX%(h4NnFTRsJ&1tOiJkYn+*7U~3cN(bg zU8;%(^F^8AU0GR?h0pT3anh-pXAR%~I^j^1p@yyAU&B({9WM5b_o;$^Hs%c~l?SbA z=M4s;etX{q7a&G@r>hBFB?9&^zmLVWLP)PWWQ?31MTb$!-(- z5^g-mu4%pRFIZCc%M~GaH=p$TOFdHaPb$;Fh`f%nw{aPl-(PLEDhzEl3AN}tTC=G7 z5W@a~txx7z;CGFe+ON$_w^gd?2-kENmWQ`hH+XizGa|>(mtCpt&)3atAjtEB;{HFd zsh{-c`7d9a9|kXJmZ$ywJ-7XQ%S4+T)2oE;*0@a-vUD}u=?^rA}YNkHC4uA7S!olN7>Ol4%pDq6L+p@)e@f&}l zu^C>01uptiXYAKd_l-bv6X*bs4Jv4^9pn`M>d9@p@Z=9Rl2nYdH&YR&ZRsYm78L@oHXk$SCs94zC5o*~wtqjI zt}R@BS99@UsWn8zGGm{4La8&q)8AaDNJ<=5!sR}Buw*azGdHs@l`u|KG1N9)|M7}& zBH+P)XKjwfoN4n|5Ct?TOEJLZm#qB9Cl6Iu%ijPVe#1Tq`#CJXPL&u$wM5 zxqeQg+U|b9mB*1UWeUz0dFVIEPn{Ot4NJG5L3Un{d2qWV?PBPrZ`oJ93_Uw+paHrX z;#b?K^5on-=u*(q%!Q&M@Fm&q`xQLTF1K_fuV<^=%vr>^%3rXR>P`^;5)c`4i!bTy z&a0&JtJ?wve^z1+P3xC~g6(z$NT*M_KM-EdIW)cQlQUqdO2v7r2t865E8tv3J+Q@> z30u5d{P9z>Y;fscH}cU&WOIIw5@X`q^0KE!(g#2$M>Rr?6 zR{8e7L^4ucuwAqC;sk@<&Op}$3|Rm)EmUe2h)1qfyj$Xr$0foUSAV@SxZ;BA#5QmJ zOW)v$-6pVDLbFb*eUHz8q|(%OUL1Kc)3v{0>l%Ws0Ea6f(SGU&w6l5(wD*5PE?`%?E zLo|pgb-e}iYxoF(jYui0xc(LY(xR!#%5uzA($0=2e2Af2f5lN!IPodNr{izLI{%lf z1LQ*y@eD|c+=#cZs8W*p%`c0Hkd=Z81*K|n6rG;@(2ec!qhH_Y{mwo7?2wIl;e#ma z&VYY?4)hNQ&a#VV&@FFtmQd;YR_t5uGxK(L7A{#W_rrbv0s zkb9|Cr<~)zx(84Y5~I%-{TA>3P2J+<4OHl|p>9W|5RFn9G3hBK{mH70?4~-cM zj@!>tDaC7BuvZzVG|e%T<3G~FoYHx>E1yDd#DossI?i~W(~_u(^2%4$ku{fM-zb~C z=YL$KZ)bTqU&NfH-MFsr@73~?DT&-u1Ku0avbgndm$PNY_8&@G&o#b^8D0I}{?tWd5Ou zh{8L8AwnLKb1x21A)S;HD&}SQ&GgPi)#!y;(3zMpv!3v>l<4KtYrLv&2JFkx^4Tb$% z9jIB``b~YUfG$T;Zjhfo%J6h{M4J71M}d^R@eh+C`Pd`P^i(!mH9&7ZSFru@_4I}E1=oTZS5RsI=wEm; zg$F6z-Ts+!7?4-LlyA44ZFhAx-t{tiZ^kR|Q(qE$_YeNuzFsuMwYA{boX{iT4(aoXmhy0IG`-Hrvi|CTx-mX^A#XYSOMiPr zBPLL43)Ub?KImgRyFf-5Im^nYbO@I;^-P^A-otMCgH`%I2PS5@<&bw;vfdBk^;1jF z+n@h%G?4Jia_IK}DE-7oIBa2hK3pP^f|BZCe#tZYQ@^G6?!u5TEmQl&gP+zTvwd8% zwpbl0W+p?&j!%x);)!6$uKxM939v`J1AIx&i*gwd)jde(o|X$|n7NBx#nZpBub!d= ziU%T7rR;X}H*!GjeS=FX-Oo5+T{H>n6Qfetn4X%CqB7UT#)SDQ{JMs)r$ql2ZZ$nk z`xU#+c&pTZ<8PM{2fOC9uB4d6=wl9qlXAyXN7uJU$8t?a9-u|8C>n2vsRs&aiw1%8 z(Wk_uzikP?uM@sqs?i8K!3{TbL7Wc;7?1@oG$3U?W!!KST#0ZB^?a#+`3C zE=MUN;MI&NW&ZWk-YTbhr~~y8>$?1sf)gP~rXcByZ|G!3$xv2R*zxRlZ47rQDT|PA zRpKfy!Z_ZjG-ZFkzAr3x5!fp#75}j`PIkTe&zP#>K%gwE((E7U=9t^&cVey9c#FH` z;*G$At0!;2>~1vgN))Q2-G3E&>68eFvia(T(QM*-fp6Y@%lsDu_CN}22Cw_bcjtIH zZ~hB;_xtZ_3)zL(2N0JOpS%1n@I<~u@z~t7@3j|@ee<(|pVY9K0TxE#Rt9{?TPpB& zJ?Gm8naA&c!Y>pk3jR#`sdPq&sMiu~P`F4i53nQ31?x0Eu#AWn*vJSK;t#NW;PL9Z zUS!Z8=3#!Phi_VLM6dYD=sgr1SAZw(8Ot?%M%tcBiIj>Sntp@(b_<#~i`yZhRy?hxC#E8*DMvu?+E~uF1C>{dNXkBR@a|oSH!x!AJvCf}N@99vmiWcTh zr_G8cQ_z(ecFGSJp$~38Wp`-ho_tb9Z1C)6TfOQn?V$cAS{ogiYx|{kk`uc}?O1CYp zq{TvN@u=*dh$!!Tzn1++iu}cwQ_ml_J7u`BpKK2K4#Gy?$uyNrv%Gxb--omxT)z!y zdU~&TVETtT2vIV}UGW(^93rfAEt+MX&@0nBil&G>%_R$efx9kTU$Ngd6|o(NP8B6D z?PqSHS6vU@eLJxxc0#4(h7L_H@~i|UP|U7G^-j2d9DKPfWi&u1x-OkqTBiOh^ zjDu0S&3TF(Ur=`cWPFqq=(R<|*$b48L}QWZ6vtfMA&nAli5anZ^!I*?7v0~NQwFal zMJVT3=TCiX(u=gPETU-SOpB#&k1WsH%3CA<Dl=(DLIN9L313P2q2sO1-NpSBw0vCsUeSG@5tr@_I} zNvi8xpw3k;p};%QLEGW`_h*G_yv|0OJ)U<@_4r-1aPMQ{9wsvpadxNzSWWR+F58A} z&jcMid1&SK$XU|<$oy>4+~#(ffS+;E6+Zv874Htq4#}H$=Dq|pbvRxq3Xznw=9u?z z!}beCUc0+Lc#{L|={B|Sm*?fd(TujH!>Dnp&r@xHO)Q>&*{ z;yC+t-Y#fFdPGHB6rKFjQO^mPY1r|ex6v16vwN+ zVCuB~WraS`)qWyG4!O7cWRuJR_vg+z71hmh$7#K@iNUa(|7|tDl)}e`O0FpUqu=hI zSEjPG*qULEr;S!RIJHa81=|OW4NQz#s zzNGCZJwB*mfaV!xoqZ#-;ehM%3bB@fm10ueZ`ydESvB)~t@>hvFg?lchlbrsJ|d)u zt07ey&zwuG?mt;us9|2X5!a;-9jz+00rER%J{CS?;`+0Q_22a4hw8wn9T4yDzil z`(%>p*5^IbztFAuqKaT?mAc>OFu~1juMZiP+^2bOJ!AHz!0q7gtG(}xtvb&0L77iDbH-iacv1Ij+ zFj*{*LL}c~rZ){?<}nvYZYr6k^o+Ou9%Q8CQv|%#*}jJ8x*gjHSV1}42+hW6K-XC< z1Z+<&{nJ{cFS~TMXdtzo!R;G`H;|qj^B=RZe`ROryXCFR5^yrq*ZEvp&L?I(kAl|j zG!lSYp}?w1-aFq@$oYn_9>xJN^k8z|I;6E8+8`;-OMqfk2B0ALFv0)b9yZY^6*jfZ zO=E!^jX3)88`#>FTKXSGl3ENf#UTUs(BLyabdTB1p9G3!4SY|y1BDg|VtSG+(aYFd zd&m^B+wxv>t6F5;PR%;YtOkx>o&~|DW?-iv2NWi}{z$Svw3Tg%ln#eoYb7=NQo~{I zp@wDa%Z|VtJV9^@J*OhAZ(x8F2!h+9VO}k;)&&N-57oM(%Vl@dj32uhr zRzt^Y@Y}<4_$2}$kh-nGZ7iM0wW0}~WEBwLsT~{t6X9rL0_zfzN9_KwtAtnff<#HrKXfM$^p?yzw(cyh^b zaHno77g#l>XOfK%NEhPB$Zq{_jhRqGv^Rs{uRuQ-UA658ixc`V{z=FZf)HW6B{*oH z)lRxY3cVY7uOEhsT&KZ>z%#I7IJ&l+3?+Hb>rT)n>BHo$!2@6o8?1XC4?t&Ni!|hD zZ`hJI#lITM?#n~hvxj%>Cs~SU_Vqmb)V7|AQMesC7JE)I`Xl&pgxjqzuvjSj8IDA% zWZ=6)t0{?5?4kPB$#?#1Kp{Y#L1QTp*q-Xw^JwAB+o^eu3NF6DufDF+H9e!04FMY( z0BooQW+j`khxCf%K(!VZ_5U?W#a1%>9cBD-Q|hvrE$jgXxZJc`6*j)ib|bKPb=XFL z?`Uu#wHA5r)-fA)Bkdws*?@|ho}<{F@Z3R+`v2a&x>Fy}J^~ zsc#@K4V&T$WF$M3PGI-oG?s1Y`>j9l6;h8I8YULRng=d7N;3Wi1Pwr2VYg*7$kIdm zJdh|Gv#iEgDfpTp3hnDy;Fp^U+*c&~y%gM;0QUS(kl{?x4Z5Eryeb$A%(}w>tpH&@ zpD)^)*6Mj|1#V^!w!(3(uwDY&OE!(Bc&wIgNlZtKdbFagWVKdyG$oyuPC+88*{ltf zDZ4Nq@V}MpC|_7UkuMu=Xh?U2cR<-!SLos)>~Ln@IHky!*@%7f-xRR+oQ~kh{X0QW z5P`!H7Tb*`?C7r$u+tjo@um$xci%u^`HT2nmP-p6K85iwZ&d?Sr#m!Q%3D1ug+N|T z&1To{6i<*tp}zBYYTd!)7$L03tRLJFS_qhX(UyV^^f5~eTq+ItLyv>u6JGm#V(1{M zPAG0GHI%K>zJ8%w*WmHNI!;t{aLL1w;Y)^9Lm|g%89mA1Zk707`6LBF@o?rl8w#=< zJxSMIqXWaC2{dok)OKQ2!5N?>7e|*ZHn5Z&}HH8#R0ExZK@6IvC9D^+vDN zD>5M}l9Ne8(rk*R*vrz7BYgqIAFvt5QdCoLl~`;Lb)HRjqoF7E1x1>%dX$|qQ_$V zTNwDw(ftql>^JXhrnW{mz54yd!DBWsLxjFz&e}X)17-!Q#v>AJ99eEXp)JdX6Fq2O zRyHdPnc63X)@WreHFSZKkc3@zwi!WBCp-N!?M^n53AI=!hdyJTrOV2SqMyLNbBU5E z3Ym^e&yHO`A{w21!}OeN8h`HzxBvg^|0kpYx%pJ}+m@cr1Mt(y48{E9cgfYFqKc58 z5`pJ^g{4e|FJ`yjGe0}SZ}mg?TVi^hlrgLzX01(OI^p)ks;_fv?=-v4!M8yipI+T? zcZ*fA3SG@?!MqUPT9rXmnXa1rh*M9g*91+ zndV^!``>SIzcy(XS32S&dEY9#AhFV({skOZ_6i|%IW_4l@tf4QbocB{O7D5(5m94Y zi+vMs>`IjacUOs*xQLp@Gu7v>6+x6f!ICq^X>;M`qMv#DI7F6CpNY!Oytev%Kk{

kzqof_Ui|B-%mGN~9b$F0;Dj+DsB`}=V<_u=W2#zM8oh3wfxBi9j$%rEAIx!(uU@5I#uFLWf@xN*7DO(r}h=ESn z>;#s%zedSs3R@(gt`02e`xoDqO9xxI4d|<-@gyOIoVdML#nQ8^A0(1bo`|`U9PW}rJd5>kRMi!`u%76^+pfEMe`qycRXrwnIAZYZImJAz z(eeHS@g#vDi%%t%H_k(~9oD z+f^^PgVj)h8y`yS$@AH&&X%EFJ>~W`qVwIw3+AGhTP=>-8+C!jA3aO+Ne{Jn6xV2J z^m{Yv8s5y}-&05#0e2hmQ#U9e`L8&K$0+yTx6)oSlES{dDQk2qdVEPQZbD;a;&8)FiN1Olou4#wIlH9$ z%2h6MoBz%pDLmk5xQ8(KW%2&kTUFlO$Zw>jqZ@L*uTWj0qAWX&D7Vb)6~VTrT9+QC zReoPOy<{+UPqi9%bDV@WBpZD+6}_cUCWlnr$BFEpB`#UUWV@VTs_&g1EDd`KEpS8X zOF!y5DpRS)eS0?j=k4B`!33pS=OOp?g@Tv&!-oeH%&km|(Q8J2t`<+UHk?)BTe31@ zCo|h`iEv)Ce@@2e+%ywx=4-un>DRs$q zZNTre=WTDll|IBjebC}H`Y9`8h5lefBJy%U5ze94a)M{)LYjd!a6Va_yU1@Q>66{f zZK*k#@ZlfVR75qGn8lTL;rwRLU(H15-SB^`z9cu_-D0R-w3N=@@cie@O}!`nO^sDc z>2-d}_a0ZNwlwt$rb7>BKn$wdf8W}OdNFg+@bVRh6Cx?ePpH=;WU~TM(xTyI``Xp% z6L%vA)Hn~yPd}O;Eo>a8mMA}YUE%#Y>52o@TGj5+NkgIN}>L+>3wjt=&fd&8;ttGM&W5A za+7Jcc&(5;&oqk~;_4=qeFK{-o7|5w`JHOOTHff{-uFy?-!!~`FuW^Fn(Lcb<{FVR zZSW@BJ>YlFi=(4|hd@tVY-D7Y=SN2w-@~~6EUs_F^&fFP6W2e*^&_~Rf$N*?^=EK> z2Cnyqj|&{jqJAlkR0eF*Jzv=5_w3))A}z8UQw(XN8_QM4+@mvQ?R=zu3xfr@_3w&^K*!!=v01Ofc|a~UPZLFyEOdGf$yE*n*vYaT&`koS;#Q& z9j(k?A+w~Axdr{l!T%)qx7zC+aDAJ--X7Pt+w1LceTTjN1g=@;)ZG@>ciHQ0aJ{_6 zWNTc5+~`;fI`>zw(-dEZz{1GoAE5g{m%7sSmFR4S`dSqA^;Oi??x-#D&&T!W>^1vG z;XKA-_jdUFO_x6Z2K@yD_TNQ+bNF2he&@i~9>Nz`{x}I&hYCH<5Eoy@^&yb?4%&~w z=Hjq<7HsYtu}RhIac&IRsgS)CvO5XcS>T>MQQfaQ;q zadog_^AyM&1er_GZV8)9!shX?d3eMojsFPeMFW6ifHfO=+i4mJL{sWwwLUv)uUI^J; zgzORE9jo{}5;9+c%v`iv!Ok+Ua{%m|ChUOakJEAWMa8BU#>G`QKMK2x!0rXGyIaNX z3E&@Q?X8LV@#`+<$1BjECgRy>@sW`myI4b@o;*$jcb2f96mG#h9=eCm2R|;vt8uXj z#$_7DWiG~LW@TKC1Aq2>jK{^r_E?-p49K^P<2rOc42%{8MwbAiohul93H&36AWj+c zG3XpMWHHJ3{vDl-fawCj^m1VONrCC1;GHxEu^$aPM~{IWm}z}ng&em+j?ci-GLe|> z#+G^=?DX$IyB^xR(5{QtIlmMA4~V{__oH11Yw)WzYw+7Z|6L8;#jpnWzfJI`V$b*b z3uR8!TM#<0MRcZt_ezAf3T!=UZB2#T>k&B@n`_sD-W^tN4v2e1#)I-(5H~42K_)c~o z$bF@O9OVy!>?sXpDL)(X$8{l3onxVMM3*|$I~jTh_n=4J^Psz@qB{pN+n}abz<92R zar+a-?Tu)kK>H@NkE4A9+W(98e%M?Ka=%8qCfeVieLLE}2mdeNuYmT?Xnzj+dqMvN z+V`Nn2kpDj{x{lpq5UP=ccT3j+O^T%i}oF8??d~KX#c+e00030|9An6luc+8K@`Vd z+NNK&HT|^O)Ygik-t0v!McnRAlQo;2xS2G@%ZOeCZ$eSa9dy_Hhrg0*Q7Uz3-pfNV(%xKS@ z5rHabTl!fQSgx;h+VZW02tZL2Te}e+Jbz>#W=7Z`%y^!*J!z-3>(9y!q7E8n@aEMY zaft96qLZ#tB517GMn{>t|N7PiD2B?4KsHl;k3`3-d_HVSJRMp>-Zg}GV%w$Kd$9* zc|M0DP76mnma>d*bi;=K`|;V6TE1LK4fqy*%tTM$7gxY{vQ?9Z2|B~^-bq}?gkR%HlSDBE2pLm9&LCcD+;CG;`2RUaF2 g75a$KS^f`+W#=E@HYhxtqg=z7GXKv100030|Nf3(SO5S3 diff --git a/cpld/db/GR8RAM.syn_hier_info b/cpld/db/GR8RAM.syn_hier_info deleted file mode 100755 index e69de29..0000000 diff --git a/cpld/db/GR8RAM.tis_db_list.ddb b/cpld/db/GR8RAM.tis_db_list.ddb deleted file mode 100755 index 91bbe104745429a84af8ec3fe7aa1f8ec8927ccf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 191 zcmWe+U|?9w%?KomfzSy^hou%3XXfWA7#iyt=ouR+VDHxdP8ye{w85kNX z1g932WhSR81SBSBD;O#SdntscCMme4WR?JRasUkhfhGvWU=5}BL+J{}U;qFAuV+&L z@&Es?U`$F$NSTn3knkg`;v9pA;R)u#j`V^(-NAd-FP^`6cXmKcLw3N1^n#An@g94Y Q&!27YA<8T)zzo(50BJBclmGw# diff --git a/cpld/db/GR8RAM.tmw_info b/cpld/db/GR8RAM.tmw_info deleted file mode 100755 index c91c206..0000000 --- a/cpld/db/GR8RAM.tmw_info +++ /dev/null @@ -1,6 +0,0 @@ -start_full_compilation:s:00:00:06 -start_analysis_synthesis:s:00:00:02-start_full_compilation -start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:00:01-start_full_compilation -start_assembler:s:00:00:02-start_full_compilation -start_timing_analyzer:s:00:00:01-start_full_compilation diff --git a/cpld/db/add_sub_8ph.tdf b/cpld/db/add_sub_8ph.tdf deleted file mode 100755 index 7cfaee1..0000000 --- a/cpld/db/add_sub_8ph.tdf +++ /dev/null @@ -1,46 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=23 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END - - --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - -FUNCTION carry_sum (cin, sin) -RETURNS ( cout, sout); - ---synthesis_resources = lut 24 -SUBDESIGN add_sub_8ph -( - cin : input; - dataa[22..0] : input; - datab[22..0] : input; - result[22..0] : output; -) -VARIABLE - add_sub_cella[22..0] : carry_sum; - external_cin_cell : carry_sum; - datab_node[22..0] : WIRE; - main_cin_wire : WIRE; - -BEGIN - add_sub_cella[].cin = ( ((dataa[22..22] & datab_node[22..22]) # ((dataa[22..22] # datab_node[22..22]) & add_sub_cella[21].cout)), ((dataa[21..21] & datab_node[21..21]) # ((dataa[21..21] # datab_node[21..21]) & add_sub_cella[20].cout)), ((dataa[20..20] & datab_node[20..20]) # ((dataa[20..20] # datab_node[20..20]) & add_sub_cella[19].cout)), ((dataa[19..19] & datab_node[19..19]) # ((dataa[19..19] # datab_node[19..19]) & add_sub_cella[18].cout)), ((dataa[18..18] & datab_node[18..18]) # ((dataa[18..18] # datab_node[18..18]) & add_sub_cella[17].cout)), ((dataa[17..17] & datab_node[17..17]) # ((dataa[17..17] # datab_node[17..17]) & add_sub_cella[16].cout)), ((dataa[16..16] & datab_node[16..16]) # ((dataa[16..16] # datab_node[16..16]) & add_sub_cella[15].cout)), ((dataa[15..15] & datab_node[15..15]) # ((dataa[15..15] # datab_node[15..15]) & add_sub_cella[14].cout)), ((dataa[14..14] & datab_node[14..14]) # ((dataa[14..14] # datab_node[14..14]) & add_sub_cella[13].cout)), ((dataa[13..13] & datab_node[13..13]) # ((dataa[13..13] # datab_node[13..13]) & add_sub_cella[12].cout)), ((dataa[12..12] & datab_node[12..12]) # ((dataa[12..12] # datab_node[12..12]) & add_sub_cella[11].cout)), ((dataa[11..11] & datab_node[11..11]) # ((dataa[11..11] # datab_node[11..11]) & add_sub_cella[10].cout)), ((dataa[10..10] & datab_node[10..10]) # ((dataa[10..10] # datab_node[10..10]) & add_sub_cella[9].cout)), ((dataa[9..9] & datab_node[9..9]) # ((dataa[9..9] # datab_node[9..9]) & add_sub_cella[8].cout)), ((dataa[8..8] & datab_node[8..8]) # ((dataa[8..8] # datab_node[8..8]) & add_sub_cella[7].cout)), ((dataa[7..7] & datab_node[7..7]) # ((dataa[7..7] # datab_node[7..7]) & add_sub_cella[6].cout)), ((dataa[6..6] & datab_node[6..6]) # ((dataa[6..6] # datab_node[6..6]) & add_sub_cella[5].cout)), ((dataa[5..5] & datab_node[5..5]) # ((dataa[5..5] # datab_node[5..5]) & add_sub_cella[4].cout)), ((dataa[4..4] & datab_node[4..4]) # ((dataa[4..4] # datab_node[4..4]) & add_sub_cella[3].cout)), ((dataa[3..3] & datab_node[3..3]) # ((dataa[3..3] # datab_node[3..3]) & add_sub_cella[2].cout)), ((dataa[2..2] & datab_node[2..2]) # ((dataa[2..2] # datab_node[2..2]) & add_sub_cella[1].cout)), ((dataa[1..1] & datab_node[1..1]) # ((dataa[1..1] # datab_node[1..1]) & add_sub_cella[0].cout)), ((dataa[0..0] & datab_node[0..0]) # ((dataa[0..0] # datab_node[0..0]) & main_cin_wire))); - add_sub_cella[].sin = ( ((dataa[22..22] $ datab_node[22..22]) $ add_sub_cella[21].cout), ((dataa[21..21] $ datab_node[21..21]) $ add_sub_cella[20].cout), ((dataa[20..20] $ datab_node[20..20]) $ add_sub_cella[19].cout), ((dataa[19..19] $ datab_node[19..19]) $ add_sub_cella[18].cout), ((dataa[18..18] $ datab_node[18..18]) $ add_sub_cella[17].cout), ((dataa[17..17] $ datab_node[17..17]) $ add_sub_cella[16].cout), ((dataa[16..16] $ datab_node[16..16]) $ add_sub_cella[15].cout), ((dataa[15..15] $ datab_node[15..15]) $ add_sub_cella[14].cout), ((dataa[14..14] $ datab_node[14..14]) $ add_sub_cella[13].cout), ((dataa[13..13] $ datab_node[13..13]) $ add_sub_cella[12].cout), ((dataa[12..12] $ datab_node[12..12]) $ add_sub_cella[11].cout), ((dataa[11..11] $ datab_node[11..11]) $ add_sub_cella[10].cout), ((dataa[10..10] $ datab_node[10..10]) $ add_sub_cella[9].cout), ((dataa[9..9] $ datab_node[9..9]) $ add_sub_cella[8].cout), ((dataa[8..8] $ datab_node[8..8]) $ add_sub_cella[7].cout), ((dataa[7..7] $ datab_node[7..7]) $ add_sub_cella[6].cout), ((dataa[6..6] $ datab_node[6..6]) $ add_sub_cella[5].cout), ((dataa[5..5] $ datab_node[5..5]) $ add_sub_cella[4].cout), ((dataa[4..4] $ datab_node[4..4]) $ add_sub_cella[3].cout), ((dataa[3..3] $ datab_node[3..3]) $ add_sub_cella[2].cout), ((dataa[2..2] $ datab_node[2..2]) $ add_sub_cella[1].cout), ((dataa[1..1] $ datab_node[1..1]) $ add_sub_cella[0].cout), ((dataa[0..0] $ datab_node[0..0]) $ main_cin_wire)); - external_cin_cell.cin = cin; - external_cin_cell.sin = B"0"; - datab_node[] = datab[]; - main_cin_wire = external_cin_cell.cout; - result[] = add_sub_cella[].sout; -END; ---VALID FILE diff --git a/cpld/db/add_sub_9ph.tdf b/cpld/db/add_sub_9ph.tdf deleted file mode 100644 index b488188..0000000 --- a/cpld/db/add_sub_9ph.tdf +++ /dev/null @@ -1,46 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=15 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END - - --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - -FUNCTION carry_sum (cin, sin) -RETURNS ( cout, sout); - ---synthesis_resources = lut 16 -SUBDESIGN add_sub_9ph -( - cin : input; - dataa[14..0] : input; - datab[14..0] : input; - result[14..0] : output; -) -VARIABLE - add_sub_cella[14..0] : carry_sum; - external_cin_cell : carry_sum; - datab_node[14..0] : WIRE; - main_cin_wire : WIRE; - -BEGIN - add_sub_cella[].cin = ( ((dataa[14..14] & datab_node[14..14]) # ((dataa[14..14] # datab_node[14..14]) & add_sub_cella[13].cout)), ((dataa[13..13] & datab_node[13..13]) # ((dataa[13..13] # datab_node[13..13]) & add_sub_cella[12].cout)), ((dataa[12..12] & datab_node[12..12]) # ((dataa[12..12] # datab_node[12..12]) & add_sub_cella[11].cout)), ((dataa[11..11] & datab_node[11..11]) # ((dataa[11..11] # datab_node[11..11]) & add_sub_cella[10].cout)), ((dataa[10..10] & datab_node[10..10]) # ((dataa[10..10] # datab_node[10..10]) & add_sub_cella[9].cout)), ((dataa[9..9] & datab_node[9..9]) # ((dataa[9..9] # datab_node[9..9]) & add_sub_cella[8].cout)), ((dataa[8..8] & datab_node[8..8]) # ((dataa[8..8] # datab_node[8..8]) & add_sub_cella[7].cout)), ((dataa[7..7] & datab_node[7..7]) # ((dataa[7..7] # datab_node[7..7]) & add_sub_cella[6].cout)), ((dataa[6..6] & datab_node[6..6]) # ((dataa[6..6] # datab_node[6..6]) & add_sub_cella[5].cout)), ((dataa[5..5] & datab_node[5..5]) # ((dataa[5..5] # datab_node[5..5]) & add_sub_cella[4].cout)), ((dataa[4..4] & datab_node[4..4]) # ((dataa[4..4] # datab_node[4..4]) & add_sub_cella[3].cout)), ((dataa[3..3] & datab_node[3..3]) # ((dataa[3..3] # datab_node[3..3]) & add_sub_cella[2].cout)), ((dataa[2..2] & datab_node[2..2]) # ((dataa[2..2] # datab_node[2..2]) & add_sub_cella[1].cout)), ((dataa[1..1] & datab_node[1..1]) # ((dataa[1..1] # datab_node[1..1]) & add_sub_cella[0].cout)), ((dataa[0..0] & datab_node[0..0]) # ((dataa[0..0] # datab_node[0..0]) & main_cin_wire))); - add_sub_cella[].sin = ( ((dataa[14..14] $ datab_node[14..14]) $ add_sub_cella[13].cout), ((dataa[13..13] $ datab_node[13..13]) $ add_sub_cella[12].cout), ((dataa[12..12] $ datab_node[12..12]) $ add_sub_cella[11].cout), ((dataa[11..11] $ datab_node[11..11]) $ add_sub_cella[10].cout), ((dataa[10..10] $ datab_node[10..10]) $ add_sub_cella[9].cout), ((dataa[9..9] $ datab_node[9..9]) $ add_sub_cella[8].cout), ((dataa[8..8] $ datab_node[8..8]) $ add_sub_cella[7].cout), ((dataa[7..7] $ datab_node[7..7]) $ add_sub_cella[6].cout), ((dataa[6..6] $ datab_node[6..6]) $ add_sub_cella[5].cout), ((dataa[5..5] $ datab_node[5..5]) $ add_sub_cella[4].cout), ((dataa[4..4] $ datab_node[4..4]) $ add_sub_cella[3].cout), ((dataa[3..3] $ datab_node[3..3]) $ add_sub_cella[2].cout), ((dataa[2..2] $ datab_node[2..2]) $ add_sub_cella[1].cout), ((dataa[1..1] $ datab_node[1..1]) $ add_sub_cella[0].cout), ((dataa[0..0] $ datab_node[0..0]) $ main_cin_wire)); - external_cin_cell.cin = cin; - external_cin_cell.sin = B"0"; - datab_node[] = datab[]; - main_cin_wire = external_cin_cell.cout; - result[] = add_sub_cella[].sout; -END; ---VALID FILE diff --git a/cpld/db/add_sub_aph.tdf b/cpld/db/add_sub_aph.tdf deleted file mode 100755 index 9065fb1..0000000 --- a/cpld/db/add_sub_aph.tdf +++ /dev/null @@ -1,46 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=16 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:40:SJ cbx_lpm_add_sub 2013:06:12:18:03:40:SJ cbx_mgl 2013:06:12:18:04:42:SJ cbx_stratix 2013:06:12:18:03:40:SJ cbx_stratixii 2013:06:12:18:03:40:SJ VERSION_END - - --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - -FUNCTION carry_sum (cin, sin) -RETURNS ( cout, sout); - ---synthesis_resources = lut 17 -SUBDESIGN add_sub_aph -( - cin : input; - dataa[15..0] : input; - datab[15..0] : input; - result[15..0] : output; -) -VARIABLE - add_sub_cella[15..0] : carry_sum; - external_cin_cell : carry_sum; - datab_node[15..0] : WIRE; - main_cin_wire : WIRE; - -BEGIN - add_sub_cella[].cin = ( ((dataa[15..15] & datab_node[15..15]) # ((dataa[15..15] # datab_node[15..15]) & add_sub_cella[14].cout)), ((dataa[14..14] & datab_node[14..14]) # ((dataa[14..14] # datab_node[14..14]) & add_sub_cella[13].cout)), ((dataa[13..13] & datab_node[13..13]) # ((dataa[13..13] # datab_node[13..13]) & add_sub_cella[12].cout)), ((dataa[12..12] & datab_node[12..12]) # ((dataa[12..12] # datab_node[12..12]) & add_sub_cella[11].cout)), ((dataa[11..11] & datab_node[11..11]) # ((dataa[11..11] # datab_node[11..11]) & add_sub_cella[10].cout)), ((dataa[10..10] & datab_node[10..10]) # ((dataa[10..10] # datab_node[10..10]) & add_sub_cella[9].cout)), ((dataa[9..9] & datab_node[9..9]) # ((dataa[9..9] # datab_node[9..9]) & add_sub_cella[8].cout)), ((dataa[8..8] & datab_node[8..8]) # ((dataa[8..8] # datab_node[8..8]) & add_sub_cella[7].cout)), ((dataa[7..7] & datab_node[7..7]) # ((dataa[7..7] # datab_node[7..7]) & add_sub_cella[6].cout)), ((dataa[6..6] & datab_node[6..6]) # ((dataa[6..6] # datab_node[6..6]) & add_sub_cella[5].cout)), ((dataa[5..5] & datab_node[5..5]) # ((dataa[5..5] # datab_node[5..5]) & add_sub_cella[4].cout)), ((dataa[4..4] & datab_node[4..4]) # ((dataa[4..4] # datab_node[4..4]) & add_sub_cella[3].cout)), ((dataa[3..3] & datab_node[3..3]) # ((dataa[3..3] # datab_node[3..3]) & add_sub_cella[2].cout)), ((dataa[2..2] & datab_node[2..2]) # ((dataa[2..2] # datab_node[2..2]) & add_sub_cella[1].cout)), ((dataa[1..1] & datab_node[1..1]) # ((dataa[1..1] # datab_node[1..1]) & add_sub_cella[0].cout)), ((dataa[0..0] & datab_node[0..0]) # ((dataa[0..0] # datab_node[0..0]) & main_cin_wire))); - add_sub_cella[].sin = ( ((dataa[15..15] $ datab_node[15..15]) $ add_sub_cella[14].cout), ((dataa[14..14] $ datab_node[14..14]) $ add_sub_cella[13].cout), ((dataa[13..13] $ datab_node[13..13]) $ add_sub_cella[12].cout), ((dataa[12..12] $ datab_node[12..12]) $ add_sub_cella[11].cout), ((dataa[11..11] $ datab_node[11..11]) $ add_sub_cella[10].cout), ((dataa[10..10] $ datab_node[10..10]) $ add_sub_cella[9].cout), ((dataa[9..9] $ datab_node[9..9]) $ add_sub_cella[8].cout), ((dataa[8..8] $ datab_node[8..8]) $ add_sub_cella[7].cout), ((dataa[7..7] $ datab_node[7..7]) $ add_sub_cella[6].cout), ((dataa[6..6] $ datab_node[6..6]) $ add_sub_cella[5].cout), ((dataa[5..5] $ datab_node[5..5]) $ add_sub_cella[4].cout), ((dataa[4..4] $ datab_node[4..4]) $ add_sub_cella[3].cout), ((dataa[3..3] $ datab_node[3..3]) $ add_sub_cella[2].cout), ((dataa[2..2] $ datab_node[2..2]) $ add_sub_cella[1].cout), ((dataa[1..1] $ datab_node[1..1]) $ add_sub_cella[0].cout), ((dataa[0..0] $ datab_node[0..0]) $ main_cin_wire)); - external_cin_cell.cin = cin; - external_cin_cell.sin = B"0"; - datab_node[] = datab[]; - main_cin_wire = external_cin_cell.cout; - result[] = add_sub_cella[].sout; -END; ---VALID FILE diff --git a/cpld/db/add_sub_qnh.tdf b/cpld/db/add_sub_qnh.tdf deleted file mode 100644 index e863563..0000000 --- a/cpld/db/add_sub_qnh.tdf +++ /dev/null @@ -1,46 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=7 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END - - --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - -FUNCTION carry_sum (cin, sin) -RETURNS ( cout, sout); - ---synthesis_resources = lut 8 -SUBDESIGN add_sub_qnh -( - cin : input; - dataa[6..0] : input; - datab[6..0] : input; - result[6..0] : output; -) -VARIABLE - add_sub_cella[6..0] : carry_sum; - external_cin_cell : carry_sum; - datab_node[6..0] : WIRE; - main_cin_wire : WIRE; - -BEGIN - add_sub_cella[].cin = ( ((dataa[6..6] & datab_node[6..6]) # ((dataa[6..6] # datab_node[6..6]) & add_sub_cella[5].cout)), ((dataa[5..5] & datab_node[5..5]) # ((dataa[5..5] # datab_node[5..5]) & add_sub_cella[4].cout)), ((dataa[4..4] & datab_node[4..4]) # ((dataa[4..4] # datab_node[4..4]) & add_sub_cella[3].cout)), ((dataa[3..3] & datab_node[3..3]) # ((dataa[3..3] # datab_node[3..3]) & add_sub_cella[2].cout)), ((dataa[2..2] & datab_node[2..2]) # ((dataa[2..2] # datab_node[2..2]) & add_sub_cella[1].cout)), ((dataa[1..1] & datab_node[1..1]) # ((dataa[1..1] # datab_node[1..1]) & add_sub_cella[0].cout)), ((dataa[0..0] & datab_node[0..0]) # ((dataa[0..0] # datab_node[0..0]) & main_cin_wire))); - add_sub_cella[].sin = ( ((dataa[6..6] $ datab_node[6..6]) $ add_sub_cella[5].cout), ((dataa[5..5] $ datab_node[5..5]) $ add_sub_cella[4].cout), ((dataa[4..4] $ datab_node[4..4]) $ add_sub_cella[3].cout), ((dataa[3..3] $ datab_node[3..3]) $ add_sub_cella[2].cout), ((dataa[2..2] $ datab_node[2..2]) $ add_sub_cella[1].cout), ((dataa[1..1] $ datab_node[1..1]) $ add_sub_cella[0].cout), ((dataa[0..0] $ datab_node[0..0]) $ main_cin_wire)); - external_cin_cell.cin = cin; - external_cin_cell.sin = B"0"; - datab_node[] = datab[]; - main_cin_wire = external_cin_cell.cout; - result[] = add_sub_cella[].sout; -END; ---VALID FILE diff --git a/cpld/db/add_sub_rnh.tdf b/cpld/db/add_sub_rnh.tdf deleted file mode 100644 index 9106a37..0000000 --- a/cpld/db/add_sub_rnh.tdf +++ /dev/null @@ -1,46 +0,0 @@ ---lpm_add_sub CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 DEVICE_FAMILY="MAX7000S" LPM_DIRECTION="ADD" LPM_REPRESENTATION="UNSIGNED" LPM_WIDTH=8 ONE_INPUT_IS_CONSTANT="YES" cin dataa datab result ---VERSION_BEGIN 13.0 cbx_cycloneii 2013:06:12:18:03:43:SJ cbx_lpm_add_sub 2013:06:12:18:03:43:SJ cbx_mgl 2013:06:12:18:05:10:SJ cbx_stratix 2013:06:12:18:03:43:SJ cbx_stratixii 2013:06:12:18:03:43:SJ VERSION_END - - --- Copyright (C) 1991-2013 Altera Corporation --- Your use of Altera Corporation's design tools, logic functions --- and other software and tools, and its AMPP partner logic --- functions, and any output files from any of the foregoing --- (including device programming or simulation files), and any --- associated documentation or information are expressly subject --- to the terms and conditions of the Altera Program License --- Subscription Agreement, Altera MegaCore Function License --- Agreement, or other applicable license agreement, including, --- without limitation, that your use is for the sole purpose of --- programming logic devices manufactured by Altera and sold by --- Altera or its authorized distributors. Please refer to the --- applicable agreement for further details. - - -FUNCTION carry_sum (cin, sin) -RETURNS ( cout, sout); - ---synthesis_resources = lut 9 -SUBDESIGN add_sub_rnh -( - cin : input; - dataa[7..0] : input; - datab[7..0] : input; - result[7..0] : output; -) -VARIABLE - add_sub_cella[7..0] : carry_sum; - external_cin_cell : carry_sum; - datab_node[7..0] : WIRE; - main_cin_wire : WIRE; - -BEGIN - add_sub_cella[].cin = ( ((dataa[7..7] & datab_node[7..7]) # ((dataa[7..7] # datab_node[7..7]) & add_sub_cella[6].cout)), ((dataa[6..6] & datab_node[6..6]) # ((dataa[6..6] # datab_node[6..6]) & add_sub_cella[5].cout)), ((dataa[5..5] & datab_node[5..5]) # ((dataa[5..5] # datab_node[5..5]) & add_sub_cella[4].cout)), ((dataa[4..4] & datab_node[4..4]) # ((dataa[4..4] # datab_node[4..4]) & add_sub_cella[3].cout)), ((dataa[3..3] & datab_node[3..3]) # ((dataa[3..3] # datab_node[3..3]) & add_sub_cella[2].cout)), ((dataa[2..2] & datab_node[2..2]) # ((dataa[2..2] # datab_node[2..2]) & add_sub_cella[1].cout)), ((dataa[1..1] & datab_node[1..1]) # ((dataa[1..1] # datab_node[1..1]) & add_sub_cella[0].cout)), ((dataa[0..0] & datab_node[0..0]) # ((dataa[0..0] # datab_node[0..0]) & main_cin_wire))); - add_sub_cella[].sin = ( ((dataa[7..7] $ datab_node[7..7]) $ add_sub_cella[6].cout), ((dataa[6..6] $ datab_node[6..6]) $ add_sub_cella[5].cout), ((dataa[5..5] $ datab_node[5..5]) $ add_sub_cella[4].cout), ((dataa[4..4] $ datab_node[4..4]) $ add_sub_cella[3].cout), ((dataa[3..3] $ datab_node[3..3]) $ add_sub_cella[2].cout), ((dataa[2..2] $ datab_node[2..2]) $ add_sub_cella[1].cout), ((dataa[1..1] $ datab_node[1..1]) $ add_sub_cella[0].cout), ((dataa[0..0] $ datab_node[0..0]) $ main_cin_wire)); - external_cin_cell.cin = cin; - external_cin_cell.sin = B"0"; - datab_node[] = datab[]; - main_cin_wire = external_cin_cell.cout; - result[] = add_sub_cella[].sout; -END; ---VALID FILE diff --git a/cpld/db/logic_util_heursitic.dat b/cpld/db/logic_util_heursitic.dat deleted file mode 100755 index e69de29..0000000 diff --git a/cpld/db/prev_cmp_GR8RAM.qmsg b/cpld/db/prev_cmp_GR8RAM.qmsg deleted file mode 100755 index 4710847..0000000 --- a/cpld/db/prev_cmp_GR8RAM.qmsg +++ /dev/null @@ -1,71 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581827612363 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581827612363 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Feb 15 23:33:32 2020 " "Processing started: Sat Feb 15 23:33:32 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581827612363 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581827612363 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581827612363 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1581827612572 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(52) " "Verilog HDL warning at GR8RAM.v(52): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1581827612602 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "GR8RAM.v(60) " "Verilog HDL warning at GR8RAM.v(60): extended using \"x\" or \"z\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 60 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Quartus II" 0 -1 1581827612602 ""} -{ "Warning" "WVRFX_L3_VERI_MIXED_BLOCKING_NONBLOCKING_ASSIGNMENT" "GR8RAM.v(200) " "Verilog HDL information at GR8RAM.v(200): always construct contains both blocking and non-blocking assignments" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 200 0 0 } } } 0 10268 "Verilog HDL information at %1!s!: always construct contains both blocking and non-blocking assignments" 1 0 "Quartus II" 0 -1 1581827612602 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "gr8ram.v 1 1 " "Found 1 design units, including 1 entities, in source file gr8ram.v" { { "Info" "ISGN_ENTITY_NAME" "1 GR8RAM " "Found entity 1: GR8RAM" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1581827612607 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1581827612607 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "GR8RAM " "Elaborating entity \"GR8RAM\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1581827612642 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(30) " "Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581827612642 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 GR8RAM.v(115) " "Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (3)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 115 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581827612642 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 GR8RAM.v(120) " "Verilog HDL assignment warning at GR8RAM.v(120): truncated value with size 32 to match size of target (4)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 120 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581827612642 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(169) " "Verilog HDL assignment warning at GR8RAM.v(169): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 169 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581827612642 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(174) " "Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581827612642 "|GR8RAM"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 GR8RAM.v(180) " "Verilog HDL assignment warning at GR8RAM.v(180): truncated value with size 32 to match size of target (8)" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 180 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1581827612642 "|GR8RAM"} -{ "Info" "IOPT_INFERENCING_SUMMARY" "1 " "Inferred 1 megafunctions from design logic" { { "Info" "IOPT_LPM_COUNTER_INFERRED" "Ref_rtl_0 4 " "Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: \"Ref_rtl_0\"" { } { } 0 19001 "Inferred lpm_counter megafunction (LPM_WIDTH=%2!d!) from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827612737 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1581827612737 ""} -{ "Info" "ILPMS_INFERENCING_SUMMARY" "4 " "Inferred 4 megafunctions from design logic" { { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add0 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add0\"" { } { { "GR8RAM.v" "Add0" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827612743 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add4 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add4\"" { } { { "GR8RAM.v" "Add4" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 174 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827612743 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add3 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add3\"" { } { { "GR8RAM.v" "Add3" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 169 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827612743 ""} { "Info" "ILPMS_LPM_ADD_SUB_INFERRED" "Add5 lpm_add_sub " "Inferred adder/subtractor megafunction (\"lpm_add_sub\") from the following logic: \"Add5\"" { } { { "GR8RAM.v" "Add5" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 180 -1 0 } } } 0 278002 "Inferred adder/subtractor megafunction (\"%2!s!\") from the following logic: \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827612743 ""} } { } 0 278001 "Inferred %1!llu! megafunctions from design logic" 0 0 "Quartus II" 0 -1 1581827612743 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_counter:Ref_rtl_0 " "Elaborated megafunction instantiation \"lpm_counter:Ref_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827612763 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_counter:Ref_rtl_0 " "Instantiated megafunction \"lpm_counter:Ref_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 4 " "Parameter \"LPM_WIDTH\" = \"4\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612763 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION UP " "Parameter \"LPM_DIRECTION\" = \"UP\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612763 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_TYPE LPM_COUNTER " "Parameter \"LPM_TYPE\" = \"LPM_COUNTER\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612763 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1581827612763 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827612787 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "lpm_add_sub:Add0 " "Instantiated megafunction \"lpm_add_sub:Add0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_WIDTH 8 " "Parameter \"LPM_WIDTH\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612787 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_DIRECTION ADD " "Parameter \"LPM_DIRECTION\" = \"ADD\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612787 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "LPM_REPRESENTATION UNSIGNED " "Parameter \"LPM_REPRESENTATION\" = \"UNSIGNED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612787 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ONE_INPUT_IS_CONSTANT YES " "Parameter \"ONE_INPUT_IS_CONSTANT\" = \"YES\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612787 ""} } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Quartus II" 0 -1 1581827612787 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\] lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 260 9 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612802 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:oflow_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 86 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612813 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|addcore:adder\[0\]\|a_csnbuffer:result_node\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "addcore.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf" 178 5 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612813 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|look_add:look_ahead_unit lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|look_add:look_ahead_unit\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 263 4 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612827 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:result_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:result_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 268 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612832 ""} -{ "Info" "ISGN_MEGAFN_DESCENDANT" "lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs lpm_add_sub:Add0 " "Elaborated megafunction instantiation \"lpm_add_sub:Add0\|altshift:carry_ext_latency_ffs\", which is child of megafunction instantiation \"lpm_add_sub:Add0\"" { } { { "lpm_add_sub.tdf" "" { Text "c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf" 270 2 0 } } { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 30 -1 0 } } } 0 12131 "Elaborated megafunction instantiation \"%1!s!\", which is child of megafunction instantiation \"%2!s!\"" 0 0 "Quartus II" 0 -1 1581827612832 ""} -{ "Info" "IMLS_MLS_IGNORED_SUMMARY" "32 " "Ignored 32 buffer(s)" { { "Info" "IMLS_MLS_IGNORED_SOFT" "32 " "Ignored 32 SOFT buffer(s)" { } { } 0 13019 "Ignored %1!d! SOFT buffer(s)" 0 0 "Quartus II" 0 -1 1581827612902 ""} } { } 0 13014 "Ignored %1!d! buffer(s)" 0 0 "Quartus II" 0 -1 1581827612902 ""} -{ "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "C7M " "Promoted clock signal driven by pin \"C7M\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1581827613002 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "nRES " "Promoted clear signal driven by pin \"nRES\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1581827613002 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1581827613002 ""} -{ "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "9 " "Design contains 9 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "C7M_2 " "No output dependent on input pin \"C7M_2\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|C7M_2"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "Q3 " "No output dependent on input pin \"Q3\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|Q3"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "PHI0in " "No output dependent on input pin \"PHI0in\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 7 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|PHI0in"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "nMode " "No output dependent on input pin \"nMode\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 8 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|nMode"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[11\] " "No output dependent on input pin \"A\[11\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|A[11]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[12\] " "No output dependent on input pin \"A\[12\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|A[12]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[13\] " "No output dependent on input pin \"A\[13\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|A[13]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[14\] " "No output dependent on input pin \"A\[14\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|A[14]"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "A\[15\] " "No output dependent on input pin \"A\[15\]\"" { } { { "GR8RAM.v" "" { Text "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v" 26 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1581827613192 "|GR8RAM|A[15]"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1581827613192 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "169 " "Implemented 169 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "27 " "Implemented 27 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1581827613192 ""} { "Info" "ICUT_CUT_TM_OPINS" "18 " "Implemented 18 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1581827613192 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "16 " "Implemented 16 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1581827613192 ""} { "Info" "ICUT_CUT_TM_MCELLS" "107 " "Implemented 107 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1581827613192 ""} { "Info" "ICUT_CUT_TM_SEXPS" "1 " "Implemented 1 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1581827613192 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1581827613192 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg " "Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1581827613227 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 17 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 17 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4588 " "Peak virtual memory: 4588 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581827613272 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Feb 15 23:33:33 2020 " "Processing ended: Sat Feb 15 23:33:33 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581827613272 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581827613272 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581827613272 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581827613272 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1581827614218 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581827614218 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Feb 15 23:33:33 2020 " "Processing started: Sat Feb 15 23:33:33 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581827614218 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1581827614218 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1581827614218 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1581827614268 ""} -{ "Info" "0" "" "Project = GR8RAM" { } { } 0 0 "Project = GR8RAM" 0 0 "Fitter" 0 0 1581827614278 ""} -{ "Info" "0" "" "Revision = GR8RAM" { } { } 0 0 "Revision = GR8RAM" 0 0 "Fitter" 0 0 1581827614278 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Fitter" 0 -1 1581827614308 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "GR8RAM EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"GR8RAM\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1581827614318 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 1 Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4708 " "Peak virtual memory: 4708 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581827614528 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Feb 15 23:33:34 2020 " "Processing ended: Sat Feb 15 23:33:34 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581827614528 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581827614528 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581827614528 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1581827614528 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1581827615368 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581827615368 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Feb 15 23:33:35 2020 " "Processing started: Sat Feb 15 23:33:35 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581827615368 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1581827615368 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM " "Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1581827615368 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1581827615488 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581827615618 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Feb 15 23:33:35 2020 " "Processing ended: Sat Feb 15 23:33:35 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581827615618 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581827615618 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581827615618 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1581827615618 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1581827616228 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1581827616568 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616568 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Feb 15 23:33:36 2020 " "Processing started: Sat Feb 15 23:33:36 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1581827616568 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1581827616568 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta GR8RAM -c GR8RAM " "Command: quartus_sta GR8RAM -c GR8RAM" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1581827616568 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1581827616618 ""} -{ "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1581827616718 ""} -{ "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1581827616718 ""} -{ "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1581827616718 ""} -{ "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1581827616748 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "GR8RAM.sdc " "Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1581827616758 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1581827616758 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name C7M C7M " "create_clock -period 1.000 -name C7M C7M" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616758 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616758 ""} -{ "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1581827616758 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1581827616768 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -47.500 " "Worst-case setup slack is -47.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -47.500 -2116.500 C7M " " -47.500 -2116.500 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 5.000 " "Worst-case hold slack is 5.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 C7M " " 5.000 0.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581827616778 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581827616778 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1581827616788 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.500 " "Worst-case minimum pulse width slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616788 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616788 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -495.000 C7M " " -4.500 -495.000 C7M " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1581827616788 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1581827616788 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1581827616828 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581827616848 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1581827616848 ""} -{ "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4530 " "Peak virtual memory: 4530 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1581827617058 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Feb 15 23:33:37 2020 " "Processing ended: Sat Feb 15 23:33:37 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1581827617058 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1581827617058 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1581827617058 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581827617058 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 22 s " "Quartus II Full Compilation was successful. 0 errors, 22 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1581827617678 ""} diff --git a/cpld/incremental_db/README b/cpld/incremental_db/README deleted file mode 100755 index 9f62dcd..0000000 --- a/cpld/incremental_db/README +++ /dev/null @@ -1,11 +0,0 @@ -This folder contains data for incremental compilation. - -The compiled_partitions sub-folder contains previous compilation results for each partition. -As long as this folder is preserved, incremental compilation results from earlier compiles -can be re-used. To perform a clean compilation from source files for all partitions, both -the db and incremental_db folder should be removed. - -The imported_partitions sub-folder contains the last imported QXP for each imported partition. -As long as this folder is preserved, imported partitions will be automatically re-imported -when the db or incremental_db/compiled_partitions folders are removed. - diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info b/cpld/incremental_db/compiled_partitions/GR8RAM.db_info deleted file mode 100755 index 9fc0c8b..0000000 --- a/cpld/incremental_db/compiled_partitions/GR8RAM.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -Version_Index = 302049280 -Creation_Time = Thu Aug 08 14:59:29 2019 diff --git a/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt b/cpld/incremental_db/compiled_partitions/GR8RAM.root_partition.map.kpt deleted file mode 100755 index 87e67add24b5f3d473bb7d24935e46643ddf2c75..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 227 zcmV<90383U4*>uG0001ZoSl)&YQr!PMfd)Si}tlGJA^J`Lj$EG5Xb}CrIGD16|QAf zk^_N!e4PrCmZT86ojd2;ftj`16?F+EI?C9~_Hp~P3Ok{TvSc`Adoot`Dq}q444;EA zZm^f>#t*PqU^;o2ONUnS% z(v2j`17OzDq0qfl&K8y&+(D1`8EVLos)*rTk{J`7vB(3_Z{lx>GeTM4^uu!ekEQ8N dNA;bWGa*jh-gOP$*vf;^_x+|k_yW-Xk<%y~Z@~Zn diff --git a/cpld/output_files/GR8RAM.asm.rpt b/cpld/output_files/GR8RAM.asm.rpt deleted file mode 100755 index 2805c21..0000000 --- a/cpld/output_files/GR8RAM.asm.rpt +++ /dev/null @@ -1,111 +0,0 @@ -Assembler report for GR8RAM -Sun Feb 16 00:10:47 2020 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Feb 16 00:10:47 2020 ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX7000S ; -; Device ; EPM7128SLC84-15 ; -+-----------------------+---------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------+ -; Assembler Settings ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Option ; Setting ; Default Value ; -+-----------------------------------------------------------------------------+----------+---------------+ -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Compression mode ; Off ; Off ; -; Clock source for configuration device ; Internal ; Internal ; -; Clock frequency of the configuration device ; 10 MHZ ; 10 MHz ; -; Divide clock frequency by ; 1 ; 1 ; -; Auto user code ; Off ; Off ; -; Security bit ; Off ; Off ; -; Use configuration device ; On ; On ; -; Configuration device auto user code ; Off ; Off ; -; Generate Tabular Text File (.ttf) For Target Device ; Off ; Off ; -; Generate Raw Binary File (.rbf) For Target Device ; Off ; Off ; -; Generate Hexadecimal (Intel-Format) Output File (.hexout) for Target Device ; Off ; Off ; -; Hexadecimal Output File start address ; 0 ; 0 ; -; Hexadecimal Output File count direction ; Up ; Up ; -; Generate Serial Vector Format File (.svf) for Target Device ; Off ; Off ; -; Generate a JEDEC STAPL Format File (.jam) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; Off ; Off ; -; Generate a compressed Jam STAPL Byte Code 2.0 File (.jbc) for Target Device ; On ; On ; -+-----------------------------------------------------------------------------+----------+---------------+ - - -+--------------------------------------------------------------------+ -; Assembler Generated Files ; -+--------------------------------------------------------------------+ -; File Name ; -+--------------------------------------------------------------------+ -; C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+--------------------------------------------------------------------+ - - -+----------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pof ; -+----------------+-----------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+-----------------------------------------------------------------------------+ -; Device ; EPM7128SLC84-15 ; -; JTAG usercode ; 0x00000000 ; -; Checksum ; 0x0017BCCA ; -+----------------+-----------------------------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Assembler - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Feb 16 00:10:47 2020 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM -Info (115030): Assembler is generating device programming files -Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4522 megabytes - Info: Processing ended: Sun Feb 16 00:10:47 2020 - Info: Elapsed time: 00:00:00 - Info: Total CPU time (on all processors): 00:00:00 - - diff --git a/cpld/output_files/GR8RAM.cdf b/cpld/output_files/GR8RAM.cdf deleted file mode 100644 index 41503fd..0000000 --- a/cpld/output_files/GR8RAM.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Vfy) - Device PartName(EPM7128SL84) Path("C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/") File("GR8RAM.pof") MfrSpec(OpMask(2)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/cpld/output_files/GR8RAM.done b/cpld/output_files/GR8RAM.done deleted file mode 100755 index e3a5ff0..0000000 --- a/cpld/output_files/GR8RAM.done +++ /dev/null @@ -1 +0,0 @@ -Sun Feb 16 00:10:49 2020 diff --git a/cpld/output_files/GR8RAM.fit.rpt b/cpld/output_files/GR8RAM.fit.rpt deleted file mode 100755 index 43b5c3f..0000000 --- a/cpld/output_files/GR8RAM.fit.rpt +++ /dev/null @@ -1,733 +0,0 @@ -Fitter report for GR8RAM -Sun Feb 16 00:10:46 2020 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Pin-Out File - 6. Fitter Resource Usage Summary - 7. Input Pins - 8. Output Pins - 9. Bidir Pins - 10. All Package Pins - 11. I/O Standard - 12. Dedicated Inputs I/O - 13. Output Pin Default Load For Reported TCO - 14. Fitter Resource Utilization by Entity - 15. Control Signals - 16. Global & Other Fast Signals - 17. Non-Global High Fan-Out Signals - 18. Other Routing Usage Summary - 19. LAB External Interconnect - 20. LAB Macrocells - 21. Shareable Expander - 22. Logic Cell Interconnection - 23. Fitter Device Options - 24. Fitter Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------+ -; Fitter Summary ; -+---------------------------+-------------------------------------------------+ -; Fitter Status ; Successful - Sun Feb 16 00:10:46 2020 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX7000S ; -; Device ; EPM7128SLC84-15 ; -; Timing Models ; Final ; -; Total macrocells ; 107 / 128 ( 84 % ) ; -; Total pins ; 65 / 68 ( 96 % ) ; -+---------------------------+-------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+----------------------------------------------------------------------------+-----------------------+---------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+-----------------------+---------------+ -; Device ; EPM7128SLC84-15 ; ; -; Optimize Timing for ECOs ; On ; Off ; -; Regenerate full fit report during ECO compiles ; On ; Off ; -; Optimize IOC Register Placement for Timing ; Pack All IO Registers ; Normal ; -; Slow Slew Rate ; On ; Off ; -; Fitter Effort ; Standard Fit ; Auto Fit ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Optimize Multi-Corner Timing ; Off ; Off ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -+----------------------------------------------------------------------------+-----------------------+---------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.pin. - - -+---------------------------------------------------+ -; Fitter Resource Usage Summary ; -+------------------------------+--------------------+ -; Resource ; Usage ; -+------------------------------+--------------------+ -; Logic cells ; 107 / 128 ( 84 % ) ; -; Registers ; 55 / 128 ( 43 % ) ; -; Number of pterms used ; 281 ; -; I/O pins ; 65 / 68 ( 96 % ) ; -; -- Clock pins ; 2 / 2 ( 100 % ) ; -; -- Dedicated input pins ; 2 / 2 ( 100 % ) ; -; ; ; -; Global signals ; 2 ; -; Shareable expanders ; 1 / 128 ( < 1 % ) ; -; Parallel expanders ; 0 / 120 ( 0 % ) ; -; Cells using turbo bit ; 17 / 128 ( 13 % ) ; -; Maximum fan-out ; 55 ; -; Highest non-global fan-out ; 54 ; -; Total fan-out ; 855 ; -; Average fan-out ; 4.94 ; -+------------------------------+--------------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+---------+-------+----------+-----+-----------------------+--------------------+--------+----------------+--------------+----------------------+ -; Name ; Pin # ; I/O Bank ; LAB ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; I/O Standard ; Location assigned by ; -+---------+-------+----------+-----+-----------------------+--------------------+--------+----------------+--------------+----------------------+ -; A[0] ; 75 ; -- ; 8 ; 15 ; 0 ; no ; no ; TTL ; User ; -; A[10] ; 11 ; -- ; 1 ; 1 ; 0 ; no ; no ; TTL ; User ; -; A[11] ; 12 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; -; A[12] ; 15 ; -- ; 2 ; 0 ; 0 ; no ; no ; TTL ; User ; -; A[13] ; 16 ; -- ; 2 ; 0 ; 0 ; no ; no ; TTL ; User ; -; A[14] ; 17 ; -- ; 2 ; 0 ; 0 ; no ; no ; TTL ; User ; -; A[15] ; 18 ; -- ; 2 ; 0 ; 0 ; no ; no ; TTL ; User ; -; A[1] ; 76 ; -- ; 8 ; 15 ; 0 ; no ; no ; TTL ; User ; -; A[2] ; 77 ; -- ; 8 ; 15 ; 0 ; no ; no ; TTL ; User ; -; A[3] ; 79 ; -- ; 8 ; 15 ; 0 ; no ; no ; TTL ; User ; -; A[4] ; 80 ; -- ; 8 ; 1 ; 0 ; no ; no ; TTL ; User ; -; A[5] ; 81 ; -- ; 8 ; 1 ; 0 ; no ; no ; TTL ; User ; -; A[6] ; 4 ; -- ; 1 ; 1 ; 0 ; no ; no ; TTL ; User ; -; A[7] ; 5 ; -- ; 1 ; 1 ; 0 ; no ; no ; TTL ; User ; -; A[8] ; 9 ; -- ; 1 ; 1 ; 0 ; no ; no ; TTL ; User ; -; A[9] ; 10 ; -- ; 1 ; 1 ; 0 ; no ; no ; TTL ; User ; -; C7M ; 83 ; -- ; -- ; 55 ; 0 ; yes ; no ; TTL ; User ; -; C7M_2 ; 84 ; -- ; -- ; 0 ; 0 ; no ; no ; TTL ; User ; -; PHI0in ; 8 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; -; PHI1in ; 2 ; -- ; -- ; 2 ; 0 ; no ; no ; TTL ; User ; -; Q3 ; 6 ; -- ; 1 ; 0 ; 0 ; no ; no ; TTL ; User ; -; nDEVSEL ; 21 ; -- ; 2 ; 15 ; 0 ; no ; no ; TTL ; User ; -; nIOSEL ; 74 ; -- ; 8 ; 12 ; 0 ; no ; no ; TTL ; User ; -; nIOSTRB ; 24 ; -- ; 3 ; 11 ; 0 ; no ; no ; TTL ; User ; -; nMode ; 44 ; -- ; 5 ; 0 ; 0 ; no ; no ; TTL ; User ; -; nRES ; 1 ; -- ; -- ; 40 ; 0 ; yes ; no ; TTL ; User ; -; nWE ; 20 ; -- ; 2 ; 16 ; 0 ; no ; no ; TTL ; User ; -+---------+-------+----------+-----+-----------------------+--------------------+--------+----------------+--------------+----------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+--------+-------+----------+-----+-----------------+----------------+------------+---------------+--------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; LAB ; Output Register ; Slow Slew Rate ; Open Drain ; TRI Primitive ; I/O Standard ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+--------+-------+----------+-----+-----------------+----------------+------------+---------------+--------------+----------------------+-------+----------------------+---------------------+ -; RA[0] ; 52 ; -- ; 5 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[10] ; 48 ; -- ; 5 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[1] ; 54 ; -- ; 6 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[2] ; 50 ; -- ; 5 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[3] ; 49 ; -- ; 5 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[4] ; 55 ; -- ; 6 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[5] ; 51 ; -- ; 5 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[6] ; 57 ; -- ; 6 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[7] ; 56 ; -- ; 6 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[8] ; 58 ; -- ; 6 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; RA[9] ; 46 ; -- ; 5 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; nCAS0 ; 39 ; -- ; 4 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; nCAS1 ; 40 ; -- ; 4 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; nINH ; 22 ; -- ; 2 ; no ; yes ; yes ; no ; TTL ; User ; 10 pF ; - ; - ; -; nRAS ; 60 ; -- ; 6 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; nRCS ; 41 ; -- ; 4 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; nROE ; 45 ; -- ; 5 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -; nRWE ; 67 ; -- ; 7 ; no ; yes ; no ; no ; TTL ; User ; 10 pF ; - ; - ; -+--------+-------+----------+-----+-----------------+----------------+------------+---------------+--------------+----------------------+-------+----------------------+---------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Bidir Pins ; -+-------+-------+----------+-----+-----------------------+--------------------+--------+----------------+-----------------+----------------+------------+--------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; LAB ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Slow Slew Rate ; Open Drain ; I/O Standard ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+-------+-------+----------+-----+-----------------------+--------------------+--------+----------------+-----------------+----------------+------------+--------------+----------------------+-------+----------------------+---------------------+ -; D[0] ; 36 ; -- ; 4 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[1] ; 35 ; -- ; 4 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[2] ; 34 ; -- ; 4 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[3] ; 33 ; -- ; 4 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[4] ; 29 ; -- ; 3 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[5] ; 28 ; -- ; 3 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[6] ; 27 ; -- ; 3 ; 6 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; D[7] ; 25 ; -- ; 3 ; 8 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; DOE~5 ; - ; -; RD[0] ; 73 ; -- ; 8 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -; RD[1] ; 70 ; -- ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -; RD[2] ; 69 ; -- ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -; RD[3] ; 68 ; -- ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -; RD[4] ; 65 ; -- ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -; RD[5] ; 63 ; -- ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -; RD[6] ; 64 ; -- ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -; RD[7] ; 61 ; -- ; 6 ; 1 ; 0 ; no ; no ; no ; yes ; no ; TTL ; User ; 10 pF ; RDOE~1 ; - ; -+-------+-------+----------+-----+-----------------------+--------------------+--------+----------------+-----------------+----------------+------------+--------------+----------------------+-------+----------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+----------------+--------+--------------+---------+-----------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; User Assignment ; -+----------+------------+----------+----------------+--------+--------------+---------+-----------------+ -; 1 ; 0 ; -- ; nRES ; input ; TTL ; ; Y ; -; 2 ; 1 ; -- ; PHI1in ; input ; TTL ; ; Y ; -; 3 ; 2 ; -- ; VCCINT ; power ; ; 5.0V ; ; -; 4 ; 3 ; -- ; A[6] ; input ; TTL ; ; Y ; -; 5 ; 4 ; -- ; A[7] ; input ; TTL ; ; Y ; -; 6 ; 5 ; -- ; Q3 ; input ; TTL ; ; Y ; -; 7 ; 6 ; -- ; GND ; gnd ; ; ; ; -; 8 ; 7 ; -- ; PHI0in ; input ; TTL ; ; Y ; -; 9 ; 8 ; -- ; A[8] ; input ; TTL ; ; Y ; -; 10 ; 9 ; -- ; A[9] ; input ; TTL ; ; Y ; -; 11 ; 10 ; -- ; A[10] ; input ; TTL ; ; Y ; -; 12 ; 11 ; -- ; A[11] ; input ; TTL ; ; Y ; -; 13 ; 12 ; -- ; VCCIO ; power ; ; 5.0V ; ; -; 14 ; 13 ; -- ; TDI ; input ; TTL ; ; N ; -; 15 ; 14 ; -- ; A[12] ; input ; TTL ; ; Y ; -; 16 ; 15 ; -- ; A[13] ; input ; TTL ; ; Y ; -; 17 ; 16 ; -- ; A[14] ; input ; TTL ; ; Y ; -; 18 ; 17 ; -- ; A[15] ; input ; TTL ; ; Y ; -; 19 ; 18 ; -- ; GND ; gnd ; ; ; ; -; 20 ; 19 ; -- ; nWE ; input ; TTL ; ; Y ; -; 21 ; 20 ; -- ; nDEVSEL ; input ; TTL ; ; Y ; -; 22 ; 21 ; -- ; nINH ; output ; TTL ; ; Y ; -; 23 ; 22 ; -- ; TMS ; input ; TTL ; ; N ; -; 24 ; 23 ; -- ; nIOSTRB ; input ; TTL ; ; Y ; -; 25 ; 24 ; -- ; D[7] ; bidir ; TTL ; ; Y ; -; 26 ; 25 ; -- ; VCCIO ; power ; ; 5.0V ; ; -; 27 ; 26 ; -- ; D[6] ; bidir ; TTL ; ; Y ; -; 28 ; 27 ; -- ; D[5] ; bidir ; TTL ; ; Y ; -; 29 ; 28 ; -- ; D[4] ; bidir ; TTL ; ; Y ; -; 30 ; 29 ; -- ; RESERVED ; ; ; ; ; -; 31 ; 30 ; -- ; RESERVED ; ; ; ; ; -; 32 ; 31 ; -- ; GND ; gnd ; ; ; ; -; 33 ; 32 ; -- ; D[3] ; bidir ; TTL ; ; Y ; -; 34 ; 33 ; -- ; D[2] ; bidir ; TTL ; ; Y ; -; 35 ; 34 ; -- ; D[1] ; bidir ; TTL ; ; Y ; -; 36 ; 35 ; -- ; D[0] ; bidir ; TTL ; ; Y ; -; 37 ; 36 ; -- ; RESERVED ; ; ; ; ; -; 38 ; 37 ; -- ; VCCIO ; power ; ; 5.0V ; ; -; 39 ; 38 ; -- ; nCAS0 ; output ; TTL ; ; Y ; -; 40 ; 39 ; -- ; nCAS1 ; output ; TTL ; ; Y ; -; 41 ; 40 ; -- ; nRCS ; output ; TTL ; ; Y ; -; 42 ; 41 ; -- ; GND ; gnd ; ; ; ; -; 43 ; 42 ; -- ; VCCINT ; power ; ; 5.0V ; ; -; 44 ; 43 ; -- ; nMode ; input ; TTL ; ; Y ; -; 45 ; 44 ; -- ; nROE ; output ; TTL ; ; Y ; -; 46 ; 45 ; -- ; RA[9] ; output ; TTL ; ; Y ; -; 47 ; 46 ; -- ; GND ; gnd ; ; ; ; -; 48 ; 47 ; -- ; RA[10] ; output ; TTL ; ; Y ; -; 49 ; 48 ; -- ; RA[3] ; output ; TTL ; ; Y ; -; 50 ; 49 ; -- ; RA[2] ; output ; TTL ; ; Y ; -; 51 ; 50 ; -- ; RA[5] ; output ; TTL ; ; Y ; -; 52 ; 51 ; -- ; RA[0] ; output ; TTL ; ; Y ; -; 53 ; 52 ; -- ; VCCIO ; power ; ; 5.0V ; ; -; 54 ; 53 ; -- ; RA[1] ; output ; TTL ; ; Y ; -; 55 ; 54 ; -- ; RA[4] ; output ; TTL ; ; Y ; -; 56 ; 55 ; -- ; RA[7] ; output ; TTL ; ; Y ; -; 57 ; 56 ; -- ; RA[6] ; output ; TTL ; ; Y ; -; 58 ; 57 ; -- ; RA[8] ; output ; TTL ; ; Y ; -; 59 ; 58 ; -- ; GND ; gnd ; ; ; ; -; 60 ; 59 ; -- ; nRAS ; output ; TTL ; ; Y ; -; 61 ; 60 ; -- ; RD[7] ; bidir ; TTL ; ; Y ; -; 62 ; 61 ; -- ; TCK ; input ; TTL ; ; N ; -; 63 ; 62 ; -- ; RD[5] ; bidir ; TTL ; ; Y ; -; 64 ; 63 ; -- ; RD[6] ; bidir ; TTL ; ; Y ; -; 65 ; 64 ; -- ; RD[4] ; bidir ; TTL ; ; Y ; -; 66 ; 65 ; -- ; VCCIO ; power ; ; 5.0V ; ; -; 67 ; 66 ; -- ; nRWE ; output ; TTL ; ; Y ; -; 68 ; 67 ; -- ; RD[3] ; bidir ; TTL ; ; Y ; -; 69 ; 68 ; -- ; RD[2] ; bidir ; TTL ; ; Y ; -; 70 ; 69 ; -- ; RD[1] ; bidir ; TTL ; ; Y ; -; 71 ; 70 ; -- ; TDO ; output ; TTL ; ; N ; -; 72 ; 71 ; -- ; GND ; gnd ; ; ; ; -; 73 ; 72 ; -- ; RD[0] ; bidir ; TTL ; ; Y ; -; 74 ; 73 ; -- ; nIOSEL ; input ; TTL ; ; Y ; -; 75 ; 74 ; -- ; A[0] ; input ; TTL ; ; Y ; -; 76 ; 75 ; -- ; A[1] ; input ; TTL ; ; Y ; -; 77 ; 76 ; -- ; A[2] ; input ; TTL ; ; Y ; -; 78 ; 77 ; -- ; VCCIO ; power ; ; 5.0V ; ; -; 79 ; 78 ; -- ; A[3] ; input ; TTL ; ; Y ; -; 80 ; 79 ; -- ; A[4] ; input ; TTL ; ; Y ; -; 81 ; 80 ; -- ; A[5] ; input ; TTL ; ; Y ; -; 82 ; 81 ; -- ; GND ; gnd ; ; ; ; -; 83 ; 82 ; -- ; C7M ; input ; TTL ; ; Y ; -; 84 ; 83 ; -- ; C7M_2 ; input ; TTL ; ; Y ; -+----------+------------+----------+----------------+--------+--------------+---------+-----------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+--------------------------------------------------------------------------------------------------+ -; I/O Standard ; -+--------------+------------+----------------------+-------------------+-------------------+-------+ -; I/O Standard ; Input Vref ; Dedicated Input Pins ; Pins in I/O Bank1 ; Pins in I/O Bank2 ; Total ; -+--------------+------------+----------------------+-------------------+-------------------+-------+ -; TTL ; - ; 4 ; 0 ; 0 ; 4 ; -+--------------+------------+----------------------+-------------------+-------------------+-------+ - - -+----------------------------------------------------------------------+ -; Dedicated Inputs I/O ; -+--------+-------+-------+-------+--------------+------------+---------+ -; Name ; Pin # ; Type ; VCCIO ; I/O Standard ; Input Vref ; Current ; -+--------+-------+-------+-------+--------------+------------+---------+ -; C7M ; 83 ; Input ; -- ; TTL ; - ; 0 mA ; -; C7M_2 ; 84 ; Input ; -- ; TTL ; - ; 0 mA ; -; PHI1in ; 2 ; Input ; -- ; TTL ; - ; 0 mA ; -; nRES ; 1 ; Input ; -- ; TTL ; - ; 0 mA ; -+--------+-------+-------+-------+--------------+------------+---------+ - - -+-----------------------------------------------+ -; Output Pin Default Load For Reported TCO ; -+--------------+-------+------------------------+ -; I/O Standard ; Load ; Termination Resistance ; -+--------------+-------+------------------------+ -; 3.3-V LVTTL ; 10 pF ; Not Available ; -; 3.3-V LVCMOS ; 10 pF ; Not Available ; -; TTL ; 10 pF ; Not Available ; -+--------------+-------+------------------------+ -Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. - - -+-----------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+------------+------+-------------------------------+--------------+ -; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; -+----------------------------+------------+------+-------------------------------+--------------+ -; |GR8RAM ; 107 ; 65 ; |GR8RAM ; work ; -; |lpm_counter:Ref_rtl_0| ; 4 ; 0 ; |GR8RAM|lpm_counter:Ref_rtl_0 ; work ; -+----------------------------+------------+------+-------------------------------+--------------+ - - -+--------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-----------+----------+---------+--------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+-----------+----------+---------+--------------+--------+----------------------+------------------+ -; BankWR_MC ; LC33 ; 8 ; Clock enable ; no ; -- ; -- ; -; C7M ; PIN_83 ; 55 ; Clock ; yes ; On ; -- ; -; PHI1b9_MC ; LC46 ; 5 ; Clock enable ; no ; -- ; -- ; -; S[0] ; LC114 ; 52 ; Clock enable ; no ; -- ; -- ; -; S[1] ; LC118 ; 53 ; Clock enable ; no ; -- ; -- ; -; S[2] ; LC113 ; 54 ; Clock enable ; no ; -- ; -- ; -; SetWR_MC ; LC42 ; 1 ; Clock enable ; no ; -- ; -- ; -; nIOSEL ; PIN_74 ; 12 ; Clock enable ; no ; -- ; -- ; -; nRES ; PIN_1 ; 40 ; Async. clear ; yes ; On ; -- ; -+-----------+----------+---------+--------------+--------+----------------------+------------------+ - - -+---------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+------+----------+---------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; -+------+----------+---------+----------------------+------------------+ -; C7M ; PIN_83 ; 55 ; On ; -- ; -; nRES ; PIN_1 ; 40 ; On ; -- ; -+------+----------+---------+----------------------+------------------+ - - -+-----------------------------------------+ -; Non-Global High Fan-Out Signals ; -+-------------------------------+---------+ -; Name ; Fan-Out ; -+-------------------------------+---------+ -; S[2] ; 54 ; -; S[1] ; 53 ; -; S[0] ; 52 ; -; nWE ; 16 ; -; nDEVSEL ; 15 ; -; A[3] ; 15 ; -; A[2] ; 15 ; -; A[1] ; 15 ; -; A[0] ; 15 ; -; nIOSEL ; 12 ; -; CASel ; 12 ; -; nIOSTRB ; 11 ; -; Addr[8] ; 11 ; -; Addr[0] ; 11 ; -; IncAddrL ; 11 ; -; IncAddrM ; 10 ; -; Addr[9] ; 10 ; -; Addr[1] ; 10 ; -; Addr[16] ; 10 ; -; IncAddrH ; 9 ; -; Addr[10] ; 9 ; -; Addr[17] ; 9 ; -; Addr[2] ; 9 ; -; AddrLWR_MC ; 9 ; -; AddrMWR_MC ; 9 ; -; D[7]~7 ; 8 ; -; FullIOEN ; 8 ; -; Addr[11] ; 8 ; -; Addr[18] ; 8 ; -; Addr[3] ; 8 ; -; Bank[0] ; 8 ; -; AddrHWR_MC ; 8 ; -; BankWR_MC ; 8 ; -; RAMSEL_MC ; 8 ; -; RDOE~1 ; 8 ; -; DOE~5 ; 8 ; -; Addr[22] ; 7 ; -; Addr[12] ; 7 ; -; Addr[19] ; 7 ; -; Addr[4] ; 7 ; -; Bank[1] ; 7 ; -; lpm_counter:Ref_rtl_0|dffs[3] ; 7 ; -; lpm_counter:Ref_rtl_0|dffs[2] ; 7 ; -; REGEN ; 7 ; -; lpm_counter:Ref_rtl_0|dffs[0] ; 7 ; -; D[6]~6 ; 6 ; -; D[5]~5 ; 6 ; -; D[4]~4 ; 6 ; -; D[3]~3 ; 6 ; -; D[2]~2 ; 6 ; -; D[1]~1 ; 6 ; -; D[0]~0 ; 6 ; -; Addr[13] ; 6 ; -; Addr[20] ; 6 ; -; Bank[2] ; 6 ; -; Addr[5] ; 6 ; -; lpm_counter:Ref_rtl_0|dffs[1] ; 6 ; -; Addr[6] ; 5 ; -; Addr[21] ; 5 ; -; Addr[14] ; 5 ; -; Bank[3] ; 5 ; -; PHI1b9_MC ; 5 ; -; Addr[15] ; 4 ; -; Addr[7] ; 4 ; -; Bank[4] ; 4 ; -; Bank[5] ; 3 ; -; IOROMEN ; 3 ; -; PHI1reg ; 3 ; -; PHI0seen ; 3 ; -; PHI1in ; 2 ; -; Addr[23] ; 2 ; -; Bank[6] ; 2 ; -; CASr ; 2 ; -; DBEN ; 2 ; -; RD[7]~7 ; 1 ; -; RD[6]~6 ; 1 ; -; RD[5]~5 ; 1 ; -; RD[4]~4 ; 1 ; -; RD[3]~3 ; 1 ; -; RD[2]~2 ; 1 ; -; RD[1]~1 ; 1 ; -; RD[0]~0 ; 1 ; -; A[10] ; 1 ; -; A[9] ; 1 ; -; A[8] ; 1 ; -; A[7] ; 1 ; -; A[6] ; 1 ; -; A[5] ; 1 ; -; A[4] ; 1 ; -; ~VCC~0 ; 1 ; -; RA~121 ; 1 ; -; RA~109 ; 1 ; -; RA~102 ; 1 ; -; RA~95 ; 1 ; -; RA~88 ; 1 ; -; RA~81 ; 1 ; -; RA~80 ; 1 ; -; RA~74 ; 1 ; -; Bank[7] ; 1 ; -; IncAddrM~9 ; 1 ; -; comb~38 ; 1 ; -; comb~34 ; 1 ; -; CAS1f ; 1 ; -; CAS0f ; 1 ; -; RA~69 ; 1 ; -; RA~66 ; 1 ; -; RA~63 ; 1 ; -; comb~31 ; 1 ; -; RASr ; 1 ; -; comb~28 ; 1 ; -; RASf ; 1 ; -; comb~26 ; 1 ; -; SetWR_MC ; 1 ; -; CSEN ; 1 ; -; PHI1b8_MC ; 1 ; -; PHI1b7_MC ; 1 ; -; PHI1b6_MC ; 1 ; -; PHI1b5_MC ; 1 ; -; PHI1b4_MC ; 1 ; -; PHI1b3_MC ; 1 ; -; PHI1b2_MC ; 1 ; -; PHI1b1_MC ; 1 ; -; nWE~1 ; 1 ; -; PHI1b0_MC ; 1 ; -; D[7]~38 ; 1 ; -; D[6]~36 ; 1 ; -; D[5]~34 ; 1 ; -; D[4]~32 ; 1 ; -; D[3]~30 ; 1 ; -; D[2]~28 ; 1 ; -; D[1]~26 ; 1 ; -; D[0]~24 ; 1 ; -; Dout[7]~120 ; 1 ; -; Dout[6]~114 ; 1 ; -; Dout[5]~108 ; 1 ; -; Dout[4]~102 ; 1 ; -; Dout[3]~96 ; 1 ; -; Dout[2]~90 ; 1 ; -; Dout[1]~84 ; 1 ; -; Dout[0]~78 ; 1 ; -+-------------------------------+---------+ - - -+--------------------------------------------------+ -; Other Routing Usage Summary ; -+-----------------------------+--------------------+ -; Other Routing Resource Type ; Usage ; -+-----------------------------+--------------------+ -; Output enables ; 2 / 6 ( 33 % ) ; -; PIA buffers ; 219 / 288 ( 76 % ) ; -; PIAs ; 246 / 288 ( 85 % ) ; -+-----------------------------+--------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB External Interconnect ; -+-----------------------------------------------+-----------------------------+ -; LAB External Interconnects (Average = 30.75) ; Number of LABs (Total = 8) ; -+-----------------------------------------------+-----------------------------+ -; 0 - 2 ; 0 ; -; 3 - 5 ; 0 ; -; 6 - 8 ; 0 ; -; 9 - 11 ; 0 ; -; 12 - 14 ; 0 ; -; 15 - 17 ; 0 ; -; 18 - 20 ; 0 ; -; 21 - 23 ; 1 ; -; 24 - 26 ; 0 ; -; 27 - 29 ; 1 ; -; 30 - 32 ; 3 ; -; 33 - 35 ; 3 ; -+-----------------------------------------------+-----------------------------+ - - -+-----------------------------------------------------------------------+ -; LAB Macrocells ; -+-----------------------------------------+-----------------------------+ -; Number of Macrocells (Average = 13.38) ; Number of LABs (Total = 8) ; -+-----------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 1 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 5 ; -+-----------------------------------------+-----------------------------+ - - -+-------------------------------------------------------------------------------+ -; Shareable Expander ; -+-------------------------------------------------+-----------------------------+ -; Number of shareable expanders (Average = 0.13) ; Number of LABs (Total = 1) ; -+-------------------------------------------------+-----------------------------+ -; 0 ; 7 ; -; 1 ; 1 ; -+-------------------------------------------------+-----------------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Logic Cell Interconnection ; -+-----+------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; LAB ; Logic Cell ; Input ; Output ; -+-----+------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; A ; LC6 ; C7M, nRES, D[5], AddrLWR_MC, S[0], S[2], S[1], Addr[5], IncAddrL, Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[5]~108, Addr[5], Addr[6], Addr[7], IncAddrM, RA~95 ; -; A ; LC4 ; C7M, nRES, D[3], AddrLWR_MC, S[0], S[2], S[1], Addr[3], IncAddrL, Addr[2], Addr[1], Addr[0] ; Dout[3]~96, Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~81 ; -; A ; LC10 ; C7M, nRES, D[1], AddrMWR_MC, S[1], S[0], S[2], Addr[9], IncAddrM, Addr[8] ; Dout[1]~84, Addr[9], Addr[10], Addr[11], RA~66, Addr[12], Addr[13], Addr[14], Addr[15], IncAddrH ; -; A ; LC3 ; C7M, nRES, D[2], AddrLWR_MC, S[0], S[2], S[1], Addr[2], IncAddrL, Addr[1], Addr[0] ; Dout[2]~90, Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~80 ; -; A ; LC9 ; C7M, nRES, D[0], AddrMWR_MC, S[2], S[0], S[1], Addr[8], IncAddrM ; Dout[0]~78, Addr[8], Addr[9], Addr[10], Addr[11], RA~63, Addr[12], Addr[13], Addr[14], Addr[15], IncAddrH ; -; A ; LC2 ; C7M, nRES, D[1], AddrLWR_MC, S[0], S[2], S[1], Addr[1], IncAddrL, Addr[0] ; Dout[1]~84, Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~121 ; -; A ; LC1 ; C7M, nRES, D[0], AddrLWR_MC, S[0], S[2], S[1], Addr[0], IncAddrL ; Dout[0]~78, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~74 ; -; A ; LC8 ; C7M, nRES, D[7], AddrLWR_MC, S[0], S[2], S[1], Addr[7], IncAddrL, Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[7]~120, Addr[7], IncAddrM, RA~109 ; -; A ; LC7 ; C7M, nRES, D[6], AddrLWR_MC, S[0], S[2], S[1], Addr[6], IncAddrL, Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[6]~114, Addr[6], Addr[7], IncAddrM, RA~102 ; -; A ; LC5 ; C7M, nRES, D[4], AddrLWR_MC, S[0], S[2], S[1], Addr[4], IncAddrL, Addr[3], Addr[2], Addr[1], Addr[0] ; Dout[4]~102, Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM, RA~88 ; -; A ; LC15 ; C7M, nRES, D[6], AddrMWR_MC, S[1], S[0], S[2], Addr[14], IncAddrM, Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13] ; Dout[6]~114, Addr[14], Addr[15], IncAddrH, RA~81 ; -; A ; LC14 ; C7M, nRES, D[5], AddrMWR_MC, S[1], S[0], S[2], Addr[13], IncAddrM, Addr[8], Addr[9], Addr[10], Addr[11], Addr[12] ; Dout[5]~108, Addr[13], Addr[14], Addr[15], IncAddrH, RA~80 ; -; A ; LC13 ; C7M, nRES, D[4], AddrMWR_MC, S[1], S[0], S[2], Addr[12], IncAddrM, Addr[8], Addr[9], Addr[10], Addr[11] ; Dout[4]~102, Addr[12], Addr[13], Addr[14], Addr[15], IncAddrH, RA~121 ; -; A ; LC16 ; C7M, nRES, D[7], AddrMWR_MC, S[1], S[0], S[2], Addr[15], IncAddrM, Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14] ; Dout[7]~120, Addr[15], IncAddrH, RA~88 ; -; A ; LC12 ; C7M, nRES, D[3], AddrMWR_MC, S[1], S[0], S[2], Addr[11], IncAddrM, Addr[8], Addr[9], Addr[10] ; Dout[3]~96, Addr[11], Addr[12], Addr[13], Addr[14], Addr[15], IncAddrH, RA~74 ; -; A ; LC11 ; C7M, nRES, D[2], AddrMWR_MC, S[1], S[0], S[2], Addr[10], IncAddrM, Addr[8], Addr[9] ; Dout[2]~90, Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], RA~69, Addr[15], IncAddrH ; -; B ; LC19 ; C7M, nRES, D[6], AddrHWR_MC, S[2], S[0], S[1], Addr[22], IncAddrH, Addr[21], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[6]~114, Addr[22], CAS0f, CAS1f, comb~34, comb~38, Addr[23] ; -; B ; LC27 ; C7M, nRES, D[6], BankWR_MC, S[0], S[1], S[2] ; RA~102, RA~109 ; -; B ; LC20 ; C7M, nRES, D[7], AddrHWR_MC, S[2], S[0], S[1], Addr[23], IncAddrH, Addr[22], Addr[21], Addr[20], Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[7]~120, Addr[23] ; -; B ; LC22 ; C7M, nRES, D[7], D[6], D[5], D[4], D[3], D[2], D[1], D[0], SetWR_MC, S[0], S[1], S[2] ; RA~74, RA~80, RA~81, RA~88, RA~95, RA~102, RA~109, RA~121 ; -; B ; LC17 ; ; nINH ; -; B ; LC24 ; C7M, nRES, D[0], AddrHWR_MC, S[2], S[0], S[1], Addr[16], IncAddrH ; Dout[0]~78, Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[23], RA~95 ; -; B ; LC30 ; C7M, nRES, D[1], BankWR_MC, S[0], S[1], S[2] ; RA~80, RA~81, RA~88, RA~95, RA~102, RA~109, RA~121 ; -; B ; LC21 ; C7M, nRES, D[1], AddrHWR_MC, S[2], S[0], S[1], Addr[17], IncAddrH, Addr[16] ; Dout[1]~84, Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[23], RA~102 ; -; B ; LC26 ; C7M, nRES, D[2], AddrHWR_MC, S[2], S[0], S[1], Addr[18], IncAddrH, Addr[17], Addr[16] ; Dout[2]~90, Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[23], RA~109 ; -; B ; LC18 ; C7M, nRES, D[2], BankWR_MC, S[0], S[1], S[2] ; RA~80, RA~81, RA~88, RA~95, RA~102, RA~109 ; -; B ; LC28 ; C7M, nRES, D[3], BankWR_MC, S[0], S[1], S[2] ; RA~81, RA~88, RA~95, RA~102, RA~109 ; -; B ; LC23 ; C7M, nRES, D[3], AddrHWR_MC, S[2], S[0], S[1], Addr[19], IncAddrH, Addr[18], Addr[17], Addr[16] ; Dout[3]~96, Addr[19], RA~63, Addr[20], Addr[21], Addr[22], Addr[23] ; -; B ; LC25 ; C7M, nRES, D[4], AddrHWR_MC, S[2], S[0], S[1], Addr[20], IncAddrH, Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[4]~102, Addr[20], RA~66, Addr[21], Addr[22], Addr[23] ; -; B ; LC32 ; C7M, nRES, D[4], BankWR_MC, S[0], S[1], S[2] ; RA~88, RA~95, RA~102, RA~109 ; -; B ; LC31 ; C7M, nRES, D[5], BankWR_MC, S[0], S[1], S[2] ; RA~95, RA~102, RA~109 ; -; B ; LC29 ; C7M, nRES, D[5], AddrHWR_MC, S[2], S[0], S[1], Addr[21], IncAddrH, Addr[20], Addr[19], Addr[18], Addr[17], Addr[16] ; Dout[5]~108, Addr[21], RA~69, Addr[22], Addr[23] ; -; C ; LC42 ; A[0], nWE, REGEN, nDEVSEL, A[1], A[2], A[3] ; FullIOEN ; -; C ; LC37 ; C7M, nRES, nIOSEL, S[2], S[0], S[1] ; DOE~5, RAMSEL_MC, BankWR_MC, SetWR_MC, AddrHWR_MC, AddrMWR_MC, AddrLWR_MC ; -; C ; LC34 ; REGEN, nDEVSEL, A[0], A[1], A[2], A[3] ; IncAddrL, CASel, RASf, RASr, CAS0f, CAS1f, comb~34, comb~38 ; -; C ; LC33 ; nWE, REGEN, nDEVSEL, A[0], A[1], A[2], A[3] ; Bank[0], Bank[1], Bank[2], Bank[3], Bank[4], Bank[5], Bank[6], Bank[7] ; -; C ; LC39 ; A[0], A[1], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], Addr[23] ; -; C ; LC38 ; RD[4], nDEVSEL, A[1], A[0], A[2], A[3], Addr[20], Addr[12], Addr[4] ; D[4] ; -; C ; LC40 ; RD[5], nDEVSEL, A[1], A[0], A[2], A[3], Addr[21], Addr[13], Addr[5] ; D[5] ; -; C ; LC43 ; RD[6], nDEVSEL, A[1], A[0], A[2], A[3], Addr[22], Addr[14], Addr[6] ; D[6] ; -; C ; LC45 ; RD[7], nDEVSEL, A[1], A[0], A[2], A[3], Addr[23], Addr[15], Addr[7] ; D[7] ; -; C ; LC36 ; C7M, nRES, S[2] ; DOE~5, RDOE~1 ; -; C ; LC44 ; C7M, nRES, nWE, S[2], S[0], S[1] ; comb~26 ; -; C ; LC47 ; A[0], A[1], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], Addr[15], IncAddrH ; -; C ; LC48 ; C7M, S[0], S[2], nWE, S[1] ; comb~34, comb~38 ; -; C ; LC35 ; A[0], A[1], A[2], A[3], nWE, REGEN, nDEVSEL ; Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM ; -; C ; LC41 ; C7M, nRES, RAMSEL_MC, S[0], S[2], S[1], IncAddrL ; IncAddrL, Addr[0], Addr[1], Addr[2], Addr[3], Addr[4], Addr[5], Addr[6], Addr[7], IncAddrM~9, IncAddrM ; -; C ; LC46 ; PHI1in, PHI1b8_MC ; PHI0seen, PHI1reg, S[1], S[0], S[2] ; -; D ; LC57 ; RD[0], nDEVSEL, A[1], A[0], A[2], A[3], Addr[16], Addr[8], Addr[0] ; D[0] ; -; D ; LC56 ; REGEN, nDEVSEL, DBEN, nWE, nIOSEL, IOROMEN, nIOSTRB ; D[0], D[1], D[2], D[3], D[4], D[5], D[6], D[7] ; -; D ; LC59 ; RD[1], nDEVSEL, A[1], A[0], A[2], A[3], Addr[17], Addr[9], Addr[1] ; D[1] ; -; D ; LC61 ; RD[2], nDEVSEL, A[1], A[0], A[2], A[3], Addr[18], Addr[10], Addr[2] ; D[2] ; -; D ; LC64 ; RD[3], nDEVSEL, A[1], A[0], A[2], A[3], Addr[19], Addr[11], Addr[3] ; D[3] ; -; D ; LC52 ; C7M, PHI1b9_MC ; S[1], S[0], S[2] ; -; D ; LC49 ; CSEN, nIOSEL, IOROMEN, nIOSTRB ; nRCS ; -; D ; LC53 ; CASr, Addr[22], RAMSEL_MC, CAS0f ; nCAS0 ; -; D ; LC58 ; C7M, PHI1b9_MC ; S[1], S[0], S[2] ; -; D ; LC51 ; CASr, Addr[22], RAMSEL_MC, CAS1f ; nCAS1 ; -; E ; LC72 ; Addr[21], CASel, Addr[10] ; RA[10] ; -; E ; LC69 ; Addr[20], CASel, Addr[9] ; RA[9] ; -; E ; LC73 ; FullIOEN, Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[14], CASel, nIOSEL, Addr[3], Bank[3] ; RA[3] ; -; E ; LC77 ; FullIOEN, Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[16], CASel, nIOSEL, Addr[5], Bank[5] ; RA[5] ; -; E ; LC75 ; FullIOEN, Bank[2], Bank[1], nIOSTRB, Bank[0], Addr[13], CASel, nIOSEL, Addr[2] ; RA[2] ; -; E ; LC80 ; Bank[0], FullIOEN, nIOSTRB, Addr[11], CASel, nIOSEL, Addr[0] ; RA[0] ; -; E ; LC67 ; nWE ; nROE ; -; E ; LC79 ; C7M, nRES, D[7], AddrLWR_MC, Addr[7], S[2], S[1], S[0], IncAddrM, Addr[6], Addr[5], Addr[4], Addr[3], Addr[2], Addr[1], Addr[0], IncAddrL, IncAddrM~9 ; Addr[8], Addr[9], Addr[10], Addr[11], Addr[12], Addr[13], Addr[14], IncAddrM, Addr[15], IncAddrH ; -; F ; LC94 ; D[7] ; RD[7] ; -; F ; LC91 ; Addr[19], CASel, Addr[8] ; RA[8] ; -; F ; LC96 ; C7M, nWE, S[0], RAMSEL_MC, S[2], S[1] ; comb~28, RA~63, RA~66, RA~69, RA~74, RA~80, RA~81, RA~88, RA~95, RA~102, RA~109, RA~121 ; -; F ; LC93 ; RASr, RASf ; nRAS ; -; F ; LC86 ; FullIOEN, Bank[6], Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[18], CASel, nIOSEL, Addr[7], Bank[7] ; RA[7] ; -; F ; LC88 ; FullIOEN, Bank[5], Bank[4], Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[17], CASel, nIOSEL, Addr[6], Bank[6] ; RA[6] ; -; F ; LC85 ; FullIOEN, Bank[3], Bank[2], Bank[1], Bank[0], nIOSTRB, Addr[15], CASel, nIOSEL, Addr[4], Bank[4] ; RA[4] ; -; F ; LC87 ; C7M, nRES, D[7], BankWR_MC, S[0], S[1], S[2] ; RA~109 ; -; F ; LC83 ; Addr[12], CASel, nIOSEL, nIOSTRB, Addr[1], FullIOEN, Bank[1], Bank[0] ; RA[1] ; -; G ; LC107 ; D[2] ; RD[2] ; -; G ; LC101 ; D[4] ; RD[4] ; -; G ; LC97 ; D[5] ; RD[5] ; -; G ; LC99 ; D[6] ; RD[6] ; -; G ; LC103 ; C7M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[0], S[1] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], RASr, CAS0f, CAS1f ; -; G ; LC110 ; C7M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[0], S[1] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], RASr, CAS0f, CAS1f ; -; G ; LC112 ; C7M, nWE, S[0], RAMSEL_MC, S[2], S[1] ; comb~31 ; -; G ; LC98 ; C7M, nRES, D[7], AddrMWR_MC, Addr[15], S[0], S[2], S[1], IncAddrH, Addr[14], Addr[13], Addr[12], Addr[11], Addr[10], Addr[9], Addr[8], IncAddrM ; Addr[16], Addr[17], Addr[18], Addr[19], Addr[20], Addr[21], Addr[22], IncAddrH, Addr[23] ; -; G ; LC100 ; C7M, lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], S[2], S[0], S[1] ; lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], RASr, CAS0f, CAS1f ; -; G ; LC106 ; C7M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[0], S[1], S[2], nWE, Addr[22], RAMSEL_MC ; comb~34 ; -; G ; LC111 ; C7M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[0], S[1], S[2], nWE, Addr[22], RAMSEL_MC ; comb~38 ; -; G ; LC104 ; CASel, nWE ; nRWE ; -; G ; LC105 ; D[3] ; RD[3] ; -; G ; LC108 ; C7M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[0], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], S[2], S[0], S[1] ; lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[3], RASr, CAS0f, CAS1f ; -; G ; LC109 ; D[1] ; RD[1] ; -; G ; LC102 ; C7M, lpm_counter:Ref_rtl_0|dffs[3], lpm_counter:Ref_rtl_0|dffs[2], lpm_counter:Ref_rtl_0|dffs[1], lpm_counter:Ref_rtl_0|dffs[0], S[2], S[0], S[1], nWE, RAMSEL_MC ; comb~31 ; -; H ; LC123 ; PHI1b5_MC ; PHI1b7_MC ; -; H ; LC118 ; C7M, PHI0seen, PHI1reg, PHI1b9_MC, S[1], S[0], S[2] ; S[1], S[0], S[2], CSEN, lpm_counter:Ref_rtl_0|dffs[0], REGEN, CASr, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], IncAddrL, CASel, RASf, lpm_counter:Ref_rtl_0|dffs[2], Addr[16], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], RASr, Addr[1], Bank[0], Addr[8], Addr[2], Addr[9], Addr[3], Bank[1], Addr[17], Addr[4], Addr[18], Addr[5], Bank[2], Addr[10], Addr[11], Bank[3], Addr[19], Addr[20], Bank[4], Addr[12], Addr[13], Addr[14], Bank[5], Addr[21], Addr[22], CAS0f, CAS1f, Bank[6], Addr[6], Addr[7], IncAddrM~9, IncAddrM, Addr[15], IncAddrH, Addr[23], Bank[7], FullIOEN ; -; H ; LC113 ; C7M, PHI0seen, PHI1reg, PHI1b9_MC, S[0], S[2], S[1] ; S[1], S[0], S[2], DBEN, CSEN, lpm_counter:Ref_rtl_0|dffs[0], REGEN, CASr, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], IncAddrL, CASel, RASf, lpm_counter:Ref_rtl_0|dffs[2], Addr[16], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], RASr, Addr[1], Bank[0], Addr[8], Addr[2], Addr[9], Addr[3], Bank[1], Addr[17], Addr[4], Addr[18], Addr[5], Bank[2], Addr[10], Addr[11], Bank[3], Addr[19], Addr[20], Bank[4], Addr[12], Addr[13], Addr[14], Bank[5], Addr[21], Addr[22], CAS0f, CAS1f, Bank[6], Addr[6], Addr[7], IncAddrM~9, IncAddrM, Addr[15], IncAddrH, Addr[23], Bank[7], FullIOEN ; -; H ; LC114 ; C7M, PHI0seen, PHI1reg, PHI1b9_MC, S[0], S[2], S[1] ; S[1], S[0], S[2], CSEN, lpm_counter:Ref_rtl_0|dffs[0], REGEN, CASr, IOROMEN, lpm_counter:Ref_rtl_0|dffs[1], IncAddrL, CASel, RASf, lpm_counter:Ref_rtl_0|dffs[2], Addr[16], lpm_counter:Ref_rtl_0|dffs[3], Addr[0], RASr, Addr[1], Bank[0], Addr[8], Addr[2], Addr[9], Addr[3], Bank[1], Addr[17], Addr[4], Addr[18], Addr[5], Bank[2], Addr[10], Addr[11], Bank[3], Addr[19], Addr[20], Bank[4], Addr[12], Addr[13], Addr[14], Bank[5], Addr[21], Addr[22], CAS0f, CAS1f, Bank[6], Addr[6], Addr[7], IncAddrM, Addr[15], IncAddrH, Addr[23], Bank[7], FullIOEN ; -; H ; LC122 ; PHI1b6_MC ; PHI1b8_MC ; -; H ; LC125 ; C7M, nRES, D[0], BankWR_MC, S[0], S[1], S[2] ; RA~74, RA~80, RA~81, RA~88, RA~95, RA~102, RA~109, RA~121 ; -; H ; LC124 ; PHI1b4_MC ; PHI1b6_MC ; -; H ; LC127 ; PHI1b3_MC ; PHI1b5_MC ; -; H ; LC128 ; PHI1b2_MC ; PHI1b4_MC ; -; H ; LC120 ; DBEN, nWE ; RD[0], RD[1], RD[2], RD[3], RD[4], RD[5], RD[6], RD[7] ; -; H ; LC116 ; PHI1b1_MC ; PHI1b3_MC ; -; H ; LC126 ; PHI1b0_MC ; PHI1b2_MC ; -; H ; LC115 ; D[0] ; RD[0] ; -; H ; LC121 ; PHI1in ; PHI1b1_MC ; -; H ; LC119 ; PHI1b7_MC ; PHI1b9_MC ; -; H ; LC117 ; C7M, nRES, A[4], A[5], A[6], A[7], A[8], A[9], A[10], nIOSTRB, A[0], A[1], A[2], A[3], S[2], S[0], S[1], IOROMEN, nIOSEL ; DOE~5, IOROMEN, comb~26 ; -+-----+------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------+ -; Fitter Device Options ; -+----------------------------------------------+----------------+ -; Option ; Setting ; -+----------------------------------------------+----------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Security bit ; Off ; -; Base pin-out file on sameframe device ; Off ; -+----------------------------------------------+----------------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (119006): Selected device EPM7128SLC84-15 for design "GR8RAM" -Info: Quartus II 64-Bit Fitter was successful. 0 errors, 1 warning - Info: Peak virtual memory: 4708 megabytes - Info: Processing ended: Sun Feb 16 00:10:46 2020 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/cpld/output_files/GR8RAM.fit.summary b/cpld/output_files/GR8RAM.fit.summary deleted file mode 100755 index 043716b..0000000 --- a/cpld/output_files/GR8RAM.fit.summary +++ /dev/null @@ -1,9 +0,0 @@ -Fitter Status : Successful - Sun Feb 16 00:10:46 2020 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : GR8RAM -Top-level Entity Name : GR8RAM -Family : MAX7000S -Device : EPM7128SLC84-15 -Timing Models : Final -Total macrocells : 107 / 128 ( 84 % ) -Total pins : 65 / 68 ( 96 % ) diff --git a/cpld/output_files/GR8RAM.flow.rpt b/cpld/output_files/GR8RAM.flow.rpt deleted file mode 100755 index a56d795..0000000 --- a/cpld/output_files/GR8RAM.flow.rpt +++ /dev/null @@ -1,130 +0,0 @@ -Flow report for GR8RAM -Sun Feb 16 00:10:48 2020 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-----------------------------------------------------------------------------+ -; Flow Summary ; -+---------------------------+-------------------------------------------------+ -; Flow Status ; Successful - Sun Feb 16 00:10:47 2020 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX7000S ; -; Device ; EPM7128SLC84-15 ; -; Timing Models ; Final ; -; Total macrocells ; 107 / 128 ( 84 % ) ; -; Total pins ; 65 / 68 ( 96 % ) ; -+---------------------------+-------------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 02/16/2020 00:10:44 ; -; Main task ; Compilation ; -; Revision Name ; GR8RAM ; -+-------------------+---------------------+ - - -+-------------------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+--------------------------------------------+---------------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+--------------------------------------------+---------------------------------+---------------+-------------+------------+ -; ALM_REGISTER_PACKING_EFFORT ; High ; Medium ; -- ; -- ; -; AUTO_LCELL_INSERTION ; Off ; On ; -- ; -- ; -; AUTO_PARALLEL_EXPANDERS ; Off ; On ; -- ; -- ; -; AUTO_TURBO_BIT ; Off ; On ; -- ; -- ; -; COMPILER_SIGNATURE_ID ; 207120313862967.158182984416660 ; -- ; -- ; -- ; -; ECO_OPTIMIZE_TIMING ; On ; Off ; -- ; -- ; -; ECO_REGENERATE_REPORT ; On ; Off ; -- ; -- ; -; EXTRACT_VERILOG_STATE_MACHINES ; Off ; On ; -- ; -- ; -; EXTRACT_VHDL_STATE_MACHINES ; Off ; On ; -- ; -- ; -; FITTER_EFFORT ; Standard Fit ; Auto Fit ; -- ; -- ; -; MAX7000_IGNORE_LCELL_BUFFERS ; Off ; Auto ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; OPTIMIZE_HOLD_TIMING ; Off ; -- ; -- ; -- ; -; OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING ; Pack All IO Registers ; Normal ; -- ; -- ; -; PARALLEL_SYNTHESIS ; Off ; On ; -- ; -- ; -; PRE_MAPPING_RESYNTHESIS ; On ; Off ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -; SLOW_SLEW_RATE ; On ; Off ; -- ; -- ; -; STATE_MACHINE_PROCESSING ; User-Encoded ; Auto ; -- ; -- ; -; SYNTH_MESSAGE_LEVEL ; High ; Medium ; -- ; -- ; -; SYNTH_TIMING_DRIVEN_SYNTHESIS ; Off ; -- ; -- ; -- ; -+--------------------------------------------+---------------------------------+---------------+-------------+------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:01 ; 1.0 ; 4587 MB ; 00:00:01 ; -; Fitter ; 00:00:01 ; 1.0 ; 4708 MB ; 00:00:00 ; -; Assembler ; 00:00:00 ; 1.0 ; 4522 MB ; 00:00:00 ; -; TimeQuest Timing Analyzer ; 00:00:00 ; 1.0 ; 4530 MB ; 00:00:00 ; -; Total ; 00:00:02 ; -- ; -- ; 00:00:01 ; -+---------------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+----------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+---------------------------+------------------+-----------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; Fitter ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; Assembler ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -; TimeQuest Timing Analyzer ; DESKTOP-G62HNQS ; Windows 7 ; 6.2 ; x86_64 ; -+---------------------------+------------------+-----------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -quartus_fit --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM -quartus_asm --read_settings_files=off --write_settings_files=off GR8RAM -c GR8RAM -quartus_sta GR8RAM -c GR8RAM - - - diff --git a/cpld/output_files/GR8RAM.jdi b/cpld/output_files/GR8RAM.jdi deleted file mode 100755 index 0d28391..0000000 --- a/cpld/output_files/GR8RAM.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/cpld/output_files/GR8RAM.map.rpt b/cpld/output_files/GR8RAM.map.rpt deleted file mode 100755 index 7c6b4c0..0000000 --- a/cpld/output_files/GR8RAM.map.rpt +++ /dev/null @@ -1,404 +0,0 @@ -Analysis & Synthesis report for GR8RAM -Sun Feb 16 00:10:45 2020 -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 - 9. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 - 10. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 - 11. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 - 12. Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 - 13. Analysis & Synthesis Messages - 14. Analysis & Synthesis Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 1991-2013 Altera Corporation -Your use of Altera Corporation's design tools, logic functions -and other software and tools, and its AMPP partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Altera Program License -Subscription Agreement, Altera MegaCore Function License -Agreement, or other applicable license agreement, including, -without limitation, that your use is for the sole purpose of -programming logic devices manufactured by Altera and sold by -Altera or its authorized distributors. Please refer to the -applicable agreement for further details. - - - -+-------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+-------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Feb 16 00:10:45 2020 ; -; Quartus II 64-Bit Version ; 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition ; -; Revision Name ; GR8RAM ; -; Top-level Entity Name ; GR8RAM ; -; Family ; MAX7000S ; -; Total macrocells ; 107 ; -; Total pins ; 61 ; -+-----------------------------+-------------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+----------------------------------------------------------------------------+-----------------+---------------+ -; Option ; Setting ; Default Value ; -+----------------------------------------------------------------------------+-----------------+---------------+ -; Device ; EPM7128SLC84-15 ; ; -; Top-level entity name ; GR8RAM ; GR8RAM ; -; Family name ; MAX7000S ; Cyclone IV GX ; -; State Machine Processing ; User-Encoded ; Auto ; -; Extract Verilog State Machines ; Off ; On ; -; Extract VHDL State Machines ; Off ; On ; -; Parallel Synthesis ; Off ; On ; -; Ignore LCELL Buffers ; Off ; Auto ; -; Auto Logic Cell Insertion ; Off ; On ; -; Auto Parallel Expanders ; Off ; On ; -; Pre-Mapping Resynthesis Optimization ; On ; Off ; -; Analysis & Synthesis Message Level ; High ; Medium ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and TimeQuest Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Disable OpenCore Plus hardware evaluation ; Off ; Off ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; Safe State Machine ; Off ; Off ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; Off ; Off ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Speed ; Speed ; -; Allow XOR Gate Usage ; On ; On ; -; Parallel Expander Chain Length ; 4 ; 4 ; -; Auto Open-Drain Pins ; On ; On ; -; Auto Resource Sharing ; Off ; Off ; -; Maximum Fan-in Per Macrocell ; 100 ; 100 ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Block Design Naming ; Auto ; Auto ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -; Synthesis Seed ; 1 ; 1 ; -+----------------------------------------------------------------------------+-----------------+---------------+ - - -Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. -+-------------------------------------+ -; Parallel Compilation ; -+----------------------------+--------+ -; Processors ; Number ; -+----------------------------+--------+ -; Number detected on machine ; 8 ; -; Maximum allowed ; 1 ; -+----------------------------+--------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ -; GR8RAM.v ; yes ; User Verilog HDL File ; C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/GR8RAM.v ; ; -; lpm_counter.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.tdf ; ; -; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_constant.inc ; ; -; lpm_decode.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_decode.inc ; ; -; lpm_add_sub.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.inc ; ; -; cmpconst.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/cmpconst.inc ; ; -; lpm_compare.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_compare.inc ; ; -; lpm_counter.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_counter.inc ; ; -; dffeea.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/dffeea.inc ; ; -; alt_counter_stratix.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/alt_counter_stratix.inc ; ; -; aglobal130.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/aglobal130.inc ; ; -; lpm_add_sub.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/lpm_add_sub.tdf ; ; -; addcore.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.inc ; ; -; look_add.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/look_add.inc ; ; -; bypassff.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/bypassff.inc ; ; -; altshift.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/altshift.inc ; ; -; alt_stratix_add_sub.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/alt_stratix_add_sub.inc ; ; -; addcore.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/addcore.tdf ; ; -; a_csnbuffer.inc ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/a_csnbuffer.inc ; ; -; a_csnbuffer.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/a_csnbuffer.tdf ; ; -; look_add.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/look_add.tdf ; ; -; altshift.tdf ; yes ; Megafunction ; c:/altera/13.0sp1/quartus/libraries/megafunctions/altshift.tdf ; ; -+----------------------------------+-----------------+------------------------+---------------------------------------------------------------------------+---------+ - - -+---------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+----------------------+----------------------+ -; Resource ; Usage ; -+----------------------+----------------------+ -; Logic cells ; 107 ; -; Total registers ; 55 ; -; I/O pins ; 61 ; -; Shareable expanders ; 1 ; -; Maximum fan-out node ; C7M ; -; Maximum fan-out ; 55 ; -; Total fan-out ; 855 ; -; Average fan-out ; 5.06 ; -+----------------------+----------------------+ - - -+-----------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+------------+------+-------------------------------+--------------+ -; Compilation Hierarchy Node ; Macrocells ; Pins ; Full Hierarchy Name ; Library Name ; -+----------------------------+------------+------+-------------------------------+--------------+ -; |GR8RAM ; 107 ; 61 ; |GR8RAM ; work ; -; |lpm_counter:Ref_rtl_0| ; 4 ; 0 ; |GR8RAM|lpm_counter:Ref_rtl_0 ; work ; -+----------------------------+------------+------+-------------------------------+--------------+ - - -+------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: lpm_counter:Ref_rtl_0 ; -+------------------------+-------------------+---------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------------+---------------------------+ -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; LPM_WIDTH ; 4 ; Untyped ; -; LPM_DIRECTION ; UP ; Untyped ; -; LPM_MODULUS ; 0 ; Untyped ; -; LPM_AVALUE ; UNUSED ; Untyped ; -; LPM_SVALUE ; UNUSED ; Untyped ; -; LPM_PORT_UPDOWN ; PORT_CONNECTIVITY ; Untyped ; -; DEVICE_FAMILY ; MAX7000S ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; NOT_GATE_PUSH_BACK ; ON ; NOT_GATE_PUSH_BACK ; -; CARRY_CNT_EN ; SMART ; Untyped ; -; LABWIDE_SCLR ; ON ; Untyped ; -; USE_NEW_VERSION ; TRUE ; Untyped ; -; CBXI_PARAMETER ; NOTHING ; Untyped ; -+------------------------+-------------------+---------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add0 ; -+------------------------+-------------+----------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+----------------------------+ -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_DIRECTION ; ADD ; Untyped ; -; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; REGISTERED_AT_END ; 0 ; Untyped ; -; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; -; USE_CS_BUFFERS ; 1 ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; DEVICE_FAMILY ; MAX7000S ; Untyped ; -; USE_WYS ; OFF ; Untyped ; -; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+----------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add4 ; -+------------------------+-------------+----------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+----------------------------+ -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_DIRECTION ; ADD ; Untyped ; -; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; REGISTERED_AT_END ; 0 ; Untyped ; -; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; -; USE_CS_BUFFERS ; 1 ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; DEVICE_FAMILY ; MAX7000S ; Untyped ; -; USE_WYS ; OFF ; Untyped ; -; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+----------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add3 ; -+------------------------+-------------+----------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+----------------------------+ -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_DIRECTION ; ADD ; Untyped ; -; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; REGISTERED_AT_END ; 0 ; Untyped ; -; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; -; USE_CS_BUFFERS ; 1 ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; DEVICE_FAMILY ; MAX7000S ; Untyped ; -; USE_WYS ; OFF ; Untyped ; -; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+----------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: lpm_add_sub:Add5 ; -+------------------------+-------------+----------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------+-------------+----------------------------+ -; LPM_WIDTH ; 8 ; Untyped ; -; LPM_REPRESENTATION ; UNSIGNED ; Untyped ; -; LPM_DIRECTION ; ADD ; Untyped ; -; ONE_INPUT_IS_CONSTANT ; YES ; Untyped ; -; LPM_PIPELINE ; 0 ; Untyped ; -; MAXIMIZE_SPEED ; 5 ; Untyped ; -; REGISTERED_AT_END ; 0 ; Untyped ; -; OPTIMIZE_FOR_SPEED ; 5 ; Untyped ; -; USE_CS_BUFFERS ; 1 ; Untyped ; -; CARRY_CHAIN ; MANUAL ; Untyped ; -; CARRY_CHAIN_LENGTH ; 48 ; CARRY_CHAIN_LENGTH ; -; DEVICE_FAMILY ; MAX7000S ; Untyped ; -; USE_WYS ; OFF ; Untyped ; -; STYLE ; FAST ; Untyped ; -; CBXI_PARAMETER ; add_sub_rnh ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -+------------------------+-------------+----------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus II 64-Bit Analysis & Synthesis - Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition - Info: Processing started: Sun Feb 16 00:10:44 2020 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off GR8RAM -c GR8RAM -Warning (20028): Parallel compilation is not licensed and has been disabled -Info (12021): Found 1 design units, including 1 entities, in source file gr8ram.v - Info (12023): Found entity 1: GR8RAM -Info (12127): Elaborating entity "GR8RAM" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(30): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(115): truncated value with size 32 to match size of target (3) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(120): truncated value with size 32 to match size of target (4) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(169): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(174): truncated value with size 32 to match size of target (8) -Warning (10230): Verilog HDL assignment warning at GR8RAM.v(180): truncated value with size 32 to match size of target (8) -Info (19000): Inferred 1 megafunctions from design logic - Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=4) from the following logic: "Ref_rtl_0" -Info (278001): Inferred 4 megafunctions from design logic - Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add0" - Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add4" - Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add3" - Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add5" -Info (12130): Elaborated megafunction instantiation "lpm_counter:Ref_rtl_0" -Info (12133): Instantiated megafunction "lpm_counter:Ref_rtl_0" with the following parameter: - Info (12134): Parameter "LPM_WIDTH" = "4" - Info (12134): Parameter "LPM_DIRECTION" = "UP" - Info (12134): Parameter "LPM_TYPE" = "LPM_COUNTER" -Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add0" -Info (12133): Instantiated megafunction "lpm_add_sub:Add0" with the following parameter: - Info (12134): Parameter "LPM_WIDTH" = "8" - Info (12134): Parameter "LPM_DIRECTION" = "ADD" - Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED" - Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "YES" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder[0]|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|look_add:look_ahead_unit", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0" -Info (13014): Ignored 32 buffer(s) - Info (13019): Ignored 32 SOFT buffer(s) -Info (280013): Promoted pin-driven signal(s) to global signal - Info (280014): Promoted clock signal driven by pin "C7M" to global clock signal - Info (280015): Promoted clear signal driven by pin "nRES" to global clear signal -Warning (21074): Design contains 9 input pin(s) that do not drive logic - Warning (15610): No output dependent on input pin "C7M_2" - Warning (15610): No output dependent on input pin "Q3" - Warning (15610): No output dependent on input pin "PHI0in" - Warning (15610): No output dependent on input pin "nMode" - Warning (15610): No output dependent on input pin "A[11]" - Warning (15610): No output dependent on input pin "A[12]" - Warning (15610): No output dependent on input pin "A[13]" - Warning (15610): No output dependent on input pin "A[14]" - Warning (15610): No output dependent on input pin "A[15]" -Info (21057): Implemented 169 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 27 input pins - Info (21059): Implemented 18 output pins - Info (21060): Implemented 16 bidirectional pins - Info (21063): Implemented 107 macrocells - Info (21073): Implemented 1 shareable expanders -Info (144001): Generated suppressed messages file C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 17 warnings - Info: Peak virtual memory: 4587 megabytes - Info: Processing ended: Sun Feb 16 00:10:45 2020 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - -+------------------------------------------+ -; Analysis & Synthesis Suppressed Messages ; -+------------------------------------------+ -The suppressed messages can be found in C:/Users/Zane/Documents/GitHub/GR8RAM/cpld/output_files/GR8RAM.map.smsg. - - diff --git a/cpld/output_files/GR8RAM.map.smsg b/cpld/output_files/GR8RAM.map.smsg deleted file mode 100755 index 8f822b8..0000000 --- a/cpld/output_files/GR8RAM.map.smsg +++ /dev/null @@ -1,3 +0,0 @@ -Warning (10273): Verilog HDL warning at GR8RAM.v(52): extended using "x" or "z" -Warning (10273): Verilog HDL warning at GR8RAM.v(60): extended using "x" or "z" -Warning (10268): Verilog HDL information at GR8RAM.v(200): always construct contains both blocking and non-blocking assignments diff --git a/cpld/output_files/GR8RAM.map.summary b/cpld/output_files/GR8RAM.map.summary deleted file mode 100755 index c2e5fd1..0000000 --- a/cpld/output_files/GR8RAM.map.summary +++ /dev/null @@ -1,7 +0,0 @@ -Analysis & Synthesis Status : Successful - Sun Feb 16 00:10:45 2020 -Quartus II 64-Bit Version : 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition -Revision Name : GR8RAM -Top-level Entity Name : GR8RAM -Family : MAX7000S -Total macrocells : 107 -Total pins : 61 diff --git a/cpld/output_files/GR8RAM.pin b/cpld/output_files/GR8RAM.pin deleted file mode 100755 index 5e6034e..0000000 --- a/cpld/output_files/GR8RAM.pin +++ /dev/null @@ -1,147 +0,0 @@ - -- Copyright (C) 1991-2013 Altera Corporation - -- Your use of Altera Corporation's design tools, logic functions - -- and other software and tools, and its AMPP partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Altera Program License - -- Subscription Agreement, Altera MegaCore Function License - -- Agreement, or other applicable license agreement, including, - -- without limitation, that your use is for the sole purpose of - -- programming logic devices manufactured by Altera and sold by - -- Altera or its authorized distributors. Please refer to the - -- applicable agreement for further details. - -- - -- This is a Quartus II output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus II input file. This file cannot be used - -- to make Quartus II pin assignments - for instructions on how to make pin - -- assignments, please see Quartus II help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCC : Dedicated power pin, which MUST be connected to VCC. - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - -- NON_MIGRATABLE: This pin cannot be migrated. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition -CHIP "GR8RAM" ASSIGNED TO AN: EPM7128SLC84-15 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -nRES : 1 : input : TTL : : : Y -PHI1in : 2 : input : TTL : : : Y -VCCINT : 3 : power : : 5.0V : : -A[6] : 4 : input : TTL : : : Y -A[7] : 5 : input : TTL : : : Y -Q3 : 6 : input : TTL : : : Y -GND : 7 : gnd : : : : -PHI0in : 8 : input : TTL : : : Y -A[8] : 9 : input : TTL : : : Y -A[9] : 10 : input : TTL : : : Y -A[10] : 11 : input : TTL : : : Y -A[11] : 12 : input : TTL : : : Y -VCCIO : 13 : power : : 5.0V : : -TDI : 14 : input : TTL : : : N -A[12] : 15 : input : TTL : : : Y -A[13] : 16 : input : TTL : : : Y -A[14] : 17 : input : TTL : : : Y -A[15] : 18 : input : TTL : : : Y -GND : 19 : gnd : : : : -nWE : 20 : input : TTL : : : Y -nDEVSEL : 21 : input : TTL : : : Y -nINH : 22 : output : TTL : : : Y -TMS : 23 : input : TTL : : : N -nIOSTRB : 24 : input : TTL : : : Y -D[7] : 25 : bidir : TTL : : : Y -VCCIO : 26 : power : : 5.0V : : -D[6] : 27 : bidir : TTL : : : Y -D[5] : 28 : bidir : TTL : : : Y -D[4] : 29 : bidir : TTL : : : Y -RESERVED : 30 : : : : : -RESERVED : 31 : : : : : -GND : 32 : gnd : : : : -D[3] : 33 : bidir : TTL : : : Y -D[2] : 34 : bidir : TTL : : : Y -D[1] : 35 : bidir : TTL : : : Y -D[0] : 36 : bidir : TTL : : : Y -RESERVED : 37 : : : : : -VCCIO : 38 : power : : 5.0V : : -nCAS0 : 39 : output : TTL : : : Y -nCAS1 : 40 : output : TTL : : : Y -nRCS : 41 : output : TTL : : : Y -GND : 42 : gnd : : : : -VCCINT : 43 : power : : 5.0V : : -nMode : 44 : input : TTL : : : Y -nROE : 45 : output : TTL : : : Y -RA[9] : 46 : output : TTL : : : Y -GND : 47 : gnd : : : : -RA[10] : 48 : output : TTL : : : Y -RA[3] : 49 : output : TTL : : : Y -RA[2] : 50 : output : TTL : : : Y -RA[5] : 51 : output : TTL : : : Y -RA[0] : 52 : output : TTL : : : Y -VCCIO : 53 : power : : 5.0V : : -RA[1] : 54 : output : TTL : : : Y -RA[4] : 55 : output : TTL : : : Y -RA[7] : 56 : output : TTL : : : Y -RA[6] : 57 : output : TTL : : : Y -RA[8] : 58 : output : TTL : : : Y -GND : 59 : gnd : : : : -nRAS : 60 : output : TTL : : : Y -RD[7] : 61 : bidir : TTL : : : Y -TCK : 62 : input : TTL : : : N -RD[5] : 63 : bidir : TTL : : : Y -RD[6] : 64 : bidir : TTL : : : Y -RD[4] : 65 : bidir : TTL : : : Y -VCCIO : 66 : power : : 5.0V : : -nRWE : 67 : output : TTL : : : Y -RD[3] : 68 : bidir : TTL : : : Y -RD[2] : 69 : bidir : TTL : : : Y -RD[1] : 70 : bidir : TTL : : : Y -TDO : 71 : output : TTL : : : N -GND : 72 : gnd : : : : -RD[0] : 73 : bidir : TTL : : : Y -nIOSEL : 74 : input : TTL : : : Y -A[0] : 75 : input : TTL : : : Y -A[1] : 76 : input : TTL : : : Y -A[2] : 77 : input : TTL : : : Y -VCCIO : 78 : power : : 5.0V : : -A[3] : 79 : input : TTL : : : Y -A[4] : 80 : input : TTL : : : Y -A[5] : 81 : input : TTL : : : Y -GND : 82 : gnd : : : : -C7M : 83 : input : TTL : : : Y -C7M_2 : 84 : input : TTL : : : Y diff --git a/cpld/output_files/GR8RAM.pof b/cpld/output_files/GR8RAM.pof deleted file mode 100755 index 5c4887de7b12ce6132b289cab3a0ab32540837a5..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8022 zcmcgxU2I%O72Y&Bv~h(BshSsrRtQy8!cFY7B|?#`1O=%T5JLn=^uY>^Mcp(pavh2q z?2+2!nU_kSfLQu~BKlCj5U=Fz`XKWTeX|4+S8gOCu;SS$kQ?Xf-otn1=ib?5)|*X5 zj(qQ&Idi`G&N(x4_wIV)*f(mm+K$>TbDzZhJ7-VK&YgXu{^E=E7oHnAGCfzHn4S6Y z?1|H-r)KNlpPGGRdge@h^uV+GpB=3qIXnIO$@(YeR4o`E2d|FBkw1No(&DYGi|gQZQq;!s&rx1B1m4Xek4wT3!}yBE*z%DrdMZp z(M2C;YZQM+Bn!eDI@sI<8Cu25il(-YETI*z@(h1aSh_fj8?@mgTcm4VRegfQZqV~g zh8k*{l~GZW?b%jH=Z>Qd)fGa5H25C5ksXwKkVW6+c8}~qVUk;p9VTbMsJUzncDtlI z2AR8*l5db8E!w0%IE(~62rT1Gfvl}`!NlYwn9Q5drhHv`$%Dbx<_BYQ`$`z&;l!=t z?<8~}R=Itg1=f^vSAsnee5Tr(lT#&qM zRanX(IlHSQHWG7KM3t095U**wVz|b+7!8}yn!0o9o?B9q%sRAv4Eip%!i*bekcH{n zTioi`ZJ{fizAz?}kZc;L=-4!+YbDNR#$@wAA!K4xHCB7u1{QeTr>cbme@^Zp>#<9` zKia#)x#!>nzDYNmUBO`dp2@(9+DBwLM?8jd_@ODm(t(q(c7X&z=2%wpL#0}Su z9V9Qd!K5HJgQYOfHme$jg5|qGMV!fl<3UAo6EV-=QWZARo&sZr!e;e}a`Pp}32JEn zHCDex%kFhtzedaQJ(qAz;&LYonv=rDE0Ma;s|CALU&{K zlg4&}BfFm3BRgPmf+#vZLnp?WOrK`M|WM{4#QstE}E7!wnkyGtQi|@$t#RZUfIHA?N&l@~;h{{;o`jJAs0RZz}Fw^nk%fHZCOY5V!JtN^b(A zP(^%20-sC*m7pe2d%(mKLfsDc6C_XxN*SLwZe>d*gmH(swJWz=5;D0Jxdfl|oD2hY zsRv~9>jOODf+$>7Nn|w%7P%_%RItReh+F;EAmDIGBT}*TfQ8FlR3#~5B?&^axg>_e zkVz$#?fQH9*!Bi#iEvZ6lIn1x+ixp}Ff5jlhHKG~Prou5&AY~vk6Y~&CfB$_Xr$YgxzpU_1QGmxq4; zyC>g$`@0jnU+U_NtUP8$E>75y=iht!$#*YK?0N0T$cGn}I!|9YfB0v^pI$%whYRm? z>W{C!{Oa(rKRr_nUAn#NS07JyhCj1j|8U3KoxP8*qO)s#-|eAhXYX&M^X>I77DMlJ z_I~B#$^B34mJ!oA`tv=XyMfN7&fY7lFW(;C_0h=ZAHCf9)RonpKl$^S8zYBmmpkXL ztnQhuJut0cRzUc}|ja4e#v**fF5wof80oZz)PRRpzwfJG9DiSTsP08N>(zvCo@kOJS;8 zcuPP=X||;lNZBLe~HjzC1@m_+?LFZUlG^uhDCa z*V;)&EKI15zAi*-Jg*3`fB+N26ULPBEv!JB%p(Z?hty^gqmnmdOBKu;_}-Qfi~zzj zQ_`4XHK0Ib8pOhA@WGit!56&*-dhT{H5g$_6a2F_N$}z@sm*IYs-(@&JqQ4U1ec5z zz$tKmqkaXtE%X!tZr

(_g`p`c{f&Rq>VlbXY+51n#$j z!uf>X=WVf%n)$Uzx{pTE{YoU=|A^4wCnI_PQ6z6@5d$v25XoDJk42aXvY9jT#G3qv57(SJMlZZTfO|%drDtFI+Zj z5%%zrRZbc%Tr%&Y-NLPg?PLdA0o?6tcHlM5S~Y6RosI4QOFNM2q?Yl#RAU*pO)M;g zJ1Xc^ZI=tlzP?ZTB_^Iu}`=3Sd%u1;wY6RhNjgolj`TJsaGKE)@8MsNzvC!!&1X#*OsB3Gc6zo0Ws-dt{OWoaDpDuWXc zRHE%_gC9mZ6PJ#3oJmJIeNIPasLnFoh*zj6BQs28q?E?!G;?{(Wmn!qByn!tht@)DSr zKn{V*1IA;A8iO{1-`!!|*Am=kR@y{S<{MXEFl>tQi-%r9h zvJ5+)yyksExVQi=ilur+T=ccQGi8;BD(KXzRu+uqOzno^LHRvLgzFywOdaIi< zq$XFY?iqn#)5LScF4(Mk1MBRMWjHQ^#SIqi`mwF-Z{f$Zy7Lf?oHVS`I6jfKmi(HR zCe*jNPez$7kGv8`EigNKT)|)o@+9)i%tx-RsTE|KlVby+h-U&x&jzKc%; zzC!}~`2I`6_dHF4(;i-$Ah{>^o}LURjh2gl8l0ynN3F-}T>7`t480TrXH?cpm=MtK zk)0FUs|o##Y_G@COKr*!QPxLco>5@u?_R#=Yt=B@raJvRNVBuz>|~#hI$Fm=%&bvz za;{HFJ}bP_#xcS>+pBp>eUnRKqGh%?0}n2(+oEU*!=l!Gr~5Ci6MmZJ=9=p}vW^pW zCY|F(dB|v~Y+hIqzUE;3@~-PR<*V(YX8jk~Sl1nOer;Ac-B8Eh>}Mx)X4v2%h$A0d zdvBP$lq-XJL4U{^maD@1W$=D!Fz=s;>tmGT>AF0^yS$8sc(*j#bUJ6qji&v_G(Qdc zPt*sZr*&yYz&bw0(3vr#KI{IUQ90xKYAf(W#*|EhDV^u1F{Ct%wa)VXMufHA{qt0K z@I<+tqm}0N!3%13zt3tJ-^cF1FYMNx;0Fc;BiAF24UXa<8IillkYKR5kp|SMs2k9I zKkQk5f5kWE4|3k1;+!~X8cB6qWp7-cQV)j)pBtSohu^-hALaL<@LLZzJ{Xb95Bi2| zP46Q+C^SsZ+>qY)#Q5`kafO|LH8Tu-8U0Q#_ff9AEnLyf@9111s)iTij`s}Xl=Eu7 z9kYnfgVs=5>_pAw@W+k4MES!-teIJu(fLDEFRgnxjAyQM|BV>Wo}GQ8wD6R;OR{e= z`4r{U&kLvY(ER9}62ZRo>8#Lp>E6OEV2j7LU9x20Zy-jsT_4g!Fk}YNx+#P2#iC+1YC= z9d2BL^?~fledixQnFqLO>zyU|`8MGC#95Zg;jwGTqr`u7G)RqpQT<+zfLaNWq(#Yn z`B%RFLl{kx4*7fzzs}*dtw6dOZp3F)Dk$2IQgt_lGrAU?Q^64gatQTaWG#|?Za34? zyN#8Hm{q!#FtEQ`-6)#ie5YP-25Ge{mvOjXgm0W3z%5qRZgH{5IrMW&N`|B&3wy-JQBAv3I!6Kf^ zk|7*BNAF3QA-P$TaT*oW0M9s$hpfc>F!HI&d#y7CniBgp%YMm{Q_j%7yb@*E(wHWK zv<<$6MfV>>O~eomu#mA$!_+8ZM4uoPG39o{Dfx+YL5M-tIqz zc0-P;e}CG>(KcAC@DcpjtLvcb5-?u3J`lFg`*j1RkJE(?F(qvLhJ2>2AM!bE&8+ZR z>|cPMlIyyEJWVTmHk&rmx>`R1Z4_P%aJ%~5DdTMTDF&*ziFuFvNJT3Kb}U5BN#=$? z(}?HcI@<(3YSBlT);pcwb?Vre#8He?Q@{8@7Frv^`sLPQ>b-9l7A4C$W<-r;Sv(>@ z-SC?ON>^}1px%!ROL$~etOY7>S)g)ozbDI*CyS3-@llcdZbY6(Fe=Y!%;`qwt5Q_$ z;xB)5^!vyGu5HsD|1_`MSdK?`!K3S=LZu=#aQPkCNy`z*Zm{NhH9z_6@3OFDmqYTh zK;_UH?!?e8wo>t6n13CKhA6f96lNJmoP2v{>4q%t59s?Ec`^2)6`CraNX>)!WPMXS z1~)C0PEYiFxs7^f`GymvEVX3wlILeG%i_TGY<@ZSo!$}DnZOl`Gm30?QjoEsHqsxn zjFq-fc98-upl z+y%CpPKq@=cq4N7A$ItoeBy^fj32XnBb*JLMMn;&|8W5Dm^iq8l*3_UaUku&l@Zwz zZ>-iMF|bL9~+R79xIFTmW3&YJ%0u;Z5MC^(X-lM*(?mURpdagwKYL| z1v_O;%v1%_^=WiU$mFQ`AC)qVjqnt)E=?H0=f*5`wMe$Aat8mH<+-uzNO^8F#IcJ+ z@yHEjmzR7sC$2_dD4v#@S(tLdxKlh5e>9lN_6t9}Q*UKNTzmtxWD($(^`acV`YPU_ z*XfGV1R6;&gM60vf7<^=- z{`B)?+$>8?ImVouD^k=XRv8KgpC$m#1!O$MytT5P{PRQKey@lt%nYSdGt;J;#y^%V zl_{E9CPirJyy@>o0<;M>84W_XZ6}*a4oCkM(xn(c(aJKwi{N{X6||Mv#Tkkh6+-Ap?%2m#oG}jDM7`90eF#> z#d9dNAj%k-A@j*f57vuvRIhIsXl6otOhaKzs%jXdm+cxIv5|&jw=FlRV`y|;!|-45 zmf{$B<2e?a8GE5jn5{BvZnQ=3)p?*Q;zceP3MHB(iD?+zNMnSW&Wro+{nTW`?WrkB z`C>N*=(Jm^{-jVfz3`T_G|fR~E8v3^O>H4rTHbJyNjVK2BqPw_HImJ-pwAx*CPW-C zry6o~QMRO2S3j?IWMW$Fj2EKRPV~%`E$&&QY&X*5%ccUS%Qh~RDqA}Gu58ipNZC$2 zec3E2UAFN#RM|oVSGItPl!DHPkx%A59y<@+ySnic|C})O_Nc@re?}pLV{~s`vnsTwzD99+QRsU4A zIih0Gji5g7y3017(8rLgugBX5&zT!`+dT`+AVuGBkA-YWDE?{$54o5AYe=s&MTU$D zwmW29{XQCTSj%XFRSeU(TcYdnSwHwwu$wqsk6Fg1c#k9tf*)rwrhg~XH$HE6POXnB z&X!~ZfxNv$L6F_qF+w_n&Y>ekNE!Jvmco%SWuV<6fj~G*hS%{U&64>=Z^is#!z+Jt zSb1)K(Mp?N>pJgxTp8lAjk`q5Wtomf!C~&2acd+~UQ6G!U?0t$F4}zJ-nbges|F`E zcCM7oC&N@OpS+rUcdf{|d^v|FT}-i@)+U?NtzGPOLL92N!q5VKU*R=I5{b}I0?GB=xEZ5 z=bN?39`s{q45~K_gIKGMO%$Cv6=jhYyp%Zv;&6}W@%F|aOObhsMj9Heb5=^gG~VZ~ z?jF#=Xpm8fO&qFIgPNXd4C68A;wjHu<|62acm_2Ts;X2Kx+!?W$WANs!QLL>RAGTw zcA!`(2`LO-f8KV_(Tf7Seo7`WXt01Jz@VEkkv-eG!Hi)pEi!DuOZ_g_YJdHtaHe8n z9#^-mlBxM<8WJe8|Gc}0&YzTr^8Td=ykIPMbl41|&!Djdm~=VV&wz_9Me+u#EX^@b z%G-|=hDW?k5^~BGD-fM(L>J@hbCJ&PH<1uw6Jn3qbj20~juk`M@miUQh&AXXv+P(^ zp9;YfMh`BgYD`DWiWOa~=qj~@TGZuc_2N4FisGvT85!CbJ9+Q0S^3|T_w)|{0RR7N Ca`3c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G|p00000000;V00000 z007Sc00000002A)00000004La>{m&1+sGBBvWMCCrIOUEN>#?LNHP~&Bh4uo3Y6d> z2pRz8aW1G9*`yHzXa;E5VJ`X4smi`M-)n#*w0S(vC5Lno?Ctg2m+sfEU%&o0zJK9s zq3!LpzKw36(p8)Y9jlCdxl+0Q99Ey$AKMJnYBB8O;@k}zz^|trN z&#)M)bsjIDYq~eMPu-J~?m@59?UR*gnF|`I9HJR1+s{;)Q&~t-i(9teD`*Z5ml?3)uJ zTazmFs?@ZI6IswAS1ER|x*!msh05hp#o3bD_u_1plye@>T_jfefqA1iX7Fy0}u4ZK_GhG=R=i&@h(rU`0$ltAUSro~dit_R|a;B-RmEi`8 zObf&KOl9-9(nif`t>#I^6OF)N1`W4^^0Jua@yfWDwalet;`^(s@Ct$jXJ7=`x2dFV`D6*yzAwmfE#0Shw&4QG$~^{ypnHE_5DWmRjY- zA<-m}0t9opfQQsNZZ|~Sie?yHl)3S_xzr*~ibD>@R_j*?7>All!>OZ zL|q~y1aF|snQf1S-pJeR|G!~e;D28wupb)x!MD&GKd=+ADiBLyoZemx0C=Z;8C!+# z8|V!_FL+bfu)n_rwl8h4jnopu8?6c(UcM4KF7m8c%3DL{0e}sI8zAE3oGDF6zQ_O> zbP#7G=!qMgneuVS?vBPJeu&>PIK!!kw=@AZ4sIeO6TJuo+j zU_xCA9)r*&*;U!A4t^b-k6O&AXe>7V_(d#NLRDbjM;EHqK_XwugzQYmdi@4)K0Xv_ zoYZ;z%b2^?$M1JKouER<5T2>1)toI+=fY}%lV6}AG|f@-aqZIEVBz~%=lDSnKj>(y zvFaR`6c?^VS`;s;B{o9ihFC4WrQqKLv{mp`ifevDIE_+8fJE`i8c? zg2e!r5>~l0#{}&s_^go|`SzI;gti||tieal_$;!AL(dNlOpE>M9QVU&#wv8>JTB8} z{#kSf2RFxTJ8K$xz#Vu~e_%(!x#a`*4%DmNh<)5!EOr}r;Tw4F#2JCjz&RUR;ncSy z=d?P;l0545`p3PKlm5}8!|tP__d5?yj$!+L@95#1aW`dPGus0%@+P6{u+O0GNZH_q& z)sYfGwH_2Z8rY*z^x09d8_kwmUJ$ z%(>%7yYX$7zk$yg--(a!X`GeNn#b-Me-Ch5L!W!2(<|1#BJW)&n(M!8qI0}KBd$i) zz;^9%7$L5%5aBqPh}jF=hZAx<^5qhDU1V9Tqj9*6`IV8F>77!22ip_Nw?-p-6ybFk zp4)+Qo86e-jmtH8Z;pS&$b9dyJqROj8cwERbn5t+dH%DC4R*Nd{S}!fQ?=T}ao3m& zS1|IPM#0E_8gWGI&Tja6E5$RDLC6v1T7z?EY)4>uU=l-C)<*a!q=@lK%k! z0RR7Z0pej`WZ+<6V2CobOa@X6+&~=U;uIg`8sHxk0uo_h0%BH%3qaB?CQy?RNJ|1S zNKtU8udicJWPFIDlaFh>zmu1%GeiqNPyq+S4In9GxW}ph&C(aBfc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*G|p00000000^X00000 z007Sc00000002G+00000004La>{r=y+r}BEdZlHLq-WYr+xccPlh_kUCaK7g@>GNZ zB{&2@1E3uD1+yZTG-?3L01Lb9OaJH2^gi6*w*X1#avb+157{BO*SFt&?7n#M;>CaQ z{RdwQZD*(TBXoV0F5^V#SY_nPrONd;u=>#c)MlV&+i7F=Z=C)YzJFf%{((8ZKm8p& ze}Bao6n=suRwj~F5f}6>1*=Rykr*2q!Q)XAKaX*w)#CGism^~7)1h35ghoooQ^_z_ z+s>cA#$qg2dAxX{=}!MHb&rp`d%aHgfUHEzTu@)-5Y0&0eyqxz%0iNw-?05&K{If; z$Ve-d6uXqDMLeZ>nN3+o1+_&sBLy*XD%4y*7r7)}s=;{}>w+wIJf@|{bq2r+M|*>0 z*PIa9id3nWrKWkD$b#m%O0k30Ie`GpRW27Q&KA_Z6KB(;obh-jpFtC}%+(?nY066q zyu@i)o6#VB`_Z7iXZ7R#O&5{$ZKRqDWR$lo!93Q%!BH z3^!0@S{TNsDx1ZXHfm05HIFNvXb1)~Xt*7e7sWJ>m&U!UMJ^>1-(Bn7g=t|LNrPIw z+mj7oa9QbFESE_<6&Hyl^oD}i;@oIxm)g%`{RFB7SQ=Nd?m`BkX|*;!{1Jj1!3qV0 z%Mu<^IYqmv+RAj5&I?LKR?fv#mpOEKv0BT)Mh6zN)UI{Gx`iKz5}f4mA6fTtq4W5n z)G9CbiN=W(AehTJJfzlfyCLF6G{fk;%#F{@q!w{f>~k=-TE9iWaEuHR^_+q-O+~&k z8(03miTMt~r1dw%7JsiZ0SbebiGClWim22YE?AhID}Nm2uSbXxF&RQ{$c$9BcOvJa zOf;P)>H--dcnM|BY&$IUTHa>=)rN6_FTYA)KQ#7(AEGyYU?*Z(AeO>7y}1|w@K*aW zwhG^y=nXzEcv;x6zrO;uZ?CZp)dIt7tqL1nz7#qx@~l|M8$;(FfDMCdAmZelDNRVe z$N(915N9Olp&Oi<^0CkEj>aT@j^3OO%B4x?fL9y&f0h=DS_o`x(;5R7W>s1?uXTkRp`oD zT&C6h>*)6Ou8-Mv)+F?RJMboc-;RPa%Lnc)s8_oY`?xosZ#Qnk*Z179GX$G~b2_rZ ziEl^FNp*}RIqdZgj(W$(2lo&6yNCBb?0j&1e8|S?eDHGIO&QqCcHfJ9Owri?EnJzlD@{S0 zV@^YLq(o4y2gMHi_HY<|JQ)mZzmA?uc4Dt!k~_?*$L`1qd2SqZIq?6&bw0k<*qnKwMSWbI4x-iD&N{(cjk7<)h3SH z#$339q4y{XhW4X~BVv1Y&DSd_o|z0njwsjapE)Bt0?U0n2sY8mOil`!@7dW@&E%{i z^lFaFX~3v4a)Hu1ML^i+_Hc{hi)X~&Y*1DyB|7n)b9?l;*804d;B4=zS&5g}wv@8$ z*Drj0xv`$%Rlksg2j>*`06eG&N~)E^VvnVGO6M^OKVAy1Ox5~b^bR&drLo!{Ps}xv z?x2_mI4+)jHL|+^Lc5VEzKXhH`UF=nI#Y$tHkF?XG47LF{<&IV;nH=3U1!5J>D5yH z4*&rF|9Ao7VPIt7U|?W~GPFzvQViTc9OU8@ALJU~9~1%-VPFDcR)z~e(k>=YlMzTu z0x?KYaHy}ZV^Cy#h@+E_YrMacm#Z^G3qMc+2g3~@DP*|Dj2TFaK()B~I=Q;IxVk`9 zGjcKr0A*r#JbYCKq+td_3=9ql^7M0$clB}gb@hW7+zC{~#lQn3YfhGw11Sa}AO^Vw zq6Mm!k&WRDP~5DoHHHO9i$c}-xrU>-m602)ER4<47pQ_k5ULE>JB%z02Y|wSj*gQ- zK8Gpsadmg}f%$sLJX<`c4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D?Q0RR91002EW00000 z0071U000000087U00000004La?0s#Fq|0^ItV!aqf#ljZPGSi0n``^}-gswsy61DR zxW>IbJ-cnsm#1g;-t{VJ_006lcJ55~xIgaRwXADP{0Ncw5rjYyKM)c8;s-(q2?>Kl z5Qw1s;zI%vM1BAgAHf6@>?F2xd7i2|Rp;%ux~He-V|TCn)y{Uk^;Xq6b?Tf`r>ag> zz4zXG@BI<}zK6dlJlC#GeHcH**6~T>sMc+?n#orEq}A^J0AjyTdb7kt`nmQe@%CNh z{to{BuNfW3c+EW5zWD)G z^5jXoarmg4yi$BM$=$x4o6gVVW|P8Ex8ANL#a0^?H#E5Zpw(+9y-q!89Yj8Vs*~*3 zJB`C;(rvYlIyaJ|)?s5WIp{U_NWo5Wz1G}MTHQzWcG77bbRXB+^@LF_IfIRECn>CL zZYC$ScDIRywv6k(kQ*jPt@$Kr^|~j$ZgS8#s&|rucIy~l5POgSKyuJ(*AH7H;`LV= z&Ap@EKEwOIq}@7f*NzR1Tfn7p+;fd=3VSucxL)gYT6>LJx4xh3xAuC+^=8+y z1M)SRfO2eKS(W-bC+&KtbMz$X^mc!-zSm8zcUuM<5c0TV5Z`Mx_ZyZP4pT?wO^Z{q z3Iv;=@byY>x3kx7oEYXS9JcFq0>2@2UqjQPY3j*}BX7v55(7(1@7l@9QDd*RdsI*G zYarA@nVv#7lIxEf-A5oTk{vf}U2mWcwQll68ovQ@qhOM`(?WqKJ#dI+itC!Rw&^U* zJIQga**mE1b$e~la`%bUgN=?-Ow@Hp3(^*RQ0oDccH_&WeWTNDH+Fm7R=aaENj8t_ zH2`ea55OUg#@AI9ebo%22feo8x&3;#);Q|iyl&(`WMt*2^*E{Yj*n~YCm8`j#2?4s zHHgX7w;-zgyQ&v}{Sku@g!xAi*oI~x6W^`%4(lEBrSHFMUqb|x3Kfe{V}0KzuiqaO zAZxQfvaDV2l5L_4!;WPfcD7_Bm_)#=x0=(-^@CdPsGHn9YVD%msSJV4-u2oK;y;lp z{X6+!6wR|w60{#4^1+AjYuK%HR6FTFW7C*1U;F-}x${wC_=g4K)z+bnP5*!G!>|><)Pqgc>r{_xC&~15yI$L`cASV-jp6e;%-ree$L&V9 zp80ZckXS1-ncj1u>r&uBqdTZTWE-c%TIcwJON3#Zt$-mCTl~inGS+qn#?8w~Re~{{N~!zKeO8!-<`pV(}w{U*VYDDc0mj8i(qXd0+_C=%2Yzhm>fjMumjV7P{{?ur>6 zZ$a4)D)A>#!c*nb_H;{g3|3EA)#XpJP%^Ie&>aZ~Un2R$k7NMlvgzeKD zFagMk4Y6PTht(*522s%_6kA|W6=^nG8}TMg%$r|2?i?!X;*~+gRM zSZa|XT;SMjG^aN(Sxqa4RftgI2BN?C+AS{MbZ%MobZhVAX#bX7Kd>WT_u=MAqsb8h z9zu8lzrNq9U_u|O7z3bOBQ~N)^{))&bR5Hs|81*qKZ2}IZ!OyeiVZXC-x&bQsJv8j zUfv5(!_&ursg58*a@7%FYCv}cQ=lrR-_bu*+h#${l;8KC8Ek>OKg$KR|9ncXbeh3@ z8->4br6F6f=SGkxsP`%8Nz0`>tbTexj;Rx%K~@lqn7mw+EH|+v$Q8yu+Kd835N7Wg^sH|<`|EYh8KdhA3Uqcy5tG#bm79TbC>saZ;tYFtHo?`Zl z>z+GhyKs$QxBgBSE0J^#Ff(d5k`uc+iMdsi_NB@r%*aLXMOl8LF|qCiFC{Iz;EQiB zc_W-_q#>m!ykz<_$r@LHCE_J6g}$_LaPZPiR*27w_?rmYxdqM8(y(3u!AMh{&U&?d z%(nM-Un}hI=il#K$Jz7=*PWZ|G9(09u~I+{$T7Mz8NGp;nph!=MJzK~v?ESsKR3xx zB|M}GEz5F~PC*zK46>fNYH*Dy_2_6=c#>FCXF`n$H8cfuc)}acAT^-r@K8N+_7RgM zr|3sxGI9z@*JaeOaf2N-u}(M1h^Y=u5FMTnXF{CkMINbaf;D!hvEOJ9v&QH*c8yl4 z=J#>JlR~okV?vV&O-7NW37=&7gj&q%F>0s6(Gh0w_THlFLf_c(0Y2M#LwvwSg|=NM z>Ll2A0#oaT>{G$yd?M!?JNz7Nw;)}5o{2Uzr_%&fPL5rJaxkhb*8&MeW!JjNAKOlJ2V zqtEUaJ}`$Zm59+huZZnn?H0D^g6OrQK})4(a*qCx!|dp5Oz_QN6SR{A_S}H)4>|-! z`_l-zt>7;w8<59#vQoq8Cc~NTbiR);W_|L|~>eg!$R z?hu6bf7qi?DNbG{G??(gL>4CcV4@Qz{lW;{Vd$56^cjw=z)4~BbKb(X9G-G?%0Pr0 z3%QNvchw)?|GV8SGCBNga`+jX_$P;-;q<+}!_Umg8yp8xM)cr+z&Mmv%{3lSGH4Ju z^ds`&AvijPFW2{R2ptFL#!a`A0R8~56mT=N90Zo}&q4y=>724T-m$|RQDURGWSf}> z5?Lym9ce_rAO{{&)+o@zouu>*_O@U+58@(#6JMH`%o#vl`U1uQ`5_IVUgZlha(}E9 zcXO|zR%^Arc5APGG;o%}93>Vd;8kMpiFwID`>4dqS03S%AG15D^v((T(0cp1ZS+6I zMmM%V5iOo#zoAkV!E$gP+{&&6%6I(&pM?F27V+EiTPX=jQDP zBIpjmUxOd)ks~38p(_mxacnBCPe$3q9NvF>F1eMU0x@uFB>^|4WLvgZ1uc8UsotO~Xmy~kT za7lUJ<~L_f3Z~M z&$+N>X&`WEy|h)>E+M$-NlU}s*Jx>~)nv$9g!a`K*PCvpV~#}6J|m=5zaN)%izvdGWvtQWV-8|&5W zl0Bru=38=FLgyP4HszJq2bRZ)1L{#cgNo4c^qBDteQ#rRIn~;!`s!?h!k^Z~l{bn? zu2u`h(pqVKy9&8V0im35F9L2*zzBH*4mO8$dTBcyNpV}}y%bJK4g&omiz#dsR#!`_ z)yjkQ?R%w4Io570Kv-^2VVr{6+}ikDskmL;*xBCP*{&{^xA3;H^#Gsnkl`@3j~x;A z#4Qs!63OYWQd!-2qgq)ly-}q^guH^+NQ5VnxJ3mLwN@zJE3cQTK)G0|RJ^njNxCCV zmzsO%cJ?ix(HNI%z>u-Jh9V1hArPherPWZx*)`(N_#&-JsV;Ao@0Zq3ZLOF#)GbGC zk8ZVxyE}rmXWg@hxARQs0$j1o#uv`R;XaghCjpThaairmdhM;`eglV#!(v;jAGhQt zjgRA_?Q4shI|+}3PhNq=Q3K=Rq7YY@>z656{=^$~1UI7bP&3WUyoP@{C#`Jq+)ejF zrk|ZzO4jZmfF~rMxou;Z!n$MGca>t%#$*c2FD=FjbjpVvxIe!-Q|8>DG8gA#WzO2< zwE0M-=q*4Q7!Hvv-t)I(FkEsP1g1^;%gZm=7|kg<94MA|HcXU{Xp~{j_7M&nPwurk z-KIA$X*=OW{lIcGFc6WlX({cv3&-+C(tUDLM+BbX>_PMA%e7{G`e12quD-XhRCBc@ zh&LL|{nq17^5!NBGN(vQp})5;6z;~tlB;_^mt0i25oWolqDSDFivl(H!1Xf?b8;B( zysw8jgU2P5r`t|9kTv@UBK*GKIFn;do@;3Y22QcvM^wA)o6y-~=(t(yIt!caON~so z*Y$drKCp4<`0Uv2Kci7q45E{SLGT;J6v}*Q_Pp}x;Ib)0c zxv}Vzg%xlS>g?fGL9Eza6?HP;Vi=io5w8xTK5zjeD#iVSgL=JoHe_BOtF`(e1w3f? zLVw7KkyTtjhwa(#oDHeZjfK=uumMBLe(liQw3VBkIU8by@eqqZ3-}0ki!ff1#?F8X z7|}e%=RKT}c{cKVW<2urg9?~X3mvsuZ&iWL*-#lz3uR%YaoK%xf(MI_!yI-80IcAkwy<4gQNumMBO&u5aZ^YOC>6)>T!*Q$G+=57zV zx_vepjn~gd!41a9BRz_7c7BXJxPVbg=Kc3k&^f2fdst~)Jn#GOmxoIhR=|ZL2|2SA zK|Gw1FrISe&0bt=e1MGCmyOf|Cvu-1IN^?8J@v^%b4xY@!O*+WFAtizMj2ai)+)G7 z;o@H7HsuC0EJkLTIPI`jdj~fJA14RcEQBdc+^4qhCM7sbYA%=VS7e@#sP!dB3?7y_ z?*?`pwOIUJiA*px#hHj)Zr;sCu_T#z%OqR8IpfNr&!Ji~O71lWHpFBa++VpvF>Nl4I-TkW^-gNGGJ zlH@CD^c9Zh{)$O5^#T02K{JChHkM;bhe15IO_l~{mP6b%8fTic$S=OnCoMSj^*&ph zWn)JcJ4dJ~8FRxS5f6%*#Xy0Y?k`G(QL~SSj+$KDZ3KwrB1JH@I0ekr>YzSBf~IsF>TZ zkLr(;E#PfRF}f>?lM<%V0SMz}ov1K|uPuJh6ioCmX_Rzu^)_#)BpoowYBeobc29nh zH}&#)d?_`J$14WXSWSR;f}e^vyGRC3q9=~>8EBgDI?Opw2a9=dfw>&^Z!QEl4OLFJ z`DR>ahRe)&pq_47NGtyhs8PYT8-5aEij2c%6u=2-x390kx#UO|srEv{}gV>y2= zp3^QpCUwg-ri6`BEI)?<4svwcTVg8jsSPx~UbN6CxWO+7XEv}NVV#HYwPg_Aty<+c#`+1VOtjb1&O*ep_ynwi zg{1QR37^srUj7m=Hag+zD= zF}B3~{iAC?0SXkc69TNUT;J^-9XnW*Md#ED7t}VXY1$CmgZg9W zOruj#-vKP&Jqw?&B6BxVLXv2%1EVl0Ntg<&7@9i!4&yEh6LD&)Mig9=%)%e zg@&fXmHfo~ESX;da3`SxyWzS`vjouY?R6de6w;{|np$OamsX)4!S2J&J}~=C3AJXZ z7N*%wTxpcPc{n=a2T%HqwqUHhCJv(*Rwj|k&$}X1FR$QBVV_HYozC?3qjsx@vn=es z8Fcaf4y3KZ+9|{)ubbov(&1jm!b}m~RZzN~SOu{;-m5r6{QDIV6 zR}Fs`C~4d`c!>Utb|O59?=()A6%xwXw^m%X>wAxyjW3D6Dd%=7C*Dr&du8*$iw)Of zy}7YvIY~_f>4wx{Qp)tEshwib*J13Slj|@m?7My0EteD@(GhpG-aM2QbsEug_`{=u zv<(g5OcAGunW-oJD%mMaFSiLU%)V#@b(muZnM~qAHOz$0$WP@EJ8O^TMDdV`{R=C= z@s%OdDlKl9s+?zT@RTh#5b_quo!Sv@369z%8Y#N|I8H-J%??Iv^=j+jzzn-OPntO9 zr_<<|71mebSASVMu&Dc8pNt6zgbb76J zg7M%XiuRJ0VjLvHlVXk3%ME-L`&76COx8A*asm6SI~_j-3X=Yo1>A(+%K%|lx(R~b35xhmC~|(ADF3fmP{`=NZbKY-Pv5;c*9kQY+)jc8|&+EG%w#T zZ$B6SnKZ$iQ5joGRtjt7RhKS1<&eRdlu>+V%V$m33hO(CRr{XF^O)Jlh#j-VzqcCz!umGi@i6m)QpJR)GW0Y}2ZT4vo26B3aIndSNiyk(X*auZ zsj^v;$}rFT04Nka+5c5qt`^8zt~$d&k(z$%3^%om2#zR{xr&wa<|4v!bKV(3JUzJ` zU)PvQpq_6$aLvoM-jEI|Q$-`j+YeS*n~=*5X}1%Oidkk zcn0#m$!K&!ety=z5Y@Eb0}a~v=2l81*4~rpY1pD1AHuloB#6XAm7WJSd2EQqh%z9< zQK`!mj&m|s-Heo-Qk&opj|vi+JC~KlI*uexn8K#X+aQgxfj%3oWNyY&PZ5um^yBqD zPY;5%nPyN;RY_8uC(w}0G`PFH_HHXI)eLD_7Ab@Fl-~w>8u0+($dhyRb)1IFSwQPp zDGnz1h)1WVQaPW;$ihw8G)YB~HoY+uk$jvW?Y=2;&z)NHEgM561Z%*)7_zjEcd-SV zb1hhmelbDdH@Y!^*8A;nhKs5@NKP2RAHA%Yj&i?#kHU(mYmh9MXEnM z=hVCEvRIDad&uNpQ_sTPkCIWa^u+mop8r#*BiN~+B1D(^L74Zf1r4XEje!=k;Ycaq=$ddSc zRp!7s-Wi=GFAm@{rM|P`#f)d2bfbkT)a-q;x$uFnG<@qx5~+8n=S-!a zlr7;S_;J`dS4_LKYrp<@=IdQJz?|5R9FJ|K$Aa^U^14A(H!sXSkO8zrFwv0FAZ8&> zRxC1X_UTNJVhR*fV@6pK&`iqQPYXv!Ms4-a4-jUhTCbFh4n;jS5RrbysHn#fa|3L= zr`*tbtTC@}(emNAu=J-kD}7(PX$F~rOhdHH7`Cb6pcw)UcYC1ZxT)p zz3L~TvM!=T9B5OV*N(7OZ^2o;L~CIH5ucW{SPY8_!#UOD&rCCKZInVY&ndap7^>)K zGn4-EL5DA*bIPN&)CqT90O9pk4aI0VwY)W} zBw916)0S%Fz@X9!!Wg>!b$B4djLya!-YjpF-j!uAH3+g5(SwRvC05T}MfA{PR&`X* zT}AW&W!6p3kSm_Mis)fXe!xh})$iGs5k1(+53qrsi;CzWQC{^>nzf#bis*q;et_0e z6;Z)wM*W%@lXOS2=hz?o1X2 zsIKRvD!Mya7@)kKld9+rWnqBSKPOeuUCP1$v42jgqC1s^0fyIeQWf2;$cah)%GeqE z&#VTc%c(f$A&7^oMVqz##5%2-D03ux+O?2tP4qqF;PIB)_i2U+jrL8OFFy2Q=WxGu z)WzOAn~cLey5;d87`iUhK~^i!JLJMB70-i z!D&zhOY^z~AQCYa03|9BZB1a}6);xuN~uIf;AEA%vJ-+Yhs}Nbk0+2n79u&6!R3Hx z$~Xq{UL0c~?~DosO@pw+m$~;d17J~dW*#9#EEo$xrq0KMpoB}H=}NFrwX!-M3?*GM zhY^7xrssGtlz7E_c3CK;c>}+A_8?7Oh?Rb<@aweC9MOs5l&hcO(@&Qr0S_#PK9gJ66f=Mco>PR@xhDJX$IF)(|zVmLKZ= zdbfcyA8frwB$X1k*sKciKCdFw(@wEFZrJ3@DZA$E)lkx=!KEpsh5PIvlyJvp1Z^#} zjyf}lSv7+an>{tV;II#N>%Qy~4I(f+MBbcLeYb`rG&*PLO0G2|DV^*%j%{WzSMn^b zds^Bo>2()$cG9cvGd2TnLFk_J>>!jh$5tyW2yND!9fXqR*vgItA$z4L+h+%%`p(!Y z7(u}1aK7!Mt374b%qn4yZFRW_ouoZ7G(2Hf!ca~eCOdv|EH9!Hv z25D}I55Sl(lyJv92+kwib4s}96db{y7@uokO--S_{WU-VLL!Cz0i$!RGCH*1f(EBS zG`*+8nC+nCTf-9=L>!*2GQ=Abh?4M_Z`HXPTZ#6Zf+H0m#@aP^%o?b!I_B8x$Rr)c zctEtTYs{(C0g*0aOdv|QH9(=410sgam_U@&#T+{w5a~9?1fs+|<`7OG=QT97hqP?R z*LH%dh;&Y4Rz!8<8em_Oh#@#85GCj_cVJf&G5yB`q6BbW0qm=ZOgN4SL`i(iCD+wN z=Do%Qq6B_k0hF4YM`dZBzIg>l%C`Nhcma-wzg~FI@C1e-(m6+Z3rfm0Jb^(PZOZ{i z1bF7@D7~nqp$P<63sF{lJ+t|#SDQ4vJ{ZEYOu68_HDXEJsXFC|u(~bp0J1@jm{~ZkJI>v*c zI&%$AXk{dD*-bg0p*hshX;BIJq5`Kuj5`X~t|}Uil_(>pr|CDpOxAkJgn=mP+j z6dXaHW&0BY#*ziS$zMYg2rN{c3vgx_asLD|PuS4pBdB-No-)KXbxszWLHWY4$I-`T zeZ;?$iR^*baC_NDG@cLP&mE(-+Q79b0rIb#@BEJ?ZkE@px?hmlK{As)3C>=W!}PY( z(i;ava`f0X%>PUIdg}Bt%Gce(rIfGCzVny=cX;BTc}Sm1>CH_sySaGi%#QKN|EvG+ zz4t=#Y+kMEWEzdUWt!I}rTC{#QISi&hBvGzR3h#)c3;8Fe;UJ3CEACXSBp9EQDCu7 zl*gyXzj;sSK>=JX>g7vW9vZ*&U+ybiFK5Xd`1qxxaMzX=cfprbmD%qLEM0ZFGXFh( z>3su{7M$u`aw9l!YRdeW0ADMre=k_k{2Z_aVQiF?d&g1fEn zNWw%U?c>R#)?8(6aeVyG+}n)Xo&13(lvE_9Z^*GRokBG2Gla~;GKYRG(|W_RWAuf~`;{Kga_lG|8j{h7={w4p_e~e=A z3*oa5{hH4k%DcQ!sQF*_om_xc^F33D3!#wrqT^bg8lmK0LC{8rxRB0gn2MzfIYQ`f z`TB;uf7!zbasMh3_w5K>{-VzkV00pRZw4m!IbysP)QwLz^*J9IV1!UecNK9T{f@Lp zfcvFLeRGle9(^yHx90N(>|=EKMx@>Tx6d1J`89~BeGBD%%g2RuH0%4H--(p>Vt*pM zb697e00UKXaOK<7)UQY4+@wWFgiz3}A^Mt+3@}0{q3SB z2rmC20{5i|+;2tl#@Zv6E?6W1=kG+|#$^9RuZu(8--_snmm}%E9!a+nN%wan=|b-p z;Qqr%-la(1Z$xMn(;NRjQr^b`I3J49dDJ+sUVLd&Q^C3kk^eNJC|^foh7>mR^+>wq zNV>llNf)E(Rm8>W8>3$=-BrZJWQGHc>{;s+%yN@Q1Kw}f4{VEYoDfd?w_mY!t7E@@ z7(aYVu$fD?c)5X7?2{XD()Krp+S@`>laVx1rfocfZhnM8H(u%sx&&mzFg7rQi*U9* zKZa|i_))DOj7|!UIEuY?+ix%<;(m&nU6c%k2*QvGzj*jbrp^XcmN?EN$F2io0cvX6 zloIyRN#hI5z9%V9Tg#b7(xf?vM73eC=frkhqxq5c^2 z*t0I}tfu2y#`A`Y1zi0wI~y*z;AH$}xsdGY%bjVu7sPQ!c5LH<1#TDI~Zbx8COU3A}N$jXPj@`CunWUMb)XJYFaGIx^o}oPQPR@vzq6 z{tpzur1n0D*OMieh_szarjuFbm{N8TKg{8SbGI>H&3|NpC47)wPT>oAJeTJuHxt+Q zX@Q^I{3x5(KFpOT3bG|3=w0)PNQifQUoN5{^IYj%xQ7&<92&uxXMwXsL#Ad!fv@0G zpq9TaGfm!H?u_JR`A+wQZkCabwGna;Bb|zqD0?WaYngPUljn3~hUzTS&2FVrx<=)9 z`!M9j1%Z%^bj{_G;fLx(Hqiybnpjt-zF1eOP^?Ltsg;S%RLjI>>Sbcp60*gPbPg@p z!QI()bEUSwy(J|nxtsZs1SRhTg~U*^ZWbgXU9B-FbruODU8O@;&d5xi8JVdvBQrH- zP639znMglnmDi0Zt?P3ht z2!3}bXWvM0xmal(MVW7Og<#ke`MD3T+1pxTFxw+dG?z{7|g3}W70J(SP=jLq) zZpjigpL0<-gV)C`NgNR}wa6{{kQDbYC#5{hOI~09cNbe|FLl6ihs+NI$OL~5MnDZ^?ncqvs!%O7_!|U8=cTNT1SilRB zWs5#$;FP*yh6OOgyfDM45^YdrE9ac17+E}{EoF78>q(A*nQEvDlMW+g8Qm=IaEqcZ zwQxlArB%6Cq&Tx0C#6lu!&f3+!*r_a)gyxEzI->`o>uUD&7PvTQTT0-eXjmi#7+9K zsJLb(UXq9;}WumR} z8`3IyD8bnaHF_>bE{)2avpn!H*gV5p)M?fK7HQEd@8HBgX6Hw4+gisrGXgsvFN}ZE8D5H==qw-HaC^D49OdD`#cR0xq7L z(o1TAiS&|JF{l?p{?7 z(ym%qo>IH|+K$zn)+S2#j$;|BXKGG2Dg75={rdT~sD<=5P<12ObC7I5Svm5UL^`8)FM0;gG$VC@K7~alb*wey z=5+o-y74UGGTPGXQm=spbvtv)DO$GpxhM`y@cgt0tR`thU@t{&8s7El(lC*UlIhaR zQJ|)CmATw?iI z_q_Tt%UJ{TX}W#x03se|$~g78j2P8Eqne<$+Y9TArsSy~#?Kxu9(q@Hbr^#<1y@el z`_(kuqm$$6UaLpPjn}s72i11>s5x>ByVGCsGv zSY0bx05SFF zrL3To#R6g_?$nxZ`Pme^THwK9k=%S1Y|J8+i6I0N2-c8Kr0gPoHOYLBZzR-2(K0gu zVquW<0gt~g-RJklvz#=RWjFB}JR@`1#wQMem;dn9VBC;!ohd#6~%#8g)o*yQdM0t!@KSSJz9i4n-cu^(+~z%+*|VEEPi^AI%pYmr!;$BY z`kbKc^qwu)Sc7S5I!9;D72(`Rb{KvrCywN%+TNYqksz3{0iNK`hGJQRfXXVI14?R+ z2-G*1Ue>T+EKoTbE>cssMnt0qH6<@7pqV8OS*6%lvJS7)|=Vbw779aL<;aT33*YmLdbnyO#{n=@FpfOP|&@B)Lt=%miFX-cYaBnn3d#8xn9YSX3m7p$xK05neuo9?dl~p zV3z8&QdE}{&dw;MZh)Ajxtxpt8Bg;O*v3@CcKF`Rv90ncX%!{A(m;y1>BYE`&1+Sk zoLcx_5Se0ZQr~wZzAFxGEnu|W=SlmFbt9HhNf2B0KZ_sJWo!3QyA_Z^IFs?^dzk7@ zY$2v`Q?!&5EPoCO%nVrCa->mWY&A1gX!z4N3^#P*qLP$Nnkrk40)7PvVV#jBg4Scn zM)p+6qP1PgBF7P|T}R}xPOEX2Z6r~ZEmo{6Ta;*|Y-hlH00Zt6cac*v+!bV0kvr&= z_XqE>0S)hI7Y@5OV#umliWlUxbk1GbISb27o>U+oKH;(5%0qOw_C4D|a>)J1We~+H214A2#Ykw58(W zlsTiQyjqkS2!6kxmQ)p4T80ko{YF(U7`(#-TzOk6E2%ingal^H%!94~PABq!fQ=r}V7 z1+vsdqTt}fP;gC|p#pjM0#OkEiWmw`4mqCj9BPIjZs-WcMa!2~@g0v<8DbXb@?5(* zrD(-k#NRM=xPez^6Q*`{VTKY^ITuI?eOq&q=1Aw0WHC=EjlQYjK5=wfg9A7%j`kvJ z?^PlbsHT(lgEpxfmn{r^r{2Ji3^~MhFu&LUYI;44kIgT7Kl2OEb^hkzS7LtQ-ppSf zHe!BVI+?W`c-oGkJ~Wb2YaV6TRy4$!jd1)8RH>s=w`zW_A}sd7E}A@J*Lh>kcR${+P;+J8_=_#)q~<>?cg3nSNb*?r1yXfJ z`~3AaY)bS}Jt6l8E7b4VuqX|uyRIYFxQNYpe>NYr;G<04(*?*eW00960 zcmY(BJ4*vW5Xa|YjCmQ8!}$6Df?#1V1cD&!(wH9ge`#v{*w>9W6{K^I#J zzF&!~0R&=qVtJD%|VV9fLi|gzwim&2lWN2gTc4=c}AW&grYalToQ%WFLWnv&jWNCD1Z*D4B0RR91005jg00000 z0071U00000002Zf00000004La?0s2`q}i2Lm6-)<7_i-Ad(15S)84wrl~ozJXJHSH zij1m?cI46#nN{6Wn3%}S$gFTjMxM~Z3HAsLMkPr_r<_!Vj5#D&=5g`rYi3cPQ z5(u$aERx3zvoW6L`|iEx-t#Z9X4ck@UzHX2zyH1WEccvq&)q-x;DZnT2!B7o-xWMp zuU`2$ehQtl^Jc5wZ+6;IrE%Wr_J0JiZx-JwGLe3+{v_W15V`*!{{A5G_xpJNJ@@y! z_~joV{q_eR{3?Ea70^D7?EB5L#+!piuOA`QY@bH?cD?oFn~kmkTHViUfDB*de?Nx5 zyOoVfe*2Fh)a%#r|5s4rpTuvuaawOhJDq;>sDanibM^ZlA(7`#y3Nx^{phvA>rrlV zGqq_I78`jRw6&)H%uc{Doe0+~_q=+fl#MY4xs0tJ0klgMM_iP6eH&Gas%b=b9D74fl^9rBe%H^>Tg{{TVXG10*FdO;GChZ`N7o)V`;Wj{ zBs*(bTCanKdOvz1mEQ!rQ83xu>!85%0VKo<#Wl@ZTXmM_z38mo9-P#V`hzZbdH6)= zV5OrJ6Lrn;g1m(s)Ca(%+x#YZ-|Y3f&BH;z)9u}eqPq8ZJuA~Hv|F~dW?ws1#`2SZw z4uA9;1Nd!&UhS-Y9xW_%8};K_&zXUmajw1$S9)RLaktrTq`sV-MAnmy7LHu#niP1_ z><=pt*=8xR-aEVG65)KO2{24zjsFxv#-s1Sf%<$@ebRAAY7O?M`VwRT`79G?v8NQYyp$)t2JhjBU8F^&w8iO@gA& zB#9#WzU@vwf^Q24s}03$wxfFV=63b2@y>5hi1CmKKaF48EDg?$w~W+MkV%yIZw6>& z8y-TV@0RcN8fWl(Eobu8ZSz(Am(h!YXplW9;b&O_yJ3k+8>O>9jy$upsuSix>2tqO z)!#fg5eNRa)p2TvIA%jF4^@J8;(ysZpT}#q5}-?n{`4Kw1+T!^4=eFgDB-y_V{_my zyGscP!b7Y6Mf_%pW2Mov0(rpJOHA}InS72Nd!b}`JSF{RtNBek#)LtWV!Pk$KN&_5 z2LLZo(21qx_~-DuSI3Z910II&*KribA{3R{@O|1t1{vA>YyU;U|J_=bpTpJ-0V z7`b$zt-p8!?&gheob^tXr}5gbVykJ-V+shgpD>SZ+@^nOdK6yYjh19|E!b_iO4}0~u!s$rroO&35tduz2q#qbn(c*M435*|Aqg4W zxQ^&wzIBt+MZKHWJl#AxZyn#X^A)xi>^|H$Z?@T6z(WWh#jkI}s+d5)tjQ24SBZ@n zQvEC4IvvL_#dsCCT*o+&@^pXqo(y1&8+y#F+&RT}3!Z=&!Itu~|ydubGTj(WmC&ucE; zU?uqhJ*G{71-XM@hWpD&&{7*ykety>IKsE0*7;fOs55B8W4={soYcDgR&9|ms@r?` z|H^OT4>R+PTPP#ybdT*!=A-6u12d}_A?%yUT8yM|p7o$)C(04*H{R=G=97yN%;?(n z=-keRVw}~aeYN@sqjMSfqAWk?7}@0vuSOj^!HjROdMBK7vLU4yylCN4(uiIj!HlXLFM9Q3jJyvHZ{?4VKlD<^k@dOMU0CW; zEWBJXT=}pYzquHGkP@NGh`3xaT%L;|`{|caF+W^oT&@_d&c$#phO=lP#1K>Y?3Qrg z+>PV-QKQ(*2SqGnxP-$@6V5fiG|4~`9&&}lJ*75R24k>p*pL>d^{+Ce9#4b1@^p3BAy$PHLJJT#A-62uI~82f0(aX7D|X_+)^_QFh>Sf`t3gk!E5qQi6M z%$f7D=p&6y@WyU8kDJ|5-WV5lU!_;7<$ad$ypXj0nDb=LlSy=GE+=U@p%t@sjMgdN zYGGRK=pC*tO!O_^#%DX3hY#4T*tLr{dJ#6C!qvJin^iGmct~sbx>+=TSm!PlY>06C zQak6^aBKGXp%84d?M7#veSItWPWn{D6rUUkNaM%t`58^R5z36chy{*o>SX^mW zL^g2V%dtIQ0w=)iVb2`-25#3!M(sQIAa+PO(4ePdz{D^#b2#%Xtwi?GcJC6THmhhjS>V#Fp{L~X_j$Ydcj-8abmf*g3rSz|!+x1-{F*dmG! zIGBq74pD1k_+(`067Zsb{wT7T-HZ>)PlJ5eG(lY>Z}!Y@|?2fcZ0cx2<;pH4ziN z;fGE882o zrMeg8qUsybgT`T0w3n0EjC9y5I3SEgMLRhB(MEFy%bsgy-+7e(e&>9l)p)njl5=o| zCujCM^|NN{iOtUQ5x4VituHPvR&5MZ*n`RJJL|c)x4oWQ+NhQb8!HRBRr`Smx~p=w zu~4?4@HpHxD0%mMUkt4O}a z)SGJ|HBq*QsM*|HU*L|(u@T0t@8fLr=olSo9RJ<-XVyUJ zZPz)IUGKePqc{MdE+(>(6V{bk#_VU=Ri%<=_c?8(FxGfri1EeP`chVIdvn za)tcC{%);YD3;5$(oVHl*)Q$x*p&3UaUxO3w%ZQjtm1eexhZZhU&)ut#d59q)?R*R zt5|W;ICY8Chr;gmUa1VatEIa;`Tc`Ru~yo$h>$g_OH0ceOPia^YpXYMt844)ORI=l zTv}dVvx$Zguj45<#lmiFcW=M6UHau>jXyhgLjgj1ih?XY5Nno(8Y}J;EBXB*f_uKM z2zaXUIMG^sYrm2&?APuWE2Z-8-5Rd2Lb2QV!oAW?aaQ({f4<;*Tjk3smHLCN@)T7q zq8x9LbukeZa^MvYO;#Rv5rr6CFFMRE`p&r3APKvW11q+-5ANK_7BOlC3&#&0U6>B@ zKy4IUE3n8n4t5Guf!co2o-JncZ8$Tj^9>7|@=7~H%j38L8xlOjiqP>?QZJYHcFS8a z;habyb#Y(R#g%po%C402h2nN`XTJu!5(7ek=57sKG==evT`WO5<+7lzYb0oHc6fc4 zYb7)|9e#zyh-s+4y|aI>SS`&eyEHa?sIcw)-X5)CrI;v@kf%~J4kGSVcHbyM&2|s= z_YU@JTcrx#b}Mh=6CR2%CTFk<#U4FsA}6Xut`Vx1cOTTM<>G@HO+v^!HIgyiuL0#k zv0C-!ib!$^*g~;=gqHl+0-8(}Xa@}&YuhL?e-|oNyk9JbBBpO+e%=>pZE9_+Qo3K< zsU>P_YB^^62~TB!OVdL8N!rsl+L(3;%iqjqBaWBiBD!ub0+SqbNcLW%{!Vnii5pko z1aCLaI&y{CXYkQ_FNM8>i1*|~ufd6{LltmCn=8zDI;KI*xZ(mu;GwNsT)c&UIj8@m zI(NgpP>q&zOVRdi1n{JjGlg9OXA-Csi_Si!3S8P)&nQrm(Z+Jt1k!W4~7z{tL5fl*WX4-?RU2vyo(?n z((8Yu4bcm^Gs#!-OL0RFk-FRB<7Zh{taAL zYQar{QsDw9CE*2}Xf27;afANKbQtAl#wZCb;3Ku>j(wdDq1kIK1ukGjx8~^V9P`<| zDQoP{&%~cJtbmJf=Lq*XV`}wTaVG^X3nOzv^s~b#0WM%fqj-FB(rDDDL*~mf)m9Qx zz=Mu2?1!A4UBkt{*c1QWbV$816H+6=1`MhD^;2`lX>NIOI>hp`A(jCx;3Lv4%J_^d zb_!g;i2f-)AK|p{>Dcp2v#}=$Dqun@)arEJsR5noP?^mNrD4T!Iec=CT}~$_&jOb; ztT-+Z_uixC$uqIeX;=Xl(RiontSTN|J{^l@*Z84e1BP0jr_!#A$+HI)Frlv3Ye&8I z;Q+R}I~|W^Yv(h;4adkMJ&AL6ag02;fKg26{r5@GxuDK_SaDpu?ECLe50^BofD3yP za!@RScsL|s7CV|ZGa+dgg_-4fHj=nvlFYtP{A|yu8y`+(_i5FQP7n@PsQNiqGukMf zD>Gc@7q~Ut0yo#2`3SPQP8i1>w(IXT&jx4F33g~<3=_A^9=lNqjvllze2O1DL@SZj z#2dhZ7P~AKcUPQELi#qA7wroR%DYjwSSrF>y&-Jil2J7U7u{=OpssuL=t;EWF{D2g zmOA1E4@=?6LFUCQt-UkAketfy$80FXt{d<^$NF?e9NX`Ww@~`1|5sop>!x@=eC*S;LP-jyG?AWA&b?O5BZP<2fjXRlijTC zjM?J}NTmc{F)O1vn|{{(W`l#*+*raJPcT2}REOy@ryy?P17>;}ZJwmnz%qKM*9lfc zxC?WR2VEA1f^b{)**Wj6B|tl=m092y@zLXgsV6^=6z#R@M-6UPH@I0eO<7l_oO)t^ zqRJb!tF6Z4r~#Q)eS`2$#d7P-%*rd3iOyPl`4zD&Jb|cS9;tLc zy89OMTBFSAhDIclOm4OavTIY2y&Q@jHHmAMg}jF$9q6-+SjS4uQiGITkODZ?Jyq^k zfFiHcGN#L4ac0qv)ujeWVJn@sdG(oDyn$tO?0aQlO0Op@-rx+8Stkm{-H`;`UR%XzP(AheET*q63XXL25kAaT8 zupcDqiQll8lsfJ{)Rq<(U0-cPELAjC!Znt_bz3>Ce?u5gM${{auGUXrzqQFRspBm{ z4DDBuz-g*r-*m0FnTO~Oj`~^Z6Dx!sUkRH(8v{?nUrAZXZ#(p~ zoaivc%_NVQHah8c&ftGOwq@ZP9-Ul=SwTCy`_WyB{NAAVXyG;%TbV3F^RVl`*MU$k z9Gpj6o%R>{Q2}x}Ium=U6)bImLTnA-&m0k8VlkISOox@_F61kfw?|m+mdlBzVlR5?ms<+ zveR9~67k#3@w!4r={6jJk z_k3c)6MJDlL`J4~&Jdx&sQZB-VrPBZoLCR$_b()XeKn)qWqR*$dpTm=#5m<;)R>^1y?)%#uX!hM|zATo-Y3OW#;09nCCj#>qmJOxRMyQDh~}g zLZjR6z_%*k?q!HMkdscP7Hl29j?%?HlUjvvbd9H&$Ch|Vk_-f6i40QXi6a<)Gs8yS zvmZAm!PG%7!%{$+WVOSCAi|O*YKYk!VOq~x;a(owEf9ytkhWvPKY^c+%*L6f*RFWC znbRxY@7%FT={>r|@g}K_W#zjm{I0j^n$G{}Eh0rlA?`_u} zl(zQo*(lYNaESe&&6SeJ_8WW7Om0_T`#mXS)xn-klFGxWMdsPw-8v{AIOYJG|i`rQ7+PgM8V%UPWW*nJSEGKGsQd{rAK?v8 zDmQC9w5>xM!4GW{JU2EOLNPZs$3|PsiWSw`+sJ3)Bg~BrwqP69Tc&>~{QbGHnH!tA zXEXO~*blH~jVk^n0KR4u~{RT z95az=A_VcU6RT$1*c(l){igXCc0dvbbYvX6hcF(h)#{QzPH80<4oKqIN|@a^$K23a zk2m-@Kc4;Vr8PHFLR{nP$2p##eee=7#5(3^VF5$&oF2oHmtKTOJRBJ#HcL3H2@`4o z8BWT%OyOu_b5ZL=**W?V;SY}r5}LbJgmV?RP$yyvn`X%dIi?*BcTKE)C--umd0&bY z_eiCm@=RnS+(naZ5p*gl$#Nd8>gTDPtwuo3`A}jWd9ZOrqHZ5Clb0Rk8iR|GrHl9uc zF~HgtbEB4xWe*86xOQ0yS0|pf0pRe7{YsMZ4;Q~?-^jOhHwehEoY+Kpb3>+#mV?k3 zy@)?NjBa;KFcu~c_V?YWIe~Gdo=rwekbjuduyc;lN!Tu$@Ko@^pmU1soYR#NpdGwR zp?XP!9>Q^lk%Fg!vom~IgI=?Bk)--5fnL#|o%8sNK(A`hN|v>VUpCcNxwMpJ9kj+u zRR~>j$wBMHGbp?2c9aGR$@S=b>6yPE9rJ$+cMFDSWOQH(X;-$c; zph`R?ui1OgjzOHPt|FWQdrCPKaq^ zs^RJI2-uV{EKw-p2M;r?WPm0(Cwq!k71Yplcm!lRU3>RRi_JCycvzaUSHg2fr)&69 zK<6}Q#e4xxLL^Lpm%?xs`lgdD7COW~xNMR+RMN(Jjj9}=M?97slp%yNI_L1aIK$he z-5BuoA#$c6c;lj+f=wqqChiR%$N?3P;OE^Ye(**$ct_p4z^5mzt}Cy+E2+ja<2M~ z%&K3!;4z)jN-5gwavd}_jM?Z}dvLt)*p~1K{5b5~wl=%8)qee{)YrRM#eZ%;vOiXd z_XVY*$yQwT~9z^mGi03YQnCqNPt{P*k4E3xuNXJ*^Dk;`5ZnHg;YqH)MH;(NPxtnX3y? zR9d{E(A5?FyihbQWohT0ZM`Xqa(*zw8bj5o$zyT!o66{WWtLlrHo8d|fL&qLk8SR2x^sOV>o z;jI0TPlEA($ul(C}i+S)C? z?-WM$fh--mPqD1D>Sd!tcRZGr{d(Ex(7lsoH8k_G(V@FAOG6wUN8SsqAG)8jG{pUV z8R*cRp(WKeX}NkC=+Hf;r6Kl8(cvh4k?gMN zk0ZNE)kK-Qs^Uut&7ys*HDqV;rdmF0`UTDI4Vy2!tHlY%`<+%FXN}lo?ACD^9uLvP zYCxVPYCjBbyQHBbyf9tJHpQ%8wb^6VJ)Hl{Qs1^I7*x~Lu7-3Sx@mVm_dOE`1w8^+?6fld^v3&<9|GX{aGQhUO8G0h%VU)kYBek6Y|cT zP|!38%X}GYpBey*l1uFfA;WjE5M-!)HV8_&1ezv-g{qm<*4{?U%jGguPxErJ)J-snt}oK~dVRAqo^4skWczq20BoE+c@)=!`7<52l<3&p88z zS4z9HW{FHG+f`HIY?`;Ev@Pq#%hd9#*?6V&TSL^m+Ipzl>%%6_xU+Ok$SS4YS%WFW z`=W+W4>m0+cyThcUo$-!O4~HJI0&uW(}PgToiz+d!QFbOZPe5tw+?>^mti8lA68@bEFqv zEGu{#hFY&NTN9+0PiTNiAWkP|1fn!r0~8>v?si3{`)7oq^et<#z(w?WMd|g5f+P47 z;|ukvX*~4OehpB7kV#=Pz)bD5UZSAEX%KC{sA0x>P^zur2@E2RMXb`hn-PdoxvXVW z7piQfYAXtk5I~IeD%=?YAmRhg2t+A**2-U3 zCF1qZ2t+C1ssfmxM11lYfhdj7T7By%ks+@cfhdJvRRD#Oi!qq6l-n%dcT zrL-<<65GL$mUbo>dci{0l)r-^O~-67RAa8;39pO>Zn@FsDXK#a2Cpk6UsvEXh*>+} zdUcA1BV{`liJLGRi&PI>!xI?fir!91Ad}gtw~-PLI|KR{lB~U$)QhlLZ8i`}-849% z!-CM#G(8on_0Br`!Gh3AF+B*S+*xNlkTn-IQu+XgbpQ3*ddvTYf+Og&Y=1_;ObVg5^=oJXfrYA*0H%hKy*`0D z5H_%W3UB*3rpuj^ggv&nKn@2Q9X0R)4-X7mzOlS;KPun%2MZAswT_y*Yj-+*Tz*+^ zws7^Fc#}UErwycqtD6ugny?L*&BYa?&TQKcs_`h{r~d82OpqVJi>i#>SX+ekWKBHlx8+Fwz)$tZ5~~( zVo-^=qajf+^N*94Pg@-DKQ*LWkXa9w>J)i)cKmD44p+D{nZ58|_l3Kf)N{Nt z9yfdODBV@1D_$=bcnZbqDNz}Nn;rY_fW!6?LDaMCvbtjS@-xhga@=K|%k1U4+bmc^kZ z$g(O#(>@gPA#5I%IW%mk2K4J5GdBIP|B}mK>Ix(=L@;=ZV39&?_{K-6KbCT<9}qN``a0DWODNPU7s$LmzD1O zK3yo`ABLb0g;IRfm4D~+hOa;HaUtE`$cUR1m(UW0^8Q)~`p_royd|E0lL7bdp;~RS zfb)L_vl3aa{6R)uI{C?mi>Z^(uO}n$9-xJfznKB!pHX4W6LN%*e9JEHveSKcLcV0x z(f`e$?eEiOB%Uli4`w(dehAxd-vX6c`j%C1S@?Y?1DEf47y<5)rz614lHK3(=|bKt z+Olv7C5O;KD8`4_h9#fh&Vb9M`^hK34KT8F^>1dB*G?9O_YC%3`NuDi>;@_W@(~0k zyxCAzx@QsB$}k*RaI@++wC;hLi^OHY&C;Xa05Soh4ee&o@m&;{Ocz|Y@FmMWe=j3% z=rDx5{fu;9LcGWIIm`;`&Sw1Ze*kj-c2C< zS;S?@K^9N5%e4vQr&lRn!GK9jesA8WJ|eV;~8|FEe2h`B@uK9;{6cguVtc$wda8a%IJ* z+*l1MZy+VEF^G!q;ra|-Tu^EwaAdDN??usTdECCui_E_qEic|!U3?ws@sR3p^#%%H zQhO1@m!l1rh`e2l79v-P!S?XOGCsJ`D7?9cAJ*`}%|c1E9nIUs2ib@ezEJpcOZ?>I zF!5=XpWIki;?o8`$qtM7r==V}Er&=OBCMqqesV*)!R<7k*7<2OE7vmlAZwO8GOsrG!P=JX|Nu!B%R3*|nvJ&Mwx`>W_ObIE% z*e-+`>^-V?8=S6+{9P+C?OME%Tb@L@axM{}yOxLAZXZTEszVD-aq~Bouqm}y^6(lL zn=4@hX@{+KvY$y^l(%71R>3i=ia0a|*CSfi>(SQ3rLSF&^2f*B@`FlkyKp_K;&3MZ z&-m)Yocn5COYI|*(q;(XWbHx)WpBZtBJukh%Py6akHsu5W<>(45?GVKIsy&~P^{$N z*AvfidYnkSk)%g39zze4CFl_{{(_K^``Y{K>q%lSMo&&`SGt0n46xE-6~yY8pn{l8 z2tpBfj`3%zV-a-Z934_xsJ)93q?emW1yQy!N#vC4ui!045G$DkZF`9evSy`^UmxbY zc;vjq#z>x$@v?*I<=>O+DfR>hdWANT*AzvDeF}_KrQD)gCn8m4ZG$8Aagrjz zYYat9CZgvknu!paMpHFwsG3F5JV64R^*k7%$mxu(BPV>$-hXQtN#lgiSHLBR9I;H( zWu$tT@VQn(6K1Q*wWUL?#2C8L`tWr@PFo2kGg9Og$>w|YfPs^j>eF636=Ec0NPa2DuqwXr7D;bF{HY(S}8}EYjz(c2^0AmM_Ax( z;P)hEt|;WJeC_?sRjIR3+PIoBt#4*)( zvRW;xU#`*Om~N&ZjxmLl*MupQnhp+7KvvXDOgSxdS_nl6aDIuSJY@d`0NgS|t!4PEb!-UWHRAXYz+Sv}5YYTk5oluM5`Nq4nuUR+G0Jo;g!ilaovO z)G>XM^2SsXlO^N*_;19GRDA(T^`3Zif)o?p}M@G%}vHXjy9#;O0esCbcAE5-(N;MX##rdZWN