GR8RAM/cpld/output_files/GR8RAM.sta.rpt
Zane Kaminski 4defba0f50 better
2021-03-19 06:45:31 -04:00

1000 lines
80 KiB
Plaintext
Executable File

TimeQuest Timing Analyzer report for GR8RAM
Fri Mar 19 05:29:00 2021
Quartus II 32-bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
---------------------
; Table of Contents ;
---------------------
1. Legal Notice
2. TimeQuest Timing Analyzer Summary
3. Parallel Compilation
4. Clocks
5. Fmax Summary
6. Setup Summary
7. Hold Summary
8. Recovery Summary
9. Removal Summary
10. Minimum Pulse Width Summary
11. Setup: 'ARCLK'
12. Setup: 'DRCLK'
13. Setup: 'C25M'
14. Hold: 'ARCLK'
15. Hold: 'DRCLK'
16. Hold: 'C25M'
17. Minimum Pulse Width: 'ARCLK'
18. Minimum Pulse Width: 'DRCLK'
19. Minimum Pulse Width: 'C25M'
20. Setup Times
21. Hold Times
22. Clock to Output Times
23. Minimum Clock to Output Times
24. Propagation Delay
25. Minimum Propagation Delay
26. Output Enable Times
27. Minimum Output Enable Times
28. Output Disable Times
29. Minimum Output Disable Times
30. Setup Transfers
31. Hold Transfers
32. Report TCCS
33. Report RSKM
34. Unconstrained Paths
35. TimeQuest Timing Analyzer Messages
----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions
and other software and tools, and its AMPP partner logic
functions, and any output files from any of the foregoing
(including device programming or simulation files), and any
associated documentation or information are expressly subject
to the terms and conditions of the Altera Program License
Subscription Agreement, Altera MegaCore Function License
Agreement, or other applicable license agreement, including,
without limitation, that your use is for the sole purpose of
programming logic devices manufactured by Altera and sold by
Altera or its authorized distributors. Please refer to the
applicable agreement for further details.
+----------------------------------------------------------------------------------------+
; TimeQuest Timing Analyzer Summary ;
+--------------------+-------------------------------------------------------------------+
; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ;
; Revision Name ; GR8RAM ;
; Device Family ; MAX II ;
; Device Name ; EPM240T100C5 ;
; Timing Models ; Final ;
; Delay Model ; Slow Model ;
; Rise/Fall Delays ; Unavailable ;
+--------------------+-------------------------------------------------------------------+
+------------------------------------------+
; Parallel Compilation ;
+----------------------------+-------------+
; Processors ; Number ;
+----------------------------+-------------+
; Number detected on machine ; 2 ;
; Maximum allowed ; 2 ;
; ; ;
; Average used ; 1.00 ;
; Maximum used ; 2 ;
; ; ;
; Usage by Processor ; % Time Used ;
; Processor 1 ; 100.0% ;
; Processor 2 ; < 0.1% ;
+----------------------------+-------------+
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clocks ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+
; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+
; ARCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { ARCLK } ;
; C25M ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { C25M } ;
; DRCLK ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { DRCLK } ;
+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-----------+
+-------------------------------------------------+
; Fmax Summary ;
+-----------+-----------------+------------+------+
; Fmax ; Restricted Fmax ; Clock Name ; Note ;
+-----------+-----------------+------------+------+
; 10.0 MHz ; 10.0 MHz ; ARCLK ; ;
; 10.0 MHz ; 10.0 MHz ; DRCLK ; ;
; 94.36 MHz ; 94.36 MHz ; C25M ; ;
+-----------+-----------------+------------+------+
This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+---------------------------------+
; Setup Summary ;
+-------+---------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+---------+---------------+
; ARCLK ; -99.000 ; -99.000 ;
; DRCLK ; -99.000 ; -99.000 ;
; C25M ; -9.598 ; -485.527 ;
+-------+---------+---------------+
+---------------------------------+
; Hold Summary ;
+-------+---------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+---------+---------------+
; ARCLK ; -16.296 ; -16.296 ;
; DRCLK ; -16.276 ; -16.276 ;
; C25M ; -1.031 ; -1.031 ;
+-------+---------+---------------+
--------------------
; Recovery Summary ;
--------------------
No paths to report.
-------------------
; Removal Summary ;
-------------------
No paths to report.
+---------------------------------+
; Minimum Pulse Width Summary ;
+-------+---------+---------------+
; Clock ; Slack ; End Point TNS ;
+-------+---------+---------------+
; ARCLK ; -29.500 ; -59.000 ;
; DRCLK ; -29.500 ; -59.000 ;
; C25M ; -2.289 ; -2.289 ;
+-------+---------+---------------+
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Setup: 'ARCLK' ;
+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -99.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 1.000 ; 0.000 ; 80.000 ;
; -22.704 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 1.000 ; -2.175 ; 1.529 ;
+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Setup: 'DRCLK' ;
+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -99.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 1.000 ; 0.000 ; 80.000 ;
; -22.724 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 1.000 ; -2.195 ; 1.529 ;
+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+---------------------------------------------------------------------------------------------------------+
; Setup: 'C25M' ;
+--------+-----------+--------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+-----------+--------------+--------------+-------------+--------------+------------+------------+
; -9.598 ; RAcur[8] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.265 ;
; -9.515 ; RAcur[8] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.182 ;
; -9.377 ; RAcur[10] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.044 ;
; -9.338 ; RAcur[8] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 10.005 ;
; -9.294 ; RAcur[10] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.961 ;
; -9.232 ; RACr ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.899 ;
; -9.149 ; RACr ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.816 ;
; -9.117 ; RAcur[10] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.784 ;
; -9.117 ; RAcur[1] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.784 ;
; -9.095 ; RAcur[9] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.762 ;
; -9.034 ; RAcur[1] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.701 ;
; -9.012 ; RAcur[9] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.679 ;
; -8.972 ; RACr ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.639 ;
; -8.879 ; LS[10] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.546 ;
; -8.857 ; RAcur[1] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.524 ;
; -8.835 ; RAcur[9] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.502 ;
; -8.807 ; LS[15] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.474 ;
; -8.710 ; LS[11] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.377 ;
; -8.657 ; LS[13] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.324 ;
; -8.578 ; RAcur[0] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.245 ;
; -8.564 ; LS[9] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.231 ;
; -8.497 ; LS[12] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.164 ;
; -8.495 ; RAcur[0] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.162 ;
; -8.486 ; LS[2] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 9.153 ;
; -8.462 ; LS[3] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 9.129 ;
; -8.318 ; RAcur[0] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.985 ;
; -8.310 ; LS[17] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.977 ;
; -8.276 ; RAcur[8] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.943 ;
; -8.260 ; InitActv ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.927 ;
; -8.200 ; LS[1] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.867 ;
; -8.195 ; PS[1] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.862 ;
; -8.178 ; LS[10] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.845 ;
; -8.174 ; LS[10] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.841 ;
; -8.171 ; RAcur[11] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.838 ;
; -8.166 ; LS[10] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.833 ;
; -8.124 ; LS[14] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.791 ;
; -8.106 ; LS[15] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.773 ;
; -8.102 ; LS[15] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.769 ;
; -8.088 ; RAcur[11] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.755 ;
; -8.055 ; RAcur[10] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.722 ;
; -8.054 ; LS[2] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.721 ;
; -8.027 ; RAcur[7] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.694 ;
; -8.009 ; LS[11] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.676 ;
; -8.005 ; LS[11] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.672 ;
; -7.996 ; LS[0] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.663 ;
; -7.980 ; LS[0] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.647 ;
; -7.973 ; LS[16] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.640 ;
; -7.956 ; LS[13] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.623 ;
; -7.952 ; LS[13] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.619 ;
; -7.950 ; LS[10] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.617 ;
; -7.944 ; RAcur[7] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.611 ;
; -7.942 ; IS[0] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.609 ;
; -7.933 ; LS[10] ; ARCLK ; C25M ; C25M ; 1.000 ; 0.000 ; 8.600 ;
; -7.911 ; RAcur[11] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.578 ;
; -7.910 ; RACr ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.577 ;
; -7.905 ; LS[15] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.572 ;
; -7.889 ; RAcur[2] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.556 ;
; -7.878 ; LS[15] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.545 ;
; -7.863 ; LS[9] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.530 ;
; -7.859 ; LS[9] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.526 ;
; -7.842 ; nWEcur ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.509 ;
; -7.817 ; LS[5] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.484 ;
; -7.813 ; PS[3] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.480 ;
; -7.812 ; LS[8] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.479 ;
; -7.808 ; LS[11] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.475 ;
; -7.806 ; RAcur[2] ; RCKE~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.473 ;
; -7.800 ; LS[2] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.467 ;
; -7.796 ; LS[12] ; IS[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.463 ;
; -7.795 ; LS[17] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.462 ;
; -7.795 ; RAcur[1] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.462 ;
; -7.792 ; LS[12] ; IS[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.459 ;
; -7.786 ; PHI0r2 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.453 ;
; -7.781 ; LS[11] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.448 ;
; -7.773 ; RAcur[9] ; nCAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.440 ;
; -7.767 ; RAcur[7] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.434 ;
; -7.765 ; LS[4] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.432 ;
; -7.764 ; LS[11] ; ARCLK ; C25M ; C25M ; 1.000 ; 0.000 ; 8.431 ;
; -7.755 ; LS[13] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.422 ;
; -7.736 ; LS[17] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.403 ;
; -7.728 ; LS[13] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.395 ;
; -7.704 ; PS[3] ; IOROMEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ;
; -7.704 ; LS[9] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.371 ;
; -7.698 ; PS[3] ; REGEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.365 ;
; -7.691 ; LS[6] ; MOSIout ; C25M ; C25M ; 1.000 ; 0.000 ; 8.358 ;
; -7.677 ; InitActv ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.344 ;
; -7.672 ; LS[2] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.339 ;
; -7.662 ; PS[2] ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.329 ;
; -7.656 ; LS[2] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.323 ;
; -7.635 ; LS[9] ; FCS ; C25M ; C25M ; 1.000 ; 0.000 ; 8.302 ;
; -7.631 ; LS[10] ; SetFW[0] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.298 ;
; -7.631 ; LS[10] ; SetFW[1] ; C25M ; C25M ; 1.000 ; 0.000 ; 8.298 ;
; -7.629 ; RAcur[2] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.296 ;
; -7.629 ; PHI0r1 ; nRCS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.296 ;
; -7.622 ; LS[0] ; MOSIOE ; C25M ; C25M ; 1.000 ; 0.000 ; 8.289 ;
; -7.618 ; LS[9] ; ARCLK ; C25M ; C25M ; 1.000 ; 0.000 ; 8.285 ;
; -7.612 ; PS[1] ; nRAS~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.279 ;
; -7.612 ; LS[10] ; MOSIOE ; C25M ; C25M ; 1.000 ; 0.000 ; 8.279 ;
; -7.608 ; LS[0] ; nBODf ; C25M ; C25M ; 1.000 ; 0.000 ; 8.275 ;
; -7.595 ; LS[12] ; FCKEN ; C25M ; C25M ; 1.000 ; 0.000 ; 8.262 ;
; -7.592 ; LS[10] ; nRESout~reg0 ; C25M ; C25M ; 1.000 ; 0.000 ; 8.259 ;
+--------+-----------+--------------+--------------+-------------+--------------+------------+------------+
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Hold: 'ARCLK' ;
+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -16.296 ; ARShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; C25M ; ARCLK ; 0.000 ; -2.175 ; 1.529 ;
; 60.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ; ARCLK ; ARCLK ; 0.000 ; 0.000 ; 80.000 ;
+---------+---------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Hold: 'DRCLK' ;
+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
; -16.276 ; DRShift ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; C25M ; DRCLK ; 0.000 ; -2.195 ; 1.529 ;
; 60.000 ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ; DRCLK ; DRCLK ; 0.000 ; 0.000 ; 80.000 ;
+---------+---------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+
+------------------------------------------------------------------------------------------------------------+
; Hold: 'C25M' ;
+--------+--------------+--------------+--------------+-------------+--------------+------------+------------+
; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ;
+--------+--------------+--------------+--------------+-------------+--------------+------------+------------+
; -1.031 ; ARCLK ; ARCLK ; ARCLK ; C25M ; 0.000 ; 3.348 ; 2.914 ;
; -0.531 ; ARCLK ; ARCLK ; ARCLK ; C25M ; -0.500 ; 3.348 ; 2.914 ;
; 1.646 ; IOSELr ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 1.867 ;
; 1.661 ; FCKEN ; FCK~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 1.882 ;
; 1.670 ; LS[17] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.891 ;
; 1.687 ; PS[2] ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 1.908 ;
; 1.787 ; PHI0r1 ; PHI0r2 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.008 ;
; 1.877 ; nBODr0 ; nBODr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.598 ;
; 1.886 ; IOSELr0 ; IOSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 1.607 ;
; 1.980 ; PS[0] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.201 ;
; 2.009 ; SetLoaded ; ARShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.230 ;
; 2.027 ; SetLoaded ; DRShift ; C25M ; C25M ; 0.000 ; 0.000 ; 2.248 ;
; 2.055 ; IOSELr ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.276 ;
; 2.065 ; nBODf0 ; nBODf ; C25M ; C25M ; 0.000 ; 0.000 ; 2.286 ;
; 2.081 ; SDRAMActv ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 2.302 ;
; 2.108 ; LS[6] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.329 ;
; 2.120 ; nBODf ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.341 ;
; 2.125 ; LS[9] ; LS[9] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.346 ;
; 2.126 ; LS[1] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ;
; 2.126 ; MOSIOE ; MOSIOE ; C25M ; C25M ; 0.000 ; 0.000 ; 2.347 ;
; 2.135 ; InitIntr ; InitIntr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.356 ;
; 2.137 ; LS[8] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ;
; 2.137 ; LS[16] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.358 ;
; 2.143 ; LS[10] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.364 ;
; 2.145 ; LS[11] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.366 ;
; 2.152 ; PS[1] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.373 ;
; 2.212 ; nRESout~reg0 ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ;
; 2.212 ; FCS ; FCS ; C25M ; C25M ; 0.000 ; 0.000 ; 2.433 ;
; 2.221 ; LS[7] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ;
; 2.221 ; LS[2] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.442 ;
; 2.230 ; LS[12] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.230 ; LS[14] ; LS[14] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.230 ; LS[4] ; LS[4] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.230 ; InitActv ; InitActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.230 ; RefReqd ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.230 ; SetFW[0] ; SetFW[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.451 ;
; 2.231 ; LS[15] ; LS[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; LS[13] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; LS[5] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.231 ; LS[3] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.452 ;
; 2.232 ; IOROMEN ; IOROMEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.453 ;
; 2.241 ; IS[1] ; IS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.462 ;
; 2.250 ; nCAS~reg0 ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.471 ;
; 2.317 ; PS[0] ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.538 ;
; 2.339 ; SetLoaded ; SetLoaded ; C25M ; C25M ; 0.000 ; 0.000 ; 2.560 ;
; 2.345 ; SetLoaded ; DRCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 2.566 ;
; 2.349 ; SetLoaded ; ARCLK ; C25M ; C25M ; 0.000 ; 0.000 ; 2.570 ;
; 2.357 ; nBODf ; InitIntr ; C25M ; C25M ; 0.000 ; 0.000 ; 2.578 ;
; 2.362 ; nBODf ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.583 ;
; 2.380 ; nRESr ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.601 ;
; 2.411 ; LS[0] ; LS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.632 ;
; 2.570 ; nBODf ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 2.791 ;
; 2.581 ; PS[3] ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 2.802 ;
; 2.659 ; REGEN ; REGEN ; C25M ; C25M ; 0.000 ; 0.000 ; 2.880 ;
; 2.669 ; SDRAMActv ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.890 ;
; 2.715 ; InitIntr ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 2.936 ;
; 2.804 ; nBODr ; nBODf0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.025 ;
; 2.932 ; PS[1] ; nCAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.153 ;
; 2.940 ; LS[6] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.161 ;
; 2.957 ; LS[9] ; LS[10] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.178 ;
; 2.958 ; LS[1] ; LS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.179 ;
; 2.969 ; LS[16] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.190 ;
; 2.973 ; LS[5] ; RefReqd ; C25M ; C25M ; 0.000 ; 0.000 ; 3.194 ;
; 2.975 ; LS[10] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.196 ;
; 2.977 ; LS[11] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ;
; 2.977 ; InitActv ; SDRAMActv ; C25M ; C25M ; 0.000 ; 0.000 ; 3.198 ;
; 3.012 ; PHI0r0 ; PHI0r1 ; C25M ; C25M ; -0.500 ; 0.000 ; 2.733 ;
; 3.051 ; LS[6] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.272 ;
; 3.057 ; DEVSELr0 ; DEVSELr ; C25M ; C25M ; -0.500 ; 0.000 ; 2.778 ;
; 3.068 ; LS[9] ; LS[11] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.289 ;
; 3.069 ; LS[1] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.290 ;
; 3.080 ; PS[2] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.301 ;
; 3.086 ; LS[10] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.307 ;
; 3.088 ; LS[11] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.309 ;
; 3.119 ; nRESr ; PS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.340 ;
; 3.126 ; nRESr ; PS[2] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.347 ;
; 3.128 ; nRESr ; PS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.349 ;
; 3.145 ; InitIntr ; nRESout~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.366 ;
; 3.160 ; PS[3] ; PS[0] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.381 ;
; 3.161 ; LS[7] ; LS[8] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.382 ;
; 3.161 ; LS[2] ; LS[3] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.382 ;
; 3.167 ; nRAS~reg0 ; nRAS~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.388 ;
; 3.170 ; LS[14] ; LS[15] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ;
; 3.170 ; LS[4] ; LS[5] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ;
; 3.170 ; LS[12] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.391 ;
; 3.171 ; LS[5] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.392 ;
; 3.171 ; LS[15] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.392 ;
; 3.179 ; LS[9] ; LS[12] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.400 ;
; 3.197 ; LS[10] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.418 ;
; 3.281 ; LS[4] ; LS[6] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ;
; 3.281 ; LS[14] ; LS[16] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.502 ;
; 3.282 ; LS[5] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.503 ;
; 3.282 ; LS[15] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.503 ;
; 3.290 ; LS[9] ; LS[13] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.511 ;
; 3.316 ; FCKEN ; FCKEN ; C25M ; C25M ; 0.000 ; 0.000 ; 3.537 ;
; 3.361 ; LS[1] ; WRD[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.582 ;
; 3.363 ; PS[2] ; RCKE~reg0 ; C25M ; C25M ; 0.000 ; 0.000 ; 3.584 ;
; 3.384 ; LS[0] ; LS[1] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.605 ;
; 3.392 ; LS[4] ; LS[7] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.613 ;
; 3.392 ; LS[14] ; LS[17] ; C25M ; C25M ; 0.000 ; 0.000 ; 3.613 ;
+--------+--------------+--------------+--------------+-------------+--------------+------------+------------+
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Minimum Pulse Width: 'ARCLK' ;
+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+
; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+
; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ;
; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|maxii_ufm_block1~OBSERVABLEADDRESSREGOUT ;
; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; ARCLK|regout ;
; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; ARCLK|regout ;
; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|arclk ;
; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; ARCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|arclk ;
+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------------------+
+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Minimum Pulse Width: 'DRCLK' ;
+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+
; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+
; -29.500 ; 0.500 ; 30.000 ; High Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ;
; -29.500 ; 0.500 ; 30.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM:UFM_inst|UFM_altufm_none_0ep:UFM_altufm_none_0ep_component|wire_maxii_ufm_block1_drdout ;
; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; DRCLK|regout ;
; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; DRCLK|regout ;
; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|drclk ;
; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; DRCLK ; Rise ; UFM_inst|UFM_altufm_none_0ep_component|maxii_ufm_block1|drclk ;
+---------+--------------+----------------+------------------+-------+------------+---------------------------------------------------------------------------------------------+
+--------------------------------------------------------------------------------------------+
; Minimum Pulse Width: 'C25M' ;
+--------+--------------+----------------+------------------+-------+------------+-----------+
; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ;
+--------+--------------+----------------+------------------+-------+------------+-----------+
; -2.289 ; 1.000 ; 3.289 ; Port Rate ; C25M ; Rise ; C25M ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; ARCLK ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; ARCLK ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; ARShift ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; ARShift ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DEVSELr ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DEVSELr ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Fall ; DEVSELr0 ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Fall ; DEVSELr0 ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DRCLK ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DRCLK ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; DRShift ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; DRShift ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCKEN ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCKEN ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCK~reg0 ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCK~reg0 ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; FCS ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; FCS ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOROMEN ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOROMEN ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOSELr ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOSELr ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Fall ; IOSELr0 ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Fall ; IOSELr0 ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IOSTRBr ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IOSTRBr ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Fall ; IOSTRBr0 ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Fall ; IOSTRBr0 ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS[0] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS[0] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; IS[1] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; IS[1] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; InitActv ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; InitActv ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; InitIntr ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; InitIntr ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[0] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[0] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[10] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[10] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[11] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[11] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[12] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[12] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[13] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[13] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[14] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[14] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[15] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[15] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[16] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[16] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[17] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[17] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[1] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[1] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[2] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[2] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[3] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[3] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[4] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[4] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[5] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[5] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[6] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[6] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[7] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[7] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[8] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[8] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; LS[9] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; LS[9] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; MOSIOE ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; MOSIOE ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; MOSIout ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; MOSIout ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Fall ; PHI0r0 ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Fall ; PHI0r0 ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PHI0r1 ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PHI0r1 ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PHI0r2 ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PHI0r2 ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[0] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[0] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[1] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[1] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[2] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[2] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; PS[3] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; PS[3] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RACr ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RACr ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[0] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[0] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[10] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[10] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[11] ;
; 0.234 ; 0.500 ; 0.266 ; Low Pulse Width ; C25M ; Rise ; RAcur[11] ;
; 0.234 ; 0.500 ; 0.266 ; High Pulse Width ; C25M ; Rise ; RAcur[1] ;
+--------+--------------+----------------+------------------+-------+------------+-----------+
+-----------------------------------------------------------------------+
; Setup Times ;
+-----------+------------+-------+-------+------------+-----------------+
; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+-------+------------+-----------------+
; MISO ; C25M ; 3.547 ; 3.547 ; Rise ; C25M ;
; RA[*] ; C25M ; 3.862 ; 3.862 ; Rise ; C25M ;
; RA[0] ; C25M ; 2.127 ; 2.127 ; Rise ; C25M ;
; RA[1] ; C25M ; 2.953 ; 2.953 ; Rise ; C25M ;
; RA[2] ; C25M ; 2.929 ; 2.929 ; Rise ; C25M ;
; RA[3] ; C25M ; 2.446 ; 2.446 ; Rise ; C25M ;
; RA[4] ; C25M ; 1.926 ; 1.926 ; Rise ; C25M ;
; RA[5] ; C25M ; 1.793 ; 1.793 ; Rise ; C25M ;
; RA[6] ; C25M ; 2.659 ; 2.659 ; Rise ; C25M ;
; RA[7] ; C25M ; 1.849 ; 1.849 ; Rise ; C25M ;
; RA[8] ; C25M ; 2.684 ; 2.684 ; Rise ; C25M ;
; RA[9] ; C25M ; 2.694 ; 2.694 ; Rise ; C25M ;
; RA[10] ; C25M ; 2.926 ; 2.926 ; Rise ; C25M ;
; RA[11] ; C25M ; 2.456 ; 2.456 ; Rise ; C25M ;
; RA[12] ; C25M ; 3.512 ; 3.512 ; Rise ; C25M ;
; RA[13] ; C25M ; 3.862 ; 3.862 ; Rise ; C25M ;
; RA[14] ; C25M ; 2.029 ; 2.029 ; Rise ; C25M ;
; RA[15] ; C25M ; 3.701 ; 3.701 ; Rise ; C25M ;
; RD[*] ; C25M ; 4.042 ; 4.042 ; Rise ; C25M ;
; RD[0] ; C25M ; 2.631 ; 2.631 ; Rise ; C25M ;
; RD[1] ; C25M ; 2.789 ; 2.789 ; Rise ; C25M ;
; RD[2] ; C25M ; 1.817 ; 1.817 ; Rise ; C25M ;
; RD[3] ; C25M ; 2.669 ; 2.669 ; Rise ; C25M ;
; RD[4] ; C25M ; 2.027 ; 2.027 ; Rise ; C25M ;
; RD[5] ; C25M ; 1.833 ; 1.833 ; Rise ; C25M ;
; RD[6] ; C25M ; 4.042 ; 4.042 ; Rise ; C25M ;
; RD[7] ; C25M ; 2.990 ; 2.990 ; Rise ; C25M ;
; nWE ; C25M ; 2.526 ; 2.526 ; Rise ; C25M ;
; PHI0 ; C25M ; 2.666 ; 2.666 ; Fall ; C25M ;
; nBOD ; C25M ; 2.626 ; 2.626 ; Fall ; C25M ;
; nDEVSEL ; C25M ; 2.111 ; 2.111 ; Fall ; C25M ;
; nIOSEL ; C25M ; 2.125 ; 2.125 ; Fall ; C25M ;
; nIOSTRB ; C25M ; 2.393 ; 2.393 ; Fall ; C25M ;
; nRES ; C25M ; 2.421 ; 2.421 ; Fall ; C25M ;
+-----------+------------+-------+-------+------------+-----------------+
+-------------------------------------------------------------------------+
; Hold Times ;
+-----------+------------+--------+--------+------------+-----------------+
; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
+-----------+------------+--------+--------+------------+-----------------+
; MISO ; C25M ; -2.993 ; -2.993 ; Rise ; C25M ;
; RA[*] ; C25M ; -1.239 ; -1.239 ; Rise ; C25M ;
; RA[0] ; C25M ; -1.573 ; -1.573 ; Rise ; C25M ;
; RA[1] ; C25M ; -2.399 ; -2.399 ; Rise ; C25M ;
; RA[2] ; C25M ; -2.375 ; -2.375 ; Rise ; C25M ;
; RA[3] ; C25M ; -1.892 ; -1.892 ; Rise ; C25M ;
; RA[4] ; C25M ; -1.372 ; -1.372 ; Rise ; C25M ;
; RA[5] ; C25M ; -1.239 ; -1.239 ; Rise ; C25M ;
; RA[6] ; C25M ; -2.105 ; -2.105 ; Rise ; C25M ;
; RA[7] ; C25M ; -1.295 ; -1.295 ; Rise ; C25M ;
; RA[8] ; C25M ; -2.130 ; -2.130 ; Rise ; C25M ;
; RA[9] ; C25M ; -2.140 ; -2.140 ; Rise ; C25M ;
; RA[10] ; C25M ; -2.372 ; -2.372 ; Rise ; C25M ;
; RA[11] ; C25M ; -1.902 ; -1.902 ; Rise ; C25M ;
; RA[12] ; C25M ; -2.958 ; -2.958 ; Rise ; C25M ;
; RA[13] ; C25M ; -3.308 ; -3.308 ; Rise ; C25M ;
; RA[14] ; C25M ; -1.475 ; -1.475 ; Rise ; C25M ;
; RA[15] ; C25M ; -3.147 ; -3.147 ; Rise ; C25M ;
; RD[*] ; C25M ; -1.263 ; -1.263 ; Rise ; C25M ;
; RD[0] ; C25M ; -2.077 ; -2.077 ; Rise ; C25M ;
; RD[1] ; C25M ; -2.235 ; -2.235 ; Rise ; C25M ;
; RD[2] ; C25M ; -1.263 ; -1.263 ; Rise ; C25M ;
; RD[3] ; C25M ; -2.115 ; -2.115 ; Rise ; C25M ;
; RD[4] ; C25M ; -1.473 ; -1.473 ; Rise ; C25M ;
; RD[5] ; C25M ; -1.279 ; -1.279 ; Rise ; C25M ;
; RD[6] ; C25M ; -3.488 ; -3.488 ; Rise ; C25M ;
; RD[7] ; C25M ; -2.436 ; -2.436 ; Rise ; C25M ;
; nWE ; C25M ; -1.972 ; -1.972 ; Rise ; C25M ;
; PHI0 ; C25M ; -2.112 ; -2.112 ; Fall ; C25M ;
; nBOD ; C25M ; -2.072 ; -2.072 ; Fall ; C25M ;
; nDEVSEL ; C25M ; -1.557 ; -1.557 ; Fall ; C25M ;
; nIOSEL ; C25M ; -1.571 ; -1.571 ; Fall ; C25M ;
; nIOSTRB ; C25M ; -1.839 ; -1.839 ; Fall ; C25M ;
; nRES ; C25M ; -1.867 ; -1.867 ; Fall ; C25M ;
+-----------+------------+--------+--------+------------+-----------------+
+-------------------------------------------------------------------------+
; Clock to Output Times ;
+-----------+------------+--------+--------+------------+-----------------+
; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
+-----------+------------+--------+--------+------------+-----------------+
; FCK ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ;
; MOSI ; C25M ; 9.054 ; 9.054 ; Rise ; C25M ;
; RCKE ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ;
; RD[*] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ;
; RD[0] ; C25M ; 13.989 ; 13.989 ; Rise ; C25M ;
; RD[1] ; C25M ; 13.989 ; 13.989 ; Rise ; C25M ;
; RD[2] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ;
; RD[3] ; C25M ; 13.564 ; 13.564 ; Rise ; C25M ;
; RD[4] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ;
; RD[5] ; C25M ; 14.025 ; 14.025 ; Rise ; C25M ;
; RD[6] ; C25M ; 13.564 ; 13.564 ; Rise ; C25M ;
; RD[7] ; C25M ; 14.005 ; 14.005 ; Rise ; C25M ;
; RDdir ; C25M ; 13.564 ; 13.564 ; Rise ; C25M ;
; SD[*] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ;
; SD[0] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ;
; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ;
; SD[2] ; C25M ; 8.090 ; 8.090 ; Rise ; C25M ;
; SD[3] ; C25M ; 8.137 ; 8.137 ; Rise ; C25M ;
; SD[4] ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ;
; SD[5] ; C25M ; 8.101 ; 8.101 ; Rise ; C25M ;
; SD[6] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ;
; SD[7] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ;
; nCAS ; C25M ; 8.703 ; 8.703 ; Rise ; C25M ;
; nFCS ; C25M ; 8.534 ; 8.534 ; Rise ; C25M ;
; nRAS ; C25M ; 8.131 ; 8.131 ; Rise ; C25M ;
; nRCS ; C25M ; 6.859 ; 6.859 ; Rise ; C25M ;
; nRESout ; C25M ; 7.478 ; 7.478 ; Rise ; C25M ;
; nSWE ; C25M ; 6.827 ; 6.827 ; Rise ; C25M ;
+-----------+------------+--------+--------+------------+-----------------+
+-------------------------------------------------------------------------+
; Minimum Clock to Output Times ;
+-----------+------------+--------+--------+------------+-----------------+
; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
+-----------+------------+--------+--------+------------+-----------------+
; FCK ; C25M ; 6.814 ; 6.814 ; Rise ; C25M ;
; MOSI ; C25M ; 9.054 ; 9.054 ; Rise ; C25M ;
; RCKE ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ;
; RD[*] ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ;
; RD[0] ; C25M ; 11.039 ; 11.039 ; Rise ; C25M ;
; RD[1] ; C25M ; 11.039 ; 11.039 ; Rise ; C25M ;
; RD[2] ; C25M ; 11.075 ; 11.075 ; Rise ; C25M ;
; RD[3] ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ;
; RD[4] ; C25M ; 11.075 ; 11.075 ; Rise ; C25M ;
; RD[5] ; C25M ; 11.075 ; 11.075 ; Rise ; C25M ;
; RD[6] ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ;
; RD[7] ; C25M ; 11.055 ; 11.055 ; Rise ; C25M ;
; RDdir ; C25M ; 10.614 ; 10.614 ; Rise ; C25M ;
; SD[*] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ;
; SD[0] ; C25M ; 8.201 ; 8.201 ; Rise ; C25M ;
; SD[1] ; C25M ; 8.097 ; 8.097 ; Rise ; C25M ;
; SD[2] ; C25M ; 8.090 ; 8.090 ; Rise ; C25M ;
; SD[3] ; C25M ; 8.137 ; 8.137 ; Rise ; C25M ;
; SD[4] ; C25M ; 8.111 ; 8.111 ; Rise ; C25M ;
; SD[5] ; C25M ; 8.101 ; 8.101 ; Rise ; C25M ;
; SD[6] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ;
; SD[7] ; C25M ; 6.820 ; 6.820 ; Rise ; C25M ;
; nCAS ; C25M ; 8.703 ; 8.703 ; Rise ; C25M ;
; nFCS ; C25M ; 8.534 ; 8.534 ; Rise ; C25M ;
; nRAS ; C25M ; 8.131 ; 8.131 ; Rise ; C25M ;
; nRCS ; C25M ; 6.859 ; 6.859 ; Rise ; C25M ;
; nRESout ; C25M ; 7.478 ; 7.478 ; Rise ; C25M ;
; nSWE ; C25M ; 6.827 ; 6.827 ; Rise ; C25M ;
+-----------+------------+--------+--------+------------+-----------------+
+------------------------------------------------------+
; Propagation Delay ;
+------------+-------------+--------+----+----+--------+
; Input Port ; Output Port ; RR ; RF ; FR ; FF ;
+------------+-------------+--------+----+----+--------+
; PHI0 ; RD[0] ; 13.206 ; ; ; 13.206 ;
; PHI0 ; RD[1] ; 13.206 ; ; ; 13.206 ;
; PHI0 ; RD[2] ; 13.242 ; ; ; 13.242 ;
; PHI0 ; RD[3] ; 12.781 ; ; ; 12.781 ;
; PHI0 ; RD[4] ; 13.242 ; ; ; 13.242 ;
; PHI0 ; RD[5] ; 13.242 ; ; ; 13.242 ;
; PHI0 ; RD[6] ; 12.781 ; ; ; 12.781 ;
; PHI0 ; RD[7] ; 13.222 ; ; ; 13.222 ;
; PHI0 ; RDdir ; 12.781 ; ; ; 12.781 ;
; nDEVSEL ; RD[0] ; 11.475 ; ; ; 11.475 ;
; nDEVSEL ; RD[1] ; 11.475 ; ; ; 11.475 ;
; nDEVSEL ; RD[2] ; 11.511 ; ; ; 11.511 ;
; nDEVSEL ; RD[3] ; 11.050 ; ; ; 11.050 ;
; nDEVSEL ; RD[4] ; 11.511 ; ; ; 11.511 ;
; nDEVSEL ; RD[5] ; 11.511 ; ; ; 11.511 ;
; nDEVSEL ; RD[6] ; 11.050 ; ; ; 11.050 ;
; nDEVSEL ; RD[7] ; 11.491 ; ; ; 11.491 ;
; nDEVSEL ; RDdir ; 11.050 ; ; ; 11.050 ;
; nIOSEL ; RD[0] ; 10.201 ; ; ; 10.201 ;
; nIOSEL ; RD[1] ; 10.201 ; ; ; 10.201 ;
; nIOSEL ; RD[2] ; 10.237 ; ; ; 10.237 ;
; nIOSEL ; RD[3] ; 9.776 ; ; ; 9.776 ;
; nIOSEL ; RD[4] ; 10.237 ; ; ; 10.237 ;
; nIOSEL ; RD[5] ; 10.237 ; ; ; 10.237 ;
; nIOSEL ; RD[6] ; 9.776 ; ; ; 9.776 ;
; nIOSEL ; RD[7] ; 10.217 ; ; ; 10.217 ;
; nIOSEL ; RDdir ; 9.776 ; ; ; 9.776 ;
; nIOSTRB ; RD[0] ; 11.644 ; ; ; 11.644 ;
; nIOSTRB ; RD[1] ; 11.644 ; ; ; 11.644 ;
; nIOSTRB ; RD[2] ; 11.680 ; ; ; 11.680 ;
; nIOSTRB ; RD[3] ; 11.219 ; ; ; 11.219 ;
; nIOSTRB ; RD[4] ; 11.680 ; ; ; 11.680 ;
; nIOSTRB ; RD[5] ; 11.680 ; ; ; 11.680 ;
; nIOSTRB ; RD[6] ; 11.219 ; ; ; 11.219 ;
; nIOSTRB ; RD[7] ; 11.660 ; ; ; 11.660 ;
; nIOSTRB ; RDdir ; 11.219 ; ; ; 11.219 ;
; nWE ; RD[0] ; 14.484 ; ; ; 14.484 ;
; nWE ; RD[1] ; 14.484 ; ; ; 14.484 ;
; nWE ; RD[2] ; 14.520 ; ; ; 14.520 ;
; nWE ; RD[3] ; 14.059 ; ; ; 14.059 ;
; nWE ; RD[4] ; 14.520 ; ; ; 14.520 ;
; nWE ; RD[5] ; 14.520 ; ; ; 14.520 ;
; nWE ; RD[6] ; 14.059 ; ; ; 14.059 ;
; nWE ; RD[7] ; 14.500 ; ; ; 14.500 ;
; nWE ; RDdir ; 14.059 ; ; ; 14.059 ;
+------------+-------------+--------+----+----+--------+
+------------------------------------------------------+
; Minimum Propagation Delay ;
+------------+-------------+--------+----+----+--------+
; Input Port ; Output Port ; RR ; RF ; FR ; FF ;
+------------+-------------+--------+----+----+--------+
; PHI0 ; RD[0] ; 13.206 ; ; ; 13.206 ;
; PHI0 ; RD[1] ; 13.206 ; ; ; 13.206 ;
; PHI0 ; RD[2] ; 13.242 ; ; ; 13.242 ;
; PHI0 ; RD[3] ; 12.781 ; ; ; 12.781 ;
; PHI0 ; RD[4] ; 13.242 ; ; ; 13.242 ;
; PHI0 ; RD[5] ; 13.242 ; ; ; 13.242 ;
; PHI0 ; RD[6] ; 12.781 ; ; ; 12.781 ;
; PHI0 ; RD[7] ; 13.222 ; ; ; 13.222 ;
; PHI0 ; RDdir ; 12.781 ; ; ; 12.781 ;
; nDEVSEL ; RD[0] ; 11.475 ; ; ; 11.475 ;
; nDEVSEL ; RD[1] ; 11.475 ; ; ; 11.475 ;
; nDEVSEL ; RD[2] ; 11.511 ; ; ; 11.511 ;
; nDEVSEL ; RD[3] ; 11.050 ; ; ; 11.050 ;
; nDEVSEL ; RD[4] ; 11.511 ; ; ; 11.511 ;
; nDEVSEL ; RD[5] ; 11.511 ; ; ; 11.511 ;
; nDEVSEL ; RD[6] ; 11.050 ; ; ; 11.050 ;
; nDEVSEL ; RD[7] ; 11.491 ; ; ; 11.491 ;
; nDEVSEL ; RDdir ; 11.050 ; ; ; 11.050 ;
; nIOSEL ; RD[0] ; 10.201 ; ; ; 10.201 ;
; nIOSEL ; RD[1] ; 10.201 ; ; ; 10.201 ;
; nIOSEL ; RD[2] ; 10.237 ; ; ; 10.237 ;
; nIOSEL ; RD[3] ; 9.776 ; ; ; 9.776 ;
; nIOSEL ; RD[4] ; 10.237 ; ; ; 10.237 ;
; nIOSEL ; RD[5] ; 10.237 ; ; ; 10.237 ;
; nIOSEL ; RD[6] ; 9.776 ; ; ; 9.776 ;
; nIOSEL ; RD[7] ; 10.217 ; ; ; 10.217 ;
; nIOSEL ; RDdir ; 9.776 ; ; ; 9.776 ;
; nIOSTRB ; RD[0] ; 11.644 ; ; ; 11.644 ;
; nIOSTRB ; RD[1] ; 11.644 ; ; ; 11.644 ;
; nIOSTRB ; RD[2] ; 11.680 ; ; ; 11.680 ;
; nIOSTRB ; RD[3] ; 11.219 ; ; ; 11.219 ;
; nIOSTRB ; RD[4] ; 11.680 ; ; ; 11.680 ;
; nIOSTRB ; RD[5] ; 11.680 ; ; ; 11.680 ;
; nIOSTRB ; RD[6] ; 11.219 ; ; ; 11.219 ;
; nIOSTRB ; RD[7] ; 11.660 ; ; ; 11.660 ;
; nIOSTRB ; RDdir ; 11.219 ; ; ; 11.219 ;
; nWE ; RD[0] ; 14.484 ; ; ; 14.484 ;
; nWE ; RD[1] ; 14.484 ; ; ; 14.484 ;
; nWE ; RD[2] ; 14.520 ; ; ; 14.520 ;
; nWE ; RD[3] ; 14.059 ; ; ; 14.059 ;
; nWE ; RD[4] ; 14.520 ; ; ; 14.520 ;
; nWE ; RD[5] ; 14.520 ; ; ; 14.520 ;
; nWE ; RD[6] ; 14.059 ; ; ; 14.059 ;
; nWE ; RD[7] ; 14.500 ; ; ; 14.500 ;
; nWE ; RDdir ; 14.059 ; ; ; 14.059 ;
+------------+-------------+--------+----+----+--------+
+----------------------------------------------------------------------+
; Output Enable Times ;
+-----------+------------+-------+------+------------+-----------------+
; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+------+------------+-----------------+
; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ;
; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ;
+-----------+------------+-------+------+------------+-----------------+
+----------------------------------------------------------------------+
; Minimum Output Enable Times ;
+-----------+------------+-------+------+------------+-----------------+
; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ;
+-----------+------------+-------+------+------------+-----------------+
; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ;
; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ;
+-----------+------------+-------+------+------------+-----------------+
+-------------------------------------------------------------------------------+
; Output Disable Times ;
+-----------+------------+-----------+-----------+------------+-----------------+
; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ;
+-----------+------------+-----------+-----------+------------+-----------------+
; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ;
; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ;
+-----------+------------+-----------+-----------+------------+-----------------+
+-------------------------------------------------------------------------------+
; Minimum Output Disable Times ;
+-----------+------------+-----------+-----------+------------+-----------------+
; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ;
+-----------+------------+-----------+-----------+------------+-----------------+
; MOSI ; C25M ; 8.354 ; ; Rise ; C25M ;
; SD[*] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[0] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[1] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[2] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[3] ; C25M ; 7.423 ; ; Rise ; C25M ;
; SD[4] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[5] ; C25M ; 6.983 ; ; Rise ; C25M ;
; SD[6] ; C25M ; 6.777 ; ; Rise ; C25M ;
; SD[7] ; C25M ; 6.777 ; ; Rise ; C25M ;
+-----------+------------+-----------+-----------+------------+-----------------+
+-------------------------------------------------------------------+
; Setup Transfers ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ;
; C25M ; ARCLK ; 1 ; 0 ; 0 ; 0 ;
; ARCLK ; C25M ; 1 ; 1 ; 0 ; 0 ;
; C25M ; C25M ; 1653 ; 6 ; 0 ; 0 ;
; DRCLK ; C25M ; 3 ; 0 ; 0 ; 0 ;
; C25M ; DRCLK ; 1 ; 0 ; 0 ; 0 ;
; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+-------------------------------------------------------------------+
; Hold Transfers ;
+------------+----------+----------+----------+----------+----------+
; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
+------------+----------+----------+----------+----------+----------+
; ARCLK ; ARCLK ; 1 ; 0 ; 0 ; 0 ;
; C25M ; ARCLK ; 1 ; 0 ; 0 ; 0 ;
; ARCLK ; C25M ; 1 ; 1 ; 0 ; 0 ;
; C25M ; C25M ; 1653 ; 6 ; 0 ; 0 ;
; DRCLK ; C25M ; 3 ; 0 ; 0 ; 0 ;
; C25M ; DRCLK ; 1 ; 0 ; 0 ; 0 ;
; DRCLK ; DRCLK ; 1 ; 0 ; 0 ; 0 ;
+------------+----------+----------+----------+----------+----------+
Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
---------------
; Report TCCS ;
---------------
No dedicated SERDES Transmitter circuitry present in device or used in design
---------------
; Report RSKM ;
---------------
No dedicated SERDES Receiver circuitry present in device or used in design
+------------------------------------------------+
; Unconstrained Paths ;
+---------------------------------+-------+------+
; Property ; Setup ; Hold ;
+---------------------------------+-------+------+
; Illegal Clocks ; 0 ; 0 ;
; Unconstrained Clocks ; 0 ; 0 ;
; Unconstrained Input Ports ; 32 ; 32 ;
; Unconstrained Input Port Paths ; 77 ; 77 ;
; Unconstrained Output Ports ; 26 ; 26 ;
; Unconstrained Output Port Paths ; 107 ; 107 ;
+---------------------------------+-------+------+
+------------------------------------+
; TimeQuest Timing Analyzer Messages ;
+------------------------------------+
Info: *******************************************************************
Info: Running Quartus II 32-bit TimeQuest Timing Analyzer
Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
Info: Processing started: Fri Mar 19 05:28:56 2021
Info: Command: quartus_sta GR8RAM -c GR8RAM
Info: qsta_default_script.tcl version: #1
Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected
Info (21077): Low junction temperature is 0 degrees C
Info (21077): High junction temperature is 85 degrees C
Info (306004): Started post-fitting delay annotation
Info (306005): Delay annotation completed successfully
Critical Warning (332012): Synopsys Design Constraints File file not found: 'GR8RAM.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
Info (332105): Deriving Clocks
Info (332105): create_clock -period 1.000 -name DRCLK DRCLK
Info (332105): create_clock -period 1.000 -name ARCLK ARCLK
Info (332105): create_clock -period 1.000 -name C25M C25M
Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
Critical Warning (332148): Timing requirements not met
Info (332146): Worst-case setup slack is -99.000
Info (332119): Slack End Point TNS Clock
Info (332119): ========= ============= =====================
Info (332119): -99.000 -99.000 ARCLK
Info (332119): -99.000 -99.000 DRCLK
Info (332119): -9.598 -485.527 C25M
Info (332146): Worst-case hold slack is -16.296
Info (332119): Slack End Point TNS Clock
Info (332119): ========= ============= =====================
Info (332119): -16.296 -16.296 ARCLK
Info (332119): -16.276 -16.276 DRCLK
Info (332119): -1.031 -1.031 C25M
Info (332140): No Recovery paths to report
Info (332140): No Removal paths to report
Info (332146): Worst-case minimum pulse width slack is -29.500
Info (332119): Slack End Point TNS Clock
Info (332119): ========= ============= =====================
Info (332119): -29.500 -59.000 ARCLK
Info (332119): -29.500 -59.000 DRCLK
Info (332119): -2.289 -2.289 C25M
Info (332001): The selected device family is not supported by the report_metastability command.
Info (332102): Design is not fully constrained for setup requirements
Info (332102): Design is not fully constrained for hold requirements
Info: Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 2 warnings
Info: Peak virtual memory: 275 megabytes
Info: Processing ended: Fri Mar 19 05:29:00 2021
Info: Elapsed time: 00:00:04
Info: Total CPU time (on all processors): 00:00:04