This commit is contained in:
Zane Kaminski 2023-11-03 04:37:35 -04:00
parent 64df26ef92
commit c5cdffd937
27 changed files with 71108 additions and 112178 deletions

View File

Can't render this file because it has a wrong number of fields in line 4.

File diff suppressed because one or more lines are too long

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

41
Makefile Normal file
View File

@ -0,0 +1,41 @@
KICAD = /Applications/KiCad/KiCad.app/Contents/MacOS/kicad-cli
LAYERS = F.Cu,In1.Cu,In2.Cu,B.Cu,F.Paste,F.SilkS,B.SilkS,F.Mask,B.Mask,Edge.Cuts
F_PCB = $@/../RAM128.kicad_pcb
F_SCH = $@/../RAM128.kicad_sch
F_POS = $@/RAM128-top-pos.csv
F_ZIP = RAM128.4208B-gerber.zip
F_SCHPDF = RAM128.4208B-Schematic.pdf
F_PCBPDF = RAM128.4208B-Placement.pdf
OPT_GERBER = -l $(LAYERS) --subtract-soldermask --no-netlist --no-x2
CMD_GERBER = pcb export gerbers $(OPT_GERBER) -o $@/ $(F_PCB)
CMD_DRILL = pcb export drill -o $@/ $(F_PCB)
OPT_POS = --smd-only --units mm --side front --format csv
CMD_POS = pcb export pos $(OPT_POS) -o $(F_POS) $(F_PCB)
CMD_SCHPDF = sch export pdf --black-and-white --no-background-color -o $@/$(F_SCHPDF) $(F_SCH)
CMD_PCBPDF = pcb export pdf --black-and-white -l F.Fab,Edge.Cuts -o $@/$(F_PCBPDF) $(F_PCB)
.PHONY: all clean gerber Documentation
all: gerber Documentation
clean:
rm -fr gerber/
gerber:
mkdir -p $@
$(KICAD) $(CMD_GERBER)
$(KICAD) $(CMD_DRILL)
$(KICAD) $(CMD_POS)
sed -i '' 's/PosX/MidX/g' $(F_POS)
sed -i '' 's/PosY/MidY/g' $(F_POS)
sed -i '' 's/Rot/Rotation/g' $(F_POS)
zip -r $@/$(F_ZIP) $@/
Documentation:
mkdir -p $@
$(KICAD) $(CMD_SCHPDF)
$(KICAD) $(CMD_PCBPDF)

View File

@ -1,578 +0,0 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# 74xx_74LS08
#
DEF 74xx_74LS08 U 0 40 Y Y 5 L N
F0 "U" 0 50 50 H V C CNN
F1 "74xx_74LS08" 0 -50 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 74LS09
$FPLIST
DIP*W7.62mm*
$ENDFPLIST
DRAW
A 0 0 150 -899 899 1 1 10 f 0 -150 0 150
A 0 0 150 -899 899 2 1 10 f 0 -150 0 150
A 0 0 150 -899 899 3 1 10 f 0 -150 0 150
A 0 0 150 -899 899 4 1 10 f 0 -150 0 150
A -360 0 258 354 -354 1 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 1 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 1 2 10 f 150 0 -24 -150
A -360 0 258 354 -354 2 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 2 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 2 2 10 f 150 0 -24 -150
A -360 0 258 354 -354 3 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 3 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 3 2 10 f 150 0 -24 -150
A -360 0 258 354 -354 4 2 10 N -150 150 -150 -150
A -47 -52 204 150 837 4 2 10 f 150 0 -24 150
A -47 52 204 -150 -837 4 2 10 f 150 0 -24 -150
S -200 300 200 -300 5 1 10 f
P 4 1 1 10 0 150 -150 150 -150 -150 0 -150 f
P 4 2 1 10 0 150 -150 150 -150 -150 0 -150 f
P 4 3 1 10 0 150 -150 150 -150 -150 0 -150 f
P 4 4 1 10 0 150 -150 150 -150 -150 0 -150 f
P 2 1 2 10 -150 -150 -25 -150 f
P 2 1 2 10 -150 150 -25 150 f
P 12 1 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 2 2 10 -150 -150 -25 -150 f
P 2 2 2 10 -150 150 -25 150 f
P 12 2 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 3 2 10 -150 -150 -25 -150 f
P 2 3 2 10 -150 150 -25 150 f
P 12 3 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 4 2 10 -150 -150 -25 -150 f
P 2 4 2 10 -150 150 -25 150 f
P 12 4 2 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
X VCC 14 0 500 200 D 50 50 5 0 W
X GND 7 0 -500 200 U 50 50 5 0 W
X ~ 1 -300 100 150 R 50 50 1 1 I
X ~ 2 -300 -100 150 R 50 50 1 1 I
X ~ 3 300 0 150 L 50 50 1 1 O
X ~ 4 -300 100 150 R 50 50 2 1 I
X ~ 5 -300 -100 150 R 50 50 2 1 I
X ~ 6 300 0 150 L 50 50 2 1 O
X ~ 10 -300 -100 150 R 50 50 3 1 I
X ~ 8 300 0 150 L 50 50 3 1 O
X ~ 9 -300 100 150 R 50 50 3 1 I
X ~ 11 300 0 150 L 50 50 4 1 O
X ~ 12 -300 100 150 R 50 50 4 1 I
X ~ 13 -300 -100 150 R 50 50 4 1 I
X ~ 1 -300 100 170 R 50 50 1 2 I I
X ~ 2 -300 -100 170 R 50 50 1 2 I I
X ~ 3 300 0 150 L 50 50 1 2 O I
X ~ 4 -300 100 170 R 50 50 2 2 I I
X ~ 5 -300 -100 170 R 50 50 2 2 I I
X ~ 6 300 0 150 L 50 50 2 2 O I
X ~ 10 -300 -100 170 R 50 50 3 2 I I
X ~ 8 300 0 150 L 50 50 3 2 O I
X ~ 9 -300 100 170 R 50 50 3 2 I I
X ~ 11 300 0 150 L 50 50 4 2 O I
X ~ 12 -300 100 170 R 50 50 4 2 I I
X ~ 13 -300 -100 170 R 50 50 4 2 I I
ENDDRAW
ENDDEF
#
# 74xx_74LS273
#
DEF 74xx_74LS273 U 0 20 Y Y 1 F N
F0 "U" -300 650 50 H V C CNN
F1 "74xx_74LS273" -300 -650 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS 74HC273 74HCT273 74AHC273 74AHCT273
$FPLIST
DIP?20*
SO?20*
SOIC?20*
$ENDFPLIST
DRAW
S -300 600 300 -600 1 1 10 f
X ~Mr 1 -500 -500 200 R 50 50 1 0 I
X GND 10 0 -800 200 U 50 50 1 0 W
X Cp 11 -500 -400 200 R 50 50 1 0 I C
X Q4 12 500 100 200 L 50 50 1 0 O
X D4 13 -500 100 200 R 50 50 1 0 I
X D5 14 -500 0 200 R 50 50 1 0 I
X Q5 15 500 0 200 L 50 50 1 0 O
X Q6 16 500 -100 200 L 50 50 1 0 O
X D6 17 -500 -100 200 R 50 50 1 0 I
X D7 18 -500 -200 200 R 50 50 1 0 I
X Q7 19 500 -200 200 L 50 50 1 0 O
X Q0 2 500 500 200 L 50 50 1 0 O
X VCC 20 0 800 200 D 50 50 1 0 W
X D0 3 -500 500 200 R 50 50 1 0 I
X D1 4 -500 400 200 R 50 50 1 0 I
X Q1 5 500 400 200 L 50 50 1 0 O
X Q2 6 500 300 200 L 50 50 1 0 O
X D2 7 -500 300 200 R 50 50 1 0 I
X D3 8 -500 200 200 R 50 50 1 0 I
X Q3 9 500 200 200 L 50 50 1 0 O
ENDDRAW
ENDDEF
#
# 74xx_74LS32
#
DEF 74xx_74LS32 U 0 40 Y Y 5 L N
F0 "U" 0 50 50 H V C CNN
F1 "74xx_74LS32" 0 -50 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
DIP?14*
$ENDFPLIST
DRAW
A -360 0 258 354 -354 1 1 10 N -150 150 -150 -150
A -47 -52 204 150 837 1 1 10 f 150 0 -24 150
A -47 52 204 -150 -837 1 1 10 f 150 0 -24 -150
A -360 0 258 354 -354 2 1 10 N -150 150 -150 -150
A -47 -52 204 150 837 2 1 10 f 150 0 -24 150
A -47 52 204 -150 -837 2 1 10 f 150 0 -24 -150
A -360 0 258 354 -354 3 1 10 N -150 150 -150 -150
A -47 -52 204 150 837 3 1 10 f 150 0 -24 150
A -47 52 204 -150 -837 3 1 10 f 150 0 -24 -150
A -360 0 258 354 -354 4 1 10 N -150 150 -150 -150
A -47 -52 204 150 837 4 1 10 f 150 0 -24 150
A -47 52 204 -150 -837 4 1 10 f 150 0 -24 -150
A 0 0 150 -899 899 1 2 10 f 0 -150 0 150
A 0 0 150 -899 899 2 2 10 f 0 -150 0 150
A 0 0 150 -899 899 3 2 10 f 0 -150 0 150
A 0 0 150 -899 899 4 2 10 f 0 -150 0 150
S -200 300 200 -300 5 1 10 f
P 2 1 1 10 -150 -150 -25 -150 f
P 2 1 1 10 -150 150 -25 150 f
P 12 1 1 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 2 1 10 -150 -150 -25 -150 f
P 2 2 1 10 -150 150 -25 150 f
P 12 2 1 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 3 1 10 -150 -150 -25 -150 f
P 2 3 1 10 -150 150 -25 150 f
P 12 3 1 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 2 4 1 10 -150 -150 -25 -150 f
P 2 4 1 10 -150 150 -25 150 f
P 12 4 1 -1000 -25 150 -150 150 -150 150 -140 134 -119 89 -106 41 -103 -10 -109 -59 -125 -107 -150 -150 -150 -150 -25 -150 f
P 4 1 2 10 0 150 -150 150 -150 -150 0 -150 f
P 4 2 2 10 0 150 -150 150 -150 -150 0 -150 f
P 4 3 2 10 0 150 -150 150 -150 -150 0 -150 f
P 4 4 2 10 0 150 -150 150 -150 -150 0 -150 f
X VCC 14 0 500 200 D 50 50 5 0 W
X GND 7 0 -500 200 U 50 50 5 0 W
X ~ 1 -300 100 170 R 50 50 1 1 I
X ~ 2 -300 -100 170 R 50 50 1 1 I
X ~ 3 300 0 150 L 50 50 1 1 O
X ~ 4 -300 100 170 R 50 50 2 1 I
X ~ 5 -300 -100 170 R 50 50 2 1 I
X ~ 6 300 0 150 L 50 50 2 1 O
X ~ 10 -300 -100 170 R 50 50 3 1 I
X ~ 8 300 0 150 L 50 50 3 1 O
X ~ 9 -300 100 170 R 50 50 3 1 I
X ~ 11 300 0 150 L 50 50 4 1 O
X ~ 12 -300 100 170 R 50 50 4 1 I
X ~ 13 -300 -100 170 R 50 50 4 1 I
X ~ 1 -300 100 150 R 50 50 1 2 I I
X ~ 2 -300 -100 150 R 50 50 1 2 I I
X ~ 3 300 0 150 L 50 50 1 2 O I
X ~ 4 -300 100 150 R 50 50 2 2 I I
X ~ 5 -300 -100 150 R 50 50 2 2 I I
X ~ 6 300 0 150 L 50 50 2 2 O I
X ~ 10 -300 -100 150 R 50 50 3 2 I I
X ~ 8 300 0 150 L 50 50 3 2 O I
X ~ 9 -300 100 150 R 50 50 3 2 I I
X ~ 11 300 0 150 L 50 50 4 2 O I
X ~ 12 -300 100 150 R 50 50 4 2 I I
X ~ 13 -300 -100 150 R 50 50 4 2 I I
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x25_Counter_Clockwise
#
DEF Connector_Generic_Conn_02x25_Counter_Clockwise J 0 40 Y N 1 F N
F0 "J" 50 1300 50 H V C CNN
F1 "Connector_Generic_Conn_02x25_Counter_Clockwise" 50 -1300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -1195 0 -1205 1 1 6 N
S -50 -1095 0 -1105 1 1 6 N
S -50 -995 0 -1005 1 1 6 N
S -50 -895 0 -905 1 1 6 N
S -50 -795 0 -805 1 1 6 N
S -50 -695 0 -705 1 1 6 N
S -50 -595 0 -605 1 1 6 N
S -50 -495 0 -505 1 1 6 N
S -50 -395 0 -405 1 1 6 N
S -50 -295 0 -305 1 1 6 N
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 305 0 295 1 1 6 N
S -50 405 0 395 1 1 6 N
S -50 505 0 495 1 1 6 N
S -50 605 0 595 1 1 6 N
S -50 705 0 695 1 1 6 N
S -50 805 0 795 1 1 6 N
S -50 905 0 895 1 1 6 N
S -50 1005 0 995 1 1 6 N
S -50 1105 0 1095 1 1 6 N
S -50 1205 0 1195 1 1 6 N
S -50 1250 150 -1250 1 1 10 f
S 150 -1195 100 -1205 1 1 6 N
S 150 -1095 100 -1105 1 1 6 N
S 150 -995 100 -1005 1 1 6 N
S 150 -895 100 -905 1 1 6 N
S 150 -795 100 -805 1 1 6 N
S 150 -695 100 -705 1 1 6 N
S 150 -595 100 -605 1 1 6 N
S 150 -495 100 -505 1 1 6 N
S 150 -395 100 -405 1 1 6 N
S 150 -295 100 -305 1 1 6 N
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
S 150 305 100 295 1 1 6 N
S 150 405 100 395 1 1 6 N
S 150 505 100 495 1 1 6 N
S 150 605 100 595 1 1 6 N
S 150 705 100 695 1 1 6 N
S 150 805 100 795 1 1 6 N
S 150 905 100 895 1 1 6 N
S 150 1005 100 995 1 1 6 N
S 150 1105 100 1095 1 1 6 N
S 150 1205 100 1195 1 1 6 N
X Pin_1 1 -200 1200 150 R 50 50 1 1 P
X Pin_10 10 -200 300 150 R 50 50 1 1 P
X Pin_11 11 -200 200 150 R 50 50 1 1 P
X Pin_12 12 -200 100 150 R 50 50 1 1 P
X Pin_13 13 -200 0 150 R 50 50 1 1 P
X Pin_14 14 -200 -100 150 R 50 50 1 1 P
X Pin_15 15 -200 -200 150 R 50 50 1 1 P
X Pin_16 16 -200 -300 150 R 50 50 1 1 P
X Pin_17 17 -200 -400 150 R 50 50 1 1 P
X Pin_18 18 -200 -500 150 R 50 50 1 1 P
X Pin_19 19 -200 -600 150 R 50 50 1 1 P
X Pin_2 2 -200 1100 150 R 50 50 1 1 P
X Pin_20 20 -200 -700 150 R 50 50 1 1 P
X Pin_21 21 -200 -800 150 R 50 50 1 1 P
X Pin_22 22 -200 -900 150 R 50 50 1 1 P
X Pin_23 23 -200 -1000 150 R 50 50 1 1 P
X Pin_24 24 -200 -1100 150 R 50 50 1 1 P
X Pin_25 25 -200 -1200 150 R 50 50 1 1 P
X Pin_26 26 300 -1200 150 L 50 50 1 1 P
X Pin_27 27 300 -1100 150 L 50 50 1 1 P
X Pin_28 28 300 -1000 150 L 50 50 1 1 P
X Pin_29 29 300 -900 150 L 50 50 1 1 P
X Pin_3 3 -200 1000 150 R 50 50 1 1 P
X Pin_30 30 300 -800 150 L 50 50 1 1 P
X Pin_31 31 300 -700 150 L 50 50 1 1 P
X Pin_32 32 300 -600 150 L 50 50 1 1 P
X Pin_33 33 300 -500 150 L 50 50 1 1 P
X Pin_34 34 300 -400 150 L 50 50 1 1 P
X Pin_35 35 300 -300 150 L 50 50 1 1 P
X Pin_36 36 300 -200 150 L 50 50 1 1 P
X Pin_37 37 300 -100 150 L 50 50 1 1 P
X Pin_38 38 300 0 150 L 50 50 1 1 P
X Pin_39 39 300 100 150 L 50 50 1 1 P
X Pin_4 4 -200 900 150 R 50 50 1 1 P
X Pin_40 40 300 200 150 L 50 50 1 1 P
X Pin_41 41 300 300 150 L 50 50 1 1 P
X Pin_42 42 300 400 150 L 50 50 1 1 P
X Pin_43 43 300 500 150 L 50 50 1 1 P
X Pin_44 44 300 600 150 L 50 50 1 1 P
X Pin_45 45 300 700 150 L 50 50 1 1 P
X Pin_46 46 300 800 150 L 50 50 1 1 P
X Pin_47 47 300 900 150 L 50 50 1 1 P
X Pin_48 48 300 1000 150 L 50 50 1 1 P
X Pin_49 49 300 1100 150 L 50 50 1 1 P
X Pin_5 5 -200 800 150 R 50 50 1 1 P
X Pin_50 50 300 1200 150 L 50 50 1 1 P
X Pin_6 6 -200 700 150 R 50 50 1 1 P
X Pin_7 7 -200 600 150 R 50 50 1 1 P
X Pin_8 8 -200 500 150 R 50 50 1 1 P
X Pin_9 9 -200 400 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GW_Logic_741G04GW
#
DEF GW_Logic_741G04GW U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Logic_741G04GW" 0 -250 50 H V C CNN
F2 "stdpads:SOT-353" 0 -300 50 H I C TNN
F3 "" 0 -200 60 H I C CNN
DRAW
S 200 -200 -200 200 0 1 10 f
X NC 1 -350 100 150 R 50 50 1 1 N
X A 2 -400 0 200 R 50 50 1 1 I
X GND 3 -400 -100 200 R 50 50 1 1 W
X Y 4 400 -100 200 L 50 50 1 1 O
X Vcc 5 400 100 200 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_Logic_741G126GW
#
DEF GW_Logic_741G126GW U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Logic_741G126GW" 0 -250 50 H V C CNN
F2 "stdpads:SOT-353" 0 -300 50 H I C TNN
F3 "" 0 -200 60 H I C CNN
DRAW
S 200 -200 -200 200 0 1 10 f
X OE 1 -400 100 200 R 50 50 1 1 I
X A 2 -400 0 200 R 50 50 1 1 I
X GND 3 -400 -100 200 R 50 50 1 1 W
X Y 4 400 -100 200 L 50 50 1 1 O
X Vcc 5 400 100 200 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_Logic_74245
#
DEF GW_Logic_74245 U 0 40 Y Y 1 F N
F0 "U" 0 600 50 H V C CNN
F1 "GW_Logic_74245" 0 -600 50 H V C CNN
F2 "" 0 -650 50 H I C TNN
F3 "" 0 100 60 H I C CNN
DRAW
S -200 550 200 -550 0 1 10 f
X AtoB 1 -400 450 200 R 50 50 1 1 I
X GND 10 -400 -450 200 R 50 50 1 1 W
X B7 11 400 -450 200 L 50 50 1 1 B
X B6 12 400 -350 200 L 50 50 1 1 B
X B5 13 400 -250 200 L 50 50 1 1 B
X B4 14 400 -150 200 L 50 50 1 1 B
X B3 15 400 -50 200 L 50 50 1 1 B
X B2 16 400 50 200 L 50 50 1 1 B
X B1 17 400 150 200 L 50 50 1 1 B
X B0 18 400 250 200 L 50 50 1 1 B
X ~OE~ 19 400 350 200 L 50 50 1 1 I
X A0 2 -400 350 200 R 50 50 1 1 B
X Vcc 20 400 450 200 L 50 50 1 1 W
X A1 3 -400 250 200 R 50 50 1 1 B
X A2 4 -400 150 200 R 50 50 1 1 B
X A3 5 -400 50 200 R 50 50 1 1 B
X A4 6 -400 -50 200 R 50 50 1 1 B
X A5 7 -400 -150 200 R 50 50 1 1 B
X A6 8 -400 -250 200 R 50 50 1 1 B
X A7 9 -400 -350 200 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# GW_RAM_SRAM-128Kx8-SOP-32
#
DEF GW_RAM_SRAM-128Kx8-SOP-32 U? 0 20 Y Y 1 F N
F0 "U?" 0 950 50 H V C CNN
F1 "GW_RAM_SRAM-128Kx8-SOP-32" 0 0 50 V V C CNN
F2 "stdpads:SOP-32_P1.27mm" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
TSOP?I*11.8x8mm*P0.5mm*
TSOP?I*18.4x8mm*P0.5mm*
$ENDFPLIST
DRAW
S -300 900 300 -900 1 1 10 f
X NC 1 400 -200 100 L 50 50 1 1 N N
X A2 10 -400 600 100 R 50 50 1 1 I
X A1 11 -400 700 100 R 50 50 1 1 I
X A0 12 -400 800 100 R 50 50 1 1 I
X D0 13 400 600 100 L 50 50 1 1 B
X D1 14 400 500 100 L 50 50 1 1 B
X D2 15 400 400 100 L 50 50 1 1 B
X GND 16 400 -800 100 L 50 50 1 1 W
X D3 17 400 300 100 L 50 50 1 1 B
X D4 18 400 200 100 L 50 50 1 1 B
X D5 19 400 100 100 L 50 50 1 1 B
X A16 2 -400 -800 100 R 50 50 1 1 I
X D6 20 400 0 100 L 50 50 1 1 B
X D7 21 400 -100 100 L 50 50 1 1 B
X ~CS~ 22 400 -300 100 L 50 50 1 1 I
X A10 23 -400 -200 100 R 50 50 1 1 I
X ~OE 24 400 -600 100 L 50 50 1 1 I
X A11 25 -400 -300 100 R 50 50 1 1 I
X A9 26 -400 -100 100 R 50 50 1 1 I
X A8 27 -400 0 100 R 50 50 1 1 I
X A13 28 -400 -500 100 R 50 50 1 1 I
X ~WE 29 400 -500 100 L 50 50 1 1 I
X A14 3 -400 -600 100 R 50 50 1 1 I
X CS 30 400 -400 100 L 50 50 1 1 I
X A15 31 -400 -700 100 R 50 50 1 1 I
X VCC 32 400 800 100 L 50 50 1 1 W
X A12 4 -400 -400 100 R 50 50 1 1 I
X A7 5 -400 100 100 R 50 50 1 1 I
X A6 6 -400 200 100 R 50 50 1 1 I
X A5 7 -400 300 100 R 50 50 1 1 I
X A4 8 -400 400 100 R 50 50 1 1 I
X A3 9 -400 500 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Switch_SW_DIP_x02
#
DEF Switch_SW_DIP_x02 SW 0 0 Y N 1 F N
F0 "SW" 0 250 50 H V C CNN
F1 "Switch_SW_DIP_x02" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SW?DIP?x2*
$ENDFPLIST
DRAW
C -80 0 20 0 0 0 N
C -80 100 20 0 0 0 N
C 80 0 20 0 0 0 N
C 80 100 20 0 0 0 N
S -150 200 150 -100 0 1 10 f
P 2 0 0 0 -60 5 93 46 N
P 2 0 0 0 -60 105 93 146 N
X ~ 1 -300 100 200 R 50 50 1 1 P
X ~ 2 -300 0 200 R 50 50 1 1 P
X ~ 3 300 0 200 L 50 50 1 1 P
X ~ 4 300 100 200 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+12V
#
DEF power_+12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+12V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +12V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_-12V
#
DEF power_-12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-12V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -12V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_-5V
#
DEF power_-5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-5V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -5V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -1,5 +1,6 @@
{
"board": {
"3dviewports": [],
"design_settings": {
"defaults": {
"board_outline_line_width": 0.15,
@ -48,7 +49,13 @@
"min_clearance": 0.15239999999999998
}
},
"diff_pair_dimensions": [],
"diff_pair_dimensions": [
{
"gap": 0.0,
"via_gap": 0.0,
"width": 0.0
}
],
"drc_exclusions": [],
"meta": {
"filename": "board_design_settings.json",
@ -57,32 +64,43 @@
"rule_severities": {
"annular_width": "error",
"clearance": "error",
"connection_width": "warning",
"copper_edge_clearance": "error",
"courtyards_overlap": "error",
"copper_sliver": "error",
"courtyards_overlap": "warning",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
"duplicate_footprints": "warning",
"extra_footprint": "warning",
"duplicate_footprints": "error",
"extra_footprint": "error",
"footprint": "error",
"footprint_type_mismatch": "error",
"hole_clearance": "error",
"hole_near_hole": "error",
"invalid_outline": "error",
"isolated_copper": "warning",
"item_on_disabled_layer": "error",
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "ignore",
"lib_footprint_mismatch": "warning",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",
"missing_footprint": "warning",
"net_conflict": "warning",
"missing_footprint": "error",
"net_conflict": "error",
"npth_inside_courtyard": "ignore",
"padstack": "error",
"pth_inside_courtyard": "ignore",
"shorting_items": "error",
"silk_edge_clearance": "warning",
"silk_over_copper": "warning",
"silk_overlap": "warning",
"skew_out_of_range": "error",
"solder_mask_bridge": "warning",
"starved_thermal": "error",
"text_height": "warning",
"text_thickness": "warning",
"through_hole_pad_without_hole": "error",
"too_many_vias": "error",
"track_dangling": "warning",
@ -91,7 +109,6 @@
"unconnected_items": "error",
"unresolved_variable": "error",
"via_dangling": "warning",
"zone_has_empty_net": "error",
"zones_intersect": "error"
},
"rule_severitieslegacy_courtyards_overlap": true,
@ -100,19 +117,64 @@
"allow_blind_buried_vias": false,
"allow_microvias": false,
"max_error": 0.005,
"min_clearance": 0.0,
"min_copper_edge_clearance": 0.075,
"min_clearance": 0.15,
"min_connection": 0.12,
"min_copper_edge_clearance": 0.4064,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.25,
"min_hole_to_hole": 0.254,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 2,
"min_silk_clearance": 0.0,
"min_through_hole_diameter": 0.19999999999999998,
"min_text_height": 0.7999999999999999,
"min_text_thickness": 0.08,
"min_through_hole_diameter": 0.3,
"min_track_width": 0.15,
"min_via_annular_width": 0.049999999999999996,
"min_via_annular_width": 0.09999999999999999,
"min_via_diameter": 0.5,
"solder_mask_to_copper_clearance": 0.0,
"use_height_for_length_calcs": true
},
"teardrop_options": [
{
"td_allow_use_two_tracks": true,
"td_curve_segcount": 5,
"td_on_pad_in_zone": false,
"td_onpadsmd": true,
"td_onroundshapesonly": false,
"td_ontrackend": false,
"td_onviapad": true
}
],
"teardrop_parameters": [
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_round_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_rect_shape",
"td_width_to_size_filter_ratio": 0.9
},
{
"td_curve_segcount": 0,
"td_height_ratio": 1.0,
"td_length_ratio": 0.5,
"td_maxheight": 2.0,
"td_maxlen": 1.0,
"td_target_name": "td_track_end",
"td_width_to_size_filter_ratio": 0.9
}
],
"track_widths": [
0.0,
0.15,
@ -134,6 +196,10 @@
"diameter": 0.0,
"drill": 0.0
},
{
"diameter": 0.5,
"drill": 0.3
},
{
"diameter": 0.6,
"drill": 0.3
@ -154,7 +220,8 @@
"zones_allow_external_fillets": false,
"zones_use_no_outline": true
},
"layer_presets": []
"layer_presets": [],
"viewports": []
},
"boards": [],
"cvpcb": {
@ -338,27 +405,33 @@
"rule_severities": {
"bus_definition_conflict": "error",
"bus_entry_needed": "error",
"bus_label_syntax": "error",
"bus_to_bus_conflict": "error",
"bus_to_net_conflict": "error",
"conflicting_netclasses": "error",
"different_unit_footprint": "error",
"different_unit_net": "error",
"duplicate_reference": "error",
"duplicate_sheet_names": "error",
"endpoint_off_grid": "warning",
"extra_units": "error",
"global_label_dangling": "warning",
"hier_label_mismatch": "error",
"label_dangling": "error",
"lib_symbol_issues": "warning",
"missing_bidi_pin": "warning",
"missing_input_pin": "warning",
"missing_power_pin": "error",
"missing_unit": "warning",
"multiple_net_names": "warning",
"net_not_bus_member": "warning",
"no_connect_connected": "warning",
"no_connect_dangling": "warning",
"pin_not_connected": "error",
"pin_not_driven": "error",
"pin_to_pin": "warning",
"pin_to_pin": "error",
"power_pin_not_driven": "error",
"similar_labels": "warning",
"simulation_model_issue": "error",
"unannotated": "error",
"unit_value_mismatch": "error",
"unresolved_variable": "error",
@ -376,7 +449,7 @@
"net_settings": {
"classes": [
{
"bus_width": 12.0,
"bus_width": 12,
"clearance": 0.15,
"diff_pair_gap": 0.25,
"diff_pair_via_gap": 0.25,
@ -389,14 +462,16 @@
"schematic_color": "rgba(0, 0, 0, 0.000)",
"track_width": 0.15,
"via_diameter": 0.5,
"via_drill": 0.2,
"wire_width": 6.0
"via_drill": 0.3,
"wire_width": 6
}
],
"meta": {
"version": 2
"version": 3
},
"net_colors": null
"net_colors": null,
"netclass_assignments": null,
"netclass_patterns": []
},
"pcbnew": {
"last_paths": {
@ -412,6 +487,8 @@
"schematic": {
"annotate_start_num": 0,
"drawing": {
"dashed_lines_dash_length_ratio": 12.0,
"dashed_lines_gap_length_ratio": 3.0,
"default_line_thickness": 6.0,
"default_text_size": 50.0,
"field_names": [],
@ -443,7 +520,11 @@
"page_layout_descr_file": "",
"plot_directory": "",
"spice_adjust_passive_values": false,
"spice_current_sheet_as_root": false,
"spice_external_command": "spice \"%I\"",
"spice_model_current_sheet_as_root": true,
"spice_save_all_currents": false,
"spice_save_all_voltages": false,
"subpart_first_id": 65,
"subpart_id_separator": 0
},

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-08-07T04:59:55-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-08-07 04:59:55*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
@ -44,16 +44,6 @@ X73660000Y-132080000D01*
X139700000Y-132080000D01*
X139700000Y-139446000D01*
G37*
G36*
X139700000Y-139446000D02*
G01*
X139192000Y-139954000D01*
X74168000Y-139954000D01*
X73660000Y-139446000D01*
X73660000Y-132080000D01*
X139700000Y-132080000D01*
X139700000Y-139446000D01*
G37*
D11*
X137160000Y-135282000D03*
X134620000Y-135282000D03*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-08-07T04:59:55-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-08-07 04:59:55*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
@ -31,17 +31,14 @@ G04 Aperture macros list*
G04 Aperture macros list end*
%ADD10C,0.200000*%
%ADD11C,0.190500*%
%ADD12C,0.000000*%
%ADD13RoundRect,0.457200X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD14C,1.448000*%
%ADD12C,0.203200*%
%ADD13C,0.000000*%
%ADD14RoundRect,0.457200X0.381000X3.289000X-0.381000X3.289000X-0.381000X-3.289000X0.381000X-3.289000X0*%
%ADD15C,1.448000*%
G04 APERTURE END LIST*
D10*
X138049000Y-103886000D02*
X137668000Y-104267000D01*
X138176000Y-120269000D02*
X136398000Y-121285000D01*
X136398000Y-121285000D02*
X136398000Y-119253000D01*
X138049000Y-117983000D02*
X137668000Y-118364000D01*
X136779000Y-117983000D02*
@ -52,135 +49,338 @@ X136779000Y-103886000D02*
X138049000Y-103886000D01*
X138049000Y-117983000D02*
X137668000Y-117602000D01*
X136398000Y-119253000D02*
X138176000Y-120269000D01*
X137982476Y-116646476D02*
X137982476Y-115920761D01*
X136712476Y-116283619D02*
X137982476Y-116283619D01*
X136712476Y-115316000D02*
X136772952Y-115436952D01*
X136833428Y-115497428D01*
X136954380Y-115557904D01*
X137317238Y-115557904D01*
X137438190Y-115497428D01*
X137498666Y-115436952D01*
X137559142Y-115316000D01*
X137559142Y-115134571D01*
X137498666Y-115013619D01*
X137438190Y-114953142D01*
X137317238Y-114892666D01*
X136954380Y-114892666D01*
X136833428Y-114953142D01*
X136772952Y-115013619D01*
X136712476Y-115134571D01*
X136712476Y-115316000D01*
X137559142Y-114469333D02*
X136712476Y-114227428D01*
X137317238Y-113985523D01*
X136712476Y-113743619D01*
X137559142Y-113501714D01*
X136712476Y-112473619D02*
X137377714Y-112473619D01*
X137498666Y-112534095D01*
X137559142Y-112655047D01*
X137559142Y-112896952D01*
X137498666Y-113017904D01*
X136772952Y-112473619D02*
X136712476Y-112594571D01*
X136712476Y-112896952D01*
X136772952Y-113017904D01*
X136893904Y-113078380D01*
X137014857Y-113078380D01*
X137135809Y-113017904D01*
X137196285Y-112896952D01*
X137196285Y-112594571D01*
X137256761Y-112473619D01*
X136712476Y-111868857D02*
X137559142Y-111868857D01*
X137317238Y-111868857D02*
X137438190Y-111808380D01*
X137498666Y-111747904D01*
X137559142Y-111626952D01*
X137559142Y-111506000D01*
X136712476Y-110538380D02*
X137982476Y-110538380D01*
X136772952Y-110538380D02*
X136712476Y-110659333D01*
X136712476Y-110901238D01*
X136772952Y-111022190D01*
X136833428Y-111082666D01*
X136954380Y-111143142D01*
X137317238Y-111143142D01*
X137438190Y-111082666D01*
X137498666Y-111022190D01*
X137559142Y-110901238D01*
X137559142Y-110659333D01*
X137498666Y-110538380D01*
X136712476Y-108240285D02*
X137317238Y-108663619D01*
X136712476Y-108966000D02*
X137982476Y-108966000D01*
X137982476Y-108482190D01*
X137922000Y-108361238D01*
X137861523Y-108300761D01*
X137740571Y-108240285D01*
X137559142Y-108240285D01*
X137438190Y-108300761D01*
X137377714Y-108361238D01*
X137317238Y-108482190D01*
X137317238Y-108966000D01*
X136772952Y-107212190D02*
X136712476Y-107333142D01*
X136712476Y-107575047D01*
X136772952Y-107696000D01*
X136893904Y-107756476D01*
X137377714Y-107756476D01*
X137498666Y-107696000D01*
X137559142Y-107575047D01*
X137559142Y-107333142D01*
X137498666Y-107212190D01*
X137377714Y-107151714D01*
X137256761Y-107151714D01*
X137135809Y-107756476D01*
X136712476Y-106063142D02*
X137377714Y-106063142D01*
X137498666Y-106123619D01*
X137559142Y-106244571D01*
X137559142Y-106486476D01*
X137498666Y-106607428D01*
X136772952Y-106063142D02*
X136712476Y-106184095D01*
X136712476Y-106486476D01*
X136772952Y-106607428D01*
X136893904Y-106667904D01*
X137014857Y-106667904D01*
X137135809Y-106607428D01*
X137196285Y-106486476D01*
X137196285Y-106184095D01*
X137256761Y-106063142D01*
X136712476Y-105458380D02*
X137559142Y-105458380D01*
X137317238Y-105458380D02*
X137438190Y-105397904D01*
X137498666Y-105337428D01*
X137559142Y-105216476D01*
X137559142Y-105095523D01*
X136398000Y-119189500D02*
X138303000Y-120269000D01*
X136398000Y-121348500D02*
X136398000Y-119189500D01*
X138303000Y-120269000D02*
X136398000Y-121348500D01*
X137969473Y-116646475D02*
X137969473Y-115920761D01*
X136699473Y-116283618D02*
X137969473Y-116283618D01*
X136699473Y-115315999D02*
X136759950Y-115436951D01*
X136759950Y-115436951D02*
X136820426Y-115497428D01*
X136820426Y-115497428D02*
X136941378Y-115557904D01*
X136941378Y-115557904D02*
X137304235Y-115557904D01*
X137304235Y-115557904D02*
X137425188Y-115497428D01*
X137425188Y-115497428D02*
X137485664Y-115436951D01*
X137485664Y-115436951D02*
X137546140Y-115315999D01*
X137546140Y-115315999D02*
X137546140Y-115134570D01*
X137546140Y-115134570D02*
X137485664Y-115013618D01*
X137485664Y-115013618D02*
X137425188Y-114953142D01*
X137425188Y-114953142D02*
X137304235Y-114892666D01*
X137304235Y-114892666D02*
X136941378Y-114892666D01*
X136941378Y-114892666D02*
X136820426Y-114953142D01*
X136820426Y-114953142D02*
X136759950Y-115013618D01*
X136759950Y-115013618D02*
X136699473Y-115134570D01*
X136699473Y-115134570D02*
X136699473Y-115315999D01*
X137546140Y-114469332D02*
X136699473Y-114227427D01*
X136699473Y-114227427D02*
X137304235Y-113985522D01*
X137304235Y-113985522D02*
X136699473Y-113743618D01*
X136699473Y-113743618D02*
X137546140Y-113501713D01*
X136699473Y-112473618D02*
X137364711Y-112473618D01*
X137364711Y-112473618D02*
X137485664Y-112534094D01*
X137485664Y-112534094D02*
X137546140Y-112655046D01*
X137546140Y-112655046D02*
X137546140Y-112896951D01*
X137546140Y-112896951D02*
X137485664Y-113017904D01*
X136759950Y-112473618D02*
X136699473Y-112594570D01*
X136699473Y-112594570D02*
X136699473Y-112896951D01*
X136699473Y-112896951D02*
X136759950Y-113017904D01*
X136759950Y-113017904D02*
X136880902Y-113078380D01*
X136880902Y-113078380D02*
X137001854Y-113078380D01*
X137001854Y-113078380D02*
X137122807Y-113017904D01*
X137122807Y-113017904D02*
X137183283Y-112896951D01*
X137183283Y-112896951D02*
X137183283Y-112594570D01*
X137183283Y-112594570D02*
X137243759Y-112473618D01*
X136699473Y-111868856D02*
X137546140Y-111868856D01*
X137304235Y-111868856D02*
X137425188Y-111808379D01*
X137425188Y-111808379D02*
X137485664Y-111747903D01*
X137485664Y-111747903D02*
X137546140Y-111626951D01*
X137546140Y-111626951D02*
X137546140Y-111505998D01*
X136699473Y-110538380D02*
X137969473Y-110538380D01*
X136759950Y-110538380D02*
X136699473Y-110659332D01*
X136699473Y-110659332D02*
X136699473Y-110901237D01*
X136699473Y-110901237D02*
X136759950Y-111022189D01*
X136759950Y-111022189D02*
X136820426Y-111082666D01*
X136820426Y-111082666D02*
X136941378Y-111143142D01*
X136941378Y-111143142D02*
X137304235Y-111143142D01*
X137304235Y-111143142D02*
X137425188Y-111082666D01*
X137425188Y-111082666D02*
X137485664Y-111022189D01*
X137485664Y-111022189D02*
X137546140Y-110901237D01*
X137546140Y-110901237D02*
X137546140Y-110659332D01*
X137546140Y-110659332D02*
X137485664Y-110538380D01*
X136699473Y-108240284D02*
X137304235Y-108663618D01*
X136699473Y-108965999D02*
X137969473Y-108965999D01*
X137969473Y-108965999D02*
X137969473Y-108482189D01*
X137969473Y-108482189D02*
X137908997Y-108361237D01*
X137908997Y-108361237D02*
X137848521Y-108300760D01*
X137848521Y-108300760D02*
X137727569Y-108240284D01*
X137727569Y-108240284D02*
X137546140Y-108240284D01*
X137546140Y-108240284D02*
X137425188Y-108300760D01*
X137425188Y-108300760D02*
X137364711Y-108361237D01*
X137364711Y-108361237D02*
X137304235Y-108482189D01*
X137304235Y-108482189D02*
X137304235Y-108965999D01*
X136759950Y-107212189D02*
X136699473Y-107333141D01*
X136699473Y-107333141D02*
X136699473Y-107575046D01*
X136699473Y-107575046D02*
X136759950Y-107695999D01*
X136759950Y-107695999D02*
X136880902Y-107756475D01*
X136880902Y-107756475D02*
X137364711Y-107756475D01*
X137364711Y-107756475D02*
X137485664Y-107695999D01*
X137485664Y-107695999D02*
X137546140Y-107575046D01*
X137546140Y-107575046D02*
X137546140Y-107333141D01*
X137546140Y-107333141D02*
X137485664Y-107212189D01*
X137485664Y-107212189D02*
X137364711Y-107151713D01*
X137364711Y-107151713D02*
X137243759Y-107151713D01*
X137243759Y-107151713D02*
X137122807Y-107756475D01*
X136699473Y-106063142D02*
X137364711Y-106063142D01*
X137364711Y-106063142D02*
X137485664Y-106123618D01*
X137485664Y-106123618D02*
X137546140Y-106244570D01*
X137546140Y-106244570D02*
X137546140Y-106486475D01*
X137546140Y-106486475D02*
X137485664Y-106607428D01*
X136759950Y-106063142D02*
X136699473Y-106184094D01*
X136699473Y-106184094D02*
X136699473Y-106486475D01*
X136699473Y-106486475D02*
X136759950Y-106607428D01*
X136759950Y-106607428D02*
X136880902Y-106667904D01*
X136880902Y-106667904D02*
X137001854Y-106667904D01*
X137001854Y-106667904D02*
X137122807Y-106607428D01*
X137122807Y-106607428D02*
X137183283Y-106486475D01*
X137183283Y-106486475D02*
X137183283Y-106184094D01*
X137183283Y-106184094D02*
X137243759Y-106063142D01*
X136699473Y-105458380D02*
X137546140Y-105458380D01*
X137304235Y-105458380D02*
X137425188Y-105397903D01*
X137425188Y-105397903D02*
X137485664Y-105337427D01*
X137485664Y-105337427D02*
X137546140Y-105216475D01*
X137546140Y-105216475D02*
X137546140Y-105095522D01*
D11*
X136760857Y-120269000D02*
X136724571Y-120232714D01*
X136688285Y-120269000D01*
X136724571Y-120305285D01*
X136760857Y-120269000D01*
X136688285Y-120269000D01*
X136978571Y-120269000D02*
X137414000Y-120305285D01*
X137450285Y-120269000D01*
X137414000Y-120232714D01*
X136978571Y-120269000D01*
X137450285Y-120269000D01*
%LPC*%
X136797892Y-120269000D02*
X136759188Y-120230295D01*
X136759188Y-120230295D02*
X136720483Y-120269000D01*
X136720483Y-120269000D02*
X136759188Y-120307704D01*
X136759188Y-120307704D02*
X136797892Y-120269000D01*
X136797892Y-120269000D02*
X136720483Y-120269000D01*
X137030121Y-120269000D02*
X137494578Y-120307704D01*
X137494578Y-120307704D02*
X137533283Y-120269000D01*
X137533283Y-120269000D02*
X137494578Y-120230295D01*
X137494578Y-120230295D02*
X137030121Y-120269000D01*
X137030121Y-120269000D02*
X137533283Y-120269000D01*
D12*
X81056237Y-129865216D02*
X80514371Y-129865216D01*
X80514371Y-129865216D02*
X81056237Y-130678016D01*
X81056237Y-130678016D02*
X80514371Y-130678016D01*
X80204733Y-130678016D02*
X80204733Y-129865216D01*
X79740276Y-130678016D02*
X80088618Y-130213559D01*
X79740276Y-129865216D02*
X80204733Y-130329673D01*
X79353228Y-130639312D02*
X79353228Y-130678016D01*
X79353228Y-130678016D02*
X79391933Y-130755426D01*
X79391933Y-130755426D02*
X79430637Y-130794131D01*
X77959856Y-129903921D02*
X78037266Y-129865216D01*
X78037266Y-129865216D02*
X78153380Y-129865216D01*
X78153380Y-129865216D02*
X78269494Y-129903921D01*
X78269494Y-129903921D02*
X78346904Y-129981331D01*
X78346904Y-129981331D02*
X78385609Y-130058740D01*
X78385609Y-130058740D02*
X78424313Y-130213559D01*
X78424313Y-130213559D02*
X78424313Y-130329673D01*
X78424313Y-130329673D02*
X78385609Y-130484492D01*
X78385609Y-130484492D02*
X78346904Y-130561902D01*
X78346904Y-130561902D02*
X78269494Y-130639312D01*
X78269494Y-130639312D02*
X78153380Y-130678016D01*
X78153380Y-130678016D02*
X78075971Y-130678016D01*
X78075971Y-130678016D02*
X77959856Y-130639312D01*
X77959856Y-130639312D02*
X77921152Y-130600607D01*
X77921152Y-130600607D02*
X77921152Y-130329673D01*
X77921152Y-130329673D02*
X78075971Y-130329673D01*
X77301875Y-130252264D02*
X77572809Y-130252264D01*
X77572809Y-130678016D02*
X77572809Y-129865216D01*
X77572809Y-129865216D02*
X77185761Y-129865216D01*
X73804537Y-130252264D02*
X73688423Y-130290969D01*
X73688423Y-130290969D02*
X73649718Y-130329673D01*
X73649718Y-130329673D02*
X73611014Y-130407083D01*
X73611014Y-130407083D02*
X73611014Y-130523197D01*
X73611014Y-130523197D02*
X73649718Y-130600607D01*
X73649718Y-130600607D02*
X73688423Y-130639312D01*
X73688423Y-130639312D02*
X73765833Y-130678016D01*
X73765833Y-130678016D02*
X74075471Y-130678016D01*
X74075471Y-130678016D02*
X74075471Y-129865216D01*
X74075471Y-129865216D02*
X73804537Y-129865216D01*
X73804537Y-129865216D02*
X73727128Y-129903921D01*
X73727128Y-129903921D02*
X73688423Y-129942626D01*
X73688423Y-129942626D02*
X73649718Y-130020035D01*
X73649718Y-130020035D02*
X73649718Y-130097445D01*
X73649718Y-130097445D02*
X73688423Y-130174854D01*
X73688423Y-130174854D02*
X73727128Y-130213559D01*
X73727128Y-130213559D02*
X73804537Y-130252264D01*
X73804537Y-130252264D02*
X74075471Y-130252264D01*
X72836918Y-130678016D02*
X73301375Y-130678016D01*
X73069147Y-130678016D02*
X73069147Y-129865216D01*
X73069147Y-129865216D02*
X73146556Y-129981331D01*
X73146556Y-129981331D02*
X73223966Y-130058740D01*
X73223966Y-130058740D02*
X73301375Y-130097445D01*
X72062823Y-130678016D02*
X72527280Y-130678016D01*
X72295052Y-130678016D02*
X72295052Y-129865216D01*
X72295052Y-129865216D02*
X72372461Y-129981331D01*
X72372461Y-129981331D02*
X72449871Y-130058740D01*
X72449871Y-130058740D02*
X72527280Y-130097445D01*
%LPC*%
D13*
G36*
X139700000Y-139446000D02*
G01*
@ -191,7 +391,7 @@ X73660000Y-132080000D01*
X139700000Y-132080000D01*
X139700000Y-139446000D01*
G37*
D13*
D14*
X137160000Y-135282000D03*
X134620000Y-135282000D03*
X132080000Y-135282000D03*
@ -217,7 +417,7 @@ X83820000Y-135282000D03*
X81280000Y-135282000D03*
X78740000Y-135282000D03*
X76200000Y-135282000D03*
D14*
D15*
X70358000Y-129540000D03*
X136906000Y-122555000D03*
X133096000Y-129540000D03*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-08-07T04:59:55-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-08-07 04:59:55*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
@ -20,12 +20,12 @@ X74422000Y-139700000D01*
X73914000Y-139192000D02*
G75*
G03*
X74422000Y-139700000I508001J1D01*
X74422000Y-139700000I508000J0D01*
G01*
X138938000Y-139700000D02*
G75*
G03*
X139446000Y-139192000I-1J508001D01*
X139446000Y-139192000I0J508000D01*
G01*
X73914000Y-132080000D02*
X73914000Y-139192000D01*
@ -36,7 +36,7 @@ X136906000Y-97028000D01*
X139446000Y-99568000D02*
G75*
G03*
X136906000Y-97028000I-2540001J-1D01*
X136906000Y-97028000I-2540000J0D01*
G01*
X139446000Y-99568000D02*
X139446000Y-132080000D01*
@ -47,18 +47,18 @@ X73914000Y-132080000D01*
X76454000Y-97028000D02*
G75*
G03*
X74930000Y-97536000I-3J-2539990D01*
X74930000Y-97536000I0J-2540000D01*
G01*
X65278000Y-129540000D02*
G75*
G03*
X67818000Y-132080000I2540001J1D01*
X67818000Y-132080000I2540000J0D01*
G01*
X65786001Y-106679999D02*
X74930000Y-97536000D01*
X65786001Y-106679999D02*
G75*
G03*
X65278000Y-108204000I2032004J-1524003D01*
X65278000Y-108204000I2031999J-1524001D01*
G01*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-08-07T04:59:55-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-08-07 04:59:55*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
@ -58,16 +58,6 @@ X73660000Y-132080000D01*
X139700000Y-132080000D01*
X139700000Y-139446000D01*
G37*
G36*
X139700000Y-139446000D02*
G01*
X139192000Y-139954000D01*
X74168000Y-139954000D01*
X73660000Y-139446000D01*
X73660000Y-132080000D01*
X139700000Y-132080000D01*
X139700000Y-139446000D01*
G37*
D11*
X76200000Y-135282000D03*
X78740000Y-135282000D03*
@ -262,38 +252,38 @@ X109000000Y-119400000D03*
X125000000Y-127000000D03*
X125000000Y-128500000D03*
D22*
X96774000Y-114046000D03*
X95504000Y-114046000D03*
X94234000Y-114046000D03*
X92964000Y-114046000D03*
X91694000Y-114046000D03*
X90424000Y-114046000D03*
X89154000Y-114046000D03*
X87884000Y-114046000D03*
X86614000Y-114046000D03*
X85344000Y-114046000D03*
X84074000Y-114046000D03*
X82804000Y-114046000D03*
X81534000Y-114046000D03*
X80264000Y-114046000D03*
X78994000Y-114046000D03*
X77724000Y-114046000D03*
X77724000Y-100838000D03*
X78994000Y-100838000D03*
X80264000Y-100838000D03*
X81534000Y-100838000D03*
X82804000Y-100838000D03*
X84074000Y-100838000D03*
X85344000Y-100838000D03*
X86614000Y-100838000D03*
X87884000Y-100838000D03*
X89154000Y-100838000D03*
X90424000Y-100838000D03*
X91694000Y-100838000D03*
X92964000Y-100838000D03*
X94234000Y-100838000D03*
X95504000Y-100838000D03*
X96774000Y-100838000D03*
X95504000Y-100838000D03*
X94234000Y-100838000D03*
X92964000Y-100838000D03*
X91694000Y-100838000D03*
X90424000Y-100838000D03*
X89154000Y-100838000D03*
X87884000Y-100838000D03*
X86614000Y-100838000D03*
X85344000Y-100838000D03*
X84074000Y-100838000D03*
X82804000Y-100838000D03*
X81534000Y-100838000D03*
X80264000Y-100838000D03*
X78994000Y-100838000D03*
X77724000Y-100838000D03*
X77724000Y-114046000D03*
X78994000Y-114046000D03*
X80264000Y-114046000D03*
X81534000Y-114046000D03*
X82804000Y-114046000D03*
X84074000Y-114046000D03*
X85344000Y-114046000D03*
X86614000Y-114046000D03*
X87884000Y-114046000D03*
X89154000Y-114046000D03*
X90424000Y-114046000D03*
X91694000Y-114046000D03*
X92964000Y-114046000D03*
X94234000Y-114046000D03*
X95504000Y-114046000D03*
X96774000Y-114046000D03*
D23*
X99200000Y-115450000D03*
X101100000Y-115450000D03*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-08-07T04:59:55-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.1-0*
G04 #@! TF.CreationDate,2023-10-30T17:31:41-04:00*
G04 #@! TF.ProjectId,RAM128,52414d31-3238-42e6-9b69-6361645f7063,1.1*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-08-07 04:59:55*
G04 Created by KiCad (PCBNEW 7.0.1-0) date 2023-10-30 17:31:41*
%MOMM*%
%LPD*%
G01*
@ -204,38 +204,38 @@ X109000000Y-119400000D03*
X125000000Y-127000000D03*
X125000000Y-128500000D03*
D18*
X96774000Y-114046000D03*
X95504000Y-114046000D03*
X94234000Y-114046000D03*
X92964000Y-114046000D03*
X91694000Y-114046000D03*
X90424000Y-114046000D03*
X89154000Y-114046000D03*
X87884000Y-114046000D03*
X86614000Y-114046000D03*
X85344000Y-114046000D03*
X84074000Y-114046000D03*
X82804000Y-114046000D03*
X81534000Y-114046000D03*
X80264000Y-114046000D03*
X78994000Y-114046000D03*
X77724000Y-114046000D03*
X77724000Y-100838000D03*
X78994000Y-100838000D03*
X80264000Y-100838000D03*
X81534000Y-100838000D03*
X82804000Y-100838000D03*
X84074000Y-100838000D03*
X85344000Y-100838000D03*
X86614000Y-100838000D03*
X87884000Y-100838000D03*
X89154000Y-100838000D03*
X90424000Y-100838000D03*
X91694000Y-100838000D03*
X92964000Y-100838000D03*
X94234000Y-100838000D03*
X95504000Y-100838000D03*
X96774000Y-100838000D03*
X95504000Y-100838000D03*
X94234000Y-100838000D03*
X92964000Y-100838000D03*
X91694000Y-100838000D03*
X90424000Y-100838000D03*
X89154000Y-100838000D03*
X87884000Y-100838000D03*
X86614000Y-100838000D03*
X85344000Y-100838000D03*
X84074000Y-100838000D03*
X82804000Y-100838000D03*
X81534000Y-100838000D03*
X80264000Y-100838000D03*
X78994000Y-100838000D03*
X77724000Y-100838000D03*
X77724000Y-114046000D03*
X78994000Y-114046000D03*
X80264000Y-114046000D03*
X81534000Y-114046000D03*
X82804000Y-114046000D03*
X84074000Y-114046000D03*
X85344000Y-114046000D03*
X86614000Y-114046000D03*
X87884000Y-114046000D03*
X89154000Y-114046000D03*
X90424000Y-114046000D03*
X91694000Y-114046000D03*
X92964000Y-114046000D03*
X94234000Y-114046000D03*
X95504000Y-114046000D03*
X96774000Y-114046000D03*
D19*
X99200000Y-115450000D03*
X101100000Y-115450000D03*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

164
gerber/RAM128-job.gbrjob Normal file
View File

@ -0,0 +1,164 @@
{
"Header": {
"GenerationSoftware": {
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "7.0.1-0"
},
"CreationDate": "2023-10-30T17:31:41-04:00"
},
"GeneralSpecs": {
"ProjectId": {
"Name": "RAM128",
"GUID": "52414d31-3238-42e6-9b69-6361645f7063",
"Revision": "1.1"
},
"Size": {
"X": 74.318,
"Y": 42.822
},
"LayerNumber": 4,
"BoardThickness": 1.6108,
"Finish": "None"
},
"DesignRules": [
{
"Layers": "Outer",
"PadToPad": 0.15,
"PadToTrack": 0.15,
"TrackToTrack": 0.15,
"MinLineWidth": 0.15,
"TrackToRegion": 0.1524,
"RegionToRegion": 0.1524
},
{
"Layers": "Inner",
"PadToPad": 0.15,
"PadToTrack": 0.15,
"TrackToTrack": 0.15,
"TrackToRegion": 0.1524,
"RegionToRegion": 0.1524
}
],
"FilesAttributes": [
{
"Path": "RAM128-F_Cu.gtl",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "RAM128-In1_Cu.g2",
"FileFunction": "Copper,L2,Inr",
"FilePolarity": "Positive"
},
{
"Path": "RAM128-In2_Cu.g3",
"FileFunction": "Copper,L3,Inr",
"FilePolarity": "Positive"
},
{
"Path": "RAM128-B_Cu.gbl",
"FileFunction": "Copper,L4,Bot",
"FilePolarity": "Positive"
},
{
"Path": "RAM128-F_Paste.gtp",
"FileFunction": "SolderPaste,Top",
"FilePolarity": "Positive"
},
{
"Path": "RAM128-F_Silkscreen.gto",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "RAM128-B_Silkscreen.gbo",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "RAM128-F_Mask.gts",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "RAM128-B_Mask.gbs",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "RAM128-Edge_Cuts.gm1",
"FileFunction": "Profile",
"FilePolarity": "Positive"
}
],
"MaterialStackup": [
{
"Type": "Legend",
"Name": "Top Silk Screen"
},
{
"Type": "SolderPaste",
"Name": "Top Solder Paste"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Top Solder Mask"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "F.Cu"
},
{
"Type": "Dielectric",
"Thickness": 0.2104,
"Material": "FR4",
"Name": "F.Cu/In1.Cu",
"Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.0175,
"Name": "In1.Cu"
},
{
"Type": "Dielectric",
"Thickness": 1.065,
"Material": "FR4",
"Name": "In1.Cu/In2.Cu",
"Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.0175,
"Name": "In2.Cu"
},
{
"Type": "Dielectric",
"Thickness": 0.2104,
"Material": "FR4",
"Name": "In2.Cu/B.Cu",
"Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "B.Cu"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Bottom Solder Mask"
},
{
"Type": "SolderPaste",
"Name": "Bottom Solder Paste"
},
{
"Type": "Legend",
"Name": "Bottom Silk Screen"
}
]
}

View File

@ -34,12 +34,12 @@ Ref,Val,Package,MidX,MidY,Rotation,Side
"U8","74AHCT08PW","TSSOP-14_4.4x5mm_P0.65mm",112.500000,-120.850000,0.000000,top
"U9","74AHCT08PW","TSSOP-14_4.4x5mm_P0.65mm",119.800000,-120.850000,0.000000,top
"U10","74AHCT32PW","TSSOP-14_4.4x5mm_P0.65mm",127.100000,-120.850000,0.000000,top
"U11","74LVC1G126GW","SOT-353",122.550000,-127.850000,90.000000,top
"U12","74AHCT1G04GW","SOT-353",83.900000,-127.650000,-90.000000,top
"U13","74AHCT1G04GW","SOT-353",78.700000,-127.650000,-90.000000,top
"U14","74AHCT1G04GW","SOT-353",81.300000,-127.650000,-90.000000,top
"U15","74AHCT1G04GW","SOT-353",111.750000,-127.850000,-90.000000,top
"U16","74AHCT1G04GW","SOT-353",119.400000,-127.850000,-90.000000,top
"U17","74AHCT1G04GW","SOT-353",133.200000,-117.700000,-90.000000,top
"U19","74AHCT1G04GW","SOT-353",127.150000,-127.850000,-90.000000,top
"U20","74AHCT1G04GW","SOT-353",129.750000,-127.850000,-90.000000,top
"U11","74LVC1G126GW","SOT-353",122.550000,-127.850000,180.000000,top
"U12","74AHCT1G04GW","SOT-353",83.900000,-127.650000,0.000000,top
"U13","74AHCT1G04GW","SOT-353",78.700000,-127.650000,0.000000,top
"U14","74AHCT1G04GW","SOT-353",81.300000,-127.650000,0.000000,top
"U15","74AHCT1G04GW","SOT-353",111.750000,-127.850000,0.000000,top
"U16","74AHCT1G04GW","SOT-353",119.400000,-127.850000,0.000000,top
"U17","74AHCT1G04GW","SOT-353",133.200000,-117.700000,0.000000,top
"U19","74AHCT1G04GW","SOT-353",127.150000,-127.850000,0.000000,top
"U20","74AHCT1G04GW","SOT-353",129.750000,-127.850000,0.000000,top

1 Ref Val Package MidX MidY Rotation Side
34 U8 74AHCT08PW TSSOP-14_4.4x5mm_P0.65mm 112.500000 -120.850000 0.000000 top
35 U9 74AHCT08PW TSSOP-14_4.4x5mm_P0.65mm 119.800000 -120.850000 0.000000 top
36 U10 74AHCT32PW TSSOP-14_4.4x5mm_P0.65mm 127.100000 -120.850000 0.000000 top
37 U11 74LVC1G126GW SOT-353 122.550000 -127.850000 90.000000 180.000000 top
38 U12 74AHCT1G04GW SOT-353 83.900000 -127.650000 -90.000000 0.000000 top
39 U13 74AHCT1G04GW SOT-353 78.700000 -127.650000 -90.000000 0.000000 top
40 U14 74AHCT1G04GW SOT-353 81.300000 -127.650000 -90.000000 0.000000 top
41 U15 74AHCT1G04GW SOT-353 111.750000 -127.850000 -90.000000 0.000000 top
42 U16 74AHCT1G04GW SOT-353 119.400000 -127.850000 -90.000000 0.000000 top
43 U17 74AHCT1G04GW SOT-353 133.200000 -117.700000 -90.000000 0.000000 top
44 U19 74AHCT1G04GW SOT-353 127.150000 -127.850000 -90.000000 0.000000 top
45 U20 74AHCT1G04GW SOT-353 129.750000 -127.850000 -90.000000 0.000000 top

Binary file not shown.

View File

@ -1,21 +1,17 @@
M48
; DRILL file {KiCad (6.0.2-0)} date Sunday, August 07, 2022 at 04:59:57 AM
; DRILL file {KiCad 7.0.1-0} date 2023 October 30, Monday 17:31:41
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2022-08-07T04:59:57-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.2-0)
; #@! TF.CreationDate,2023-10-30T17:31:41-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,7.0.1-0
; #@! TF.FileFunction,MixedPlating,1,4
FMAT,2
INCH
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T1C0.0079
T1C0.0118
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T2C0.0118
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T3C0.0157
; #@! TA.AperFunction,Plated,PTH,ViaDrill
T4C0.0300
T2C0.0300
; #@! TA.AperFunction,NonPlated,NPTH,ComponentDrill
T5C0.0454
T3C0.0454
%
G90
G05
@ -376,11 +372,15 @@ X5.25Y-5.17
X5.2697Y-4.7028
X5.2815Y-4.9921
X5.2894Y-4.2047
X5.29Y-5.025
X5.2933Y-4.6673
X5.335Y-4.985
X5.3366Y-4.7559
X5.345Y-5.085
X5.35Y-5.17
X5.36Y-4.405
X5.36Y-4.605
X5.4Y-4.985
X5.405Y-3.855
X5.455Y-3.905
X5.46Y-4.105
@ -391,15 +391,9 @@ X5.46Y-4.905
X5.46Y-5.105
X5.46Y-5.17
T2
X5.4Y-4.985
T3
X5.29Y-5.025
X5.335Y-4.985
X5.345Y-5.085
T4
X2.975Y-5.13
X5.4Y-5.1
T5
T3
X2.76Y-4.16
X2.77Y-5.1
X5.24Y-5.1