From eade2bc8315fa38c639151cb5508a967973db1fe Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sat, 25 Jul 2020 04:49:31 -0400 Subject: [PATCH] Add GW4208A files --- Documentation/Description.txt | 37 + Voyager128-cache.lib | 410 + Voyager128-gerber.zip | Bin 0 -> 288800 bytes Voyager128.kicad_pcb | 3737 +++++ Voyager128.pro | 259 + Voyager128.sch | 1261 ++ fp-lib-table | 3 + gerber/Voyager128-B_Cu.gbl | 6812 +++++++++ gerber/Voyager128-B_Mask.gbs | 1206 ++ gerber/Voyager128-B_SilkS.gbo | 2134 +++ gerber/Voyager128-Edge_Cuts.gm1 | 55 + gerber/Voyager128-F_Cu.gtl | 24044 ++++++++++++++++++++++++++++++ gerber/Voyager128-F_Mask.gts | 5786 +++++++ gerber/Voyager128-F_Paste.gtp | 7792 ++++++++++ gerber/Voyager128-F_SilkS.gto | 10071 +++++++++++++ gerber/Voyager128-bottom.pos | 6 + gerber/Voyager128-drl_map.ps | 2756 ++++ gerber/Voyager128-top.pos | 22 + gerber/Voyager128.drl | 223 + pal/GW4208-UES-GW4208A0.JED | 176 + pal/GW4208.JED | 150 + pal/GW4208.SIM | 124 + pal/GW4208.TRF | 62 + pal/GW4208.XPT | 172 + pal/GW4208.pds | 78 + pal/PALASM.LOG | 116 + pal/PALASM2.TRE | 297 + pal/SP.@@1 | 13 + pal/TMP_FILE.@@1 | 1 + sym-lib-table | 4 + 30 files changed, 67807 insertions(+) create mode 100644 Documentation/Description.txt create mode 100644 Voyager128-cache.lib create mode 100644 Voyager128-gerber.zip create mode 100644 Voyager128.kicad_pcb create mode 100644 Voyager128.pro create mode 100644 Voyager128.sch create mode 100644 fp-lib-table create mode 100644 gerber/Voyager128-B_Cu.gbl create mode 100644 gerber/Voyager128-B_Mask.gbs create mode 100644 gerber/Voyager128-B_SilkS.gbo create mode 100644 gerber/Voyager128-Edge_Cuts.gm1 create mode 100644 gerber/Voyager128-F_Cu.gtl create mode 100644 gerber/Voyager128-F_Mask.gts create mode 100644 gerber/Voyager128-F_Paste.gtp create mode 100644 gerber/Voyager128-F_SilkS.gto create mode 100644 gerber/Voyager128-bottom.pos create mode 100644 gerber/Voyager128-drl_map.ps create mode 100644 gerber/Voyager128-top.pos create mode 100644 gerber/Voyager128.drl create mode 100755 pal/GW4208-UES-GW4208A0.JED create mode 100644 pal/GW4208.JED create mode 100644 pal/GW4208.SIM create mode 100644 pal/GW4208.TRF create mode 100644 pal/GW4208.XPT create mode 100644 pal/GW4208.pds create mode 100644 pal/PALASM.LOG create mode 100644 pal/PALASM2.TRE create mode 100644 pal/SP.@@1 create mode 100644 pal/TMP_FILE.@@1 create mode 100644 sym-lib-table diff --git a/Documentation/Description.txt b/Documentation/Description.txt new file mode 100644 index 0000000..82029f0 --- /dev/null +++ b/Documentation/Description.txt @@ -0,0 +1,37 @@ +The GW4208A RAM expansion card provides the +Apple II, II+, and IIe with 128 kB of +Language Card and Saturn-compatible +expansion memory. + + +Low-Power, SRAM-Based Design +---------------------------------------- +Thanks to a modern, low-power design, +RAM2GS II uses a maximum of 0.1 watts when idle +(20 mA @ 5V) and 0.2W in active use (40 mA @ 5V). +Unlike other Apple II expansion RAM cards, which are +built with vintage asynchronous DRAM chips, +RAM2GS II uses modern SRAM. This design allows for +low power consumption and improved reliability +over other memory cards using 15+ year old chips. + + +Ecologically Friendly, Gold-Plated PCB +---------------------------------------- +GW4208A features a lead-free, ENIG gold-plated +PCB and is fully EU RoHS-compliant. +All units are tested extensively before shipment. +Only new parts are used to build GW4208A, +and all GW4208A cards are manufactured in our +semi-automated facility in Columbus, Ohio, +in the United States. + + +Open-Source Design +---------------------------------------- +GW4208A's design is fully open-source. +The schematics, board layouts, and +CPLD firmware, are all freely available +for commercial and noncommercial use. +To download the design files, visit the +_Garrett's Workshop GitHub page_. diff --git a/Voyager128-cache.lib b/Voyager128-cache.lib new file mode 100644 index 0000000..41c0fe0 --- /dev/null +++ b/Voyager128-cache.lib @@ -0,0 +1,410 @@ +EESchema-LIBRARY Version 2.4 +#encoding utf-8 +# +# 74xx_74HC245 +# +DEF 74xx_74HC245 U 0 40 Y Y 1 L N +F0 "U" -300 650 50 H V C CNN +F1 "74xx_74HC245" -300 -650 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS 74HC245 +$FPLIST + DIP?20* +$ENDFPLIST +DRAW +S -300 600 300 -600 1 1 10 f +P 3 1 0 0 -25 -50 -25 50 25 50 N +P 4 1 0 0 -50 -50 25 -50 25 50 50 50 N +X A->B 1 -500 -400 200 R 50 50 1 0 I +X GND 10 0 -800 200 U 50 50 1 0 W +X B7 11 500 -200 200 L 50 50 1 0 T +X B6 12 500 -100 200 L 50 50 1 0 T +X B5 13 500 0 200 L 50 50 1 0 T +X B4 14 500 100 200 L 50 50 1 0 T +X B3 15 500 200 200 L 50 50 1 0 T +X B2 16 500 300 200 L 50 50 1 0 T +X B1 17 500 400 200 L 50 50 1 0 T +X B0 18 500 500 200 L 50 50 1 0 T +X CE 19 -500 -500 200 R 50 50 1 0 I I +X A0 2 -500 500 200 R 50 50 1 0 T +X VCC 20 0 800 200 D 50 50 1 0 W +X A1 3 -500 400 200 R 50 50 1 0 T +X A2 4 -500 300 200 R 50 50 1 0 T +X A3 5 -500 200 200 R 50 50 1 0 T +X A4 6 -500 100 200 R 50 50 1 0 T +X A5 7 -500 0 200 R 50 50 1 0 T +X A6 8 -500 -100 200 R 50 50 1 0 T +X A7 9 -500 -200 200 R 50 50 1 0 T +ENDDRAW +ENDDEF +# +# 74xx_74LS125 +# +DEF 74xx_74LS125 U 0 40 Y Y 5 L N +F0 "U" 0 50 50 H V C CNN +F1 "74xx_74LS125" 0 -50 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +ALIAS 74LVC125 +$FPLIST + DIP*W7.62mm* +$ENDFPLIST +DRAW +S -200 300 200 -300 5 1 10 f +P 4 1 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 2 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 3 0 10 -150 150 -150 -150 150 0 -150 150 f +P 4 4 0 10 -150 150 -150 -150 150 0 -150 150 f +X ~ 1 0 -250 175 U 50 50 1 0 I I +X ~ 2 -300 0 150 R 50 50 1 0 I +X ~ 3 300 0 150 L 50 50 1 0 T +X ~ 4 0 -250 175 U 50 50 2 0 I I +X ~ 5 -300 0 150 R 50 50 2 0 I +X ~ 6 300 0 150 L 50 50 2 0 T +X ~ 10 0 -250 175 U 50 50 3 0 I I +X ~ 8 300 0 150 L 50 50 3 0 T +X ~ 9 -300 0 150 R 50 50 3 0 I +X ~ 11 300 0 150 L 50 50 4 0 T +X ~ 12 -300 0 150 R 50 50 4 0 I +X ~ 13 0 -250 175 U 50 50 4 0 I I +X VCC 14 0 500 200 D 50 50 5 0 W +X GND 7 0 -500 200 U 50 50 5 0 W +ENDDRAW +ENDDEF +# +# Connector_Generic_Conn_02x25_Counter_Clockwise +# +DEF Connector_Generic_Conn_02x25_Counter_Clockwise J 0 40 Y N 1 F N +F0 "J" 50 1300 50 H V C CNN +F1 "Connector_Generic_Conn_02x25_Counter_Clockwise" 50 -1300 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Connector*:*_2x??_* +$ENDFPLIST +DRAW +S -50 -1195 0 -1205 1 1 6 N +S -50 -1095 0 -1105 1 1 6 N +S -50 -995 0 -1005 1 1 6 N +S -50 -895 0 -905 1 1 6 N +S -50 -795 0 -805 1 1 6 N +S -50 -695 0 -705 1 1 6 N +S -50 -595 0 -605 1 1 6 N +S -50 -495 0 -505 1 1 6 N +S -50 -395 0 -405 1 1 6 N +S -50 -295 0 -305 1 1 6 N +S -50 -195 0 -205 1 1 6 N +S -50 -95 0 -105 1 1 6 N +S -50 5 0 -5 1 1 6 N +S -50 105 0 95 1 1 6 N +S -50 205 0 195 1 1 6 N +S -50 305 0 295 1 1 6 N +S -50 405 0 395 1 1 6 N +S -50 505 0 495 1 1 6 N +S -50 605 0 595 1 1 6 N +S -50 705 0 695 1 1 6 N +S -50 805 0 795 1 1 6 N +S -50 905 0 895 1 1 6 N +S -50 1005 0 995 1 1 6 N +S -50 1105 0 1095 1 1 6 N +S -50 1205 0 1195 1 1 6 N +S -50 1250 150 -1250 1 1 10 f +S 150 -1195 100 -1205 1 1 6 N +S 150 -1095 100 -1105 1 1 6 N +S 150 -995 100 -1005 1 1 6 N +S 150 -895 100 -905 1 1 6 N +S 150 -795 100 -805 1 1 6 N +S 150 -695 100 -705 1 1 6 N +S 150 -595 100 -605 1 1 6 N +S 150 -495 100 -505 1 1 6 N +S 150 -395 100 -405 1 1 6 N +S 150 -295 100 -305 1 1 6 N +S 150 -195 100 -205 1 1 6 N +S 150 -95 100 -105 1 1 6 N +S 150 5 100 -5 1 1 6 N +S 150 105 100 95 1 1 6 N +S 150 205 100 195 1 1 6 N +S 150 305 100 295 1 1 6 N +S 150 405 100 395 1 1 6 N +S 150 505 100 495 1 1 6 N +S 150 605 100 595 1 1 6 N +S 150 705 100 695 1 1 6 N +S 150 805 100 795 1 1 6 N +S 150 905 100 895 1 1 6 N +S 150 1005 100 995 1 1 6 N +S 150 1105 100 1095 1 1 6 N +S 150 1205 100 1195 1 1 6 N +X Pin_1 1 -200 1200 150 R 50 50 1 1 P +X Pin_10 10 -200 300 150 R 50 50 1 1 P +X Pin_11 11 -200 200 150 R 50 50 1 1 P +X Pin_12 12 -200 100 150 R 50 50 1 1 P +X Pin_13 13 -200 0 150 R 50 50 1 1 P +X Pin_14 14 -200 -100 150 R 50 50 1 1 P +X Pin_15 15 -200 -200 150 R 50 50 1 1 P +X Pin_16 16 -200 -300 150 R 50 50 1 1 P +X Pin_17 17 -200 -400 150 R 50 50 1 1 P +X Pin_18 18 -200 -500 150 R 50 50 1 1 P +X Pin_19 19 -200 -600 150 R 50 50 1 1 P +X Pin_2 2 -200 1100 150 R 50 50 1 1 P +X Pin_20 20 -200 -700 150 R 50 50 1 1 P +X Pin_21 21 -200 -800 150 R 50 50 1 1 P +X Pin_22 22 -200 -900 150 R 50 50 1 1 P +X Pin_23 23 -200 -1000 150 R 50 50 1 1 P +X Pin_24 24 -200 -1100 150 R 50 50 1 1 P +X Pin_25 25 -200 -1200 150 R 50 50 1 1 P +X Pin_26 26 300 -1200 150 L 50 50 1 1 P +X Pin_27 27 300 -1100 150 L 50 50 1 1 P +X Pin_28 28 300 -1000 150 L 50 50 1 1 P +X Pin_29 29 300 -900 150 L 50 50 1 1 P +X Pin_3 3 -200 1000 150 R 50 50 1 1 P +X Pin_30 30 300 -800 150 L 50 50 1 1 P +X Pin_31 31 300 -700 150 L 50 50 1 1 P +X Pin_32 32 300 -600 150 L 50 50 1 1 P +X Pin_33 33 300 -500 150 L 50 50 1 1 P +X Pin_34 34 300 -400 150 L 50 50 1 1 P +X Pin_35 35 300 -300 150 L 50 50 1 1 P +X Pin_36 36 300 -200 150 L 50 50 1 1 P +X Pin_37 37 300 -100 150 L 50 50 1 1 P +X Pin_38 38 300 0 150 L 50 50 1 1 P +X Pin_39 39 300 100 150 L 50 50 1 1 P +X Pin_4 4 -200 900 150 R 50 50 1 1 P +X Pin_40 40 300 200 150 L 50 50 1 1 P +X Pin_41 41 300 300 150 L 50 50 1 1 P +X Pin_42 42 300 400 150 L 50 50 1 1 P +X Pin_43 43 300 500 150 L 50 50 1 1 P +X Pin_44 44 300 600 150 L 50 50 1 1 P +X Pin_45 45 300 700 150 L 50 50 1 1 P +X Pin_46 46 300 800 150 L 50 50 1 1 P +X Pin_47 47 300 900 150 L 50 50 1 1 P +X Pin_48 48 300 1000 150 L 50 50 1 1 P +X Pin_49 49 300 1100 150 L 50 50 1 1 P +X Pin_5 5 -200 800 150 R 50 50 1 1 P +X Pin_50 50 300 1200 150 L 50 50 1 1 P +X Pin_6 6 -200 700 150 R 50 50 1 1 P +X Pin_7 7 -200 600 150 R 50 50 1 1 P +X Pin_8 8 -200 500 150 R 50 50 1 1 P +X Pin_9 9 -200 400 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_C_Small +# +DEF Device_C_Small C 0 10 N N 1 F N +F0 "C" 10 70 50 H V L CNN +F1 "Device_C_Small" 10 -80 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + C_* +$ENDFPLIST +DRAW +P 2 0 1 13 -60 -20 60 -20 N +P 2 0 1 12 -60 20 60 20 N +X ~ 1 0 100 80 D 50 50 1 1 P +X ~ 2 0 -100 80 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# Device_R_Small +# +DEF Device_R_Small R 0 10 N N 1 F N +F0 "R" 30 20 50 H V L CNN +F1 "Device_R_Small" 30 -40 50 H V L CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + R_* +$ENDFPLIST +DRAW +S -30 70 30 -70 0 1 8 N +X ~ 1 0 100 30 D 50 50 1 1 P +X ~ 2 0 -100 30 U 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GW_PLD_GAL22V10-PLCC +# +DEF GW_PLD_GAL22V10-PLCC U 0 40 Y Y 1 F N +F0 "U" 0 300 50 V V C CNN +F1 "GW_PLD_GAL22V10-PLCC" 0 -200 50 V V C CNN +F2 "" 0 -50 50 H I C CNN +F3 "" 0 -50 50 H I C CNN +DRAW +S -250 600 250 -700 0 1 10 f +X IN 10 -400 -200 150 R 50 50 1 1 P +X IN 11 -400 -300 150 R 50 50 1 1 P +X IN 12 -400 -400 150 R 50 50 1 1 P +X IN 13 -400 -500 150 R 50 50 1 1 P +X GND 14 400 -600 150 L 50 50 1 1 W +X IN 16 -400 -600 150 R 50 50 1 1 P +X I/O 17 400 -500 150 L 50 50 1 1 P +X I/O 18 400 -400 150 L 50 50 1 1 P +X I/O 19 400 -300 150 L 50 50 1 1 P +X CLK/IN 2 -400 500 150 R 50 50 1 1 P +X I/O 20 400 -200 150 L 50 50 1 1 P +X I/O 21 400 -100 150 L 50 50 1 1 P +X I/O 23 400 0 150 L 50 50 1 1 P +X I/O 24 400 100 150 L 50 50 1 1 P +X I/O 25 400 200 150 L 50 50 1 1 P +X I/O 26 400 300 150 L 50 50 1 1 P +X I/O 27 400 400 150 L 50 50 1 1 P +X Vcc 28 400 500 150 L 50 50 1 1 W +X IN 3 -400 400 150 R 50 50 1 1 P +X IN 4 -400 300 150 R 50 50 1 1 P +X IN 5 -400 200 150 R 50 50 1 1 P +X IN 6 -400 100 150 R 50 50 1 1 P +X IN 7 -400 0 150 R 50 50 1 1 P +X IN 9 -400 -100 150 R 50 50 1 1 P +ENDDRAW +ENDDEF +# +# GW_RAM_SRAM-128Kx8-SOP-32 +# +DEF GW_RAM_SRAM-128Kx8-SOP-32 U? 0 20 Y Y 1 F N +F0 "U?" 0 950 50 H V C CNN +F1 "GW_RAM_SRAM-128Kx8-SOP-32" 0 0 50 V V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + TSOP?I*11.8x8mm*P0.5mm* + TSOP?I*18.4x8mm*P0.5mm* +$ENDFPLIST +DRAW +S -300 900 300 -900 1 1 10 f +X NC 1 400 -200 100 L 50 50 1 1 N N +X A2 10 -400 600 100 R 50 50 1 1 I +X A1 11 -400 700 100 R 50 50 1 1 I +X A0 12 -400 800 100 R 50 50 1 1 I +X D0 13 400 600 100 L 50 50 1 1 B +X D1 14 400 500 100 L 50 50 1 1 B +X D2 15 400 400 100 L 50 50 1 1 B +X GND 16 400 -800 100 L 50 50 1 1 W +X D3 17 400 300 100 L 50 50 1 1 B +X D4 18 400 200 100 L 50 50 1 1 B +X D5 19 400 100 100 L 50 50 1 1 B +X A16 2 -400 -800 100 R 50 50 1 1 I +X D6 20 400 0 100 L 50 50 1 1 B +X D7 21 400 -100 100 L 50 50 1 1 B +X ~CS~ 22 400 -300 100 L 50 50 1 1 I +X A10 23 -400 -200 100 R 50 50 1 1 I +X ~OE 24 400 -600 100 L 50 50 1 1 I +X A11 25 -400 -300 100 R 50 50 1 1 I +X A9 26 -400 -100 100 R 50 50 1 1 I +X A8 27 -400 0 100 R 50 50 1 1 I +X A13 28 -400 -500 100 R 50 50 1 1 I +X ~WE 29 400 -500 100 L 50 50 1 1 I +X A14 3 -400 -600 100 R 50 50 1 1 I +X CS 30 400 -400 100 L 50 50 1 1 I +X A15 31 -400 -700 100 R 50 50 1 1 I +X VCC 32 400 800 100 L 50 50 1 1 W +X A12 4 -400 -400 100 R 50 50 1 1 I +X A7 5 -400 100 100 R 50 50 1 1 I +X A6 6 -400 200 100 R 50 50 1 1 I +X A5 7 -400 300 100 R 50 50 1 1 I +X A4 8 -400 400 100 R 50 50 1 1 I +X A3 9 -400 500 100 R 50 50 1 1 I +ENDDRAW +ENDDEF +# +# Mechanical_Fiducial +# +DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N +F0 "FID" 0 200 50 H V C CNN +F1 "Mechanical_Fiducial" 0 125 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + Fiducial* +$ENDFPLIST +DRAW +C 0 0 50 0 1 20 f +ENDDRAW +ENDDEF +# +# Mechanical_MountingHole_Pad +# +DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N +F0 "H" 0 250 50 H V C CNN +F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +$FPLIST + MountingHole*Pad* +$ENDFPLIST +DRAW +C 0 50 50 0 1 50 N +X 1 1 0 -100 100 U 50 50 1 1 I +ENDDRAW +ENDDEF +# +# power_+12V +# +DEF power_+12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+12V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +12V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_+5V +# +DEF power_+5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -150 50 H I C CNN +F1 "power_+5V" 0 140 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 2 0 1 0 -30 50 0 100 N +P 2 0 1 0 0 0 0 100 N +P 2 0 1 0 0 100 30 50 N +X +5V 1 0 0 0 U 50 50 1 1 W N +ENDDRAW +ENDDEF +# +# power_-12V +# +DEF power_-12V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 100 50 H I C CNN +F1 "power_-12V" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F +X -12V 1 0 0 0 U 50 50 0 0 W N +ENDDRAW +ENDDEF +# +# power_-5V +# +DEF power_-5V #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 100 50 H I C CNN +F1 "power_-5V" 0 150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F +X -5V 1 0 0 0 U 50 50 0 0 W N +ENDDRAW +ENDDEF +# +# power_GND +# +DEF power_GND #PWR 0 0 Y Y 1 F P +F0 "#PWR" 0 -250 50 H I C CNN +F1 "power_GND" 0 -150 50 H V C CNN +F2 "" 0 0 50 H I C CNN +F3 "" 0 0 50 H I C CNN +DRAW +P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N +X GND 1 0 0 0 D 50 50 1 1 W N +ENDDRAW +ENDDEF +# +#End Library diff --git a/Voyager128-gerber.zip b/Voyager128-gerber.zip new file mode 100644 index 0000000000000000000000000000000000000000..f95516382f6fe7efd912c901ef6c5f9659aad7b9 GIT binary patch literal 288800 zcmb4r2RvQN_CG=N=rwxpQIinuaCD+~j^1nZ62uX`wx4c?tAxl-@W&}fAX1a_BwlJ&#YOqX4YEY*%~Tn=r}0X|1judHGXD(T`*AyQS59y zENwjQ=o;Xmi25Z%sDXq;B}LHy3X!5<0slYH{og`_|1QJ_);81ja`UjcE{GEqTRHD2$0Zp%c`3g!`n*LZo}&chV*u@W@hQoh$( zZt*IVdg zw`zTMwj+=#(qHq!U${CKa4)}W$HJ;7Q`b7W!LFu(c=eJ7KCgQER`;nfqg`w>skL78Oyrd!ut#>B#ndUam} zV1XZy{w)H8e_{d6zePaL&EEp(jey{NUU@S$3r{CLJ1@^`aS#MJ!vBja;azT%yd960 zPgn9jqNAZDJYp5jUfy->$vjYm5f1n~RZNwty_w?e%u(+Zwv&yXt1dOzb<%ihTp2ql zkn{fgoakg|gOH2tJ3Xg^>LI5{b+eG~dx;_6FV%Z4FX=;mtoB@d z*zvu(B#=7a`_gkhEA?Yv-R$bbH>Ccm>4(?V$YE;3j~<)z){s-X9-6CTJE_M(KgRN| zj)!YktH;`ZST6)?jk>|k^aIZ;+lQ`B^~ad5*7cT^&bC{rFzHdU1gWiFtX6K=k}*l)4(My*jSF8k@V^nY%okqz}2u02s22 zpzr?iF;OabA$YO-{IJz5=3MmoL&O#IvB3)x!NByyV^JF{;_s)P)6VL z+9_Ld- zE`Y9d^x%eE{%{LHe#p{`h6$ws*^|R-1XGK@a*dQ z>REQr)#;AgkQwf<`JEET@S58dB5#1cwR(^~q`PYQ%b{?F(*?iT`7yumSbe%r zX~g29i}bxJm8;e}Vc+>%-RsQetibL~jk7^tLSF0|FSn{O-A}maTs#nN4U$?3qu*@L zv-3At)N*v`_izmiGHh{jLM(aAHaG8Ch{7644HnyGHylKdOXe)vU@mS1V{No9OBSLC zJHJp*Og$Ie#%oU0srsU6{|o0g#d_0T6q{_SKI~U+|>65Z!4@ zoQ_HFM|V#H7~R0wwCs6ZQ&H89r*LbN;s`8$?pP1DY5xf{smH>4(C+Qn#2wv)VRBH6 z{v4|m-GUfwY#N3%P6@9|6B?)Cfw{!l;)P-karwEZf{TYB`Brh5g{8#SA9!nxKaE08 zJPlKvS9yHv+w)Hvg4nhsG~Qrz)oy~}fy->rl^qeHqwZ=F(3d4iA}<3rXvCm>=v18Y z*H>GZweby6GxoQzB)}QJ;Lq91|L+?trV%lm}Z315>z@ zu_TF?xChe~a-IzenY3ZrGCu{wcl;lscR_%gpA}8rD56S#A38+xz9TEmdXf5SFXYs1}!WLSByu6Z_9NALoL=e zyNFYEE3_d7!c9U|-jK1yu@+rc@zhWQB;?6uY`cX1JbD(ri%#JD4F>HeZi=ITCK#?5 zlJq(J);BVd6u6nN+R5_2Lo5}ZS9>^akT7@6$2L=EmB+{L+%upNz)mhO%2_&_Bx}=2CW$es(=AE z)h@s418%B}uO;%I8kEZ05Y+g%k)x$%$xg1m+4C-i7oiW+mLD%5sx3f!RxRv^&TKO+bu;;Rj~yP`=+gn3zX8*lxpQZ@{xeIZkJN>uV@Bb*9h)(~_&wfFqO-fi%?Qc>}y&!%mCTI75&#Cj3`TEyp)3ff2l(CI2DZ zWx`QqqtWFW*f0aPb!dWbKfsnL)fh|GzH8Y5Sd#OLKY9~EzcFf#w=Lgc%Gp!c21`Oi zZ_3GriCOoUnAS#|NH?7ym&@Mvq0N|c2P;t}Zacku2-B8THtFDKy?TtLMJAA$0tTxN zsQ>z=os~kER~|RcRtJhHFXiWwCRZ%)nQt|XQpm<$+{Z#08yTH%{G4>K59mvJ%flGU zdX-;ya{KImsOEp<(Kd8tViI_BF3=1gwHQkqvtMnox%0mb+Pef`gomDy4hCS3f#JyO zOwS+~?hTC7S??K;0eX7fR&U_fI)fI0ECF;n%F6f60z}EwfuRWkbWvH#Nf;!;f_4pZ zEz2oUvlv}9d1Ql@`cj-RgSURMmpnV^f0t_$1n{h%0A~ym6PRC{Stf8BfUnnW7?k>z zxfX&QSaiNcy|b0D6_z-^UaylC6O+jC4O%O4LXmU^TsC{_3F}xuXRq?a@FETXDf$So z=(-YKgvf7F#61Sw{i~)&M!>YAl+ZYvaw_3r*3BZJO}|N`D=Gjeqz%W^!g=D@Micnh z!Y}TsfHQE#$bV>^rjkkw~FzvW{m{{B5*B*A4`DW{?)ht#-*Qv9pD5E8_X{enDozo zi4JRvFbQbbEE_AJ19-uIQAcqZ{a|?WulJv&0P9ivuN%w!KlJWrEn|1(g(6;|S&?<# zWn>~A*8ID4t zW{70{fip%Yu1^dPAq2RxkXt~)--*z9EsS;hei~sE0ma0WX#po1sVxaH5gLf}f-o@I zgz}Kuz%M)FRgLE)xB0=#P89Nk4P-6<`bO=OyS2gLjCX)k8{{p^WlSyHOsPEbB$7Mm zeGTy~R4zHx=oD&(3OtmK*Xhy3YJ$zK-}SmGYk8%nwlxnO^(hZ6^$G9RdIME>n<|WR zn_9faHC;|}BD-0F5}`Hy{)4j6%gO=p6J;Ce!gb6jE(-J78&3L=mG;FH{nna`(O6@P z*G|Tu_|7KpJq5@Djx1yzNQm}hSVnU@BZ3Pr%LuQ;`c;3rq`N+LBuaYW6R;9Kq3+Em zrp+a~bBGNikC_b}X#3dPbRti>Pt z!)?9vqef7|N1uXUs}suc4M5O+hR(%i9j{($RLp*>@^9wE^RNFbj_8~tWn3>Q%TYC`w(dUM5E}i?&k)II48k zCs@l^3@y*3aySJ6o0z!JsZc!YpZ zm+ut>V{W3e#cN+5VjT0_17a+8!d%PyJaEiO1rR3{+HjM~^IQZB7`xx9`ENpW?{Q@d z>BxC;i=#Z5k=`KG&LX>j5wrp=&e+MvwqRw||`6PJs~GJ)SZ zGJK2HJ1;5IsoWTKQrTRaHYuIGuIajin?{F=TKCqC!h1P14i|~(U4@N-KWI8!XvC~i z(mHJ@fn)}vhl|r~IY8qfbHF7RV8xlG5MsOGSHFb7$xhx2zpDA$@v78I=16DJx*o5J z*`i50?P|KN8!!jt%NBt9;-dx8dWlYxPAXkbaveo}GD)j?nt}Aah77f&iM_mU_%l3> zGF8Q=KhQPTWp?GvhjoD$f-`<&P(mZXUS>bo&yt_-*L1d!M*Nm$@XPfa;4_vStY~yK zN1+|c_tDEpF<6uxpOgur>=x>>DYJ~sWHAyIIy7f!d@^!TfEaJ*HH|kf{;I=^M&-9r z{5d@+F<_l71CiY0fI=fd8a+dW$&)S|o=caS*$aT5!z)0$C;^7mfAM$uJl_g5 z<4UBKckmq`hiAp9P9k`~bp6`~Rp_!Pvqt}HkYn?M8K%WseF6I_t1rgxaX2}rCa(Eq zP0lZW7<<_)fwo1D8mF{zF+OB4w2L@y$_d^0p0zgDgL?XSp6t*NA;x2u_kj);<`ijaaUas5MVeJeS%J`Mi10>!YbVGISMo zuSz88^F$}_(u!%2fsw;^JZIBZI*9FOx*T3A-j*fWp@Fc=+~6*zH#^>UButfxoqoh3 zku-94_&3vsdme$$TURH9ahvQv-OsWO^m~s-1$j^@Upz4on$YOWAZGu?Fe+r3s~bgB z(6^C=sX?j!_|D!NFCTO<1-8bO2aX()B!<%68LCzaU3BqM_u&2R&Y67!uVzLy ztbvh4hjxsLqL&F{u#7r0lw02am@J~L=ltNT*6m&R>;wE(RsCV=x0-B~R(k~MI|dLdtqmi8L~0CL!`RRYZq;9#KA#tF$F4Eki{bO2;-v zw1+Gc@!Kilw@y_Vxgi_(TakqcmR}4lU5@Hnxw1>|O7D0>84vZdkBzLiSIv1pGyR1fM@@EzcQjJ{l@IP$2m3Mnl#GHE>0L7q*8^YI zx*j|vtSsZh^D9#FH3+YM@+D|GG5_oyo*P3q-Z1`g#)sT8AD07Vjt$tNPx!Q~ z;61^oj4u)?74K8UkPh~z&$#Q`JVDXD{{&oelTbJ3iRnfh_%kj_IZ}Tp8*fd`>Igdw zzNvQq9?KnG#?W4J?@iH@$8m{4wup z`fUrYcCaif^E7ctefO%}k4x)Vr1Aqn6}vH~uEL^p34;b(x`D%&LE;Kse2mSC*2E5< z$KD38Zl%qi)7ECBF&PCY!(i40_r!7?K1B>RO2~Ug;1T5L_B+{qjG9?^0Dm+@05)Z1 z2KG?7ZNu52t9g&@&TW+j0PAM-1vGf~o;JvBM-{##M&fl9U1S2k_+-krRXu^eY!=m) zA35kCAn(bAPmmMb@AP6fYQ{kl{>T9jZ2FLyjIY-v6T5w12!sIq5%Y|IccV2k-|0Da z``phzVlA~KAcPpnQJr7Qu>C~RhtNhxzdco&WU)X@v5D`YWtG;}y%-XLn+>!J-G;>V zixbQyD+!xfMP_dPGepA^MXM13+J=1Cewpt|ePR*s}aI3|t zaS+%?yr#Xo5@~!zRJ7r6e?pUYZ&le(4+SwVYq}G7LEBMAz({`)S--L*PC3z?9pa`h zpySqif2HHLxcKEnxM$1<*Q$WC(~lCbh7;-`zKT%B`jy9+_oc;`T3pZuLW6jwYc${O zoh(QSE-p&891jO~Dw#)IioOe==OUk5YMgf^kZQSPJm1@Q_4A^s&8R{5n{kN+uQH0B z+47(r`0a8hJlIv>nVIC#{M${{ach9MriFoe4q3PMPDBTx%i*4GNt;2(sq!o}%t>Wq zk=L#QF>f}gCqUxd>RfKwVWZBv#LGKdW$+YNFbl3APB;{ekkN|P@#Ec!B1PBKOe~f` zNA!gGm44iliqzLe9_PUyXr20uWo@^H6dfpHyyF$ob#LV8 zZ`t(9UY^y@{3r||ZwPi2#uQ`2XK?h8;ZlVT-zD)f)7pT#4y(fVo?*8)XXYDc|NOHA z_}P~1psqm0b>DXa^RTJuz6(#;LgkAkX@RHy?3r^BSw5cBd)qb4Vyf19*HBHt{?xG@ zVf}X2D?hEabV9qek;FY>48$Yz=w1PKu9F)E?%IJb17XuE1J+NH%huFvWISNOt6nCrgTwr4 zY*ueZp`CjM{UF|tw1`!@*l@i{u07eCG=GOI&=vCHLY!+jE$>9?ZG5cZ_DhN(v98Pi#@|-UBxqX@2;2voR4!MAbTbAFC*fO;3RfV~^hB$=uDDAOo!tAC(%zX243O{#-OAv$s#}%kw~vl7u1R&*3iO9~~R zID?xq(x8#X5ECy89q#|+ z^I+#tnsu@zwU8G-lB)0-p9pS_rHH3xvh$dIAK`(t0V@QTgjLJt&Xnd5iKZ@g1A`;% zO|&EH(!H@(^zrcnh*YK4p0`#>Oys6+MNqa*xn+K*ajR@a1aHLGA9DPDcTzf22!p*3LL>Z_|GBj#B zr7yuUjSc6f8gGP_AmA>be_8od_KC8lbYbz$C@!>DmwJipTzLNJqj=GTvGkiaBWe@2 z0*2<~Y96IsPSzqM5*EL7XFPgC{iOjRm*9u*@_3hC>XF4I>@Cr+P-Dk`dOkSwQku8%fZ z!cQRIVnC{A{)6T_ch0IT;ciVY$ijvdN*ZH&=Lk}+ueZG#VnDlZ4r6*(9t1VUfbzPh zV?f3CYAL~9{(}rD+4^|L!7DksczwD0c$OGR`gk&r{0Cp*Th(*RS~OM?3?CaT4@MZF z4Un5K_LiE02JV_!C|F37VEpa+5K=izGV4}ULWXwlUAVy~vak*Vn-Ff-(Od(j)S#v( zjDc>w>c=}b+IA0ERkSFQ24du1G8AD44WL=%`0=u&D~2PW35p;>GUQfp67;PEi%7-! z!`Ah~^owf$CldAk@j*>;FG^p*kqgKr-STiqe%s!v<@7u<@f; z^S+Ph^$jhnF}BW6AloX?`dm!;eu;CZte95)34L|pA|WXPbR0&8O@XjbKusQY`*E#uF*E@Q1oDtZKwt*}1nIiA!SD-a zj<$R!GvyM_e+n}0JbH+}9HPlX;k74~k%H4+g8;+pzdXlkKQ?==im@!EmW?Y$^9;C^ zaOe6hgkl#M_X&@R?tWziVq$=(ywNv*Esj2_U0I%775+MBgnYqAg-MUZtH<{|I{&hW7`e;X*m z$;#9Qm<9xjwxN<|6F@wV8D$b9XmA;iEfLsrKN>5DWpxLUGaL5J$ z!Q(7S$oY&Q6Y{ft{+jl@^vsK{qAt0D)tn>jrkbZOfnZc!(YZ11hOi1b*r- zFoD}$tpdYv9W|UhrW~gd6nEFduYDfPtY!B_did8q`8opmGvN1b&=Gp;X4>R2T;+E;s%AWM8i$%_-j zTl21@QH!_8fhaQMLbk9x|D3(r6J(YQL~buI?SWk4>gEV~5Hf692Yx}3rgO5(lB}JjH|V6& zFAuAI28c^@01OD$%foG-0mfCUHaZ^-h+6+-9fX^<$Un&2@4d*vhi1ehg<{A#?UrAc zAH%lDr(_&%E2MTzCkOdEAesfcl&fmuXrVa=q5V3xFdSHJK9>b)!wj+P9Q-9(Z96RqFdT#w}tILg!oy-fBj~owjS?(Sy zV$svHhL?kH7zMJD4);nr5F; z?%m(ow3w|>dwll5X|;7{{q_#bJA}xEmjc3l(XX)+o4vEfyzv7?H*l&g#L%y^yU%0q z4u)5M#Qxfx-J6V!S56Ja6B!e8mqpJhtC8$dc_i78;B{=++AD4o`B;%dzr9#YyFndB zNFM&%Sa3k@vlR5_m$L9L4yuG*IHi(GgUy!hcq+zbRFK*j&X!}o&lH@lFqe>n*YZn7 z4tKUQF_(fNcUrO#OP2->Y{?Oliz*}$53ek$GUD*27_5RgCmZSp;dWV~M#4)pYE&`= zX}U*7nXeVoyTA%m>R?^gH+_+v`lUJHpMc&3YjnFT7wz+LAsn~;}-i=b?zji7ACWqQxibG6v+P0_3g z{3Gd2l7MPYLgrcj*sU!S1+aIpHJ8^z-ar7S0U6Gkgga@7<`wi$A5yhnWvNNZMwYA# z9R=*^OUl+>U^-}lYA+rnmgfiR^5hi^{>gIagMbt$??lBYv*0q>kK|1Bzxj=MCl5Al z__mtkzma%0#|u&5*2a5MhZV;+(uUQ?e`5&iju&E4(Z9X<5N7!g%aA+09Vy#Vb#run zs~$Tvfp!I09>sI8#4drQ!}zNFPzN5A0mOGRSx_55-Q zYnkYJw{~KO@3wIEY9_i^yNg?A)6;M+L`0J>TR#$Bel~>$*f~ysoudWVIkbSCqnUZe zLMCW>_#O1ZfX?t8Put@S`vLJ+k7E4enJHsQhwnz*N(jKyq#WB#E^)mM4jb z!tCQZFnY$3%oP}&Aeb9fq5KRZ^D(O@U@WyPAF6>oacNchC$~+%(rAvUe&sCs z{VS5pL6r=a*j05_Q&rK0Ag!^2r+ANF!v7;IXOhV=#q!g^CS|C`o_Yrnd}j0^K+{al z#AsXTZE)UQaKc|bJVBkt;{4Q7Eh%4{OlRd zbH29jX-=3^LpEzhZPmpav?_{mrz!znttu6K+A14-&8iR)ToWOuPXqs&d%r=b{ta1x zk7Q)N<%9vg&u&ipTz+<_?Kf!qXapvHq8c#{_HAxb`MXveN6zozUiF`4wRy-3!sFO< zhzXwl=guz){|1-wU9xvPU*yqm`!34>)BTBoO!+4>QM;aDi#E-RlPMCJS_{46w(bt->kdIJmHETkaJ?x?k?}lSt{qy zJC&wnk6VR(;n%NjPQij9ZbN)m<|A0nS@7Hx}6>Hte>Kd_{B=z{%MDh=OL)!RPg zNpYc4qhzAPs@!T8O#Y*AlL-r5V_vSecw;|~?h^@5ijC{$BpUhM*A`K9zvaaLad$3% z*uS;}*KXjC>gektN33G}C+Xp0RIu7Z#c(CU&1g2`9MB4nH`-1O}6x04vI(?(naj}1>f&638c+4m9iwNmy~Lz zkFa`NCV@w9rnM#IEGD_P64LXvS@u@HsN_w8e(Gr2yu@J*iW zrK~y73`Bp)S3gT7RVBuN-7g@SLqK@m+Bfv#IP{`@ARy$&JEyDDP{i>2ettgAp`knE zR(r4U#tzQjci!@@DJTM3iTrROzJ}Bc^gh|m>$2aVdrzg(jfnh4meP1s`-O!eSLOY= zhrYSg1I7uPC1Bw#T!c=(kkpVoK%lwj?i8JktM3$Lz z9m-eulm1=YZvr7-a8$;2OliM%t{}fBSQvfT?paPkbes*he+$qzs8Q;2imuj%e2ICg z4rr(VwQW-s(`z+VA2J4HJ3oC3Kum|oFjV{UB6DUsphu|zh;czKUNP!Qp>0%dv2Bq` zX=+Yxg_v6vY7rbEE^E+7{cas-7tHXL;t^dgQYM zrQ7TRGV;67q0jZ$)GvnF>~rY2FV^1}b8v62%Xo?!Q#ic#?|iK^aZ?WJk;~DLE~MBR z=cz&)Z})r~by8v0DYx9QSUghK%iYH*>SHIO;favt*t>sK2c-s=G78QIZ-)II)L zPlMK!5mCI0VUhj%@PeyXQ?v=5@$uHB8;xJigg7G^-Idec;oGrUQ>NilDq}y5~H-z0JE%~2rVfv@0cL_xZ0mqnQq+X}yUaLJE-*SxQSpCbyY zGd<3ywv(~%;eD_!TymWkTIe$`r^Eh8hXv;lV-V0HAXK}F=9A7%T#^NL zTu#EOS5+}wSdZZ@=U`cIA|7N9Y%VmnskMSN9Fa|E!McwP zN2kkWaNGsE6sI1RvTz=a2(t}>GS7-N7~htV-m{KLhejF86Uix9eUOtdub1z#4OL*a z(NvrQF#=1r;H^W#Sw4-YWOm zpW7CfAC&@I?QwYl#jD#$=4G+8oTC$sl4&CAh{tz$Ocf5@k2#||cAZmD7I0aU@&lDY z;2vOi0Ms-6q*pGefRq(j__q!f~EWWf3MpKS{b5crKDhGh~wgB%xVZqD983Yai-t(aa zk{DclH>#K|S;yVr2T~>C2!O^s;4ljYk5RIv}H#0AwgX1C%sA`zFJz{3%-psC;$_cGh?Sm;K$eiu{GA6;Ru?3Y;u8 zFMzNT?Ob9w$!pAK?|`F2Ft1n!X*|_nw&^b{3}aJ}C5=ypVF+s*{bR`V8tG{Bpdkp@ z!2`~T$$-afTaCFSpu7u2HsEA1&cqTsjs|Xxv$4+J)c_9!jboTj_^p)!E|GLxvBzeB zAID}AK%ieG0nQ2@z&iOne!o2b3k<|c8-JutplFE95=JO;;>$&PXn`3) zV>hgwGK=II$EVW%3hCUr;XP)uo5v3AHr%)5eXBKRI>}=bUBqLft-khXyP zT!Yb+-#1p}MaiC8{>*A6dJ48G-iek;0SrjySzP6Z+q636I*M=j9k~QaEBO!I=UfI% z^#WL==OWOF^-|HnGkK&wI#t5I-1~n83rprEVa>93Tz01@RPQ!;WS4rUW%$^r_jn#6 zH&3^;gS%t}?5+ZxYn7Z6f!)zC5C+Tt>`W5 zJypTMQMNIj`DY{c4Vfea)@U4%u~JQ@^!UN3RSclH4umo|n)Iqk%RcrrIk_U$H!^a@lEAiwcIiX4ZH~bGJ ztT}R1U-$brFzp}m$lqB1953DXjSiKF9gOo}BJH1s&{U0Cv)x|)C$x{v%*T)|^^S2N zvbhgtA4FEqYGh{S96hH`2arTY08(=UKpM78)_)ntRvY9n3M-ePZnFtU{^XXKQfy&T zD&QcPQLau6v1w0ZGt9Issh{I5qS9BeLaPmURceOJitnT5%#J0az01N~Xm8oVlYg-A zyCMA-M>77sRlc_IztMr2>>);4`u+||{c9zx;ZHMQc=9Gjf?JOqMyA76RDRzO4mfGc zNVPe#rls!~%6PU-vrZ>DnKQAsr7#hvIq@(Vx1D{2b+(u2JI|m&#x!gF(-oLEko0nq z`Vpj>CPLFZUma6^-=qG^_3#HU{VyLW5J=iKb*}E-$@HZe&|G#8j9}n;Jgl)!D)opCdjoT#Ck-PxVh@_-h&h=uFM#QI)~rgN41zd6Ui7 z^F%9;j;s4@t>PD6?1hzeF7QT#bM2wylx&m&`=i|xG+d-dia<j@5559F;!xtH=d;f5kfFcH@sycGS@X$v+m`+nqaR_Y=Za}m#K~#?LOMyC zjkaYB%f(b&*5VEkF{i1J^%Zf~a3-hJs3ZC~-YDN815Gd%GEi5}2Y(I_X-PpP0?kwE2{|wXqM4)zx$vU~P8nDi} zQy628S;uY|`g zi1zSrN*FQmnA#WBk-jZ#dT+1H8jycfgitn=uMlPzdmM$%(Qux+co`vf@{yJ}HasGNr@9}T`8Aoyj&a2L z{6IQ7OorF~GM$#6&M4k*H)FwYXH0(mDH-z*1$(a;K$XzmsNi>L7_yjq_z@gHk8pt=y_qUp_+&py z7?598h!_RSSM0KijruWFa9NP+z~AJ&)@!J~-enpQ1Cx7J^-&s9JvyFDJ2Xs050s>9 zi2B^yWQ2sfC&fr0315&Dn}vXVbHH?3=LSn725}5Xx;Pj;P&vU{R+E!E-qjDm=FOoa zg#1tzQqfWN41jsobFq|-az&M`aqX7BI5|<5M6UIE0eTq=(97{M|JKU~(xo^D zMx_+dI;AWig;D{K4&ajwSIrRjKybo_kM50~+po&YK6v8)g#5-gY8Om$P9rir+NOJy zh4#^PJo)i`zw6|`I02S4qA$p({g9F1r zi7+e`ibW2d!6pvg`&nAibXXSyP6bt9E@28UFg3L7wUQPi@p^K{=znX@UklG4&JkUE zGJyfx13U)0@J>%JgwU7j=%UWgZ1o4OIj?$`yr2wUR)HK3(n={+Q@*}Q6@#7< zndqQ{OL!2R#X-%|Or!kzRU~fERt;eSDvlg>bW#>W3?9~l4X)@yHr8g_@1=TEQ#tlz zrU% z`~GlAFMX_Gl<>l*U_~`TxtmW-n?}LWESW|kEP(Iw`4_tE19hM7^ENe~?!a9cj4D z@t=Kw+_}KQ>9O|M+2+i5!QKa~>x}+&X?9!Esyx!`OA>{j<6L z?;}n>!?dv()7C&O?*@%NVfIJo`^S~n9Byg%uRSW4>0{OlPabZ-kx29qF%`d@{YOnC z&ZV<(=r_f?rl_T`CQusisz@D~HC#f?nP@;pOE<<kH5)F^0%S3;y(0vTe@`K+fQ6!ycEK> z(Cys&$vFcckM&9F&b^($<(EM zl;(XqIzF8)G_08slr+nN`W(p)s3N@-BkHI4G|E^5k+_>%HSjc4oJQ>Es8EKO;HWOe z1mrD16|n%SNXLsq$KvQi*-}|Y*^&K|GJH;!GB(brGAYj8G6RP~^S_0GGwHJ_3y;jS zf8ZMslmZTHn&k#;Ifb*co^gHr9Mj$H$7GdFobz#B{wBLr%W1Devdc5`dNp$S#&{}m z_+NTa{TuSNdDNL*VJ6)k+ZJ^1iw8P~KF7$#kWk5DzPKO3@i15zSDbhH&x+b{7ej2w zkHL`(MDBHH^T{j5<~Q)uID@Z5V}T-#4Q|T7P$_F@n9D;b!|ft;uNEz(-1*0>(kcjn&2P~Ks0G>tO# zsW>TL0B{9x1FnEi+-jtMe`04Hm~3pBMnb@3d+S+jT@c4iXv+8=4n~mPx1G|(x@GIN z3@xHD{rvu`0z&>i-}EdgUi)RAM!RKyzjr*QueWX#iZ?B`qSppCp?5XTuD5q=|4mbZ zHNQUKFpWQYA;EA#bbG87IX)q#5Ds>N2Pv55l4BRB=O1=m-UR>}I)L)$i3?+nMrJWX zj>d%*97lV2D-%uDS+#p)EQ;Q4{{|{I;m5vEGO|ZXy*SBr1_#7NhP@de3fZX4n-C-0~1fv-*6kS&cGYFO*tZ@0*d2>okOYVv`h6%7uXMD56rORcVlNsgBP9Z$DP*5R_>MlN>YAfD%Ch>A;xj{(=n_k4#Qj;0> zx5wAZ@-s!#c^iX%F3I?JqVpGW3u+olWc#gdN6GZf5dp>l8((k)rQs%VuIl6+jCbVeb;&8=vmOVTskV7cpg7VT+<3o&=7P~S`=g%6o3pWds z8@$0&79G({qvT{G(57a^Pxk-!u=pQN9{)Nl4xBtT@n~cgsq`&aSlBkTPihIE$f~Gb z372;UNd8Lz>H9Tlpn7F+$fMe~p2~E`)Y%*nK#{%DyfP^750Kg*0O|ELDGVS*SSD8s zGj`aFWxSi-t)i;~8FkreH_MO8JIh6mFU4@&?iRsyCPuvJwOkmoA0qTKuYw|mz~Dcu zL)Qj_ZgbLaaFsoC00J_u|B+*2sY(ra*GCVY4wW#B`I8I1eT ziSH(3M>#(rnO`Vli-!K~(YDy%VxHGW+iVtlVKb#uk4xl4o17e|2=F0B-(^*v!EmO) zrGs)!&mBaG;~kA&SvzH?i7()>%W1VtDjBBZ`)Wnm#O9{CF3hkGLRwIj{hz6+XaT<7 z)U^Bsplv3V(~nsR>^fjC$8O%iPU5w=ft~#t9o+I9d)HYf^&b|c=DYD=2W;x=MQK1# zLZL!nYJnJ$xnH=EFETIsSwz;W@ zTm&8tpgk4U>Zr?7lcDIj<~=XW;OTcNS4ZJ@B7jnAzfL}6K7;ig{YiVTWH z)^!&+B>9R(rWigU$nX!_A64x~v2p#l0UT2pGBuyHnqXEn$s`zW5B zV6#Hw-JqBj+Q6tbW3Q1eXkc55WN{9;QxuGG38f9O=!bR7ROBMKxHJ|P)xIJyV}29YjvIITC^U)VVRIw<|i#sRinP2nDS(UJl8 z=Z14?@b!r<6$0T#iL})$7xzve?@V@@^Dl}+4i4ltn^!fdc)3MZxbI+qzmmD|AuH(j z9(Dy`R0~|3;G2mV2ZlUQSdv_W1M|T#xRrVlZWxk+_eg&}2eSOO_k%;bNEDW^v%0IF-ZLk7hUfbusfk zllSp0ubcxkP5qJAea4X1H{muvw#$EhrA7?^0J=*Nd3~00&%_bq2?V~}kpn=OV)gX_ z0BRb5_WbYIQGS>eF9m>2`t|7-18m^qE&UA}Kp5i}rQwSmP%S|1xc&sk-+j^N7l^>6 zl?4D64qL7Z0SAQyiH_=lgJAUMl0-*D2LPDz8Z~;^0spV4Oh0Gf13s6s-EH|x-l_oP zw)h@}S8OyJh1UZBnz-Z-e72w?7Ra=zq0?S}t>OT2LOE`B+K-mU7J-m#j#CU0qu%;X zdY2IC;PyM@@i%nCk-u{u_HT&MwJfZ&xNs2P*0*c2;Hv~YC~i0_^U ze|v);`(F{j*Kr&X&~Vm|AODZFuMUW+>-rr)QFM@2K!p)RNf8hgrIaq|hCz^_k?uyp zAq1%*q@<){=$4WgLUN>)6zP_}dw3q-@3~(*_q*@={^5AeKASn~tUi1F_8JS4ql!!6 zD<57g3Jr@yj{vZ!WS=3)b;FpY4{mt3Cjn3l{l>q=(SW+k(Q56Jqx;&3BWQx-h!Spf zOcfq*ER^(Hc0Fj>aVNPx_I~L@^mP2vhlBES8v^#pI0R}dH~3fvW6?w4n`e8|Vh}gj zBLwdKk3E_ib^t_qIr0>Rsdi@t=EO$c#d7& zvVU9cpE#v&qZQm6FWp4&adK^hzix~jfZp_` z1K)Oi!B(JN@NDtsZ+wM%yj>K!4~9G5;f9S2al^NUp~OL5U#Po`Oq`$d+^Z?0p$!{> z65Ct&6QsOo)*VZu_|B8b10q^Sb`x-&}-?u z>!1CWh-gz|lfU~A(Zb&+lVuUn{)hpa%s@mcovGFF&qpf?f9{|?M2*7uZOf=jD?u&2oj;(#ddNi5qv4u!_c<}vW*CmcN z&`#=fE#ZvruDQ_Aywe+B;sb))a4jvAC+EEBrPZC#h9kwf>zeLjbo_t(6DwbjF^D!AW2YHvI*xNVX8oTMT z4@kG_3M%4Cc8hzWle_wy7W0f!TlUivnKqwSqGI^S3#OyWa`SG6ebz2a$Yc6pp)RzF zAsx?`9Kx7)J=Ktw`|t4gfA-QkeF<<@)~Sel{b!4>@@?fOt@{9JJn=5(qH7M%lU4?B z;=Bzyqge7hu^I*2{~mIHh3M}-{Z{XZX#ejvQDxnLgR#kr_bKcvKo_Ju@Yk;ZfZyTP zkBNhK7pwAl{xIGD5!L=@`=isXKNAtwvk|un9kN^~G(tHn@wKB-4}4k-7_IOZ<-$!V zG}uixZWWfE2%8R_84{|GxbL&wBbXugoJ)4%Oc|XF?!z4Sp^f`+n>aJX5_{jLg?{ta z-3K+*VfM^hoC{68>uD<~64C^s>QPU91=-J%C1_uG>{|P~g7aVZNs;c5Y>$zbM%EB@ zf~`Q78wi3O-Q2!W&X*k?kO0{g((}}|tHNs$)fez9$E@D5w!D{%>-Rf2@RO z8g*S$%^7wC%TKH(6Ys$KQ~468b73OBI!DNV`!+80l=Q=tw_QTkT>Lg0MW@)#RfJ@K1Dobn7NH)xMIMG-?V)t>gJu!3V@{8R0CO*YKndv@WAten4j{rI!925y@qrUv40B_~p*S&pSh z(ohLSb~i1O@t4$|1S~o{%uuRBHe93V{1wA{A3~jB!4w64Y2;aJT3^Um&Znf%N%@_B zCQY8A$npXQmrd6(pi6ExfTyy*2uq24@gw>01v14jP4juI)PGsg{SUAuDKCIxmxhA` zK-<@-cQ4_=^l#b>T?;)gn$E9c4X{0P z4Ss^xB(DFwb4dccS$qU<7T(~^!VtV!yp#Bw{a{xrxfN5SGKNkq++(RCq}6oAcF~XQ|L24z8ef^Fbz33ans_`ZXMy=yv%_Yom&t?+JTwGtAx6Y$}!|CwY8l6Xu*Mo{WaZMKQkI!YQH zIm@3ojvhDW-Ad&+7_25GC-Kdxy?A5YbvSy$@11DX7JaJweJ<)pH%7rJtg5+V=2b_W zA3|G5aML38>fdabfx5WNRUu}X{G7o0t!VD8AAR*{+*j;lZu_oGDz=@~-8X|#;krKF zDf$c=41zT8|FM%tBOh$pkp;O}^d`vc)};=><``ChX@`UUgyZi|Kr_NWnl^$j<^nrp z5|_E+cnqDt<O|wBN0Y{)LRYAf3NQYY8ir<0`%(BV4T=7BQ}dl~l;M9~&;85pr_8um zK2@+@RPHYG<)K-}tw|dlQ>z4!5m3g)Y$HYMg(CUk_D z#|f>i+!q1VZ;qHw5hL3qVj2718G5IfhNK&DJk6EV8N*K<$%m2%FrwzAq6ut`+OVdx z4y@)UkAk=$S>LUFRTZ9jlJ}`QRaffoFnZ&+Cc~KDzmPb^Gsoqw6F<7V& zr3zDMRsEr`r&_4UHnf#ol}i-mdXEK0Wf3lJHjx&q(k>;Nl@rmS6mWYl-BG?$GCd4G zV&o%%jV|-vokNnw# zQkj$0VQ|hnKH#q}^Pp6q^v|mk(ADjjOMXW&g4Z5DbhzRMB5k#(-cn2i_ZT(TMK(s1f`{j&YcQo5_#oGNwp_tJ#|0hE)FxGT<-8U6o>^ zknBN=YT&)+4&AXlS30JcuXK=Kz0!ey?n;L*4E+D-N=NwZJCRJK2C%D!%;b`E&$GvsWquI2|-7z%qWs+M?0aVWbPu2!C@^uv|fff#hULM)5YhnNLb z1?>kDA_GI7`qIAhMP`RJhCGV+o4qM_E+TG84>if=(URX7snO~_zb!T75lXrvGB=p+ z^S_tEUoim^-V(%SFRhxqXTC-s z4<1G1NzxtA%>4RwC4G?1-JM$NB)_9Ir^Mn&!$Sso^j-dVSOHUY)^_&|CpdYTS$#Aw z%J6s>!YF{*cl8%WTNFil9bY`lbvd9lw&B^Un}H%yX6x2W1Y@fEFkz-^FvURp<_E>s zcRU`C#EiUS=DEqBcs;7BbUN-r)>gsrQfxTgWP=tD%QmMv2UCCF^t6cjt&HP_l=F`i zmCG&6Z{04vTl9#w5=M941FWpYi?ZINvVV=m&yoDTo|PTmp6#{tks~(0u}?tq_4cp9 zToel%`}iwSts@c9BV)~#k)QOO7j~GYekMK5W>Qu^ndx&uY$yd8g`}F6efQxy1HYO> zn#D!-gWAm}GgB5c7zppIWFiZ@xcsBb9J{~4)a)McC1hlhBDAi)w)E>pUH#L+oc>Wy z!KTHa6}Fd33>&^&715 zMaxLzK1-*a*TurU!jrc2MAI_Clc4_Rsl6^lBm5 z`l6gk7Xn)j;+&6448sK3wMD0;+$&h+zh2XvrRrxhp=f>NcqeUj63*V%v(ej$5 z^095bk+o}|s7VUsn2-aJXF*UF9-s_nkNFwK=cWuB-EqVdnJ7rVn&XI|iur+>Vfx6~ z_|E)_t>O2D5{kfjRtaP zZyX0Lz}`v0z4R-}g6#}oatLPb_as-izUcOn5Ky+0kt?!1q12~vbh&a@aby3v+3Z^p z@irOOj8}I;4z8~L;Ak4YxcbAGd-!1G$N2d0;tI0X88hL?DMJ3O>0QlY$k!aY;hYt4 z^98v7O~v`tU{9}}7Eh+K$#(NQ84W}pAvE6~Mk-K}s4F$;M&aSBe20)n(F&{B;5$a~ zkf(D(($w^5V6SRMBorS*#i1t;{lzVtJz%ZY+;2!tJm|2KW6J|_%#}f7daM83m_D*ryWpObW1>@!Ua$WXVFp)ZXIt@0i#QH)UlvnX-+c!JwB<6F ze;b!LBhf~|u2yLdcj9grRkh`Y(Y|&y1LM$l4~Cqnwdnr+rjuVnCnQ3LU3!NLddG+I zLMO)$Kxg{Zvey#so$Bl_c&B+p|jq2uCZ`FWvnPu?T5 z#N!^9qj|3l39n%xFzsY-yFJNs^G%YMh4On(-n{y_`TAItSJCo`f$4hEi9y^9WBu{b z>TyBRNfZ6?;N;0K(9*sBWRBkR_-L$~zTD#^w6(OSek9c6V9>Q>RvmkdUaxy+^VsR2 zl=I+lde!T=;3VSSHnx7RHR@>)>GWSmdzh zUfafA)hj2S<0mtrdZ0Z$V9Y8Yki=^pw4v8O>OX3wKmIvC+1zyU{msez{N%~Xo5>^4 z41NvV~)PJ^-AoKUv#YCplTd zdL7pvzW3VmI(+`@cwXqx<8Yj0JXOe@pSE@%d$OZ~uysLRdo*M11UylH{7H!{#c|fGP?xs$fe=T5m)T*? z_TW%S$+7(CVnJHoQID5}*Q0i!>wEG}n|*^`dsd#VP7n6?0Rzfg2g(El_gB4m%lA4Y zpw5i#=!_$bDDrQfkrNY0LWXR)T+?(u~t&-``0zJX|c?fDn*{x2CX-jaAh&G}Ep z;QsgN*B{U~*$OebSLQCWL*KZkJfNzZyS?A^g5T}1tGHU`aRK$Boj1l8kFI~39@O!!%+$}NP@d5z{rKFv4X00|KjCc3+s6sBJ%r=7i0x_46Z z2+GSnNHZPBVl(~EvapW(>Hi{HUh%b&bh;8OQdJG3*rur^94w^k33L^3=jiyhNFl~w z2es3k*Z(0W1#d{E^2@OIUSytIWLBU~=5=VS-dMAP(JbW~9;m(EnQdOaX798}1$`qI0xpp*E}Mb+?=W%uMjS;Oem{VS6dNSPD)Ha6n^INOA)rc zNFhmYdl%|4(>G2~7tZ}K-=`)~EWwLLoKZ;PL;91dpo};uDG64V{|PVGwcF0!aQ&I` zeSWACy@fPuAPN0m_FH!*xrD*A%vvbHSOUe*_?JTS4Te)^5)hsaWqYv!1#il;@*C`K zDczu%m$hvSxQ84ajzkL5=hr=TYNKOk3cv)fBuJx^1e zkMVwZ`v2l{GvQZ8Q&s#qJBt(qmLEU7O}wFH z`_d0yD_BGvxqr=Pc8rh*L#=%D5=JORe-AO<0%=hnh4=4`5h_0m(TRxjmviNn_P30L zrqXyr72>iVe(g-wv<>d~reQ`T^yHbxFD_>;zFoUyri166Eh}bXsx$5myYWe@5A~9g zSCnKVjiuU9 zfiHa*6vMaQ9LUMnZN6qeuF0DjYOX+!y@&kf$M@=C7@-Zrd!^fO#v9e*eNJziH?lvz z66#9Y_1->8cIaYuucI14Io2N3+?ns4JSuZ8_1qOEHs}92{;E1__o~9By(rf8)vFVj zooR|+&N6Xp@Ur^DIDbp6S&p2mT9Q`2Y+iJSW$uW!EG5 z8iYq2&KP)0^A1LLwQKa+FawtFY}RND&bQ{R%8$J~aV5~w3)oy5^pu@%tu}@&tPFbU z&VQ`l2ym83!o)}j<~u2eneH~}f63_fSdi<|V>#Ilh$-}-tJ`?&m(!JW=6(~Ia-S1i zOl=2Sz$Q3dd9WRTw1`TXFOf|`b2nAJOp-6m;_2IVoSOe`)x!|va=>2qm7wr}2yENS{LLJ#}7d+ht_T~k?MFc$hv^P=^Dr4xqPWMHSB(%q1V}f`T zE$fW^A!q6Keto2m{?2y8inN%Gw@7r%4Z&j<|NEt#2lF)hYir}TDN3uul9S#nLaLwW z`eqCSI9F8GI$`lun-4L|*fBwZ!0;JZnPPEF%(wj zz9gvg*=ObFL*F8q*lG+q5wYSgDT`RSvsfBgDf0^YPA)*^m$eN;72B-N=O$gWN7wnp zXVAA_e~gbV$64Q#3`Da?nF;E2c#lUleuoTx(M^$S2-vr-(5?QDKc2cvXD(YQ*il$# zM3nTP57HtRU;aHOD<@$kyg)t|1D{)Q%`^YroHVzKja#oQpqp`%O)|W`SXN*ZvrMr! zEwL9c`Ys81$&aVbpRYV;J67izvU{9k^UKCBS>~@pLKNKgT@T1e+>PM*2qz4G3BMo( zg@4|)P!B?n;`vBatML{eb?Dyni8+?~eV6^bl3&hq4uK2p?t&g)IC^@J1*0Vit?DHo z&13KI&p)!glY99@Hij^10fjY29mUYQ{Mzp%=XodbQ&5nRg*7Sc+FP25^lw^*R|{{ zjeZ?7E)2RHrT3KZWLy}0QT6>GR8&z+t7R~4 zQ8#V)+~tBy&@XuoCs=8;!d>^x;{S^PLT`hYjlTc<8(;_?=(kNLBfreT_eTx}Cw zro^!M+ouDcxb;?JwA`n75$1@JYKio7#y7vMKg*sSOBwHJzUb$J%>E_p(OOR^ZU1P{P>wU_1vSc~AA}yCJ@2fC93znGEp3IN-@k@9L9wJQ znF*nIBt6)Kg?(Y8K>Zqma880+W|IJ?#32e!_R$0L0zSCwKE_fj|LA%y4_wDJThwtj zE@2137m^59wqJ68gW=fQ-l%?;Tk4@ZiML7j+D>rRs&)nCmZpc|Q#x5rh^Z_?XbB0P z50*eB=%KKNo5!8kdi1)pOPTAO0xA&+lX_%AT0!MVCzeTpUmE$#3G%Ib`=02M*WV+~ z_G!sVqV5PQcU~Kjz#qh#$Z^PwmdEH=6)@nUgN7QzT#muuC8r@Cxt104>3r4 zNi>zP8XT&QJP^~}chnZln>9;tx+Sjra`{*my5?+r2!88i+~XBOi&3vW<*3zbdr{~l zLg-SA6)s<{Tq+Q%g%_t{MQ8T+%YF_X>eXpi&Eewg&=Cfo2r`6DLjiK{-;)-BY} ztN&>F+W7g{mT&)jNX$gH#H|;RdNta$dMZMU$f;Ncf4w>8ljV@-ls%Mo@tl~lX37ff zDVzBX1W})Co_0#Dyt#4r!g%Wn!UeCO( zM<(-Hf~&UcbSon_Fyyhc#gQ)q)Km9zuphq3^sL4Yl`bywN%$7-J__U=+d$74gi;Aq zq{M}CVv0H~I0p6(H=cA(cKg%m7$00vm#rGgIY*9)pX)T(D{&P6E_xD3ccz&eDOQkb zPSb3VrWjAt-1ykIGsvmT(QS(#3pF6~%iIQ^l{_tm0zoEk3}#w`pzSPQ31xb+za%Xg zg$3MT;wxcrYm!?CNlFAwq$BPup{iB5(;Jt|nIaLMSG24P*WDr1{3zFVNGzV6Os5cAEA@;s_A42vbDG1;<5 z<%V$sgUHToTX_*f&Q6SupEYG{#r#N?11H*vF^Kdh`flAS**C9ITGSBb{C+fPEfagdp{4AYAMOutoz!&`|C`FG0k) zt&y8&8F^`Zon))NDMmaNZMaDu#-k}#A{Q8T|Ho3G;ZQ*ad2cO_$zT8Q5nFH%PO4Xn{|K4hDi;AHyTnqfy4^ntW{r zyR%-VNWg{UflQe@YT(SZYQOa^w-0Ua*Y79d| zYK8&QmxZ3e*et;0eItX2Y*d(P#!Q>2vX@~283Bz|y-p*eepX#VWl1`r!95{}L7n`7Fmw+Pdh7*h-Yb2cg+F!ed%jOhj;$GNE<2wVS->R7L~3U# zO)RRBOWPZ#de`;2YAF1@((|;8J8K~<(8OH*7Od$TAjo?q(GlO@wra?7eM? z9a^q;>*6#j0eEClh_52NRq5VM=Y2?Vfbd;Jzf&CK8NNIy#E(IS=2 z!B8czC4+N=y$P23z=LW|^L<1>T# zBeHzH8UW@rp|QeHnH(SL;-q3igF83!e5g@s1YCX7**-BcT)2tg+DQdq<-J^RDT~lR z%M6y0PRPKa_0~d;Ek@u=wsCnVG%4Agxk^mN zx3c$n+@h#EoztN?qCRaMN=rqw#7L?oD3aq!T!_zCTT>mP=E7WFQf<#SKy+TQI5P4G z4K%uGk;`q<_N;&o+)(WwLmXEkqJ%91vdEG8ny1v@i0b zoHv7s(*o}R6o2>7H$9jAUsE7qQC>lI`LBGW*u`ow-pW!7NV5)w9?++zJf8}=S6~QX z25GjWW6vhSJXe$(9RVL2gh6JF+!`trsD_ldLg?ke*NDQ*TO0&{e-NP07QJy%k6fQ` zc*EbPJx{wOvKAtBh2PBf4ReergYB&xWSJBfck|3uO+^)hS8!<28nPp&f7&-{o zYZFNGn6)YaSGnDQaQr;(kItO~e`NQ{95%NDRDJUvcn+XFz#}rStGzYpVx<-+%$6vS zW4WuOe8styl8BC%N`z8&kCabYMs$?&GL1oYa4t8K#I3k}Mz^=rZO*CC>}GFd2lvtk zT6&b8j!ML&g%?5dU6RX#omgJ*<&Vz*R}55Qu6D8z-(Y1W+lTrR4a6o6Fbck*L~we5 z)1QcQdnDcuO96=`fJfR(X8+sZ#X8x^8s%=3V&FTRW1|0=EkuJguyF4YP|J<9ESw`_ z&yMY}16siTrx4nSn|Vp?gp7%s+kC<8{-LwD>zkFtmj`FM+kpF)$OonmzNJrzfQy5d z)U?;k&|Irw$wy7VEl;{3EW7H<<7~;T%xvn^Qd~g%EylDTlGaMDR zL3SYC^!Ty+#Gq)Ardd9cK~Wr9#Yz$+vUFd}BPfFh49`z+E%-{vt&pCLkQD7Ur0TuT zBJLmK&X^;+5f*AR11eC(yR#xQvK(ZV${L2qEN*m1|0;1gqfN zya$Av6rsh;)qei)X(w>C4EGI-gEl8;`K2EY>-xQU(L>nSW!gxwW zOXT#!Ze(<{u`1gdreq1Bu}Gib2OY`MP-G^16J7SY{$7h1uS;*AlW-@!14tVql_XICpd@lKM5WL@*4GMQE3!B5bH-Y!125k99TsYhVp=1GwF?MsH zofg>-9K&h60H*#>7f@RA?@%CusYqk8U>|BOoWMM(?tC~`F{sg~TGrjlT%64SsLY{sEj`Wb@?oHkzvp??4OBjXHR zb{?q8KLV!gJP0QLIK!#8QJ}g%@IV6~fdpxWCr4-&YtZF9@v;o6PCAppVr(|$wXE!m zwW)!kq1l04|Bv^J6k$UI%Z|od5)9{^?AQ(F9V>6=PVgwogeimuI2&6x0cZV1zkQGV z79C{Wf!v0u`Nj1=9nge?1_76`8pz#nj{4u=e@t=0(Ni%y^*BZyIQ!kj*>6YC9gxxR z5__MznSMv$jQ?To%K5|~TS@@5x`=_qRq+P_?B)Dlf1dKy=6Ak_McPD4+VE z^kTv(oKKKl{H;kiAvQ0zg1h5HjZ<@;*~C&>Wbf?1<>lhTJzOdd+%qnF`GY;tzg@G- zIB>X_-x^cn?*wV)|Ai);LjRVZo)GYeE{ep>HR9R02=?#v(9WBWVP!y2|G}IhT*R*7 zF@-b_;gr7YHx--(z(F`g4hdTAdPn;+z4ERPiJ-@d%UVwfx{K%+&bbL*AKy?zP91fttFp9fyxj~QsZ zI^+xcSvBO^)frVEtx|DOHCMe;r; z6Zr>KMKSJ7D5Hl;MVK|~wxpsTLAaKUua*MDFMog?lk`X$wX9tGm8sr1U$abiTPd2K zD%oh*aqyyU{&Ft15HDU44NDKfUkGmuw9N8Wnh$AZwfC!zk;t z%s@fVO~i*=0rkO}Qj?kY!C{{g%3QZzvz>g%^@faSHoVn#wmgFgGn5B!v7H@X0!Ov* zCO{66%-vde1318wq5iqe2IN#Q^WLosy`6idAnk*ZCbb!=A(<&4qXfBb&EaOR?uSpH zV(KHK68udxinBuZEQya&fT)S8N*I*2Ns^xG<;{3$0VS31-f3#_$(JdG7nKTBDmT;v z!x1hg`t9hkvubDy%|%sKb@(sb(NA7haK}s?nH=>@z@KD5hle!v&si4rIp=nCW@;P) zqf;P9BvN~iiI^ADs89?g9Drwa0UJMgPD@Z|jjm_ER;_w|Z!HC&@^546zOqCZibsJiH-S^M1niM!5N-pMy-5I+;eAiIhrc z_Tx08;SwyHOrruPd!_Aly>_%|L39*gn`$^v;l20L?cCHQ179L9-=@o-4PMEHJojd$ zu?`4?#%H3>l;^y=p}^{KJ};0d{K+iULaJ}@Yh@yd!0aE4SyU9v1PGc75@CKyJR*3k z2+g#u2a6Kjnfu|uFw{fi_dJYS(9GGc%aYVT(;2}~$c&XZ6A(wiRUjIRo6zm-FrNC9 zYz@b`-4|4t?nB#r15H|KRQd-)8e*kz3+ccZl4!KClNj?u;jqh9qRq%>lzSLWX5Z*-*X7 z>HZld4}m5d+pC|25U8|!%cvLvO=hal^@I8-Er^(?vI9*n0GezFH2I;DiWt3AUW_o! zd5p$GUv^a7RZr99*2<*G+@tg6wL6T;IYyeElbUFY`k$rrF1m(Y=oi^oPau*5vRR#i zqw^wQ4s?4Y^jE9va%*gLmNd>a?Jxpe-Y~<2Bkr0=rLb@q@atgc##KLjf~abJBd20~ zs5pD^rFw%%vI+y47M1GFR~>izB>b)teN+miRDB4`qPm@V_N>B;O&1!l#&Fni$Xuv< z?7k}6;_+fB{k-FVxe$=d>Ak0eeRDO-mH`2PB|;n6<2b~q+F!_GUpjStmx21+HOczG z!to4{LNl(42T0)tq|iK6L=e4HzX3?uC)J{o0i>|?Nt~r2`bZu^soE={#CA)8u!Ea2 zgyCYkkw3o5XLOC9XD8Yr>kl4;!GI&o|MTt!_)34dGmf5=$v-c3kDUk9j|1vWGd2Gp z=AY)vmk}Td02X zHozXVl%;t;4_x8Vn~=fr<&VJd&zci6M&V%~dt@BV1qBuiehDxqN$9Bo?@UW_06#L* z7Zr$*IDV;7#NG#tDa=I)y|mpV)q-Z6(m}K1EDEPUA!7+DMW<)?BH3j`+PP`dZVpFM za?#&G^sEFbSbH;Y*aslV5|}r{%7eafDKLaV^N_3&PYh!g7#q7@Q)C$jUbq%B;7c*& z3t_%c3O}%!nJF{Sd|iM$T1f*G_}1fGPbs}V;Ly!%8Pu$k#HrsqI{)^!o#RvmHzn)U zA6Fzr+R=cV)PFjLlcI{O&uFsq@=zvoqm~aI0K-KU#~}_B3yvOc9CU{x4mAH_NX)On z{naG9&_{rv8Td&Yf@>`fQTaH_aF}b*T&Pc>m>tTCd0rSE4`_6+h&oa!IQsVg<7mFr z0d)((c^b_$r7kpJIoe_rm@1AX*ZwbW!YHUvY@z+$RD+#yN?|%8T&xh4Q%Fry|ZHtC(-v zWSb|99vdgV-D$l0lK%N&51YY`z0?-t&lG_K>S0s0NN9`z0ac}4=Qxu<$-E=e z&7^i~f2;D9@kGJBaTEKsSnGK@ul|po0%9U-T8kvBQrG?6#g_Up@*MF8b=P9xpX=+V zizKu?UKZ(AvZA>~T{sVlvlLR@t33n{k(CEEm&XN$4i^fjzZJdYTX(LSK{|^D3MEk6 z_YCsNmrKA`=0};xGa0AI{C1(sMKdfZV%)D)3KYkBwgSwEbYJ$ozNpaIdhk6w1+VPd z6i?RXP-huk4|kiAKu)92Kdt!{3sZh}H-D`AebzO5>FnE~QD!w+WHCv|9>n*g3;oTk z3++K)$nLFOY%zI`eKZsgv-9E;T4zQX%}%FV%s$7+dpdALU5Hcp4JH47Ex>jj0dM&z zFB~z-_k7s#l15nq0m`z~8?pXbwV2(gi5Fulrwww{eh^aypAVOjXk2ap(X0RHKh8l| zB^&-Xgw{EKJ%eHXc`2v$?#o_0<>yaw7_8eDs5nPjF;u1@{J*X}rcI2WigMjU&%~`| zX=}j^w#wo+Ju(e3q0KUj(M;iviPcQ-pF(-MqxT!gd(E+!a8tMI#FLy=Uq6) z$ya}^4jI7F*K14pwtl&mmwjflwpw>A~pcA!l%U41ZxotykT zgmM-+-q5Z&E;scDXbS{R=QrQlT}8B{z?)nvCBL9JhTGU+=x$D zWJR-P?*zZR97|32wda8JxzTEP>*P2c;xng1`Zd$zxR`$Lk4}wfV*`ExT9jo#ii@~@_bZx| zFNt9nWiXsKl6o&;;wR4t^4xUFo4*rmtTr2s!XCz%Y2M11-N`hx(%4w+-i}>2b?NEJ zmF`0)sv>$*2HS>8e1{40Tz9mhd>K_8J~*j_{ZLfp5`PjE8m3%oSfZ*Qdn3D}lU3E$ zC?#8{SUsR2SiPfA`X#>rYtHPImfUaEpwe-B=^C=~X#OfJk*lB0CuUL<6g4rRjLGgs z+*}wBR?2J#g@cp3L2YKt2$aFQbzgxpIC|)hNuZp7tAfhP5I2_$2&erEWoQ?V7sSmx z6EDqRiR+)02-YnQKz-1y3@q%00&D=<02J`D)CkstJ;4&mpcyY|k2R>t+QH8W^V_kw z6E*YPwmhxQ8?ed&vi~R~>aUwE<++^QrE?zKg;y(Wg;iDAuU0TPgjNM^GgoYEw^eHG zm{d3JKy6f4G-stJczOrxjSDDJjcb%M)PL8Z|0pAd#wfWHG&`qXhpZz#hlKez;v314 zG&!`$4>|-eI_;~F^{=4Dxmk{-MeZq^5jQjPWPz%59|XBK1SeSkn*VQEOly5a>`mQ6 z4VJ4v4GE9g6-f~_3#{(2`BdluULBNP@~+SWHHM?ObKoNVOQPl@^H^!JMCr7#gB<}cMsHD zdb+g1(Ga-zrY#zjg-5~BC>LZZQRrr*Fw_;)d^!6eNVk!y<$c}OsYO@Dq7e2|;%hg+q z?d{{4uo;kPA-m5B)>K;sAqL0ppfdM(1PFprp19ev9#FCK0@57Q4hUFYgdnx^FLL-) zEN?=Pqk>?u))EVbo{dQ3YQD`JUKOl96|+{lr<(Qes4C)YZBKXYz-mbS3?@`s=O+I3hR-A_YsDpbG znexnsUDx*{(ig<5W<&Ajm7I+)b_iE}>*eTqm6*+JapT=PNzs?)R}oab6C=++jm}~@ z8C2;uuIfn&a&z7K(R>E&q%C?rY<<*x@Nj~|Da&G=2*$q3dg6R<{V0L(o8{MRa5}{h0Dw+> zGcbOR(6=n7R`a4t2r}jP5-6Ap#F40(LtdZVhq?*WsOR*+7?vNvnG&*ms5gHA1IxkC zBDML!qV~=sqfC5*nOUInvYqCzl|_Dt!9XWC>*NGydu(Dv%^m{hgge_2#LfJ^wS-f2 zf!YK%lQ<)NJVFal73Ba`p$KYayX>O?x&f+jTVSPHAi6h7*j-~qseA}VGDIL5xJ}D# z$mnMu>jZO}Q4Z z7|EgR+n-fgke;eno?TH1d)T5tHC-Ya6{<|5D)Y=sMV}9$q&jy`CMsT;N7d`ud?a-| zJ}cs(CI2V{SwQb94a50Kz^WSijjOP^$d9M%_53N*yriKzYKr*lG0~vVr~!Os*bEqQ zp@*!uO?t_|>Yl;x_&AriK$=ql(1OkqI8x-W6LE8l^CfTRC0qy?ttOl*0*s|=1&j@g zE&#{?U19JQV=uwCKJ3OL4V|WiaFIl2`ozqO06>6%7buBKJp;u<7#yGlB!`4MiQ{nf z9>`RaZFE&Bp-Um1uu?Ae-Hod(X_y*+8UsRmt3`FlElO)UR{FUEK1vXAj}^PoDeQd@r2=#+DU*~Cr`lv z@Xqb`AqL`rc|Sfwkn>f*-Sgpmm^NO8$X9o|$a z=Oo_x2=+8)PL)~_z~Gv4Or!-z)R6VSVO?M!bNPf*QC9{cg=<3YL#7rJE5TzH5qmpd zZw1w~SWx2E11Q41=fusqXz*mFpuimb0~`zkNY*T1*$Aj&y50wvJAmDac~gbUceFsh z1M-Q&B>SL*5WcE8$n`z;Ywy(XsLc$`B-xy5U(tNjS(p|tK2og#lA-xGWR4-;Jr$L zUG9K_>=!gR09ncWHb^IG0XpG$7uZ@{3gJ||_AO~xofl4Ax!nf`AP+7w{S| z@i+kdCAt*MF;xISvp`U>5{dwdMgJ4bI{=CbN_6fTFJW5dkd$qRa0#3Md0z?ATSEYg zlfV-V!uK`_BOv7%futbLdz)wij@-gWqH4h@zqg4hK1}O>NNNAKuITXF0^eXw1}#z^ zL*Y0HDe7u<61J!;MxQZwkAqCYA1mV*&63?_BApf?fMozQUS~K^lgghEWZp7B9XJAz zrP2dwnD`HXFvutN{>q&v4J$*?L%23PAkB_TU<$toz#3izwK>ObaOTXL4N$k`2XK(W!7ogceX-U$px}m zKQm`;aM@;~g3DOhc|=uXHPfZLWmUF~cUZY%)}?9G+JOdj#D(IW8re0Zhq?Hf-O9|2 z)ebY4R{cs6E{<#P$s?-Edzz)I)E9#eDMy`R{lq-$mLK;wG6?PMj9ci3B?TN@swixe z$9Q0MR{CDBZYMY{JJ=YMl5nw#ck0PNz38m%cZ#i)IOx6?`gF2uE^P7fH~tY1Y`n4Lr0Klopwqm|t)1&0iM1YAl8xOb z`F^POIbC%{G#LD|Fc;jr6&t&8B?)*{16lFc2dmM-OY@G->Ia(if;FCk$m+BtmZ_IZ z^Y1Da4~CiO@;xU4-c%%oO_}!gsIII0G%j-9-`>>bM;yd*Ce9tjQ**xcEDMfS77G0= zLwcD_yTm9xgf50Z8GDO`I;)+u=0)Gx(73T17jA_i#7WHMb-Fw;vI7kfNl~b>R(yrj2Wl#X9(?9vWBwsdtAo6h;vAq+=z)AH?HH)u-oP13T64`QP%ll#5qY~ zGses@NM7E}TrhoEV9nHewNyUgK+>aXymnf7Yeg|>XqWj{j&Nvvz2KI~0h>e*sh8Y> z=4`=z0g5AsOyh$3r`y3!9b11XKobEFmC!`q83Uo=-s%zgS6kn=DQ=E49Sul1y%5Uk z)18zr-?HAO;1Xy)qLh;l^4!Sys3erv-(Qflw!ktfe|G!c>g@nm)2)7q+W7r|bhz)o zQl(Ey{PA`1_8md?U6!|@Ln{&2=>-6)!+mRC@W}>O-@!op8>f{BR(iTx`EqyB?}B8T zWR(Y$T@od$5fA8TY?Fre+OiK`_ek8D_~%LMTXKrZ=cvZqzNt>S zElc-G{QTKhI~_P6@RM~Y^;-v)ao%h=b@{?5PqS*JaTJ?NkEr@dV(qiw#Pw&fa3(@g z6_h^7ATA`#3oo7X2AXDkqOESD=S@uF1dWlg=Jcr~bE1h^v^nwdyXbUF18LLan|^l1 zs8FTR;}XiVf}m09@~*f>+;lz>8}XRD{_N|=9Jyyqd(jAUt)ELi9RzN9;f-P0&|dIs zUVn!d`Ul`lLr8D32-`E`rB#MUdN6zvO1Gt_UxPi0zDi(FAN|Sa>ngW3Z0CUF8uF<7 z%sGR4;|4Hfgd4gBhAy24Lk}9kP#kWE1q?mAc#eUcP_)EISd;{c{85TW#>fk6d`u|n zJm8IFrY0rhBVb6e6c+WTCJj?16g5o2BO5js7X5scRPnFuF&4Ja-zNmFc^yRj2QGuc z?hBSg%`UM3?;efIAh65i$jzL$7m!Z^@JL^Q?FQZJF#yhZA1p~=_ad19EEVLKVE7aX z3`LrBc_ZnlNqfx+7{twlUpqmOYngatig#fQwuGXhquxj;7mOhXifj`lU_h()HU_I- zD^j7<*N+7NTNVkPLVzUKj|CxvC7NFqBp+ax!D;fr4&rqm4mO_c^Ytk{E?L3B##8?Y z*#Ibc3hM?is#6HLpulq8US!$sr;iP_SV4ys#_a{i-4OZSY6%am$<%KJ_yV@a`(IL* z3$6)>9P*|cN7avP$!=jkB-S2Z804AIt9FfICe_po6j1wfE5hdfRhW4CCGW3gV5b3= z<_!SyPbv^Mmx07p91moo`yiH$f-GL!1Z1lyA-^c$PVl0SjM28aN8PY3*V`)J*`ZK=ZPl zAk3z4fxQV2K|%*YtX=0Ej)kY$_4)s;Px^l?0{yue!4l{Y#s(}C)YMJod;c2Y*1_j8 zIw64FnHPji+0p?EwGkyA;)2B~$ zRd=1L`c{LOWzGZ;#p4dXZTLq4yyfpPOn*6K2)Yb#5TA&5Fd|hO?gCR7F$BbjQ)B>U z?NqtcMm~>8jsS{GO`BOh-u%AGQzD>)fUnhrK@jh+3PLB%++|G&+w#;we-4B|{k`&J zDYJdl$2w%)e{U+9JR2=;mu$N}^RaN1G`@4=+>mxkpAvqKxH1)$@P0R*f2t82(XdVJ zfj>jeDb7RzDzJ>x!p}#qO}$9$pm?%q>b)Df^dni#`vUyI)V+!FmKOY`%pu@5@u~PE zI9ZD)jjoC0*THm6>-Z_1fz-|N1g6eX=-T_u#f13oZGpX*O z-lYM$2$CP@BIR;i#etDlT^Iey-XlIM{NVJGFbdR+jiS|(s$Z&$C{S-&k(KBQ87K7R zDGy^EkHcX+C#?A}(Bg7&*_+7-?*BsoWO2arv|`Sjw?rrsZGBsVqJ*1N`WGp{)MneD z6Ryv8PM(Lfsm{{NG0iAH$BS%N7D~dGkPS-6ULn;AKPeLcR@Pun zrc9zz&3iC4plWWKBW_Q|%`@)Q9T$@{kT;z*S)|AlPmkMOtPrD9(w`sT^gn!Sm$>{# zmhE&{bT^VpRr|#sA4)Y_AyPvgorH1{^=VQ=2R)#`o(^h;LE#xF987@10lH=$6ihQf z%_=Cgf`Z2mC|r9DYL433?Dkci++#i-O7;9eSfBziLmt=Om&zx07YJ6nvB-~|0`I)Q z7fg;9qp~?;KY?~8DR0}xIlw1ZSafgJV$0-v9GGGEZ>Pq&rodN0)d z^cXOBV?N265|8;hV|Th=5@nHgW{Kk>cRRgvceO16=e>&Pmwo>qeDrTX^j4i|N}!E{ zA;0+ObZ;6LYzv?m21C^<1*3 zb~?X!&1=_gX=Jn9SyWzJG?0j@nr!2uW2-(Ky*K-yJud zqP8~R59jgryK|FMXU8MIWSy}u$-41Q>i%1Ab>01=$Bx!AJC#>W4w)XzEA8}LZ8`kl zY^}MoO8snd(Z{KRx$JqK zMc&-r>)I;03c<+Y_#B8+CC^MMYf``$UTc|~@%H_joH4f+qOQM1TaN!zpfK7N^6MM9 z+ICU$rB?&ljW3G85b@IyEUx)W&=b}V$H~A_;L%cnwigf%TA-~10r0;su_HO))4#`} z8?3V;rS8nYDh131pSSLrf<~aVm<3-WUKZp2R!#gnKDmV!X6mLe?zV-=H?(M9uSP zXKbTwm2M|#?Kc*?_I(A~F!Jm3@YRVb%dv-8!<&l|GG>dr%wXrgUWnVBV-Katql-;S zGLR*^O?I+ZX|Hm1rPzn}sKQA6;_Q?!19|b8M)TmY#&d+iUDHpfqSuNGoCN;?V(V;- zHm31$#-~>I*UfwOTawia{ZG$JVgbnxq?y-la8GU1?t{1?Po0ec7LJ_*{F?OK!N7pQv8)61P7+*2$~?@Y-c< zZk@|oQYPSa_Nmgd^TjWUyi)~Uk^?)Ea|iLrlcLPnTOTzk1Cu@lo~`DjZBwZ-bAIKs zsA?1vIGV;rL`*v&k|cEyS=)?=(rs--JrgdXlSu?I%;b!i&tT=Bk@$au+|Jgb0LkX( z2M6$^Ho3(7$j%(%rZ*mkmm{P1M@M6j$+d%vbKk&{Ct@pP`Tlz%k2%Vc)4O9U8+6sgS`-<}WP5gc-=Zue zd6R^8T=+A*7Io@db_W~gDC5j@KG;R(Uvrn#(HFps+E&P&UM@sECOD6$5m3Je7uu=b zgnP|^ASPDd6TAKDvL`M!?k4qf_6&!1AmP6Jos@L7p@ zgdtg$CYC{$uo{avT1(NlGG59K5~FHGR^!r8PL5rHv(J=2@v{jqVS9Jxv#mpjN{Jri zQziL6WwI^18u$wC6JN-uOD)|$PM0n=J>AcgHd^=DX?WwN_uS5~Qt!8qO3N)`z7NOU zx55;Ab6aU5phOg#3jzL$?1+;Tc{l2Q$yg7Q6JFW&-rKSJCmy!zN0hs z_^FL66pkL7@}D9&SG%z4g~%+2e(&Eo46&D;GT zW~Y9OW_c$HGeC}Fi_wLTziQUz=7q!^2?cLodGs~xuMAmOz;J- zz&R>yaE=E6jeysN;y}1o+`tY9&p#@7c!7o3mY2JG>7~}hhMIn7zlZ5$ZUFr-o z)4c>rc=+5B|Jaslcnh+Lv(~USP}$JPpa!gcygL_ZjHP6#+fS5jj5I>8L2u~$*S=di zDdF-fzqh3t-8v9QjdEKw=`XWb8NF%9-0Q}?^~8pG`;b_*)L7&rlt$+^^hTsN89e+J z8LBF9jvIPKg`IDdre%D?KNS}~8gD0n63xMJi0a6BFlJI2rejCu{>1&Mw_jPW*VA0r zT)Paq#s^{EJDX)qQ=I^4OReG*DjNx2D4u zgnlx{^LSr=TWw`}_j;Q9f@l4umG4$_NoAxbT-hhg5ZjlM8f3B-FLtk5Wqhoe41XIa zMO)SkcFq25D#?)M;kFcTrDx-5v&ghYsXs&iIT_e8c_)pyHDHoFtxQb4mHg*!)~?@V z3S&6zf~CCCLcMOTfl&A@Ff3W6OJY2y`BuN!MjkT(@*$d^KccA;|E@x4{(4HHpdsDL zk90Rp=Xq6uY7dVEUBR)ZwVryt*9(w_uxdu9Qz~6s$irKi%(<}HjhH`i?(@^OI&c58 zw7FE%5fCK1fl4??$+jc*-2^eR>88^z)8*7H<-RaClSB zv(iGVmY6admBJgW=39l8Uz@pHNT+d_{h-}tP0O_(nZg%H7}7o%jZo6T+dA4X(H6d? zRP>IAM*}2<`*)ERcg!1H6K3oTYb?;=v5udS&ZjXjtGC8gd>}Fv6$G0Qv@~Y&GD1Eu z#fLr{MSwt5QA=abG7xo5Y6O3_tO9S>a)LWg1i|97gi!OB0i0+W4>|#kYoAxy82<SYu!-j8d1i{WhL(l7N$39##qMicP53&D-0jQnhw{|9im61hg z*?Y6{iAn*nz}e5!2%RmOV2!8ejw}wR7A)z)m>W3^9&&2IBu&k3in=O%8Gd`ku+*uL ze~O^>vA(y9%@4letDIP8i--9Qbyj?dNhLRX(+(kgmL78T_aZ}8$@-4hg)MVU@nz;n1L5+Q1(bl zaMhVyiMdeZI zH)PT`m@1|SC;v3B^WwUkGL5%mDC?bZiLxPr)=Gmrj&0=og?lSu^j5+>>yj_Ne^@Cj z9qhc{+`E4J#i;MxFpS>H=pmO%w8mWBI`4~Ho5Sk#4quC(-Lfp#*-$rjJB~0FIoVFd zIK)h~@kG4r^yEr~dR4!QJCaH{JnBm|I<~p)yR~*dWRj|fqoJTM>anI{s~pIkh$)<_@DtSEB2^mR<*;m%!VshZ?@Cz%g$hvU+60AVP&nNI1v*W57taAGsDXm< zF(^C%g{V_dK!QT;ck5a09|I80pNNQq7K}Ci9a?gu0~4%fv4?_jgqQ+%<9Kj(RO6KK z%Z%b2h#tb?qAm%z#}$$9JdW$4Dti{UM*Z+*93j0xUYvW1X_sK*nT*N+Nn>Ol54=%4 zO@?(Uud)+^M`50_8zCGY!sSvRr;^ECOli_x&Ve0fIuM~FK!`7FAC1M8I)o7i$BfGh zlYN>*|0-dAu{AGk%$x#)M2i!fUpqdSN*@j|pMp3ld1P7o@8kpv8`uK%;sEAzPD%T6 zAjf-aEEtQoMY-#uH8DVhWn|JzrENXcXWu&!g zL?z`+@D#g`i@}UYBMV$nDf>x&BHdt`_c1kz$>s><1{%EfcmnTI|P zrd+#kFAq&2VJlN(rm(r1rX;MZC-+*J@1-T(ZXFR1!?ZFh0EPW#9{pw{;$|BU#C=mZ zPhNw!fVOLHIY~f-EIouvu~3(7X~-+Om|;kR4qh?EGwIGCo@b#E$HlPN=Q}UgQ^Xat zXz$Oas?kb=P1a|p>%J_>%-?ZXQSXkti_eX~?BjHQf|1T`NZPmMu8N7xNpt0Tr8^B) zqI9XID-bq#u&&+VG6dd8P#g#n@isw5{0GFYB62ltsc|2?U0s$<+n@uYi} zUp&6!?ueh=yNc7mCzVg$U@3K#u^~|^pQquI)YaP!r&9Sc4N&Q;nhp1)^G#8D6>2VS zaqe^NWIC1&*ZytUQWRE-rsPT(!ih^l%NF)iIDP8Cd|T`LG=Qi6w`2<;2W0Ci!5^~q zz+vSM)N~wxE&!?n4(*DDsebq9Lc$!t(KjVfFm{6Tp$sY>5Yi_>O?Aev!TC1yDjpb{ zenFaX>m#8*vu(zZOyJ_l5#4vt2IC579YBlpT0o7f%--4>8-TV(P4c2)a{#J=@&dR( z>)U3?2kH5#u#H=zP}Gqpz)Cw5{lXi;*D4{Sq2U@bERbHqoyY0{E^lX+A zVBm8nNFyRU`=Z!XJ?jbRusH`h`l5~gCAu4pk4f|%NP>E_Qt91?zjyc%4y&~;v_WI> zmJ$jRU^f7sQzQs)s_T@yA0@V&%8SBQZs`#x9vWpkPo@!l?QM`KSam zh-F#JY8&`X{ zPbOT5j%6}cGR{w3xJgJO|LZdc4S&B9Ev)9+=UdmI?0Jz79R%SaHc~eB=qAf zw=sNXHVOJ_G{<(VAwvko=IscF=_Es6RRi)(vzQ=1U-G7K(&hFK6b_ZCCwAz^lzBeH z87JVyhNC$}8Z{&(ttV6&XQhruP@PYfYe7Zo+6TeaxK)J70-V;W#Q1+1_&%Hej79@+ z2zs_*Mddq5e1Z~h7$c#V00I>SK+=QBQ+!5n#V|M+!fSxd^K6VW6iooi(!UESK2d2i zc3Af~&vMLjiEkMfwQoNRVAVzd0%}!e3{TcYKJa5yHVM8JTmIIF+6&vcdC>j`v-O z!aNZJY}mQ9QL5bc=H-YoYSJlrg0l-%?0H%fNFXlC%qoo>409|zDrrhOR8l&4a&U;o z9iyV7{1O1*dz%CPrLb~ADWetE>mmty9dSOhxe|ibkb9)pjHepr)jh*K)eq>u@042UN1ZoT_ zHXi^`Yz3nbs^`%#R15)zxAZf(Wcg$XVBf{D-&v2{zPp?#>r&xl zC`#D|0N6_aPP80=U0byQjSahy+)YJ5f|mhV?EzVUIeW>V7#w{4g`YR3Jx+i*a2p?A z|Nl|62EkkiJ~&j2sWZ;P(H|U-sw!;9QX;y3jT<^+g_sAkQajSD7_ilyF_6l@X;Bs4 z{$vR1f1%%wJ`cdTXizK~qkE&ABaesrop>5dU@A`wC~SQ5l+p3R@%x-E1+&VT-0_qq z(Zw9tFr$Hp7Cu77A_Rn!fuksjst6Zr_49pRUU*o6(=RA#O6eOTG+4ZrtmM}W_y;IC zeQyK+0yXsj_kojkrC&;n-~b5-GJK$q0V&Z()^R%bb#>ALYLaf&U&Cs`jJE0HWE1qv z?xdXJm-!?Wb$Fwa4X%b4Z$iu*8=M$sj?=kT( z0fATuk?&M)WhXIjyOM0-zeJey3E*j4p6Rox>{}Inye~I4(OR!% zTpfxA>rtpCC|8pa@^l;W-1Xs5r*8D*L=Zt@4K50PV8N~ zXt{lSEeib~HoStLnT_RZPAf{3B%X9$7TyJ~M)(9ujj^`^lcs(WmoNRe#a4Ge=MFRf z-NcIe{Z>(Y?z`=SocjwB>D+fu2Dgw>LBR=}xFm0(GM0j!NZkBbsHbI1*8o9&AvE7o z2enT;5(53og0hLi2TO$C7QS&l89u7A-ZF)h9IA^5g00Jyt4)!@9Wt8)FYy`WcVWOC z&Vpd6u9hkJ+(!Azm*5U`K`;~H(6l7_ciipDaVjEIjcgd9@0IZO_}N+sgF7h;$k}Yt zJR=X?QrWD#qF!`fE0AI9OcRd^^{C^_?j=mM4AB)Wy@*q0LHKl5_LBho^3x~#W{JH> zL^~WpWSpVw5RaPH7IZcqyfruO1szfD)6+!fkyH)7m?PU-bV}8{l1a4L6Q)m~b zh7E-PCI{+q6x5g-ipS3f&#$31X6ji&4Vd%$z%kvUDr-WY6}Ly3h4Z(a8Tn6q{)g9vmm%`D-ivR2DH+E?kqGfKt zpGWBC_kq-aA6*`0w@?I$r)c zTSGUt>W=7%+AIUCcD@=)6PIzAVCU_7`LPd3Y+Jevtn&*WNZRV49>GRJ9=O^Dcfsx9 zxmVU32cUm(>5jnT5xULU-W8!LQc=%_!H}thXU@hZ%M}gn-(s^NNm62#z4kxktT|Sx zaJ5mrrHV$p8=9=2xP>PtNsw_-WPLqOg}GxyM^eP9QJl`RUW15>z{4nc@N2d7mR4!H z%NeL;=im!4?jv`WOLHuWU&*=LS1)05bS#n^yO)z*a)*$;N@(@}<|?usF_nxRA}l4M z6UiSh8@VbcQWfcfcp5{?EkYlamE4;2lEnQx<)9+z1M8M93QaX_5lFN$Ux(5=>cv?e z>X!`q?FpW;f#H`?7R;nsecLi7o3>ce{!?gicWd_j?xHvXK`u?Uxipuk zRgaLXFK3ft?2NiN;uQ6}&=Tbto;kA!OjHZ*>7(Zkl*z& z%U9wc`wG!sice!}6>rlGebwf0<5U_Zmgy@7tduE$`RH{aWy&y?4+w1J>&WlsSt5rx? zW2ey+oLekb$uBf>>B@y~TsJ0m557vQRyt{;T$lCXS>j(cu>P|R=ikYA)M=guWvF#e z%mdj6>YnMYpLiU3b_v#gHwm@A2ZkPZf=!_z!F2H%!sprS-uT0KB?}0DcK4fh`EXyl zT7o-e0yIS{VJo3e&EhrEI`h_X;>=n#Y`WUFuCMCv*sBOcbhI9c`T}!mW(USmw_lB% zKy3t7j;1b9kSh~lwJgOzryKdL28US*7?0@aUW13tfec(|U9RSrZamhy%VX_SjA)c- zAP)1YR(*D<{8@Z$E(#&?jyR*XQ8gF0_*rpS6{?(5mc~W(HUAOZm5~&l&Bz5GVw5t# zV>C7p21*XSQGPoi+(87WG5_w0UI)HA6Pp5yetmZ)!93JfN0!W+_V}Hzs~$*xB)&V{ z?0;u4L2LwGofiw`vl5=6{_aByce0p{hLoL6J#lat{o!!wu691me5TGD`c3~P-{~u` zF&WKwa?y{|(lhIR&~IC}_~=%>oxary@s*8jA#gwTeSof*9>?O|U0)9W?BM^*EcbEm z24cytb;)RN(9OjC>Czdjx$KJoYG2{&J2t~1_xU@{&RcE0v*S;xKBhU9X@37&$}X{Y z-r%>=qItI7Py7bs77-0Ksr@2+D&NU>vjQCTzHSHayTZt1Vv%SNMIRq}d-V?6%l))xs>+=3bW&Nt|rn8Q_? zQz%ue#}A(&~1gv zV3Q|-=mLOxom_xi{VKKq?w$);Wd?K&`VmI6VR&56)BQ9O(Cdg9n@?erFpcQx))X zezBh^k(p~>#wvSTM+xDJ?{3EwCT9X+c|$Ft^iKZDzB3(GS9J;2RVY<3HOgXE>1GDO zATR52CDQ(1WZKSG+AS=i&9>~0=u9zT8_zHAB-e%6B=d*}{$r-?I~h#LmwyE@{zAke z&r;0T$WUlNFsMX$F=MzHgYiWif$<&811VF{0sRvIQcePPvw)d`IR7f}=HE4Pz$w5P z{O15WjT8XX83YAUVAxq!7^08d0MOtX4FFk8`GMXW1H=%f1ANCQn#ODHU0VKn>WSqdp(h2mxgEwWq%s z#>`d^pm@-|@-8xUio@T)oLm-(IXU`BFJf0~Y^a408iC>^TYKCRqwp7|+yNx?>RMi;vx z_s%^PNx{z!w0aH<5DC_pnN7lX4Ye$7ZhU!MZGrXFp*0VCaan)ZJW`E3$|07SEE^3W z?=a8GASc$M4i$#n@spWk2302=9@3HL3-7^==T%&77qxr@Zcnzs^{+d?$rW^i2en*n zZmjj-hp_=%acV|xZA=~NQxTvlk%8wENr9nbJrtDXqbp_TgYQbige#{2!FWR$R2&DYTO+zvnq#_yzK%CCUGp8 zXhn6}{a2KAn~W{#5-O3N5P~-`3|U|MuLg+8Vw}e7XGV3(X{p)>taj3A5_u%Y9h(`K z%L&apXgAYJ>q#guDh1!U3;04KsKyc2>)w>mU z=bKFepP33f^xAEcblJJt&yEt9wyvyfmtOQj_H7#|h zDDO%0kOt}YECsoaxMNG)Oi~|ghkSceTP8P0%E)VxpgrdH+ytFWr?)}; z)wHNgc&9~Q{LKu=GB4{^Ez-tUoH}%sXoNuTi2i+C$U{fZEN`qo#Wnq5e)@3!o;UPp zrnz`ZzGH0{g5UL(KqohVoi@QJCR6oHG(=2!pMWiIp4}*UH#XDAL^|YQ7ct>}o)SlD zTx5Kqe4S!8yE11~OKvI0cp^(8-;nG6Aouwqg%(eDPJT_&Op>48F)3%srgpJ~2oa>) zeX;QElD%#WicSVs!0xjA$F!&+LXYDQWw@*=FFxnVVBSp5yw$5$bq7^FwBQgFL5s=4 zsc?xl`VOvVm^X&^oVJvW9A-IvJT^qJ5_2Y=HRyXMZ=akETOlWs#&hvGS6Hozl7A`G zIOZgT+`O4SxY#0xT4z-~jDJ6dB8o`}By3lZROqqqvcCcnO(}r=BI46h><{gZQvu}M z7C_FAjmfk1`psTA{N|KW8$O>ng(4PtWPS)MPZm)fM+R?A;&d^K4&~br{h~UeXil7K z-{6l*Snj!3WE1iXMVoLqUK33qE#+1lsLhrr+8UP$wvbRy{XidV6C~uwgTJB9c^kT; z^xB9iIrm*Q%VisRjh3$Hs!Dh=Yl5ADfpWib3O9v4tjsefc`qT=phzj*gp*s^DS7M5 zKHrfK{Vb~jhEkL^cKEzHruiIWlerIsoK72~L}3x5ox-`v+lGMUE|TaLMGApq)Fv*Y z$bzy}Ddj-MHC4FtJ@V{pFWFs(J%$vBJ{0wbO%uw6C}Ovhhe=7w@%K^olZMKN7?kU2`RDrxr;HOGyc5!4OQ$+{NU(uXJ= z&5)NA!y+<`%q4MV97)(Z4FxFrL&swyO@`jddFEc_%D#RiPq9^$91UInVC^?Wqaw7u zXH7qY{^wX&!+O>06YT~~a-5w1q?>X-OK(9zG((V)IFG)1V{d5FhR*f1S+TLRV@WBJst z;4vwUxjBJZdQ|!{;~ud7dB?>;vu1|iHyJs%b#~QX@E>ZhP>R!YhjZhA4WEMHk&jrQ zf*e|O#+Q|1)JP5$kMZuaEIb9fimZF&cI(__*I)SJ&QldAPlS^L?zc67{8vkoLbACWC_ z)K$6Es8#HCM9x9e^X@q7e)T0+>`=(>6I(Ef+nzd4)aH4imn2=kpQHN3V1$Q zt5vN0KX8fq1ASvhgEx*1#@jY0WHR56op%Ve-!9M-VR^zml3Z5 z&oBm^f*ErUe@}DYCVY4G4Y7>)ahT4RUb@{3@>noJx^QsO#WPU#SR7n>mtIAJm8tiS z8}F(ZNU)OPmVA^|6LBeja@;JBcO`mds-}I58@wL0hJEhz*}R#vc0v z`~S2;SJu#<-ZH$dI9t3@_yQ83n?u}46^Rpz2ln6#4u~|%FF+mp)WrS6&|tjlYy37a1TGP&q5F3 zAFS~={z1PTnpeIY`+|Ak6W`YiaugVI9uy$c4=^R@{scT*^wW%cc<3j%K;Z#e&npFb z9^kfLOekCKBprF*eU!>teVaPeD8_<({?R`$4(WA3N-;o6Zxr+hOi79CEV>`~kW$ zqhN|rj-_Nf0WB%SXf*(q5R@f2JqLVnGkypOB#3Q6-U`hYyu|QA1#v!JTK34|jzVmn z-TYwwT@AK@L;LKn&t=$Vo||PaJ%7Zu`8iH*DMdeO@g^13h28Ph`=6g{!c+7G*Lbcu z8TrXHnEsNi0Oo(-oo|w$YZIDf$#8NMD;Q6KajN-qFrL2{=EC#9iEu9vO&8Ax(vdr8 za-hZPZ?t=`1H}6mGqH~JQO&&W(bGlD8eckgh}{t;aPS~R`!Hicm_pG?JXm*RK&N; zS|TjsBH0n-xr=i1sgoMqdYO?KM0}WrT~f~t&d5iSU2U-PxVvPP)jw$S#btN*9Zap* zsg~Y3d~nD16W>^J)=J%t`z}SLBoc!!Y9Fe4!d87j`Ac$^U9I3D#|a%Hsc6hKSxvXs z{qdRiYrw-@XiXkp35QSHXcg^g^J@I4=}u|AjIoQ03!*!u!Zjek34$evr_$HaM+Ab& zLV(8=BZZKQ0HkIn$Phx#TsbROO%ay4z4u!X z9|{S5&Iq{V*6Y(Vi!}pV$_?-dCQYiEM~%p2cir_m8479i4x5E4Ugf4Z>;tJ=+j&8=eS}e;(`@zVS zx7y`~LPnXjEiI68%F`qlI#;_T9|vH`lX%OhHq7GWxORn>xz6J>xSof05)9!C6KsXf zDTNbG#!FJIv(H@>k&D(4jmpiyfxxcwTqD9aTh_rw)CxDl`0ZR%Ffq(*J24P+HchZ_ zPS=!R5;NOXYy=&&85V8`&eECL65u1~4x3^5gsv$gyj?JpI1WVK+(&9&{g2g&P+otR z8${eS*Ldvb6!M!Q{a=R&xFCpjYg&0D7xPP`lFw^GtXwXLYHCfPg)4X!ox%PC*;Fp~ zp9R$%@O97Iid-7Mw~|xI*~>i2FB$RX#gh-2Jw$z+q83tI>vC9ArLrU>Nj(zRD@A#m zZTi-T@?%MDuFrXfKjkUD&#dN{4zc1}btSA-ThZ=>Ijr^;#i710%nFjxm@U)bAjUXn z1;r@LY^$H57`RdXgXbGSd8W&GE$$EBQk-rBbbxxc8H-y7!L5S61Ip;QKG#;slXeAuYHSf z(Sb_+O6%^u06v}An}dbX=_+iEqmo2i{H@|%!~4f+ij_iU8S5j&`z$qP zEfovyY_YFUTjE)zQhQqUGANH-6+EVN!?WGWM#>oc!{lu7!Lr&#ZV6^c%g&_Y z5+dk?VRXCC^=0ZTOA7-Mfzu&GJ!| zXKvFUhh2SPh60_YAKVEA@K8|=s?h3#vv*kSS5QQJ0wOo*JOxtMa^4K72~T~njb3lL zooM3SU`$*`-Geo#?hd!1KfAIF_5Udx)Y6Ju`3)CS;Su31N&{zhY%53!pD1&^4=X&K z1jD6(EOVL=-`zDjL?dm8g$04hjRLW|DlcMn-1a;4dl*VFf&{obGgWaw2!~~q3k`@C z3y};ru#B>~4nlWHz#b{G!a75lvAMU^Gf6zd(!z55=b`U!eILDW8{Sd3RD)?Q(O|YO zjCrIS!f+Ilaw!6|+rxvn=B~)(R=N`uCycU^sK!S$@li0#GwC`uzq3o^y;%!8-mu1E3~Qu307veEiX ziR&35mjhpZ;ZV)XAqxdoD{ ztcDJ}V`C})l7QsUy4HHSR;wmh^WBYS#l41dVu@JgHG)4rS0J7HvSpolOEXDQ zWjLKl7u8L=T>H<5@#q+vx-KrIZF26R<>0>T9&#LZp+uH|qMtaJntay?;@91!we;_k zw3Pcfkk<@9eyUX#!^nXzbjp%mC_q4{^j1#EcwLgM^#E{~U)pNN7`1#WjO%hE%d4_Z z&dpIaE@b<&$)3{dM35_8+?AUWIX0H{^m$}rliYJ(A&NX_AN=@rHyDSp>W|8shGrwc zRPteu?Em&u^5|H5t~@XnJ(UcPMEqQwK1qg^g$Lje9qqDL7H%;&E2U!*-gD$vYSO(c zBa>@FOQ|<}6nDKuAqvOk$be($4I_J(G$VsDm*bfdmd9k_{V_pXRPzb>}wg|NSc zW6guaE}HM<6<5u(ZPPrn&%6h=98bHXid4B}-+ane!#iSwofQW1P-!q~rer%Nbmtn} z(;o>jd53HoYD`AcA~hFPf613jY1|aflY<7$g+e$L@o)~76x08vWGkd zA_R8LQ3t!`ymyAp72dg~%6IAKaE1QSd3CQZ3btOwpXp;Efha1dZ;EuD#l5%5AkcKb z!dIH(e2`dgY{26BcTqq7^M;-b)cTc=E6CoBVGrYE^Iq8N)JxT7$G*&bh!<>*wGYy0 z9BVhfiI5Gu8q+cHA(H2Y-N%|{;V4HnQxps)Y{Gv9Kih==TI;a;ALqy1$8KDIzkn2M zFy#j-7pi;Lq4oi-34cA^4{A4YM|j}(Z#dDk+o5ouW@Wce{*Tx7O0=R z8r)m4g1j{u<=6%5I6jToRy6jXinX$@Eg@;#R;2TriWNMpWA3O~9(5bLv3=u_*=?8b zYO_Zq(s?nc^=tK`7qkU1Q~qnRW#`)A7AFhe;>#UsHfc}zP5CFvz_A@g&JyyXf8+6U zY>0j_Iopf@C;N8==cp137~75wmpV)|3&@9 z6;M|@?fYH@c;nytOF|AP0{sPy6g$vgBtTDt4D)-z2;zj^ls^Hr_93ucgt<#t#Yr9W zo!0ci5wk}YKl?7Yoql&H-SGFD+~?5kg)J;wpkx-9~SUnDA*_(Lf4V6IX6ZrGj zTL7lg-rKA#v7Yc4J3@~!;Qqh8j+@zQAUr;RjXL&({~r?a_iMyU|3RAmQHFj|3h)RM z7>fUxN8%PG!6-qvq7J734`9TV;L_!u0B)rK3wGNZz$~i^x0V1K<21PQ9_&$d}3f61)FXZNL%)!u$CO}d=xZwl10Zk(GRB~bWYgnL{ zX4sB%w2ptcVT45x+wT!$J>R5kLZlNkRZL*UlR~71vv5ho=rATVn57!2NEc@zxaq=0 z(o+1`T5#fs@W#=L$oa+C9Y7omjC-!2n^-ohZRpvB<$fl5c4{P2k*Z%7X zWmxw|GfZDW0?`=rdoVlVLN;nQy+BuwGA&N-f0yZnl>zDeC&KjAZPY$c^DyCmGr0w> z1rNE4+TcSpPXB{Ap=l5^3TCoj(xG?NkN=GdX!vN&>+Yu!WzZ;?KeWR&dtp1EbF_e2 zrcM6~%+luyI)k22(2M~llnl_LAd+++FzA>zBtv6>O~3~ccvW^_P4EUUi3xTA9K`9K zMe!R815rV9iXdWZWubyGc7@rz(>b8&U6x%+Z)5h)j_-wZUw;PhB{%hMjqWG14eAtn zOFrgPROkG8n?^jMP9;$7r%6x818XDr8^<5LJL27#6P4n~yc6Rt8?VCVUqj$ly0tkT>sr5#z^=2z>P;U6ykB+Z#a>+5=xrRsHFE{e3430h0Mk zB9NRX(4(5vkIgzlKh7oZi!B7t6!q?QoI3el8g?=28Qt+6qPKbB?gX`KKjT5o6r{7W zzc#!Xa^uV0)%2ahURHAad%brgdRGp7CFysP20z*QEcAOkSbbm)>2XT?c6KXXtSq&$ zRqW>YijawVV=9Tr8#dUq<(Th}=5qaQ|GDe)Oj7qN02#r`PVJ^1j^Z*bB?eRkN)^RK$+mdA`5Z#LAR! z!Q|chkZY@L=$KqV*((6*BWt3yvV0OrjkV3!bqH$Oc=~uCKa6mG; zVCzU37G^dY`zU+-7B*R|#An}An<88jauK;V|Ct{Hi^~GZLUEn1SJzAQH z1e--13>PtysRo|kaqno|9}{g{U`7>CiSw)ODHiyML(hkmnSL5gr!Vi7Gx&4)eZMav zI(*+ace=Phc~_r3PN77B&)B%JD9L__F>16sXP{mm!V&+bQ(51zA5LB-C^z2N&D(Xs zOO;1nvYA|1E@&az#(PT9Hs^Qt0hl1%(oNN4N*S26iTD>{7borKO-9VX{n z@exbk{D#KFTUsRA z1dFnZSbP*)QbsmPnSw~(IEED3)!5BTpQJwFqcT-;6HB@in2^PLwzY}ol-450M$?EZ z%l9|_dVBvb+TH>z%C6fV9!g0aQbIbU5fCMZ6p&8okWLYhZUh;+yCkH$ z8$^%>k!~fG8UbOXzm3oHp8q-Dbqf z+i%T}Dx{k^ZdRF#3)H(aW^ujN+#Qu`#YRp$x&!m>d*+t8Zx zYqy=Z8$K?{;P>%yQH`7S=ss8%&3E=^@P>{i4616MP8Agv2lAn6wo7y5e@@3XSf`|o z6J{k+CkpZo%lND|PSVXP88kS^O54n%`n__O*pzv#m#2ilu*uo{z$CMjn;mbCkv8xV zB5){;OI}Xh*{;~Z#*p)a(#Xd9gW}UpGx6gQ8b(zgOIdG?6{at2Q^*JgrDHq>O%0zX z&tx@MbiWu*Aye0Nj};#TYx&%kBbp}J?mx3m$U4R>dcLEo{&m_xPEp@0gs0_%Inj+0 zBkWkvX%gvD$AYzsn-f-58`k}CRLX`}$ZKV06-PC!pOZL@*;a{l$$Z3gf@iDa;L&ih zDSC=&UlBJ~^pjqFSlgRZKX;-PTzm{~OR4pr_5|afkP2p)9RYNuKbMG7;SS~fhThpv zKdq&6mY}Z$sOtPTfhSX`T$xCdh(HiJ;ML`smj*>HR8w`#87!H|0X3-wpeEIMt0~cI zp+%CIYVar4p9Wpv>cP5u8q812*a>}ryK!$dsNzB*<5jW|oRIDa$FbYSmsW{+6ez9S zD2W0k#r1ou`AI3g1iaH(kWUQ!HtYI@5$v%1KtVQ3yt?I4J%JUZj` z8X+Bauf;8uGJ=<|``xY~p8)Y~KHA578*O>rpTw8Jql~nO#VV0lH?P_8ejzElz;y%0 z6U9R%B0UZdWY|(_+gk5irDaCbyUd8PYWoDYwOQ*yA$L2a4Fl1W+AnVJbdBe_D|9^5 z$nCAsw@2QqmS!d|YfyHrQ}W@(%S>4+sH)iVJXKm6CbH)fQ-51znN}lbKb;V|JzTJ> z$@|2-?X0s{W0FV7SYQUg(}X`4b7^2P@J%3iA6gx4adVF=I3 z$(`eLwbhyB9M&pfR@xU&#pgeUWvqu0+q2wflVk`hD~pS&;ZglEG-z1N$F|1!vrH|P zsm_&yfxbL5Dt)6>XtmH$rkDOJXN1g1CPgQUo7XPoK6EZ`QPYX2iEyLe5ji-%8I{Z% z)M_@$x?d(h*i5mtyKyIrH>=gRf?%JhrN&H^Z`-=WI8scrQWXcJ2Y~Rm%)ew$sFNk^ zSc;{8t)X-sbV3q6sA^C;lFUG8wF+?%ytkZ2iXDzYYl6>#iI3wT4%aTj0V+m71>+60 zI))Ue^Z^y^TeK#zyg(&S9A1|KJ$*z-Cu1zJUZe>3RwtxuH~1{rje53#Ka%J zBO&z`otCaN5E>gQ4)0&4kuvj!(#bJm;)g#f5aHa-H|M<7J5dE5O7F^5k08g#;fxy5)1B+HWma^WsEkKbd!jtjr!zSGsUrd2hls{38^Nk_LzqmqNesYE21M0#pm zKB$Pvm`>^#kC3)xDg{$@X+R2YW5pz)arcoj_w3`52?AH$BaOEsbHbM|M4u?9&Z3S^ zu)9VcO-4j+3TK)+2r8q@jgD^g+;Qg=e>~zm_ZH)Sox8`(Lq$ZQi8>!X*d1rBL7$|M z7g%jZt{KfZAqK*iw_1z`9zn6>3j$2EdGOXh##z5(Oj1C50aS`2fQl1PIWrx2M2aR~ z@PS90N3&IgBekSFD@oxWxoYK~pTcdN*d#QJKt7)Ck1*(zk5*98+jel*zlmu}II1vJ zGlPH%j9o&b(*&s84~I?>>jV`^4+0fK650}jT8`bHyM@&(buwMBFI0Sb%IRlwEf<$~D{u^N)fS-%b96yhO%O$zD!c zb6(s+rt>|fM%k@V#h0a{OIeFynL<*{K0- z#*E7x^4+2y%qkqhyicQwZ7i-{-9odp*wH3hEV=%fMezHsm2~s72VuA$ICad3U8m^? zt10fyg;TcmyYHSoO*Afi$O`h=5J+fVpa80th>U&y*K~4F+lrn*#D>U}C;~8&gG`*q z-8_;Jpp+!N0Pi@+#Nji2xHiI5MnGNi!n}8!Ov6-{yypBit*acLf5>MjBtK{$V$58J z4Kc`ZfHdJKqKlb*2Z;-kUMMQ}J@NbzpWPwrA)M$|baf13966#Wg4iS2D%#)m{ZAw) z(2_n+(6sBmd6QtbbmvP`Jxum;`u4ZiAJXVDOteFSCJ1bkU$L6D6Ds+Y#dfpAyJ+%{ z)R5p5T1-29dp(-Qm4T}J;O&N)Jz3JbUsf6o)&gGd$v?c2rjZ>l!jSY-7f)`ZZ;F?} zhz$!g){G@2Vw(?iFo)9^=`g0LFoo$RwCB>Bw=paHPbqExQr=@|qK&prj?5by1$jx= zYnq;y14KWe)V2Nzzah79du4dvvBBz4+zuS?z$5N@cG<9_Lc&bHL4Hz(*qDoZ#jr50YB{GU@nH6lIJSheX@ zrferA{z`o02AL(&+|nQV`})a14K#Xo-kzf2G9JKOA9*!w$a{--nf)W{K^zMk8;P`P zq_uVbK6TJ6%M^r4LJ|@~(1PZl^O+U$I=BPnE9WD8NNi3XL>q4pL(K6zAEZNa4JC%U z1^O=Za~z~T=nDNi^CLE~7dg%-^Emdnx@CnQ3U%JRp|5&*TgJZpZb$L4_;*U%u+MqZ zfU>^Kpu1lO5j5T)jm_KB!aB@qTm6u*e5HH0eiMgxSrG8m+YY@$FPHgP)2=gWSp4>1 z%@@umvE=&ke^RMy?jta0TmCP06f9FkHDxj%ZE*jxk^Kagts&U;M}dYhTt~xwXX%Wg zb31~qYuH$i!>#OqRkyceiU$ilmgT=i){b;8ZXKp_`aU_mPg=w{8Wj+=+D69AK3WuT zvZ_z9Py0!Se^D~x$hj$m)N=pTUGC8z->6J7_CAXA@ZarE&xA?#FT8`f^-}Pw{YZm)+FsHR39Jr!@9BNABhSFdxiRU+$7cO7M29(86ql+LbAZiHkWv#G@fA%BO`2^(U*f`TCVupx?ydeS8&J7e6GUlL%9a(o?-PRZoHo;T# zM_ykjnU5V&^zSUP z8g^c4i<i%+MVo=SGFWfoG_z_7vD;%ks{QlLk=i*8od!E3mD^f|vW_ZgH`91o%CdL6( zaLs)!@Ta*(Kksr+WZs;t94i}%%OamRP&-gk)0WLQ?8S7)zAjBrAGs@nlTUr`deh-k zv_Z?^*ssw>jnVAqUkTMJP+}HVIz0kUMgym8Uo=-Bl^r)HMswHYQY_H7G%GK^OZynE z36*$FIO^BLH6M&B<7CfFxxdc%QkrX>tHS5Co2^XPeB>=s!k=z+4c+qKBXhcXlsuna zCb;8>n5iA;8RzL7H>iNm>Zs)j~G+aJWS3Ff}yviq|Wi+v3xMp<&k4UkQnP z>{}Gt$K{_|HvlLE6tcX?oVQ@mE!(!J{b_6u`kXN=$oa1sUX?0en^9 zcv=|bBX0Cz&{EBWL2r|Qmw?Pjk}i-Ls#{~XHBmFUg-JlQSwyI|na+f(h+ufF7|pae z!ptBw+Rs=vYW09<4ENdZES^ARpI#yc?LKJFPz}wE zPwz&?&!H1}WUceT8C~btc`}sfNRiN>;9q_uBaWd*N9UPWEjc3Tny$w)S*!Ug()5_T z?_+;R&@1odPD<7t(d-q&X!9b!Ukh=^&Y5ElUj006eaODb9FwEd zt6tM{;cIVBqp_WZphG@#11i7gPfEi&eAtE_R(!kQ)S1+}@VffFrWqfz!nG=ca=c>W z6WJTm=U_WHw&v+WVbiglY~-gUN>#bG@TL~m6lKGWc1_jzxY6E{?3VO}jwH-*MJfB^ z&z30B?91rBMgc1_>C(@lMwf`41?AVIqn+~kTnYDaO`)}N2SwEh$G;y7_C`k&*X}e` zEWE-AyG8S|@gDm}0KBTY8O@80qg!|D9XzG)44Eaobe3-1{7nOY-*HS;H>J$L|j= zwp5MlXSzyDp9mwzPTNGcMbi3VSx9QXY(1a)#~*#DkA25BF;-+eE{{4|*F|Qp#&Rn& zw4Z8R+jX{Hiad(BZjuTpxxTR5^0>R_W%pPl-OT--(v`tSt+Tf9`E0+WwZvBlpZr}H z<#D%-?zQ8#2QFl9osQdamnBp4*)pu*YU$Bos~MtH8^R$JkcUPOX-r!f#4H54jjdZm z{OxYBzH@l{xXX!2vTH{n8?v7I3gsK`Q?y@}YPS`Kc~W)>f_ZV{YgKIi3R|9dUWN}1 z&O6WT)PJp+vHf8FbQi>73~@LqD0V@tUpXjg=xqgvF|7rO51I3Q+-@%GFaV(>9}@k? z(z8x;JGEbHkkig{FeX9DWRQSa!}CkOq0>m@KaQhy^!m(A1s6dcfG3EM{|P#kfjH%j z?&akV5MdWd#*-W}|M=NyZsaG2i72)?g8H_Kdy_v&CrwvBCyMu6C`7oG68b|7MT1he zt12F)Yws7zq|i69J4&jbL*vQO*Lfi3&9AIxN%S{Yd!k!2xb@K>hM!|ll1a_JN_`|) zd`Tnu4%Y{>`~$&&vHR0AQoxq@_Emn7IQ298G%+&oIYyj9(1`cnlr;RBcl~agUs9{c z-^LtAx5Bb7fk1Lb&>$-EXy`-`Oz;Dx4p)Kvr+>r{D8$m-$;8}=>$!u+3vkHI!_R5v zWT&Z(3*m8(HGByEAEOXK44yA25s5>6vMWhV6&}q|p80-Ak)@$Qnd}n&8c#OM_%hIV zn(-6#YH7b@`-e^TNrr$y<9@|<`@5X5tZbxP`nk)scY{F2^GiLU{tukJYGH^k zZC*dLCSEQXDYh2w*_^e0zw2xN1lgVtZivNrW<7(`?y%JH{PM%oL-&h~!&T#ppKXgq z`^OU_h`#eev(6a@s+WHG*;8k(N6syN&)AIoyr-i3K7Ml**?Sy)SB7Pj?pz@y&L$Vn2=lYl~|Lp$io+j z82g8U8)W19 zuuGRD!~2J0sSb};ta8RtC)5=453HJEU!Mhgj4F%^FLAz8HD&V0F1^(noJ zdB^`vZe6ZS&gJ=7F3(u1^`i-^hH-Z5oL@SJ9N=kc4SYH<>)F=5`rs8$>r=u1=#3&MvE zC{6W9zF^q}v=p5_m2-ECSB{5YS+Y1Q-^)Nwa@z1*ba{_Fv zJyKCYae`;*AzO7wijZN1eb~U3fbu(uBF6j=f{N?xUpek2rQ*{Iz5kBE+m&WDi!Vs` z(!1w$em3LD4tn{{$k6b@cK$;JwGi^zhg-j%yp4MoA<;XpVMlg?D-(aksHWBRDga6r zSwIAdCegPPh@X6`QkO@`T>I?9Fsj$Mfz|jW+RfOnCXo~@MuR{iaUlO>>{I@CW1mCX zNacm|Ymhhn`qlq?4oGOXuk@8y%SL7?JLY0y3a?fZM7^CG_U>_@iW z+38H@`G~{yse|vikuP$^@A61l^eTPj;}%S1z0{t~xJ%BmAyLM0@-$WG=^zt~ROt&W5hf z#;%+3jzG)Lj7;A7oiAK(9X#kf+Y`My+giEU_q+IAx^gw-;EPPZKAE7t{y=@Tv2t;o zec8A7TY2H2&+qryIp`N~*FUzXuMV?)ksnVU^hJwa94mK5tz3mrU#(>OUhUoOyetIo z2JZP1T$@|D9J+hG(I|R7QR#>LNPRg2nuYNFUFdg~Q9twg@)uI{V(I$#H@;rci}A{< z3%_$WzXQLkn9bitmp_pQZlb@iF2C7Im}*>~tXwbnQLLSQ?7W)d5)C=F>^uv<{@Hka z?)N*K`s&Q+dSvC3(Zw8>pMbL8)!MHIN0V1<*HcCptwz3A<`+7+b|P25?_RBWjPx~+ z4*8w+wq*=C^6q=Bf32AAD!UOo|qae z2Z&saZ{6KHuct(Gd1Ov(b@EquG_M4lx9uO(e~uFJ! zw}qQ$mhtdcA^|U@f%;zV0}8~mwg&sq43R>SFM=|oS)nZrM^V?m753_va^lWe-r8pG z|86eyt`NCZ@1Xp_;GFzgo_5@Jr64892qr}tK(BN_={_TYzMt~l%{bioT37?u_AITZ zs@${^&DQGFlWJRdwen=OFYoOMbi%pGQbGCqH;=$AD!*UH6d7^O(Wx!bTMSv}byBLr zg_nzTdFru6&bF+n*~NIOpLUm(xr2JPeoqv7kCqpkd0s}~E5UEnh5{ZGZN9BO8mTcok23FjY&=(eR~rxxB=vr_O`<&a zH}8WP>s*M9)U1(K?egDZ7m7j|iZboGIc2{RAF08dWDmdAuEj-3X1KFK_bIw{ms_6# zL+SH$AWJpb3j%v1&=e{H^KdB}`x59Id(y*dN6-{c*~wS_MUjM)fhe!-z-N(7u`eJ1 z$+}lp&8yHf^K(99ok^9Eni&O6_JvB6A(Ls_q`fUbx-MWm=X*!HAsdjb=l&(a2P}pG zY37(sldqWXJ@8%Ji1;=Sc@@}zs}QPqE8a@4yKbRBhFOnV&9*j()UGln8jmcnHdr$E zu@8JcMT{)PocTUI&if>^&S>)^@0AHxL;L$j1lP1zR1a;xokr+hU>fCgjq$#W-G~y} z8teD;C6*4i8+y&29s65GD>~>X11Yl(a)o}x(my&ma5%9}yL-Rfz}YT4wrO$Mb3F_v zeT|+cWP;AAFTqzj>EURlD%V~V-^+V2x*dE^F@}n=is*jVilIgN9RFiyJFiLJtC9Pt zr^31l7oI2mJmoW6MR^2;#IfcAuubF6=$|ywFz92hO18{mc$sQWBYn1v?RJ;~2|au; zjXt!u=%diItchd6jtBLGkZ4BcaoVV?33uKO-|f&OIvmFZcV+XqOgU+Gg z>AH5IH8hlccw;<@WzeHsx@skMvX=bV_miE`RO@FD#XrQbG0VtjeAvFB{gqutBs zO`53-$vbC*8MTy+w~h*><0V!-O|J*Kn0{6>@OfaJ1&u`5NLmPt6Mht_Y1R{hk5rh|?VO7_e=Jny|) zA7-40@#KKotAc^I4}}I=9_9_~#{`?j_UqSZnhEJ}6UFUPl*lFBWn@wiYscbH@$SZ# zW2D^;do(Q;5sT;V-V5rof5HQGS-y#bqN(k}5IFY{8jax)An?!^y6f-G0V>SysXF2H zzyV{3pD4s+F&iAjqCn{ZRR-})Jm3$>zePvaOnyG@gn`6gFG1vuDvgglYL(B<+?Dl_ zt_?qCH{04t9eO!en@QQg#?b1drRjQD(X)GH(4IQ)d5s|YXnWnT6hF8@$xrBQ{`!5)1y2eo*hbI$_oJ!)du2~y=7!t5Tfy_Jab zk<=VG%;^cjDteNG5vI&9QOppOxdV#T&QS&2p##h>TYzPVR0{{RWCHM<41>x$mR@Qq zs0SH<-z2(8pd`S3aR&0|^Q~^PffLYSGB*m`bZ9hGj?QX-zA?JE5_}nX;t2eF@IYp~ z`d5YcR%6#V`WMpi;j}mdr!mdDNg@k#FIpvP*xWSDA0Xt0_gALUL&u4;Std#uQ1BBI zt3qtNrLwAq#3Jl6axK4Bd4?FMB7| zK=|&&hnn8;v?SGS(hsnuy4w++(O-hze^prEO$|Nu7#oFg)o~7gU$*nq4P)OuI9E?? z7D>R!v7>FyGzOvw8aolrQi}Rehy2ZufyXSDJmRNSF_@abeN{w&Tsu<+_ zX&jTfIALd4JKY;ra$*>^a^g0l6`0 z(?nj4VfNs!K?yz>o${;?cqkwY0qSal(S0+=V1vO8M$V_Fz$U!Tzf?3#or9t~(L0so z@V*_wIBd8Kx(yB01TbbRZ%{<~1Oivxx*2H6YG?=AU?@bpP(Z3V$ic9z+o>af%PcBQ ziV4%H^FgES$sq@`n?S=J%oUh?V8k>4a;v7Flz%>uY5`QpmI5fq~Hbzw3YCx3+ zW0zqGyR?3!@IQj|uGm?{OTo3KGOH2uz3w_DTWjwlmg15{U9}B)<`O+p%^z&NPhHAR zc4oT8g`!Ai_}KT`507H9SaSG{Ij=yteNTmG8Um*NG;XT~*MAn~RUR$5u@_z%E8~pej9%dxHLvu6x4!k2>#l5uYAb22@s{c4 zZqjfAWfFEZo4Tf}6YKcC@^KoeMjOjV*3<5Z+L|PA7dI=0gTH$_)$W}D(r;iTwa90L zR_=lN=fH;EM%@x?I{{j3M8G)0!V}2hGq#sX7(KQtx5e7#TS^JwGrpjj`}EQzI1M%e zomNwL%OnCYcd=cS-ZGg5Bxo}tcfq>H3t4rk1k2)02mQIe0|S=AO944(sRSSTup0Ca zMH)HWt@RV)OCRSB4YdF3Zee^$q^qGN{Hg4Z`XJh&ET)8bi zjP?!BairP{u@jqU6_o6*Yve9bZ!7lruMz^n5C>rq)}8lD1b-XQ0St-ozv&;uNp1_e z$uOX~1K%Jw>nlhPDJZ)7Ask$>C5Ke|6l9{|`Vgks2kx znc@EdUb15=)y8CYPGV#}b1+7?EU3UHUK)6~wk&Os?UAI`ezxbctk82Db!|kH#k@S# z(WE5Q38m!FX{VIYIiP&eEGKy4WR3zaCP(Sf_y92}@q};-VECsjVu&e3q0=zkLxaX2 zp}+??PR71mn2efC4oI)hII>0qYo3Dkv}J zXN1b_>kH}e$OeRKMxK*m8A#B<0>6wvSE132?vb~m-%LOmdT&w)ZaNE0L1^5Rcw!3l z5a>h^O3&*8h)EjwT1J@tQ)(bI1;Z$CmIa838m51W1_liiBP#q^J2(~gPboncQ~3AB z7M&XE0hvelJ_XGumnAo`h@XE9#!;v6FC3B44T0x`-q7p;B0QZ&K=dYgKn@@B1Tzy; z^{v$}hL_1=`qMW=d+9esd*C)Vn!td$1`LE>I#}5PW?LAh#Pml2rQCF53DB=Nm!s); z8MQrJUwI%$(_oRy2TeW#WP8nj;TE7!Ef35qnuqNVgaDBEH@r<=gc9#0Mj9gjEblGe zd){D|_j3VD@5zFn7O;0cSKl=5Wm%{~plS1+>ZcV>lqOQY&KfEe z!r_LeCsTI#0Es1_3&GZIc;P|1r zrPH+1>$BiEQpEP=wKHlJl6JAJ`XQvT=gc#-9Z9N*J5arKaM;jqaPy8IRjcnD5VOqC z!sw0I$_~fRO3H)-JL1@)TPm*xE$6i&Hs*em4j)FGBw7pL`0(kC>KmaX*Dh&|mgk5H~S(p1Dqhq+-z_X|I$^QbY8{ZOoiE znhl&}ap`QfD=TnDF6%KRt0QFM<)N_}4g9*SE)g_yXwsSN7?x>vn6>d`IJ{}QcXVV) zLWdav)QTsTq#fwUDKR@$G3iF1O#h79`v8e=LqYGJ1)e8%X}{ zBYkAj$2ri9G5if>NrP%<1P{HloeAonU96h3ZmHCNI?z*E&1 zTdgc~evPK<$hGTPCK(}qrZO(H23n*dm2#UOc_PR4mD)|MImM;a<^b%Q{o8Jg4*=H<;lZz`hO1P%J_f2MHXa>{mf*&oFnE2zgID z`+6sb5HwET8}m* zX%R0o?`pOSdBYNZ?_-~-AX?+UOCBKh^P*Wj+}M3Io=30S?8*!gFUrJ}c0>ybJr$kU z<@9l_p+6=Y;he6G$*i>er+f5gpb8`7ZVowh?1Nn%s2AE#0(d*pkIH6+izeG}#gs<* z*-A2vvgyhYjk3?mHBDhDA~i;R5ve0v)3uEIOm23JcHpj;7{h?c3Y@`tmoHWh?OZ+I zsXL3L7@f>AyR?I4n9( zPxmgkv{%l`yn4$#X609!Q2TjBYGe4gQA=RqGE(cgtetcYF(TtjvgWpC{P_emiRQp^bOx?p*UJ!8-Vk6$_n5h zsJ~?*)j$rH-}$KpcEVs!d<1A^Z#L%(Uoyzy&aHv00kg}ee<9jGTR(KE`y43v^s-_- zMTAht0xWn+Cc4yc(O#5J)l(kl22G2F=L*5I9mTAAutX~bm3CA)@rkIAb1Z1n>QE*tl1MDfUy)H>%Ug=vOg<6a2S~A zjjN)8i7=pFwnKPNW+=JN2mO?%DO%7-5Xn1|yx`+{$mp3p?ya*@8{>fCxf!QNw=nP` zCuV(6MbpWNnY&qZG98Z6`(W^$9In*!r0TwZ?A8|!0rI*6jJpKM#C%XmT{N1^fsXiVn4`|vwhrs1;dZ=j^bll%in=WpUL`MF76h4Dj zS5Z9JFvjD(aE)^T9)u;}L79 zHRasH8=(O^g;^53Nzecp2}Y<<5SUtF!<7NEx(3r?cFO?$ZR|2aO>=JpGy{PT-LT&( zfDOhCSiISkp)*qWkgw0x*tT*G_|<_yku&1AND(+tWiX@!i&^j(Lt z_I9fbXy+1JOKRfX7%h;9?UA1EVPl~1dYnIeP;OT8&-TxpMtZdaZFRmw5f}svzapS1 z3*7wKe3%UT81(Oyf15xRB;e*jclZ(n1G>bL~34bgN{{Q9VguK$O*A5~5hNK{_gogH?b3|w* zO08dReqvKiYVX`T*iLMS986yF=#^jSHsb=wVEvM5kj<9v(AR5YihpQ)#oYr#Iow<4 zDpyk!oRxXJR|(I!ffH>jUp=0xg?rNum!=rTI#K4*>2kc!vkp#_ey6EJUjN+bheG|C zd~F`FX_{&|k2*;mk7DYC&0}*twy*in^0fGpx-Uv{liR|@$ki|yi(x55lT}xsY4@@` zSc`&lp&4<5aqNv%DkSyi3p>Dx){3(X3&w zN&{|?3hYrm)YY$Krsv(NBH8oMY1)#Sx_OnU6grIE4iuB|;`Nx?AUs45ndPln=CWyvADX7h!`xL^ji$EK*3~ zA5VN9pL`=pud;F{QnwxUx?uZm?wqPJ{z%bT3^UHQLGEzzN@z_v6^k1DtVC9GyO)l! z#4miZG>c2E?X0IrV^Z%e<9FXj>*5^TR*I|5#e`vncJI3OB{BmKpyVVjQUd1(};E5cozn{oiU~w;Sy*C;~ z8Om#O&y-}>dpKh$Zm!{@8m+5&$)J)Fo^_C+6CW+ZuU*agtoqG>oW<0lJ-?X3ppytbD*nAZh1fe-*1woX_2Q7_&yqip4%!81T@cRT6P!$zAGgHfgTXR zfgqd-Xru#y3lRJS0ybu#k<0$TjoKWm#gt{}n#LNvS{K+R zk+d2>;ro(GG%aod0pESM&~v@=3E}&tKyXhe;QQ4c5Oe?mp)yTNqcG6;1q8D|-~|L) zFraZ3R`j4VssMIH9^iRxJ2}rKW?3R~>yglWvKVhkJ8lBTp5MD+>oIh5y>oV1+WB6T&L#HJdN|+cMv%ARh2%Er7D~eB zSl)=>KOD7^N^w)CKWRftr<<==l$lU+AP;a2nJB8y%NW&Y{cP=8=bUMw(YnNfGf%6W zI#J;{*xh|9X1 z@FLMlR7x|+RYZfY07QWt{C_V?HQmZZ@)W#xzc!tZK`Ioucx0Q;XCf^Mo_dN}&X*wl z3y8f|tmYe$X$2czel{lEUb=)F%eT|{50~epQjiNwCv7r~bn`QMG82Yh$pcRA&J@*e zHH~VVC)m2yH8xvloagZ3EK6pl9(C*tHy~{aCE7ZLG&e-P6-y!Lm5)6U9<0mfQ?(jx zeIoKz|G)b3YGN|(rpmtzwR;c}ED^^B0xknNJ?mF04s^GOvYFqoexpKGlz}~c3jLo6 zSYh}thl5`0K3f1OF9^EaxMiCGI=Xy{*HiAJ`5cvd(-SyVwt-Ix2l!q$-K*+je$HUo66yOKnh&L8N~rRblmd7a_XFpiMFo*5a=v9}*pmEbaB|t* zQ{y@CB@V};g2;xCwe)$UZQC90?ir1ntvy&b`8!FF8avRfhPI+VCu*8ETYPq~Zsr?Y zk?cU}zbG^S$PjxBcKxIF#GW^P0q%tGwt2TaJDHO|wWX*r2VUm9K>^G_hd zs{3}^v{FSZezo)L#Rem78e}$?*}hWE`BtWRF|W6O+0Q9KZnuRHtgxL?ZTnQBdNW_O zJN;B;L`=Pn9^8)AkG!;M4m`(nnnJ2t7Jj!(TY5jHq#fQN97`J-~zTF>$i~wan9; z;VGJ?9|+F}5g)Z;Q}h9{1ax9DVb&lDdT2t{Sq~@W}I`ZHJN3k*w0SzG#Q6N z2ndL1fb>J_OrPl_;)*7k*9S@DF+AFOcfeG7 zrCpv%#~mr;VYa_SzY)9VW|SBrvD~grRpNIyvYvVb>!r!Rg3;r(gxc*q?*M zlviWrBFES8Vf$j0=%5d6>rd*D@<)?iL)lyS&kymfk&>hzT*l7(FjrrXmS$Vqu3W|K z{+h?y+rBOcwSyVO=5c6A^c^?d*M*J#nw_>@!53IffYBWo{m!(7iB>(SZ&W;oiO}0` z*b$B$S>exZ^{+dl<70)doNN`*6ZkbKjPcr!)XX0)ZS6|si%c73@sh{Ve{T}0g~pcp zXkQQOOYNsjUZ(EJ&M(3w{a6|3%Ex;zG&C0$8aZ_QSV5e?*8f<;K`N@L3W4px*70=p zio)ljQ_kGiV>J+VW!c(u32%FB<0X?jjTB#pALvhw7o0pSx-ago1}`H$c4;Jb2oN@B>GQZj6?_iT>)-dsn;3E#B=bAI#EvEz#b4HpAN zA^Dsh{#gCMinmm*T~e+b-QjV;L(TsiuM+kC^>f4OVdz#0U}p0Py^>n zhc)|Okt?mg!t{SHed$a$J`*tY{2dzo+Y`|6AOgfl#;D|J8f5XLq@4Ip@;0#d>H9TT zWHK{zv#ni|VM24UXAu_nc69njXL$c5x6)WY0%Mh&&&l^<>E_&g;*v|t)Z|Q1Y$gNgx+Mb?OQ%;b^o}A8YbqYK@#>Zl5V|Vf>|Kw4~ zMu*=j+UH8&i{HF;m+j?)+d5_T)#D*PGG@UE(+y%jJ|5E7Nc!UIRNPfyw--9*oST`s zPi>_$FGFsyi644q2pfH^r$WwA<404rfwwV9*Jml3c5*4pB`#gzA_07QTKz4_f-&`q*w|aGrYgfH^ErS)!0GA1p7qr3rU8NmSf$T zC)q3RK>B?}7(0lU@%dd<<#-qL@r`WFAgo-YlFrYWEgo^wC*2Jn*5Q|^rEW;$ov9dt zmGN7i^Xt8-ZB^-aR5}XTu+*fqUxj%xF)~W`mQh;`Y>Px4U395F_m*n78??bH0E0=g zv*P?4Sr}~xzlzFgk1O^Pga7t_;R;>efqw%b<^3&8__+Y;s&Aw}={1PlH<5y{ey6mU z9rZFuA3@+lKehv;2L2+w(0fik_~G?5do)#ZH@Mhb0*k`S!d2bt(_XZCPL{(ICKw3 zk1f2CtZl|M{35jbz)qa$@9B|^&S6G$)>x62y19P_G z(@zTf7sJ1F7>-)kiJIoqkBj*ym(Hd+d!twEPnQgFk<0syKHt$1CoEmh6$X4N<*y@Q zp^hF+9X^p0zKT1C4H8V-X(vDSvK03C_!1YDx%JgAkOHC`&Wgyh1{dson~|VsiQJjR zw1=I&pP%})w^+Xp?Xb-`-K9`P&L_G0sM#ByQ7O5dKennw8@hNZL|I~A_zOem(p}H- zxK|MGiLb}0M5fZKx$Y05ev;~6rpJ5&l;WF$20Qo*tsP2LIvy5Eal|fg4YJe^wUish z#FNhF265d>_D-r7N!QB?wB@-n31*m|&+z{CH1}mIvp4=TkhW@GfgOU9NPhP{AaE6Ni|hvG3m9@TxM%VbU|QOBWZAT?f0x?8p!h<2Y{^(%Oc z+F$>cSQ5^^m%;naLI<1ZogBMffi?9@ZpTK>{i!>I_}YawZ$dG9h=|YS7x(xa>f3vk zmXn{i-key}H%p;cw9o6&p(3B#b2x@XZP{3ck~Eb>GSWS)oa!Lpc%``@R~OB)X`>ZN zqFt)UXc%CljD^1Vn<~Vq()*%mVaK#-zdxGrHKCtE==qf?LAxfTU%O|B^7?akCKz9ro!i3z0>&kRx4~UwTRT<@HpaH2EyOCEpUzyY4K z1cvw@@hNu2%3eG*Sw&_(BJ~68t)y}7uBQx=`+@Q#%ZzCXo#BEna+3qx;9}q0DKHas zu{GY2*X}k8q3aYhESaSW`ox>t+)+v@&gR!CAXzs{ZAk)x*Ff+@P^Z9k8)#Gk!8{O* z3F#C}{{$KXKp<8L@tWRIv2(7ZwzTxdOCI^<61dx0$?{^zSCOvu!gjuy+n=Df9X&z! zr6>*et3K3$ko5bquNLo#C+jWBiQltY^bz0a#-q?MUR)DrOhs*nyD%rU`({Yz{BI5J zzaM+A2+ZX(T*=4?8Fx%=%#(=T>?R(@(yG6z`Hz%wH2eLo?(sW!*!ga=szmCXEY1d) zS4J&7!sh!?P+wH}WJI>S%i3t0O6jBeM@QX!&x8q$sp*WzWlG;4z2s9aoGM)r{PvDy zuP3yng;2}}UD>SItqs-gNu^}9B)AX7JwE-D4>MRbE*%9yODBAxcAqP)EtUNk!xkXS z^@{>xCSNVk;_f0J#C&afAHXnZ0A;Xwnek5dt04~iL;JC=xZwad{Bsp%z?&Y?{V}-y zH~I_dEP~nO2l#KdtV5m(sy@^#BzbJd-POcFt=*1sn_oM(EA%NIq#4(r{oWlkRz51Z z-T`VY0q3Uwhq(6uYpU7WhN()IE~rSC7C=!DqzFor-XV05P(lYmx+2o0Ll97U?*u{z zsR~3yAanug(xeFph<+3GIp@6PocI5p=l##87s>3kXJ^l>S!?zr>%P|r;iP9mg0$HZ z<~aHgqMJfOSCmHIVEQ0DXD0ZT*ze|l1erz)RWh>auNa*9kSr72*?(RK{@Y5p@X@CI zNITlK3RQbeWvA4*Mz7&k%-6J#0@Jw~Mb!C%qGEP2M+4Qjb|2P!)k^eB9(2e|kA%|* zTX8+cbiVA-ntDh$&SM&C>F#|hdNOEV?_g0uV>RZhN_=t2o_fM4W4PS&n@ZxXuh&uV zWA$sc8903kMx+`plTnYUN6ws~MIA_(^V_Bo&_DOoGrza|7pA3uZYBSkSb*;?(yHL#L2k$y$;dSE3Js-4vaKi}&0ak(*o6CIt zsVm&42w2H0HLIfg;`s30cXx{fB_0`Ex zS{DjFJ@&BZr2o-KJ712|=ru2o*Z5(62ES2y!IO~p{AZU;yoG!s-d@^Ia;0~xHue{8 zkdP;jX4B*{+9}zZrOIAN&woi@Bs-{+WH?^gjk8eeXTDE=>7#i#9v8hZ4q$sCjYa<~ z%fR*~0ey6>gn5yeMj-qx(j%?a0(#W}SR1zIH>0bt|ZKcPf1G}(i>Qy6L1tDKba3ZmrKr$9HXtB-%*BoVnAl?_8jj>tYkKx9JbwQgN=P|E=0NGF zCzeMPD$2<_Tu>NHjA%b^ayghLFdjyMoo*o?wl5;&YOJBx2jgLzQ~|xn(b*y^=nKz& zWRF)rGS7cjr^+FvA{D0G^r_6Cwnn|47lHab#fhGzaOXrL4)_hak)ye&gud z)|w5g9PG%&K&2QDWd#3b@Plq-UQ0*0LG6ZqKeATSq!+30J0+y~#<+T8KhP7Ll$0tq z7;S|LLThWJ`03Dy`xQSqCjYNBbiG0teW_4d*m~jt(`+RqTNs`13Dv7Ajcc-+SXU~x zoWM+m=|R%Uo2(}=tXCoKOi|8k7X4#66JsohZaT=C$^^vG`JXMTBYFA6^20Uqi(%{*aPd~*FZYJ z4`=Dn;1txJ%@7H}Q|?8IfDND6;fkGpJMsD#_nShS;Zx zCP5-Qnv@W7AIqU4s_y-udThCY2ugpP4xMjT0u@2c=+l8c+VhjoGYvzl9pLufdXbT&VBbl&;b_u?EAUqOG;j#tT7w?yViZT9x~WXJFCW3E(hSSlV& zE+vpPkP1M(l5K@f1=gO1o1S?oP~>777y;w?IStvHfN4n99$~VHEVZ0Kl?$WUG^#h? zE#rI$lXcVTQsJw7P|q@(eq@Qs7d=SRD6lJ(sxZ3Tu^j4&a&89>RVJ*25Dr8@NZ%Ae zM-O@m4Mq` zzrLbZwE>r004e|@3ToMd$;+|KzZbcV%FQ*O;M1s5!A0a!bhC}dRBs3yUkFx<9nI#+ zVzi^SGfVZnkWMWZsROqwrp9+P*v)cPcB%2Xm*^5(7)~>Shf7Oi07fAuj~5I~e2eA8 z{-hiD$dSv+x)A#^dS!$hn7@H7$&r9R>{MQvZ3QJwgfvaw&5yuZeCeraFOvS3FJcFq zOL>wIteq|7A7S|1 zoG}*0dx=dsZ&@0*_!>HWfB|~Y=$)(i$unUz`=U;2oRgiMStD`&+-hwKebGh7)MBT8 zyUxax0@w9}484l8XPyRKzDCLA!!3iedhJYH-dSdnZOw3s?oht3uD7GxT~2Y%a(z;e zdQN?tql4qPiaLM#Ty`w??Z!Ls(@Ijy?S*SSZ_Z&@L~lO{l0AJ-k$rGq3iE`SEW7O+ zHx=g*5oUlv^I-K&lV4H#;Ta@`IA$9PJCmE}b7D z`$Q*lE-!iJn`_IJ6_mt2MVJ1?kPnpZeLO7{X2W}>T_$GMM-Mihu>gQScy{k~-3 z6Bekmb8Gsm$Y`$bk&Zr*8fQU&2|o)(;P2NlTctd@1leO%3yT8s~@@;YSN@SFa|$D=vH>Aw zb}OM%O0>84_25UY^<8ZPy`0Pej|JLy55sW-;|ED(~5v8NOBM9NxWcm`hJK zq=V{;6)BYqzv0AxKX=4_j(sjOFTN|BUY}apF#4XA9c}@h@ov#w*SNxf7icA6V*%L_ zVz<@e{HKv7!r{i3OYByj7;;7^yCfM3OeQhZzt!KJ>o6ydRu1RX6p%s`HW))uZG`V2 zSNX%O?=4HWfc9)LhiZD&SJG#&yzSA(JK5#v*p}F=ROBMbU&C~S@SkC*Tf#4?`-pQ^ znO3x_5Etw-6}!}7A)WX7ZjtEG03F-n9`PZ_&$IlH@IJ;SV z^ZcxOXkS2HP$#WwV>$@XkL~kxMUAP;wA_FGcr$QW58^A#m8DtulDJHcT*Fo#o^t0z zD}nAp`Xur_+elAve(|VajfHSzmTO51S(z!h9no1-C94|dO9+lu8kn1+JQy_iE?-va z_x-v6KkS?HmnQ+Gjj;w>Ac^h0_C{q(5EvIpCD3tB^`WQI?Wv^(d3@X9L??uPmQCN zhMOr_70nglIm8v;ttu)Gc;RRu3^t4zAx4gr?R~?We<5Yh1c^6M`n^ynIUbEt0+pWflM1c|KBzcK~^iP=1;NvJ3?~Sy`&c> z_ymKkdYZlBgZJE&^9M+8SUgF7u)$v%DP2oB3d_MKEPM^|{e0Ac)W81dLh$5|VZ#~= zoPI?kviGeuJhG)x<;JuLr@KPQAShO-mjqo@#ix6nZsxtsbz5NjELEk zFKTkPmGM2`cJj<(UO8a6AaE1&p4g3)LY4UD=6epev~#NBH_vEh*C&N(q5Bm$&N%6_ z9k~S25JwwjD`tO?mCIhPJ$L5$0LJ-@DSkuCPeHPg&- zdE|I>9}!P((cWam#LQ~<3pqY0q*)vdOQm+oFTA&S5Si-fG+rp{8mW+nNV}s%_Ca22 zckXop^`*MvTv=2Dr(D_QRVeACbOK>M|4nGTn8sFjFY+*^lUu^rAX1&udXXp4-<#UP zE8Wu|mxfT=0}j5M<3F3)4ID9Yc^t$^^1=Xv16WQyZgW?`R(@H3Y~_dlk+@Gtlv9XN zE!!b{Sb+V0ww1q1+1q%_*cL4*AuAF!dW!JLFRbwUc(6>M1kp*igggY^PeXB*G(oDQ znW4GsO+?D%E;Ni7?pt%0yR(v zz89GDfmLn`SiwgBT){%{Z2rT#E2d4Ks^IQEQvLQ!6dQS+k@Br}CwV)iM*qBf8zIU0 zEk;&KIyXlWC!IR)kxkbp_%)7jwR?zignPc=(0quKC-kXDDHtEofN2vt&t%}`l*Vab?MsMu=m95RBpV6&n`RQzH0PdVqZin&f-m%7 zsgeX89ThMhw!y8t2@ipi&aT_cDO8@TE_)r8_VrvvnBwi~v0G8=!=~@(1kV{+HQZ+6 zVe|iPXq6_V3W>%PG|L?v4IjQEcNR8Ud@fbC`(c~y3thwQ>HQDepKIjUoS9*iYC}uI zY-7i(b1NY?Ybtv7?M_xgk{kJ{e;h9C*?OJ(7I7)VMeJtttpK@l^~*0W&WJpcm7??O0=6aH{gYBGH6^M)ZHxeAfQrbm2t7_14UJ6w?FX;DjPOD*C=8Zk>`y=8edhhFw>GL+xc9avN z>L2oQDU4wRo*uROwwgQ z;i|7?!r6I#h)cNuLJ1Pf?%-4_wgB)`_ftRt0RZmzq2Q`otOStXB(RY8`vCGTaeyV@ zYJtngW5DGIaCHCG8EGwvg%i7eLDi;E6p!mo08oAkMGnH7Ko@oE08-Wjay!(mgE(i?XFz<| zcO>uH1ls%X8FYWs6d>72E`d{^=Z7#r7&IHj7MMXH8WaGJ*VU{XTL8GX7Qp4NuK=XY zlSTkYlM=<5Z*}?=rT6b)?Nb7WZFboDHPbTw>JLHyRlJCm7$aOcy_Si$95j ziXe>xuo~k-0K@aRjV%Dv@Brg$dwhMhON4CDhB@= z5c^;AH!LE0JlzbqIU0m?l3rX=Qu%yt|B9vbPPkBE{LS>sNh5$Y@yllBk&7W{WGE3} zRzN^@2J|gpFaTnh>;X*u2H-~`%K@6PfC12SJ#I8zhP9m zy#w5i6_Ad@4SVSrJE-i=3|Qb*iZ2`XE&=NqF9y_#wjw|npTOo40XMV9aw~xb|KHG* zGuDK!6?$oh=psLW2LI+#IzMJm`@%%YE`c*I!4K%L*kl{PX`;a zA~38BKLFig5BQ?!0Pu>k1z-Z)lYn^Z&5U#HJIHadS4;L-)EW5WUhO$h04$oac3JW+ z?`P#*Or+;3% zHV|sp{eAxV!DdXN-O=~*l;_(8?`0!jrC*8u)-Fu7>|7Hr`Dm1%Wlh-ySCcu0H0*fB zSQ^8%hL_z6KTo;O+WffCd4*8c-${^Vjnp4h*(VJ%Jj=oYUw!(&|5d1_se60h?j(uc!u8#!(>JC4%0tgnqJ}i3G4qPJn(I7-vi$9| zlqk5iH0F*vuBQE6AmE~-MB(X5W3qKY4PzjP0)kXMX$+n*sNu;pCuGJP#TO)rCvfGU zu;Kf-O&=#ZovGaI;F+66O?4Xz^X^iznfR1(m1D3=T%B&W$DAHpa{P%~bjg4}aS!3T z09U!|Lt-~*Gg?H!r|87C=4{Mk#QeXL?{BD4(KpoLt-HYfODQ7a36h0TQ4qP8&Q^Ef z2=;ZYM@$nh4R?FkM!FShi(lVHTJDp?`N(wjla&CS$W?9P;+2%XzslA4vkzn9a{#^rWCR;7`-vc* z#6B&|sE$akS|L(ESUqXFXto%l#cv2JSzF`f(_=T7sPlQ#w>#pb_go{?FiTF=F_?Y7 zovs6OOMhIu&O~86b4=mBx>?L)$H$UzPl|&SvOTRPegDuhxfO znH4?&(JjiUPAt6gUaR6*UU>&DT&AV@5T2BI!LZ~n`_VroBK(iJati5cd6oGqbbltx znZ?;@P>tJ=xv0^jRbvzEpg3jR(^zvoWJ4{@u7?fvBg8@1$g?K`g$~^?x){{6i%Jf= znzgC$lz!8I?UG#r4XesYHj(e7k{s1$Yj%!ZCw-K(Z?i3Cl3w1X_@xz~ulUJdFFu9) zL50rU8u8M>C_A0$5MEcib)R{JU7bHkEX_PyU1T>)N% zy$TDq?*|uo%iG0)Dyr`;m3du$P~7{^$SbV>Q?jLh{;;OrbkFS@3Xm`UnTrb0G(H6B z9b`@u!gwZQHAHeH$zUdv2O6FQFR5X1lg660#itCg?#T|#gEA9l*wG}n*7Nr>@pmF? zb7-S$g7PTyRRx13HxpKS#6SrAyaT+3$n)8a^@wx`BBmJhvYyx z$vS+CpkF(trFv|2&M2QPP|2BkU8~_Ns6Y$UU8u@(jOK1q_g)-!s3vea`vQlOd2z~gQVRQ z5xh8bW*;fAX(!kexd)!DDWJ7%LZ5VK;=tu$WVc4%qW?Imtpty@+U>$K^GG1Ck<$c^ z|0^IvNU}e;F&k(E72|{A@E$P4vOq7`54m19#t3k*uZk~(;bQYm+<#w`zds9dq=6-` zz?)3%{t|lw@~+XK(x3U!{&KpNI2dS%{#Aua&^#+Z?h(K$2IO18S{+FA=7hC6!0y3X zFh~p0g|$(@m&*V>zLlPyBn)g-;@4EOdR(rpN($B2^6is*0cxN)30G}0ucmcB}oDc$Yu(lTJ*PHOBu{ENZ+;$=*>+dVEy-V5&GBY zPj@(U*`pD>4D5piZ5wv;Om+c!8A02uQ;0Aud4y7%(OZ7x=7D7wK4dBwyMpMUs| zNoh)cGL6*7qXHSif9mKL8(Z5~R09vYNSC+zts%$A^b29y_IC~NT;a~)%6~th`U6mY zuoks&;kU71mVaB!9V(!b|7t_8^e$nNg@*sptkkh18VS~Z*m;HjAJ%+-pLymrheMXZ zutE)ras}EC$3o_ z$zzgAB@b@;+JG0PnR7!@51u@@q)M!+l%QxfTr*6P#NAk+rQDgs@M$pqoTJGTc47|A zj=R}coOMWLbqL@y(^Do30(iokpKEm*o|q88N98i*O+E~bKYPKSE&xp)Vo6 z)4@aB4;GZ{2U`UC73<#A2u^DbZ$F?>i^TYgtLoMr*H}n0WxB2h;+2^Wun?Ve(`-0=jZ+WVMfB1 zrfH_eJDJ&0H_heQZPBMtkEjag)lRuk-@W;nBg1L`o(mJjy=*3~d;jUzSMwA!BcvJ^ z{Ip0``SuDvVgk`7bdk}(dL19_fG|(lq=Qtm2El4wD&OXxLom{qr=;t~!+coo0r=~d zuSU_azh7@Qa)nQxk#JV2&+sdowsqIT45VPIK+@a}c?l$55C$vui{ZPtTw=y}bCw>x zcJu`5@=@Gw?rB98ZYI>ZHLw&ah-Q2bHbGIUSUg(ri5!?)>%Oh7F-zj2^u-&OOVfM+J8f zM{nAN&Yg$s5kG;YQ&+xCAVPRgwhM8QK-}T&LXo(TYQHuiQVK}5EI4Y!=T4GQ(Br*C zUiyy!ctvG9KAJiE6I-lV+9%{S!hl(Q?r#YzLWGExR^~j-PYK$Fk*!*JjH{h`lgX{Y zARM{}HOy_T2jNh5lgY}~br25KHaBc(y?o`;m-cI}+0Ka|96G9EwW@4O_;sg-qI;_h zghOSGI+ygPK{%ACoM*0X0fa-H%I#LpuYqtVTpxbK)ZEpkz5>Fbj)Ltw`2P12XTK<8 zjL!hPWFId5bNY*w9PXK>Puu=2zt*dT_kH1kTRefa>7L=eB*3Xrl-IUpWZ8DxyIjU* z!rql7>drc`9lzld#p^@Ga+AsQw}!TBMB?d-mJ)C1mbK-Q+YereGFq3g+c&(RBx)P+y{2KTQo_7)Y($={?CJ5uc&UU3L_Jm6#;5_T_M1WYd;}~H z5&44iOnD^2uRhW6$-pl81*u_ODt>JH~i%dnnDvlr&I>mISCs$e>*26ARaAxHO}( zMK{;@yJd@*=(I~H^k{=pO*N&~66D&8Q1qO|PR6vT3tm<9n7v5Cd=U$5lxr%qYU6a_ zD#^L?qw_RR8NHRv&C+EV(hHdAS7qCEUjFanBnxjdkSeIQ8NkK1Pf~-50+^?BbPpWX zd2;IOQep7Ryw@#XX-=FsO6=PnAJL)jl`j<1Jy6RdFPY|zGGa2c+lQ|yi58xNxMq)5 z5yUq3Q$yhzB{;s5YZ?wgCCoM}#sGN@Ok`uAgvUbJ3?Q#@+$TpwpNDHzSmf(%hVz{9 zxRLLNAxL?mJquzpWe6U)hmAFVA>ebXNM>S9_tHeQfJLC`g zlvx>t1k6tQ9aZgH$$;BYi!B!R!>7r&u z)|`aleW;WToxjX=?CKPmJ?F+n_mbtN*N`dfbA=9`O#B2 z{@la*xR3Qb(HwpL4*&qWCCBiilOzCOZ+8L!w$B1H;!klP{yEtAzlWqN>Ex?xlF~r% zKX2r8%pFMbqG-SRPaSWor=PSUH&Cq1QFna54jR^MGWRQr6Arc3G_a7XpHL04p@Lae zb(<~^g`hOqqKtg`hSpF_>~on+m%?crj}>w3G8EaAjg)!Yrnx=1oy?1vSJIO&2-Njr zh}~>dL8N#A!!ct7!lXwuWt#naP}I=~1Vz)5uqn-*2lPg^#-vs_(KS)NM%+Kc5^9S$NlM4b{lDo|raO)Q2=p@ohxFvYw^F4n0O8O%)|rJZ)2% z!LNuX!1YnpW1*1(&{`F=4!~8q0~{O#Ku1@MIMHmC06N)b3Zx1@Bck+y)juI>Sd?4^ z03|DYED0OV@|h@L-ja_CnJVLaX?`>32Iq9^5Qk@{^6(755NB~3T*qBf?y&zm2VNahzYAUp($zuYj&_^@i$NDr-oFw zJb{T=RlcQvhvrX_5`6!Z*mTH$aLJX50W?Kyn*e~gl@%3a1~Xu=|5Dp)pr#}FPD8j zsj8`);rv+|loA1u(JfFZ&p#c&QfJm%nXwnwfYkcl6~Eqp0|~{pty9hs{$H(JE0g(4Gz5s zc@dI$>VV!sKW6d^UNFE!qWz5{I__$~gauE55vH@l5uJTxAoztkDlW>W3Y0IGmn{qc?k#bY6& z6wQ(2p?Ch|%sUP~6AM|u( zI&J`}!)m1V^X!*X+rgg@(Av*HXY_?Y2HvsOap%JCLh5q>saN<LVS}Ky&-`;Rr|V-yeg$k?OA-Vj-vi;0ZZ9Be!uk>(4!! z$u5D<0$_`soX;n5WdHXFYbb?;jIcFyasDC#r2^a>m~W3xKXSA9w;%k`n?D?*s%GRm zC%X0@JNma%8$iWBU2Jp<(C=D*``O?0mDf@Trk9G}y8Xi^a|q!G?8sr?vG`X2eMSEj z%VvjopUmZ8xUe7#6VNWTOc*oexD8KCI>)oje$ded^87#%|FRC#JtrGriUexk>4FQ z#otW-=pdJ5zm!ntU;E%ig~mI<**HD{mfKCz0!Eism>LR3nLo2rtAWtrRkp043g#)q zdJB`eQO<2au)EI0l<)h?Vp9q-%yHaHhgU*Cx{2A0`%RN$_srt%r`8^o{IJP6nP7MA zeGq^;W7@&u%Q18?QR_aDD)~jxcV%bdM$JCF?UmiY8L37`fgP?f4Eu?v0JF`c5c0mm z3EiV_RM4@YSJksqHuxBi+lT(VZ0mjsQu*_?S*ev}c?|814m%YGmYDUDm`$A*cJ=|6 zlLNXpO4mz@9$w3_*Xb8?l%t=_DVMg1Yj_@LeM_!(Y#$!;f+V=KqK?BotN0+>FThz> zk+b|7;kstg!)dTN@+&`HspqXB@;-}T&k^FrdE1JZWhr)>*;@DM`%3vTR+B61#nH80 za|dn&%FpI_6uJ`FiZQ`D{ZaKxp!Ui|K z(lwMgz2SE=)oofBd#&X=vQ;l<%7nSi=5=K!;)U*;1+cG!7D^&O?x1*OhtEwuw7*jIaDM~QW9noqZA zx+1ZVqFt*Zl|*@G?QW@-=K4InSWGdw+xq*NT@8hUJowWOZ0jZ-cLMf(Z_WF>n-JV^Y@>{PK;57ANL-xd*t< ztBH{s+b_L!^+r_p)0yZIoezZS8sD_$bSp|3L66w!Nt~}~(5XLDE{Di5R3G~yyfyNq zkv;_hmot%lw_c9GpnAOZ?AHtz$BX4W_>mPO#f|h62sqRvveX?_4z9{GG#F#sGb}5$ zk6SH7jLI$6Z^0d>`H;Gi>xPSsB_kdcJ(~O#zH+s2|7nF>L-UoeVoe2YLVJQ@pD07M ztm{clww{ZMshSPXkt{3P%ZrG<+Dm#QaeT@ki7b!iXTkjl2CZi99NxJn;`;RGM;m@( z@W^DP_a}uzc&-_mN=kX0R@7!T+GucTPTLe76@FI5ROlRDhr1U0 zvUtdi_$OQ_S~FE{(f4?i?^)JZpdG3|eYTjVlzoGJJ*R5FRH4lYby@i0hhvoqnz8Cm zk;ZgQ&lwN;@zSxB`;W|Q()a_*C_Slai^sjjm8>c(OOiLE%Dg;fJ&P*Ck>&SB9zw^e zz!oEuH<`%C&~^m%uhnv1dwAc{HbxgrwC_Jk)%6Bk zS=MFwg^ri;&9Ztnp@E2P4~RyvB2geZc?U$hK=eko_3nmk@53-Ag(He8b_h7Pu_P`l z?-$yWb3_rxzRkp@uqiH^UbICiCf<5?@!2s&925wzuZYW9PdyCN3EQG9;+*F7)*nL6~mp3ooW%^`QiV}A6Y-68^ZG$x?(@5#E2WV3MbmQ*EgC_L#Nq!-ltSR_A z*#6NP5d(uuU*{pepnqLvr4 zg)R#$uYw!u+L^Lzxpt>6f3{t`Df@w-*Ey-)Ieu2wwS@Ck%lv1R#*D!bwZ^kUmo*xl zhiWw&$A;9j8*dLU>ogV&2k14P!_XTP@}G=VM_WxM!Qi}ObF+c%|5^Dj$A8K4o$abAEz<+ z**o=ZtBM~MXv6H7Z@n5E_?ZZN$6$ZrwxP2QhEd1a0;8hi?1XuwNtmCmUNtx zFbulR*%)PA=L$@Ku5&Y{O4qp;v#9GliaD?6yns>CbKb`I>p7odD)pR6Mixe?YP37AM`1+<04MQdQkg@kWm*jm=1RBgcUgxsMz{ zJN4^HT$E_i8K*IH=EukzI^Vh+cQ{4G9ObMdf!7+mQ+Txe+NQ5gGM%ZFb@$nBS$uWd zP0e?gW=+MW{1#xr+x#iI-8b#^*k)ftTl|7-y7$U5N}f8Cm_Q>6FL>P0t(4C!9xGg; zy`|j{X{`{Q%a|0&L+U04Q*$bEKwIQdHXwC4xss`uzH3#4v35ra6;q33dcvv(Ye1S$ z?3PqrCRT*964FZ2U3UuzWF-ngp|cDWD3yT(Bpa9T0)@^-Q22lrp(7>ic;8MYC7 z7OkB#Y|7o>11{W;Ip=vfuH_6HGfN)T$1Lp&!k2aOu6K8q-4OR;L0HqC8`PrT{>Trb zIJd4vrT$3oJM*~XS211V*Nf;IQ2ie#mVXYKDx4Dq-lMX zX$WzL${dXp5{oO`IQJ#h5@#o0sE4{i*+fYBvr4kVB}mSA1Is9Kt)?*FQ^&8V+S9@> zvc}WN&j{t|>&I8?8RmCV>zU+-uJg?HORM*+@Uw65Z1xjx^z8K`ZSoxTn`-i0@GEKd z-1hTr@jUfYZuKPbznDQkeY`SUWBi!?JvDx`A(~oLn;(|>u;yFf<&k(|+4epNr5Ino zbWPa{e4;99%FMn#`Ny(+!ni(V5`9&UjJD|!(R`^k`KNXtqQ_dLTR65oK6H;8OJCuBdgsIT zn5`@Z&vwK|@Nq<$8UCj!ABo4iWr74&9X|J@4NHFzEcW>5Nq3YE5LylR+;6iyy|H~` zy*-f1V3y+9q>sOO{wOoSOjSDDcHutjoPGF#z+B0*1M#`_m;=Q*zJvqaIeWx`ga^h+{l+zv-Q~AVF5#{w128USX-!YkI$`aox)X0^U zvDIbc(t!+K@#njvcof0g_mnMeDEm|1C7yX6i&V};$$p68jf+P&`pu)ItJ8Dz@;|C1 znS5e`aro#!pJ{3=-(O7RXLN)au*pJG3bn3qQ74^-o9dFWnd*i>vtPoWLq}uIOo$rD zSDl2iyhEtSoIAKis#JB({C%wdjiAPjyB8nWFt21Po@Aaan`FZ&9H*y{o~<3n>8m3o z>3PZzmo{`@C2+Hf(e~FWHG7b zvnK-C*W4PnU)!!*GErrd`pG5>C@NIyh0nENG~V2q)4SsJPgU& zu(J-ALu#g9VT7>9mL_YGYWJuFn{8mDrEYLi^4be z+f(3=D18)sBi`pshCbDNKg0R##euj*4N8H8D%)Q-jCZs94hQ@PeYU=DE9K54S4dHI z3oQ1@WK4*ixWQzzHu=9#HH0nM3{Si?8GET$b=Xt#PHmq@IWcyBW_}`CBD8dZ9R0D) zWMm&AeOJ$hU=2CR@&4t=^+2|=GbA-|5A96L5)_`lkv~26EWDA0M(^wyyQ;}+J#iyx zG99JzO8SXl&C7KN3?VP%O}Su$3Z zij}2{c3XL5i5}&mawYhMftLCb3y=>8Bzp65mRAK|@~A&6dg#@sBH5Q^w=f5H+DD^x2cbw+b>kwYe<3om!MhN#OJ%IQCmt&fXp- zeM(5>Ps1Wuv6+E_*sre#80`{}L#C*lb?ac{;{vb=_RY_;t56RecCb1(c*QT1Y#-$l z&M?d2vcE9=t_2c)oUsIN--WH(f17Y5Rw)1avhDPUNhU^R>KH#yeWqo%Y|?{}PhtJQw|gEKFTlx>f!(w~zkI^l{)Uw02-706~aM&ImY(OIzk9bb+SEEAxrARN4 z(P4=odxG?RVYp31YEiYa_Z!9{i8Y9xrpt0kkC>5O%}WbX)^~luyf(Xcva26ujnU;$ zZh_I&+FeXZLX=pYn-DdWuX2|$PJTpUdHL;2QcKg9!somENMDdzdY#Bo4&ON6wXtyX ze3yqmP1jc(AF8g66w&itU$-Aob$Q(bhkpL4q?WZiX{440rUci<&M!YPpjV&rNiFb+ zYFo{c-%QlH?s0*4OVlGt;pJyu616xwv4W9^T5VU>uGJ=ur9?Bu2PxcN8f$3hO`>^q zjXOq{R4Lu(-~wUjqbp(Po&Wj=B^CqsZw0sh`oomKbz+v_n*O!rC(rHa+-2TI6a0Q5 zLr1cNEXh%#=O+x*_sGhryhg_gU-H27-w7-++2rmPRvXB*r&O@5I6wzIL-?PH@|`b! z(MuZs?t8GQ{!56ZvsI{SOD5Fqwu?a>YBo9Vz~3k% z@?t0DEb8#I*rGnY>2jybGW{3Fp1ar9Gv;VCzMW*9dO0PMbzVsSe%d{l{7RX-vr_KL z%{Qq5?jo-4;C7N zu9GQG1&}iCWMtTj54-pyW*`?Wu~80&U* z(NGV@a~WkVJnlZo6Clw_I`#cSRK#3}#pZxV@pE&AgQbQ*IsxL&hO+&OF*IWig*zYi zNa{j`{D&QhbQs(WPADg@awr|+gwQq|e1MXEeLD9c-QEee9th>bLb3!0V2wB)7v8OW zH(9*n=UI1PJmGsFVYY2__#q3vvVUZJ@}bgtXZmZQSDC@2)X|Q82DGnu2Uj#pibIgyUjn6t3)$Arj$ zH_l?FI1f4ZX1AhA2Kh=j2J8tu7^3%$-`pVTY<){zK!$+tb1T0)c2!=vP);SS`kp(- z*pfqA?S>(5-NiWF%xA~EHS!3;GdW{VVyN73-*Gpyz;n$qMN$fHaC=mB-Mec?uhmaZ zljSpp{PLy=(XFyj4i_}^L^U8_uyGwU634L^2CWtuL0)}}f{=l$JB`t;oJZIB(E|}hh^5bm zI_TYX`(l{Ib9?Aau;d7`)crXe$^h;gQiR~A8ZAQPSro&9=I&Yg(+-(Fx+I&`Nr?JH zAS1s<{XY2?)zbo}vvTlE>Ea89?<=3+c9m`8j_`eCp&-%h34d|{FV_yIcc?2XtHn0u z3fH4%@J5Yur7#gUnY*_wTuIrbiJB2F4-@*H7m7!wRPi{5S_r3rmLiOI6(7S(qZp)M zBH`-R=~@i4eoi!!fjGU%x&BB)`7BY3k8&GB5Dw1T4jzt%GClz<4iWfI%^azL^AG#o1lgfDA{(E6(%=_}j;&#Ysvui=5P;?4CWP z#rL+eU|N26nilLITQen4f5Ud#GlRokix|#!!RTP>@O9x-Vd6$`8|%$Oum|mR;wLzl z(GTk0nwvQ7@d`%rf3c|TjptR)f|$S1X;MvXo@q00=}l(oBENb~Mhmys$k8b;u$`Si z=KbFJuC(UDnAYYy623gh+uPz(4wC2Tk0AHvg5(1m)%|6(v!sr%U**{)`D~8b-Qr%J z>0`>BxU^p8nr`hvs@w3H@M>RxOiOw^=M6qJ>qx8g_K67e=D^J(zt|k)6UoZ+;R^}P z3qcY2i;8tu?_5ZHLX;^up6#BM+(AV>a?@U$>LHs<55t9#STe(cqSgtvUZ?cu8lqKP z#Dc34TqD(2FbKZN%7Kl*+fk6%@E`}E9lD46>#?B!=>DW=vL|Mbt+ z-qWPcYZ(vD+!gYrX2cl!^d3CxVp9Bg?%w7F^;Yk+2P^4TT=AV(bsW_ifq}PkV zTfIE#U0sgwydqM`3}fe(Dqa$DUsGK#L9MW;Ynwq$F`PE_N8GoVE%&&C?|4|#YJk>x z65!$Byd=c=2h*bbPo~B6-yj* z6~_l9dOJV9!=WG4TTi#Y|7iI(w=jFUePA~8W54NkiyyZ~^XAmS8-J&zYRB1Qn|0GE zo9d4%j+o@l6GtaUKIhxb-^PBp-aY-?#BA2;_2YZzxvhYXogcdg%(t6QXuj_ae2!`L zIb2Du_`X+gd;G?1z}{{FShIMY)NS3CI_H+WoE}qq}~Oo>4{J!iP9_rzt&qCX>_UJD1nR;#%K{Ik_9v zMc;0W8TGx`qJM3@)uYsx=FO_O8%o)#H0P~cl!*N-LawW9z_I()%PLc@d9N}XXzaE( z3#^<+@8MPndmM9kg@?U#;wr+WU?@?I%bog8iGD#U}|?ec258Cgfvgh}nT|7t{4Cvt$ADek&nr3zWp<4g~K z=5<9%K2pW+EsN03we_BtZ_yec6|F3prlRR0!bXX& ze1brX8ZbjPU~g0tR*%(|577%L24g7tqMUOa@@LPc0;5e2Ct5Ywnq0Rw8TD_$3^ARB zndM`=dUoqELop%kqiOLc^-2Dv51IB=Ae|&A(knvqDPI}*K@);{y55wsKfKK6AMuIq z<%+>9`|*v*B*K9%CJ&eEZH*OQHzg-dW-R(`7fu03SCG>xg-MK0qJ)u_yy=jeK&hR(Ln^I~n&L09*QKYBQ-N4@ zslWY6_nVgcAP6@gW7XCj5pn@NT7G9PCN~o#dEFEEz~5`E6Lq zzMZi0QxUCuUFyUS`y%A@h0!s^zJ-${o=`uXf*W_6cFC-s?2hlKzi%;RNsH0c>WA>C>G;Cu`cv3t8#&R)3k94d2l}or|&3| z=2O0#$u~z-dg{|pcpy{0}7mDqV`t-GSXQd5+R1MQ~uLU z&p)@C>%kijlWwby;g|8>*Ski`+u~$1epc<)Xz0~m^#6|f&M?Ny=6dE+u8=YXe9*cl#otAKoBJaq`N^D(%s!9B`GPPbSwesE(t+E zI-~_by1Tgpai4w8ch7geeec;n#+q}EIoBA^eBS4M#~AOLOvGF@T@gPHx2KEnTa`@| zKYeVBWV-6ugf`EAuk0BNnjI>sIpM@t&A!vi#O(Qf(z5oQU-j176UY1T$!}_F)2*N- zIwc&|FScI!x6A}F<`?QusSpXk#559-s%o{HOjOCm#Jh}2+?IJN=el`uxuc|-uJlOa zo9AZ!X2{(JX#AYH1{Ok8i0jkuU`B4qp!82Qt2IOOCS!L}j(AP)z<{M@}haoKI%34ZS@62l*Ee zRi+d^99y?Ajdy}&iggRM!gP}xv#JWt0SH2OrxARz=4LleMa3NQ`HoFf^CC1w_-&rO z@+W78@m&^8hvJO5Alc%IIIBSz&CuD0NpZC`QJhq8qZ<<;oFx%G!zOs2tSr8_$w#J4 zK0msv-ODQ*yxO|KbQrpJ5;{Ew-7IlqF1CCrq0qD(uG%;;QsJdlL$q1%b8!#*nE#F! zTQ8ok#S5j0*OHyg*S&iWRsh@|DQOEk_x}^@%RRmhI+R({4 zjSh!zBg0FlpUs5HUbRZV;kzVkn*}`REuK%EBdj9(?5n2}Xg}JTCtrwla@J>~33u;a zV=dcunz@`m*=Kc3yiW}9-1m>{xyt0ur{P}G-9BmfinUj;L5PYsIO&y`ZrW4BdmEDD z`2Krfy3^zba)%dA($-`N8x}lYDd{n1ji`90)%zSNn6*6BTl}!zuld{t_lmPJ+jiR_ zti}qyx?|Opp78$gHaymreZFtk__p)60t$`!lo3*!`5@=Xp9R{GpagRFDBl+H1QW}l z(JG!<^xIFow0d<4ra!tv4^JRT+6(HR<%<(8Cgv>Fabq_jT}I}$2;#jw9(aNk0=0u%NXV(?1ZRw?v?%X#TS%;szT|lu-gNc3|)h|z9IZ=coIK1SAO9km)RfKy#;$u|l zTBt8Nz7C%jcLuzox0rlUK@KAOn7kgI3sWi>(i?e-g+{G-=8sd9Mr5fh#4|aPU|uFJ zEJJVXz20~aCH1(^u%K_~U5{5Wct{rk7h3=qX@HzGTQC0Ni8lN>{E&xWL3(;sL!q{P z0~2YrLmi&a27k)x<8MA*KfcaSP5M;_MWnKZkUctI94lhsp=)$%HB!c6K0sSXm8EO+ zNv7e{+GbbwzXh$sr~m2oebUKd=c7FaGB;Cy2g7|?@m?H6b)*b09h98mzCD#mXT2&f zS7_DHXM3{Zf{yH4QJ6bK@Ptlpyj|~g$?Es5`PkMXg-rHscok_i9ai>~8^6zWX)U*U z0p4oA37PHdcHHrUkUJ4~aaWx=(xMy|R_!G+)h-ppo7M&3jBTJU?l~Y(VB_ik%+<2J zRO#E`KfbN+&QlWCVYm71gSK~lecgdZ-&67FuMwL~f_h6{RxwPytBan#JWPc1Q$*uP z{;sC(+UwYBw?93fbX@!dsVweaTuMJLuuy~cX`@x9I$18=;WRj{H2hF$UQ0kH^9VAl z%O}^=B5&`{rNXZLm`9fD$echU$`SE+tQW%Y&6Jur2} zq06qzlh0~#`baNu)~16S_e-Tth4roWx=156^+UAg&d(N0oZJ??TCNmdjub8hi#v=E z&z25S;AD;6y-AIq;Z#kUjFpUbOyy`BKE36*{CjWyzKF9ItX4 z6A^o|uSPdgTP+DrWUby-N$|2IQ}>F$mry!}GO76$G7H_HEmg%W#M;N9!kd}lg<7sc}P=UW6E2#rb2nNe3o#daB=vC!A3}ThANT3gFPMHh0&EW{Io6Di!71s-Pa+D^aqD;3i zEtg;A^ryh&T%%wpAEXe*eMg~m?`Q)X;#S$`o)^5gZ@XkfLTbrFUw3k`eQh-`fp<;U(MLMo@p5X#CLn!qt5DfE(Y zLrv3Q6#Xnp?m8$dMoD-)Va7XCbKxgWL4#Nu&=_tJiV(_suj!aVqvXRmHS=C33FWyZ zIm)AIn#vQrmX)V|Z6eR!^-P|>>xH~jmy^7@p18cBo{GGko}Rq7p0y3So`((5hv=8V z${NjwdBmwv#$};_>XeQ}gjBMdIKr7J%hsu-yGCfaS;(V2Eh>P7+!Z(B_jIZjl8R_BK7f7pB2^ z{cTuMI@rSH`Fayr-I~CP+aZ(_C$G3gz8LETAAfJd+PG86EmEhEk5NME>>EeJ0t_z#*hoTd6R!jL^7;x)@=!JBuZj?T(g;<}WWP?xX2X7VH zWMRUOWnsV*4kB&5PT~~Odb2MGkCm7%S%JQZ^9?&T&)s~S0}n@rFGnh z{PG&mjfW??bEc_PpxocUf3tlu05uYehX(e99+sNoC_s^0%-NDXhoHzK{ZJ!I&%y4T z1NnUT`=1i1F@A==y|dZLt8eHBC&tcL@Ta|#(kMJe7ig! zkbG+?`#t??kFWT~#w)XClBfd#2{w{KGd7aZ@QnJewW(PN;T#nS&21G47;E_o(7+g_ z4MY8viSBmAiiGiL!xh7`sfq+&+KKMN@$v-9`iX9*HELC;(NSD>!p4#kyB^Llq@{9) zU3tue|IWS2oXC~Ho^^d+-Ltb>5@Qbp{FV(vS~dg1uzzMpDz1Hw{xbVBZh0Ol@zxSe z3jL~Rs`!SbU)LvhBD{zyX2uH0t;}60ch_Z?qh#7C$Is$5qpt1(Pfa%>h-Wx9++YGd z+%i)vzT%4E+n7fF+k!gpNk^G;>Mcl}tzRWjK0KYEhIpC|LRJis#o6>f#ZhDaeEk-4 zv+I5C+ABYT<*WeVA8o<@%L}2cn~0G(KdGaY)KxPCRtAg*C1wz8#|Y;cr7!SZOZ8?uZR)z9a7ao4Bu{Fb1OXSo&9 zilM&7L4_FPbnrgJ^Cvj5ZQ`@ffsmEJJ}VU-xcgZG<>;sM&;h(4+J3YUS%=(0hO_lyXdN2(37U{s*9yx2P?Io@ zKt9+#nxiETS-uks*K5L7Mr*lZX#Q=34&rch8#3(yFL?BRj1Rv&VJ=J5eY|$19S2go z)dtxxgp?=Tot26X+!d8ZIWm+RI(R08wm(J?0#Vm$NVQr_uSdYt!Vc`lsXtvd0EL?oWFzxLjhx@v+TjCoX zHw~uR8iTPj{j3CE_KEI^3_hvAhOp;u8d?rIoq0-Cap>-bA^RMViEfAq+kFZPlH5)D zIsc%-mEjBOdOQDToE=YTqibzSb8?kSWUKg5oER^5puRKB0Ow!A_{D$B4*Y& z%E&X_c{cTi3NX>xC1UY*Zm4U^%Q15+(5>b~cNF}F;pDI!L73+NCIO_s4}Z*@xIAG) zR6ygwVFo!fBrTQclfY^RDr7kqlps{}erP4Zg*Y5SBef~LPMd>`&LO8Z8*aHE8w&vR z901Y*fLs8eHvrHF0H_rJngW0hL=>#=06=ugXfrdu4Q05yNgr#2!IiM{R9ciE&J75}(h^9-5JbN^h=1p@q(?ACr$K75j9);mh z{x=hoh;hD09E_ya8p>vHSkLk5*IoRTRt-D7y2dYi=VO5b$RwgsU8Rq4R0S zLb;P}IuEo=1GOK1p}>UH9_gp8S0sdI{NuQF1|_G#g1Ppn)$3!!SLg{{!`FD0hA@bZ z8D}h;?Bi-S! zFWw$T$p19_O$+-55>{6?dKA0NwfHF>CI!+3&a8+q1c zw|Nv~sdy`7l@rlr0~7gWs}pTxR}(XON#73l%2wm2z>Rm4XC=&$J8pH9t3798OFmpA z`)T+kar2_sK=K!woQ7^m*YWZrLxx|6w3i|vA_6lB9(h6j*;0RZxY7Cs=G4x!Wi5$q`?>JRk1YQ)yPOp_IN;x%X;Ch3 z(ka|DfPYg0|6a))b}OOgaB-zMbrAyoeH-}q0G*-$r^RZ3@V`|DoPu6Ub+V8oV?fZUl;~YQ|QkhAG9CEPP`_WH6k` zGOQRP9!F=Td)ysr_8cE_XprxRZzz`+uP0Q%YVc4PYnWBYs25Q*YskYUsz=B1thd3L zs~^S@ZQ#X%HN2B&aX~0`w;Swk2ltic2g1t4h1ZJVVN~@#M_mO)!&f)Hn^^R9;Kn5E zg&4AKiJl^IZsU13oW1Qp>xlamnShFs1>K3@8i!5jbyC2%&u9vyJt*S+ z!F;TC-*)kQEtY5U5>NUo*3|^9QJnV(&clJGd#}gWbQnH|9TlbjP~0i{SSm2Y)}kpn zFijb_e28_1|7sHxAP6SR;1jX9%GVM>A9zX?xJ-K&EqoK3U8E|6Fyjo=g;O0CKAvU( z(D2wrj$Z!>?Y~)<9uw%=8lHU9w&mid6!<2nNB+nw+rRDQ^fSHSO-i`ZHQH#qCnfn9 z15dO`emr&{5237m(}p!I0dKIXiY@HG296WKu?zr3#~am#5pS%o6*!hvExD z&tq}aLe4K(qIBW}qMTpI3@OE_4Ozc18uE#=vr~V0RKh6E&(1!ESn^06jh%n&Zk%NC zgSx$3jFOGo&sk$fL^#6bYOUtN7TV5U=U~tB4pclLpR1-@%vD8yexoHmSC_3|_eW{Biq3dPeC$Ns**E5a- zNE>^`69AW?RAzu;E)k+@ng)tQdK@xQ#xmjxz*Pfqg=Bq2(#WJlkpgwsU@#Yx`NR41&3(eD z_%+@W{#XX*<4XV%UV$_gjJv%+JD05NBJSK$BtJ~RIdlp1onvRy;8%#kSp$BQgXo|X z_)`u_`co=8_)#i~fbIX8{{i3aLah`VIevA%-r*owSmbF{G4@bv5DU%e3Yg>R`w8GX zsah3mY9_*n(H>TK;=45?da1PWfw!nnrWm6Z?DGYq`wH>TwqJ9Lq$E*;YIc#cnLuul zX8?{#GnOebD5IccH)An8hSu_AKsR~Npj5o}P*vVZ=<7sEXk(%ZbT=_%hW2g4jON>& znTTrT`T)E-H3p0U{`-X(cLgN*tK70=i8IK=Nisamv`O?ztaYS>%h|Hbg_%245DkM5 zP2GTOqLnR!E4v2*P3kZ$gMXoN|0D(MZlLuK+lf!T?*)*b_*Y}R?_5%K&9<$38q-&3 z_m^^IF2W04yOkXygm-nF2CzypU3#!Kn%OLa*Tj>|)_*F7P=0)9`T;JQb7XAqAxM_d z+#En8lFWRl!TY@uvS2x5xx5vWhu{)IkO^XwP{O$XUuMam+1w(b@ql_GkeW+f91a{i zyzYh!&obCj3c#}0525r^3ZZ-kazYkQO+S=aFN09!HmHnH0ZzYuN|S?8QYfJmP8ss| zWq?wnQY}9)%nq9en#e_7N1{Pxr9-Yi*?9ewG84mg3*3Daz)Gstl|{J;u0f!_xditt zF!7)Kzmijv-Z4oi3MI)g3RlxKit@E=6zgk~D88;|QQ}=MqExz^qV)8{qpbB*qCE8U zif`*#7vt-B6yIlzd#USGq)76kl2(Rm*6yYQ26Z8jpA-YRMb(%b;<}R*LMmJi_O?P` zpuCAJSbO9Cd%}z!4UoWkGZbhNnFQd+gK7{V3%j3PCpC#dQnQ)UU;cn|Ee*GPFpU-W zU79%VK$>1`K$=HvO=6nr19mso#bI*K?7# z+MFWp?F%3riS?IL>e+@+_9k+UTq}hF_lA~s{x2Uf?ot7zknU1p+!en;50jEfGW+1T zB_+%$@=Mc1JBHnvCyGl%F0Qj0?hYsyVcAyyM!!!b=CN3;m%<6zeVgL z#tO=aKe~P6b&c`@`_2x?(R>ynJ5g()*M99A}@XknNW7zIrt8C9~0!vIT z$qYoI>$LBG4n&k7oky@n%pBy=3B{IY{9)L{4Dk zdtzx5>lFe4|MD-EcOa=6f5X_dZyhdng9-rl4`&O!2}J)k27x$~0SX!@_Ml8QV}<-L zF=*Th2%&*UokWkt{I>y|EG3BbD)<-&L0FXGsu<3K{lN>&sZfxZ3Ml%zLTTgP8_qVj za$5!vH91FywL-)4uqh_6i2j?Ae<$!u+)vBM{GHn;<2fMJVJ^cp@?K*oF!+Qza12D3 zUmd&u4mX0)IY|@0QD1ZR2Q#3aSQzAo<&sQ8j(>4s1h3lga5S} zN=3X7QHn(!%|T!gS4x)kmJoX(Ssjl$^(~==-xx_j03rE$uq2K8+v)t_z-m^Y8p}Td zUr-xpy1+zO#E>@dmZLa&$;O}J(Pt}_MlZ4a?e@U76IheLh9DCD-E*Qfz>HJU8$v2- z7IqP8Hug485bLgWa!pF@@4)j9yPbjX9fA44M0iSUP+1@7SP=VfVdfT;Hn92t_=y!T zgMQI7S@1(i9_U5$&rmm92tw1fMJRsLv;(kwcv_YNMn0un;P!gKTEL!!|BY(?m@ocP zO;X^yU8caX^+YaHFhb@e8KvHh14Fjy2h+n!GSgs7*q`R|$GP}Z+I>9u>8cm353XA$+W=$PTkvBJxk-E=4;bgJ!}3OE$MwWDP&^Y!IEx5G5e*dKtT<%h ztYxqt47SW*`xTtCKoO=6L=>i0MG~f_MHZ$$1f?BZ`;Q6r!2QAX&A?+rjh#mbSBop*gXqkBU?Ll z>dAF3X_gD(qt;;Lz$$V&Vw6p8{ z=FJmIuxZ3&bev*N?q0mF58z9NKgt_)TO-DZaH_D&>JHF{thCIl7F7&9Ly+0nlB0o% zR##n6OJpAVT=wnrhdaA?F#0~t={nRxcL0=0kx8MiHL~x1ITD@0mAD! zB~gqefB2AMCw-(AaoFAC;6qIY-wwF>xRBYe`X`7s8Bd#SAv}=u3eNTv`j1T7swNVj z=UQx@Zp$Lb&n7y@yt-Q}?ar zmbfZ(Yae$qNYfY9dN<}gpt#C?OI4n?*4$Cx^C`q$5>qXGtxUU0-r4iA#3!G%Pt)<6 ztNj2n`js)l)*;uopv|hqig1;DW{qz_j76J7wz9^%sR1VuT_bcf_6)pi^NdY{mba#U zWGS0%j~0(*^ujTe&F`~aYp}582$K#x&?@sEA7~~0w;Ft=Bcp3?4xVTI^|ZU@QVFTRa9v%PP8;_+mvvBuqdZ|9%~)A`EH-o5&A{><2V zM!V_sa-*ZQ>7#(T+}_Y3_0!IkE4z!0rPTVV)U)+N8;`}kN6tZO(UNY_t1&4}Qj-LQ z-X(bFTLcWS-1^#vle4+AI)VDS%hY6@nRA!w9z$r=j5lHa5}oJ%VOB)xQw<`y??$}) zRh|chOKX#@0#{lr2d6zjt!2r{lg?WLdRM7MR}N>FVjd?kZvCN00%Xwh`S~No#e=ov z)EP1>f%=n^t0Hf2d*{PywMPOCHJ;u_-3L`cNt-mCufXzp-vb+PrVuCBFiEuZcCrN==lMrCc?={h<2 z_wU-C$2Q+<_51E@eVnMuZF2Ds`CAOCD~fO zFIT6@%}ZxAp{Vh6&)NHAPfbndYVN?qn{i><+<8W*$(YU z&Tca9BiaQ63HE~#PP%ltd_2;Dx+xKdg`W;a65(T?On1J~%aO?^3X(@^ zS5dC8rFu79olvV!Do4f@+mCy1=W+52E3IS(-XVUaUfl}8suyf<)BU34%c@2Yhe>)=7(^@m8|x~VKRSY$KhF#%m=qBhY`}u@4@wR z6+)JiBq96A4?NO#uHgDf0)HSh=zikwubx2?6u#|4`&l|!_2Hd#)UfS_E;52`+N;pd^Gd0t@m^3(cq35m|642_a242eMqB z4OxEi9OA&r1tA7kFc?jgC)wUodHF(Ag@kTUBHSIu*S<5XAbeY<86p$&aBQ%=@W&@J zUs;$A{9`h)H8_kIM8Vuagftw@b%b}7#E^HDcOmZ<+DFOQ8QSs7lS;5vUapI&kaUBl zdSHCW7^`{BAX_YFgzAaV2}CLoF*>0iE0>&370<2hfiaXAGqVQV~CTLoY9Gg75s6+ zTPS$UFnrp@;nVmw89dY6W#IZ4oT3EAQPjCW-YrY%7^zZxOr|JckXLxB)+t_i5bU%p_L96oIa$_yb+ zNQRi4n?oFWZ|Wb?o^DV!zUJJ*2?(zus2f zY%`7p%QA9%@=b$mkS0`KR5($kD%T~{Ws>!gjl^!_a`r#r4QG*?WV};=Z*qcsgp?+R z@vP*DCe~}A+hFVVYkSQ&?Q2X#*J!Vrh=z`lUVpHQ&fQoNy6_wZls{nm8I ztesNX*A`F3GDVz@Ycm@>q^Bf?LBolJz$w%FQfjF3H9|g8ZLd^1;Q|G2w=!YOcLQmbzH%z)eKd^C!XRz>_vJ<_od6UDFPrd;z_ zA3jyT{=hAp6+?Wa=o~f=%M8R$@`FpFH7?FJ)%xr^0@Wkt&xQgV;qYlcE80;W=iZpd1+WE}{>AWL3p{wP5y## zNP$fi*}xaw?mR0QR=QE%u<8vjFO>huH~)`_Q~5jMm^b0Rrg_l;pSFhn3rYNTJS~J6 z6V2x%AWGzVp!t8hRY#f-85hq0J-S~t52B#;(_f6fol-;=wC4J?Pl%8DkK6jy#$Oz) zXmn6NQ=p`)KjVVyuii%#Oke?b3%l`%{}an`D#YX(L$|gcCg+Sc7-R5W>D_h?hU+&) zPFI(_e}nQmi2gOFLUt>3qQud{9&zI-;yM?RZtPrbL7zW%B}5ds<3F3LOI1x#mNxZC ziUDC~vCIC&$5IAzdER8LjF+>tj9%48sJyqlkOR#wZdvp!(!Ct(#r$N$FL32I+o5Ap znCd08-O6^$>yXATlm*SLygZg0!SdsV@K3YW0k{fL7|q1+ut+#uh4x&RzoPW6N#VA+ zYr>Qk^rfSLz=q#!koJRuR7auh%#U2$0xN#ADB4uV1<`3Nf>NeK{fiSu${)FD$>g;X zH8XnTWQMEdx42$5#;nkMnZQq=2)Ea5;yf!yD?GwmwaS9~VAKto=jp#Zlcxcm{hkE5gK zv#8ECURD(g1g_euRXuWrqpIiqsD7y$EMI$!@wAQ$x@K7Osh0-^6(`WkYbr70@-f6v zhVirGO_Y>yxR8gPdKfVz?@MHI`5|QJauuObjxcui4#a)sI|)1^O z57nXVy}Yb_|nLY$G^8=bm0nM?R88R|5!-VpaT=|^z;nd-y zx9$Wdjnu3LoZtRHE->fSCYNfk&YE8u*1g)6-_*^BDBz0SY#4`R2nUr+41A=VkyAFG(&(t z|NhDNAnsOOLBzVT6o*La$eRlb3B*(t>~bU%21{blPFUASdlrHjlaf^V32294wE?+6 zPCzTk@?;!)hT&{{(4w_3-e}FM60x~2J`0UMzYv^26wvyM`cXZuu(;h?7sb+}d0}ze zFO{Xael>Bni692FwTsY!XZ=`-e^Y(fq+rnvzk zt(`KOTV9o%hEuHh9oFt{1y-GX@e3*)#udze8P0PXAm@yS1nO}*ukAE?y8Gf6cM=w# zs7meLv@La5MswFgRpJ5u7q7~;WRheW0j(eZ$__Ioz+!qjj?FsiRr!kS+(N>N+f!9( z@1_B`fIhPiUX|3>8vGBgUMA^3C_ZQsKI@aGLAJYkxAZYJ+@3mF{^|H2PkZ{Ee0?qf zt!T)!As%2XWVW=+uN1Tlx$nm_0~hs(9kM`L*S9ZTuXzRPk0=lHupCGqr{-@Ye0%DK&?lmA2^|)I!Mit;Lqa(`?G&TT76vyL( zgn(?YjlRX7PfdtM4QToI=GuOdI>LPp9_-#UmcqK;E*eW^st9PcGy%$>T7WL7gNG{R zbqM=Qz7;qk!w;dB!tAn;n8|sVul1R4j$L-O&Al#YwM6Qv3R@~9SS>c_y%oK|NDO!^ zsKdy*?5FL7iEoPHJ-6Dz`M&$4NGWU^?ga{R3wQ8s*#s(mX5-TlL>h#zU?u!aXB9WUWks>R$-lVzA8Hq2Hf!{?rvEfGSTW#GQKK z0fU$9ui5JfweZ>vWUZnum~20REAzX6eiHc|iPxHHMrX?2V4~NL7?&;kd7ZV@ z*Wv{8^)m8)LM!W#lI5AU>G7P62U6diUK|Q(H2aF!Q@=c7L6qQkuVsm(J|SHxbf@oJ z67O3qK@`nd|3uwYa8E{lTXnoug6WBR1F^d=Q~%-Ihl=^*tcfhJ+%dPxe-0;U+0%+D z2^*P5eXTs}Lqm?K9V0f%t~c|fe4*9b{$R|{&)CH#Bsy7)0Jpx}#l=)qE<$Z+Xojiy z&Uk+1#|b&Jch$}vwa#04IbpeJrawC0G29VZL}EIy?bF+gMp`3aj^;udQ1cIa zG(flYlmA<#j)36d1rr21U_M^N_-Sg>8b&BT+G}DI9;fnD-dDFo1hrqcMp03P< z@Q?n~UkeB0>~+k#7SAf2zI*&Y6;oSkm|{rY`nGrBQ`X7y#b1ym(KG&n%l|PiN_(*Y zf-r9nU0>qFWo@ivU6Y;WHTf2>h`QFZ{IZ!BUexQCZXdfplWEj=BlV-S6%1XN2UmVyoJE_R`VQ5%z7o+v^qgGExt!=cjBeaod~=Fn6AxeY}p z_j$qme$=q$`=tBzXnB*JoD%Au%-C_6v6($KRHP^A;$c}uG3+=WvEB`~z1Dcgy{djt z;;6*`bk4x*q@pJ7@M-P&1Fy%Z6%idf^g>>Q9*)Kg<4?Zr^$f)Bnh>)(e_3>YQA>`c zHhp3uZPgjoG{0Sq;%LWNui@$u=#kND`s6mZmb+eJ{?`wW{Ve$F%9I%o42a8IqkGPH z*d8^T(CH^3=skf=ZKZ4a5qxmuXfoA{PQ}{)LtawJ<`3m-Cioo$EqTmBD(Sg)2F5El zT$)B1yr)nM5mB(+=hb$gPY`eKwvjvvqCU~5_%tCT!Ou%;7)f(d#_8(%pp(3YxBZSW z3qdwMCSBq7%LB7@Bo*J%$Pb#lvhS1=l^w-SG%HlnDXQ|Tt9jLh7kroNY1lpVLnSRwwuWsoGMwK{iO5R|Kwel;PS1A0%-h5Et8j&XfPo_c{Fg9HpMM zgM+o@zk8*Fc0@C3%L6Qtt&7*bFK{g+hTZ7*XPfoY>0iI6wj9N_h>3pwx#^LL&~|gn zCl2cb_P~}8T2jj!X$_S|f?*SH_Oo7MI1PSJiS8pBZ5U#C^;WlciFDAPt<)ioMOB^P zWtgb0{A0^@vjY(gwZMDTF(iHjYT8l(5=p_-;jYZb8qB%r{tW~7cxzdw9>}=R1ZB_? zsqq!wB4S)?*q{nz(SBeT#ljz3+SnDiEoK@t4|1Tk=1*sO2O8KJ-QccS3Bo#dtG|Aq z1>8Tg@;_fiK>WXCZiO8|-qzxO{%}yj&B+Q@kC{m!DjwKD-EGyIKW_*Ho_~nCHoXb<@?p3Qx;U=KYK1Cs%X9-pNHx;X;>t z){(-VyWLxj7v2IF^G8=Jph2DQmuJ>jCqvH0c{)GWPIK*amLfme{Up!E{t>yU#2?A! zQS0SAtybS#)Ofc4{p!)kaFN$pketB9;pCRrRS)^kqpO4btKI2GSIk#iO?d*R%oJcI#o?qC%IeT?(5jR4uiIu#044~Pk(?vtYd1r>eD&*21Ff( zT3>DV9DVmby-?FRUvR!U{Vd>lHcjqzc0u61XYGByc*b_Q9Yk3}TPoLd^~3q!i?J_1SK ztMel7qt9%YLq(TDmp|xqygly;T&y1NxXyYX>z@yu3>CFRVjjrBd8>>Fh@KB+Uou?m zGp%Tg@5>Qg;i6uR1b9F04ZVcb3ltRUY<#!ay4n=*e){~u(juL}Wysg@lop+fi*HT1 zKhIa1JTF#K8_$`Dvd&HG8_)A~QcD&T^}Cu*28jCB!nhWTz(1(%y`y6sF?Vm9to7tT zWH)hZ;*I=}ElU>k;1-z#RriB8*b!?&yN=&#qewq;MA-%Gsf**eW=BkMjqtp2ySK9Z z6lgh&x-C^NA!{zs3g(*BghIz^dMo_FJo zgLno)e8hIyxNWz1G#zOOJ7-NY)MKgJ+XrTt$T;kCGk4T*eMPL}ZYf^Gi3V$g4<~6f z4N__P3GjdP7U4Nx!m>`cP5FO_c_c-a&JB6IC*kkW`{K#p zV?4cWdS@w6?sLG{wrP7)okvn@!`u+_)$E>Wgl%gs(MF9&l4y|!KOP>qFv77l*U#cO z77JkG(r3s+X|I(p3Kz|IL(KGikUc(<+N^-4$FHQA)4rW?$bv*lmRPSqz%))5eNd#8 zBdZ}WQG6aFc|egJ{cWUvYc4|@MrzLDE!V;jr15Rj$E|^KL$=n(%F^e7a@pA!si?XZ z$DA|Y0_8FtMwW)?$#zea4a(+}Ou;vIzmxFCUCnI63X;HerE^NpzM5r?enri>_f|!& zfji#sxmf|4M29+cbY6)bCtCaNz*i!3i84!OX4Bx3t}K=I=onh!HMt3MLVwPgV)R1n z*4*+^bn`(o4@&FOGqgQZ@gp%0;CX~!6fro(j_^WCTnkj8VPZyuAmnGt{ z;rf(}Wnc8v;$!I~iFDp;8Ww2~#fBIkC=`4`%?*B~qHK%FRFT01MSDHh6_+oSAbL4% zD3!ugW56J2SEK0Dn%(7h|4}3ZQZTCms!jD1hBD%nX7y65#aDFVRYl45flX zPXlo&>JY(~P858W!5@4jkP-Dug(nS$#(2G8GhY434dE2D}QEWsi<2Hr^+t5%S!230dfJ(jQn^ru+J;3z8iw;2 z8hBPZ4(xI^%@70;hH3ppGQ!bsDKE^u^GuT`X~zVnF)O3&t-x3iH5rd8s(DG254pT+{uwD+ECBu(r2zhTDSw;f z1tab!0qsJ0qe#$opDNOJq^dd3d5j9&xj!iOpy;bWSn)X0R5>4ITR^U=ONoJ$jDeIm zfRtE(l-4@*)DENRBsJ85lwyFC@PL$@Qt@Qjk@E9?;H#Q_eqN7{>#ah1;1Y(?x}ca2 zP<((~-Zp#s+S^CdHe^)+)a)=I{z}LI!weeL{%aI{vq21(4zX4i_VUAJ%T~uDaS4hFr}BK`!eelk%_D z!NEw7N#NBo*y#baF_>`Y1ms*gm;PYq=pOuW-&26X9iTYG`sBUld*A!-_AnH$QOf*H z|Kh|-T5U#LN)TG;1T3CIZVGbc=iFxs8XyR*2ldQ)Oy{{m@07Mt``zpiZ7D(@(4_QF6Dj;=T6pU@REGOuqXGGZ{8k4MNgokX%4U2M9;A zK|RVT^>xbXa(`m|2WB6+0L10&=Q1mwB?LYuJakkefe@#06H#MZ8i)r^FuxhApv(*z z%!$lVC6Q7@wuDM}mJ87a4AG`2E0cICn#tglqjTa7whV-cN%cVPC|rob&kovcV0|nfp8sxFq3OqSAu$O0bu{Lly_X-!-KbhMZr~M)G!z# z9CyTx%x*IBwIV3Thlpla5TPk(2;kS1COlE-YS|rTO#a%HR=|@VS$bAr^O`|j6tAjS zk%{|9McT0xu*zaQOO+G|f4UEkY|nHbUhlxCu;R^#9sFsN1@nJp1^KB1Y@46;&q`z; zsQ~oPmWzg+aW=zP>9Z=LWvb?e8NBMiuf| zCEZ#;)HPZCujB$&fP$=uQsn|**Vum-hzBFJV3CQL8%}e?v)WRwknNEijB$GMOKQL}m~YU1<&mkP~rblWi0gEcYc~ zTr&L}{mSBKVh``J+%R!mo0f1|#S$y8Ynt-~u5!CZZ^;G$7{-22K?;j3i zx4Zyf;v@Jc^`PwX|DJZh6qMX-2P4;hN>7g<`yl7ZAcu&M5MgI$|DQ1Bc=ER;bm zgwTeW4)>#@AF|_b;&ZIPiLp~w#hzg=ZEOCR4ev;Bsq_bQ?Og4N;=6~T*_nG5B_fVi ztnZQD^@SSCEpYy| zGv+4%V-~=$@i}Ja@lzJKg%V620AREN7;}&v1Y1BXkKdFRy{s^|hh(tE!EjOu?l6MB zcHXykssZtEy!$JJ-D22WqtRg5tqzvmabVd^Lx;gWnp)oV0NFG{muL{$DxI|x*kb}C zM3x@M?7f?>biPbV;pGxRkwBgb`s#XM*FwyVNHNj|I;kkWqN0+`$r% z2lcS~;`_pmKF1}X{^h&CU(0J^7+0Ae48>?fsVF=-u~0?TU-)3}m_tdRE8m_y=rittCw!uf66428_CN#(>kUoc5O-S2JNQE=MHOi5n< z;b&1AN>2ZLVbApT@1xMFvQAdkFSK>XzGcFxa#B+U1Ox^#+UNA9G1{ahCM5hUV5j~m z-rdc-{|LA9H^HjW<)W4P*y&K=$GYoVtN@zrslM!NI2jHvkD2 zK+*<~r~o9qOpOQekpPkufTRl`sn8a3#HVl$sCxs$5dy;*n#2+EXU7?;$EePuo%m+@ z^v*Z(fAFH&&N&_N4aP{7+bV^5IZ~9Q_vMy~e&1fvel${qIIi4;ZJ>0qTD>K6z$C z{aO3vpK8(DmXx0Fe^?qV&z`+!vewctiXS5Op^#8YOp8B0uQL7#+CE`wxnm*51~-~=bQyCk@~4({%5*~xp(_nmKl z?RD+$kLs?Omb&|qyQ-h6>H>u|yq0}RrM_ zENWWH4pc+qFki=uE<06REFRVh1)Yu>hX47s>ngB&d9Zj(K@JTb{ST~vVRj!}J=6*1 zpAJ#?l*!tRmVG`9fHDKfl+h}`uO-$wQieJl8tkh5%tA4mQJu^U>H8fYLR6}(XU-yE zom>414RUcpgIvQCOq1S2%u2O%m3@SlQvEcUT!9o9)=cT{?<9CHxJM-0cGwuWD z1wd5gj*eU*Rz*0TGTl92LwPAg^{P5t4#x~P=G_1t`9aX)`Tr*eG$(A^n09yAj7wAY z7L_q5951T2-;9gZGU+a=hJt}#?4hYwzZA{x1L4L5O@#tPqvoOIM|%7V$bUh6m$B|} zUzfz^rcFR)!J`hcK+wP(`B?-6C`r)p%mwG0vfwDp=y0YXm_AtTM%L#q@)9I-_vn~U zF+sTjH|mD|geOvh#6c%SD||ykPd9|enn$mP>MxZipQ{Puu>Iuo*4zA-M5c77{v(=y zq&Ja|o}JtlE+?oKJGwU=&vzmJSB!s=XYMTZ(7-O9Gg3jB6V#{v5i`=|2N3X0ng$v? zg@U4hf>MHlGPd50{8YHe3nhadC>bz8$sjz$T;2=)eOw=aH?P2is457mi~T?UhTzfr zFU`D@7(>sF5a#n{Ds<~E(q8DH2}g%+P-_0ADtJ)i#`MuL6vzDkP#(%ah$;$aX`J4B z=I@@LwM1@~bl#GbRI@=p1sErv+ZQp(0wD$w?(0ZjTc4ke;O~hR{A9}F&FGr&WaPGsn3}l-QdllX2Ws283f*G8xdQ|@(tNw3e`s)(nf9v244V%$(#+xo^ zS~_QpnmK1w=!Yige)Q{xMw&o(5jP}6RY3|ZJO2M9BdVSls1R0rXo6#*cb6A9zAAyb z);j}ByL;~K|I#M(2$jMAaK*ni^pDW^1Frw=Z}*9M@4Xd8IJ_0xp$KVsycMT7y%lkw z``XX34N96K#;C%L(HQ)rG`uAPFYmX1nezWJ z)ID0%R>KXtgoe7soQ2~Ta-m|9Y=QdFK!4}Pv@?_#Bt@ZOL5_olz7XWVQKyLpSJ(ozjimqKPk5W{|FRam z?M|rA)pl-ZaKb?u$ptDrd#J4bHv{@B>DWxi?xKtT=79ezVyce>L+|ANKN|)=w&Ele zD0*p7prrGclJOLwcJ*IQkC!7Tnw<<~%fCeZ7m+%H`G0==<@0|?>mU36e{Ey3K+OiP z0$LVc#lNBaEtJvV-)8lYvJNso_63P>2!#Lr9F|&}W1Hzi$bUSWrjt*~%*Q za;*D6jrfg@S144CZw?h6yqVM?=LbF+&_>YztYj(unl>~Zy3wkz%*99k|~J)|N#e8fUvkn?6k{BTc; z%WoPnzvDygM0_H1TT4cc)%v4HGj)>a>iF9Iqoj~ z#S{TkJJ?s(LIQ1Q*?b;~J)E3;)vpfj5{4U6(IHnqJ*E+XE%?SgKP-dQazb;n ze6t?r3o3ZmSY6Gcpe%C7UE;f-Y+GC;R$b)1K6ac}Wzze6`pu~cTeiz3@LNxweUQZ5 zBz3cWAx+P;OiMz~w zR-rzD;aO>uS6E=O7jtyn2V}!Z)4_`j7E+A)dr>M&hplhh1}WcyXjTF5ie#3hw0n$w z!uWgAorC?82YjT)K)46qj?$YTT- zXx{uPa?MtB8*^gc^6;hH(2^z<7CBmNyc^r_&en(ou{Asl+AD-?GV1|BoSa`RuF7re zr^LY%9iCzUe8EUYFQuOzsi!9Ifz&ip+32}=dQ^&ev$=CjFSeLKADT(TML@g*V{3=C zonCIpgG`AN;Y2XMzrDoM=Dru?ppf^1H^=ELkyiQPe9V5}=AiO#c$Gbm+pt7!q51xX*Xe)< zH+NvR2Z0sHm8yHG6N{x?4tq#J9Xcv&3nJRF38Oyr;MDcG-m-P-Qo18@rV_PAT9i3x z1W~)($JIWjqW2?(LIj{{4o?6g+b3Ug@14ghqZq$b;Rs?h1V}p!t-p`VCZuE>Tw7Cm<`dDCAZA`c`DniOr6BE^S)5qaN!Y~P|@WU;{HU?C--w7n8 z)F-pBkb@r^4ne^*W$8ZK5p7EhinFg{Edq79g>%Z4D4Yr6xF*jiiLH1^JvAOhYFP83k_mK?G^7hW=`P^0cJ*Tzv zvqV`*C*Ndevn$e9TVmnzb~6!uua~im;CVi$vlp?oMrWf&@zK?;^l_y%f;SCz1AEt_ zppzS++In9y7g3?U9V0%a{T10d_Bq|chu`nr_bN)NpbrpdR z>Bb$j^sG-fy+u9iH&W)k>!fI*rO@N3tQQL$yj&#kzZ#VWb~+^`(0Epmimo++KU_Rq zxR|Plb7ja{Mcobc<33UY#W366@8j44Zx)uH$AB|W4!50B5WrJZ=(CJj)t|oSu?B}z zd9gbo=7xAjcWPsSmDy>PD-l(_S)XmmVz1!= zhvgFy4N3(*87KNE0V(F#XAv$1MbVbx^pLVvFxfXSw5<%42~QCo3B3uUj1~F%!ll>X z+MoKm+R)D|iE12vN})XiPGhoLDKJ}Mmw=jTzEcbZeg94X(6my0O;4vB2nc%|DC5~;-0)^D&ZWqo2U z9p9^{t~zo2le(~~(P3zlzgu#1oZXYC`g7mk(lCqgl-Ko?q?SJD%IvPrsW5Tm&&w-w zf15#OW9Y5+%_A<5XnF@YAQ1yo$p*)f#E%e8AJ zzyyCDO?YOthQPcPem)z#vknMLj$ghHfQEd32rPhpMv>n7pi zY(nLi9opbS6hhES3}lU{x^N5X7Efr&+({eFeT_yVg(HM|TJ{<*%9RyDvUsj-hfX!0 z)+$tuDBj-^6!<-}TWIW}`tYCenu`Q_*a2Ks>e(xrynC;J@54NNf#IV{Gpo$jWzoTb zMKr4sY1-Yps6BwbTMqi;v_s~%jCGH-F%MhD^E1sy_D~O%tJqz}R}gzjo%E5_g5MV) zRC2{!W2^t&Jue(HR&!^|J{_%dNa7)5T+bPeRMq*zYljElRV5XO(x0DxoHT7%s(s}s zcAS>xh1YV!H#~%j^j!!KZ!Ua1M7};zw2K zu$%dG}KJ4ui&E`zC72W=U?4diz`1R++#e zMq676F&B4R14#ChO3(91g5;n2aDz@id&t|?hB3R8Zml#Q4j|Fsu;p}MbBw^`R>|*L zIDyp`QOS{9(%dEH-eldngK?9coP=Yi&f3d(-z<-H6jH$dJ2KD?z_ z{W^ZJo_dixHyhvj#f1Z^?r1x>sBo%R%7Ofzxrz2VOqaPr2xyhMr0CenokZE7X!XY{KTC13W zza0vQV6oEzK|GrqpF1E=&u|CVS|IAuqYjfhTdJP|>TPDR65R0N*_ATu2p)Exm_1oZ zvw_axaYW^Vk+E6@-uT2pq3^br!+{{R7FW7Tq;p(3P~kkRgR;FtzNKgZiku*d#g}Vw zB~3lS1R>PO{nWg<5nBz+$dCb7Vg)7Aaz*~M!QM&fhZ`2#Z7X(1%;f25ccLjz&r)fY zON*EF@8d>d8!dcC^zv}8kFZG(NA%lux?)pH7B4|Z3uP3t*CT2mi-r;;S*fq*MV-E8 z^BuZkCKY@~a?9UGA0@t8_`L+t*v^fITRxpW3V@(j;2~Yjk9!!V-#7vHEM77$^IjhX z09RhMU13=QHlriFH(gd?w&uJ?a(a-m?Dx>~0u2^k9|MA~=3|t{EnY}BmdYqD8llJM z!a995?eTiYn6e`zqW6a>H7Cim5n*q542UV$qS)cgoUYBWzBI27QQ}R~Xd|*NOs2r@ z=}*(_klGaA_kwA{0!5vO z!d0nS1ANn1ATM~@w}(m=JT6bJM#U7*l)s%-ondp6h7Vz>2!X$}9%Y3SExZr{P;>;2 z5;)vyUttw4&pPm^g|HS(-@CAG=}GAw$A_=JwWAH3r{4|C>_B|3t1+U9Uh zlUB&Xq*}{r4(p4LB+ARGul`nbF6Dj8UUHWItusS8X6qu}# z0^88`UG^;7&DHw}CJtd+Q;=djJ3;&s+GBd>P-mdSf!-4eX+78%H%@ zmWtu7YZ1(r!ZK^DQ*1eRZ$vJ6rxN5QBy4hVtm7qR&^jSh)2CEfv}Z_D8Bfr`F*dKX zozy#k@4@2;5;%XmOP+&#st4^**kEpe_Eo)`e0M~R%k|>U`O_>e=Q4&OCXBHr{B8*; zJC&6p{;jBQo5au(Qu(iy(UU4HR6wI=|2=N^4%4j!7SBo+o>+O~&bdd0kngQ^za*Y}>pIMW7sQWW8!3V_U&_Y)y4IzsZ$3 zC7>xvML2eKEG3`{iU%3x%KYQ+K00d7aaqKjg@v9x^YIr_$K{$o-@Pm;$5(a34)nY% zU(oFh#>sFV+_Kth2inWpYbg=fxrC_y#Ff-DXPbsb4j*_VyxCrKpx~d_xahI$^cmRo zJ8A6wxZ>2wYEyR$`MR!Ed6z>8yZDUwl<%v;umr>Y`Ihw?`Slz;N}S#>br-B3JkxI4U;>$?bzI$tD-;`w{J-74oIs^*q~2wv>*kGu+~5*_L5-Zab` zSWkZ>PfJ6H>F8UdJhr>UOTEtTKfIZtXLG^~!%)sX>@W+6wky>6?$x5n#~W#y!YGzi zBhTkKOS-F{3YwOf}&5GZ_3-Q9Y{4 z*?%*VyZ$hRF9K814})XxS>$fcIGemJIHUQcr53CD9!zZvMLXfVhHKI@N4*uCyu;PQKv2k>v_z6&8%J$0%BXY31!vmzdy z*x&)2V^k?WnxMeBuiwhf@n%UHP+f}u906EvhNUW8ul^*%GVNxXTHAz2$bEfr^BDh1 zxkE%JKAu784r#RzVoN~}sa7fqW>G~Kh*S2pg19tD#}AJ(k4q%VaHI)#2#lAsSgu*# zz*Qbu(GDb|roC*5FBZxC;r|$WNP*PQvv~^6p&S&$&cJ3M!S4s%nBx#p2M+!sY)(h5T8@u zZNgX?lpB^4h@DV*I-x31CX>lTVUk5_obC*v>@hG*^m2k>Lu(R%5j$#!ZsHo2L}2=f zpYuKq0YfJmnl9|Nph2EtA_-E8Y|ib3y%4h8whRyG{$M`0~fMJ}muEaRn)uNo11OA%o$Nls;+oZ?_R znU4fdB)&<`4;Xd3{@aJt%-%d3tmG6`$s1P(4je|lASQpU`nl~UkV@=nx1RJdaGzH? zrtT93*=v0=26fE%Mgk~2S5|`Dw=D~yXKr`nsWEluss zei;*6c)$w-`}lk3M=4#veoauAUmRc{JrM-dl&tXsav1=YQqZZZivtf!{D3f`yUZx> z;HX+-Y<03}l9KcT*plLd8%N$_c`$>f&<|9jiMg`bj~_&9fEf8(`K*-Qk;V+bHG`Ov z8sx8neevJ;gm_F~$rHY-2}Ea*;ME^m;4QviD8aTTFC?5rTPRW3;hZN{7#b`Iufab1 zpc27TbL6slVb8UKy)tDq2RdrCO3&BSB{b~#N5At&bv(}Ho~$JxIz!e0(4MMTn)Ox>ml8< zi62Et$j>W-^0Nz(@}~>u>o7*-txv+HyMS0(nY0wCXIEc9gR2A|Jo_3(d=s*SeiAy0 z-RYqu%B*S)jvEqP!c{eXirITblO9;rQW?)ox1C6A{B-4{8j7lR+3?Zc-Ce&%sjvgZ zWA4b_VH1eF>D+pngUILsQ~XZUnO=T;0MxX3W57;TPUk_Q-IDc0%R%o!U-OuaN*9C^ zC+8GCNYr8)r{1SuuMOhL_#xDQ#P{Y)-ZZE!8PH-Xs~4>oQbYye(bFApHwhk_dXDv|`a6dhDrn zTdZb(y>Zu=trh?gC$tDQNCX}HweKb$TEQ{%C#HoKQQ6=xOm}U#-EqgBMv9Z;^@cfCkz3Krc-ev<)IY5JVDtb2eP6UM~f zSS)JFS$S(kbkMB4+I6O*ojF-o$?mgcdmy^=(-Q$OLU%CRuR3tE$@ghHoQQ{e*g}q8 zPENyXmJT+jjysU_)YffgPu%Xi7sW?Xs?YxT8lThj)R1@kwU@u1vdIYU!>Zc`5unIv zFi`noeXmB}`R-o>S)L*PjIj=6Tv`V+6mG;ZJDTV+ifT-mztz0@RaRmV8?H6lj3}?< ztdXz%*q=g|cFMNG181;QAtQMtg&L=oNFW3k;o;%p>(4;GS6|VbeG+&}xKL-rL(j4Q*N?%lk z3!|hVESlBcNxZj=o5EmgpQ~{Fa&S$#{dX&v58X!M$*Fdq5*@5(_$)BdsP*X3yAcpg zz9%#hYC1;FI{#plAgs7Wb@j7xpayTKQhQG31Yv>t-~~>fvwiRLaM2y3Eh}pcOPQUW zt~ms*X1j3S>}6CwoO9;&Lv`d5uDt+A$;sOlU)_sE#21 zVvU9z-bX}+Q^cvsjnLOLSw|cwuVEL-P!cMWthhLrOtM7IGF#scJhYc%65dUp*CPAH zQ^^`XW0)Vg9~{MkE`|O$P6v#zpjkd7X}O>2aMWhk zx0qpA2I~}9x@KgS3v+YWVJE)?c{$q)^9bIn3uXzNBhQFX3XXxC#GS(?oiW4C1;@lF z;~ATt;i%gXuQ0>%`+LPG1;LpcBV;s#L95to4c#tRAi+?ed zv$%5v@*^xZmMG=#6`&X;&bv$4INQflUvYSmb+}xOWLYuF-vDe;N*mzB3brt1-uH}T z*&0ZoW3P+u;M^3ykeM-SO<4^%a3CJQ7gp8@4h-Xr1oW`vEmQU?51}tzE+>;*Y!(PN z)PzW?{Xxnir=`%nd27>jAOfSF6=gSa1dym0mO96(bTEYvzmC}8KjUxU47C+MQ0WP{ zUVi}FqPFK*xxx7WoD$uqA>ZKGxUY2jB7yZ@YOceO2Z7ac+8z~Uy;@rKCqEgB z0=~c^l7Uo8+O)Njx#|5>L>$1WdV0Rx%bmi?73bo`Q8{E4lu2aWA{HW7HobHD3me@nid^x#r zzt;RCRxn->uY){X_;TFA#}4AgCeP>$U$0-#8FaeV{Hbrgcf!iZnEJszO3v9r=H#WE zF?C9vD|K;iJQGvM-v864T!V}T z3Ow?Bcfl^IS-%1X)`p3z(u(B3)|)XfF4AWve;DFvNI(vEh2dBKHKGpE(_z)u z$~QB*!7ak;d2d}-y6Eu_zkbDJnn^;;*6?-~s%ckE^>=M9E)nT!{d}6V#DB#<15B5z zXxL+6uFtbP_$dXGh3R77^}aZo#C;6CicL>h<0!ZH`M$-9bH$L5)x!TMSLRy~n_eB( zL5{+=OjsT@=Qjn>J4e4NkRgNL7^hL>6O(<=tT?o02I@IKT}kc^(&BiW zPxcF**b`2z)JGhYiLpW=z*LB|z5E@RAY~@2_#rV4LjWk7yIq$0uMkDZ@=gUHw4b;GKtJrc z)kh`R?Y3n$UJc%=nmk|OUzE3BZX&!~CJD%Q7m9A`>ySyPFi1@Q(JKiZ&!9g;N*Hg( z>FfrtKr(YhrulNPC6T1!dx@*K=J?ieg>-akwIIsj-kMqFsUz;w1;+Sjo0ZYkXg~(p zR@ZKD(8a=PUC1NnR>131x~cG?AJxE=TK3$j%jao#AO3hfL?mRjVV zM(G%>mU1C?Pl8xf^LJ7l@7==u1aKIx7qiCTe>#LCtwho~dME$x;+KXw-yS!)lgd2j zz%MZ+rDKOBxQjR@z4rTmAcFKWLT1zGa@vh4Y%Tjd}y(De`_QCCMY1`PK?&let zCJDamfQ#Wwc^pMewQ4p^t!WKK2eqz#g|68kKC~G?>+0ku<21d~(1(j1-|wevcim`T znkn?&ZTnhL#i#t}?SOf&HvED`S@lGP@#1kUwV?Hdne#m3BPy229nBy?ubUW4%`#?C z@zZH2$7A1%yxU3>1;fZjD+RHDyuqE6@=V`Lm#N6w%fNzb>#1B{N56|$5RmUQRN^~} z3rM-D1xb0RWnOvPGhg{tPjH)qC_FyF*dhS>(l$d9pL2hTl*M;{DknJ-apap%$_vd6 zn$N$;*BITdU|QR3`L@L#_^wA)o%z0-5eBcsIL|~q#YNYF#c+mFTThXSSKY+m7n>;9 z%~~kF+Ad?hjD8Wzm}^q3u(xZX@C8l3RLPHHK1U{wRS&#OaA9pLK)#itwFNjWW3sBb zie0l*J^iqZUWws_9I?QK$5!~p1uat#tHTYO>>q`|AG$o9_LDO-9{FZ$4z-*jaRos)8PfAFU1%+* z{T^G*nOnX&?!nN*O|VzXn5fEk-Ml<2m`__!kSm#|p=9gMVv+JtRRzfdL!eD4o7{ny zV#4@c72G&1v$af=YVTt%Goq&sXCryCvjlx2f=QywpeS85Snbm3W6Nbn*TqmuM?>fE zh%Hm{i~I-%g7CaPY3c;tNv_kher0NQBDIHLrEWIAZyEjWDjH&Wu2!Y4f)` zKZcVR>?h47S1&%KY*KL<(n-fVw~)pWpf;1Y1Togg{aF!~@({T!b?-lk%Z5#^E_dHw zkIS|-_r5&xs3pBj_;Zv%;VRGeFzfxaZAlCo2IDfAxEu@#Cksy*Z3O4n1kQ_0mFt=n zSaqLV$w11J=1%IfP&j!%7shxkMx{EQnu9FTT2g${N=|^hc7@%wu3ri!Y8#viR%&lu z3l6=g-nXxn)~Di}&jzN-PTYDHkk`=|9=t7g){2l~o=hT`9D76Kxm(O*8eW3bhXb9B zL0cF{qNF^<=^Fda#+DvB8>5liiTz!)GPZnwJap0V?&Ine!74+22&)+_5rh@5H1|X+ zIf)^97aqp5YQLi=7x583;nJ0Po_{K$;ayQcs=0kEaNy?#i-sJe++Yt; z!Ouw=T(dH#sbDjkMrpZN?;3rr%`SZpI!BTnf|N({$2=y(eyEf+VWDYsg!lt7#Fqg`Hcx>D~5KAJv9mEaT5ZB)JhOKw>jr{9Cz!jNi%N)bu# zpd5vAVAU<0L5!ey`x7KaC4Za?5BRYgbB z*ryf?m@Qfnx}%lEiq9Wb@Yjdl6Gh5Ke~IQtT@u&2)P_cS^6BElTWFFbnk`tCzQ${V2ly`2T2o`sNcd7?C7yig zws*0bQe&a*b4g#S_qa8b>B4y%rLG$M)7U22+vL zF$M?xwn?A4ccM7r*i0pJtm7-PF=+u_#Jx6 z=Mi2mEYpTKiLi8aA|!%rcDSCxd#)~iAL46y3x|Ap)&_}a6-G4DLOZiBGti90vpNx9 zG^{W-@;c$~rnBM7zyKmMw`V-n0wj;MNp@2Cu3}s*0uDuroVo=8C5nCak5R|VFmb-7 zGa^{)$nT$zWlsmNdzmY=m}W|gOeo1eX1#w#$JWX74}f8G%9+(*|LFgHUM;U|=Y0E= zkT!q$1=osA^h{tEf1K*Ix^u5e9%6Z(wMA!EyZ5=ry%icUxFgMFN*4+J{y3VI*8stF z7>Au7S##2qCTsD+z&p9UBmE-#EF#xFWihU%M%1$cWo}-tXip00B^*N*;{g%!uUT8N zOjiHA*~pcriXh!|9SaoCTZ}^o^Lt^$M=v_XN{?m*TKqa@vDyU=aH?OP-H{?ao}CJu z*Nv_@kq$&1o(g<4?tx}c7MmLPU^dSLRtIYT*^lty8DGj~A>&Sy$b4_>^r&zL4n%TT z)40$mN1|ciy|#AWlP#uc(*N#}PpohsVVS^>Nfl_>Jg60MqY(SC%ha7IZOt`T@qI|ud1N4`_Dsxx?#A53&-^r=Oj-=D{DHvJi%`i-lcV%I;ZoEKUDD-R9^s;uQVQCXO!nh2z zn(uQ73%6YAVftakZLavvGja%RL@$udUfsW<16=a*TW^e`0UJ2r#3;#!rKXNB=w~1i ztw9mef^UT8VeSR4I#fH7lP37GO#G%IPf}z8rnJed(kT#Sdl}#iR4=Sx&&{mf==yTD zbrk2CF*yHuGeq{Wwwi}F%i;Sf2HeR`?;~|60|5F8ctgZaKb9Qf_68sFg!mbfO-K2` zosEVYRiS$ejOFvqxR_O$)Aj@--NwsLHweuO2CI(oz)A+0s5dJe?hmp_4LiT4o!ev zT=g3HZMSP{y#H_f8G73;r8PfcQ+lb>I6-`!WFz#*6R7BQYC*(zsqA$XE< zy~yqViuT+t5ZMHq(bB2aRbrmYgM60DBV>tWJU?(%a-o=P2!0&W3k<8kFP*;2&^9R5 zf(|cp-3?&=g9xP9xmqd3i4ACK>sK2ifcrx(5V1HA?>DU2aOJM_kX(V4VWr_S-XCna z4YDzp^NWr^KnpuaaG2uY3e}0>3h<6TeXC7Y+xjLflOo`Ud&jex=+6@TAhK$nnxJeu za=5TGekEO)NFOBel2yXyA-r8a|CAeh4Z@H;p5T5MdyP#8$$lhCPY)N}a6e41T3&5d zgsunK&&}qQ3$cJ|7iL;Fxjr5bRBdm+={O;wwJyu@Qcce1+z;%koC0_00HkJpvzKW1 ztjvU^7Y~E*`(ACv%)}nJ1Rr%hr&^ukfN|$h4+C7jxj0NN#QBw z##FTBd&poln9aeX*%Ojnv6K=A@YbHU^A~owsIH6nEq9=CM?g1pZM)Jn2?KK?Om^or zX}aRWsW@fpUtc`HD50*~I;8iME>xwfk;*AyW(xG|@BvCb`MF`nFCVtveuc zf_EHxO02n*?D@MhZXkuZrF>6#r3jJcrAcu-W+|TpKUSY|RB8yJO?lAXZxli}C#A{D zA5>(XQa>X-=KRr`un!2TJm!pd;3ZN^?YY&msLSU|*Tu|8j=K2 zWa@9Jtcl><?VH7AoO&SHPcE1j&|ZU8Ufu=gY2Sn_D)g?q-3p?@R@3*5X2%BNC&0e>L=yr-8< zqp z3ikX@il-HRYokt@AR$|7o2Ok`zU>pTWXv6>3K9``o;SNAC3Bvmc4z4T^P1&3l6W4+ z58EHc_}ne)Fvy#c4(Kem<35n_nmw>9&I!a0=k3zVUB;7(?B5E;ncnP=g>6-aDfq=Q z{}3HQhOP|8caw&FF+aT=COWW1p3q+CP_;!^%c(zHAaIJ#$U1Q>VP<9C#LvFXNQ4fL zkVAko^(FX(?}C&Ccgg3m?OPN>-rYN|l#^BG4tQ&i<)^b^E`))t_wH=#l3zR>bA2w) z=4Y{O%lAa8DZy2RlqXfTRO|Oy*A(f`%_MOhmvJA4E!%D7?ROvvp*hao3-p@X>}f0s zTMxF~KUx0psB7wYxZ2K^Xwt0Frvf_7v&k%lcQD!$S6p%K1r?0oB9i^9B84hcbh6qt%=+eA4?YtV(RB0K`eGjA9mjT74?CTpHG{SBW85`tl>d z*h`8us*R9gN+|Nn0A<^p^a7Q;NbCwX;>Itw_? z=6{+L{pAOXK#?jEYGx{6g%p+WEQh{WkKJVaguzEJk+>MX&%4)4(seJLdAbNiR5>Y!4H=%V!vSKQOg|NUUMLE7@ehSJ>E|vpGluK;a(x88cY?weGT_kd(5gDUmW`?h?>U=DOLlp zA!uz1z`Dl+nq#B#A~07#t_BJlD#cU=OEIWu;`@BSv|@O4WUUXWcCCNi_;Is3^| z-JQk`Oa|!(Hhlrw;%#>wcB(OPDR;mo6`87{%dX5qu;@KKkZS z5NHE$xfTrkv@2|Yi#!fULlqG2Zu3fSG;ohFDylE^;%t*Kq(62}2;sn;F z0{6`vdZN}hXdTMr|D5jF_aJh+mjIX*wY^dS%y0cX!vXkR9)M3884YSL{FZi_D?6GY zHvSneAH#!i>1wb(MavMhDh?0en!~sgeQ1%*K#*nEH?<}{$S{jSwv7f>^nI=K?`C0) z!y~H4b}SCkIe%mkFwurl#naLxs*Vja&USed;r@19BX&uW?Ue817bA zql)2%aNKGcI}t@%w9O{w9|bCOd^97NwfK`ZB0g!-HklM?J(S~h9h7ToWUNH^8PGOv zp8j0c5*ANdijc|W(yzw)WWr3)It1*+HTN$#DJ&^CIqcVkd~(x;%);nGlH_$DNm#li zNelR0xV=kkuD0zJjPC2_ZKmN(ob78cACP`pLf+#vyDwG57co?W{OKYReioPPWH2cb z^A|*@Q;Zeo;zi^Btcv&v{e;g9Q)a{){`9we1@R^4F{K7f%Z5pc!AQkiB~|j8)c6Fs zLwUbVvnL_s|>tpM^rMWML;}VS)^X z`I5X1gS2WUuoSH$qYddM^S0ybN-O?C(a{j<`LGl{KA^m%)B4|Ldyr%*ti(&3ImdU#H`AlIvR+@0E4UL zsj4g=7&6b61Pj0KzT``Zlvs2kSJv?t1{1KO;oIO{J(+fGMZ-6WEoh%$_RGrD&*zUc_&CR3X@WP{C9nL-EC8j7+=2#+RPFf!Btxa@4Qz^3)st;kRx* zWwUN2r?hS*K!GM|#(ILk<{=N!pCGn=@(@`hki;-PQ77)Y?V7yJ>n#;nV(U7vX+^Ng zjfTv+!ZWo(;TfeDofKDX*gUC0>vuBj5hy~!9Z>5?LFEaLj1dGB+0oE=Cz{nTUwgj< z9G{+d74`(zlO@p`K-D|tIz2Pxdb3q_^0T$<#KynuMDZOog_X@H9=}}=H?br1i>x!` zU2t!lkMwPh#dmu{y$p;%K$^XJp~(I0NLGEFi-=g zV%9JGiBNu(y3YSto7u~mi{ms3J2;(%{6FvZ4N}3 zJQq23qA|ERIgbWNr(5186bJ*Vxv3GKQ%cZ~MFHb-M}}JJ@Gz=e0Nc~Kq*xf$$8>!i zlW;TG$4@4|yadn4^5mCPpVSTyEMKhn{8pt@@CuzMY~K1U)6`j@?tcfsGTm?UiR7p@ z(4jKWI0B(7N6w;9M%ut1EXO{<*8tjS#3OG=B@DRs%rDl%%fvf9fLF7NhMF(ros%(f z^dFb`DW!?X=jG3w>aZXv>pwpF^*PTURZPPy-_dqBBUP1TEk$2@ZEYl6Glt$Ecu!Yo zf36gsZ+jbKU;Hjf{j==LGEJP#RtA76(jIdgT1$ zW*3hmT_^pE_mtdzw=KQXG_VB~tNTuNcO3z}qJISVXu1Yl)gA@N_@k3jt zS?mA;ro&E_1Xw+ivr*{E@ke~p!(+hA5(}zWemfzIu5K$uG^w;28|zeAjRU%?h@5F= zudWMWv@%wE<7lZuI@z;tz_AfntosR#n(sg=_~y>(sV(uA+$|Q+w)vaAu$T8WLz_dQ zp0oMsbQ4^A9eYwsz2jxXZFE?2+dopTBJXDRrsDS&afcKK6!}*@X@h70-h#H9$J*sYW^sPH8=o3sRbVNdixPX!W2Ie9mjoJumQx7JNFPSiM=(D%K zzqdkW#1bU#V#^)P{cb`qUs+exEJt%H0o#x1b*pG<4296 z`!_efc+tM34>RKrZ{>6fJ{1JtF%2<&SlqlKd4jQ(yr+jLPy)lTpXFT%;Jq#eg`)iraDF_=M*x7-X8EG zw_bEsUH@};B7la@lE}o#yj8~=9Pvz>XO4#ZT|zv5R?@_3gms71m#fHd+1$CazzJqlop1bd5!92Sx&Mo?w*ZPWSOPzB zcLKp(g1fr}O|S%acMt9^!3pjJcU>HUyUXJ4u($-jP4eEq-c{XQ9Te1j%kD^bPtV7E zzwVKu7x4(0q-^C9gmLlm=XCI`u1=N4aut|CCXZ^O%|iC-As~v-leyxYF+9}trvy3+ zE~fPwoKf;qj!#d*LiH)aQOI1>2W(aiDZ*0>L6Q~Vdf1rd7sTZ|+*AQc<^q~TqMaS*J=%T~sI4j~&Iawjy>`VK5Xd_4}(I5TcA z^IR+0zLQ$c-t?U5wm$g-bR!vO{sWU6&CD`94LBurCfm-HE7YiopxyBiYfWCFf&@eH43j@_QjOjq36Z9^*L)`}622 zJaj7!G1we28{NHx2#u?Iu>%D&~75;w)ys8a-YD%vOV8A=aa>>`NrWaf@}6Y zt0w(1dIOxK6FIKVsb}lr`QHeIOm%P#!C?<4@Rrmsw?U8c|Kb49o%o(k4iGZNsvKx} zPT)gA*KVL7ip$#+MxF{mp|sA#S0QWlDlD?qUSOeDF%{E-ihH- z>Y7`4G!<1Mm5>Ue)jQi#FVZCJ$RJ0WCzxuo?Ox&;ipfj?NZ2i?ny_s2tm&q;{pN!f zBX2x9&?;+kI8>B@H5GmqmPL;NVs^qSo`qC$LdPOH0ij{kKa&fO#8|@AGWAH+@s8|3GU!ypt%65H&6`9*Q&>s5)9&>~=6{|ouBK<( zo&_vmoHZ`c6O>L%=IvT%J`sXIGQi)-k!kUq%$RZ_f#1657}{P5Tdu^jk(~3sz9TAL zP$32q7AAY`x1Kf{>zH}sG)T65 zMeLhCk{syXi(LB{LBAr!2#R~IFv4%hV{|;x2p4UPn zI=77GlfmqA<6U;pRa$NLhm<&4;r5P~! z-|0pPZI~I2qNHR01^%$AGqw*woEx}~KUD9AYn1Oq`bbz{z~?5jyWw#+0Y8IJWraFf z>UEZxeeX`LE;J(2lGKn&H8uJL$TN{Bf(UoA z2QnALlu4nyN;|G!EyW(StWYiQCTaWK_VQtP*H9=2-G+3AApE%4qu0otG=rm5G$=>i z!gWmY$SJu8DQ<@!yu*h2iQ+i-@luu_GqA+~57A0hcYu}LZFc1Fke=yM?tOSsCOa;3 zd~e5czMa7}s|nr`*K)q^q{QGNB+JKktBFd_81->Y|5G(*Ur5EJFTt=CHCx3*TIeY< z)Z;_Qs%WUVeJo)#MTTF{Dn}Nkz{R$S&wQM<9vMy+qR&nifi@#~9dVjysHN24t4G4G z!^Al9>oN))4dL3ou7+QwJp7!at>{_62YV$>DGqN+EI- zZn=YUNsZ=Sacr@vyfRhfLCwl05T9px-hqubR58cq0*riW+JHKQ!_9#9p=cW z?BhRJpNszDzR$>BzP!AkMy<0Fq$&iw^Hg1Jq|{aQ1V73()n}MiMP2;+C|7OXFq6J7 zX$Td)Q+l3t&SM$lyI4#(Wlbk8MTcsLwR`mVy|hfec?A>nwDlgx`wVU5`2t06B5Ol-8#9Be%^$wTM=bXfFq7pGDb zW46kmCe97N8I@X&4D+KEesk#EKB@mtlypA`-|hH3FNhe9-_qqjI;6&SjU^hQ?Ta&* zjbXm-yWmR*4+|T^$c&nzEV&Jg!(ttC{eCZ#r;~wP5YGlaLE%?p=ifj+uxD|BzZcby zcc{K?M2eo%>5uz1c8e-Ir}Hqym}*Ut-tyilW@HhPPFpRh)&B>!aT3)-UL%fJcM89n znw(qScgc4V0y$Uh?jmDHzt3y`A^N#wM-K&V3QX3!;s&|{JP0}>?-I(tXKu*~WnBbE zp=(GpSzn{>kJ?vutUGI4JFPalMvW}8NS>~jP~=M^4cg3ganFcB4l2Jb%Y2P924B_t zXWsvOb;)UI|1C}QOnwpt#JsP&98K8agY$qid2ueh!HQ%v8Q0yl#Tea{lVyCzp=nZ^ zW^2oq3+gudykc#%9HgRS;VMiw7-DuKD$6Wd=%~OCqi?|um(r& zIHMsq?l{^RH}1Yx+sx?9>galMktRp(D;(~L(2p`MU?STNOl0R50Y{f=DEsr2K*2Ha zfO2^AaFjj=O5ATZdMnsvAQXQJoZgNWE&0VbGc>N=X0$2M_)B8 zcjm~X`rWLI7($QvxOiW#veLtIMS!IdN2|PCHPxM8f__jIj{>T~fOj!XcVzS5C`r4|0$i)mpgD)?#xD|-TnebNgS=c+$~$vYjCjBh zvk8h)yUcX|!=6sLU_|VHe7-mi@&EAoLG%R1u)VPYixI+Awa4)h8JX#7fky-zKmN<- zTh0+%z-R8xZ7Sc^7(Hg3^?FuzpH$dcGbtGXwY)g@D8h4NCS^isacA^OyG*C54vqa} zaFGMUkIWEnNoTb4g%HXF#658_2slUf($lP|>q05#%)I!-nYtfpyoah3#v1T;LOvzS zQZu9&v0*3lJxpM8cXVC{6X0}SQ^}j`2!c(Z9&7@wpzbRuo9dJU1L@XwR9(jqp?B@o zH(9iM=Qg@j?+&q*-I$s$zcMoC>Z}I{Lwm#K`o2I16MivP210v2dyC|n4!noan(&s$ zJqWEvxbeOs4p@NfM4s|A#+c!nB{(WRf;?(C;;Mw^P|*_jfG743(2tm0!?a^sTIrFU zTuffFpK+GH6+b)B10OQDv_k*Tf-HZK*Um(BifjJU^D=8z05Ov5HeA)x%wrDFC#Qq_Sk z;+X7uM8)etAYz{6?lc+4)fDmf+}}1#djBExWhiE~IXVWcTk-_V3SebRTZ;p}dG4NU zN_x1{Ua2<_Ku4xVsiM1m_a>HNr@o%9q#k+u>4iaO8h7TB%P8SlKub-dG84Z<-8PDa z6G)*wUXOt`l3D;Z6l;L3wY-E4x=i_}YFX@Y8CfrKl`Dv|{{{4;Bg>a&pWtM`RDF1J zkxN7JB|>5xuOKSfsbx4eXB91U8C*3Ek=%Iitf=kBJ5Gn+YeJ~+N(>ZtdaR#20r9N? zf`0*hvD>;mOOpa>tBwJtrgJdtZ)w<{wB}AAGj-(kKZL%6y&&rUE1=&#GVs5AKCYFH z;DG3hppmqlz1>;h?w5(V)gi0hag;heNqeK;o%*sllrShYMo6E&Fd%h5|Blq2S}T-+ z7ZQz(o}$>YK%(wLhvER_5n(I2W@Icwk3p_7d?XY^>*o}7`l!Ij&~Gl+`YFIlIF)N2 zg?+k=4+voyVHbHL_x5{6a(1n-&CFkZrBTMh$AWw&a>mG9l#Qi&0v)4&r+tCzzTRi* z6>8-j$hd}h#y6r)cO*smPT@ghM2bE~c1blTj)}W6{)JmegL~u7^D>zDXd4$~T}S&W zUPMbGS?;6wd^>*Ar$2Plhq<-wwOzSiaT2kUJ$~N@jiua@?Jnv_!GB)?+Qb^tq${R~ zbA6tVPdt!*ZWlD0AiJ~}v_YlgnfwdrOUzrRx8N;1>Pb{(m@@;KYJXx`Z>CAqR@A=J zM$Ih*y7ve#Y^PCFhomlFnn+OWozBYSa{9G4CbB~xlMwy*q;&h(j9t$a-QYFdL`nRB zd50vE@4hIR4Kv=O%m z3m#0|$eqTI^#9Lvem-T`I3#8uGMKdoXMjLiS>}hT719`_DFS|*Gm?@U+ zC>@Ys5F2r>fsyj&+B8Ej&R{udX$`Il!8XOtyElQQq*+z;XhW^_h!Nc|m z5_3KL0#f-p&@+CS5Pj$a4g9%tj2*hx-6!#)M$QQ|O^xr2q?$BoK6y1Kj(!(PY3qa`$jx z6I-C9XTN`4mN8*a)W!|XwrKj{GcO=yUAdtxpfj2;&cL;!gZF~sfk?|A_;TWgQ9REg z9~&NbisSVy>0m_5#&mC?D&2`d28*NhQ7z7@9VJHWSGLr#_`*3E&kGl$z0}x(9EiN5WdbN&LL{FC0glaoiZu&TYW936?vU z!CCHA>4+Ny0jYUB%5%%VUEPVlzuRSssDd3?!P6D~(IkwkxkA0Nylk{YQem40x?LVw zimw9YR56ceOX=$UXi96fDU@oSDYT3JZhTDQAoO|7nz_4FdPG&A@mup$aY9KMYMGf> z61FNhmW68tz%_%h2E9PPX1yROq_Uv*5V_7XBt_-=bSJLF<~|n4N+L8RL6c`Ey|MA@ zQh^zY_&c;L!!ESy@p*T>=%J>eC}xdUgDZAMKAZ=!jn*>q#6uv;J$umSkC+jkVOx3( zzU-=L+jwps4YGMhE5#;_AgDbYA|ArFJn)$@1PlKbl=AI~7^LEJi4}j{*7K1ti!lBj zXas4F=1In0+Cc$%RXN-H#iRp-XtEiR6r>3kFz}KQ^&&SvbYU-wnt0`~LxP-?zj*n( zb+0lsI_^7`ba2P&*iLGySxHaMsD?oZk{+-6n=27>U1Sm(=r*JlEqwg`aOpB_)Y`_Z zH3k9v_#GEfw*l%$8wjh;t7bn?sl5+X+by+{W$01eE5?y6kDO0mY#C~Fg==O8xRP=9 zD1_NX6XDE4JK24z9wuj}q^2E_2_s^mRCZbzV`R-YkI~*Kn=FGbQ))PFEMuyaDjQK| zI4VI}kjpPSY)pq$rPUr^MfR|Z7FB5{mew)e$d^@T9vPo9B7c&qV6uuqmaW5U6$qq4 zJ$_Ia_j&qoRq;{i0kP1Y54OcF#?113W`O6pAt~QyV+b3BOw~Hwxt{^eCom8&j*O@X za$j2xuu%cy`M2Y2B)44{CPyWT*7y81mhHksvP?nH!5Wj7(G_D}OY}D3=Qqh8&<>=G zpgE9-Lf8|?Q_}>L#4MUqZ2`p`%JHmCz$!5?@eh_-sJj#_vOS&^E<9#n@<0|^~&gcv4n(kQ`{-%>igA5o_ z7pG#8`0fK~mY@7YE^2TuLG*Zi7*^9#^@EDoU7-XvJo_o$;MbGvi>u!2d;ix0?t!SG&$V;ires5jfcNV7?$8I#q+0o>zK5FNAx{#uk=g=) zns8X;x-S%nEgzE$;o_dbp|IiqEkk$Zl0Bl*>A0sjwE_gO9O# zOil{d(;xHws}IDv6L6=5lxiEn+s&5?}5yp}}gB1^f`VlvrbChGwy6r^A7~m6wwJ@a3=R>PeLPoz4&u@Y^j}GF9i6fg7y$U zVihgzHhE8a(kL*RE=ky2Zj^Ja;%F+PHzA2z^L;M~879d=)au zF&{b(YkIW!kxf)l>XS0kyfCvs#az8{#qhhZ3!PTj%<=s7(bG>kpsSB$z+pj(ir6-6lM!U|tvMt1$kJgJku#w>qq-lo&z+Nz6t7~|}6NO0<^ zi6L9pBp=hDRFDP2lArdv=}Iw=1Fk&7T{U-%pF@7DC6@Vi!7bus`aEubV#b$2`m(X{ zyh+}Yu_0uj3sW%|HiBBOU=kipn=M?pUwx^iH)%9P~tcVt`&2D7k}Cu z#94n)(PXwSEMr!PFjV_>$8L)?W33Xx?eiK_306&8h>_~RTGF)sbc=&Us>=s)EDQ$-a34g*VbzVh`Vgyd@hzXKiy^_|zV%`%9 z%P8=@zc{t3AEgtY7Zeh+15U|N@Jep0$qVGW*{}Ok(CitFuH(0o-?dspAtwq3O?)!M zFMqgl^{-Lqsv^R1*n>fSMp#=`wHx&(L0$d>%j$-2Y(tur`|=U zkQ)kqRucEk7K6k@qx8Ud7b8qr?R_STx z-t_rH2&U5OKuRYq)@xj+w0{bVk0QwqI{3f2Oj6?-dZ;$zxRY2FJdH8mC`cwVkpVLB zd9tif!ouLRa~a#Qkn6LgLBzwS9drf#zGPeAR)~sT@Pb(XwA9BE!sdvwi3$nnOCH6S zp$`wuHgxus;a>JceK6*`B?|43RZ|6#A}nfI`=Jp1j8-X{@fD)(0kz6YR8$7bmphMm zSh?NAD#^~%g&vL~fL-o4Aw^BIKO|(~vS|4imNAUq5y4Vz`u?Wd+Bp(c z?odmEos*XoqmwnlgPcR?IlUCIjo*pDoj;C(< zlp0p<`3$0d3lCcCg-x<4;q~3}4akUi$FTLH=LEU_AQgtEr+5$^41U)jfQ;K>KGAUZ zo2UprcGA%Epu>&ij$(lK^+hM)5%pc$Yo?dBQx!-M8V=FXCT^SpDrc<-XL!-bX(tqAYy#3)2wgcYN>4GAY5)`w{;!f@ zd$KHp_f|17Q1PTJ8okYS?_At6vy3TpD4dr*{HPv(l&l)0{xp}2g6INsvI=EzFyuoj z^jW{hRnYQ<4r@h`6jn~()__k0cQaBMeM;fd0xFK3O0-b_Qw}X$JW9x!h-eNX7oqwW zlLwkwSH>E4mYaxlcD_TBIO)x?h~@%!SUiXG_Yh582%=K%Afj~G=?iZNi_xO22Ir+|>Wp}~X+UHFn(r`YRN@UT7<XuD`#Vws*EUC2c1TG5PfnI*f}r+`;KTahhHlZ z{6FLlh+@ct!F`XuGssk~Vmg`j_}kw;`N4&8*N{UL{sdQ5ys#jt7K0+oa=}yRfk}MV zI!*ZlaDJSo8}Ea>`$T&FxY=(5R@*1rfrEZ^F4eo5GT^l@HK@m)GGpF?9;!0Atdu8w0wq`sFY5}_)* z-{%=WQ&D}cHfkx{NLqeuOc$cYEOK^UPsd4BeUT>tC)tI?%`J@0rfVO9(GqHf;Bs=a ztVWvAg|f&x_@z|9Lb~@;SxrnMrZP|Qce4ks=$z!KE+pd};jiRlA!Yc_hA&V<6>b?; z?n2dcRM5?z<2w*zI7HiRyeft|2R(mI%qKvE>%%bcJVYX=g;#!&J&V5JdO%Wt7Os!i z%rZBqM`Kb&X|u3rDNfCN8tW4~Q7Iin z2-xW`Q>b>&4(N6lf35yQ>~HsdZ?oqF6Z>`XRwiI#f7$|lO=e2yf=mLll1F0pqeTAC zFF0bGGrnIajAk}85zAl3bm$YB(E0BBDwA-Om2mJIZR{(jnrO6z0;w&9EKe-RX6cO| zrQVkBn-maQSc)36Cql59;ra?SJT2lR^oMfYk_qAvc__SKb&_3FK4bi7S^iEl^5xyQ zUjHi}t+YlDWqRbN%OXQ<9vXJ-C8VTtqenJvEwB_9)QyZ~QZX@eN$&s-S z`#1P=Z-pUcya>r$R@Y=V)IDde3vm(jC+o-JRJk}!XI#O;Y129|=}q9LXL^UJD+&^8 zAtaKBTc07 zhtdRIl!;bzB1MDvyw43^?FZ?gILpS^7aE1-TQ`f|Jub{fpYj;OZ(bgI5)YZ&bz!+u z{$s22%T+BPAr57lQCnN`Td91qdfrL0Nf~q!Tl5jmfg${?ZtL)67N7>u?-kG&kNDKQfvZvdUaxq%e$WEZTMe!qUk{qUj)_>%|J-KEC^NgN=-JBTKIj zxeshQaj;%xeZ;kxLbnCk2Z%x$dK;v!$=#v0Pg?g!PVY06rOv}U65D78-jmA@`n*l3 z7D4a}w@*^*AVgA2pZ@f-x21Hb+{;dl8*8H>KdJeId3vdRL#oi}9UWIK__O||!UeUo z+}rCCKK4J~Y#Q|KkyX1UomPmAefYdknh{RX`Lj`^&twN_B%(?5-AsB)!pvt~=Zg}AdBQRP;>t}qnz@a~G+Hw>zmP1Be zF9|)n$b4D%^iXQl4>Lw`3JSX-?u7E%Z0-cz;O7v~TdqZZZGSS!%<@6D`A`nE`S=qt z#qF+OS8-#o*F#56=Ok5u#noZ^Pz%Mu!koPhb$ZslCJykq^T@Jqh39v$-3Hml;!hqs z8M$li@69-b>&=x6eqD{a(DT-|Q_QE0q3(WeiwNOoCFI^C#0{vt=OPA3_Sc6+%c9-9 zXvEEne7Uw zia)(&fMnby0PZzU&B*UZ`lZ6)7G9%;b6Fo?nX{1Keyfov~)8u~bo?dlAs^T~t~dED&d z4ClXiJ8QpJuX9)01iq|K5{f}?<1I4m(J+ZWI-M*TLYZQaFB~EA=KG6X-w--paR@#P z)Rw*HKaOt3M9FsF@qcbBUPFNA^q7f;E-V+?8wlvh$#&R*)mR%Sr(;-ge`!{=ZEv+M zM5%t?a2y7V)998I2u~TvC%%(Uo>rKH{?+5KOW=r`D%3d8`R<6QRtkpOT(P`X0yi$D z&{~EcmkW)r9j=!0se-rH7t%HV;v;oTh$Kqe9O9t~UFO%gT5;z6_lM0aUW=Wk^`2Kt zzNMPFy}r<9`4uBcSy%pnZ&)AE}m36C>Ptq=_ME?lPbm8Y@# z+iHNe6hM+sxBT)5+Hj$bDf}fCpsv@qRDCJJ6TGwZJxBbtL1!>%oZ6Q*@2I`OU!H+AAdP`{13t;2yypAuG1&N7bs* z#&r7k_!R{sA4$tgoG>Sl$}}SNJn56?QZh$iHMnqA=BSH|{GMl2YS%gnzMdlM$#1lJrz8{(6G}Yn9olES?tw<%+R{AciZzWp+Nf=-RFS~3?9 zHwIr@^q30ZqNIR+Pw4{AYed697dE#*0{>u}m!U{x{&l=l%V z8@%nh7swwV#V;dwCxc8Y)n{O{V6gz3MTF@SS9tOqutwiM>%-_Bj#q^ZixAOA9`==a z`9=t+Z-M}L3sDanbdTTQF>olifCo&yVJ=W*rVB_U-l-Sr+pH&cvnE;T&FnVy5=AK= zbRA0^y@R;riUoxex-P{qh^K%coeW4;5I-=lAj&YW=!-7Ca#P!M`K{KxoK+bB5f@W1il?j! z$A3l0tBj3kn?NEhDt+66I) z*$i`@ME_GujkS20*?a-Qp< zABVVCUHotoBk{G()8nf@|FtypdhzHP`6*H?&{^ar;rx=Q$~o*+fTMS->lV-S z3o*vJ(C$&vfzpuWSEvCy2JGP_wBQr`LqA6314Q&G*|NR|X|NaxdR%TwLsNc|K#xd_ z$*&fP6SA~?I(9Og+u$9=VPC;EXKirY5ecVdApqUKpj{2!=hK&Xn*cWSt+7}MMIkv{ zG!T6`JyV4`1p~fmYffytK5HC4PRYFSHkrE#s;oa>i4~>Co-H%t-TNb#=mAScC;u70 zJwR6E!qOsM1Pd2d-rycYt28!Ue5ZG}5ycPNt6h?V(r4T_{P9 z>!p7F4B6{s6bC}X5||?`^9vf?lPGTq{S-UmBd-N~$WD6Q;^>*AY5#^?hM!_)4J@)i z^30QA3o?mO@QV}m3_lGa7_G{nS5uS_SPnQFRUGkfDjARinQjr5hSYeqO(B|;uKIK? zbuyMPvTcyawEdtp<_py0=+_@jWm<8$#yY=^4ERDp7E;s4JqQgXEZB)aT=pnMC+saK zH4MW9F44x-Wp_fPIW*KExMeB2`7FNZ3!iq`Kb~j2J{7&a`OI3^lemPPmt` z29Nt4E6R6%$h!#e;YokC(Y!;)RV5s|$O28;1Tn)DJMi;d>byc=3|}0BTRbx}Pt-=X zc7w5X%beJedkqL2t}J;0x9Z(?_;pDe>(?xV23 z{X}Py`sh0n+#WtED`VY^m3>3o8EhWenG@*zNxz3fVMDR|>mycnbAc@_5rV%z%%-3c`c_YDtvO|n5 znH8M!%(PouZJCmlbSa4lV&C{Qk8k)QTHN?Fov!(0W1lkHAx4J-1;k<#f!N83EPr~F zDal5Xk_huVGx)i3R2D&|C6keXwtLG&v+=yl=}J(HML}|3MfkIX+hOc=g--uIl;FY< z)ddL-?1I?hgLv*_XL^*=b+Cu<(N)wQez-HcbRG##J4TWC zK`cEF&^2&4!mBjUt=e+b^kKtV*zW%flag9g+WfJfW}Ea8XEP3mU{g~!oBDKQ3)Tha zBaT7lj9`6LdI>y2$I)D_eZ~=;x|SSc<#G+>JVF)g=vGX#SIN9ji z8agmLIIC))K(M>V>#>1K5)a0&3;6b5LQ|f?qaFKhWmIjUT7M2{v=-sz zCX(2U3r3yz#jz<@C;qgOrJ9wdn>MeDI&7$n6@J>TuI8fVv*y$J=+^bt3+!@#dtQ67 zeluA2zMZeu1D`{bqu<%`@~YnTJlXYNFZposd~-55d2es$=l<;d)L)#E(a5vY6>&s( zZa>jX^QcHF><1Im^!5xsaKz`yk0j^J-tT^^EoCr8r0Zqy;9S_deZ%+V`RpL)Ofg4) zqtom4XoEz==h6MGN#Do&^+8C--RDXFEGJuk;}v)yBJ%3sS6kH{BGTE`h4Q0%qs#l5 zR7A+v^Y;zXoiF+v(B2OB?RF*Q-tFS)ef9a7;(5T`=s`}-x}W>wR?4K`c>y z41CH^tY3TA+XVszWL3W_YV+%hpZ^=wWD^S*IhKAM2f4*6p6hQ2`L_0kYzTI|&0i}D zMValr_J$R2bP4)-ziy4M#FA#8HFd3KpZPuC{C*f*QJj49e0%n`{`!08_ImXCt##wo z^XaU2_G#tm>E3?5^Xb9}&e!>Rc$GwdJ?mmwVx3fv^zGL7>9uz-wuw~lteVyR9^2#o zjRL*mXEm)Jl*bMG>gLFc;xnyT0bjA&uPv}1PNg4R z3+`2lpS)o7&(4K;%$IX$Q<(J5T5mqOxz^6_C{CWAe{N~%SQp`edUyYt^7in&W%;&3 zD$)*L9m~o2LnJ6!595`3oDR~Hyy^qBp ze14&U3J@{aeC!G&4C(`RdB6Vl#aMZL4XNAky}#^zdwmdGgG@y#`*s^+{^B~^a_ z*+JiD`5r7S_>nzty*?Z$+5?;{ZL_;;i5N7*o0*_UQ|3Dfxm8 z3DNq`b(@p109dtTpAz(~WTzU;S4mrDbqD_Ui9JCtPNsCy2=~cGNc-fq)-q^?tyi#} zE$Q{pzL8U^7-3#QCiAT~N=|L$sUf5lxdnLl@8(Z!Af6;&w`;6ISKm!A?G2@*k{rJ; z&YV+n{lG~09CXX0J*KI1+AKE&EZWE5cKo;9hb@0$roB3ggn7M*<)m%jp+3BQ2ZV(p1K>Ml(184q3mDGqL6_y zLj!H_lLW?urbCW${hyZ%47XK!3Y?iTzhA>ABhNw2&4;i$(izUQyt^BCLeY#uG=N2{!;gI`sDk36i?DCh{dupdX(3}&BTXJLaRS-USn#U{=X67$@hHh^v?!of zbt3IwJ-FO_y@6RioR;rVYpkTmv{G%0kBpef^__ael8*gW>sG|5;g5wzmt`tAn2&bjxY=qVCr&i7I*Hvry;Sw{>juzySZX5woM^1M z?p(W&_{`;xg%v6_+slbEAI5uY+Vq5i{Kwe@ah(n?qbpLfv`2RT}F)SR>cs z&7K#?BdlmE*!rI>I5?@BTNHc*kvUS{nSmPw>^OKD!5DvP&Qu*MG{ZS+$8cr+x`*py z{RL#lch@DABEheFlO_)yD)Ls`h#D1lG~0XRHS1_Prp$HsA7n?`k>WcGYArAw5{vR2 zi%Onri)tUtN6#kyj~wyJ1>OaBwx z_DoNSGZWDkiL(Kpnt2ryb_%XkmbGEYAmB0(Fn;ziE#I~fQ~vn}fX zVG1oWA!>WJ3TKb+OD#WGk93eJ-=Es< z*x(n*hXa!vFN&_^@LCM)Gtd~{cQxmo>&T^ks|txnK67~ZEi}ve7QalYp_rj6-nHCyX^7l-B`CjAI+2_1iX ziRmn>d=DF@Hb#vetFd!+VF4D;8FEZzxI8gQ{KV}Rrn9BtJ?x;`w8tz#D#FSLD^jtN zHVb@Hdkw~4)c|`s`xa!5S zpoMX?G@SDwC+eAF6wlG?-D5*?w%n?6WFl}@c+sg(WpB=`u$~exo9fSQ`)mDGA;uz| z)5CJGfa_a&&A!4AW$-j=TikC8YRrrsF;Y;9t0Cl=pY-2jj{GySb_`p=;gn;zFlGI# zT9zj3^2CcGMN|Rqc3d;T9tdfkE{wzAvoE`YL@el_Pe5WT;GT?5$oNnkp^HW|NxxyKd>Gc18 z$@*tnzwZI0z^#6L5mus}qk&p^tZS}iO~Ih{VNh<4FLVVEY{jJCTc&;j6!8>Jab+p= zX8m!5U}y7icoJZ0U)}5(B?g(ZYgNG1r0%0Lf+ox@whPycyvMt$^sma<%(6 zaZ!IPuN^~S{)jF<6VVn`M=>Hx9_i;mVadIZBXBrSNUcTC%IuFZ0(*w{e2W;HJP;2- z)hD*=|7I*+%Px1U3v-jl*dHl}usRLflAP$+UAz9X{~;WmD)?kWLXB!B>pv=t(y798 zHdqRAM`lIqj$Oot4Xc}uBJI?>i zHo}x;i-d_=slmtt8SXpl83P4u@zDLqT}n}INE zUqX4AF`xb`wo<9kZ&if&e|(=a9m*4=fIUhsqqCo$O)cA^$Bz$|I^k+xW>!nYznra< zeN!g;r&3m@QYiAQ6DY&~43s){N%P<;HS1re)|GZj~H>FZdP(7MABx3jF_0$7eiz+4Ag~zAa@CwEq=X! zy>55>xIyBY!zg|3)6yUaHnBp7hReU*`97GmIK6G@n7m8#D`dP_%p-2Y^r zIXL34Ejml*N{@s5xX^kgXr10H^|^8?$hkP0;_`#oOcPefcx97w`&8XK zXZ-VjBg3;Rwj|jw3hE%B`d3{;#|#u|3kNIy2s2UQd9E1X?q-W;|BsWA!;7Uht+RL4hknAyf=%)! z{2JP!P{hpL1!eS)Q>Y60837v76lzs5Vnv;CH{M4s3eh zU-^4S5#mrHMQZj{-&+xQ#cB-p+Zh9m7H2D@F1boUx0hF(Mh6K!70yhj&cb**ak{M} z#@qka&6V%n*Lbw%+k46W{}!HJthsxBCvE*agWf&9p?^AP7DeWQP6AOQ_z`pbk*miC z8;&1?Ow{lcXA7@g%i2%DsJa2kwZx8N>IOk31bPDch_J5#Q~gQDSFYa-p1!E>aG5WU zS%20$49njp===I;S3)kQspni7vwUQ5Qc!r$3;^;PXu~tkV5j6)u6T(9ghHsRk}zyU zvTs|~f_ED=Jj)N3DWyK@l>-{gmz+Z*)#GQw5c27W(+H|PPK^BcS5jZC{F@i_?rqns zEu~2nbVpyZ0F+*x#1!|gd!ptc1iU|xCW04A#nbMD0-N{c{q&gNHv~K!nSR;T^87+A zyBq{ope7QXa;+>9KVmtbm!SE`qAn_g&A(sv&`ETz711KE7GrSUmJhb;0FDH(Y|2%) z{j?t5!$a%%)G73o9aBga{Aw5(WK;Ccy6q#;aYdB`t~5Ufr^&+&0b`e~iQ(4tozqyU zkjK`SuN;tSY}lScc%+R33A%c^Y@nBgZw}Pd8~Q_d)xWAZlYMvjp5VO(m({-iY(`48 z#Bp;+&9tu`72|(;*qm-m{amf!Sed+>6B{Ows9KJy`<>NWGa8kXqnlW3rHW{&j5#%# zx>@&zgW039)x9p;Ke~au{5leWDb$755x8k2QX#AnW@TfJwdL@CvG(3^O*LEF@GSx& zRfv-kS(~gXNt2ocDX* z-}5`?`6tQVd$MQEnzgRAX4aK#n`|p-E90jGrDEoe^LYBgon{KJA0J#XAugFo7ulG#XiHn}oh@qp%AG$E(9&QAY+-fS4h9m}a8!uybD zSzoix+Sz74rs@HYwS){(S=&}#qON?*E}g^7%flT$wwL*99e9R9Y#x3-=`(+CP4}0; z?QC4yc$H0uwMOpS*? zc-xA(D(NhB5bk=ZR=B%s{@}3@MmY`96YHy0CCs$U$BO+Kf>mZ740*+rqPZ?_^G?THW_aT0hJ+4F-lF6g2U}Nj2 z82cW^WyEJ@HG!IECqg{E#w)+UbNtf34EvG5{!JZc0!}ww!L|Dv`ZKK6#ib^U-}8*d z(TpqksoSSe8R!`Sk849PypmJfGecp_l~y3UiRZQI;TpSIu5ARXnvB6K$L17|7u?#o z!d@w@9S7x3Gzn2D7HaYaH|p`wo8@n=fTyI6t*JEMD0C%)H%KIEIi=fXRiy?6y5AN2 z{PAGPKR!mSqsqC$#KtboS-qb10h5|zuNR+>fxK(^BTrXGuHooc@=W~2&h>tw^0UFi zwzzdQXJyb{@+;x&h#kx zI>aidvz8#s=g@Q9X`5zW^c<$1Wp}CT@bJ*+ZLhr^p=8X2yXVZb4Xmj7XI222Z7RrR zZ9hgAWIFgX3EG7-MY}xx@{$MqoqF}@`ZG&+fe2xIqWUBitOH1+_tMHU>yA?SGLq3o zKO^Q?wD%i&r47N)@4~!^vFEBH3D*?*ZqzX>A^2leAKon!`Ie!eikCy}e`-FVylkJu z;m6F#reUhem_QxpcdKLj%`3;JBhHY#imL6x)c7IYJQD*bsCpS0xA6Zo<7IyM8OlR<&ueq1!&mK|mW)+rxu|Cr$158>!YjL(ni%8?}!%#YDF z5femqt{>Uc63NE%3huk)UglSmC>x8px-eqR!DvyU;N3@Tc4hvILP;a1zlBK+g$&L* z(ta+>m>la+X2p$19o$P1RMIuJkFCM}aJe(*;px>d%VHCJHBOm0qgaf125uCIY=6jn z(YCNvK}Dpb_(vAfu~u)dkCCLKeD_>u5ldYQWaPlgKUBAYYe{I0Hyev}twMFD;t#K>Z7RarZuErgQv$st;|C-loYfu6WL6 zPgCUZc|q! zW*k42<(v;t!FoTRp5Y-egs7Qdvge1M;RBh?Ni?VS4SH6U2Qo|=#4&zZIKu+8kp~Cj zo5gmJ={H)?yk&u_RKf?6x8+Us*@mr4^fv~AxCKW`(w-~q31obGK0~`Ey!)5yZIPWj z^lRcFW>!Z*h1TKTN=Ros+wqNz*HhRca@TF2QYqf7!t<#lBRRNvR(5ZkjjI3oD&f6dHl}x@XXRq#)w9n)` zJ8`h7U{9ER8hL-uWg7e%eT0RV)2Ak6)AZXrDQ-3u$ZHC97n%N$OQ`!9x#ZWa#jlVm zHo2r*`!Y!@g_GOV=)LehUw&4wZC+kD^jY+=NC)YJaG`68)_k+4LGJwO>*QNRsw<^A zoz%Gp3JD%{7HTWXG4i&;h9c04m#=UIOSw4`oUk@b1q+Me{Y7Li)2Rr-5JL3iXcpNP z=&O_RG^jfJduf>Dv;EIPqaT-tM#SaoMLmq>cT`%e5ul3boX)k}!~MZS!QMPtt3BDp zeNCO-&)kIj!CDIS?f50uCm;PcSz{{FNZEw38HysF$K^*nmoIb)qE^>{&pzSCE{(Ge zQN?C0=oaa(#K(5Jb9qUxhnHqaC(+$k?w0&Zg6PC`NzT_~{XNvNxsuKI>v=Z){L0$; z>K7k}5$w`Vj2?6k4OMNPpT9T%KJvocYOmyan254bB{RfFhGdN~gHsRcEx4)cY|3WdDa? zfx*#(F7-?hoK^6_PJFtqIB&Z47D8}0P@uMwc=+}$EW~7I zDy5mDVl*pRZqDhm4zH{?v26uBwWB^a=Jq7pKiu16QeG%_!DwA3rDB6pM@c?~Sodo` zDeV~W>oV1j&4Ii6SgQttpTWGF>7PH~+FxdQmaSgl*Tt5W17}Q^FU(}P!kFAjEbIJT zGFW#J?n|IPF`++Z7!;-VX$pF+$JLne`|7pwd%GXSztMf`RZB?bAcf1CGPg6CNr!HP zPhGjfaAHMxlF?elx;Hbr!)JDyx<3=}Q0TJ8cglJ4s=Dao|Tyq75A^iR&Srjuaz#9Ruk+D(>3-e0h@8eCpd< zDgmvg6tK&$xHa(oy5Dm@VZ#=WnA?U+BwdsIkd)Iu-d^Mwm^9B-N*R6`i~*6hczG;8eQ1rDBgQLmx$F3~q!B}dust7s8)12f(FDC9S0~O)*NaYZL0m67vnpUNco=x*DTKXuAd2Z-CQ+jb#=}E)jRt?45&r zBV|*p3ezLP*5M>t&AE8{GM+5d6%t~Rf@oq91u3`^E4_06;`Y_Jw6`g@bsj-H$H^Ex z$GPBpycEPDC77AQ>-VA)&q!EMfq`8;nMP=bCpZIY1|&$7F#}8~mUsYk9;24Y?LkvW zHsX%t3vfp|hHb$Vq+sC2Ulfmf=5w3;m%8>7wqb*|S}zTm9z2n|Ta54D6%cP!;b04S z$E0-$du~2O{6ku3dY2=Vff2DVj$fz|p{HiWfR&}Gj0;A{sV0kK07e+5wn6NSdT{}? zM&ijV*u)w-R~^YN-|E;h&AIwG)&`rwlkM@M#qfmdENstj-Ej@8sFV-4!e-ngulcF{cU` zOv^bW0-j|I)2#YXW2}AqXnbd^rDp z?lD=iMB-R0??b25p#`rsF$2;CbPI|aC4vP=u@n~6+F?72Wcrs2lmp6>Nf{s57VJRY zYsV5h++f4u&}=8ao`7DTr^i>#lwfjV6Q55dr;awHczu_syHt;YCB87%xV%tCHEYF< zCm)ff7t7<oH?XgX0T7zBpA*JA8cqz;@bi z-BggH*p$PPFTec$M#wY2v1v52vwzgXWFTYbNz|n$%ae*XGS}GPU+Tr9^QJ@uvDDF# z(zowFjavL9ne>QCLT0Rr=bSq_(TsB3>ZFxCHWT}5uK{+F<3e!Q)@#SGFGdUGv2A3Z z<2xNpVjbhg#2@6@$B|(AR6b;QSwFGyW#ty^4Ns;KUO%UUw4BBZy?%411a7v++!D2H zR>Imtx7mql7%0dtapn|vWyX0lHFPj9VaJ-WX@|(eUd6TgZ?G~1FsRGRR2-geDII*d zf7fiI*>A=tTYLXY*yrxMsl>Wa27lusY94K-;P~LXUecWp==upG^siijoY)h3e+-$J zud?uxUrV=~bK~WA6qXk7HSB4xDt&VD>HvagbhG_XG@2ve{4VG4ynq)fU|afj_9ZEH z1-@3)V~Pp?K3Ui^HHAGN^%pe-+j-Q{6F0xb<7zc4*$}FZo;WX1N1bk21(4xRvU;ZX z&)>0EkjhbI7uQpJ1t(LllMXJx@ekpC@!Os!Hy|kEmG$p4O@*(E1?@_qJYQ?MV5eS#5t%sFWBnhmWFT%VE@i{$enqqKk8(mC1Y2Y1ug&{`Ypf~)G zom3^X-?n{|$;+Xy`&J5QI)$Vm{n#K_)tB1E>2dvm9YcX2* zNhsBtIYz{%if0xc;fTa>agDI>yz9*Ay*Zb}T_5JzUG}-FwI&*utHo3iOTJ%Wc}(JC z*ZX(OJApikvV7`}-P?gi-59sus*&9yHM>NDQ-~XNUs>ZB=8HlMbrW~kr;MJl+5i)0 z^+@ON*y`81&K~t#GL9z&515}`yEWn?N?~%@J{R?#kuNBS%_j{!t2jDRfee;3fUg`Hx~Mn{3sc`*Rf@tL;PeI zqF_4POmSA|pZu0=L;3b3?c|45V(T$c;|+@+!7NiP+%G zYC_qsK2$fApou4GVbQQ5&MIJw?&20HHq~P`30^Q7_lpVLpQ^8%o}<8T3a^d6hp2yK z64ce3F(5U9=f*Xg;kQ%kDQ5Tb=(?nWZK+cK;q?PIncjJuZJz@dKQCvl#Dl5zT5*;x zvdT5*7_==};vD>2jAKK6ZfkMgdGHv#im!L#j?{a9L5YDlE13lG3=EpPDT7_&OU}(9 zKagRU8ZGmsFA<}=J_H7dk8+=_khGfie8tZr7Y^fSmAIC5zz$&w7*Zm^xJ3iZxZa$bGe?NgdF&W84_QK4U~oNZz7cZHoi+-&sapTg(YTjzYK z9#=Hq&gk6PJ@mh8{XX{F7Gz2_DtLH=O*!i9vf{N@bWQ0BEHcmIz24D%_I$XM9`Ha0 zaLPTB8h54)vYXd4i8gakrc^ zG0b+@lvxY6!hp?KJgL4m0KSdPjK5}|`POWwMHkL9evhi{GB3m;y?-WU!Oy!kiW~pd zO;v1y`C_SO;^@CHALQ?27~#=~#df#^YlN*1o6DD2&nM|zVZ|>qSM7`mmI+k7FB8Zu zEfZ*uFOElnL26c0e4i=kIcdD?3vKoBh0keWoR{#*7w+Gd4Cr~w01Y-t-R>TuE)Wps za{jpeu_@T%3NCz4xcHW1+cp1Qj^^tKYS$f3^ZHOD=do1$#I(V#W@R`7tMx-TrX>4) zOi7EI#P}-iIGUX;CRrRX&0Bbpmq@kU@k={f)aKk^-CkRfc()+raM62F1pUf*lqP?D z_)e6Tx!EM93H5L^KM~4g6BLRXWt6_MRH(DHpA`~jvG49=!i`+*VN6p<_|}r|-)Zu( z3|HIPT6w5(J(bU5+-dX52m#_fkr%t32vY|$L-tMgI%c%&Y8-qMsWB?ZpBU64FT zog~KtM|xL`#f%4r@x`K>rPtUfoZl1D6n$ZG%sEFoWj7X~4Okh!?4%IP^l_eYb%_VOiV%;*+PT$YDDwfC97o5;PT+r5`4Ei`a5OFqt{WzZ|l8rG+E zhbe7QX?~s8S5<;Om{?eJAhGeQWuq*0+G3HzxZ-YGFvCN|+cy%i-nZxMeoMfQi|Bcv)ZTeAwq>qx+Gw?O?5891<&n=GI63W7kf_*iT zyb>aX7PQa4(Ie;ONRV@KK}Yg>LT_Rp)NabYmx&hSDx6PXP{omLc6}_C3@LvU;JTz4 zj+H)FWc^XFQHGGFP>zB2T~XLaqlRws*r4wd8o|25wu+eVERIs%(!6_l*D+GD_eB~9 zX&0O6_*e$@ZC9meR`SgGHGD(9%U*%^UR@Gxr2{X`iR*u*e<|Ajthl8S7|jyfiVJ6d zeHlB8;`1!lNBp(N{!g%7zkSW8aX;OrDBo>8?IXH(I65$J?~vcnd;gW4@5$mh#sy!n zO2)ftg!!+(s@wni8(;9+zwrer|9|;{QihT)cP*T)FW7N^>6*_4f(*sW#JF zdl3y*=4N5!hJD)6$>(0Fjj#Y%~3Ao$jn{=Jd$-$41lH z;>_vZiASx^WaQlDz)6y?Lu$v_%XPgG!%3$fyZbsmLT3jxk^4!$KTeg-k9`y5PKWKz zW>JP`8-Vv%KXYc{b>{nH+wk88&)QPXiN(fJ+_#_oKpRqRLWQg1`v8mj4J*6w_jsMPXoHvQ~-;`wURkBal% zE3cN$mxG#KHXXJm4be3+L8bN+fiUOX?Yl~6Uq_q1Q;&ew184h&=bPu>2YilR`fh9a z()i9P`R*5ad|!whOz%}YGdx@Pk$s#f*6+*RMR%v^hXbf~?a~?SNb8<`r1yQZRC!?5 zN=I{C-EY!Nmv$_D;yfFPUJ`q2DCvEgJo6#_w8zkUdPrE`_dByMrKOj}e(BkcRuf^v z*^b!h3aaURPAv2!qN$D+=*pL;bjinHwd(wpVehI}?`q{nQ%b_yPd|u77rnt=00jBi%`a%*t1YW9fGKgWK(5 zR`aWfQ7$v4m&AG<2@g4>XhOPg-*EFxb7Cq>N?V=e326&6h%S^djt*E&;R$)Lh*nno zVG+H)DzuY+6So%4E>^BQ?4l&tD-q&g;b9fvS^BhZ`Dj>ed$eJJtPzr}j($2|Nf#Y= zyhouOl@7Tw4zH?_aH)ha-NIB0`yvpLgw7@3cPOS$DXJMXA-d!Jz|=JhV}RwEu~ekD zNcNLZ4(bzU>CEfN!c|r;zjBm&TX&FP>9fp#u40tWP#!L8yDqw^9#0-o9xz6>B2vZ5 z&3`jgY$PeFvM?rC&&7=1csRI78_NV!bDz9Y5Nl&-605=_!k+?qQ}Radag?BwoaqGh z4O}j*z{1|HOt_P8{%G)}HYzh^Mmv}Y8#_ZWhx#03$A2o71J>MccvblPY3BE~L2sQV z@FGNo+}NGmawdY5dejYQwGMhGQz6?S@YX?*^SATMjgtgQhev)XsFONA*wn5kqtf9A zjlRLCC!k>iB~mJ9mX$$sza~WxOX~5)3zb)pS;f9KPT@tbBo!c4Gxd#R9xbV=zOKw` zK&tNGON^9e83qtiGCN+$0`g0TmI(K{J65fO>-)FV_3u#U%r5iYBT%YdwsohxF|);s z9@^r4_HoO(Au<+G_h&^ODH@u)ylfS-mh*M9%Z?l1?5?$YT`G`* zaV-i)LI8UnkbR|C(~Qb z(@TM)?6 zYh{S{!^JPSFp50G##1re5F0PXxTIUcQeM*IEqZmP0*P!0@dA?AB{hIVS+!zAR_~vE z4d>1$nPX+^$qzwz!I7^|JUl4ls{xgsxRDB}I5@uLoDva;I-XSCb^gxT%iuK2OQ3{0 zwsjY}73dDqQL_U6U= zq4O|UIf7|naOxXes2fN@5sx8|Ql@B+y7z{~9+c79i?i1!p4H%)E~9VZF8$eHWXB;cxOF?OqICaA^^9|gmV4taL>CKv6t_a+ZOU$s`qyT@5Y^Oo?4-$&bG z7u}Zvc`ATB8!4!5s1+I>sr#T zkyPKEXe_5kru}*pV|>g(61}IHY!K|YCvnd`!{wFVe#Fl;#)OR)&04|?_+cUkMYGFg zb_7Z$YU`rQs?SlJ;1!ZhpDUmOv@e{d=j0=lfXT&0FDtDCr-SDX5Ne zepvWDL2%Iww2uZ)+-yiijNu|s8m;)JVE-ahk0%g}!qPo7SObDK zzaB>XXxL1J<)Ac^^q9=6WGcXdx?N^fp50vO$c z1bqKJLrzsmz}uIfxC<%#O<@tjQ=p6ivwAU)8db1vqGRs6dw`u!*5;)Vt~gh=%l@HE8J)q zQ<44}R``+&Z^f%OwT`i(gitOZpIzxgB)ar%rF)Rn7O0g!*{)=Sqw`RUY zV_al0b7S+mF#QA|*}g>4;&M3vuibLKUuL>94B*i0Zx(%FuW@(S2$U)w=7F|gj!RuL zQc$IfS>-Q?%L+S&ds#U#oNk7VQ(tvM+zlM#T51hT(Ph9}d<~KZ?s6MN!hoa`@ca#Y zmX9o#_bHPz+_NcU+i+@n0lfVf4=)V)jp<+gfRUv7gS4T@t#8o1-OfFw740E304xUc zxA~04j{tP}eQ;sE#^5HZ|9d90EZR66Nj3|nt?u^({fs)xjeqO%ryH~Wmig~Ky~j2f zgQ6(#=nmEtDa})CCeE+7A6k)ltLDPhA0b3%p*|I(tsG>wI2503Q2Im>m(7eSzsZIP^B8i<<_0jY}d^nIjI#!?Pq%I9^Lm-ja+FrHw``f%TR&@UHqZ>zOURzS~zZaRbf1_};~h-Inj zw7kh|mi68%S!dfSRGb63L(HaZk3k}<{#jB55B&&Fq6TMZUCPb0HpdKe#XK8ls6pzm zaIA1tCi(y47TR456T~R8-mM8T7lo{FQ{8wQN%7^3&o0g0W5M?*=2N|}U;EExc zhH~{yvy2?bWgSFK+znh3!?TgJM`6RI>gaS2t(4)jrY3(OANm*hvW*dBv`$mZ&K-$gC<7dvpt!qXog@J#`iD5VS|cozrFD`lbj%2{4Xr6U zAb|)AhKt*WhIALF3kfoChDyYND*d>Xv#(vc1J#p#NlJ%a2%9au^aXpEo0Ad2odmCB zl&`0xAjusG8YrC`@Lj=#}{F@OH&iy!QNmo=NXHrK6FdHnmYQ`PDiPbpS9LpsXW0Je z+9g7e*;-5;#6G7Czf$HM_~esUUgkFIPwL(A0UMz zYdBPD&@N5`X=3O^mR{Quk^qk(gOC=N0;;FLeD;Abt0DKNhGRw5vpF%KxWpe+TXWwC zY|rZSlcOpBvQ@rwSuKmn@1eSG%bTKTdKHAXOi2pk`-}tJ<9vEad&F#1eu^l(BUDU=#(1saQ)_jWu#`d-a;Qc&r|%kv3i*Gj8?WJ0LANRz>Gk}WC*GlGVP6F^_pV47@5pVy5sU1r3khzecIeSi6A_A4bd`Uu}nIW<79<6|u)O3=7(aI5hC~^KP1h9)Cf4$X&lp%+Z7MM*r+z4~UC*?@jMOa~DS@l9z z9tF%5gB0LWyc8@dozD^|@8-6J5RE#v+YkR`?}wmBB7suKXN{BO>4)QosIE#PhB@f5 zE44bM2`FBu;RV5m_vjIAnBDi4J6njqmJM+zKU-OPFzeuxZL^r$OA@f%sh+)6vr?73 zTC-Y}{A0Ub%IHTLWq`^)6nCJ&_7zYBA5er16Nbx#yt>2R4zLkq3>nwMg`iZW(bky!5i>n^EZMlwVL2rLq^d$`=-I-`qVorGeKYC$fEMg0cnmh^G2Luhjw+} zyyrS)z_uk6ch0Cr%Eggw3uaYyQjhHKSm0|B{KcQ2@SIg8w)uA99pKiTQ7o0BIhuVW#>!6vyoRFa1uE@j z)D`HmH03k!$pToY8EIwvF3;53ERHAGz3H2`Wr5;WSK7X+bxigQX|X8*{Vsvgiz0?t zCaZp_qPKD#oZ(X*WeM9<1+5!?NxM7Vl?`U_zthZwYIWcH8T7Bw`u7ak@DPKcqsbBB z07-tG)pGz-r2Uu?w?elZJ}Wf(tI5}ihf{p9hPntcv>N!(mj(s){J(?1)qQ$`b|Sb- z6~D&m)Ti~!5*EAF%w@^iwZ2gXa79rDur87W?COKY9&mMF&fA6XS?=Lq!d*Rmolx zx>;LcrE?e1Qr(w2R{kh+t9PUaH{g#vsYU^exID^PQI`sy7yvRF-CcC^Z0Nuic3ZLl z<5#m(xPzEt{ShN6BVo@_D6<;=*ROGrN1|BFIT`SPJ7kp~f-7(R0I3L{lTa8_xp^ygva@tlJ>H=GU{U(D(vCPc-^HY zrQpV=g%>Zy|D1fm!|Y#!|Jg<4^%9ku&A%yNsSy~g1@0U8?8S#av-Hby|I-}r0X?pC zNRVp9{Y!=EHv_ctb{Ft^lErjss8o`OaF$@cQrS}N*()hxVNDpN6{|Bu^tFNJGoqJA zy~CwLS07a^K(0Ab6A4)bdx(f(Z0A1q7Gn-NKxvUct{K{*w`I!OBtL8u2iMk5XX`|Y zG-i5XqSt973srEIwjJnJ-_UhDt+ARM-s75d5rjN2d~Sn#<>Y9~WnhYD=DCN+M&vAd zsWIXnhVJC>8CPM=qGQ9RiSCHgDLx1Nm(q?=sFh7`LX*5E$EOFnHg$sEQIMVzQYS|Z zybIqXla|RK>^;7`zN?z~+CKW^cy{*wt_}geQWN2^h#e=4TlCaZv8#5C zmbZ}96>aP#cA5vXw=!}ALPm3TKKi#4DE+J)8xIIOZ$vS zYxJG{u!X(fihL5uKDT^U9bKOODM^TG&;!J9EIqc1L#MPi-3v9<`&r#Lf^{tYb(DQ* zbp2XRZIx?g2yi7!z@5(!WbHE`-y0Z!;vQCr8|hU`&HC`MOb%cNY^N1T{gT0?RHcTF z;38<)i%|lQxJ&(?C1U@Il3^{dac<15?lo$|ZYOAdYKT`ynzsyNYtKIP9z|C=ZsXZ( z+4^Cy>EODBX?k_(*S=0@dZ{6%XvC*9hsvkAhD>zPCWhz%R(Ee{(Z$oVV1Kr1EBK<5 zkm$L>=kB_2nUV1w;;w+q-Qf8ud^*VIIXb!{{)xJ`KJA@z{+kQ!I8~F7Wk^i8)>801 zbuV3X>Sd`H80lI)Gb|CrT_u{L5DNj4v%XRGx!@e!JO&b_vU;gTbb{SZ|2>axoDm+a z8Jo8J-Ormc#ttYew9VJy>55n}#nfH(-ft;ly<3Vi+MRUei`5cIwLdC3&MG<&JoVLn z>`KrRhm@z|YLrmapd~_9MHZ6R13V!lPMqf}1xL^sJ>`Z?)sW5`gQ5((!eWPEKY9;8 zN*PGwrVS4tSg#8f68k#^brz~@p49p3D{MMPp49e?jU{^N6qxfqaqwn^SG*2_kE|!x z3RZ_jeDyLHW>}63kXW32w3C{MD&#J=PG7ms*mw~0xsgm_-~6^zJ?wgVv|#GGHe;!b zwszPP=ng`snfke=h;)f!v#^HS>{>p=L29@y3Cl&)TyZL9Ofx7~Vko|V&21+W?sk4r zrBQyXX0F~vr4-rSjkD`?7|p!qV~$*W8DzJ`%Wq%mk;1M4c7DbyQc$(Q=*8vhS#1Aj z4Nqw4=Chw$gp|=mix&k(sd+Rg?B)G8+okzrtL8~+>q`@{30%>r}2r7(s zFPC_D2AqLIl{BbQDX7|cHV}#yxO-mIVA&h%hG2bX%PFWaLQwUbKwgwHW-X;sx>F_) z)LOf^w2-Yrx@=bzx!cMHw|NJRWp94{=gt?xGo7jR3!PQMYs$g(JIe9G$I4mt=gOs| zCM?seJcaMwL%X>VS(J3Y)Pp=OtY2DQwFr$tE%AYkx$4~6KKP!t%qWrMFbakMgOMEbq8H-2{EQqm2WKpu(W6Z_7faXB50Samr zCjCJa&}#UNUZZG1Co|KDRBBwV!=S=2a^)-)>=h`t__h>JTqyCD-auf=(vb8)AZqCi z6h=T~xNel#~ z5_iwHuCQYFl<5C)#k_`{NTW8Eh_UX3XkUmc@M{`(_Me@gZDNm>4U0ufFDt+%*zEvC z-`XH}-yp_}c*Dy?YU+;kjY%Wv#mRW-s;RCZiOJKT z!c=m}f89qkK~f?XZxAWWzokT)8x6sxDh^-ITmJB99X!$W3zl0TFeaP!8bnL3fuU`~r7u|Fg?foW7=PYMRujM_U-YM+#^sJZpWUent z--gC6)*5rw{jyoML5D7T{h-U+4InP>L zMlxScgo*5Ati_-*TLV!Yz(qy!8fGm!GiH~gMQT8a8L*LQSEsgkKaFhdb>3)?L3LE| z!NP++0%F2Az!3=5=FbZAa+y8>a3(b1Re(D5jMgs)0YZ413IymAY#2kHLB{fDja^ap zqOWLba{E}QN6P=ws_Jd-x-((#tA-P7RES|FA95?U;3h9mo8L1{#*93T8<0WBjtjKp zam4*1WVR;iORQfVUOL}U^pSto-F6hEi>T<;0^ObEhcpQw6YTbqRbyU?O{)`2$BrlW zdZFIERj`YvG{8Lk6yd%Cif=QKsO_{9U0Aq1sMP`|N0uhGURSE^ zz(f*jXO~xxQesf7b1A4LK)mQvm_Q%{Re);dHitl~r_!1*kx_uA9`5trm9G;)t1{4P zpB(^K> z9PVUKaUL}o&Jzs`gpL_kxhR97)*$X8&YKlMgbVI;K^>ljG))Lk;oWwQ{9P`mm4x0Z zA&%==XnBo-ShbLqGxbsuzfi62*RqXUd&R}a)_+tqTIKx`Rr}nvZ*VdU2)A*hzq>aM z2t`^j;?bY*$9|^SH)vH4;@!#Q*4{Wk=+Q<#Si=F}J;iS-$|4O)A-(M2;?&k&9c-s@ zTm-NaJV0=X9Rbn0v%n)I$Y&VVumSj58*JLUd&s;%l!vKD-{4!@zCmkOw1=k>AfADm z6+s#JB7j@)alg|Zx1MscP3kY{a+TJ$HN{ykK4E*gS1JO`$$qiARkLS#*RHjy zV|6Eyh%>3(dtx4XW$$$YrOnlR zkMBag7d)i?Up%Bml}}Km-S-XPNZSf&KIaQ+cGOU%3gOztgxs~BSNim}{hk>x`lT3Xe<{#_xFfFPBXx%TP>W<=Kyk$#5rK(J zdHEc*=;cMCT0K7)E5wmrGZcRum#5gzEbQVdO2Ec-gjop_WY>T|cvP4$qy~@=Nmj*LP{FI_(DMVd- zW|xn1JPj}A=qLL0l=}kLx(k4}oSNVwT03j^2cqP1N`7L%Vi5q39w8{KZ=?%+0K86p zi8-LT{U`2q#UL*+YoJ2jofz7378C(qCCCrc@2>=WM?e|Njsj{j2Z@u(EpXd(KzHl} zzOzSIpqAJI30+p%{1s6Tw^csNSQbo{%<|2>i_9k|B}svvb)Mu z`ih3J^0q96W*4xU_{uc`B@xwkARAkL6cZ~}19KPBoHIM(7)>{SdZvdK>+4iOi+R&9 zDWk>oNoW?n4~9*Gm$+{lXtD6%xAAmEj1UI9oeta{MmLRnqv=v`A?$Y9{$QEJ=0~R# z#LM#J>{5w(Zs11Y!Or(@l{X}`Twhg!#Psd9eII1Jqysu&L|E0HId|z(cJHI)L}wDg zWfF7k60vX@TZCq@SlmYCAtW_oOBgm$dvj=u!Zc9 z>PNocgWqb691pi6V06uonwPR^i_U&1cF2mSr64iez_K`)huMrXIWY zYlotw0i%fp$&Lj{7a z6y8z^fhZIH!48~h;S5o!(`o3w18Or(ldfWSI&GgTdy__=PTPGGC$KEs+sye zQL>%s%!ACrLv$4pW20M(cm83+(+$b(O&T{k4esiAX!WoFdht3;>WhY$)VdIByO9W^LD?LoMUV=4 zxwaS3ie}HJXmo~DxDT-5EtqBwsJ?9#;y*?HNlnsaa*ddf^)isLaC#RsejOcGIZBD zHRCIg9xb5M@$e2fRreB{!kGi7It7%7xsYEG?P_7~+(T8UlpIj)YP}KLWIPDigBxL} z&eUzPF+QXOeY;wIcbXT=$0+L$)_PaZed7^bjijl_5O%BXXHHf(za9_k(|GFg-)viO@}Hp#lG)*PouJQXgI!)Nb&!_i z)z4azD}g{P1On?ISac^>1)_T1@PXVvA4p^g_?95P_V9waOP56;8-1>^UhFWH=T%X5 z48MKRf%A5yBY%puJ*}=jY|KHLl4s>EU-_4Qe(oh(!SZ!NVY07inEHaEXxz6Av7y!X zo9V9{OVGep)1*w?h@RqYaSQM-Z0cl1HW|!;0PgT@Ze^R2I^+CP^R){H7guw1( z)U@Sv!{-nN%_f)z`zAvhWOIsB`t55qkZ=l@n^fBNOH|2D)zo9IK{U7yTC|0Z%yhEO zhjc40#`tZ*W>SCSgZW=@*}Z?{=z)-3%5Auj#qI|lA`KoZ76RU^a@nH{43j}1|Nm%v z>$s|(Zhu@F51}9+AV_y8rL@GM^U#eTA*FPKf*!iNyFoyZ4oT^d5K%g%TN;0J@blc~ zKHt~<-g}>q-`^jw*Ua8$@0qpN%wB8FdauEA`$#?-t9XjG*=OYBq~>iQ(05%~H>$1$ z&TWmx>VgB+*th{n-S0DjW9p_Pr&)=m(BSU#(EL(^KIx_f1?qgM8O`WeCr)JTP=@R{ zLQnhrighJ3DGf+(NbkB>k8g=|OuxQfC*x#XYt)q&oU@PU72()!vV*5T51S89?O>O-(% z)m_DLeO`fe=@gg~hnZ+J6pb3X|AE zwQPhE;VsDaQ!11m_4-NlmD6q#r>nQQ~e5Dr&9xRvjj-r`#RmF z)wrbDcm5Oea78L-ibCdp#h!nGCuN<}ysvNs4Y&qzxhz^#y?QX!>8$aVX7WXdEywp( zyg~B@;Wjp#d}-d2E%uw5)#Oa`OVz=2Q?t&^s2tWc^2(o_zoI5uYbp-+JMW_+*&Hh= zk2+)1DLKW%_SIbI0r6NB5F&t>Py>VxAY3#6;RuMkT7U=xM2$8e3St=qCdW0>&`lZi z+35ziCW5U>$oNbaHBr7PBa!Jo>IY^do_7_J9mRHf11zo~Eydm;-%^dI3LE+o$X#+c zvVmI%Yul5?VLOiI`*yiF)poDA`u37|;P#bxlVV7wYkq$L{`Ze}V@(?lvq;l{TN?PO zf)7l)Ri{tW1;N}D11nxZb!C|k%a30R7o;nHr+At8Uc zs#1#@Y@Np~qf%fk^$wG%{)99~N(NLei9_IoAsPauU}rcqN_MV0PnU) z&0^-8SB+uJ_YHDiriH;8Q-qmwsTtxbe2-#O|9F^vk;)PL^>=2W)pS|Y9;SSi(EGr1 zf4+C<1-wP7LVT%VIr;BKI}hJF^QWh+E7puf&#jfay9?@TE2ZQ|Z!jG>z7m>D>*#(3 zJK*-4+~r0G@I=HP`xJmE0`K~6FYtJ}a|;T60r0E@;GvP$1-^Y<;QasoZTIjiShA2} zPk!L$4vhuq7KGcs0bylZU4O_9zvcr=8i7K5uY_~$g82j29jDO0xbFUq;MN&ecQp;L zmW5Ehy`H4K=sIIdldPS$pasiGz^iCh1XQ@9-@aJ-_bY48dO%eQ1QF8?Kvh|@ajkE1 ze*4a~KGg$wr#h=wEFS5A)dz-fPko#8ZNGdEz4DbcVF3F)J^>JlW4D*C^+Uk9&^@6r}|_32RlD9e^gi! zd!CEz>gZ&q?s~|G5f7H5=>S=(UZujZCOm?;h*?E4_Wy8e{vpEl+C6r?SQtjf$I)T4 z4m=C4OyJ)V<3xI%&IjGcE;3I!fkrF%xKAv^2x50IiCd~+C zKsz2$e-AGP_z3Lf!B>{AMhu)aTQOyu3Mk1xl$^8V*g`Bt2;~Z(WQSRNL?|4Q?6>;| zLADc2Gw!QsfN$!M8ZnBjn|P-VZbF^xRs(eKq=IZoVnI$i?qmvBw%R{Zi23Sr^&R&#$Ikgq+SR zcIu(io_c}LFeo*5zVdW~M4zPl%p?tW+)UYa$U_@R;4O;&I_(LX80Zc!3_939U zJ8lvk5Vv~xCw3LgHjD^vA2vk~Jae9Dm=!cT1Re&!F@5Lzi5@%s2i-qC9;f?@%?R8O zQahUA`H|UitSEl#sM&?Vo$(4d7qVsZs{fzr@z3PiqktK&`f>EM38iRg@M4B%+}zQ7 z=fk>o!fZpO`^u-V(zLDjSS2hbn`9eBqzwc0_+7YFr8Dn~-?4aazTHsgbRIhDB^B6q z=LyqyZ~BvEY+h$$@IwV`L(YDV^R;DMUG(M`l`U^p;!!j9xfWd=aF3ud>+Uf zz~|8gd>$_09g}PJRS7!Pt>LUzETcA)|_glinw%1=i*HOq%_0$=3fk+U-U|^cvhbXmkBa1 zI*qS=Jw9?8=gAiB`&hT)`t3n>*{fu<(<2Qkf&M4iukPH!I(8)Z752-drxK(0RfUPS z6Xiw0f!+!1yL$`;A*S0UCxBJXMLe_ z!tGS8c3SO`m$;)+OI1X+&~1<&f+R4KeMkDr`vV(A1{igFg(4<*%P}b9^PeS+07L-d(Xzsozu>F zsI9)PbYYG_YB<(edY7+=kG##-C23tSPKdwn=^O=g|AeXFxv4W!8}iqNPqRbCE_0yxMR#qe`1N z*xlbqtP5BQ9$ie_^89qGXG;kVUHZB}lU~&8g`CA+osUn0zZ^;c& zy~tb~a8$b#nk+44GM}f$o{`is^#6!iHJFHW{lL4rZh87{w>}u}?Kqni-};7d>1{K+ z{0Z{oO2f`gJ(ul`N+K?IFIE=jiinpA*~3Bo`fie6)lJWPtBN;3W`*9nbjPp)W?{3B zPhQ)|HtxTTyQP-ke(?3v!ve9ry#hyXVSb%hXii}X-rnB`h1hvR{Ic^2<3VYZ4?|f= zz;n|k;8kFHdOYjdfAZ_3%IlIyi3WWAU#0)X7s?5Cj0+A3>4M-9+=pO+ZXyA!-i^u+ zj9zRZ{2btO&&~^~S5uPm(;K!3u2)_{#{S51zVOLfvG5KpayvV#*Vsp_Tf|SG#%G7^ zy!dS(_bkO1=4VfVaVxHS>1V6o?`ps+vd!U3q0#{%1=?}8Q4c=`E6ICi2<9+ zp3uRgH}ZIVFglXDC(o->zQ64a>aOyB0dZUz33TBpr9eJS_-rGE#uc@Sd!0z6zMYBJ z_k_jvZrIs3dS3h;?sy|?;1$&Sj=Q=TV(|TDjR_GohOL&__BZod&PuN>tdtZ;;Leim ztD^O9F1O#vhP*tSK>%x&?MjBRO?GNGj3E)FWwUK`EFIL(s$i@p7XQ9 zV42OUV@?>XwAsI%5eAEGK`lQ;Ni-MmTrVl3iyu>uA{O23;=5Kgo@&r_Nf}zhwEs4a z|9WMT-@Ndz3?kqge0f2ybX>W(=ItyvT^5#qKECJpkv~mx1>_NN=^C}Z3&Ny=p&(iW z6fU=2NtIfE3)Owe>kIw_#J}Q_mXvfpwda^Fkhbm6HR`qPTCYS7G6^iM2|Ec+=ZED} zfjlAKr}wzm%jVadT?D7M!w^Ns27$CsuB2%%T)M#H|L=hi0<&Ylx$5kn%AY0%>`T=y zRfKERMNr~Dd4N*g+*jROjv4wZ7r3+P7S<15h^ZJJllTm8Ohh=ueJK;k*$U3xYdRN7 z#?$)wAm-EKGZ$p)+lH9JGWzxOZP-a*X4&PH;GkkUPxWT)7^bHnoG?Df*Zthr^CWeE+<{}NG!tJ-S* zSjWMa(=2)(0xRIP5afO3J-4OF_jC6nkmSe)Zp_H~r>N_317h9W3+x5mr>xH`4*< zlyfG(gqAI=Na}RK!`0w>)U~EE%_T~KlDRX6j`%RCr@xSsVnk8b+l)kHL*t_smi*=T z9BPekG>X2*mJdwAriaoAgbu!y%pCVXDDC6fqPB0`lQ8q3weevFydg`+~J{HAc?|U@5>-anAbqUJWZzl`X92E|h_q;niUdK$vM4b=5 zV@(~gT2Ex0VE;08;UWpUWPFe3`0!itrJK6~diDBl5;p7jBu|-$HZRT7+(+!DoqWPm zy>8eO*iWZ2v_B=_b0u;Fn#n!;Hqb4Z)qf^wIl$^q+;8CT*&pFQ*WU(0{to>MaPDMd z9~O`jgr!NnQSm@c%Q$<%=y_jNoS^p<37Sd9CqmH6ga!y}KxktEA{`KcSb!J-L>(?5 z&_oG(*YE(r3kVWIKv)4nh8Vwg8z1gISWDOBbfeM;lIDdX40sm?9%`9Q_RYN4)($61fJhTn0nmDa6r^FUqI+ zK|XH;f&DJZB(=Fjgrt2V>M0$AN`$L@030X%e0b=30jz7I8>#NMjnbScm!Q)f1?7m71WiW?OoJ@jtRC(So-)kmkTea5NmwE zn7N-3?`!qLzunxi)|S3W)HPA@=9Cfxo_FCWuKzf+HJYc&){YRx({LF@rC_dwD3c6w9A%gM1Pwgms4|Wtc=MHInZ{4PH4v_v zWqd|Z_0-q21;seW))f*GlZ5t{^x%q5MChNdaaDYNk}5NZo)O<;hwS)-&*!x_lRwe0 z(Q*okdj(mj$ldr+f*pA0-J zu3b|L%iaKMLp99RNWx=o3(1|S*3X;QQ_>&HeB|ES__dgpOGo_qc>XP0ErtI{boND@ zMuPtmo&PN!@>+DNv9P3YZT~4cb?iWNY=P)_9F8WM=)MA?g9=2a9R-Na%nfplFh(Fc zh3G(ZFoEcpGXT+?(GY<9`G&Qd@JSN&4s0e6q!$UpNx7)LeL7Dmg6cIDCn^Vz2l~{NX5AC+2x>` z^UZZEXpBM0JTj=dW%ouMfxftKiZD%kE>{oENS}%94{y%EL%Bp}{u0`tG}gl0;9%k( z=hPHYVyGC^1yE5MLY|Xc(;EvGe*oKvc&4qzYyT4>H-Ms~?Dd$yFXf|8Tfi4ay@^Um zqwQ70EZYGZK4yZ_4gG7_Ze?Z>)F_}ra=sn_D<*7;VYQNHas;JJn-KVh^EhMw6u<=^ z*GbO15AZ;q;aU-VI&cVWf3*NKTq(fhxie&l1cC{$U@WH6r7BU|958=!TAN1cUBljE60E)Mjzu7UDvXgFS#$RZ|RYV=V-*V!;VU zJz@UuY2JytD6x!s6}MRkR_M*>Akp-6kj2(|Nh8b*Nux9u<*bJ_XAfY+N&s8xz_pJL zpG4BndMHpQN5Foroozc=MhbUhHxF!EPOu_aF~SA9f_W2k-hPt@67Q zbVA6g4XEzoh+#~r$(1y^^3}ZNlhE$Z8%+dy;!ntgv)kcZYdCFvjT|WY_s48Rii+Nl1dRmWE9x;5WrDKH>k?o*=4~#4kt#GXpnXhO8{z;oR4`q z$xNVF#~}r8JIum|-zUCL8J}gTqR(xn<$def;Nl(V-B{oAX5rnxyrrxiUl%?7t4ZvR_G6346{Cy4@~S&fL7*m zY?+zRz|6d8s?6fVvCYcFh0VIfZO!Jzv&_!K$NU0e=x^9Hc*{iKZBoBK>@1I^tYO5T zN#PUr09gz~hb7#xMA_9;zyXfXg!{!K0Cv;v3uEJ#CP^dV8?itU$CD#4a4R)}w^=0v zh}WoQXq%O;9Kk29c^&ZPbpapA5S)u+>|Y(kha1_c0$6TRDby&*IIwMsIvYq|)8Ir= zhwT>PDj-!Y03Q$DMZREvzL*iZEP+`Dbe`sqq~~F|+uVkVO3H;TlR2&?_Up%taji^v z(et!HYE=p}<>% zz@YA=MsNnfj-3HINZE(a5cryJ=%4oT`n`J?4;Hp$aRZZnK3evE;BHlnLKG{HmB*IN>wz1tc|Kn<&qfGUv6 zutgY_rTGTAPHdQD^8da$;u*J!m|?EVFD(fXPu%TwZ~=2(VBhr^f9S#tvUHeEYBKhV zTw5@R147!-dVvynAOaUV{r7nhAjU+7uOvHw{Od{9?kNhBzIgNLi1|_jXR1uI{%GIQ zIq$SmbL;4XWq~K;8s2o^BfbOlzf(Hj<_913BMA1bFea%#hDS*52SZNjKDI`i>>*}s|{y;M?C@m5{?yJ@G;Qn+mR+Wt?Vloam%B4{s7Te-`{v1 zPKaPCzIyT&dURJRK<8C9lnHlEFP|Dmt8{#=tdxDP6sNVd(R zc`rutfTxDe(zPPjxwbaY=%l&FJfaQt@mFJfa&Au8EpKfS>;>)l8*m*lG%}<^S!3h6S`T{;P(CL_pY3?kAi~_EFWZ+E%k7M$>G5*L!cE@pkymK1oE)uf~`|tljq9_SfyPX{a+9jvThKIXgbl zjH&o3&TH?UX64*_Qy6=N6C}zn%t*0@mpPYH{1!h}m>@`pAEuvlP z$8KRjoN7ffXDF2mRFMhRX_4XlTOyhC5XP}FWH>W=hVn8BLDAf6u~FYXgbi2RD7A6nq*>w}M4pgEvHt{sW+gMZ$OQ1^+?l3r|rSt1I zfAHQ`_+8-I!KvcIRtB-^fo?9^hG$EKqsSn|fnWNrp1kNp1iSWG$l>@%V$Pj-1Nx>0 z$0ut)a}8x*JtWuQ4_fOPc_V)}zSQ)r`H(M~V1z`WTKWNx1?}|2Qv9J+wCl(`n~zs9 zj8T7Se|}RSe!fCg|3yU87AM`NU%ZUTuSR(Rfo(e2=I8jaiekf#7N;B36s2UhTkYQY zNXHc4fsW}7QC77O-Y!wn=4uW4DbkeS`*#g{Vl6>sw!Da2@%AgWoi|+H7?Y&nbC4)z zeZ|k$4+#mx-*{%($;yQOUb9yUJJI(JRz9@zkjb(YweNK>Q;uz|nHU&Pvu+${Pv+}7 z(n_hU7=Y36EozW8~db)?#UeMf!vZ}j$9Roi$*I0N<6*+vJQzD6;Y zPQ{JczpDxGDQ=e#)>C5lU4%GBGES5P7u5CYTl#D)Xs1_1r4erVxCaX9|IIJkkaGo@1|rm32Dt3u%J9lCQjj;ab2;!a8rKbUYuA2Axkc@!+G zBAP^k%_IFikx32rm;BALPY9veBmKL~`TO|ph|mcw-inW;z)+ZVTjXK-)#W_3Kssfv z?&&8`8N_AxKy#0C`-*wH>(aRML0x+ZFY9p*q0$O_^}@o`v4&^5z%qoXwuXI@x?wL$ zvf|Z)Q#ygGDAx+9a#Zj=&)gJer5H>NHm#l7NO;WHK;s~5^2AgzYqO=_B3>vtk#TZ4 zu`t#vlL>uNCTTyiDW8dV@?8>s?BzQq%SpWCDoT&%mBOYIHoy>H->O|BJ)7SpA!kpK zd3oC)PZjxiROYSW`iFKS9@wsl0-K&*=Z#s-)7J#dyyX&f5Yobe)(31Tx0SH`eR@#F zou~HaRR+mQiEn5>h-=M9;UE!K3Sis22@MGuVm0>i?8U2Ga)|uOlAhBMak@!IG?>rB z;UzqKll}zSy;ZwRvY%#2;65ktvTH!e_5KKSB4YIM4=#HHj8qxL6JU)eQUYsS;;lE+ zY8bG_bMW0OQf$P4HLeV-ac_tW6+VX!GlVHI9oN$iUDgJ*Q;}+&tXC8XuDM z>$_vSgzjb*CVx|DGE!!#PLOj;uty5Nv+@;fl^~mRN6P^mDl8Z&joQT(0?iUUl7~BfJ_T2Hp~o!?IJ!vTcZXPGZ>=)rbLyo9Ge7Q*Rx_1#{aGYWtz;Ezv3go6ACrRF zH#Q?E9%o$Zw$8dtvpS|?YhS@e$80{YGG_12ZY4VS-PEi1W>d-YTVsfZ9k$PN@$2zM z&rmkX=c8`tM`L~*v+!@X%$n;-(y6TtLTWDCM`BX5eHtuFz^wv%W2l2pnMQ<*(tuHv z?XldRo5H8ZabqS!3%E?={XkB(4vV}0ZSsVOY!7W-d$9+I!FBq>fa#ZQ{(U&!I~Z}U z#6@9P3cp*?+r#If z`Cjd%kH4eORgs?V=UnQlo*YTs;V;pV6Bc5+q$6y@MNQK~Qa7QLFQnNh9wyBmclmJD zq0?$Lq|uwvRpL=&p|`}g66(_T-I}Ld6`Sc}-Lv&Gq(jn!trvK$EQgvV=O&|d^Y6}C z>^&DYr*^Zh2>G61olfn(Fr-05=L&ol&7i3rcFf3b9?s8janGz0HD48b{C4mM933*y z22aBpn1VmT8Tc7Gh^yWmYIa+FJoE}reIYdNj`~h0JE8hT=qDn!*n3S6>(sW~S62-Z z)2(#AOW&ABv2(7nN*X-aP&7p~r+0c148yp+eYs(2pTFuwmfrDUvZpI-5uB84FJDzM z(;*t%6uf+$XS&`0WpsnVymhAcwqHV!IONqcLYVMOIFEeI@?38Z z7om=Q=ruNRgEp7u5%z;^#~jAi2|$w9yCBIczPXxyh_>?Tvz0M6kmOa18LFRHq{5Jo zKYm$gK!;9P7Go4E58~HC#=wk>)Bxfvw+_dz7T70=064AzgwKPx^m=`en_vsz<`9wc z&hJ2^oRt-*1i#t>`!T$j0HN&-fK}gx9)mSZ&`D>&s^d<8LjN8Q(67xYptKBjPACI` z@m?Sy#P$Y&_!-vt<?7D4^)1U{FV~G6xAPYOdU69VMgP?za@8xDi01Em+?K6;; zo@;p5Q78-q{@VfM;>+;HGG~Cyr~x}kkTy^3a^rv9bxa0$iSHi(@A4|ah7tfVj@VG5 zUIB=XO&VAR2HRb5n-{KJZ#CgyCw`r{_TLO2l;$jSm*G{`eajm0My(7Xy;(C z`$R<=2=O?2;hOYG_255{n*$SsJW7UTezIxot3V?7fJA8D1OXrj=%y8Th8~DUy@qd2 zfFQOyz%_(y>VZMz`BL+M+oJ{%@%d*%$m8!0Ay&|ee-5E|jw3+@DX;}c+V3!xRq(o# z{ISNaV^}Wk0+_A^faxkB0!&BBS1bau<}EHjEWkWJj?$`|h3nb-j~bn$ZU^1xmfr!t zT&4js`rBB}(puv*NGE^_V6b)Las#7ohW7x>7hEtjT;P_JKR+&*dJOj8xH>~@Fahoa zCLjdz1~3VLFH_q}(Our-wM1Hv*>W_f@}>{qPK}rm;96R&QUVoXJojx zVi=IB>e9x>ygla&KkQ;Daz_ z!wZNN@$}7Fd1yL%T?I6qvW{4yIC4YtgYN{$X+Xo7B335p@)>V9(s9g@qv_w`j1{!; z4=_xhJ2^{O^$FTR#(W4OPFc7Hb~~*XS$n>)q>wPJms1ZAQ-Clw1jH?(wB9IVK!^dN z%@hz$fY^Tyhyp-RTLa=dAXHw4>H&y&C|0i%s@|K@?3D_qCe>2MiOKl|*Hl<({sgP- z&1T`7cH_;$LUx%Sh3)KK-tdxLbVfci=_1EGw1`M1`f-Q$m~Yr}=?kV#>nO-JLqejM zshQpI1M`P8zh!;G3{$F-x&MnoE?NI^*5Ozl2V48TM^m!|$i@jpA|$HR-6rd31M+*6 z8R|C+EMhymuL9Hr9{l*P6EOUDDT+-f&^bB%5uje8_yY zLlN_~TE6VkigS^{wts%mDv`Sm^OTbkv(}^a=%QE98AT(_Hw|Ag=cFH5)+S6dr3{&2 zys+Sc_*b#E#IpTQ{5cCyOFmosule)eC8((FOxO70`RE^??bVf?1@?+}WxP?FsI2Vt z$r!;0J>KmLaTOBpc}q4eSxZ^(Q3Xf$&Gal>RZLgh7I%J5)Z3jmC`Lbtq4S(xR|*Ts zw8kPc&szCiK8z!Y)o-E0s<5jyQT$07Z{7EE zDeLy+XFWB#^&m39Wp5U5JCssA+vibd3>D`u}~HUD!O z4`}u3pv*YTg!A9uEr~Bdo>>l;GTQR+x8}DRIljPdPh7|wm14-uPA`=fbkM_8xDaPw zUc_6H(#c%P+Eo)2-4|H4aAhxDa$9^bmaw-Q_CbuEuSw%MJ;n?KX*onMbLH$S+8TKs zft4MAMUr`5B@+@bdg)FxQbPnog-M}kf5&aQu!n*?Ptk)Q!@CO zyaiiq!z6`_Qfb^Dk1mJRob?zh^42eaeIT#eG-%cofFJ3C=Lt}7)$p9t)U~TnSs{vi zv9*uRY4|t_PO8AehZ?{1>VVeV|7|MN4R78P9FPLZ*C*vc>X-2!`up6v17PbUhsx{i z9uUWo;%T5Cay~u>+Yd~FZ3jS)Gk3rX){6w2gT)7c4Dy?t!-G! zfv#%`Ni}f;P5^8cLcUx$%n;IS{~G}rQ8!7^0}zlYfEg*oY8PXh;oOMaIE9nIw+^C+ zFJ1ZdXTMP}wS)b%ib1z&ejhCc)Ag?tjjTBWCSNn;xZK(`X=lkQO%c3qm5YDjM6JU#p>kEduZG#XmR7ylD2{7|Qam^D*ZqyJXxik35e*fp5Rm2Q~ z8OVVc8u&7K;5GLUDj_R^dl+cbEc`)Egr1gY%`Yq}`-i`!CMlo73+&DY^2i}(J^&8giow`aLIfCHV~9mCtIL8-Omhq6Edh zo*?KGTT13zv#?2Bl2R$|GC;J^^lpq|GAI(YYd;4K?2Y67ZWBczqfJs(1p2~sp8fL+ zxax@WIh|7!#hRG?t0L)z%`5d+mc<1W+hfC1$)phiswnn`?ramBnwBElGC1Ajg-CT2 zLg$eUFckX->mut=oNliIq&h4o%A*Y29NaOVG2@$G(WILgE_qdMI^CW0M-O-_K`k0a zLq*LN^)*t)A}hkv!ZNZ%q8%K?Q19rBR(|=0rhA6pws|thM6tvF67lfG z7j6$gSGxx~=I}VGb#uy7WgnX<7p_I&d_$h@6V;T~bQ>mQ zjxgL4)$D!(THb(F8i9dX8X$HKzDON zcg=2;M&Mw0EftD5XZv3~OyqKZL4D)!2uf1RPZ`yEg!&o3R3xC=c0g>*znF|#PVX*W z(}HXeCdq^WbiP)HW~A$gyzZtR-U9i39q|PTavdkm2;QQPE0B*nauGZ~79Q+lPZI)J z+UV>yb)+Gg3}@${F&t?!H_@tR4o#7-DUR%16MM8TJUuU|Bs$Ujxn@|w?oiUPj_mU8 zw3Jl1l;+o)U%yNdj!3wj*){4aO?PgbaEmmyDp9w}{i20>GKd&=<)d-KPDp+7h$tRL zaLy%Ts8D#)i$eS#ut-bnaiBBtG|y7%wlGjUPd}7JWz+GwIKr$z|HZqD?Q%CE5Cc>1 z6E)J$XH>|B6<>Xf+_GLryIJ~lYLmP^*7j;qEpPLAX+((jvO^Zhc<$M{fFMTt`3vt@n_?6%DcIJ313WQCCg7{I&&B4G0YZ7_+zLs(&t zLI%m)!EH`5PZY7dPa+EiS4k`vdF&!zG9Kr4a~)z6CI%XmQpbOOrfKrTog&y%M#(bL zXpNBFo>OQ_f+)YHx%>Q3kXQ(sWFge?440 zu}-cJe$Zs``h+(H>buh;6L5l>+7VXv#Ug7rQ$T^OIh4V)W%V#e_yjqf0GDDbI%q-Q zm5QZ2j!5fc5@`#><{nUx?LM0fxOuzhT3fM9;JOBio|d* zh8$eUd1I&Y>~;QAILd4rGh`+pmh|FoGLoy{BQn%SXcdB}hl?hIU-hYPkWs?WgWgJ` z!=*pGHD;i052RjiFQlu0no_z;98rl$!f7B51JxRBih)c#J{Czr8D>1)ZRHg2om^%>dlo4sF9n}@4 zLxPwRh!@s!jo3>l)BE99Fe0`?*BDnUq~3A30?hrvgY}cCO8>0k7=s7ZU)rpd3wxuTp&h* ztK@$oMmMZ2hY9X6T<|7yImX|-fez*+YNIG5Do!?^uZi7Hvl7mpS|+lJk|Y?2dL=}N z&L^~qN+ceN7EUU>CQ()q;3n(U^UtIIOOELE7{0W~*LH9v2*xMeJlMjIHwrQ^e$u}# z=NTCII?#y2<)IyC-aUGvF6_>Z^MtVkL9`W7AV-DKpYOq{fgHtT06AKAq|dMca@7C% za52F;sXq9$(C&522&sgab~!8{hWB2lVqLXe7NgK(MK;qzNz)A4xdP#s$7FbL}5;^zY9}#?6j8;%Rc*5HxABaYG0A ztd2o9shSCzo8Ni`B^Qk8?h#00z<882t=7}Pw*fqf z(wkbJ0_hMZw_kX&WDD?nWS|GA)#o5t>CuAb>}rNC2ZyWQ(L@M)}NA zbPJD@nGD8T#26{e%u{!h8-=w~!cX<*6d~lVN!v6llBd9%VIMp80&14UoY`%vrbRFr zZW&2!sB)@eqE+M?lp-&o64_Z;%DgWeYb>E8IiYdR$iEz8gYKy`t58^WUrBO2NqX6-dyzeRC7!Od#wSOkx` zT;Qwkmr8d9Ew>><*~_K7hZ|roXu*5kDcwa8B%@S7 zv+54V7?O5*lW6j~)s#Bw=@UHT-P`~nVhws`UhTX`T6!^5u7+a_f^bH?6C&8>UCFGm z`HvmgZHs~PuUSk~Q8c?h-7Jk5Akm|`bE8%)4;v^*oIpW}Ya!%}oKJ!m+|uNO#?`V@ zyLZMC;Bl5V1+>{!N#=xa2-x4LrS@#>Gsmfm|4W>fAsex1Rx1#41%0EVL;b|-mOkop zt0wuFnOrZ1p`xjEIcp9&FZewK$qj7QGh@2~!Rf9XPZ=?ejxbN=padNkNwfKc;N62L2Td&=oPqCSY5&I= z1XtIGo`ddJ#y7ONgJvMugLuaONtd#u0C5A%fi#k}C?HwwhNBGGy7(lzzHTvP#ee#Q zh2CtHLJu>>@^Eo`jDmw%Kxs` zXmCy&E2DsuybjvHVg7^t&JFAcCKPBJEsB_l4)S}h|6vAlZ3-q0Ln&k-ptMtaH9+`H z?aCJJ%>u^2qtA8M@2nIFFa@VuKNAU*$HB2savP!m+Wj0cAsrz`#3-1kO3nYtoy2+z z(xiMQRevy#v9FZc76VDCuL5lO2j|fL5*CECA-JLYm*o9}214}zfHN~cWtgLrNVz*d z1$v)=QGtn+QT7D9H!;rIG+xBfq}GfNaRgHiKjPS3`}6vER@?j?h|RpduvNFv(4*|% zGPBwDm&_mrAVOvkFB;J!1TFW^-jmGkt(FdtO68Ug$9dPWYyvS*^#G#}(b+w2El{KT z&x;e+N5O2;{MXzvHUl2}59T14klZvy_!A5~1Y??j9FqNh4{CGEH(o%r-n=4n^=rWD z??6Fie-?S;{QYg82ilu0<$|!59>J=Xg%a$Rb6}D&Y0bCPln41fHqJ%=)oFI)e%Y(2 z-kdx?g>le<1TNxc;jXwm=W`~s6e^tSdU_Zx7P0}zS7o1Pf4N9wM)>3|||c6LEs4iDY$ zZ=VooD8czLQd98DYIojh;-0XzcUn>8{i*7YI_SoYqsSKD>xE<*Z3eVZCI!W8smyFw zCsFtsdnZCqcsBDNy+SWZ%qkNb)dbJ$N{df{N zfa2Mdw8I;P2#vCPwlY=&Z_R?@4_hRytF@vGYFOU?iVFF_qvTdcKVp%$!xwRhas+TQncI2cTtqj;1CDzq%QChwG<{HMeA=-qRc=V0UW@}P9 zIMiH#bFJ3XqmNcc<9s=3K&;S8;ETyhb;V|1d9N|Um}rX zcH+9>rvD$t8Fj?McFh%>*GoSnaEb77x)qHSAW4}H>+>}Htj_}KgX!GE< z;m>^fGQ%rJHm+CoUlO0_Z}g{^I;|*8@E_z`C7+H~4P2~!GrMxM0jI0M>F@pNNxq8z zQildDxvz64@AZAm29Yv&to|N>3_axEf=6bewOKdOB(~8*!$3?tkZ% zrEl`dX!YPl;bnW^lOr$S7!`W#xUHmbTld7qW<^OK^Y;-6&+6@$4&O`+zkEq*jS|0CD=N2^0-Nio43BP+(+RyXvEeEJW(xY-Aj5J zO0iUSKDvE8XO`GXUaA{MI)yi}|MG>c)J6HPWU{Hqnaa(%uub#0`%{sXCa0~z`O0P5 zzP3`bJ)Ca^jD6)*-WqmCE@QfMFfz;FdsCUm(M{n!E1*?4fm>^*?P9ITMKvYdmUWeX z2MaSF`2C7Ubw4LDY(!a z#v9HWc>+IVjXnuoibD&~qWM5ige`Qt*xvw4wM81PFT&p@O$jsNNI)|ZPT(_=ORzE0 z8oq60I?QZjKMXZ`HEgg)oUylH+9JzX#j8z}dz-;Zjn>ZjSH%-H;q*$EDBK<0pUNYV zi~R<&L_^dg75PzNF=O%*gRqJeYjbgT+^IH#&^W z>Zi%R*x=?s4vl%=eFcN=xTd7Wh_t370!c7@$0?Dyocx)sHbuFx8O2-?ZrJRnq)|`m!pZ-Dn_~- zKVgdM662(t?61C=%KVrxYaS1|HEDs0u9&bfHV=h0>B30|S*bWW4<(C$NXMTU`(kmM z61g-UJ!xwEBf3BHMj~y7?yDO}4;ZNPSKObh?8RD}Z0Nlw!xkKI^u|Pqr4Ga7Xw1qO zLdx_WOU9o#kXDvevQng9ic88`rcwc0j#R8fUPnS+F-dw;>6_gBH{5BB*xEORKbZ8j zVZ>U826?7Vf811RHGSm}GisSyF*E{6$Nw@?`r3YKMA3I-VPyLa=h{dZJZfiz-GBdR zWH3PGV&p|o1@b6v2tLUD5NdyGR55&naCAF@lWa6BDvElPJ!YSgYlP5Ex7~VR3Ppam zzv)xTu%L=O&A>=&|0pX=USpv0_31mem4|eXh8~%HHp6M{_+Fx(P@K$zH|kOO(^%5> zi>o~KV4kmAmxY9UVXp<@rRYp-%?I>ir!}OK-Q0dtbq$~6@DG^z! zchh&oNK!12GkBi$;lX@Ahwg$kS9_MqwB6;aT9AC=ePFOKf$4&0GE8+R%(Ct zo={-i{lTL$!D*~zBbJZB!9VJ*6sL-CfWEv#f zQL?Lcdlf|5cCI?cJd>V9zkfwg6@Q#xu-EuRLUsPqLaTgJtabgPG|So*!B+{7+aWnk zxJ09o=m&+4zHI7Qt>ye!t-bsXtxNphTd#_!<~h`g+xiOV{mfOia9-w-QruU5XOb%E z{l($~rtUMWPxs5mpOqyt+2-`KGm@Lp#xPbA4hI7ZjVJ|-%}>-@A)(3wI=!kMK}&Y2%XIOBhXcIY(8u@A3XaG4e} z#WbRTkHXxXgJo*QT=Cjp>mVd-zklAGqJ;N>o^?HfrJ!t10|YW^tm(FksUxQAc-$en*OM;hs!t`G*)) zQ&K$xHB+UKWWO!G>TYL?Kn$KBq{sojT}fRU39{=*fel}ZG6!dFYha{%Ox1zbSMM8h z9Ts`@XNx>XmV*mL&__Qm8e%E+da}95SbOG%pyaA2?AM{y44_esFwS2iul?Ib;v+bx zMr{0JM@PPJu8d^*n~o92aUP5e`M(<1NaVa7dGx;)!!=Gy<&E3ZI&;O9 zCj(sbqx7C~hv?NzJCU(Zmy&Z0!QPh{rZ;41wQ&ve7bNP~SWD^@oA-F*t02E1HxmUZ zr=1wtCBBot8;a8?i%nP0(PH672^LuD_BcqMme7rRtE)TxLv8Y}9Bxi8VvQJ$LVv%Q zmDU}JMa!Y$0p6fK9yHnbe}ij9kM-~foarPtAN7?>}d(vXpMrTf`y%6}+E>-#&W z8Dw9SVC^*bI9`Bu)K3v<&2@&=XD_a4w5$C~{HK0Rbx#XqauxnKcH=JayS{ zVgx=dBxx{QD`x?+6e>1cts~ii(uMvF8LQmfW|YiZ;dUkq|C@tXV(!2}(!wwUmPjF# z(Dd1s3+M2+#REI^^tylWXdi-awmMSu?&AGDx8g`&(Ytg_G_j2)gtgHG#;>AxGat|d z4Vv)QMH9kk;)On%&_WYU257<&P3RllbF);kkcY&|Sck+y9YSJR?L%UxK8D1Sp|6cy zk1qg;Xn_<(%OS~C*$;yQZF%xvMW3%?hu>gqNb6;~K3M8}(LEuL=L#*KaW-5)_A#j+Ktm zXlB&mNOhlr8n=28i1oq$-dGjRfsYEmitWcppyJ0e?0I~__r8O}xR~X?@>rgzHpyQB zQYIC8m7%O7T2Z5%BJpZKc~ZJ!O_}uVE0nU1Ld6S}6y;a1RVLLcR8&YcUwu^3`A`w4 zlA`x2U1id+qFRO2^i{u#jzz_)%42%$V~Sj_JJP%Qo6DEQ?eVdz@{7U|B<$LHeul zGk?u`-stOCk#`+Nyf4?GHkTyF6yHPrYwHO5K#?g-%RIcer;si|aQp(5$D<1hwfv=(^D=jt{ly|(HP^E;{m8s~Zi*w0 z5cTHH!6My&PiROd9}O{chN$}tqoHyyG(=y3hV;>p$Q&A)_eDdNgF8EAJ5wAx zXgB_tw&wi*5JWloMc`y>d^e)`NKj~eA5@ugBZcL>-aDDk z8}q7wVrAEGG{t}W=8f!4Xd zp>^(Bw9f5+Drn7K3%WCg*VmB$*N1N(Lc_duA*-9ufmHLze~UzV=9?m8yz{-0+`jpV z$U(pSA4sQw{2t^pQ2rvaJShJPsRqs`*+YipzuHR(6OWlO!}SO(7b9Qq({mR}M-oK~ zLPf%=Pc;b+FjvO9EYB03zbs#Tf{7d$B~AXZC>myxO#AAMmuDr8gPB`TXw<+V^~9@I z53F3S7Vo#9EeB%9jz!!jdMUJu9yY8kiL2>5anhU$Q#AP;YQ(G9>VZUMhJw1{NHSy> zGF$b9){GtH>I*H0=UPX0khLalVQj6ZN|menI>Mw{EJ~oY3teFbE$LtCtLgf@amicZ zCD$oG{zvDc<8CBS|3dwYeTX-zv1{auP`^t|<0xSqO;1(4=`W4{%doYg@@_cQOy%EI z0eaXflp8%`MMq$4<6E;0`eO2OPz#3MvQ^^NmD;UiL}eV%cMYYUVr82*L|~xaJCL5d zOijSOg~u==c%;T?A}Zy_gd=!V$Fw5g1Jnr< zpMmJ*5iyyDd^KtN2jb|IAG3Wn!n!uHsn6@#)zV*PI)oYLI3#B0JLJt32}eldI#C2r zPbpq#R6%z~jW;H-8Zy4l+6}*ps3!gYtWM-JKI41ez!W9|POfc4fgXk9uAj6IXwkh? z^F8jeY1qKqPUFI?D^;Wn{m4#(S;b3AXzdvji0-BmbhwJ5QP;4lBCd3rq6fN{Hu0pt zD#i^7_vnAu;dV)2HJQUo1b?iRu!&;b;-Xdm!s^APleoRc`w5%GK?|+;A;_GtiBfji z95WP!<;mIc@fUbf^d|gcAfL-sBPe;Zi`yJ888_|!pJi`gQyMYwSjD_6U|cydT*vVZ zU~{PC{+lL=A-NhG%7LEz5O``E&>5_Tz&#NGoP_kb$P$HkMKX5EViTtA-W*#<-@HSV z646IP zIZ37AE`wxBQClW&4YzX?ICkchuK+~yN!_NAmQf<4GUP!1Kq0vQMW_q=uE>1DQzu5Y z(IiC~rphu)a=i)F7zrY>i>_V9bK%8FHt@@I4v6E5dLYYI&kli~Pfw@WKke!TZFA`TYwkwlm&U9_2!bpxc4Q zgj#kJg_B5jAVuepon~KFeiiiQE=`2NDjuy`@#sN#FUnjJcD3g}PZl-&DVDSU{x$@? z&Us9ye)hoK`0`HAK{l3`#7tNC^UF+@mwiJoE}1yWRG-z$DH#peeo&0+AXM_$1@kL` zZz%bd<6hiKwuj6X1-`0=*N}-?_VgQ?5j~W5gQVOEME#rpZ2j#RaB5h<^)!W9m8APF z5`yS{mxG8)=E6g218Q$OxqLPF)s7zF8{#Yt3iYO6VCivMk%3_~o$FhFM4>gy{rZu5jwdCiPl85xxvU659S6^?P zT`pkN%KD{O&5pt`wg!~6jrH;P|Z}#IZIoe!~A!@FV+L z;Yx!n$ZTb9m>%Mr)r^) zJ#=Q{X|(BX=5PLguS8teWoUC=d1a7WcNm%x;LwXnEc>k8W>Nzkvs2FoOHM3|x%nLs=Njd3fFp zI_&XrCOO6)=NtTGbvcd-@yuTF?9dAVUHh!$L_u9uG+z$#Is6V<^MVd$)S|+QCK65R zInu&9^Kxi@l+pa;)RA45eKgq<>7{`UppBW2CF6FWerA_wbFIY>FmEH=HmHv!k+{o7 zkb8McX-DbD>uLDM9gFVUi%ReDv84?PhfTi|n|47!M;D~2UF)JX0B83c$5(sM0}H-u zD~|iKVR;hMY_222!w>Z<7)oG_k_(AL(yW>^AA4Ms!Y=)Y>g>lXI8X3hpr!-u@>$!_i@cW zt@;=H;F=r7xM2`_U#k$3cSV|ZYSNExZ0}t66W2oWeP-uFOi+HIDrS&isZN%9Mn`B% zElJXX%!g|V)LQBHq)RECFV*f4)*Mr9gg)ZdAgb3k4e+;OT*u`DE6sa+zZZ<^sEXaS zFTVSC27A56S44xw)Gw21wJ>a)C(~a7?tf-`c^f@t!sSXQWkKiGXU6A?oQoW=`4(|! z;~dGWKpkPJ5FC-LuolsypcHwbQ2t9Zf;z&FwATZJkW=FjD~SHzc$Dvja_0Z=xbNX# z+peP>-xh0N4fB5nh5aHeZDf5SQ34+SeMz9N=+~nUx}w)QK2%V74?oF%9Y2ZPFB0-; z%;KLMi=%(P{vY=r+6x7l{Xgh`UC3zH-@kr9Pr6nf-cHOx^MBC3#D87A=%9hR2~!Gm zbjANKpUl4jUzssHb{l<+Rvb0S|1V>iI+m4)`q3P|I`PpD@s9kfmm3Vq1lQO`Hp#hL z$>Ge-ehQDBWv$6$+xu!pSLAoS5+g%1cS2fL-3DA<4vUD(PT zIFPVUNbHG?-ofxDK{><8vp!aQg>R6YA|~Z;(M?jl>yi1)WHhf?e~!Dfsye-`@vtAs@=Cah{G|U!`X9^y^ihVdM4#6#WB6ciR&eC_+6w{r{&G}FQ|91 ziw7Q5o9Y-LqiL8sTWLbp{$c#9RJFR++^xHb!zSAOfmJHw-)HZAw4Ur5N~>XcA0ANu zAq*kcaB}Iyii~1qS)H7B1wFh7q){*|{tL)W#3H@fzHoVFtp_Ozk_-W^#m!t*Wy}q} zu+rtX>i13piiMmgbhOt8!^!hj9tNVC83F#TG=CaavYyt0rEgpCjxQPj(Z}>tYTmIy z5n4wcE2aU^PZqjomk4`_TS`=0nX1K+qRulLsfIDZ%LwKq)|x|X3(T&sFHYUiD&WL#?p*DfR;ti> zWY%#TyTrw7{>j>*U3ihgmSa@kjGA(fI{7{_OSl2Rjbs_btloR%cQi=(YOtUw3;fk4 zeZlp-x3)rNM(m3&2qKKmddkPr z!_ou4Bb74pTj&?spT!FOaIiu2g=uz!A%`mEY4XUMk?!ZDzOBuf43+Jmug+d849Wf7P6$@!Ck6X`z596ZR!)v% z#ZG=&E;udH)pmg;mFi=P)wHrzhp8z*bIB?l5Jm?1YSp1hp~G>@;)L)0q^IlnXb&F4 z`@{V4M3d6(xy-lha|z1PBI1?HUX(3*#_bOk?r8$Bo`H$FyH{y5+|K5HEvjX?7sZ13AX2{3h0e*jYn6|v# zk^YVCe?B*wNw~sMM9D_c`c-8^683LCR^JYww_64tC0W z>g|+7TiMYrn7G|sZB6%ZDnPn=bB|li|FM_ZicNFyEI%vQ>5UGIDOjyIUTpF;AO!qS zrQ%|xj9nuw zvxo!{SD|YxvbbOnhJwv;Gu1|oPyZy|8Sa%FnXRR|8BgQ$U1RUpX)CpjI^YOi`r)>q zeK6>?ascj&x&iTst;B+D$FYmJs&X@nJ5*C$6%f&4WbwqG7<>4jzuD-UwS(L8+23B{ zhQ|Ta{zCQj?7m9`Bj$9{rd$ZIz0}HYN1Ea0z+vnTnR=F67NGipfE)dciQd_-MvFV+ zPr^RHy0U#WLUGrM5!@fF0953X@L%Ptjg}De+I%%Dj0}^&MnLXVMQVCuE=Besz;l{kE57DU@QQeYsFaZ9p6e-JL9dOeOZ6V z9nXu+_v1M3PD{^XJFV>g6>2}AGx%wyuZiBBk`u%8@^Q2jwFK4jJY`Lizf?NEj%z93 zCn%jO^*%j3Os(z~YXDd#B~E7_?Z@@}F~C3Ze0TJHSLDj!Kdy z!9LWmXKXB<*J2wS3w~PDaM_h;!UlMa`;?i60qKz$-wydu0}hXiFKIcziYE9RN?y96 z2)s*}d%~hB`xk|q^}2KKMfjL$yKT9BaN3taF%w{sxI5y6i{JI|JR!fru6oG}U+lM? zO!gA{w0JEzZAq{lmANE1ZQSxnHSllMtDg3=1Bcur2Fq0jO}erQc8ngGUZC5Hs{u1q zP;X{vv@ufdE~=P)`)|?tJH#yxGXGFh|9UYf7@jul#bXRp&28(9E{khWiJRt{_n^NF zqROTB#Xgzfsd3(KyXgu?Hnl6^I?6;K3{Cvd!sf$13XS!c2^2N124KxtLTzSm{o7Gy zUm6) z{kuN^fK38H?qiHn%8XdMfG@i}4IRh8>(U+cM2B?m(0s@zmek%VD0i|6@%HHW0+NQ7 zRSijIUfn!WiwplLQj`49gmpZ8DS6nnlh-m`wAN9*)EkDH4HC~=9(m+lM`nw_8Xs<> zo^zce7HxGXT3Sop=VguofqUnfN;NHZVqJ2n-5!o4nN=nWk6a(z z`DT}qRF7&wDI)C9SkA?}Ux=AG+ki7x?HRU~(_B6x+!Ij)hqna}Ka-mumCrU>5HWcs z>%J%G^JB2O4F*$j%rm$?#m+bp7gEgafiL%!>)k!wtNC=^4vlv~uJh0m9hJ2l0Aw9I zSKEbto4+B#_QzZ;V5;Z5n=0`KKl$DMD(?Ak(EqwiY*^r5 zR26c;E4f@a*2Ny}yZs(@odVw)a{(FFMiH%;Uj?z|5$*AP^y7sTbqX;RaUeD{w~yw@ z#%0OAqp;DDHnyitX?{Q>eQ_|r3FQIUkZ}bzz)0N{T*Up_JpHb>G?P}Yrdx9S0*|MK zwhO{sl(|?3+o(;_>k#Opmu`L!)ch8^;@9kby?K(0@t$c3aIb92FHly<>rU;o?CSD8 zmcOCMo<3%zc6jx4G?)OT3^{ZGMR||YG~(E3E+X@YOj??iiAQ#i?nnE53wJ12=8h9z z^nz)EU6=~&EB%~WZJQr765Knchxu9z*Q7B>Q4jA15DRW4jYlTLzKFt%W;W>6o!xM5 z!p!b)vv5jc+5JI(CezZnUbpJ#{DOx0KwvJ%TcD5BzKEP`E3KpIF~qG^d7)DC;gnKu z5sGcsuDk$E4@@$76EJt<)_3#Ze;MdU-tqp-2gci|vQoh*L#ry`OoZ&hTChj*?2fHV zsE=>vxxriM0cTPW`GPnu**N;D9df43X zZdkZWuT8rBbw}NL9zR=|>#3WKsBPzqje0PrgJJ7JJ8U)YKeskGEP;a6+{64ECRk79 zpl#o;X8~(s!<|>Gr(R25HSou~-!vPiZ})=7KioUwt<$7tfkDiM5$}sjVfx8yW;@^^EB>T#OAY{P`yRr+jhFIE;TANt;JF~%*i?dN zDO=iK@%#M5wRkn*^n|QBfMKg~rPQ`9ZjZj4|M`MP){pv(VYqEI4D@TV9WRf+eTBjQ zIBMLPC9*Gnsn`R8@9%Nvjk%OK?3j(0co_uI%2>w) z#*~W`;3)cUe-+7b-3@abFpX!fH7Ilb6G#!WM6TInb)EV<<_fYQef;@%#cAgS15Re2 zx(kt9JtGV^2cdnq(+9r)Z0{z=z&&(W=Cj(JG8 z&sqxP{hydqt+zLIlY=kxfn!g#+~j^|k)u4K&^P-Iu#vMIm24?0bs-78M6<$*X0`=SVt=<1vXGUr@|oogtagfK4|b^u zW`&W?qU*RbWp(RvJT?Uu=~2(sYTTCtAS3oCo0AWTGh*HQrz$0FfH_QoQdmeo@1SIQ z@P>*aFo&5aq+fb9fOWK4g2e0(G_O@6JE_+IKv8$(IglUp@akHIQCL+>9XU2#3AMC%T$$jNBBl z+zdO+gMxSCF8pBD1UMl+H!P_3{-4V}Z;2EAtk$;|KW>lt@v}y|7xdq0nx0oA*p70_ zy!i}*$4mjOI1`&oB;+nsD zUIT|IF$AzQys!_^PfT?Ty4 zu82nXqQtStezz$gunv;LaHFthPTcX-r3P@9?mT^x|?TWiQ7pb0=%MKu^>3&eTFfvv;gHVMbDew zUU{0;!Cb9E6{KUXT`$3FM8mAto?Gp(@^(fb}DC*ay>6W$tT^X!_4 z5BkJH1IbRIp`DpWF~j{ReOOo~GcEhmrjMPR3XFY=ed5DTM6BrJCN|inMoRM{o_IV! zK~O|$HC@<2;oY&a%cVZ6XCN{!(8-Sf?- zaQMK*Jm+KHoMFBw4))A0x*^Mes^BI0qF3ww4c(y#35ex ztD@xZS+H=r>I{}3$DJKz;CzeMF6MZC5%nLGw}}IywqizSvp}RT?H?Jyag{+-(~f0m zZM1^q($kQo$M+`MJAlg;D<|M`y~ttr4CVG!Z}jOjCC|F8#GZH=)N4r^<|Sib?!~rT zlY@ZR>oSSTY!I5j1WK+6=cS8GsiOy9trwfM6pY<`&kkLul@o;8aLs}?7yy52UR;CQ z1CQRLY?(l`TrE<9=aV>_J3H%(7NzoojuE}8a>-tektX@C^RkSOeD1g98-$X3 zpVlr%Yf~&nnAB9%Q`ro&r}6LpP;uh7b2r00^hszxe&!1K!22ohV62%MV#PJ4P-s~i} zz(3uzc4o`{L~ZX;l+8h}eW1-Ph3!(w6FNy&E4P2{(&BYR-SIfDcMo5nVu6|iX4WW8jpi4%;6wW-7Ja=$r4-Ntr!LJ7(@OaK1YMR z`oskJ?*+YlPQ$lPx>1q}RS1Bq8t_qx5xUJ#Rmd8+lxA65X*IEumQn-htns5$E7?HU z1Eo`b0Ddlvxll^CKAwyTdPN_6Rs>ct&tbt|9f$x~64RThN?2)#$tZZjOh|@5=a2&z zD^z5L7)g>YBB~zZyL$t&LK=)tKXdUgFN73}TCBR4uaawd zcxi*>8ai`b*rHa_S2Id^gEEd2;Wr{ar4QK@G!+Wy1f;`LO(q^{x`>n3*``Qf1JWeg zR15&?cDcZxet+z*zhQ+LBm9700H31aE_je;53gmV=HEG%uo}IU0q_wZUu?m!C(4hR z$m&wOK}Ltzuh}(^ndf4)Z9kYbyEn4aq?UD#aP5cwyhDAr`0329QGTj(AFr07$Pi^& zJxxv=xp~62=6LuHU;qLtPb!kCVLHuWGBPdngRM#x1}pZe3`m3fAxOU!LIl7+v$@&2)ZV%r@ z0X*%>;A3l}qT1ggIB!)L872-qyW#oEM>*~LvA^%L*)>vJ4@U1@XjB64hLg@83-*Ht z!p)x%xFxyq+{>^yfT?z0qLh>3RMJODlG2t?j9wh1IXqwLr{{{?sH8I9F20Of)JR!= z`juJ7;8*pdz5RGeLp=0++nvFt#36s2!M4(9@H6)5z8_JifR^-Qgd-4|DRkT|dw4nd zTkR20@k0-F;71P>6 zUltAJI-gPJLlecPknMlQ(c>wxSvF$)NW|j!)&$N6e?7+RTJ^E^6-V=879WXPKNHRwty^AkAS^NUV>_khsL3w#;XMfB z3jiK9-uH=IoBTPZE0G;eE;P$zR&g_#Ek(>UkgUyL0eV{*n7&od|J0ND@hHmwCwx!a zR!^qx(rWOsD_LeXoFITro#zWsBw&Rt?N8M8S2r1xe_q;v8rJL`4K`SVZ$gdUMNI6) zm=;q|U4|Mu%>Yg3sXc41AH+Bk_2>NiJ>NA8VI`xe4CO9Xb7=yIZuO;UklW8G0 z%i#U(S98*xcw!fM$u%sq1Q+BAsN3%&RLkA?ik+XkW1!i*cWL}*}9C$2x95aDd%B>c0G8_EzcOU9nlP2 z%dUk7@{-H`c*K46pOsFXNTKTiCh+N1Zu1ah>1NO;86&mZuYuySTmNbC{`Dz5~&=CiBN9w&`+S#~J7o;``4+xHa3MPhEDRaJF_SxeGTLPE>|T_W5Q0 zMz^ghaMiv9Z#Ac6c6HRQWEE3M5`309j%*&*+L?hfr{xF%bD1sKL<^>YwnbyyRg&nf zG`blnJ$r^FSUff=leHdXA*n3zj{yy(-d+=2fj_reS7{d>W;S_ly#{o!P(FM{A`Wh zVTb%MrIHQ~7&p$X{<|KUE(2IHWeczli`?;HN@LB|IY|rzSxkh@aw_M>-6|&* z_xxZ5g{BY7SA)CB#cBJeWojMgbB90lY zfk6OFP}enG-E5H9H_%q3%NG|@gTlORPrMCfWkW3(IDA0zsQuHDgZbOJ}R zZ+yG>RdbmGK_C2dgLdC>aq3i90lMTDcMwkFCl9SzX@i8#?HoVoD7aXGVKYA)u=zg4 zLX&94z|V%8n!Z2XN_a`3D!7?#H(#HVYd0@&Rb)5MU3e-zTJe!3AN}4g)xOL>wp+R~ zYUR~fqcb{<5Pdlw-&qw+w7H4M^S4ZuxPOE`yVq3F_(Tcc7pNH~JhZ9j+y9w+FtpA! zu!TiS3|D;YbWAm{y^eH816Dz1pJ4j12Iq(j|F*GH$YPm5Abs@8*xXe%4lFu<@r8*b+yT-1d}jKGMfiin%?+;CTX8|@ul;2cSe600zmKaP^I3)Mt_dc@8YnKj z@>bN3{D?JnOR z!`WVjGiN4NDs^!@;ZAu_RkM&>jw*Wb98x5A*1qQVditO=%BXbE%K2sz^r+iwSGUD4 zB0ZD^o8c;iiv^djX`7s9(L`O`m*O&eufS?thpnEFG!RUkXJLR1_(Pt3fX=zyk#z~F zZ}MZti#k7&eQoP0_7{DKZ?FDq4sX1zN1qXp*}P2yt2H48&-E;VN8Vj|FqkLV zhm$)ITgMC8p^P~5RcW;kYYBt2Ck6ZNW%E^SfpAcr@-W=>M8+NO#81E<%%4LIb!yefE1lOXp^)qTs@|`skoV!UcaH3{;k9+Y z>#CeV!~^&kaN}A!N^3+d4$AA*fGmdLah%+MF@^^7F;|$yJ+I^Fp^BYMQIYj~HzMjx zP-xW%RGDKZg$32je1=CmNFUf=S&&@(!4Ww6T5oN@j5e30D|~@1}spGV^W1mdVqxam&+FuM(D5*M|~U z4%eNNSMJvFQ&;ddD)fX&4J%^%_6$wKDe5mU3@4|6<^kub9?fG@-4wD=(eDl0TnVn^fXwgEWudbJ|u0ZpeiJTACqYxa%N>Ud`T0Xau$7rVDU}(38T_`$@yg1=kECm z9YWmdgg1&nwP{Yv+D?d%K|I0a%|6}E%Q!qIp50xW^fx51*NvDBa%E5Bs6Oxuv5mdY zt))65e{ROnWP6Mht6T}ZVR?KS^2O`z_-hGtpjC&|#j0@Hkyyh!J51N3SibL~elkdH zG&Nr)YSa;7?d%1?&zZ|#2^g^Tjm7495A52U!m-X6u9*)e+_$HLcN~&7F@4EI26}AP}cPg7I4&8FplPozB z8;_hlY>i8`pkOa{r~;o3rzU?9&9?D)uI4Il|L?#e z>qIoC8;C*31I0DWOnH(P=N^leqZj@h0IsWp}CL{#FVR6L%oCOV0#3s@eMIAt&Z=R?; z@UP?X*lyR1CAdtWgI3o&N z&z4@XK2_Ov>Xe7IWbe=lm+ai)#?8LcI47cM*uKSm$WbbEpGbblGx(Hf?s<-Aa#|iF zej;N$E`3}=syukEg{~FAc}!;4s+dqP)sY6a;u@Dimgbyyq-{yxjQ}zV_rvyg*^W1- zhS0^j{n~U#+VBEL0Ov!`heAKk`G3)dX0>ItB(}}lj}$Q@B~vtZLKzHiarKcRcA6O} z@4g$PJhuZ-#Jp2B%E7tlmi7wUbx{FUFi&Frsn~6Hwu#qo7Aq;HVI(u)i81*w9GjyD zjCbjRkw5}nG)ZrhyniXj^3``bk=$ zpJc7lw_T?Iv;`UUrS`h&*(|*&!ug2vu&blWL@lLd7B$HMMv%*Gfe~4mtt$}MlRo$3 z9f?!K7rX{NDFZfPMN_QmREAIv^;&t5eaY8T7Wz7}wB0@}Kg7(YuQShJOuI5#gEy zc?&K;ZwgC%Jk_$7Iy?Tw*r=l9CpZULd(auhM^IXvS%7ubH_z4w5D8Ja>VFXEG`Tu{Oe0^KM%0J$n5`ToWDascH? zMNkv*BA>j4WXvS@-%^&o?n@_Z3J;lA{6Cpp$z6wBHGs|N5uO^H{* z->mePRtAvI{b*_{OE;p}`aeR?mi3SZDG${b71fvfYM?2s+7k&kRrT8aJyu3KSdYa- zyEu^X4!-K(dupn*;nPlh6w1(489f*2Kd9$Olnh^mi#I!fUikAQ$;{C#YV})EX%Asm z|5DxRj3XaE3H4OjR<2o*s2-N#t-Oi*i$a^o-lq97!CQS-rs}su7B7F!STDlQG}Nl! z=wg+JW)oZDHvGO@6`T=0GO|z`ST>V<46R8&U~i&c`jP)J`m~#&I>7%g_;&YiMaLx@ z9ks!mdy$`1W=}SQ{rn58n+exI=0=6L7g4jLf9Ltc++V}C9I%QOJ$c@by0^~fyWH}L zX_pnPcs5eI-SV~k8rPXJbBkM{(N<}D*|OQP__F)y9s7#M*(dMuN?&Xn-YJ!>g(v&_ z`}70i0pg;1$pek6{8hgPd)Fd$Hfr=Q0}HNidp>gD@>>&06b3R{o|jxxlevEyoyziv z?V`}%rLAcD9NkYXk2{*wbZp8NxAMCeRjm98q?Tgs8S6-f(tD9EjjN;3D68{Gr9Q95Rr}WTxy0nF0p}=PwZ0R?$){4aD!Ns~T*-_0(-u0f z!5_(_7e+U{^!}V52R1XxQKk18<(JlHL*A!%-AEq2v~D!Wa@whA7AMBN5bod40*z|n zkE81AGx~n~UYI{V7ZzzI9jtXn>2?|)G;b#Ebs!T$umeekMG74r-BTVRn=k#xtq%Ng z67GK`76ote``)X|Kic$<3!G6&F>V$dY6EMdf&x#R|CG(va@=ui|1Yw>F}$vz3-_GZ zMuQWxQDduNW7}wOg2r|l+isjRw%ypa8rx`m&-dNux%@M;X7=pCo;@>b)~tEgyNT4K zpUCe1D}06cq+d_n9)wVa5P|zi@D=Yt9ddbsi%@#ONeqI?ybXf`BQiPB?oSN?3HdSzt9p)}zQ!57>m@vkN@KduRezbt;G(;A>Z> z4Tg{;qzKCilNBn0tMov)8hQrLuQ8Z@7`I8L0+SrqzP${?(VuF4cZWfg?_{U7T~0`> zCQ_?mnEY6*JLQAVVKBeEf~2qX2^>I`E@3c+99$;N#p)P{u7szQSl*r*al`E@G5f?W z2+^?*kjEvgl#xZ34!N8xqCzJa%b=*(5 zH&<#5T0P{~AI$3VP;|OFiSLP|7ArY1zP|YPMgCCtjg@Y$C3)-EV+drM|wd-U5O}}(S z<)vxY*JW35ZTH<^-#Wi|rxDsX_r$yNZa)3__cq?Oe!{z%C`_uV$CT0Qu|w&UG)_N` zVQuE;Khr+J!QIGcFU@WI7Zc5G8kNB+BVk3YN6*)@Pwh~Oei&f$dJA2O^>!%QR4qdy z$CO$Io>$)?tgU}vtI^DYTsrVqR))7^uk(rM>CvF$Zffeg;w*8nSCNC$OS=ITm?=N`8AMa7! zNn!U-^3(B!Tdf$n2wyvGyMQOf%!Z=slwkVLHzWh5k@52!0BMELcU_EW3@g+I8Bah4 zT_@ama(w<^qVuqfbiSE32eR;)v)!7%bN5`%>I1qN14Nv)##;M)5x=DdXRyK5?71c< zU(w}N8!&%T{X^IK{*8x5vyNYU z9qdc9J?{P6*s8k-R>X1~f@(9Yj#UjF@IDHIb?2nw@z8obzCH@DE#GeFn{$lP5-~*1 z`9Zd0zq_jjqkG$|Lwz1_SQEti)8Hk@!jehS8atvyfGm4L(?&4y$n|HeE*o;DVSvAO zSh_0JcU@g%)ESas4XbKVY(euMRh=PwXWbq`%SmsQ@YoMqHqG(%-L%6aD}J{s1oSV+ zQ2&n&1+)KLlHZ@DJ+q(VF!uX<$~y#Imvb7tdl+VcGO@BXHRAZNwaRP!VY@+dcDb+# z2{j2B``I&Cd{m|)zSwsvEE{4@bK9Z1BzgIJ%0n)S=f>y1)i_Td5Ra^Ep-VVDG?U0J zZ?$n5`z7`Uzu^L_5*Gcp3gLUgXHU0hY;7Sme04M&eJ?J6P*sN+1TXeYu#j?XjQifp z-^eXK?%T97YCl$E5nrkyW%+HyKnUGmHQf}fYpXhzVGm>tGG3sJBZ4xga~CR$kH$;v z2g3~$)dxc#(u6vQhVtafma6dK84JRfpAB$l6oLTB1Ow>E5$S*@ExzHDQ(uMUkIyIZ zb=_AGSv2r^DTR0FLuAsY@7;ic)8bKFLG!v!*)wMc88g2ji;Oz1&rT$}eHTX?4wisfs8R18A z1KcZ(AV49>06I2B$oRu$1@h_n_>4bX&#)iti<5a@3@;;9AMA7J1jn&*wf>2HEGxo#Me|udFyOT$ys#9~NFbSerO><^1m{!w$JRkg)X{hvV z<%2K(+lnEE5fWqvBjE{%g3Qc#iSbbX1>W${OsMZB(wltmTXKql4`%;k3>J>_Nv{z; zjQVr^W0EHcco-$t>M+TZz_}k)@RXP3z)_OK9&_i<_9y-V0qS`Wa_sMju2juC2U-p^ z8-jj`kMcBfirG7x(rzDVqMzfw2AaduqUFiW_VWh}P|v#m`-?=ne^`F7~5_ zhnKQ233zkZDX_m1#Q)|T4U-ehjT)W@kFLp37NL~VfhIE|u=eH3d62WRaV-?$(`;>- zIK;gGI@FzT@{EVUZ`6_0)&k~T;tYRn(k->KjIk@@>mBo9ooEyrJVpKK3ptJOkAYtj z?g+J`q$0+pX33ihA$Cz~l6G(54X1cXFnqiHEB_-CF!r0&2-*E>V@?M>IrP(Um)L*~ zJo)nT_D^fy4+Y-tPba`byRRF{|9hs8efU}fJ7R$FK)Hh4`=5W^@M1p5Ru`S-%rJ`0 z@!>E_bC^E@M$-!1YrpzG+g#c~0=P)VhwoXskpc1;7;usGRg>3n`ice!ZfoLCgFSu& zDU+8#WFQYrD6C{nsxQblH!$D-SDP_(iaOEc@7#D>A4VfV)9$|eK^lE5LDO~rzN70< zc8h#PKG2l4yblDZN`rftA)*kfW6l}~=bQFl2m_Y>FymwO74)tgCjqiZ{M7Xwg^|VB zJB{uoc9!ExUqbW^O&Wq}kVOK~MkI~)oW6AiX`7$ClZ;!_H*^@_#@|Jytrqyw0`GFt z`waX00;a1<-xf~t=I6h~Ki5w}*9aWTg)us>2`Z1Hr;Y+P|3w*`W)DK(Pl8~Mhc~`K z;7^)^wd43RAn+&E*xz;Ir!gQGdStvXGDsT9aZ1mps&4+^}C9i-B+n2=X&AWxWLnErr zOifx`NBnNpUt4+&GxB!KgzymO8R+8cnK?Cymyc<{{V5MI&Lx}Pq}`_wJ#4k zIXbaotjV9Za^Jp6w{pLb8LcJRhX376(xBY|jlxLiNvsrvZPD%GIOLP{6s!|E zvOEHwGCbvp6)CB^MP|tjzqXc6fBazne5aii8G$jX3**AzOCCxgl~I84S;w;aT0=M% z#}KN<`RX13!C)YsW}Ie#&EWN15viB2CWi^YhhP?UwQZAZ%c5Rzc3o`8??hsxpmk9g zhxd(Pr^C8eQm}_2OmKDiJOvO3-U(<@cXs6&%te9-={s-8kEh4T66iW<^0jmEr8%7L zti!)&8t~C$RTs2ydMghI_GoIYgS}e^CrLVGrJQE?7eF?w$NxF3hh{~a%)%-D+%S?c+>VncGGLvtR0a&i zk@%;^8w6P-#0PR?AN#}Ogy%2qX{Wtxw{8up1DfF&;32?#T!l+DU;oDMl!X*Q&}LHT zs&d@%ehdt-hn91Q8ky5VAlH;2kZaP%oE3cLg*7VX$J-~11xdg3k8&tZhglAYx-OYH z?9VrTel}qu9ni_eZzq73q7Hq-_K9)?-IG-uXFSiKa%ek3KX~%%vl4t^pQrai~v@X+dKr}aOOr@-%V)#d0 z8!V)(GA-7X32)Bm%1fG2w3~N-^IR@4IY@a>uH=kRDnAL0&9NTv%Yeak1sx=Ph5Rzz z4{?`t;LQ1a!zuyl3MJw~(hctMr&GHyqZuwb6R#_uyhYb(yF)UXhl~K^=<=sx$6v?bFM5x5IlH5P%7` zu#Ha~Kg5|jq=-$Yh<}mOjr6u!4H~X3?u_ZM5i0SR;LEnvY7YzP@sw&nT9mE`Z>cgl zFKYZ`B~ZBq6VCao0q#^B(uGWr17Q-#fiNNDK={<47FLTPlBwre#|DTwN)}aqPbyuV zsL%Nbctlmu0;CMVb%@Upgi{NYQlMMbC zK*Rwrs_`-3y0%&k2FB*QJQ2vm(1gQD9UP~~U#iN_?B7POMF{8F+4gv1FlirEPnpVW z)>M9w@`jZX%G_J?O0}|oX^32c=AH7II7FD|Wm=^tJqK)aem1aM5UqCKRHN}bBm_%ptF}M}XD}{5=zTcse zMwtp$GBVH?CBtXYEa`de)XRo)dUtd|8pj@Ap#ReRMYH7Ub;rX6Nj3JH3zDv#VwoyT z{sMhIXQcwB;PeU33>ZRCE}Y0pvMvuqB%!9h3 zWz+_+jiq1(NT*5-_6a8PtaCuH zmKB9N3y2<+*3e*anh~k5nBk%DN>X#D^VXl6tNA6axC(v3-4z!Lqn9oxCP+S(v*g!6 z;}H6^aQ2R@J#{d|-WYY_ZCDr;;X4~d+VrLQ7sJR47u)g_<7s4v;8n>V-Bqxz>%4cN zQ-1@J^zYXA&kteL#{$tC(q#O@XI0}q!;WT6A^#B~~8c^!qNXHv% z^9_$ODw{dxz?%8x@ZNnASQEA}wiABSYF5d&lZX|_cxZR~Zur~fFFn7_Uytgy-$Ii2 z`H3!P^`*rj7C$%$-OEBna2gEm>0bW9o%*axYiRZ-8b(>>%;U25Vgdkt^v|J!xtm1@c=QO~ziyZ?m=vtRrkoV(vCX@-aD7B8=jkznt`94M-zGR3tPZDiRb0 z(&7$B@7oS>;q>4dp zVT#zI=7+39M{zh-v+`-{7#R0FF;#!r=F8ChG@W)LfEFoTo>(t7;~s@sKWM6#eu!f_ zQwWdKO|#LP#(hdOIHE1Z+BE4IeeEtv7Q#{~x^C#{Zvndw7BGY?b?GqQLMK^=S+-FZ z(Qh`5%s+y-wS>3kJE|6V$h`&T_HsVIs0zTFkwzW*pEX|-$AQ(-RkEjejdT?R;5o+J zCxO*i7^rdgyzmWzLOIZ$$7RYv>$^~x=DOafypzDr8kj6-&+teGv}NyKxQG6lCS@$n7l739hYoaXO4CPv6bqwx6;(RX>e3>j3&NeNE;W{61j#5|oO5*YdxO4a%DiKwDs*_2w2+`%y6mSs}*hsl}dCof(&=fW@ z_uxz5_s^nz!bj>WmC|PXLL&4|A0y>QW}8Wz<%s@+VWe~L zoHiDxxq=b2eo!ZF1(vH9S+mOET@9SGnQPLIUp{dHeZTObN@K>X7;s%;atG#EXc z@Z_K-ymC~?GN}aNbjns@gb-WY%QghIP5Af_TZVOPx_rgVRv@p;xNGS~4F2&{JHT12 zseo^}FyH8HWIH9Qs@9frkcW(@Q+H9LbC$|pUr|qh7YYP}Ru+Omn+g%YzYksR9i%o2 z4|{2Q@lTGJpHFeyLt5HW(fOpCeOK2+i4$?%ap*$^pM)AfHsQMXEpAXzEX=HPO0w-S8UYN{$CEZrlk(HlVe=^+2OQM z&ADMM;oG{>lHb}S1e5>@)5Y?Fbzshcx)T~kyW!24zbOGS4*ZFi?v#JqdceUHzDw(r zq_BVGMw9MublrE7OYf}r3?px2zl|-H$<9<|wtsYEUcJ`>_}sTILvK)S=(!6omMy&Z zSxiv*2BqfxFsH5}az9#6jyK|k6N&m^Y|HC~SVg;22v|kgC!ZnV9da7W)8zf|S0;;a z$C?-cbiAx$@~)C$SE$)v|8hb}QadfoL@|(QHRKx0GcK<`T-U2iJyAf z79sg%Ktec(t}}~)@enDN$t>8YYk_;!3Z(}<@%EFy{3R6}nYZAfyLbb}`l&3$^yo*} zV9-__)pwVZ+fLaXr7jzy5{AXHyr`?2q*l)2?^Xn0% z&s5^9{HSRHV|j|jlpU&7T+;7NHBWFM0l%H>%zlU)<)34H1miXcaT}epJCAr z`t<2@4DC!uTkITZ$IAJKvcXBc&~WM+A{x08 z?oQ3DsN{|__S4O2mw!;yK#0gXb!r?z{?=`b;&w7ML324oG;l?Fml#LHqqEVB&-2Sm z{^7Cq#L0;JPiKJR+4TI=Iv3mVJom{pFitY{P-U)mje@2s^DnI=8Tbv#7Y$&X@P;I9 zXg7i{>M<;asRhNDXbl0?Ez3@6ggAt3zg=|%{G3T{YVOCW_VN%In@0;*e?I_HT_Bp- zNX(G56d*mv3~^fWSXbi2I4S;7s=b*eHtoCT7$L*ErbXJw%|#k@X4^xy-;Ua^2NqpN z_e)=&@|Uz(4etn3`a$;btB$3%NpC4y{##ZN0`Z?I;rLhz`h0)X?5?SYo0GML%pL#u zexq_6#O%uClo`%l#DgF9E`Enxk}Qm=odulQ1^AOzn|I%%H~Bm_q%{lhu^xRMzp}m= z#4t)u6x!Z=Pg{`+o{)P+9cJVlZ~R_suc7Xn*IIEy6200)9hI+ok6`8Ov-SqHZHouB ziC-OTqV`#9;A)xXTxI_p+I`ZwwtGwOBWblpU#kwpoZ-) zp>r>;h+MMfvqDTZTnKAuI7%e9YZv}TTF zGf3uffpaZ1hKqbm>*qd2$h|{cA6R%NyZa((_gKl_& z+}jk}lYI^8%-Ixs2-+&kt*I6fNk^oeGFN0$PQ<%cZSs5ucgXLrASKF&=QBvk4-o_2 zPtd2o*03&^=MMS#9=c_>hov@ur-cQ$Q^UgQy;BMya;xEeQVWLFTNXKy^d!E&hV5ve zLN1ksusKY;I$$GB%yG`lb%`7aix^e(xJ$^MbTR8-Xy$Jo8Br_ORLY~hhWFDWbL3Up-u5$m!y%K! zPkxI=35l3a+?rRGi)PCd_!C_V8QRu2g z1-C?;MvsjS<8>+*f3B0bEE%NMn^9$LkoXJ!*+d7_cDCiWevu4M+z7M{L+MVcVxDhr zdx!gRnmCDQaO>#;#T}90EJ&AThwc8O+XD@0!jj9$pgwE_xVKfA;=q056B`HY49Bkl zXk8!T+j6z012%(_SNU(Q@cAu}%tRZsyi(#%{B_aHran)tnw@;_*+C6xx1+?3Zw@W3 zZwb=W@21mSO16jxSdB5{?RYbqVIo^%*8^%((aI46zH-}cE9V*Z8$SX1bH{p>U@>RU zpWa&B-M-fKIwQY#9c;nwFy|K<$$nm~Vfy$3wCX3P- zCxPi-i(3fWU%VNO_VbFv`m}fPVl#}5Vbyh>VEG&eH0`+p`m~{Bf^k+H?Uw(K<>h?os~-RAu$KLmM&0xCe`QToN1mUP8`l3EFvwIS$X}FrC@A{IU!9UhruJE z)^T(P9~fm3#!LxnHm6z=1u{-PBW4K}@cH?2cwK-AU6nn;z@1ZI9l9;|c$PBC(m$vp zdKG`ioIt~#1cT9iiQ0G+TU zBEwN#!$gBkbo-U^wg(A5@0t{_kRdka#L{+rIx{TP3oigPAh-v?0m!KT0*+uYUjthf zp+l#xCy6}VprWSRv2#N=v*FbYR`R6#R$|K;DCZ{f+-Q3cI{D4Mg=~WIjlNzg%+Dco zwnxbZ4!1);1#g08VIXn-w09T5rMgEOh(v|c?P4}kM;qoSIA!59S-540#)n$+JR;$} zXcBv-!U{075X1|>rvB=ENyaBN~)816}fp6ry=ok zz=l3QPIl-U54|V9J{zjQk0`{uFkQX-9pEE{mrQBPcoE3?CS8aiM&en8JdI^&N&u-j@C3inlNN zQ@62yCD#Z26p^`i5b|!czqAXnuF&*X-@R#JYZ1`jxrbk7ndaH?474$JD^U-_n#$kB zDV8u_tFzYpUU-_V(_~qcqR}eLYU(JoZx2i7qdq)-g#JeN)RUzDx$!YxFFqJc~`vW#DZD zbS@h`^!F=sO)lICuFbcABiYAGn$gJz_2vazuU1{3hxE*#1nkRlz6ySw{^W|lx)_M4 z$W@c6c`vA|;ccV5YTws5uyOT0b>mF{{chTNMhW3-<;;-q@3%zZtCQLQF0du6*c(7z zy$tVc|G4k#$P83Buz>>HYv2R@oo>|?Ju9eguBf09naaens5x=Fe=jKYLw2hvd7IGt z89P;!YSrlUmzx5`2^Vt1y&;##ek8VcUlgTk86lx)#Uj=zorwZaC7N#L;^NRFF0NKA zUaO9jR`h#`Z2lB;TyW6_7FM+@_BXOMTUtyz^oZat!Bs`;j{|h^mfL}!nMD>{X=>btVg`;o!Q9v_X13jF z2)Pq-+X?zF(Xc(o=7E+6VA$M!ZB`M#JZ5cDUe}T57SmKYftY3LQ@2=sHZ>areYTn2 zTG<1Di}{(--s^JgX;5Znl~7A7>T-}X;OX!?-NVtu z&=XpZT&g|lIMnqa_*+ZJLJk?%-_jRLi~d4Epg&-_*p1+R^_m*>?}B-=wiU@&VHs$ppEgC+N^f@$%GaF$As2+t>@eqA7M1po|y2tegn#t5a zC;CXE&AP|3TWlC>`O3V#-B-&z7MlH&>qtZy%U~87v}t^7?xe1?me|wC@XV^Nw6J@E z?liNcl05uGj+O`#D7f>3ky`DDc0ttfBvU#lJ1_Tt4xJm?bXkwEQB#8PDT8a z4fAK%*YqQRpbmEuR?tgJLTqLg*7|*t7L5VjEyjqr+9b01&d*P=(lmXEC=jZtB3`Zo zBmUEfID9FfMl61~hLo1vv_#fqggMSEeRT0r*P6^5fop7)Ub{hdT_qD7CPZxjde(=miWEwqHwMVL1h0ztGt9#snG#w< zI}af@0-sAmR(qfiE#H(vKfMm2Km9u<352ZUt33}I`^!#qG06oB^6;85_T2J@ycC;v z;rpLF=X6pEEd((MirVWn0;i)p@M$xw$SoW! z?hrjgO+4Xl45Q>e2N6;1+dAMPPtlU{R&4#C=*5}c-*6$VGA*T<;)^^!2E3U<#g%$b zty(I}ZrJf=*iNb;3oi80iW3lEI7?+9aQLPT@!}-IhsKbBzuGb<)F2@>$5jP8!pQQ0 z;G)shcRnbPHPxDvLr)K0Jrfa0bR&16vKcSJAMissQ#_Kmfn>g%44VX><7FJ$+2z-$ z0s%InXe`SCzI*Ki&|eczrH|bK43(Nyw~8X3kI)dSVuB%zS=_&E`&lj=bBOg`v88TZvHRE$XR*W;#?75hJWNc^~9z5=e&f3H> zf)8?B2IOxusU@XVH!G1HMTWD_1RHVXvhs z5X_}yJ`>?GGCQW50+~(|VHqKk7v-Y8<=D@3=D1JF^+v{fQoR1msS`@xAjp4)zx=(; zY#PF~K)99QeBtX0?2w#5-o9zo!uHU-5{zx*BP$8+zjSvv^rH|`-tDYbO-&$5ASJ#1 zlLuq|kV&irT!dhXj?P&vkN-~Ggc5P9jgSJ2MTsnxHNLdCZFBH4ARsw!NM-+rSZq-~ zwccKBSTl|nBksNsW$Xa(q_!n*-qe9qGj>2;Q!pjN6O#S>QKSGK3}!HiY&>b`qoz3u zgM@OD7toRT(BWoq;RC6Mu|;DpDoL*e~Th^fp_*+NiE8Y%XszfCle}Xd+mpuH^3?!Gbh-^4SO_M#U6-0 z|10S0pU^z?R?h}YP)_M?FIC6}s=?8@z}_Z4o0;aA5--}sLbpZc#sbm3FVg-=LEokw z8Z&mD-W&XD2VV`vqdLK%B-xF1PXw-2)w|C^Gy6XuPMHz-8lrj~qm-g{E~V00O6$(E zriusrJ~0aCuiG4lpg(Y`XJ>@LcFGNT2z6cq>*RJ>JJQt^ICdkm@<$Mc-tIU-jT$aCMV-YB*OzmJ%eEQ+{?WbMUJ)K7*}|@JW57 z3z8uTZ>&^C?)LTR!z@uN8z|$ zNzlR5Y^0mADXXoP_yL`pSEA@E(NfwgDMs%5-7s>y?~XWWdRdnX&Kyo{245W6ru!iS zvi9Aj6l2~ysK$&WlR6_P`ZNEv%%Q3azI0P1+Ub5{sI@pTomn%04SBCk`MWH~(PGm> z?ic;Fm7tXsjt8S2XWs2RxkTvqmScyWIZlaJkAUI}Vf%0^+@4I!Hsq_O%n%rFrQ%Q^ zX(jYUOEd8Qkl&DDHf~^f4zZrY#qDGjRKc+yaamhmjq8Qymh?gerog!~d{&l%?!etp z77I!j#JFe2Fw#@zhwiA2D$NAK_(o(9AWW;ykBI~iHOi6{2^ECKJ}LjwzmWzg6IjqC z(X2Gl4PEANNy5sz=rk$%FHf@4%=J9Y#yzANdFuuRR0k6)7@siKKy*QF# z|2mw#J#c!$?>}q}Gmv>f+os7MG=DyDy1P*2!YF657s3zC`2>GY#r;cn3oyf)Ii=jE zl+LbrYW@NjzWkIy&xYym7OdwR+IGO3v=vL~V0GJ?&KxyZ_q5K4k-YVC;8aiTzH$V4 z?cm~y%wPXy4k*^LzmPSI;(O`y2}Auw>A0AE{)|^Nxws#po0k6rKwo)!gnaFEXnCnk z;AdcDc!cW^1{5*C>w%s4ajXO{l8_4fpk&EhRu(1{^U9%az@ZPkko2N9YcbD<9_&Q+ z22Sf{5xG_9(mWeR>BC*X`#r zBBcvT^&$H6uii&TL0N`k8J|>dWPkftg>=AITa9_A&OGZCEVZg+GBH6iQ?CJ(oRB>sZ+^uAZ7&~9f+IIBi z>&U+GIw(D<62na1PS`G`C}v2{J=kES-XXcrL;G?L)$;3F_I!A&m5HchNez#O_~&Y8 zNza$t+?Ss+Jm|#fJQw+k46-vGl-@YVBO3t`_}?V{#n0~&n5ib(NL}JK_GuI8>Dhz5w!b8)NO`QSOB<8*=j+lO=-fgmndHMX#rv;c_Kr*4h&CCA)sx58us@>&JS1Zkk%?(A6NQi%x zh{gJrmmfNhird*DAspcg18A$MuDbZ$L@Mzs-;j9bFre|XZiG@w~zqOk(BNi)AGSB8tkOBf|ez+ z8FS2W&Xtv46joOjsc3!d%F_~IjZSmee>5(0)HE&}?=}(g%P%e3m%y$@TsBZQNebBJ zSAJGpvpb!h6I~g-cWyvaGN}>6d|Hmh?oB^t3(tUMQ_f^E=K6vPt@TS+#BA{NWXxa| z1OQ6xX;~76`C(_mk?^b%tcc`U`H%Naa}4V_%m69}i$yQ!xlkSbOy(U2l&XJap{9vYpIVsAUbOv`G^95)a z=oUdGhZejIaRqxS$xx$y5D{Rb zE~(A9Kv_@JPkf=|Y&tJ6_fA_Sx~Qfwg%YupL%<-yCgIUr!@z>1yuhcD0OdNS8jeI2 za$Q<8u1&}igQ0I-ho1j*Jk$=dUItYZ5a;SlpbU6UduMT$q%)uD)Qc=m9{i2FZ}s3Y zL+%kEPM9zvMMJDX59LVm;VFz=3l+<#@}Vw(U3KGJ#d^V#%Cy|Nuv|L_lY)|bNDB*G z{IP;S5yL~iSqPJIso&-q77iL2`dZe%0R>a? z$VyEBxh1700=WWZ=yiH78aaN|TZapOYp(dr;tbnEq4I;=7S3{Bor?qOumGYS!kfQ2 zwISQYxm4C6Ciz^X7F=KE%m-PK%`|qI{%Uc~rKK8{fu?suIuAHsBV-#|k8dKq{^K+K z)qKNAwY&vLpcS@=XTI=A(WV_c3jD!MwRF<`%8DH#&O6$N!8v69iR+62glb;mYxf7D>O1n0TUKqM5M9L$VM4XTOzXzXhd(X!kwj{LzCs)?ab1X| zx6Q@}vl@iu3uF(WvGD@H6 zUcn1=zLv`eQTTiX;UfS(9xG^k{UQzxBa?KlmwnfYf{&~}n=7By1meeXA^!|v$7lr2 z0g*f*g=0;!f^z)~<=_!cV~zn6bEbSf_om*fKZ3jB_=|(I)%gmcTj*Iq2y7;N!L-te<2Y3Tit9IgWJQ0&$ zwAl*#r6lg!?n$qA1TlYqd&0^~oW4J{SZ&`TH_6gsVjiqHiev8<+S-3X=aeG&v-{s& zX0y6V%Zzke3qhQ?V?7Q1frd=N)Hzz5n;V<{(D=;k3zYxFg+NeA7tqZdhwxmq_2}&` z@SjOa_>{ts=(ki^DO0nyEXq%)n8GFVN$EhFRy(RE{?nDtC`qyY)6duOCr2d+1B`j` zuId=>(0Eh`Oxt?{7^e z9K~zv1s-N{*&!7p_{K+2G>d95*SCPb_xMnF3X@{T^H^bgJIhyDAnwUUt zTC;-(?k$&zx9R4^<6G~VbbF)+QS1k+J@Q}gE3UJPv}S@@TB(B8xncqyq&#i@vcRoR zw_F}cF`gsHE;x!g<-1rnt{{u}(6g3nbibE1Db3ie*y3gwUpUYDZHvlgOy45UpucV< zh3K0pGeYh}f+QxJl1UolYvsZ+(&WKBWwSE^)F&tc_a_yC1BWj`&DUfkx2{rak8#cX zP|;sEC1ZQin#pxdHtmkG@o!wk*yH1xiONVqIyO!qwRJC!^=Mys?OlsnPAr&Nx0*vC z{yAL%QvYlB<-oR$(|KN&J340P*mc$cal?{tGGA9|D0<7SZ0oT`lWz)x=3t0oh1_#% zEMDRvCM_ubtn)_Qed~yskdw;A?cY1$X53SC~mN);SYv=Zp3>21JJG~-4izT;-hRdyo!(D#Az{%s+v=af(+&d zR1aFAqkr6dSy;gAL55U>B(A;Iyjl5eB-MKlT4bO!k4Gf>=oIAd-n+KV1-Zm}gtw?X|kC127IPfcg1NR$<%@88|CGg%-1 zo~}oEAQ~QuL-}@0iZ%~bI}P*27#<3IRT+vIgs8*%7iFe5#)o|`Vw5<)sM+KEMkuM1 z*?~s+SN?ODsN6#s!30%S8bKN{E*V{YJ?5*-+Z)$&X3-9&RsjRV0Cag4uzbBA%Y-l`Gt= zq%a;oGrxW>m*`9#W3P0jT+Q=Zv;pxWVAbB2v{p!bhox>K=-8|y_9L_7h~H8afKGF~ zuK2ZrOQ%JTMd=EQ-^@Sdl&XI>a8b$#+gLGpNska1NPqvhr&RK`xnOx_Wif7pUsH<% zRoFQXE=7{w(0HZ(zVSO64n{ETW2p08;8%O`nPM`NL|XSnR9n@1xVdcG_};)A06Bx7YeK;0#p zP**+ClM)idr-1~E9*osJf_cbG(95kC*$^tr%JHx zrfWKKkdI4J$0slr7s1?GjtYM3FDWs4cu+$(zLS2v zaI+JOYM6nhqGWF?Wm=Z;zNH?<-SP&8+jo7su7&5zh}E1X`1c7u+eOqW-T056M(mq)o3lFT?!AT<=ttP|Qi30Y@TUTB_)u)}XDK!L+0Sg_{e{G({!!quh z;+I+NF7*R={+>j~U^4uwdm|1QoLG$@ z0xBFfUG6r!MMMef)D$;{4oXqYVXN7TVW$Tz}c~4@x%sbn7WgR zd=nXQ8r>u&SQvRU-?<_f%wwE=S)fN1o$=?qUwRR2#W3lD5ahdpo&b6ua$3j1=ta)e z!HHaOa7?DlQYlIEY*oC7om-nEdMoIh&|yy@51f?1&kNxz<}7KvM#p;!71Omd&B*Ij z5)I8bIPRrco2oEwC>MGe;qQzg*-p`s%@K?!L?(j^Pc+mOam{ST8 zu_5*+O(D(DVULCcvkT+u>!-Q&83U@Q>Tqh zkq3ldTYn2e8&!o60uaV**w1$wX#H!znT)v)9z;?Uv_g+YoA?ocT1P~5dR3=}Bt?(VLGI}~?!r|6yUJNL+Q@A)&yWFl|g z**iPQUTekd%-GAafUWV5>(IdcOw2MwM+A{1dX(Or#{FNta4z1qv})-!CrI|TPUHBF zSv}&REl+RTHGyw5qn9_!_lD;i3NP_DLSFcI8M_7AfmPXW*DY%SJvT|Zqn!IR;%4IJ zxh-oKsCz+?1O?N}RS%hS)DP`6Z>(5|`M>aU{a1~`8SoZMjTjjyA9NRCyOGCQ3AOFjM1_#R@(HsOLE#!!uXE zRH}h9b6b_g%uwV0V5l)gUL~CuJK=#}I{i~-lnGi$ouoJi^2X5Q?-)3(Rhfs&=MpqI z+I}!`Krb@M^fAWdR;B%2`4Wbo%c77REJ0$`#0*%~+zf8jDt$)P_BFD79Mvx4!=VsvLn=jUf;ja;PnmT5x(ae9zwz6J2b63b% zk(@WG4)5_mCGS3xP4ocX3usV;?s3_ftBYdv&8Vbwj7RCB)8*_l&t8ug#rwv?(}_37 za#_6v7wF|V!p{5>!0vrB=|cvLxecoGjdS~e&ri;p>eA76fB1#@6~07YcP82A8NMcO zn$aDoG%=B?v{ISCh(IVnEIO*DelTE(%7BeLR%9NXV}SKy`SS;Z!5Hu?>PIv1RKT|I z2OsL>$D;R`Eh#U<0aCr56{_f`jyDmCL>X7EB;)hJDB7$HZaKL&m85D{H!Y?WGShE% zN&y93!u$&#@9W<;L+sza{#@YY0@>WjZ4@$K}uyjBVTje*FmknUYotJKRGv81%aEKvZsQ0X!_SSZ<6TykuzmOyTZ;f z;C9w~hc!$4QEy4~Ohk|2IgEuhTQi*3*`RYw%oMw?8JY^bMH9G1D;ftK@6hv`eKyLP zhJ3j?9Pc@&bdT@Iy#WwUiT$**2cFdq!CCZHud+g2w}(yz-n(;!bnNFCjMp3Lo3jpR zt}6TB{QD14DZIW3i5pda*x1Buq6PopbC~@=24?jx>LBT08up`ZTizjQr@(sE_aKdY zJwfV&2w8z1fOvb(P402G`|+!?Q@o%#mtG;4r_VHzo-qbJG}KlqP^e;Wok1-ZlC2gD z+rai!>=I;xsFPG2r1##*bF%WaQ0%7jiwmxrX*N-rI?o&|$5Z zPAgCgj{i7KNpT00ZPjyT*m5k8GJH~chtwC0OR^y9D{AKi0Vx6q8yND?|@j9XSN&j11~{^>Cy6$u(KIF;WkduFJ7a` z%Ddbe4_1B*TSw2kWM5?oRemh=$w0Pt6C(|`5kKI^T0&U)Ny-7sgO>8ERvB{ZND?d` zqH?yRVyP~Np%yi-f|Y3B0F*{FWX(_s*OFUSQ(J&{lfPDLUhvVATWdYCa@1=QV=X#q z1fpIF$j-%gh>BG(S|BmLS$A3!WBW&DG{TNJGHABRF;24+!_C7{h6j$X2#qJ~fG!=J zFAVh(b||-N>&HL1fMO(49u{TM^kG+<&lJX>8Gwej1*jL=%kNKXK)_*RUr9viM~Syz z>QRUmM)*H==YrLvBmw%+D6ERsz+ZUaoEd`|{Eed-DW|>}cb%BAVjCTN&b3_c@TVD{ z44K`guaE54_jrGLI683knr+sJe>Rfx*`tClUgv8eMuHdEQ^9_xlfVP~jWJn!5klu~^%fA+$&qlyg6VF(1NEhAT zcd=EADNQB5po5@vf67nUFO_&nQa-F->jVRDpLAl)q!B$b7q_{J{GkdTIwkwN2qlw^ zHfNSq#JF-x+9+G?gmUVZ0u@z$aff7pCDsSezDJ7tryK9VB$cR=NqB-YLyBt@7Nc>wbqJhr7L# zq+}TM*1brLS^VM<%AGSYvt{_~g(9TJjKQjXCx3L5!;7;YLoyA+>$tU5?6r= zeu+3IM>QcCzs;TWEGymnJh;Ub(ZfAVq-jsjZn}FS3bkt*2zYoF@X*?` zY<^P0%yF{xd-)a}?X{I1PrYdi*_o4*(k>HIM+V8}GgIivNR`gO1qGD-c~SEJCH^ys zS)~HWgC)*vkNnmw0H)v4!?!Uw^_$0LeHI)RPxSM@ftBrJfP0!TEK=H_LN{0R3T*0U z_hS!@0u6mat8z(&9ndJX4RMprW40wJ+Lv>Nticr}p83e9VdJvA18vyr6{Us7t^qOD z3KjAx;Ke|PO!C25Uqk|f6?a!<*jtt#5dN~!IYg$A{C2y#9kY=0wZsQzIq2=!e&z2R zs!KL^SMgkcD=hOZ-k&GBkEJ`~>7kxQD?KjDK?a)Z=F5t+9Za_Gx%X*}CgeXl z7CmgTRRGH#f%a&&k%Cj}Hv@$)AY}5UokGX&q1BeFKlhp~)3wkXXZc{a3msv43mqAv z33;M#x-E%AsR2f_d=IE+NqYga!zdHz4eNM)MnlR1lz(a@RP1(f?`Ii4)6l*fh;^1= zb6UZbhf06pGd&Ki%zTcvYnlGOhsK?G^`R;3y0kyYN#z36qDdwj-19d_I#;8(g%DQ8 zq-ftDEWn~l7M;ZY6^@SMI2y@3avAAW$)8m!PfG-r6OWl4P}~ZATZNcX5!fzmGP+}w zD^(~|x$a~#oY$ohjppIJ>q#wW0An=Y9Ywf#9EbnDd+SQ@vygb?70B~K(e~^?&d~$$ zm!_$I+_?_IPPssms*Jmf`H;rVvHn$4$)qjFTGgaA@6!>k_IdUiInlWv=o#P#8JX@d zRdmChu(B^V8U3BUnlmZ!EJy$W4BFj`7?*0VZ zK|VN($q(Vn^v!lw{HVl^a!HeE;QNfNv>`b-bag8lW~P@sEuZu&1$Jn5Mf;~vhdv`T zvWA`CNz@H;$J3-ee@ugo+CIXI1YSkWsvzh2#>rUk98FrhZVB;a_Iyki9IERam0pkh zt4iU)p4%yUZ2%ng-t7p>dX0NnwAu+i{68DP1$z{CCivVa^LarjcguxY0-#27ZR~fybUqM5{PvbL6}}OEM3kJ#zBtM$srZQX7?Ou@ zhaXk68LLFB?I#+ovk2eWev?XmU~^{t$L-g>O$w9tt8wF)pPfO6)x4jG5%(FS;kH%; zV{|k|Jn$_59Y3nPF47~ZqV5K*-HcF1Hi|7?JB{0oGE^s|ZO@`nFY+8RWo!*otkG*W z&m{RMBsCre%SY$TSUIx$F5=TCC)x6oc?bg}* z`mZQ!eGn|_&Vci+y}?=?Mz(HmK|Qa3j&dJ|4ROAFDukC)4{Z0q=kS{d>!EgK8}!>a znY_VHyB%k}3iB@c#re1ooi9`63mc~2MWz#l!ArEz30cRT@EaV_Q=<(M5!Typgpoum z_0V`axh^;_+Z6z*u+$TXg7BiPF-)3JP&(nBtryGpEz zumVzqy+~^zqk5(?xRRCXVL z>UImj@NI1Kw-}WROeco*1>_%WH4OvFb$Y($T@HkAK!|*`9#X$24N$MP1F&6$9g=;b zxV-UotXu_&Y7aZ>8)H6=sVj~97Ih{K0w#S4w#vH3nZq)ZkMwDgB%okjzb+6Z6M0a_ zvM1xCV|96J>{^1)pw~%^NJEFuDr+EZr6iTAILS$gP3d*m=h;G_1>Cl60?YbbB*0+b zmjAcHRqx+K50U}x`_)ZkT>CusVS$#we%kG`l(xF-*?z|F>k|)Y0f~}Loc6eZ_Bi&l z7UElDD*{1!L*wlgby6@wH;t8C2VS559G)W$t&eH9=J8W46atFClGk&WyF$4|8CzYr zxp>z>q^05pM!7AFbd}7>VV-dw+5br7uZ~(M#!)zsGS4mD66y;1KVFj}#BR0p^)y@M z{HCdtu0_w#BV_t0=!b=vaJ!p&Dz>g)R@qMfbueA)C(3^x z;(e^4*Nn5RW`>=d^`$mZLGmfeLbH`qmucPb+GMDquJ0`J_mqT>eWhm5VYSu(Q_4`R zxfGAAL5S5%qL)ZQ<-yIxhr9o`2YMh?z3yq)rSLb{G# za}x!EHA;CWI5W#TWpF&2D;o%TxMfB2PRiu8&9;6 z=+fE_^RK&Uh#Jrcvdr{)7~tYwZ7|rJXBoz#7SqEa7*l7oWafaIm+g z@3*+<^F6~bk_u`pru46@lSAbpmOxT42 zD+ebIC82)ABK_&Wt6|s>%6ZeSPyD5&#oP4{=nV;$Zo$P58EeqN^Wvr_ns^QGkaIT!a!QOdUWk@SJ?V@y=l(LBUy~Y&H3OS$mNg zfXZPdljmF2H7QLOPoYqdoCf<%gx5Mg$*zFbXzd)V<|-%~znTH}&Cks#|I4bS-%T(5 z`|hXnAy0`;fmP3naGGO#Yq76PmfcaFcqbJ);4;%Ge4^G46_v~ z;~o76v~CP?`IDC_m}WAEU*eK~u)RrP=jl?l~IE17){;JOu$o?stF=uC2ga*8t$0t6UhmP4dIxQS{@rmPrK0o-ot731syNh3|1>DSdMj}^_#`XEUrv_Y+l|SITsz@E!Ov#1L(h=9U z{kptNeS4*hS?$&qQo*?t>ELg^sPY=4(;~_TQ7LIl9T2@>EM}Q+-KYach=u z+RHz|xbTS8_Lwz9!6Bzo$0BVFSP>!Gah1HuvX_Pb%1%P9quw{>u1C)6_nGEM%L4_4 ztGt?O8+MOc*)f>JCj7_S*d=N6tIo=Y<>yDKh}%@oHK}VjOacK4`Qj)@P(1ey{OX>5 z=wFDAu6X}TBgEG?05VGs)3I*~gq!M?#g=67=4PfiG4b+wfjm( ztj?HTX&MDg<;X7(P>Q-T4iMJm6kpEB2C1T6NC zWlGp+%=5K15E|)~=mf~U@`47=%wQKzfDqOL{MyQz5<2orEXW=?^yx+x4L`&BPcW{( z>~Hz+-}nIX&u&#vnYZ5pZ6<3y>>%O>sqSH|27;yX)y|&@r2hBllLpc`QR-X&YPnebLRcIZ;W)FBh{Si@WLz=N!W>a z%Ck=*I{1n_HLKyq`BV1WE$mT|EvxX*j~?!G7n}vq^Bz`uRJ$<2ZP)x!63MiOwOdHd z=rBK<%yY5sv|*}ysLB@~-KW@>BRxW;&E2Rw>AgxAk2a#oQ|omRm_5v#$TKra{!qRM zKV|mquW%f}Z6on*=e)RaBJM5x{$V?|oJeFMt(x1k8@gFLsrnBnA<9i!R=E|wFc9A_ zmtBz|cZk8`(#r(?>B$kDo<9aw*oby^F}G%S{-V{!-@}3;>XT2rs-D`3P<+3b#hJp( z+xklbt~#2Q?FgCFwqriv#J$|0-jV*{xrE7O$wEIQ^M@&>PtP^nWi=7&bfmdi_(4&o7KIfjJ;c)$3SdG z7aniWHWDyep7Ag}S=+au<~#Jjp`RjAsETZUag7 zjCbE0@{ZIc<97Slp~KVw<~lsmL)A{YeZETQJn^&TBD&N5q^VgRAxk%rSw2;;)_^ z_1yDye2btX6e%m{O~k+hw-H%0Ci$1co`Ox0!(Ou>nx=1fz11v3EeuCra1ucxW$@YS zuTvRm^fSp^r0u1-b$h(`WOaNL9l%D_m+5IC)3J85xyQ~*O%loyQ&bbJDQX{27|M@F z`hb|cjd!?KNSLMpRmEr4H>@UP%dY^j`8#dzcCqr^CKa(E?nmK^l`Y)7=YOb>&07ysi!*j?N<{UOw&gY|2AAPsH~)xNa#knxQ0==+qn4*wFdn2@+th@|Wz^%PUh)e(9HEnqoA~hbSxvRgm zW~x+&d4eChzes(sAi{Nn)pz(QlykWDfzBINksahq*w0T5X7hF(8lm=`*5R*klOqCP zd!(A8I;ThOoh6Ekg8*%qgwtbdvXU&`BW*vI0*UVdM?ydPqKKG-;B>Fk|JcKVV1E!B zJk*0HQvNtq2%*E8k_O!u&IJh1$iHkdpWCNjDKKuLGml!Rzp{vu| zy*6~D{Ki<>F+Tghyg|I%1#74k2pChDo$Ds=H|M^YfQX-=5?_BwQ@rQjE4>{;VA)7y zmLI>5;oX{sj2i9Z`qBH_4SVx8`@(K5^>-6lK?0eE<8Q9w+B7~I&MH{%9R+3h`Mb$| za4OWT59ghy;aGV?4g=b;3iSMktI+=)mX1PDpPH{tj9PiuGi%Z2({aGE&oLJR2_#G> zD2)hU?{<)qp^d(OT%cDr7H;$FCVBF7HOGnV4m}1&jD@5HhKb|*;~ZG@6l!Z|ga~f} zirlj!l%v~93qFJU7QDhrm1EBvHy6C-s={)gErY+5_xhiXP$Dw=Ok=%Vd5$N%4 zr%LGjFe_=EKfl$@3bJ@I=*hN|BNmVHdP)>QXKmAYOVq45_V|b_?VlLVm5`Ww>&Wb8 z*}Bl5gQ$}yBVSr3Wi|&lQ?*r4SjRa(_t$kWBlTc{)Ff#ABRH$Gr-;`G<{A(^#388t z^2A4Eue!rpD`|iog&Y?&l*sR!aEec^z2Q=P5ORZ6_q3?lr*1plMt66$X zc+(y1%5cqpHZ&~PFiY39zy=mFYNH!;+gEsT?Gq()LyT{hZiz!;-|-20ftjK*`Rw26 z^!!CWS;2>NRh#9l`4Zyz2p>Yv>z7@abPX`LfJR;luZNkF$Mnh|LPh$&65}pS&2#`V?v3<2=APsT;h4sAw;I zW@v)Gi@$QYEF39B>D3%~j94536MS|iEsVO!T5y&V|0#vKXltI2u$8|Z5TY5hR{QPk zdInDw79{JTpovG}1E1Qt3hOWwJAn7c$k3gUt*;Y#Lk89&t&#=CvOYX#a!o*tY|(&! zTXu2;+u-rko-*^OKkm!r=AghkMxvSU@#c_#&!WM5pUWrMokC>Y?&Pxb>SA3;9OhtZc;pSQ>ccxT{uPasKBA~TMjtSPtM~~66RIUe7M~PP}`_CbTnKS|wa~&AU>9S}pACf9> zw0s8c|Vs{wDZ~QD;i*?EvCF;f68LX19ZNqKUX8UQEfzDL3dY_i> zZxeK9v!GZ7hnNLSm#`kc7jSIWIaM>)#jQFFDb6b_SD3=s^5+jYsq9e!-j`$817ZUH zfmdT7(oS=c$_OYKPkkEml8L>EuJnBMQ)!^{N+r`gSpt(0CTT--=XZry9rfF;ZXR?q z`Y$fNbID@q^2%=C#xaBY&|IqLzJHw?&0|YR<%40nK8#h~4fr9|k~4SdM4_oVuAmt$ z*d)dcFt3jDbh8rMzUnM>EBa(EzMJjoX1H)t)G^wPUL?v9EDUn<@ouNoY;_sjTax|J zjQ!JPTEG?mZOP#e8)ua@;kiW3UIu^ zNa5Syb23&emFL4an&qYxhAc_naex8gT(lPUC+>7_Ri6Ew70%$ z3!+G|m@A@gpk|Cd@rWJuG4F+yit7qRd%+neFCZkatj#P@cp2c=wc~^Kh4Qn#=3wn^ zkjn$_Td5Uxt%qA9XTaOZxo-ww`&EZ4;pO`Siz5YeGhXTKyO=K-=LDvMNDOKtfr4hg zEC%5t;%0&l>iscpwZv7Upcv+e{GS-;EgojH>2yTiwabYG_pjbC5EoV8M3N@%@X+IM zo)(+?2~WSDA{70@v1`VOl5sk$wnvFEfB7#ILE76yer3`+sf0sUD6vZo(wsB1IHV3< zI*LE6L!~vcYzpo#TLa=iTvwpuX%Kaeee8YYvgp4)n7Sx`m2Z}JhA^cC&iEV^(YI`u zMuE67$Im<35(q;YL>@X2ieUK}R4mh=^ZyV0uDPM|n)h&2st!J2zo*Q4s*+z^^&by@a^;(D{lfcF2d~ zC5L{&`t>gfj*b=HeG;*gW?(fhe{~zs$Ubb^C%2k+&cectIIQ7LSZ1+EWTYsg!J#~5#F#pmUCuL*5WzIJzjj%M9x3Hw_O>FKi0 zc`H1?_q%LZt)J8u_pO?4A)XsGJdYnhm~<+*=x2x2+*IUx<)8YyBIbc<1IBRN(^E^# zD!6qo!2D0U-+yp#!Q?^(V3gZl`L04U*c{b-jk%}6K>QNqQ>Wrj@<*mLM9n&Naddi{ zOEMII;&v!mCzzAMJjJ4LR0Yb)*kfZ!kx{7EgBGIfr{NB8F9RveISyIn22#;*D=Ra7 zN@a7URl{v4&{7CXBrHQ#1%jK_=E!z~I0{hy{cpMzePG=z9S&5?BsU4_YlK`432v&5 zuz4VUo~Rlwhhq6B(*KWNP^_s{a2qyiQj2eT=s>s{5xyh(@n6^BsPtbx+JfB z6=nfJNhW-%fgITicdlr)Qh-`xT8nQwz=rX2NgD$&t<2yP!@yhN1f)h!^+2 z)w?LMxqD9~5_Oy+)vZ!uuVBk^c4dlHP>)ljnC4-bU{*m)9AiV;kmRFUEhajsq2{1QRZ zaN1hXBn%LfqXeUVODr>8>k-r0{w33m6U|zH&kK)V`mbh zn8exDh)I1^VE~(-gvn5%t_{HPuL=LB?f=C9TROrCUxqTkg2Jf-(lZ4KAV^Cnh)&`~ zn=+K6a6bZ0Venz>81RYqcUVluoTIf;A3EbGgZl80ccUmbWGHnRpBUZtsthUhX_DGD zV8juq1ESO>L6muDehlCod+~rM!AKBg(S1K0Q3kq1K)Ob&vBN$AB~y(Aw*FEqF5NP; z=ehDH?&pE=oMWn+ll+2lC&03XfMGMyDpk=>ZeQGCEF7>L?m& zj*M#*!gXS%7A1`WA0C9z$(tHl}a;!P*M@+s$Luua=3LjNShRH)Yo zT^Yb}X%r|XOSMqbiyd*1O)!g1K1nB z$6p#e2wxS5l7^oH4`Kl!M=?pjLPNX`Q3;9@>ja&1;y@NTbzxpmOw`s<5o-Y$Uz&3g zyjO=3H&>k#fopJy#Wi#}Vp1iUAj%z*95I6IUvNaIXwZx?FqaZ!aYmww;)9BR`s1-A zplw-Q2E!2{5TcmSON#EpvxnIuf(wXB=mJrqb|gS;%QR#Fv#WJSA#ey_ zL;n_kdOS$8ASSfVFj?qcsOJ5SY`9&kY#XnRF#;S>k`4p->OX#iJjFuqogEa!Yj5r_ zglo*~_#U)@+J5i!g4h(cQRX_ac!%OdEaY8OBJ?;hf=y_?u`lR|9LE$;i|f+tZN6JXa9@)F-aCw0Z1-wn$o%tPp25sw=2*1F{lG}Os1Wtj1bp*!G`zOU|%iN!QI__`e z=BbV_(;g^+C5V8C!=DKTQLeX{{Fl>=P%>7G^k{9HCRY%Y&0|01pTZq6oyiAZr{cJO zp(}VWea?mbkv_HO)S|3-k32(Mf^dOZfIs%ovTZs{d=)LMbhSJjXH7QTbM5@|zzW&x zc9v2S=j!N>FI{Pqb-|xG%~FgBhE*V^sH3cPi7ZEB=XEyD{<5lqc*BOzj`4q?%laMY zvYz=btC4VT+G9oh8_2l0_p_fe#T@ml&~01!_0VB3<*!}k!9|-6!GL?Tc-mKAkd%m6 ze?DUyCh3RY@5zwF^3fw4N2#dLCNxs|d_#IK8+(~Ge6NeQfWr^~|T>*V=MS%s+& z=x>HALHlOQo*LM?h@J!Dw|0ov-J074F1m zV}p=%r5juVZmXLsC7W4D!PrTQ18A~ZhJ+BLlVD@a%4!e;7*7L*JB%^kT#B>d0g9P! z5rJZ+w>@8t96ob~Gpf=JggueS}{6 zlrj+j?rr*bGeRcG{xW^x5P?ebdZTBX;`WG3XT1D{!qi-`;6a}F=2y1XkL?4W*|AfA zf?>Kk5k_ zcS=IE^~;GT&WOakSeEOq$%XchMS<7CP)Q!v-hxd-S~8P-!~PWj#5c^-3Z}$J6&*;C zR9*Igddumrh6I*Oev3U;bS=$O+KJTekobNyX7neXnJ2|eO_7MX=LWZ#_M339wZRiY z-qx@Cg-_dD@Or&aAn|2y-O~)7^OsgmdX4&*S)1R&3*+;cf!ir1z&xr5G^DdFlN>NqQx9GrAbXrPSFBha()i)}glAP<*}v&aEk|Q)sj{xFAjB5g?*C zCfXuO@e=eoKG_c>M75vAvTi#j%CQW14?BO~q>wrtp0+rzxBL(z&S5nf{PNrSX){Z2 z1n_PWC-~*vJAg; zCmzq>8Shq>Ne!REey8N--p^8dhBnjwvPmJ+oa}dbPJT1V%{(EQ*V7RD5Aa$d@rE6e z-q)$9dp88Zf2a@C#^G&9tzh34PM%Ni6NWGtP@I32iVbqW{4PA`^*N|>Tlgd0xosi{ z?&$~S_uL^bfA4&E%&BG0b@-YAk^AhN67yz&`)bTXw*Jl!OmHs2#aGF^F^7UXVhS%x zk+&i8?z->?7!3V(0OGn@GhkcD`xMp~XV@b9`~l{JOEQDEA|Z!+k;F&FfXl*Fk`;uqpOl93-YK zty|Ib$BcLx_~p!QtqgU6X5!f6LmXYy>hgVeanh$!p@&6@Hz3gYzzB=Qm>1B;jYc)u zfs#c)ixsn@j!GBNzD{$GuqD;NJnFa% zpD%Z-O|+G>!|?PWdTaI+nn8z;0=p{^X&c)!TnZO+W=zNYG9j0kOufxe5Me6#>1uO?FNm}zMr=>pbSI-D+%bM36WugY`*Nim0POST zJ;qk({H|Pw4cqlc2<;;yy$_n=z8`t=CPy+5Ovj=gL4X1>U9M#$lsbU~NfN^pNGkqP zT*L`CkZCllx7;9|&co~lb^)=QfMg7iEoC8mJK})zcc@xi^keH|J8P3EM!{kEr? zn~x7?{ z20gVa)i5|_EW$-8rnJl%g$!f9GsRkFj~zSE;J_4^7yea&BiI~#0B^x%O&fA((XQex zd!*n7c*y(aqqD5cC-m+0yFiH0DAz1w`tRqj6PE8$t_%qA#&cn%Z&I~6{eL`bc!mF* z{MnYI-JpxTAEg^58nt?+m>6m9EK~*Ar3X;Eq40eq^Nv`b!(B9goRc_GphE;l`b5#_ z`+{lWUM!dfUeMI8|7n-i-!iKspSVdE)NUvz?!Mu5-t{)IDUa_;tJ?0HXA@6N>NFG@ zmRRX@30_8Rb0)r**yqXDvrTFSJHSh=J{*ruVd6A0AjbER7l>K?&39gB4%L@+B=iZf zm7TRBrMP88ybg|*HUHrF_X*b?k>5r=)oj&uu56C75Ku^orT#AdV!@C_IJwy(Y8L%m z@Q3hJR&XXZFsY!qQ_g5|#-oOihc%;0$Y^oq&J3@CbwE%R**T& znb4PTenDD&YsJ#jv@+u;W>imLm2A@cTQYN4~2~5;mw-ugA7kX6@hY&_T zHG~46MbD|K!YN@7DX*OHeD;=`$lwmOvlJ&$RXM;0Qqx7f+FkVD>0~LgT<0@0h4xJi z*_R&z_QaW-;{xbesc)ITniS+fG??;)$}|%4JIXX}@>MD{W%7awG#m2LbD|GV&)#YV zZPPM?ZA4%Lhq_Pt+ZwV@LuVLAEKPa&2dj)=J7;?7r@4%KUiOy(Q8#UcojPx)VAw@J zDy1?bhDN07=|0e@C245RUt_CS;$Ox;o^?J(unvl(3L^BhY*Wr*|1w6s-u;aC4B!vw z^W{S4fpS$~Ohv8Ht?rrU#Voo6iG|MG)Zi;$|2u7z!o8a91)WAAjeo||<$*s}!-`;1Q71gMMbBTYZekFyFMoi+BzCzfBmi2HMD8_p`d(?VvhrQ_@^<^`yoYtQFc0 z59KGo06@hF_@PYe)!_A~}l9qqLVZP_Gz# zIqtEJ-0sX(A(H%aVxIbpw)~1PR~LOGQ)PJAxQdO{1S$0V4dz9=k*D8{0F(NP z6V3xU-FyL{G;@NitvQ$^i)T_mnWI79GS}oso#4OR7n8a?3kna8!!gF)$lC1j;k`(l zq9VH&ag*gX!%`CTuD`4rYiL#yS_?>K`pi22V!juspPsaz$E}`s>nHUDkyUK2&*cIg8>nkcfG{`A$wB!cnXYq=$QgkoP+TT+%zw@*=phM0SRQG!H97&PmNeZ;YOeF^lHcU0+ECh^aZU21ElP;;2;r`aNjY zlskC1?3NpoSUOOMkG*ac%H!6{=UNUcGY7kC!gk>LAvPqF!=`w+F%o~Szm zB%Is6>0|G}Xe=-0kleDXwd_v!rxkV|x;tL8r`lutrCaWWjj0FI5sJGn{beOSy|_VQy^b;cbTZET zS92e`wsI_QdLhw+3qH)B#%-)Tgp-}Pf@;z{dhkw`pq3jKY$WB?$YcEtDZubrxxIo7 z!H%E;fOt&65mK8u8I+ntA+yp+v|i{@fXN}6d6$uUhHK7SZvE_Uyk$KkNy$m&TP2;w z1=l0IbnORBIULt(#eEWL?66-(!9hwkx>%Vyj}yxzqks!uznv-XoizwvF9Zq{EDl-l zTkFaNQNC18*#keV|7UC?Lu}|Hikj5kFzwoXFTW*!=q$QyyUF+3uze)+p=6PEMgild zVVn-HcAg1TUfP;{YtRyTab1>r_AqYQ#EJqVHPViFy4*&(57hnB{Sg8@4me0 z52w!9T^D32BY5F%D<*SEYQo1#vVdsCl# zBeXQ3pG@HSBte5i80l(TE&4=?-6rUhkB%QlvJw3xzsvof?Mn4jomHQ>?yYTKL_Wl- zPw@wR65HfWbQqn;;DrSdfv$%O!K9e{I_U{Zd-I?GGtEBboOa-CavjHUU1&OYke$SY zaepQc@%oT+cNhhF^jB&D2xJ`fh?0hzCm+7%T}2*1v^FInkPK}m1(npeV0PJ4>yJEwMNRXJdH+( z9>yfDgAc!WY@{BVr+#rmr@Q3inLLx-DoB&dD)Xm!*h|&8;d=p5_f(iBA1JOVsUQLg zfZ7>M4}bR*Epn{wW^yaeBtY=v^xz{HV7bmGeJzFt{gtwCEmSBuf+_)Uqe!0saHk31 zgSCxH>9KRdLI4PVk~*k zR!E|>$RU~Dkf7DkP$6)|KQO@K7SjVf9r-x{K*SH)`X8GBbw%Tx)p)&ijcOtJ30mZ1 z&WRxMY&l()|Jn=_hf*U1PV|Mq=PM6h`(q8=?UhnML*7(Mifb6d@V&3!7DI&?N_-$e zfxE>Zau}$sGWC&J(#2Ve1>6H7Ev0nxXnE+I zZ7Y%5yj`E>FBxsQ*>*@<7r7SWTm-4Ak3m%h-m!rQ;gzRn0jTe0Nqh?m9=v0CweV;+ zD9#Fyr4_YKH1;iE`uB2PT<i?fi?+tmJ+bOnWiTI8FqqRDVVF}*xLnd#6ET>iwE2ZpxUo1Rzcg?eT<$V`CnfEkHd9{7rZtq8-nkLCh zs++nzUQXVe2iP6sH+e_s*;xVW#LL<@3VHgB8;Z+oTOQ=WI{0g7X(%T0YDU zZqv7&FosbE=Z6Z$3$`A&h_V}BuxPTC!(Q%{r~2-JY}a1lAJy-+7ysN0s`S6jB7O7y z6ZhMTt2BPYX*Ff$8B?uH%KpuTe4P|Um4@~ODpHgA||jXR8Mr|kuSv2hl=cV zR=iUBwJG_;JXIfZr;ov~|0J>uSj@{-9=q!hrO;!C02Xn#4OA?+9^%D09oQMUtf3KR z7k0*a!E-u9nM0~R?kHiCG0J-|_Bn+YM9cD&ill##;?jc0EWrHx4ypSB4^Qrf+bI>Q zdI9gu>cDpv6U{x~BRY-CP+Rr@O%|gQ{p{N~e4%pwsvv>1Z}f~!L>O6@9}Vt216cym zvFQN8FlOyw;3qA%1{O#BzS0P7r^uv(m z(qHLw(urhTBvEBnNY~2H6LClWe)&(B)Bjyh9bS0=nvGVYpLw7_Qcpc7PFsEFQ*fyj zZPLhXnzlNPA5}=#jk5ZWG0=8#>7ba}cP;fF@;#*L^H}<~(B$q*^fId`RJ)!LwJde+ z1UXRU&x53$k1h)llmdC^!iWgeLtjMoqk8!EixzLOZ-QEL9Zkduqe-Pdb)$sS;38dd z7)alEel_2837=R#q0lc`)NU0tnB05H$%rGuvp&eI#3WliS3(Gp6hA||BC|H%eeYi; zLTvwWw%R?F<0@6Qpe5LpDq3GhNwJ)Q<+jWPJw!P*Ade}vArGiil4r0?CVhpz*IR|> zFf2}BZkoU}8EiAIR!Ma0DcQwX^1J+6#y(i6jDxY1=e7-NrZE#Yzb@07>}d0uR}UTz zvf$sGWsJ^ul7D)kmj9C>1PwuqJ)dsjC%W>m&$t+mFkPZxwVdm~RLOyC*vD^d-cLCP zp8tyTKM6f3e?yl%5xLbrV*ekm&N;lUsQdFbwv(nY z8>3N^=Ek<|CTVQjcG{q^ZQE#U+qT^~@B5p1o|*Y)Kl_}0?!Jd>uZ{KjN?F|EeAS~Z zJ^Sk+hk<*@nANqrbfr4VJ~9`lnargOC4XsO7;+{U$;M$fifd{?nQ)K7#t}DyZ)yQQ zvnzuV_{Z&^j&vj&1Trfjunh`28At)OED)MPX6K5eBaj+W{7nJjL6ew3hEW~cQwe!*MARb3O} zCfpX0Vo(FI_~Tn>dQS&g{tG>$Rg;_?n*-;f#IGotAM?V@Gvq~s%TN~AmhocN^yg-Y zS_Uh4*e9va6CT&*AA2t(duMVPFAOV+82;b+f^9`;GH;%qWx6l`3`Ll5Sm{_f$`=#) z@KBF|$HUS-k?WlT#YR5IVVNf-8iM4l4ZGHHC7sb=82iQ?2tkkCUDc{fe z-GC@9jad_mAC?V{xs^>~d82x+rAA_EerIw;BSyyGo0Ul|`zS1Oo~GwYuh~eYhsIOO zscWP;0@pNjsInF`%&Fj#8Nq2yqYK&N_dk(l4&dtMk7?o=RAY;sPsJ!Am&X0d%{VO^ z+s~zzwSY7D)H(d^o1Xvhw~q&w&Ueh+{Xf%Drp6`)?X+x`yZ$MOwTmXMp}f3pd1pi` z$_BABnQIIhw}3uK4M7EvqzO(Z8*-lJG7s1ki0VhIUtoLFx`4Ir3YId2fD%`SDCF3+ zoJ}(mgAU!yhWj}8f`zieb^A=N^Wxi$W9;<5^3;bm2qU-kUjw};rmdj^WOoRnSo!EW z12_0DEbPKRZ_Xz?C|(nB29UUN6u;nX&hOnQUVE?jUF)KZ(EOzjE{(dhKvoKhaT1^9 z*mzl-)tQV4Q`l&FHSAaGcob&~ekZpZUvzyLUw?RoEd8ukpC`%VuRG4Zy`v&8*zDM4 zq|=-~E|QlV78FgYQ;5L|gnvKJR;Ui~=3ah*dFb`nMrX@e%M0x)3G;XojSJ#GB0``4 z(j+FIk(wbtfthrHRN_?E&BJ;5NjNi&_ML2U9Q+!0IMdAUCRZMZmMJ_Y>}7h0kvL+* z{%g+$mhyeQT+*mH@mG?4n{X;Z75a4s5n}LPSC{a#EN#lf*t8b@I4!=5vomCkyj9IQ z90T={q`-Be#&1BNb+Dnle+9m$gB8N^tjXyy-1kq(1kvT}oKUrAYvsfEPV`@pX3pgn zHNG|F>F#c>(K?sQ@n~l1Myb%2bFlQKGcacVm}a-xVC+MD>qPcj4U4tdop@oFa>Q5gQ&YIcHf^gws~@LL$MMER<*X{ zktCm-(v=KNeN;sEwB4&aYZJbf+t5Q~Zn}XXA;=D^B8fKaPc(D1j|%8TaPTxZpxx%e z%cU!me#f`jz-XKDrCmj|IcL8E<}S`Hi*wZ{usr>;>Bd7!F&gp_fn<;bz0<%U-ck z;&l85LEXR3#jL|Xn;1sIid;HPu0UJBqL661Ye!dJe;RYfw_jFjS`*~psSu776K+$l zllWOFoTg}Oe!qu}^mi@?Cxkw-sp>E?&^NwrX6~sl-j$lVGh>hFT|^*W=TK$xkib6g z`oD0)iPYkeiUb;rXw7ES3Z<&%2`_#rY+tgkNhecu$y*MIr&DyMJ%ZcZ^&`=^CrC;} zTKiklf8sC1!%vx^rGd>vY+PfBA*BBaUdkef&MyFhmo$Ljr4b-_X&4Azx^o3f;rC@g zn&Sb%ODX>iUgG>0rtNe-lB}Mntil&8-Ab=d?sgy-6s9`+&4FxBEZ+WdBpLVHM}H_h zxo;QUZ@tm}AI9pP>FU#3yUKCXw_-Mw_axA0^sjqB^YRgF_9#-Hx}L~fl?aRXA@(7D zP9%!%Z0j4Soyd+MF)cy<9=wL?eu|Q7C!=Hgm28-&oHML>pm`&kl(&-e&s5pha!wkF z=u;H(aq>z|nxUr(x~J$dZ((+84c8mE9K1Q|N-!-X#eg$4Oo{#a@O%KnhGM+jk9Em@ zBw*ik>i0@;N@knyarvAPHz?X?E~8!Qr5NmJ2Hl^WlP z+zu64CE6)Qh0KXqo(0gWQ-PQtPc1EMO;jpSZ33%g%%9V!Iyo10b1!A4lYi7Zv&)ll zL&>XhTgr#GD#>@Wf-`rV#d(vDXx%1ee&4PY&-?uoVpzO2L(Rd{I0pJsnBnRc1)nct z6202<)UTQss%l+IQm<{D%>CRYfun*q*tX+6v{HON2v3;4 z^1v+$E~L?fR%D|5uP)!+H$?sc4}!v<cNh zLsOOVMY}fYdgI@T%@@J6xZWQJxm;>_x00GU4a`N>pQ)C~Q+&N&&dW*Vj1Fm?pm!m0 zxSKhdg~2J$YHyIK(govX8?TK(qeHhy{)NKATldh)Q#IyZ|0D^gC{NfC(Wq`m;Za%7 zEaFNX>80V08DQs=^enrZUK!0`i@QuD)lrR`IZvXHK0PW@p7DB0vbW~0Kjfc}ctw5*rU}Pg6Iu`b>ySyneo&Oj&-SH#<_FUj;vOqeNJ^x#4P6K&8p4= zl;xo}N-TszOHuYiVGVK9g8JvlZ`1wfh^*~GaMVA%-!KR? zZ53fMOp`Q3idD1=MsYn63ql3?UYdJqdsHIEc3KjO?*=+^?K@>+7_~$NfzYj|5v)1; zGZhnf0r328PVCK(rUw)s^9`?(AWhw`aVRk+(m`kKeb)hr6#awt(cHH`f)@AwDJBqv zMCPp-Z->$}(XZPlq@_zn=dCHo@y9s)#+tBC(C!6Gecn$(=OC_RN3adgTVv=YzN_gv z-Ou5iQ$3A<$2Bi=JQsbrAA-_kM(aTIH_L!A)~HAhjTtP)r+3cNKlIW+au}e2Qj7bz ze-)Vgy&xBMur(qpe~Q!NqQKI{qO1PdKP&!$bXU^zfm||daQ8{^hutI&`N(jz_^h4p zE(`}|pPO8C0?URPMM_lYf&|;{JO}0!870TL8H4#bvIaNcQHV6r|77gGK($02+#FpA zxqqw;F?{a`;__ua2AlRr53c;q@Sko52F#L7)GXM+bzm_9cCZDzgd?KZDLb_(yQ<@g zSlfM=MZXLCLM~9}ZDXB@^?GFjhgTaoftqZHY!uspc7in#kFZt->jf@Uv#=H}ltDSx z%qN^g)=}vVnLT!3Jk>!T6W`Dw>3Bj!*l3Ommnp|f?hjJ3LOk| zaoe&^v+V-wF7?EcAY3$;5u7cF{UE9k;!YG%yHP(Ln16`xJZt(}@Y|Sy^892u=a-#C z;86QZev#{o_fVT~&wn{-`y0MT-&!9@T6-=MLv7yCYQG1Q$hJgg`8xn3Jo~^1&j>KW zL(}BMY|;N?oqjDvKg*p*5*Z-z;Q=;S2C%{70ErK>b)Br_*d>9o0u1wO;$lxC_aCV? z(p6r`!Io+EN=R0N1&vQZ>HO2WqO956Nwegx(CO_l#fPAXQsuqhtl0-7_YfmV%|Dd5 z|Ll}vew7&(N2X*ZVL`vgh$TK9#d_mQ;pwSkGt|uExPeCd*67tFq`HRyOJE5U< zUAZg_Mb;FVhe!Fr0 zE;Vr8WQ$@Coe;|{YPgLg_ReU#2Fufw)8S#`_gs`Kb~s;?=^ zheoohfqDeD#bYhv*#&$+$mz4II84c9E$TS{l3i#xf80Y>BFxQ8jO2jJgfJxE)y$)) z91+tx4%DzJfLh6b8({K8a=GiVJb8sKxEuE`X5M3Q~z0Ui{=6LM|06(o2-PejHg@EKYX=GuCSe~&GL@x z6KU0q4K<;{jW3oInAY}-GOsx|if)si>atVEzge>s3|3OBx^Dz+@9(cJNT<6IbDdX^K|i(2Ul2K~(6x_4dF! z?B1&ei3?XQ;7oux&W>cv7bM&Yr=1EMvfoC8+mv%^8qCB`?MM!{u<3+IkFf9lLzg%g zS4O;MQz*TuqmmLC-umMK6W-!0%DWWzI*N?C))mo!;pmG!^q+lYQE{)}Ag*A7=;p{Y z2zyFS%N;|Tg0bhZi_bPGEB>zlB)z$7chQtsUc!CU|8EwC3UYJ{|HiQ4h5oJQ)e>DB)=890Yc3Xc^Z_5yT%r~*2E%K{OV z;+PU#iLrNSPvE+@21C}mx=|5Y_~}0XT_Y*TGP<}#-@&$F-(j+W?T-f*;zhP3VjkAD z^{v(NT>8Jp77~*^y1u=P?IH*^{v6x=C$MqY{XR|3aqs~%`Td$W>gg`*6}LY*XpO{~ z+~`98#(V1nMsR@ak-3Gqxl253d3dbedH1`t2kM%>86dIf=!?3>kEA-d=DUX* zy2hWU-DG|r~KOW+%`EjpE zn_xTNlpgohI(uKG1 zGwF=I6Ls<3x(QkRcK75oU$1jIkVLLPws4_a|b= zWTDWeOtYlKZ=}trtnemgMXJmTv5}iTD_#7q{@qN9%;$e&W)^`LwKC$1a(ju_a8tk9 z=w8}SdaE1jde!gGu&Yv;UZ?->9dKl6Q#$Z6aYb%o=ioyL<>27^xAmV7AZ%QJYx+Y# ziqFnhyCQ1Qs4VfCc*#Sj-L^3V_p+hlb>Ps*GWa@W<;742uF(Z+Hs=2_AeA0u>oDpa zaTl>=dJ?XvzzeA>!@F+%pQoYU%W4SRQazT<>#!R-{?r>z{{Mabx(}dhy<~fVx0Ro? z=&`OTC{asR@WkUL3RlQh3ozp=KP{%DbY0-iV@Ba~h@3Skoe-IBgXAXuzb{~KzklwO z)g^4lItgtPGYzkwb5!i%%DNs;!Yj~v0dvzM6urnU55v`-jWlM@L{?|4B7rLl;KC+- z6CShE_^tW?_ut2lnJTRoOJzN^Zo*5Yw|wRwZvXAoWG8oAFHOV3k&o(Q zCA}nk`J2-gdM*(de>N=xVzxN?#I&_h@!bp8N`+rkwz=3RqTWvN9Xn$?_XXz~BMZPq zKh5Dig~?|$#G20~wsJ|V5aEI)!6!S6$JjbiR2k`!r}?uFK>vtDwq#^dfOdLPt7NfC zg?8f{bsZBooR*=p@#~Iq+>I(sHAWVKGjU(oWVi@M*75K=0tKJ{+K+xyp(EqZ*D1!V z>YuM^hjfARyQrH&!TuunI@A5*;qg+*S2x5NwaiRX#%VJYW-tlAn+= z`m~VUR>T^;ynK+UMd}yoA?HNTf%J%zTFdGY-;POK{)mHGe7NPCTOY+-v;fItPWcaV zKE<0rH(Q@hi#wqzA^vspA66UQM{#NB$|T}UBPXcwk-dRH;+7plfh*1LG$bl{FT9sa z_(0}>L0rY$G>mkB#H3{CitpWdfVf*@fMw@O8xz9{VDJWx9*K&n!jbEzG{8>tu|Ts^+z}W971g zF`6aAH!N*Ntbt=OsaE8Uh*VI+>FL8-tVPzW89cemiZ1LE#kFi(3=Ck)iM3k#eJkcR zuvSn1Ww9FXby0Sr(%UeL5>akmN~swSl(uBFsdZV^wUqV1w+$lg{b<)YwUAxq>iNB= zJu4V)KI>ST;O1#yIW=+^CSX84fF;#C>lagu_TUlD(ahfK%*@NiZEO?v111;PvG}v{ zl*r{o*8kf289@C)!xM2V@qCzt`Z3JG7jROM0VkCea8gqMCpEQYoebf~C83~@H@FU& zZ`67L^2{v%J0OwKxNRbfAE8TY$pMgkv1-91E5CVR7Mjn7YmyGoXU>56Oq5o%TJuuisJ7tbo4<(OLiyz{hF_n2DyH1(t%E&8#E!h9LzK1?<0cVd49lkuwU%cC zcoOo&9?Zo_o~QWE_j#9!glyqn>lb?;%zbW6vqo5|y;JuElD+BD#vf?u))^yjPSCUy zYGIB$O|n8c!!b%XF@9TXCiG4(=Z^9Eek%ApX{CsGqnW@tqJ5%w_~D!`?ke3#LyfsC zb0sq1@@a%+@KZsQb2W`Pxr~`2`59Rw&863oXqH&Uuc_SrS0gLMUW+Kkk>kKHHN+0e zMjD(R;rpK4`Ub`433rjpk_w~|*VP5gpFResv8-q{9MUP5OWZ{v>M1M5Azj}^+;a({ zp8$2ZYdx^#!J@#%|ZhRpLa>v9Ix;EtRwKYP{=WCSNO(PnzKP}a56vgpULm{6<*%xa{_Zde-cE55K-Ecke5-9FU zv6G9he}9d(b3QMK=9+Ea7=P-pC;#FWF2b(!&tmT&t+h61P0VL;H1Jingw|`3{r-nF z5mhCvr6s35k(TylxaHyszM{_M!b0K>eoG@9%;LN={QOSCI&HI0)wx^`hp)9OVkMSU zo3fqtkJSvw`+?mHY$4*%3+!AQl2&D!oi^novrcgEM7L|vl;-ONcB|56hLG!DV2kK} zo%Rmf%N3FLyMCaA{3~t?+I)CIsh2Z(frx&FM9HE$wNk|6eE3~b^P`w?+uUXJ06CGj z)5EFs+T#swi+U)HAh&ZN7G+hX(jpQExoYa_iHLI!dZSBspNHBboeFzVrF$*h;)z>u zbb*|wLcCfsgCL#rVVk1xP1#=#DQ{X|%OV@0p`a9^c0apAftJR}3pOYFCP5*QzFkx| zhq;1(SUcjLCnpjIrgrnnrdW8S-VMEDQZUD5+NrBV*h4x*3u`fmO6uz0ToD8A=7+~K z($~)GTf16jVfi(Pb_s(&%l#-u96FJqPJ00A--atoTlcMAEV>meNSe>M#BEU%=jROM zzvzAbh$6pqR;}h=FV{Do1eNr0q&E~3xwg-+k*kREp1N&Lgb@K$LNX@@lLfC8mjW3w zT{RiqgRs)>&&6y+iiXMmvTa;MI^HkVAYy6sJ~G=5rWuc259BWq`tGGcA=P9=po zn|E#gvY$M>c=3(IpP7vftc^n1^8sH}67WU+IKTL+-UuE^U(1Aa^!0RLU^z4YXOBDa zSah#cCH<<#UXe$;nUUzNTZNQxhCbkU@=?e?7+v`Lv9?Y|9!13t``eW>8X5Bu?~7vN zJ;B8zOMGz^e1txOZRROGIXof~w6|!~mu-v&KAA}@qnbZ_w1Xoo9jZ&LweK2BskOX1 zOOSQm`b!qKVOkzG?6s)t$V<8Pbtd$4l?jaMZD6bORATU;&^K@QiHC<}G0sn2c;jve zW5`jaJ!30w6k}4+aD8W}p1ibp6IThp9JTij>IXdaxx%1@z2Yb!S4IbeW0iR z6tm;Ie!k+HuOmr{_afOqB^s!UT~gwCO*~JjWnP)`zt$&M`H|IB!1?f78~^8KYk}p% zUvQ8YAWw7i+J<+f?VrKX#k`bwlNPj0bQ|+y4^q7PgA^PvKSB~?@cukb^w^-q9F%3u z-Cv^RK62@&273$6PF#IMHS<(KQ0&+Q8^|$tL5++*Z9s@4g~>=fZ$JzT9=ZzDS8DMN zZR091YX_jVY(PwF1OUm7VF#4SlI9C47ozgGYj;%EX(!64Pbbulb@T=VHD;&N`rZ5r+z=1EO~qj}Ky`z-AjM z8>abQ7(lRAkcjkkG~7e6?^!nZpr5e(joK?%ei5|soLxXOS9$tVL1Nsism%|sv`-ad zlyoZ=5l3F^@gbM5f+J~86X2R5A%?~wv~i#PoNMV~Nu57at_bNJ$LJP8#{P~XMV$l| zuvyaD?kl;<>FX;IPml~p2J@shx{wgdk;(3aDnp>66`i-Bp%vwh0=syHAbVm4h59G_ zpkp&Qkm%c#KG^{cB~9-SeE#%C#ZXGjPLu<~C%Y8`oRG;pU(!CASYo*xtiNbQLzYn_ za?|>(K3t*@-A~)~+kU;F%11KSeI;*uS9T}pYR5o34GS3M6Tm2+6s8|26Ap{k9Jjm`aL^b87(Wf&1=iT5S@U-Zur&A}dXZDbvW zwPQ`!fO5X?_J~BHGBi{f4E5~`@P@tB)BpTEe}S6T;vybxZY9_AXZBz(3c*Hh_0Ku6 zqVi_U-~|L2%7GTBlAyODK?m2ymFDx(ISmYYn{sxx5ipHQ8G1HtJRNFhynHXrbu&bV zO2l-33>PZxebciYKvTE0&6;n2WG9$bvb4Q}QGm{gub7wyp_rS-p;)C&tJuCu)Cwqt z9GkQ#b8XDtuA9jH5I4Fo1o#@m6R9nKbZH&=+Q4Is=P|6I4Mp8L%bA3Z4zV ztz5FKq=Sf%iKc-7tP>=LhKgGhnQ_l4ro_voLpbo;=9nh)PSLe6zfX(Xpkr|c^>id@ zL!+yaeT2KEz;Xdld}{3?h(3b>W>;B&*;OWc`Y%9(in_`lHXI$;;2TF&uKVfFf?ENZ-wse*dgu<#Ui6 z#>CBMctptuHwa=-uIppawRpE=#tG%ke+2s&vC4x zgz7E#2tBXUKR*v{WbPgm!#76r8o?8z@)}JNxr%vD$CKYh^>pBS46YC~iFyZ*8BYFz z7-FNUJ6UwPGIkn2u0MyriS)}%3V__&nD&5NGv`kA^|3E{{`LYDcA-YC=&I=Z$`^~f z3=L>{E?WQF@m^`rf_4 zamz#I+U!c<5cIuhMSWVy@L#651l2}p2!CFu^4X@ag5cRER+8`4<-CL06U9)@_9U9n zi}-i;w`(>7-XcQ$kLE;t?-M@ux1Jc{H_v-+_BRZuy{RklW=Vdx>komwfWIHS*iT{a zo~ln;Ky_{HOI@Lumo>gsIJQ=YU$Pky%3nbAS zj@;)0@R0hvITV&=77te>Ojc}1q`z-^gkma zpHIR0{oPxFBLxDUAh>+I53Zx0#O$<_xFV>ZfpzM}Nnqt)j!CE*-?UbKxLX`Y|Ew-h zOj=E<)LZ}u-9d5UA7k6V=7$2t<>Eh~3^D6O3-QL{Xo9%>L#VAZ=X}t*Z*L~AAfDWx zeF_ouOa!p~PaqMU%~P;lxfma48xM{|0Adx{8}#!nZ3s>w)5;4}ja`IhKxy?G4J2d! z0@CSq5o+?QMW?hXLjw)#{m}ZB>gb^LZTYsCw4L41Y`P^Az4ma{TVnrPmpSh0EmX?6 zf&R9c#Uv;DsCTF)IUq7(LQam8gtPCreVQiV&bggUqw~VR@fi7wYS~TTtlrbN-DU+H zwB34&>tI3x(Vlm=$1WdagRZT)j@GPmd&j2Lb2*Fq9sRM#`v+BUCh}c9 z0x#ZqOxhMA`eV1ZxZujrZx;XV-~JogIn;entzTT%J{I13-B>?Yo4n#Yc8VIio(-cj zefDHM!Q$-n-o8fW#Y0!mp@ym_@nD_Or@k}Jp3y!UrulB;{+a~7aI=JXFdjM|%A%&| z$Y@74%Tp0Dcn#l@CzB6RxOatHXWn>oXJr71we#Rfsug4+OO)&n`?V{`i!omw4hwMb zIa}U0`D8`~dDcOwXeyQGKgh0aWdb@c3W0=vOnDfyw-zz2%eSwqly&&kZ24H2Xi&Tg*YOF+j{=PGf+O|xY>p*BSUgsmBR#Uw;+ zJR(`&n|XMIP8OVxTuw~8EizIX6k%p_R!dfi)V}`|M`Mazd+phee|5HV-}4|!(9^i9 znMrd5({y|%Y1N6?cQ|rzC%a)&^N44}rtjE_sF|T}Kg+)34x;Ig7E{?b5l8?U+qqLU zDL`5B@7k}m^3;{rk>h7U5%|foFLSJ%QnmxSXucE@%w*(-4L+t833@r2EsrFIW!MNA z8f1vs* z{!K2OMK3RtgIdTxsi&zi#|SLHRU~Rg?K*?ZM(}cii6e)n)WHU_; zMi_0LI9ZS4-P}el6mp;Yhs?+>LfR-5?ZYW&d=h9>oHwUzV4kqcvk&aVY$ER1-)?C0 zJ-N#uD4C@hVVyUhKE^7UeZ1vG>OB=YVOJ7Q;$9CzDC1^)JX0Q0uAvO>Dz68OMF@_d<8YONQ8zSV6F=pYPg5is@Hw;A}Ug zAVKLf#(jtTC>zlNZ1w7LUoBmM(lP%hxK)eT!-fYnZQoHJKY#^^&eWvGt;IB>`H>Ov4Ni*1O`Ihpi|T135clsRnmvc*t;JV0 zRgg*lME^yYxMzDgH%<);I!`lb?aqio(3lSOHWfK;4j1dZsDotu)Kj9aa;i)|Lv|-H z3WhN2)<2g0f~0NjnGy9A7oWCuoqlDX6P^&3!}4i)1yxslrZn@W#l+KFO6BLvmIGln9w3j6)@GalVjM?yPK= zM>$Q$u&Ty0t!!c@+lk5#DBuQH;GE<_uaR4xep)5^BBzGbDDLK_)GAf%n)m^`b>H%U zP`Ir}Bp|N%s}K%m-WtUGmiC%G6=kA3!5;C)O5kJN3U4v~vMmMqNVeP$K_GIot2l3X z9CSroKDQAU%3pOW>(3DOsq9ikiD+tHV4?g%F->M1*{q#xYE(%H!@Vv1<&)#r#OKKL z)XKL{sCJA#UsSwoQ8bqzSNdfH?h3r;g9OOZK3&nxP8wDdf)tM~42q-Rk6%7~bjrbG z%Lc7@zWNuNFul0(RR+?CE7h{EeGrRSS#b4bS3D7Kl#36Z^)NPpT0Y?rRkiXSzQb_y zcy_?*jE++eHuv)n#7Fz zgr4ES!HwNJTU>#w-R40tQf{`YXll@)>?C0A?rh*B94=Iy`t2cdr;GIV)R2`|@oACX zYe%<&EGv0uZL8B;jZ;!(Wz)dK{~d-1 z#IzMv;?S6==aT>2k>7Wij`D{23hEKqh+M8NGVIw0gb}{E`LB*5Ecu7fQ_MlQs8U|6 z&CfV*kcA5bUU`iK3mtf+GNjuO^iQIRzP~O$H#a$mBZ+XfUuV*s-AAlmoSNGG~gS?vky_je(FPIS_k1-&U%>MjuO{D2P`@aMG_PoP0n8Znd9O zrbfOM0GLAS9q;set!zB>jgDSdb#4iU; z-6l2zT3ByjDuT$A{(SesMVED=O3=NRs~#N=*l;z8KKSsLmdZcHqK7fzD-f-1E;QU| z0Gad7r8OVl&43>32;+HA;2nK}3^qH&*eV$2OXsOtkmP_MPAMj>D5?U-iDaJHR@KhF ze?=*R0%F?*|2``IKi$U6;uF$8M|JDg`2rCGPo;ecDsm9NGT~@TP!$>$P(>rE-?>UA zfd8;sh56-S(-eg50auJ*(3BAc3s;=-BQ^;cOB&gDMB|2RS9}hWEczXZHQ4~`GO^A- zSU{@59<_00xs@OX{}#t!p?R$kIlbt2#|P*X247sqC64j#!tzsYe;q)@o6W7Y&TOE! z%|AWYYBVcw8Fxs|9b9{4@}(EBsRIxXA5&Go&{URbm9#xCbdA-E_dJrB5HmYYZxH>I zrIAR>BpA|o%Dguy)D>};9<@vD>Me1RzX?cTrvx!=y=Q^gROEU`b7K!({#>|mwclJq zvRPyo8c4HhY|i^~D!Md|w^rD!I#%uLn%baB$+eH4R9~23sIwxCa2pC3t*ADv{X%=0 zX|%0MO?nMRRFi&}cX@OpKFU$e%Rvv)%8ex{d3WQ#I;rvFwlISceg~h z$%Dy+s3$M$(aQh?bgbLp9t4+ zuA#S!e?P-{61rzYngsH)4YD_nHXhSt+N5&re7~2P2rev1FX* zX5`(k!2$@jU1r5fYD>>FN##{9hQF!=oFO6rL}TY`xkmazstcRHq}pO+IA~=Ino3j4 zmI36#j3vTWgy-iH8v*fx#4)_$_?Jr4)5Gdl_y9(laYfS-rSL$=6eSiOVVW_jg7P4$ z=wR%7GhcJd@kwBsc6KLu8>9OSuJ88=;qw)0Z?ZHl$J(HuJLkVA2=~qXRw228rYY-S zH#~rU+({Xcq{G%pHI}%;wmnNcb|X%)1}0qTE(b=_Glo$DF3#H~#4vKhE8QDp(t5`6 z6{$aKcFzu{Y+caGA@3z=B23Z~3ItKD>W%lM;^`Nn{k>S103L`#jHvs-Qgiz&P6qPv zZgD1$H>>d0nLgpbZ~;Gdvf@>+In%J%W93TO*@Ty3JDV}rYC7+D z4(m(n(ddx`5kXs|*^r!V3B{%dWHD;}vyIrvO8E!fzqgstHN_?0cXIyN2n3L$Kk-pk z4?T#E%}U^D#d!MxH}8{0Z0B|E_YH>lnKH57KR5f&1o%p*`ox(iL~#KUes2*7&%T!O*;U85b~FzIZ)<3_tArwM&~(4Zcj zMXF$Ff%=8yP#npdWw>O3nTjR{qw^1!fWFL{!i(V!UnMh@P3wyx`HJbAp+g=IO2;3a zNnU;*e#uibfoHd*Lgu~PFG$D=zYhjeb41#d>SFmbe;*vA9&aQU-loFniL&{*OJB@! znE&BaOrRfUJFphF{B|>SD)?O>b^)cJe~V9U&!|WmI&^5a2$nJ;dqx6PC>U*j>!_Y! zSg^k$!~q>4F^8}{o(gYR5RL|_S{P%rnuMClsA$w`m>~`?Wf{}NJDck!l3be{M!=H( z;6hCEsi`2s;whPN-4)BKyA++)D z*??Og9*lh>(oX^0dJGsc`F64W{G(mkzdS5C+KT;H%D#q~G$di}A<-md~d(Z425ghrPN(_4~tGz0tmz^K4Jz?yBspdQ2Fnx8e7Yjryeh zVox};^iHA(^4Igfw7A`J$+d82khGiKHsQ5=tID5uJ@E=^ZAEU5;%bHFm9AlnZF5La{Q&ImP*p35k zZNJZccoCW*&s=PHfSjYWiT~KpSkl*1ELpB!4H8XgKNF^VB$r5t_8FIE##AQd>;y9Qb3Yj`~dla zl2r|M!fO=6C%EE)oe-tS0dF0~Pn^098YAP*SmF5XG!c_ae50!j^Pu8%@3N+*n4{x^;a zW=~f~!j;5~TA})L*9Pc)C$P+SjU*19LLp~XcyxbrT3)>CmoqvPU(;ySNpK$29PLuz z_fNW-C+nc-9rW*aoS?}kVaqk*4^AFcIw!VxRi%dEs`2Zz3=#^Yk%m-`F&gyLwAEgb zT7-L@gbX+jy@?5AYHBUfTSccsdWT-3T7=iQ2B;%WlyF(zAlP9Q0`Ev5h)c7p^zQ7P z5NW5KXk#~+(0}l{dipj&uSaf9BR#-%3s3PlR?|1|S0+7BHI~OdRml#;&!V8v(lS6Z{?yAKC3m#qD7GzPI<2}%HpXC2wkR7tEsple$M$N zo?BV2RqwW(8+CtIsiXy&ZCDbb{H-4z6=bfJri@fAWe#DcazmqwYz z(XwPOKB~?VHEgHgODtiwc0kym=L$)SeHiJ#oo)0U2pIx6G7arIk$`yy{jIkz%w~4Bgfl3DLaL@eZvT20ki)$r+C)gX)nbUXg}bDK z&8;8(WFv`fHlF?hpP#@{`lp}=13+;0+xv~s00dHtHDYwHpRZJD_uPp zP3G#zzpHcF6<}(s`TUR{ZvoFZ@19;%I8ivu6Jq53evo69@v6GB2XeHU>SB`-qf@~o zp=nj*GoE=y_OgqLMwa5nMfQ}xCr)y`xM%*u?mKCAk2S$F)_`%?dw5}da0yv|2eZsP znN9MK6YNAT_04blUmN12(#tL(N}E)6bi5z!E02u}M^&@OAX{t_mN8LU8!C8dWENc> zwoa|^J--^X5?(134nz2^-EnOlr;>lP3n)KkHTBmcZppH*!?>-=3PbYI%=Y=kES2 zppmV0QWV)QW%3xU-}^_^{RR1Q`m^CpS%lC9_!b6@Lci)p2o>ImTC8)1 zH_O}Q*tW=<>?`>AHbI_Txes+DGG|v<bBSD^$RPdCu=hl0;7RB(Pl+BIulF9Tq@y;uksenPIs0l1D(Gq zwlQ|(sn0)Sirx?l%FojRgz$^(Sb;#`S2E0kf*Dh3ZWv$88#|63yDo%BK?f$Q4$m}% zygp1Ps2I1X?{BMH?!SS$k?wbpg6}Ql#yA#CvpQZggjMF!^nqIqA9R`RLa1+DX$L~w ze9f2F>v9X*;>gO%uMjJx4=z18HJbXqQ}7P8dz z_bA{L#G4oM>TVBOxAD9CH|YN?T4dZ(%1-G>wNMf7Sp0i-0lgtTu+9@()k%}Sx}Ltp z?t@>R@#c9@d{QZlk=QL+Es8OlD5G$D@dtA|baeuvP#5&>?NdVZ3|`j@oRt0P@13X6 z=8F6I0DR<=LpTAIM$N}t_AsL3%^ zz8?zJ*k2>7XK$JeOFCF(0U~mLl!h|Xjn0a3ZIOpUN6nrU z<=6l+%K99BF(DDsP=11Hi6R&kUwz5+)lj;jMENr}<)H+^E3i?55v{e?WDTTDuXYKM zRYM=*e2TQiZHDgKy6LhMP(Y#^T?=hAogS)n>RA+`p0ZWzy4`tS&JW!gP(U1>6e!t= z*p{G*wHjEzYK$s~a(#IDvP%TOL@mS|p z(8;gboKDROE|1(h)&E~8?UZBI@KgY$oyNFrX@Cz~&C}#}6*W1R+(AhKg3x@@D8>)- z#wvp)UYhw|g}nt_99@$=Jh;2N2Zvz6U4y#@2m}jm!6CTI5Fj|g-QAra!QI{6HNe+- z-rarWdv^cKuX}`hPgm8gwwXHT5-f;hS^5rlD|0nAZDvDQhG_(}=vP_VH*jmBobE+y zK*7=Ji9OdUbDek0mySWD>L8+*z=FhPI)`*Or>T&6Re@Gv8c^5|C>jAovk#gmQVzxS z&4K#c#3GrugbaJBX;6*ia$EyVu}&b3fJJ`2*ui|R?A|+$;w$)(wQp)KJ~yxEnh(cA z%uw2sIv_{d0W)tQUjYN=LkMM4vG|)j2=dQyoS+v;8rN>nP|Vsw*6o%gujr2vvo>Mvx-%K_xR322%svQ=Tee>l?k8*2>P-cxG8Ek# z=E|!#7E!uFT8`9=zNO^)ewt(Im9)tCTPv0wf?WL#bZ7zs+OeJ<1||_b)+t`EBuS=M zqTwKN!Miid;iVBdVmYWQm;TG1*4wIHwzB}H9O6vX5#&C{aj!I*0zesBw?^dq=9OJ zZ?fEfnb`sUzh`z>v_>$5x+?4^^o2T%HW%TGXTXBa#5E|C-%k5v+6|?bk&2sH3XxQv zRmzbhl>@u4RVtt4lE1sP3^8L($*sV(H0rH6BI;nJ=~TNsGJ_7q?aQ^@BlroWi&y0R zG;*H=FyF*nr+gx7v<|r;*D7YT21k_pL*60;v+cZ=A`T!{v%biPR-bpP7zL zOdHb70Y65TiCv-52?Vod;xRDrnXMe1SvQzYS_eOSw-MP11zC9u)8@7~ImTQs8|Cwd zj=Qu)_g9c1za5C#a_{*nq9VNk&INc!Z@Gt3cN@LvZm3+B1Gv8BaEBbC*+XyU17T?KWAY(|BNN~Nyr9$1d+P9II!}R(4%UZC`_+T zg{b>jU$a|3;*z&~xNwTv5b~}*<1@X@aL+{Wqd{!S-Tub)h%RU5(_;ND!k~rJM{qlh z^zK=w?n?I7W|PLYSHTbhG9=-wyaAwq1A>(%N68kM83`t^3+QQo?-|# zfK|y$kPwCb-Z>p(8s5WyLOI^ciI*cF*m^%DK!1UZgqFIZcnE|)UaC{bp&{&hAM+YKsC@^i}eBd0RanS&z zH@Q%aXj@Z0ml&cnKf$PhJEZ&TMb9E|O26Rv(0{sSLfQXHLLGPH&4;2+>~$6iP(-ig5R94Dc!!-XAWQhjI4g*tYYha(~J7USy_fA6(OC+8uOaU=rw zd;rI{-$uGG(tF^Cx?UN2@NwHE#MyWLcM|FlGX0D67`G#0d7<>4$cNT(o{V=g}Tpz~1(<_D-~@Z~}!(X)}jU-mlN7d!!N z6I_h>&~y8p-!4)I19E7BHl!#g?;CKf&3qxZnA2|3CA*lFDkm=lWNKztFck8v<5rL9 zbPsJ_ig!YLUlS@@TFhQ? zU-hZ5hiJ&D9FcWHjGT|lnOOcjg)9)XUn-~(rg))Jks~qlPT;0Ec$40sj?h(32FsB< zqL$W`=ykkr^fA>kz=v~&{25vO=(@PhR2uL=uI+CcjU8MwTzw>A7yUF)}r&ctC+2@e8)n_mUPG zlauCDN!;p|sIT)&=0y6;KEf#;2)~i1Yu3%nR^dBTZ$93y49;!X{;~k@Nzs6<#cO&s zn1782i@`gn=jFJ|P7}Bt&ggiCcRX#_Zix!CFQM41^|Y*@TVSu+T*Cv~bCdIGVD#7v za&5^@mT_xfEG7+hZTSw+2;K5y&Rm%9Hf%Wnad;`G0tF6xY_S>_b(pn}UJZj*`-O=D zQPG=&{0FuO?wl(ll&pmeV82iC7#=ebxgc_i6c#(hv=``V_6Bg zsK2<*e?>WxbdC33&4xNcD!YjL_5LWQ{Gu!(zvQg*C)pYL~;IP z=8zqxnd>mWln4C-77ZYh90gZ?sgqKq=1*VDo13oM+X1SCEFvbS=3+F?tZmwj%~t8d z!{sWUdFi9M?L>@#l$&>tU>&+Dt1OJr8)_{QHq^#$&Ny*a!RFH4;gNU46Lxhc_sLqP z<+>8-im?xVM6pl2|1vt>{=gI0p3EYBdU2EncYCxPwMjT}l=j-^lkVi(K8k?eF`BjN zc+W=*N$ZnNG)>etilEb5;gsk_>63mHx*Aw=-E*`Y+Jt7fCp9bwG$TGg=Yv}y?HHvv z;sIK~I{@S&CkB3~U0bu+V5*b^cD%Zlp0qjY&-k`Bmwd<}+(qQDYztIooN@NXW*;5Z zeKUtg#m*V)?vAF~nQ&r6h|-JRwwc`qt7ANI*s^}9MJgrw>?Unk6D&1>L+_3=CsSzc zB^)l`J+svr)_RzDWDzSU=QdDo*zyqt?Be}6yPxW22kjmdAtqHKdGl+9s?3FAG0St> z+FKKy+W5%TNhcx_QFfa^-cTo67?AioKjY4TP;YXZJ=;gbF2xSRDDjV2O20dC$MLS> zXOI(RF4?=oh8FFLzw~r0oLbf5BJ^D3HmgUR*G7a;6+YxrhskAP77EjCu*!iDMcaL~ zuZY>3(qrKwwy6z@Fgx$p=UF4$ggf{QSB9vmU#gMiYFnz2=R#J?Kyes#KN{jW{L$W>xu*YKgVj)I1^fF z&|PC$YKY-gX(p`l&lbxIk(AJrhSDIFh)GpeUYcwpLP?dLD@zrh_eUvj9HgkfpZ%-9 zs9&t+&ey6s2Dm~^uJ9L0T@Av8bwAC73`{GH01v7%29%KZnHqT|q_qq&;|h%x0h(Nv z1cAC5LwBMjVh^R-CEo)0Ei|eP;mU@ndcOdb?nTGQNiE4bM9~(SmW_oABso*|QAj0l z4m!vs{0=lIQq~tJq$9IO>jd+dWCB*L0e!7x=~$su+4ShncVWbwRUjQqxp#P`BI5KR z(K%Hn0u)fZ2e1 z4c3Z(n6$dEzKP8$W2A&EWqOvl&MO9vt*(=kF0#+~&ms~aZx66p2|l#tylorb@Z|~C zuR{1aDrmNRysvOjZ(?BXxVEb_+{h-gXZKjgd-IP zUkQu0)A0i)bzcT6dDUJ72AOAO&Vw6Fx?haLxQ=-|?q^;R#1EOSwfsB94?YzirGp&N z7x2;`8Jy}={o@#6vUVquzi;SAkE*xd_nI?6d-R#>8q7C)O=l2!WMHB&)1+1J73P-Na0(Ij;RiJ4919GQ7% z$(+Pr+UBv>zm4XePedbSrmxm`GBKFf7nOLio^s1(|_wPCvD&Lchwe#f6tvz&`RM>0PLMyGz6 zDkN=~%#RhZbxn~%Ya+2Bbl6tR`W*j_pH~bxDOtSn92)M-RZ7-LHKC|cjWaZH>CdH3 zCgIYN-^G^SjN|2vV>JEi#B9r%&A<(nEx~P-ZP1{a?bg8WEVmpzIpSDg-wyD>qd0%7 z%63YtSXTzMCSv@maOeEBm3*e%)Y&>Tgt?vQvqo@P06P$`!^f>cwW%#6pwn07m5oho z`~dI3t{v|m;QXdH=2igiAkssr%DLSm8W5ol6c1uc&#`mBpK?bl;SE1%oe<=VJP3Tm zk+$8$*a%!_31my>UX1v&KK+0{MgOLYB415?UR<8mvZmkJ{_aTxu6q^r&jplC?XS>- zzm!4Y_&T+W`35NOKk?x|D~Rz8>?aXUinjlNA{hX|@eS+&3XUCjpICmp6MN{M$mZ15ef*t^d(b2OTaO%327yrm z;=m}34ttKlh@Ou8x#Y;#v+jrwh0B{sD`3z%A?BX~Ii|)ily-|&P2U^+Q{B|O zGv2~{WZFt=2&i`qu?s804}u#Zo&DzjL`rxdaXS1BS3gl`%wPKS3`gJWVUmcxc(Y8) zxr)lxLyP2jF|jT+q)PVa!C<1K5cS&UY4*rdvckA}JoOCe?6;Ss^q8|Z?a!@&yKsXY zkn?n5*K<4#w|Fr|4J2g!h`|3P=UuEpS;>=}8KY$w3pcAK`C&Er0q z_@wy=rh{`&`?d-c&P(M$f-8^{3Q!Pv+MexQD{J;55 za3eY$<02C?aqS8?>RI{|f$ZneAAAd{gpP`c?S3?&``=YPybBt`epU=vvTx_u@j3$| zlFKyebu{r0PWcTtyo*tfx{B)}O>lyVN#tnkeBPB3{cbml+C{WR6zL+)|AE!=h5O{8 znACIIr@gwrdNS88#GdTymzWdMb&{_-nEnFKE7vYs+g$M+b8>Pv6Yi|O1!O= zP)~H*H~V>PZeli*%@cUAXESZ!r3?Hkeo_ooUs)7+Nuo-BH=-CLKFq2BB(J13CCe-3 z$-?&pW#`7pv{<{5^ve##2ef#V_eE1Ny1DOtb`Tu=TP8PHvs(f>8!PM`n-N!F9#M!F zDi(bswSOhF#*Hr0#N^k22ayg-EicpfyLD3X zE0koxr61hOZTxX?D{UHab1Q9jaRsYwVI0P56BJ*COj_F-K*?t}3E&bRh)=swWTrcF zM|lxQ==wQp?!l1@+K{mYm343YXM4g<{k3(O#mvk)2~UimcgVHIW5vlo9dhgDb88v> zn&Up2C2VV2wNU0ZR2`pBUj48WbH~Svk>TZd2W2?>JL;G`JxvCfxdfGgGo2WEykX95 zdnWD^wB%se+401>e9`q|Hit|$sv+=PLn@YPVCbBOp!G1=bxQ6deR_4%lH;GN`dRP^ zdy;>;c759i?7bKY>w%zx_#i1%+-+ejYuFK=v?|aY`n8Cg0=8s&odtwZqy?4Rv41An zaM7ZJaHHMBpU9{CS>nvBh^myrgIlicJEIr3IGFlJ+E19=XkCBaP#SQ~sh1<+SMd5x zZroH7j3|o`S(MYgsEc(VnZg!5pvD8+Gp4)s?zb%0Y|mNr&yahPnIz1wf9|fP+;YDx z+WE>OW}SICC}k9LY7VJo|I~6noJlGT)Vz|U`ALRhuvb_~qHs#NMF&YDdKBbr8l~Is z!7^FFHA&(v%Q890#V2IcSKO~A(MAsFSNwRD+$g{hXgz@mU&e!OQ*&hrlzO7&v|pE{ z<`)NbP!K*dzB?lxYv3ULZIME=VV;?c<1?EQwV_o^KKGrp zsrVE#KlrJYrmtwoLNK~p$pV8^Y6I!p7M<5HUv&3f=+DE)3C{MpF_BOH&!t?^^L=Tm z$5jz(d2XfyKi?w0!rf<|!_XOFx4-s9cfUfk(|Li6u!S0>@q^H;-b8jwU)$mb{aDvQ z%{f#%D({%>f^5y@O9i%v-F$iN6xTj z85WJ$uFIE)S@pfPsIU~yL;g~|an}2T+)DF-rNf5?5$1xUdI_X+KH2GSZNeh-TI1-d z$8#--M`0iymqSRpeu{!cr_l*k2FMx6bxa6P)|=~m;*iLYoOYjBx_;4l)AA$2_z*|p z%X33UHcpsz2fb7`wPRpnn`#AC}NLJ2ZCa_F9{!Cp&W8V4ca!4SD|Iep-j z0h5M)kHzzGM8v8Ccx7^r=qEsX?Z0x*n22H)Tcq>3~=Rcuz!u2u|vR?Lojq6 zsv4JEm({tXww|xcX7RqnT(-l&gKA@G0t03I0QZz=CPYi-%y+dLRZpZ0ySa((JpVh& zn#(=cG@&sn1-#Wwug1DB>qVP`r?(K<&Ntu=rxduui30fl0WArbbASfxx9(FqIXq89 zDazzH4%Okb+Y1)riWrQFLP6|@31XBTwUU$dW{>$=;T9PFsZwzBytekT>%DZjb6D=uCB zg)*cC?SeS@7*{X?TjLrW~GuJALl zr4G<8AC-(VDuD7Q$xg-I5v^x}#Is4&%*0hG=x$DFw!WiJSYP6`UpO1$UboqwMh(q_O}ia#D)vPk&G$A_>BNf2vreAGVuTg(OJ;f}vS5&! zFq}A7Yx0%ynlq7Y`fcW1LPKo5+LsDP{SLaasqc|1&)J+sP$vR0FxZD8{EFuhS!E7z zi$Vt0muLy=4*a%+AxG%%qCBTaxTCP5C~OI7MV2{HMdmqC^DY3_Naq!{l()&P3HN-m zR;mRm`E|M)vu0DXc}jU?;g%nCDCkKZ0&TbvTQR<^(b~IO_C}_Ft~OM#6_X$HOB%jT zFq5EY-YSnhd37;Uwj!>G@)p?@w&0M+CUw<_#YmJYJF!B72NXxz(4ka>V+r4_KEgQW zP7~pd0y7*xO8at2QE5j5wKYMBQ0mJU&QZRtWxmHsxxcoIvL%WIKG?bD4(4=dhGDxq zZ+lP)8i1OL{Rmk_EMswBSLfz*hKnre?ywHdzaF1n2$=ZCLdHm0T=eh2Ddo0P;WtSv z@)KHrmvM*FZ;L&R*7KAs=C}OT9=nUWXcN2n0#`?N8d6HUqW9DJN2HL^lc17%<4%IB zO#6hEo#|Z+!}0>9oa%rJPLv3?#@B~?vep78uYB}#5-R?T7rzf^TL)yk13Vcoq6cYj zGYp{DDKZRvJVOiYy0^C69?2v)ScWa$$n^~SZXKMI@@A}-#{(};;Z@s_Kf()yGh#aZ z{soe{a1NKucKexC6sXc6lUsLj?OA*4NJ>1m?#|;HZN73vaVB|PB)%FEdIqdyl7ZFy zLP%?(Q1{2|Ry;tS1DLs|_!t?PCPfDz-7<1v+W~S&m>URQL@GO0mes=W%}8Tc?tG?9 zrqitY6B)uC7$!d{-A$-v43M$}N+PvZaKacsrZ%QVPM;+!q9K*}zd;z?+0etd-A^CC zoqw@8z=*Mbc}DhccMn}CRAUC+eZ@X7Tq#uH`yd^M=I4$AeP}bY7J7R=>d_C++ICY5 z6N@u?OtnwOkBaS=>vo?aBdQ%lsf3xq8;dL$0{%_UA!cCr7rEJV-@IrLUQ=$uuDEb` z2Nk2dfI8gm$@U!W((7U*JKCu|V4#xq4I#`foiyae5@WQk=8!v%1zekPg`QPf1O?tv z#GI`oJ>A!w%$ujki(nT;Ub4JdR+d)5y(UO8vzJ+yG+qE+FclQxDt}RqQo-d)6qs2J1d0|em&*Kt8))f`Y ze|N4Gn^Ze{dLAh9*Q@oZUUT31!FmG|`a8vL@KW?zPyr z3kOc$IoJ5a3PQ1cq-zK?XZCuI*7A_p_ILORbFu_{KXO6uCZRMsnxeP_2zeFi-T%w42 zY!mts0}vkx9oPW!qZdGaWCj#kdI0^u@?)2Ho+f^n%@;ub&$w|)Xf5dKnIhNO$XlQ0 zBkApeFnJ-9PZ9L++tv1PJ^fGFefjM_G!{ytjiDIQC6{y(+8A!>i|YNROEnhcuvb!n z89;w|XxtK>zI|3un$ub+$!?GIk&d6JsMg|2{szMaE~!ow2OrkWev)Q%eKp=%K~{d= zD>*hb*Jt;;lN?T+D!&8n4=WzinrMuvuW?tIq>gQJClH$}Cq0~D^LC`EO5JB+Y+p$dMi?c< zBBdO!PO&TV9bxzxzRD!b<`nn_+w^)KY!izWoJdh20>Vwjj)2e`cEtVe8QskbdFrN3 z`rCGz`g;5|ro^=cOs@^Qz)0v{VZLQrV!hOQp5!isD)7yxACCA#lQj@2s5^`~=z}FvNu^229i?DLiOr$oOm5!QfIAAI|J*HkGi#J!d>j>cb854K08 z7@#p%JuQ#5=MBB=7Ao%gtC2~PHrC$7+I4K(4FMW~KhvqMRj&QH$QOO5K~Zs@-9%5L zh$k=6HXtzm8zuk#=%m67h5%aWu9n}UCYTR@mG>>F)7~vga7mD2w5{MboBiz+<*u4b zI2DoiO65bS%OzGC&G*}2!q!{RXIf{+?o~@e0!O!!nK&$W_){+M%lSj-V(Q^guanFv z-7r>^i?A|JHUaY99?l5io1a6pE{qC=0uC@OYRcDn^&h2w4e*62`eArF!Ysg+V4m}w zaErkPjk_+6j{lh}=BW-Y8WTzEXbDHTLT25(4L)4Gg^N)JJvXMDPrXTN5*CMGVkGi- zBCw@R_b%Cdy^(!n(ZLaz?DNIRl`^br0L;$C14Ek(?=Tsuxb$8m8=aO5BU*V0M@Dxt zR4`k&Hf}%HouApa_DcaTIQTWdx}@_noymKivfxs*0)*JU&05-OM}h3`v4H3~pq*RY z3DEK_od~IQJQReZqpG9-tzZ?Vd5ErCfKAUw1jZ< zA{Knf&g!)<0UYjxJM3Fr=H%5-+v&ZfQ_B;Pk=d!YkKJ*561tx5Xbg&`lx{dPZKK(l z@@KNaV=pwXqM}66LF&~?D!z^n$LL)Wd_Ru)jnVd8m5<(tof_QJNWN>{`6{+-0pq8< zfh_BTR`XqsiisVLkr`%!bmBA<_kyd<+EzNE5asN8xJeCNh58p=*WjSQ1v*}uizw31 zJ5)O8B9>UVyv`tss#o#>&0f zGo>f2n`_}lsU%~|Rsv}(M!$NFH$Rmvrw~lY_~3%h)Mwy|G>E(n z468t@khgjiUib=9PU)c{D-qaL}0G*&OEY;K=ohd#B$QH5AP(GlP^iTaBlkQLrk~sw$=ej~ z1F_n>@MA7L`py+ilZpjzh;e;=H0%EHdQL>sjYt)211e9w=Eb?=5)DGx(wU5o?^+gK zYVVZ$hP5U23e%8$Yd7_#)M|pOG`IU;74|{PjOw@)W`qk72G)ipWTjMdP|D8DIf%2+ z84(?#9r$tFonECRgN0qn)uXg&<>x#3v2C@N7pLEgAy{Bi7FGyaAnnGYkJI<6K=`QX zO7?xeA7pY@C_1v~3^{ahZbTB+dj+)VJB2%+OV9B)7zi(?Cpf=IPQ#a3#>az<+egUL zP(NS7Xi~tR>KJIUUCp$Mc}VIhYEDED^sbMD9m{g z&)jR~#-+1Zci|A5;)O~S<0zRnK;JnBeH?saiJp`e1b;wK7Zm?U0tNdK2F>8=w;IS9 z?l?<%Vh2Ge+V_xH;f^E<{pt*It>m*jwjIJIwJSc3-DU*k7y4IQewJPz`ON^2jdzO@ z3erb@3dk;Wlw?m1lsx?UlqxMEl$I?t&M4MNcT?r8o4=qQknXFqw%u}dNOA6vHbRZm zAE!J~b0+)c#uxP=Ch_*CW0~p>R|X3tkkkMj$+xSImfbBNy05$;-$*7zyd~1U`aQa- zulhZ5NQ$xu&BOi#%qox5iWVi`&jzXP`4jVP)QFYn@2> zK`X>c2cEZDaw9HVsCqZIm%PFB*JX(ePr543QoyG)N@n2dGG_eZavcpgjK5A#IZR3;oLV&e_Xjuz zCQF;J@U)coVFTXF5T`v?eEO2C0v~kqv!l~e5Z0+uB6u7vVZj&!EAPM?S3g9WJ{P`o zw0z^cTi5_O)^7sLOqkQTB&@iSK_dSEWziJ%u5k{!*i3Q3&}5{}SY$rwos7s-E2W|eu>U7T!T#%*+%Qq&edNmQ_BzpU0Cf7L8V<I>})Y z{lR&GnrFN?d2RSG<${OyaWAq?>!BQU$Ih`jG1p28Y9kZF`!y`$h`K`eBKh7K4xzDY zIU(`7dR0E~X?@2GsyjMyl-&9L&>$>!IZ+@aRsRk#NCi)?n6iwN1o75L*8^eT25LJ+ zcy1E3-5YB<4k4k_j-*WH3o4}blI&>2i@N}&4DFra8JEqWWWEvo(Wx-% zN4?E`Mh;@u7AQGwKO)j;gY9NnH2x0Bc|!0?%3UZ(e8+Q56bYH;3d&L6fEFz?SzUh(oz1C(%y+xe zl|@;*^7kFwxJC&mSK2;4^sFVZJzQNYvH`0Sh(8$!$=^vLTnsQ!sZVF(4c z-u?=(rrXF9g&EeQ|2yB}qnvv;SiPnWSqK!{bD`fSm0=UlzoAUN%#B~GOe!1?KSFr7 zaw2C^61tz+`tv^|>!~izR&0}rt7vzYRGm2{P<(X+4Fvw+h7%9VAdh=}zW>O(^N@TR z;K}i;coC*MZg%HRSdX$fgf8dA6-y=VrY*pR8>EVI`R33wH|GU~Gw@?Q3b~`vrHi`C z;*{iEycPSeK<7TzW${81rKdUSMmT+`yFLguMcLuYrfNHE>lSm{_o9+lb~`x_hpxNh zghI2l{)BNqmNL}TzEg;O40d$~6+N!GCuK<}HJ+_+q2M_GIC5>?w^AN@Y7sGdfB$^; zUBE)EAuw^FckhwCd8MA?BWid^_s-+6*5mztC-7Z_0H^-LC3)!&7)oq3^LoEp28Ene zj-d`%5zpE{etgFiu1W|C7*~jP<26^jR0p_wkehBwO|kyUVdaPxbCuzGx(?hW3dOBe z1+mM>Re4Oqb0-r>)}^i~y5xlWK;`R~eDRNdb3Q+V39p8c5v>PX4BKHuU?Q{JZn7v& zIL3HK1W+JMa+&ur;+oQ+Ag{};g~yUM;r6k515~T;8cvLZW^W_ZXK#kwg*YnuZTQ2{I*x`%L^ntr&Cr^1f_kjq z5c3+NX9^q*qda8V_DZ)PltI5!UVFD@@_GZ5{6qCM^<;JS`oXqEp(5w!B{!WQfU(Nu#6P#*n@0v!S(`x8{qo&Z>wYqF1(?G_kITwKOeg(e zZHot(;`K<>xB#&_seMHP6U$}kedT-rrHV<+t;|XPlPIL>OXkdPo!snRRh71FQFg2J zDdxLqTQ6nT@|a57($FHbmTXiGPTyCt(+cUB~kB&pK3FwQ9yX?q?J` zkbA4|ER{lnx(peV|AY+tMNbvOc)8s0RBfWVWVPdWF|Ht5&jx&@Kx}aJhj<~|2Ns37 zVxC%&66`S91le3Eh4n{`R`o9~#VHI+icM-a%S8dD<3uo*7DU8l2vA@_3#RWAgPU_d zn=anncuCd|F!-}mc-g12FGYWA>!vztK&@-FC(YJ;U9_~HF}q zPky3o;h`WDCb$JMF-h8$1~=o!8OkLnQ+%KY^f*-3 z|F+56a;wvv?M%9xsvoFe7HB$!v$Q;T?mT*KCZp>py35Iloi!n-Ry}bGq|UKoOTH%W zcK`ZGs#PI#a8IVVEh08cpt!iM&J*c|3#gUzK*HDMOz_L57ZzPxmVL!`{!%a}b;gv1Ze zG-OeC4-lK=(BXFu5>bos95HkH0g-ynaCp+bLN&U}8Ud;`$ux$eUNP^~JLU*%4u-_t3@Y2gyhj){i$mV*DMy_n^sb^_WvPz` z0x=74dvLxRr4QVk*szl(q>nrql$@_2`4Po+DeMwRy%E`F1QXu}q%;)dvlS%p*hfsW zZ8}z$xt_yNhoGJ;o|RMafA@1g+#lHbw*)I05_Gf&Ick%QDARDlpt>Sjg#JfGV;@O#rd&hx6^Uu) zqcf)3mUIF_@1O}+qWZH4&u|XxcLt_V5BC{rH5@n*$_O7uNz!@Ccp_OG);kA{834G+ z2}%8nJv33#mjXm;(z6I%*J4M5P-69?)7&=F8+};5$QK!mTM?a`iwpk`14qbv3-X`# zLz`r4qcwuQaxT-Do5_~gzjDcj6hCq7XdD^(rVSW{-)eBsi2v*u?Bn~ktz}&X{>Eoo zaohKlgdQWkeVRycEheYIoxt?GRKmGv{0ceCfLZeH<|}AfIj2tV+<|xBt=Wz0hb==x zCmzm#Y9)=^j&@$w@tbU)tKv7N4bOdgi+oN_%5;s*mYNGW-WPX_tNrLukXM?fOmafn zu1}j4;kXn;?KKGq*LJRV&ZMDtB@@b*0W&qd-oGV)LsggWZ@zXO;m#KxVr#CH^2!AM z59^fQFVGZ>ZP7xnxiXhZUe(X#+~a>LK5NVsGBiX8f~tOJ^s@VYEoigKc0C8qgJ zSm8tg-Nf_!+`8yW`N>Oe#Feks8yu#m;;e2|Ht)7Hx@a9rS?3l{0W?!~+rp!32U8Q5 z=HAC+$S|EnW{O)&?pXZl6&K@;+sx2|r5r((rwbqakMS7F41;yQv3xD*6_Vx4#%`F; zP5tADW@h{CP%yjJrO^d_N zVJD;uN5?jw73y`|pS(WooGdW{nOvws6wF?HP1t!?Rc6!9gOD#{KeM=`%zAL=DArpU zRZ~#|6%Wyv6>56kj}o_WKv?f=dcB2$Phb$vGP4nXV_J-Dznv}J{tIMjK0Rhd4w4_$ zVY7D@eYk0Og@7lKHnP*|^OQrs4G#Q{8Puka)5It`pGvGfrZP`t?7T*IjKZ~Kg?$^m z%z;u~5Q2erT!1Y+?c;Sp_VtP5Q+RnW=YULcx?juB&2j`Xq&|H{E?if-OuX=xH->0^ zB(lGPH&Js*!}-;g7MAId#z|_$56Zrf&3T^Ym!v_^J7+Zp1Y?EsUq2!BrT_M3It9xR z18rLt?N#9D*0{vk3f_^?uG$vJO?I+?w?nMoGo7Bd5{0*Do@y_1Nn}cFmgf;hv@l>H z_oau1YA;jtQ(?P)35q^BZi0O(G1|QqVF%$e?L6X_~F@*9Gpy~S8OwnN0 zAyH>bIg8Srt~UT?OX_`-S=KP=klq|s|G0Z}3^E1T(wP$MZVj6Nqrg0~YV1H8NEE?8 zua?zV^Wl2H_2zkb2+hNx0W)NEzbrCa%bRhA4z+Vg6smGtV%++$rbX;P@H?zI=th~6 zO=TjQ1ry7-tIt^MxI|liT7~HiIu=fP8zmdtkdlP480tG*EL8HvI&qA;rI@Mb%Beh} zFCA4$g)-UUz0fYa*jV}3)f>nk$`;NsSnA zHWbgW{cntq|6)e#)>GqRh3ca81$t58VoAooD9aD8_6n+vVJ_cMjAtpPS6Wj zLq?W=CCki@gqpr*6R&DA5gLX4p3IVJV&-Svo6KxfGiJTH;4iS4mQn{}d3J_(F70kG zP~P`?VwVYj#W3R9_w5QfQ_+)JmBUz;GB#z65w{4YDLqz(`5;WvQnYVWCKng~DOLtY z3Nu0-Zd|ZI9K#$?jxaDTkXxo*sgl9*kB~ep+#wB{_8(P@m64Qjpi@O6RiKJJgxEPT zqx%m0aL*(>?5qRm8&pTix-zM%d=tVhlG#O*EJpvpr0UdW92>rcBw38VQ6)nXn-(k6 z_wWghsbnG9#{0l9r?~7hM|S zgR#oFX44!|62*V*#POy{F&uGhQ>OLtS0oYY6SBsD-sn4S%%b3kjEC~$8Mw@9@d4Md z&QKX7{OVFxvw^$99L%@dPlIgYQt#`*6jXT(CIj5mZoS~0;$Gwh2w56ix;(}^hdc>x z{k)Z@A~x~%Cmarw+Bc{Kmr1-;j7;jNfQj4_2ZZcrM6^QK4qfHqc$(;pspo=19+qT;M1bep( zF+?z|JS;cdpZt_`z}~@*M2*Ug`I9o3saby*EbSB-N)gZ$g3Q~dGz?a$duK9WOwo_b z+l2%4zs^mfpuI+p!e{rt5D#XG$L27Z?m+(I68^EWgb*M$Vh@OoWCLO&)73O=;;=M; zxpo^A;)*BX1dd|24!z(%LLVr3Og&y{$&tw{HF<%jMHu42{d6V+2=6N~#OwbYZd8_? zAualFFIR*?xm;4n1bN(I!D--Qe70@pHX!&Z_5)xnM=wg?vllG3?RX%S_qU#^!=4a$2

`^8UTyhQ;56#abB)n81!-k28KS~93G!t^+hb0>H3>^aHNM15XVAa0yYz8 zN+9GQy8-Y9Kj0Z$s4NhBt=lcxFxzI?77}JQ$Y5jzW|M(?DIiYOFF@8E$xw)sR={G2 zzd*!u05^w;z~}tGT^ey=y~qRy zUVwN1b2#lWacd4{reNhY9uVA1qCo2ELtb#4pjxwmf%ynGF10a^CW5-=rScmJUl7t2 zHxM027WuM(w{(J(V|((o`lp0v0qtL|Ak=GbS2@KBbKrNVEf3jRe_wsFue!vTpAe@L4!S!$c_zyL%LaZ$@9WlqJ%M$$h)210p z^Dcgw-vH66lkbtG*AMcx+$z4+3?+-e_b6o3`_a1DRJHJEu+aL#Upr!0O53Cg;B|sI z1J^X7QL9{?qYI6ENtZj|cs(==_NgbL?%Hy4h`sk#_nA}{Yd=gFMH{SXIj8IsN8@I6 z^t(s#`0fk9bWQt1NnZ2HvP$tUN~3x?|0$K*ny_71!5+!XGPuO!{AdF|WYpz%ByXa;=ht-BDlaZ3WjoMA?zwxFoc<)A`D%)CyP`+3RD-tg$Cc3ri zlfQ>)p<$AC!y2PJK%)p|K;FXJkz{5c5kGVPE-Xb0twP=KRx#)16m8j7 zg+p~9nsUeI@3iG-Q3hB>-ga&X-H)pkbSp;QXLxm{{xF*^b$fc5Iu9$C)>_QE&t)}- zg|KXT-`vT`6GUak$O5ko2_IQeo{0zvhv{;P=B#zd{Vm_|shb71H<#G?5o3PgAMT#& zXoo3O&JMDd5WB5!*HlrmgmTBid?dFO8yW~}!974=PO$a0f@)c!*sN~PfTW{KJAwe@ zAg!jT7t`}B=+w!I1wq3Q+Y_yVkmLIF*@IpMNpDoxDRbX9g;OZpQpiE3Nc8^%zv176 zqD+yHtN_0;vI^m(7YX~UdfE=(t%3T3Av5O#CSk;HNQ@bJL;msYuY(sI+xM@@#BdS4 z9L;N|DT3@`vD9gdYM=TdawogNV zK1(2gl;t2Gai9=EfBs;|B9#An@n0)A5b}S&6*&?8^U~bZ(b&|HRYMyd#OoGoKnN`V z0Kf*p1CI^T2xUu9s7*D{6dE4{LjMR#{69SW2Pit=;r7`{hL8aW#6%7WBK|i}9?1U*wx*rC5nv!2I}fvz zp@NZ<6^psE)1SDp0}j9aAE*C`Ist8gU{eeNWsN|ANd66V{NvxFs(rS$QUhS^{x8@n zTyef4C=e(L2?WCVH`pX3i2u%ve;UhT;%NPU!L@nFb>atrK!+EQ|6yf3+TXwdzAGC! zIhz7j+W&8`m_SIIbQ)Zsf#9XXfItNQW+hzQ-@!WD*|XT&{T0u5KrA``CY~&nsMeRX zAW+pP=szqqPWU@WF~k3gr}6(8&$8}2G+Mw?1;A3Wf3vir?eAbEP0URV#lJW^v6$Pi z{cj=olT}grrNy$?K&m=`{v)e6I{yw>?7y?UJe_87Mm!*I3{;{XR U!2Ss#2Jo-}hCSUF8hH2r0S+ct4FCWD literal 0 HcmV?d00001 diff --git a/Voyager128.kicad_pcb b/Voyager128.kicad_pcb new file mode 100644 index 0000000..ce5991e --- /dev/null +++ b/Voyager128.kicad_pcb @@ -0,0 +1,3737 @@ +(kicad_pcb (version 20171130) (host pcbnew "(5.1.5-0-10_14)") + + (general + (thickness 1.6) + (drawings 27) + (tracks 665) + (zones 0) + (modules 22) + (nets 71) + ) + + (page A4) + (layers + (0 F.Cu signal) + (31 B.Cu signal) + (32 B.Adhes user) + (33 F.Adhes user) + (34 B.Paste user) + (35 F.Paste user) + (36 B.SilkS user) + (37 F.SilkS user) + (38 B.Mask user) + (39 F.Mask user) + (40 Dwgs.User user) + (41 Cmts.User user) + (42 Eco1.User user) + (43 Eco2.User user) + (44 Edge.Cuts user) + (45 Margin user) + (46 B.CrtYd user) + (47 F.CrtYd user) + (48 B.Fab user) + (49 F.Fab user) + ) + + (setup + (last_trace_width 0.1524) + (user_trace_width 0.2) + (user_trace_width 0.254) + (user_trace_width 0.508) + (user_trace_width 0.762) + (user_trace_width 1.016) + (user_trace_width 1.27) + (user_trace_width 1.524) + (trace_clearance 0.1524) + (zone_clearance 0.15) + (zone_45_only no) + (trace_min 0.1524) + (via_size 0.6) + (via_drill 0.3) + (via_min_size 0.4) + (via_min_drill 0.3) + (user_via 0.8 0.4) + (user_via 1.524 0.762) + (uvia_size 0.3) + (uvia_drill 0.1) + (uvias_allowed no) + (uvia_min_size 0.2) + (uvia_min_drill 0.1) + (edge_width 0.15) + (segment_width 0.1524) + (pcb_text_width 0.3) + (pcb_text_size 1.5 1.5) + (mod_edge_width 0.15) + (mod_text_size 1 1) + (mod_text_width 0.15) + (pad_size 1.05 1.4) + (pad_drill 0) + (pad_to_mask_clearance 0.0762) + (solder_mask_min_width 0.127) + (pad_to_paste_clearance -0.0381) + (aux_axis_origin 0 0) + (visible_elements FFFFEF7F) + (pcbplotparams + (layerselection 0x010f8_ffffffff) + (usegerberextensions true) + (usegerberattributes false) + (usegerberadvancedattributes false) + (creategerberjobfile false) + (excludeedgelayer true) + (linewidth 0.100000) + (plotframeref false) + (viasonmask false) + (mode 1) + (useauxorigin false) + (hpglpennumber 1) + (hpglpenspeed 20) + (hpglpendiameter 15.000000) + (psnegative false) + (psa4output false) + (plotreference true) + (plotvalue true) + (plotinvisibletext false) + (padsonsilk false) + (subtractmaskfromsilk true) + (outputformat 1) + (mirror false) + (drillshape 0) + (scaleselection 1) + (outputdirectory "gerber/")) + ) + + (net 0 "") + (net 1 +5V) + (net 2 GND) + (net 3 /A4) + (net 4 /D7) + (net 5 /D6) + (net 6 /A8) + (net 7 /A7) + (net 8 /A6) + (net 9 /A5) + (net 10 /A3) + (net 11 /A2) + (net 12 /A1) + (net 13 /A0) + (net 14 /A9) + (net 15 /D1) + (net 16 /D5) + (net 17 /D0) + (net 18 /D2) + (net 19 /D3) + (net 20 /D4) + (net 21 /A10) + (net 22 +12V) + (net 23 -12V) + (net 24 -5V) + (net 25 /~IOSEL~) + (net 26 /A11) + (net 27 /A12) + (net 28 /A13) + (net 29 /A14) + (net 30 /A15) + (net 31 /~IOSTRB~) + (net 32 /RDY) + (net 33 /DMA) + (net 34 /~NMI~) + (net 35 /~IRQ~) + (net 36 /~RES~) + (net 37 /~INH~) + (net 38 /COLORREF) + (net 39 /7M) + (net 40 /Q3) + (net 41 /PHI1) + (net 42 /USER1) + (net 43 /PHI0) + (net 44 /~DEVSEL~) + (net 45 /INTin) + (net 46 /DMAin) + (net 47 /VIDSYNC) + (net 48 /R~WE~) + (net 49 /R~CS~) + (net 50 /RA15) + (net 51 /RA16) + (net 52 /RA14) + (net 53 /RA12) + (net 54 /RD7) + (net 55 /RD6) + (net 56 /RD5) + (net 57 /RD4) + (net 58 /RD3) + (net 59 /RD2) + (net 60 /RD1) + (net 61 /RD0) + (net 62 "Net-(U2-Pad1)") + (net 63 /BankAB) + (net 64 /ENWR1) + (net 65 /~INH~b) + (net 66 /ENRD) + (net 67 /ENWR) + (net 68 "Net-(U4-Pad12)") + (net 69 "Net-(U4-Pad10)") + (net 70 /R~CS~1) + + (net_class Default "This is the default net class." + (clearance 0.1524) + (trace_width 0.1524) + (via_dia 0.6) + (via_drill 0.3) + (uvia_dia 0.3) + (uvia_drill 0.1) + (add_net +12V) + (add_net +5V) + (add_net -12V) + (add_net -5V) + (add_net /7M) + (add_net /A0) + (add_net /A1) + (add_net /A10) + (add_net /A11) + (add_net /A12) + (add_net /A13) + (add_net /A14) + (add_net /A15) + (add_net /A2) + (add_net /A3) + (add_net /A4) + (add_net /A5) + (add_net /A6) + (add_net /A7) + (add_net /A8) + (add_net /A9) + (add_net /BankAB) + (add_net /COLORREF) + (add_net /D0) + (add_net /D1) + (add_net /D2) + (add_net /D3) + (add_net /D4) + (add_net /D5) + (add_net /D6) + (add_net /D7) + (add_net /DMA) + (add_net /DMAin) + (add_net /ENRD) + (add_net /ENWR) + (add_net /ENWR1) + (add_net /INTin) + (add_net /PHI0) + (add_net /PHI1) + (add_net /Q3) + (add_net /RA12) + (add_net /RA14) + (add_net /RA15) + (add_net /RA16) + (add_net /RD0) + (add_net /RD1) + (add_net /RD2) + (add_net /RD3) + (add_net /RD4) + (add_net /RD5) + (add_net /RD6) + (add_net /RD7) + (add_net /RDY) + (add_net /R~CS~) + (add_net /R~CS~1) + (add_net /R~WE~) + (add_net /USER1) + (add_net /VIDSYNC) + (add_net /~DEVSEL~) + (add_net /~INH~) + (add_net /~INH~b) + (add_net /~IOSEL~) + (add_net /~IOSTRB~) + (add_net /~IRQ~) + (add_net /~NMI~) + (add_net /~RES~) + (add_net GND) + (add_net "Net-(U2-Pad1)") + (add_net "Net-(U4-Pad10)") + (add_net "Net-(U4-Pad12)") + ) + + (module stdpads:AppleIIBus_Edge (layer F.Cu) (tedit 5CFDB600) (tstamp 5D312B09) + (at 106.68 135.382) + (path /5CFC517D) + (attr virtual) + (fp_text reference J1 (at 25.4 -5.08) (layer F.SilkS) hide + (effects (font (size 1 1) (thickness 0.15))) + ) + (fp_text value AppleIIBus (at 0 5.207) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_line (start -32.512 4.318) (end -32.512 -3.81) (layer B.Fab) (width 0.127)) + (fp_line (start 32.512 4.318) (end -32.512 4.318) (layer B.Fab) (width 0.127)) + (fp_line (start 32.512 -3.81) (end 32.512 4.318) (layer F.Fab) (width 0.127)) + (fp_line (start 32.512 4.318) (end -32.512 4.318) (layer F.Fab) (width 0.127)) + (fp_line (start -32.512 4.318) (end -32.512 -3.81) (layer F.Fab) (width 0.127)) + (fp_line (start 32.512 -3.81) (end 32.512 4.318) (layer B.Fab) (width 0.127)) + (fp_text user J1 (at 25.4 -5.08) (layer B.SilkS) hide + (effects (font (size 1 1) (thickness 0.15)) (justify mirror)) + ) + (pad 26 smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 27 smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 46 /DMAin)) + (pad 28 smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 45 /INTin)) + (pad 50 smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 22 +12V)) + (pad 49 smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 17 /D0)) + (pad 48 smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 15 /D1)) + (pad 47 smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 18 /D2)) + (pad 46 smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 19 /D3)) + (pad 45 smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 20 /D4)) + (pad 44 smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 16 /D5)) + (pad 43 smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 5 /D6)) + (pad 42 smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 4 /D7)) + (pad 41 smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 44 /~DEVSEL~)) + (pad 40 smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 43 /PHI0)) + (pad 39 smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 42 /USER1)) + (pad 38 smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 41 /PHI1)) + (pad 37 smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 40 /Q3)) + (pad 36 smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 39 /7M)) + (pad 35 smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 38 /COLORREF)) + (pad 34 smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 24 -5V)) + (pad 33 smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 23 -12V)) + (pad 32 smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 37 /~INH~)) + (pad 31 smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 36 /~RES~)) + (pad 30 smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 35 /~IRQ~)) + (pad 29 smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers B.Cu B.Mask) (roundrect_rratio 0.25) + (net 34 /~NMI~)) + (pad 25 smd roundrect (at 30.48 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 24 smd roundrect (at 27.94 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 46 /DMAin)) + (pad 23 smd roundrect (at 25.4 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 45 /INTin)) + (pad 22 smd roundrect (at 22.86 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 33 /DMA)) + (pad 21 smd roundrect (at 20.32 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 32 /RDY)) + (pad 20 smd roundrect (at 17.78 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 31 /~IOSTRB~)) + (pad 19 smd roundrect (at 15.24 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 47 /VIDSYNC)) + (pad 18 smd roundrect (at 12.7 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 48 /R~WE~)) + (pad 17 smd roundrect (at 10.16 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 30 /A15)) + (pad 16 smd roundrect (at 7.62 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 29 /A14)) + (pad 15 smd roundrect (at 5.08 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 28 /A13)) + (pad 14 smd roundrect (at 2.54 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 27 /A12)) + (pad 13 smd roundrect (at 0 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 26 /A11)) + (pad 12 smd roundrect (at -2.54 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 21 /A10)) + (pad 11 smd roundrect (at -5.08 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 14 /A9)) + (pad 10 smd roundrect (at -7.62 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 6 /A8)) + (pad 9 smd roundrect (at -10.16 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 7 /A7)) + (pad 8 smd roundrect (at -12.7 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 8 /A6)) + (pad 7 smd roundrect (at -15.24 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 9 /A5)) + (pad 6 smd roundrect (at -17.78 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 3 /A4)) + (pad 5 smd roundrect (at -20.32 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 10 /A3)) + (pad 4 smd roundrect (at -22.86 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 11 /A2)) + (pad 3 smd roundrect (at -25.4 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 12 /A1)) + (pad 2 smd roundrect (at -27.94 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 13 /A0)) + (pad 1 smd roundrect (at -30.48 -0.1 180) (size 1.524 7.34) (layers F.Cu F.Mask) (roundrect_rratio 0.25) + (net 25 /~IOSEL~)) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5DE13139) + (at 77.05 127.889) + (tags capacitor) + (path /5E8640A9) + (attr smd) + (fp_text reference C5 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 2u2 (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (pad 2 smd roundrect (at 0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 1 smd roundrect (at -0.85 0) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 22 +12V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:PLCC-28 (layer F.Cu) (tedit 5DE0AC9D) (tstamp 5DE6E99A) + (at 119.761 118.745) + (descr "PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py") + (tags "PLCC LCC") + (path /5E740F35) + (attr smd) + (fp_text reference U3 (at 0 0) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value GAL22V10 (at 0 1.27) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start 4.37 -5.825) (end 5.825 -5.825) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.825 -5.825) (end 5.825 -4.37) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.37 5.825) (end -5.825 5.825) (layer F.SilkS) (width 0.1524)) + (fp_line (start -5.825 5.825) (end -5.825 4.37) (layer F.SilkS) (width 0.1524)) + (fp_line (start 4.37 5.825) (end 5.825 5.825) (layer F.SilkS) (width 0.1524)) + (fp_line (start 5.825 5.825) (end 5.825 4.37) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.37 -5.825) (end -4.652782 -5.825) (layer F.SilkS) (width 0.1524)) + (fp_line (start -4.652782 -5.825) (end -5.825 -4.652782) (layer F.SilkS) (width 0.1524)) + (fp_line (start -5.825 -4.652782) (end -5.825 -4.37) (layer F.SilkS) (width 0.1524)) + (fp_line (start 0 -5.007893) (end 0.5 -5.715) (layer F.Fab) (width 0.1)) + (fp_line (start 0.5 -5.715) (end 5.715 -5.715) (layer F.Fab) (width 0.1)) + (fp_line (start 5.715 -5.715) (end 5.715 5.715) (layer F.Fab) (width 0.1)) + (fp_line (start 5.715 5.715) (end -5.715 5.715) (layer F.Fab) (width 0.1)) + (fp_line (start -5.715 5.715) (end -5.715 -4.575) (layer F.Fab) (width 0.1)) + (fp_line (start -5.715 -4.575) (end -4.575 -5.715) (layer F.Fab) (width 0.1)) + (fp_line (start -4.575 -5.715) (end -0.5 -5.715) (layer F.Fab) (width 0.1)) + (fp_line (start -0.5 -5.715) (end 0 -5.007893) (layer F.Fab) (width 0.1)) + (fp_line (start 0 -6.55) (end 4.36 -6.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 -6.55) (end 4.36 -5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 -5.96) (end 5.96 -5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 -5.96) (end 5.96 -4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 -4.36) (end 6.55 -4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.55 -4.36) (end 6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 6.55) (end -4.36 6.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 6.55) (end -4.36 5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 5.96) (end -5.96 5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 5.96) (end -5.96 4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 4.36) (end -6.55 4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.55 4.36) (end -6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 6.55) (end 4.36 6.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 6.55) (end 4.36 5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start 4.36 5.96) (end 5.96 5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 5.96) (end 5.96 4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.96 4.36) (end 6.55 4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start 6.55 4.36) (end 6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 -6.55) (end -4.36 -6.55) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 -6.55) (end -4.36 -5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.36 -5.96) (end -4.68 -5.96) (layer F.CrtYd) (width 0.05)) + (fp_line (start -4.68 -5.96) (end -5.96 -4.68) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 -4.68) (end -5.96 -4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.96 -4.36) (end -6.55 -4.36) (layer F.CrtYd) (width 0.05)) + (fp_line (start -6.55 -4.36) (end -6.55 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 1 smd roundrect (at 0 -5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 2 smd roundrect (at -1.27 -5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 44 /~DEVSEL~)) + (pad 3 smd roundrect (at -2.54 -5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 41 /PHI1)) + (pad 4 smd roundrect (at -3.81 -5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 13 /A0)) + (pad 5 smd roundrect (at -5.5625 -3.81) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /A1)) + (pad 6 smd roundrect (at -5.5625 -2.54) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /A2)) + (pad 7 smd roundrect (at -5.5625 -1.27) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 /A3)) + (pad 8 smd roundrect (at -5.5625 0) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 9 smd roundrect (at -5.5625 1.27) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 27 /A12)) + (pad 10 smd roundrect (at -5.5625 2.54) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /A13)) + (pad 11 smd roundrect (at -5.5625 3.81) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 29 /A14)) + (pad 12 smd roundrect (at -3.81 5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 30 /A15)) + (pad 13 smd roundrect (at -2.54 5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 48 /R~WE~)) + (pad 14 smd roundrect (at -1.27 5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 15 smd roundrect (at 0 5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 16 smd roundrect (at 1.27 5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 36 /~RES~)) + (pad 17 smd roundrect (at 2.54 5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /RA16)) + (pad 18 smd roundrect (at 3.81 5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 50 /RA15)) + (pad 19 smd roundrect (at 5.5625 3.81) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /RA14)) + (pad 20 smd roundrect (at 5.5625 2.54) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 64 /ENWR1)) + (pad 21 smd roundrect (at 5.5625 1.27) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /RA12)) + (pad 22 smd roundrect (at 5.5625 0) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25)) + (pad 23 smd roundrect (at 5.5625 -1.27) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 /~INH~b)) + (pad 24 smd roundrect (at 5.5625 -2.54) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 63 /BankAB)) + (pad 25 smd roundrect (at 5.5625 -3.81) (size 1.475 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 66 /ENRD)) + (pad 26 smd roundrect (at 3.81 -5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 67 /ENWR)) + (pad 27 smd roundrect (at 2.54 -5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 70 /R~CS~1)) + (pad 28 smd roundrect (at 1.27 -5.5675) (size 0.6 1.475) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KIPRJMOD}/../stdpads.3dshapes/PLCC-28.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 180)) + ) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5DE2E23B) + (at 78.994 106.553 180) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5D321DA8) + (attr smd) + (fp_text reference FID3 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0 180) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5D0D2B78) (tstamp 5DE2E234) + (at 81.534 106.553 180) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /607FA435) + (zone_connect 2) + (attr virtual) + (fp_text reference H3 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 180) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5DE2C990) + (at 70.358 115.189 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5D321D2B) + (attr smd) + (fp_text reference FID2 (at 0 -1.6 90) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 2 90) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (pad ~ smd circle (at 0 0 90) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5DE2B53A) + (at 136.906 106.553 270) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5D319AED) + (attr smd) + (fp_text reference FID1 (at 0 -1.6 90) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 2 90) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad ~ smd circle (at 0 0 270) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5DE2C9B7) + (at 70.358 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5D3223BF) + (attr smd) + (fp_text reference FID5 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:Fiducial (layer F.Cu) (tedit 5CFD71CD) (tstamp 5D3123D8) + (at 136.906 124.841) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5D322056) + (attr smd) + (fp_text reference FID4 (at 2.032 0) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value Fiducial (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad ~ smd circle (at 0 0) (size 1 1) (layers F.Cu F.Mask) + (solder_mask_margin 0.5) (clearance 0.575)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5D0D2B78) (tstamp 5DE2C9CC) + (at 70.358 117.729 90) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /607FA434) + (zone_connect 2) + (attr virtual) + (fp_text reference H2 (at 0 -1.6 90) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2 90) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 90) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5CC28323) (tstamp 5DE2B519) + (at 134.366 106.553 270) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /607FA433) + (zone_connect 2) + (attr virtual) + (fp_text reference H1 (at 0 -1.6 90) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2 90) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0 270) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5CC28312) (tstamp 5DE45E2A) + (at 133.35 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC7E0C0) + (zone_connect 2) + (attr virtual) + (fp_text reference H4 (at -1.905 0) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:PasteHole_1.1mm_PTH (layer F.Cu) (tedit 5CC2832B) (tstamp 5DE2C9F6) + (at 72.898 129.54) + (descr "Circular Fiducial, 1mm bare copper top; 2mm keepout (Level A)") + (tags marker) + (path /5CC871F0) + (zone_connect 2) + (attr virtual) + (fp_text reference H5 (at 0 -1.6) (layer F.SilkS) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_text value " " (at 0 2) (layer F.Fab) hide + (effects (font (size 0.508 0.508) (thickness 0.127))) + ) + (fp_circle (center 0 0) (end 1 0) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.4 0.4) (thickness 0.06))) + ) + (fp_circle (center 0 0) (end 1.25 0) (layer F.CrtYd) (width 0.05)) + (pad 1 thru_hole circle (at 0 0) (size 2 2) (drill 1.1) (layers *.Cu *.Mask) + (net 2 GND) (zone_connect 2)) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5DE4BE60) + (at 120.181 110.109 180) + (tags capacitor) + (path /5D14D1AA) + (attr smd) + (fp_text reference C3 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 2u2 (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5DE2B4F2) + (at 136.31 127.889 180) + (tags capacitor) + (path /5D136B08) + (attr smd) + (fp_text reference C1 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 2u2 (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5E917231) + (at 92.964 126.785 90) + (tags capacitor) + (path /5D14D1B0) + (attr smd) + (fp_text reference C2 (at 0 -1.5 90) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 2u2 (at 0 0.9 90) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:SOIC-20W_7.5mm (layer F.Cu) (tedit 5C97300E) (tstamp 5E4EDFC3) + (at 86.487 114.3 180) + (descr "SOIC, 20 Pin (JEDEC MS-013AC, https://www.analog.com/media/en/package-pcb-resources/package/233848rw_20.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (path /5DE36B22) + (attr smd) + (fp_text reference U1 (at 0 0) (layer F.SilkS) + (effects (font (size 0.8182 0.8128) (thickness 0.2032))) + ) + (fp_text value 74HCT245 (at 0 -1.143) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start 0 6.51) (end 3.86 6.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.86 6.51) (end 3.86 6.275) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 6.51) (end -3.86 6.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.86 6.51) (end -3.86 6.275) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -6.51) (end 3.86 -6.51) (layer F.SilkS) (width 0.12)) + (fp_line (start 3.86 -6.51) (end 3.86 -6.275) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -6.51) (end -3.86 -6.51) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.86 -6.51) (end -3.86 -6.275) (layer F.SilkS) (width 0.12)) + (fp_line (start -3.86 -6.275) (end -5.675 -6.275) (layer F.SilkS) (width 0.12)) + (fp_line (start -2.75 -6.4) (end 3.75 -6.4) (layer F.Fab) (width 0.1)) + (fp_line (start 3.75 -6.4) (end 3.75 6.4) (layer F.Fab) (width 0.1)) + (fp_line (start 3.75 6.4) (end -3.75 6.4) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 6.4) (end -3.75 -5.4) (layer F.Fab) (width 0.1)) + (fp_line (start -3.75 -5.4) (end -2.75 -6.4) (layer F.Fab) (width 0.1)) + (fp_line (start -5.93 -6.65) (end -5.93 6.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start -5.93 6.65) (end 5.93 6.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.93 6.65) (end 5.93 -6.65) (layer F.CrtYd) (width 0.05)) + (fp_line (start 5.93 -6.65) (end -5.93 -6.65) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 1 smd roundrect (at -4.65 -5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 48 /R~WE~)) + (pad 2 smd roundrect (at -4.65 -4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 /RD0)) + (pad 3 smd roundrect (at -4.65 -3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 /RD1)) + (pad 4 smd roundrect (at -4.65 -1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /RD2)) + (pad 5 smd roundrect (at -4.65 -0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /RD3)) + (pad 6 smd roundrect (at -4.65 0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /RD4)) + (pad 7 smd roundrect (at -4.65 1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /RD5)) + (pad 8 smd roundrect (at -4.65 3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 55 /RD6)) + (pad 9 smd roundrect (at -4.65 4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /RD7)) + (pad 10 smd roundrect (at -4.65 5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 11 smd roundrect (at 4.65 5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 17 /D0)) + (pad 12 smd roundrect (at 4.65 4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 15 /D1)) + (pad 13 smd roundrect (at 4.65 3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 18 /D2)) + (pad 14 smd roundrect (at 4.65 1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 19 /D3)) + (pad 15 smd roundrect (at 4.65 0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 20 /D4)) + (pad 16 smd roundrect (at 4.65 -0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 16 /D5)) + (pad 17 smd roundrect (at 4.65 -1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 5 /D6)) + (pad 18 smd roundrect (at 4.65 -3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 4 /D7)) + (pad 19 smd roundrect (at 4.65 -4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 49 /R~CS~)) + (pad 20 smd roundrect (at 4.65 -5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-20W_7.5x12.8mm_P1.27mm.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:SOP-32_P1.27mm (layer F.Cu) (tedit 5B9E9FB6) (tstamp 5DE2A846) + (at 102.362 118.11 180) + (descr "SSOP, 32 Pin (http://www.issi.com/WW/pdf/61-64C5128AL.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SSOP SO") + (path /5DE2589C) + (attr smd) + (fp_text reference U2 (at 1.524 0) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value LY621024 (at 0 -1.143) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start 0 10.3575) (end 0 10.3575) (layer B.Fab) (width 0.12)) + (fp_line (start 0 10.3575) (end 5.6525 10.3575) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 10.3575) (end -5.6525 10.3575) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -10.3575) (end 5.6525 -10.3575) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -10.3575) (end -7.575 -10.3575) (layer F.SilkS) (width 0.12)) + (fp_line (start -4.6525 -10.2475) (end 5.6525 -10.2475) (layer F.Fab) (width 0.1)) + (fp_line (start 5.6525 -10.2475) (end 5.6525 10.2475) (layer F.Fab) (width 0.1)) + (fp_line (start 5.6525 10.2475) (end -5.6525 10.2475) (layer F.Fab) (width 0.1)) + (fp_line (start -5.6525 10.2475) (end -5.6525 -9.2475) (layer F.Fab) (width 0.1)) + (fp_line (start -5.6525 -9.2475) (end -4.6525 -10.2475) (layer F.Fab) (width 0.1)) + (fp_line (start -7.83 -10.5) (end -7.83 10.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start -7.83 10.5) (end 7.83 10.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.83 10.5) (end 7.83 -10.5) (layer F.CrtYd) (width 0.05)) + (fp_line (start 7.83 -10.5) (end -7.83 -10.5) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 1.524 0) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 1 smd roundrect (at -6.55 -9.525 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 62 "Net-(U2-Pad1)")) + (pad 2 smd roundrect (at -6.55 -8.255 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 51 /RA16)) + (pad 3 smd roundrect (at -6.55 -6.985 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 50 /RA15)) + (pad 4 smd roundrect (at -6.55 -5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 52 /RA14)) + (pad 5 smd roundrect (at -6.55 -4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 28 /A13)) + (pad 6 smd roundrect (at -6.55 -3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 53 /RA12)) + (pad 7 smd roundrect (at -6.55 -1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 26 /A11)) + (pad 8 smd roundrect (at -6.55 -0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 21 /A10)) + (pad 9 smd roundrect (at -6.55 0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 14 /A9)) + (pad 10 smd roundrect (at -6.55 1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 6 /A8)) + (pad 11 smd roundrect (at -6.55 3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 7 /A7)) + (pad 12 smd roundrect (at -6.55 4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 8 /A6)) + (pad 13 smd roundrect (at -6.55 5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 58 /RD3)) + (pad 14 smd roundrect (at -6.55 6.985 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 59 /RD2)) + (pad 15 smd roundrect (at -6.55 8.255 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 60 /RD1)) + (pad 16 smd roundrect (at -6.55 9.525 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 17 smd roundrect (at 6.55 9.525 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 61 /RD0)) + (pad 18 smd roundrect (at 6.55 8.255 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 54 /RD7)) + (pad 19 smd roundrect (at 6.55 6.985 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 55 /RD6)) + (pad 20 smd roundrect (at 6.55 5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 56 /RD5)) + (pad 21 smd roundrect (at 6.55 4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 57 /RD4)) + (pad 22 smd roundrect (at 6.55 3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 49 /R~CS~)) + (pad 23 smd roundrect (at 6.55 1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 9 /A5)) + (pad 24 smd roundrect (at 6.55 0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 25 smd roundrect (at 6.55 -0.635 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 13 /A0)) + (pad 26 smd roundrect (at 6.55 -1.905 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 12 /A1)) + (pad 27 smd roundrect (at 6.55 -3.175 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 11 /A2)) + (pad 28 smd roundrect (at 6.55 -4.445 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 10 /A3)) + (pad 29 smd roundrect (at 6.55 -5.715 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 48 /R~WE~)) + (pad 30 smd roundrect (at 6.55 -6.985 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 31 smd roundrect (at 6.55 -8.255 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 3 /A4)) + (pad 32 smd roundrect (at 6.55 -9.525 180) (size 2.05 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SSOP-32_11.305x20.495mm_P1.27mm.step + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5CC26793) (tstamp 5E4DB9ED) + (at 134.7225 112.776 180) + (tags capacitor) + (path /607FA429) + (attr smd) + (fp_text reference C4 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 2u2 (at 0 0.9) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 180) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:SOIC-14_3.9mm (layer F.Cu) (tedit 5D59E3C0) (tstamp 5E4DBA0D) + (at 133.096 118.745) + (descr "SOIC, 16 Pin (JEDEC MS-012AC, https://www.analog.com/media/en/package-pcb-resources/package/pkg_pdf/soic_narrow-r/r_16.pdf), generated with kicad-footprint-generator ipc_gullwing_generator.py") + (tags "SOIC SO") + (path /5E6CF07A) + (attr smd) + (fp_text reference U4 (at 0 0 90) (layer F.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_text value 74LS125 (at 0 5.265) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (fp_line (start 3.7 4.565) (end 3.7 -4.565) (layer F.CrtYd) (width 0.05)) + (fp_line (start 3.7 -4.565) (end -3.7 -4.565) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.7 -4.565) (end -3.7 4.565) (layer F.CrtYd) (width 0.05)) + (fp_line (start -3.7 4.565) (end 3.7 4.565) (layer F.CrtYd) (width 0.05)) + (fp_line (start 0 4.425) (end 1.95 4.425) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 4.425) (end -1.95 4.425) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -4.425) (end 1.95 -4.425) (layer F.SilkS) (width 0.12)) + (fp_line (start 0 -4.425) (end -3.45 -4.425) (layer F.SilkS) (width 0.12)) + (fp_line (start -0.975 -4.315) (end 1.95 -4.315) (layer F.Fab) (width 0.1)) + (fp_line (start 1.95 -4.315) (end 1.95 4.315) (layer F.Fab) (width 0.1)) + (fp_line (start 1.95 4.315) (end -1.95 4.315) (layer F.Fab) (width 0.1)) + (fp_line (start -1.95 4.315) (end -1.95 -3.34) (layer F.Fab) (width 0.1)) + (fp_line (start -1.95 -3.34) (end -0.975 -4.315) (layer F.Fab) (width 0.1)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.8128 0.8128) (thickness 0.2032))) + ) + (pad 14 smd roundrect (at 2.475 -3.81) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 7 smd roundrect (at -2.475 3.81) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 12 smd roundrect (at 2.475 -1.27) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 68 "Net-(U4-Pad12)")) + (pad 8 smd roundrect (at 2.475 3.81) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 37 /~INH~)) + (pad 13 smd roundrect (at 2.475 -2.54) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 6 smd roundrect (at -2.475 2.54) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 68 "Net-(U4-Pad12)")) + (pad 9 smd roundrect (at 2.475 2.54) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 10 smd roundrect (at 2.475 1.27) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 "Net-(U4-Pad10)")) + (pad 11 smd roundrect (at 2.475 0) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 69 "Net-(U4-Pad10)")) + (pad 2 smd roundrect (at -2.475 -2.54) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 70 /R~CS~1)) + (pad 1 smd roundrect (at -2.475 -3.81) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 4 smd roundrect (at -2.475 0) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (pad 3 smd roundrect (at -2.475 -1.27) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 49 /R~CS~)) + (pad 5 smd roundrect (at -2.475 1.27) (size 1.95 0.6) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 65 /~INH~b)) + (model ${KISYS3DMOD}/Package_SO.3dshapes/SOIC-14_3.9x8.7mm_P1.27mm.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:R_0805 (layer F.Cu) (tedit 5CC267AA) (tstamp 5E52DA30) + (at 122.87 129.54 180) + (tags resistor) + (path /5E6C502A) + (attr smd) + (fp_text reference R1 (at 0 -1.5) (layer F.SilkS) hide + (effects (font (size 0.8128 0.8128) (thickness 0.1524))) + ) + (fp_text value 1k (at 0 0.889) (layer F.Fab) hide + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.1)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 90) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.95 0 180) (size 0.85 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 37 /~INH~)) + (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (module stdpads:C_0805 (layer F.Cu) (tedit 5E890AE4) (tstamp 5E917471) + (at 78.867 119.165 90) + (tags capacitor) + (path /5E988E8B) + (attr smd) + (fp_text reference C6 (at 0 0 270) (layer F.Fab) + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (fp_text value 2u2 (at 0 0.4 90) (layer F.Fab) + (effects (font (size 0.127 0.127) (thickness 0.03175))) + ) + (fp_line (start -1 0.625) (end -1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -1 -0.625) (end 1 -0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 -0.625) (end 1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start 1 0.625) (end -1 0.625) (layer F.Fab) (width 0.15)) + (fp_line (start -0.4064 -0.8) (end 0.4064 -0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -0.4064 0.8) (end 0.4064 0.8) (layer F.SilkS) (width 0.1524)) + (fp_line (start -1.7 1) (end -1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start -1.7 -1) (end 1.7 -1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 -1) (end 1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_line (start 1.7 1) (end -1.7 1) (layer F.CrtYd) (width 0.05)) + (fp_text user %R (at 0 0 270) (layer F.SilkS) hide + (effects (font (size 0.254 0.254) (thickness 0.0635))) + ) + (pad 1 smd roundrect (at -0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 1 +5V)) + (pad 2 smd roundrect (at 0.85 0 90) (size 1.05 1.4) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.25) + (net 2 GND)) + (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_0805_2012Metric.wrl + (at (xyz 0 0 0)) + (scale (xyz 1 1 1)) + (rotate (xyz 0 0 0)) + ) + ) + + (gr_text JLCJLCJLC (at 114.3 130.175) (layer B.SilkS) (tstamp 5E85DCB8) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_text "Garrett’s Workshop" (at 79.756 122.682) (layer F.SilkS) (tstamp 5DE78AB5) + (effects (font (size 1.5 1.5) (thickness 0.225))) + ) + (gr_text "ZK, GF" (at 76.2 127.889) (layer B.SilkS) + (effects (font (size 0.8128 0.8128) (thickness 0.2032)) (justify mirror)) + ) + (gr_line (start 139.446 106.553) (end 139.446 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2B8E6)) + (gr_line (start 68.326001 113.664999) (end 77.47 104.521) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2CA1A)) + (gr_line (start 67.818 115.189) (end 67.818 129.54) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2C9A8)) + (gr_arc (start 78.994 106.553) (end 78.994 104.521) (angle -53.1) (layer Dwgs.User) (width 0.01) (tstamp 5DE2C9ED)) + (gr_arc (start 78.994 106.553) (end 78.994 104.013) (angle -36.86989765) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2C9E1)) + (gr_line (start 78.994 104.013) (end 136.906 104.013) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2B4E0)) + (gr_line (start 70.358 132.08) (end 73.914 132.08) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2C9DB)) + (gr_arc (start 70.358 115.189) (end 67.818 115.189) (angle 36.86992923) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2C9EA)) + (gr_arc (start 70.358 115.189) (end 68.326 115.189) (angle 36.9) (layer Dwgs.User) (width 0.01) (tstamp 5DE2C9E7)) + (gr_arc (start 136.906 106.553) (end 139.446 106.553) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2B52E)) + (gr_arc (start 136.906 106.553) (end 138.938 106.553) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5DE2B52B)) + (gr_poly (pts (xy 139.7 139.446) (xy 139.192 139.954) (xy 74.168 139.954) (xy 73.66 139.446) (xy 73.66 132.08) (xy 139.7 132.08)) (layer F.Mask) (width 0) (tstamp 5D312690)) + (gr_text GW (at 75.946 125.222) (layer F.SilkS) (tstamp 5D312C27) + (effects (font (size 1.524 1.524) (thickness 0.3))) + ) + (gr_text "for Apple II" (at 76.073 112.268 45) (layer B.SilkS) (tstamp 5D312C2A) + (effects (font (size 1.016 1.016) (thickness 0.2)) (justify mirror)) + ) + (gr_text "128 kB RAM" (at 74.803 110.998 45) (layer B.SilkS) (tstamp 5DE79B81) + (effects (font (size 1.4 1.4) (thickness 0.3)) (justify mirror)) + ) + (gr_line (start 139.446 132.08) (end 139.446 139.192) (layer Edge.Cuts) (width 0.15) (tstamp 5D312687)) + (gr_arc (start 70.358 129.54) (end 68.326 129.54) (angle -90) (layer Dwgs.User) (width 0.01) (tstamp 5DE2C9DE)) + (gr_arc (start 70.358 129.54) (end 67.818 129.54) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5DE2C9E4)) + (gr_text 4208A (at 81.534 125.222) (layer F.SilkS) (tstamp 5DE78AAF) + (effects (font (size 1.524 1.524) (thickness 0.3))) + ) + (gr_line (start 73.914 132.08) (end 73.914 139.192) (layer Edge.Cuts) (width 0.15) (tstamp 5D312678)) + (gr_poly (pts (xy 139.7 139.446) (xy 139.192 139.954) (xy 74.168 139.954) (xy 73.66 139.446) (xy 73.66 132.08) (xy 139.7 132.08)) (layer B.Mask) (width 0) (tstamp 5D312675)) + (gr_arc (start 138.938 139.192) (end 138.938 139.7) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5D312672)) + (gr_arc (start 74.422 139.192) (end 73.914 139.192) (angle -90) (layer Edge.Cuts) (width 0.15) (tstamp 5D31266F)) + (gr_line (start 138.938 139.7) (end 74.422 139.7) (layer Edge.Cuts) (width 0.15) (tstamp 5D312663)) + + (segment (start 92.964 127.635) (end 91.694 127.635) (width 0.762) (layer F.Cu) (net 1) (tstamp 5DE2CFC6)) + (via (at 91.694 127.635) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5DE2CFCC)) + (via (at 97.409 127.635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 95.812 127.635) (end 97.409 127.635) (width 0.508) (layer F.Cu) (net 1)) + (via (at 137.16 129.54) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 1)) + (segment (start 137.16 135.382) (end 137.16 129.54) (width 1.524) (layer F.Cu) (net 1)) + (via (at 137.16 126.619) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 137.16 127.889) (end 137.16 126.619) (width 0.762) (layer F.Cu) (net 1)) + (via (at 121.031 111.379) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5DE4BF95)) + (segment (start 121.031 113.1775) (end 121.031 111.76) (width 0.508) (layer F.Cu) (net 1) (tstamp 5DE4BF9B)) + (via (at 121.031 114.554) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5DE4BFAD)) + (segment (start 121.031 113.1775) (end 121.031 114.554) (width 0.508) (layer F.Cu) (net 1) (tstamp 5DE4BFAA)) + (via (at 121.031 108.839) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5DE4BFB6)) + (segment (start 121.031 110.109) (end 121.031 108.839) (width 0.762) (layer F.Cu) (net 1) (tstamp 5DE4BFC2)) + (via (at 122.174 110.109) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1) (tstamp 5DE4BFB0)) + (segment (start 121.031 110.109) (end 122.174 110.109) (width 0.762) (layer F.Cu) (net 1) (tstamp 5DE4BFB3)) + (segment (start 121.031 110.109) (end 121.031 111.379) (width 0.762) (layer F.Cu) (net 1) (tstamp 5DE4BF62)) + (segment (start 97.663 127.381) (end 97.409 127.635) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 97.663 125.603) (end 97.663 127.381) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 97.155 125.095) (end 97.663 125.603) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 95.812 125.095) (end 97.155 125.095) (width 0.508) (layer F.Cu) (net 1)) + (via (at 136.652 112.776) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 135.5725 112.776) (end 136.652 112.776) (width 0.762) (layer F.Cu) (net 1)) + (via (at 135.5725 111.506) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 135.5725 112.776) (end 135.5725 111.506) (width 0.762) (layer F.Cu) (net 1)) + (via (at 135.5725 114.046) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 135.5725 114.9335) (end 135.5725 114.046) (width 0.508) (layer F.Cu) (net 1)) + (via (at 133.985 114.935) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 135.571 114.935) (end 133.985 114.935) (width 0.508) (layer F.Cu) (net 1)) + (via (at 137.16 114.935) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 135.571 114.935) (end 137.16 114.935) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 135.5725 114.046) (end 135.5725 112.776) (width 0.762) (layer F.Cu) (net 1)) + (via (at 94.234 127.635) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 95.812 127.635) (end 94.234 127.635) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 94.234 127.635) (end 92.964 127.635) (width 0.762) (layer F.Cu) (net 1)) + (via (at 83.439 120.015) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 81.837 120.015) (end 83.439 120.015) (width 0.508) (layer F.Cu) (net 1)) + (via (at 81.8515 120.904) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 81.837 120.8895) (end 81.8515 120.904) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 81.837 120.015) (end 81.837 120.8895) (width 0.508) (layer F.Cu) (net 1)) + (via (at 80.264 120.015) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 1)) + (segment (start 81.837 120.015) (end 80.264 120.015) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 80.264 120.015) (end 78.867 120.015) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 137.16 127.889) (end 137.16 129.54) (width 0.762) (layer F.Cu) (net 1)) + (segment (start 137.16 129.54) (end 137.16 126.619) (width 1.27) (layer B.Cu) (net 1)) + (segment (start 137.16 114.935) (end 133.985 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 88.011 106.172) (end 83.439 110.744) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 83.439 120.015) (end 83.439 110.744) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 91.059 127.635) (end 91.694 127.635) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 83.439 120.015) (end 91.059 127.635) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 91.694 127.635) (end 94.234 127.635) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 94.234 127.635) (end 97.409 127.635) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 113.0935) (end 135.5725 111.506) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 114.935) (end 137.16 113.0935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 133.985 113.0935) (end 135.5725 111.506) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 114.046) (end 135.5725 111.506) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 136.271 114.046) (end 137.16 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 114.046) (end 136.271 114.046) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 134.874 114.046) (end 133.985 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 114.046) (end 134.874 114.046) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 136.652 114.427) (end 137.16 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 111.506) (end 135.5725 112.3315) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 133.985 113.919) (end 133.985 113.0935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 112.3315) (end 133.985 113.919) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 133.985 114.935) (end 133.985 113.919) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 112.7125) (end 136.652 113.792) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 111.506) (end 135.5725 112.7125) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 136.652 113.792) (end 136.652 114.427) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 136.652 112.776) (end 136.652 113.792) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 111.506) (end 134.96925 110.90275) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 134.96925 113.95075) (end 133.985 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 134.96925 110.90275) (end 134.96925 113.95075) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 81.153 120.904) (end 81.8515 120.904) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 82.55 120.904) (end 83.439 120.015) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 81.8515 120.904) (end 82.55 120.904) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 84.328 120.904) (end 91.059 127.635) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 81.8515 120.904) (end 84.328 120.904) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 83.439 116.84) (end 83.439 110.744) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 83.439 118.618) (end 81.153 120.904) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 83.439 116.84) (end 83.439 118.618) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 82.8675 117.4115) (end 82.8675 118.4275) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 81.28 120.015) (end 83.439 120.015) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 80.264 120.015) (end 81.28 120.015) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 82.8675 118.4275) (end 81.28 120.015) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 82.8675 117.4115) (end 83.439 116.84) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 80.264 120.015) (end 81.153 120.904) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 80.264 120.015) (end 82.8675 117.4115) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 118.11) (end 137.16 126.619) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 133.985 114.935) (end 137.16 118.11) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 114.046) (end 135.5725 115.1255) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 135.5725 115.1255) (end 137.16 116.713) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 136.525 114.935) (end 137.16 115.57) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 133.985 114.935) (end 136.525 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 115.57) (end 137.16 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 116.713) (end 137.16 115.57) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 114.554) (end 121.031 111.379) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 111.379) (end 121.031 108.839) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 118.364 106.172) (end 121.031 108.839) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 88.011 106.172) (end 118.364 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 130.2385 106.172) (end 134.96925 110.90275) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 123.698 106.172) (end 130.2385 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 108.839) (end 123.698 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 108.839) (end 121.031 107.442) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 107.442) (end 119.761 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 118.364 106.172) (end 119.761 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 107.442) (end 122.301 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 122.301 106.172) (end 123.698 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 108.839) (end 121.031 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 106.172) (end 122.301 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 119.761 106.172) (end 121.031 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 122.174 109.982) (end 122.174 110.109) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 122.174 110.109) (end 122.174 113.411) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 122.174 107.696) (end 123.698 106.172) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 122.174 110.109) (end 122.174 107.696) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 122.174 113.411) (end 121.031 114.554) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.539 114.046) (end 121.539 109.347) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 114.554) (end 121.539 114.046) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.539 109.347) (end 122.174 109.982) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 121.031 108.839) (end 121.539 109.347) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 126.619) (end 137.16 116.713) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 136.017 125.476) (end 137.16 126.619) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 132.461 125.476) (end 136.017 125.476) (width 1.016) (layer B.Cu) (net 1)) + (via (at 125.603 129.54) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 1)) + (segment (start 128.397 129.54) (end 132.461 125.476) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 125.603 129.54) (end 128.397 129.54) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 123.82 129.54) (end 125.603 129.54) (width 0.508) (layer F.Cu) (net 1)) + (segment (start 136.017 125.476) (end 137.16 124.333) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 124.333) (end 137.16 114.935) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 126.619) (end 137.287 126.492) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.287 113.2205) (end 135.5725 111.506) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.287 126.492) (end 137.287 113.2205) (width 1.016) (layer B.Cu) (net 1)) + (segment (start 137.16 129.54) (end 137.16 125.349) (width 1.27) (layer B.Cu) (net 1)) + (via (at 68.834 114.681) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CA20) (status 40000)) + (via (at 68.834 124.841) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CA3B) (status 40000)) + (via (at 117.983 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B56D) (status 40000)) + (via (at 112.903 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B573) (status 40000)) + (via (at 107.823 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B564) (status 40000)) + (via (at 102.743 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B561) (status 40000)) + (via (at 97.663 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B55E) (status 40000)) + (via (at 92.583 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B56A) (status 40000)) + (via (at 69.977 131.064) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CA2F) (status 40000)) + (via (at 68.834 129.921) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CA35) (status 40000)) + (via (at 138.43 106.172) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B570) (status 40000)) + (via (at 137.287 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B55B) (status 40000)) + (via (at 102.87 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3131F1) (status 40000)) + (via (at 105.41 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D313170) (status 40000)) + (via (at 107.95 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D313152) (status 40000)) + (via (at 110.49 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D313176) (status 40000)) + (via (at 113.03 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D313182) (status 40000)) + (via (at 115.57 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D31318E) (status 40000)) + (via (at 118.11 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D313194) (status 40000)) + (via (at 100.33 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3131A0)) + (via (at 77.47 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CA23)) + (via (at 138.43 110.236) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B555) (status 40000)) + (via (at 133.223 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B54C) (status 40000)) + (via (at 128.143 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B558) (status 40000)) + (via (at 123.063 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B552) (status 40000)) + (via (at 120.65 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D31411E)) + (via (at 125.73 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D314112)) + (via (at 128.27 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D314106)) + (via (at 130.81 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3140FA)) + (via (at 133.35 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3140E8)) + (via (at 135.89 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3140DC)) + (via (at 123.19 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3140D0)) + (via (at 71.374 127.381) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CA26) (status 40000)) + (via (at 138.43 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D33C184)) + (via (at 82.55 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3131D3)) + (via (at 80.01 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3131B8)) + (via (at 95.25 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3131A6)) + (via (at 97.79 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D31314F)) + (via (at 92.71 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3131AC)) + (via (at 90.17 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D3131B2)) + (via (at 87.63 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5D313137)) + (via (at 78.486 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CA1D) (status 40000)) + (via (at 87.503 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B567) (status 40000)) + (segment (start 91.743 125.935) (end 91.694 125.984) (width 0.762) (layer F.Cu) (net 2) (tstamp 5DE2CFC3)) + (segment (start 92.964 125.935) (end 91.743 125.935) (width 0.762) (layer F.Cu) (net 2) (tstamp 5DE2CFC4)) + (via (at 91.694 125.984) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CFC5)) + (segment (start 92.964 125.935) (end 92.964 124.841) (width 0.762) (layer F.Cu) (net 2) (tstamp 5DE2CFC9)) + (via (at 92.964 124.841) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2CFCA)) + (via (at 94.234 117.475) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 95.812 117.475) (end 94.234 117.475) (width 0.508) (layer F.Cu) (net 2)) + (via (at 97.409 117.475) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 95.812 117.475) (end 97.409 117.475) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 137.16 135.382) (end 137.16 131.445) (width 1.524) (layer B.Cu) (net 2)) + (via (at 135.509 126.619) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 135.46 126.668) (end 135.509 126.619) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 135.46 127.889) (end 135.46 126.668) (width 0.762) (layer F.Cu) (net 2)) + (via (at 134.366 127.889) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 135.46 127.889) (end 134.366 127.889) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 135.46 129.11) (end 135.509 129.159) (width 0.762) (layer F.Cu) (net 2)) + (via (at 135.509 129.159) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 135.46 127.889) (end 135.46 129.11) (width 0.762) (layer F.Cu) (net 2)) + (via (at 118.491 125.73) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE4BF7A)) + (segment (start 118.491 124.3125) (end 118.491 125.73) (width 0.508) (layer F.Cu) (net 2) (tstamp 5DE4BF71)) + (via (at 118.237 110.109) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE4BFB9)) + (segment (start 119.331 110.109) (end 118.237 110.109) (width 0.762) (layer F.Cu) (net 2) (tstamp 5DE4BFBC)) + (segment (start 119.331 108.888) (end 119.38 108.839) (width 0.762) (layer F.Cu) (net 2) (tstamp 5DE4BFC5)) + (via (at 119.38 108.839) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE4BFC8)) + (segment (start 119.331 110.109) (end 119.331 108.888) (width 0.762) (layer F.Cu) (net 2) (tstamp 5DE4BFBF)) + (via (at 74.93 131.318) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DE556D0)) + (via (at 77.851 129.159) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 77.9 129.11) (end 77.851 129.159) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 77.9 127.889) (end 77.9 129.11) (width 0.762) (layer F.Cu) (net 2)) + (via (at 77.978 126.619) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 77.9 126.697) (end 77.978 126.619) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 77.9 127.889) (end 77.9 126.697) (width 0.762) (layer F.Cu) (net 2)) + (via (at 78.994 127.889) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 77.9 127.889) (end 78.994 127.889) (width 0.762) (layer F.Cu) (net 2)) + (via (at 107.315 108.585) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 108.912 108.585) (end 107.315 108.585) (width 0.508) (layer F.Cu) (net 2)) + (via (at 110.617 108.585) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 108.912 108.585) (end 110.617 108.585) (width 0.508) (layer F.Cu) (net 2)) + (via (at 82.423 105.029) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE823FD) (status 40000)) + (via (at 72.009 111.506) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE82728) (status 40000)) + (via (at 75.311 108.204) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE8272D) (status 40000)) + (via (at 68.834 119.761) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE828DB) (status 40000)) + (via (at 138.43 115.316) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE829F5) (status 40000)) + (via (at 138.43 120.396) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE829FB) (status 40000)) + (via (at 138.43 125.476) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE829FD) (status 40000)) + (via (at 98.425 112.395) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DEBDF38) (status 40000)) + (via (at 98.425 111.125) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DEBDF3A) (status 40000)) + (via (at 98.425 109.855) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DEBDFA6) (status 40000)) + (via (at 115.316 126.619) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DEBDFB4) (status 40000)) + (via (at 116.586 128.524) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DEBDFB6) (status 40000)) + (via (at 102.108 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E5378D1) (status 40000)) + (via (at 88.9 118.745) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DEBE1F7) (status 40000)) + (via (at 111.379 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5DEC161D) (status 40000)) + (via (at 111.379 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E50E025) (status 40000)) + (via (at 133.858 111.506) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 133.8725 112.776) (end 133.8725 111.506) (width 0.762) (layer F.Cu) (net 2)) + (via (at 132.7785 112.776) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 133.8725 112.776) (end 132.7785 112.776) (width 0.762) (layer F.Cu) (net 2)) + (via (at 129.032 122.555) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 122.555) (end 129.032 122.555) (width 0.508) (layer F.Cu) (net 2)) + (via (at 132.207 122.555) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 122.555) (end 132.207 122.555) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 130.621 123.4425) (end 130.6195 123.444) (width 0.508) (layer F.Cu) (net 2)) + (via (at 130.6195 123.444) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 122.555) (end 130.621 123.4425) (width 0.508) (layer F.Cu) (net 2)) + (via (at 100.203 113.03) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E4F2BB1) (status 40000)) + (via (at 108.9025 107.696) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5E52D26C)) + (segment (start 108.912 107.7055) (end 108.9025 107.696) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 108.912 108.585) (end 108.912 107.7055) (width 0.508) (layer F.Cu) (net 2)) + (via (at 93.98 109.22) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5E52C67D)) + (segment (start 91.137 108.585) (end 92.71 108.585) (width 0.508) (layer F.Cu) (net 2)) + (via (at 92.71 108.585) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (via (at 89.535 108.585) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5DE2B1B7)) + (segment (start 91.137 108.585) (end 89.535 108.585) (width 0.508) (layer F.Cu) (net 2) (tstamp 5DE2B1BD)) + (via (at 91.1225 107.696) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 91.137 107.7105) (end 91.1225 107.696) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 91.137 108.585) (end 91.137 107.7105) (width 0.508) (layer F.Cu) (net 2)) + (via (at 93.472 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E52D5ED) (status 40000)) + (segment (start 76.2145 118.315) (end 78.867 118.315) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 76.2 118.3005) (end 76.2145 118.315) (width 0.762) (layer F.Cu) (net 2)) + (via (at 76.2 118.3005) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 78.867 118.315) (end 78.867 117.602) (width 0.762) (layer F.Cu) (net 2)) + (segment (start 78.867 118.315) (end 79.834 118.315) (width 0.762) (layer F.Cu) (net 2)) + (via (at 93.8784 118.5926) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E531AB3)) + (via (at 93.472 123.6345) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E532820)) + (via (at 110.617 113.665) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E5360EB) (status 40000)) + (via (at 110.617 114.935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E536309) (status 40000)) + (via (at 110.617 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E536523) (status 40000)) + (via (at 110.617 112.395) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53673F) (status 40000)) + (via (at 110.617 111.125) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E536887) (status 40000)) + (via (at 110.617 109.855) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E536889) (status 40000)) + (via (at 106.553 113.665) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E538663) (status 40000)) + (via (at 89.535 124.714) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E538ECD) (status 40000)) + (via (at 88.138 126.111) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E538ED3) (status 40000)) + (via (at 89.789 127.762) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D6A5) (status 40000)) + (via (at 85.09 128.778) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53A211) (status 40000)) + (via (at 80.2005 128.3335) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53A217) (status 40000)) + (via (at 93.4974 129.9972) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53A219) (status 40000)) + (via (at 103.505 122.301) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53A21B) (status 40000)) + (via (at 99.822 119.888) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E8ABFF5) (status 40000)) + (via (at 101.473 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53BA1C) (status 40000)) + (via (at 103.378 111.76) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53BA1E) (status 40000)) + (via (at 72.644 117.094) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2) (tstamp 5E53C06E)) + (via (at 113.6015 126.619) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53C4B0) (status 40000)) + (via (at 112.3315 128.905) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53C4B6) (status 40000)) + (via (at 115.57 109.855) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53C4B8) (status 40000)) + (via (at 114.3 111.125) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53C8EA) (status 40000)) + (via (at 105.41 125.73) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D110) (status 40000)) + (via (at 123.317 127) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D116) (status 40000)) + (via (at 127.889 127) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D11C) (status 40000)) + (via (at 89.027 107.188) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D12E) (status 40000)) + (via (at 86.487 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D34C) (status 40000)) + (via (at 84.455 118.11) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D4C0) (status 40000)) + (via (at 84.455 119.38) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D491) (status 40000)) + (via (at 83.947 121.92) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D549) (status 40000)) + (via (at 79.629 123.444) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D54B) (status 40000)) + (via (at 93.218 121.031) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D54D) (status 40000)) + (via (at 88.3285 128.8415) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E53D6A0) (status 40000)) + (via (at 102.87 128.905) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E5401C4) (status 40000)) + (via (at 106.1085 120.904) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E540E46) (status 40000)) + (via (at 105.41 123.19) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E540E4C) (status 40000)) + (via (at 102.87 124.46) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E5412AE) (status 40000)) + (via (at 102.87 127.635) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E5414CB) (status 40000)) + (via (at 81.3435 129.032) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E544C11) (status 40000)) + (via (at 129.032 114.935) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 114.935) (end 129.032 114.935) (width 0.508) (layer F.Cu) (net 2)) + (via (at 132.207 114.935) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 114.935) (end 132.207 114.935) (width 0.508) (layer F.Cu) (net 2)) + (via (at 130.6195 114.046) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 114.0475) (end 130.6195 114.046) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 130.621 114.935) (end 130.621 114.0475) (width 0.508) (layer F.Cu) (net 2)) + (via (at 132.08 118.745) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 118.745) (end 132.08 118.745) (width 0.508) (layer F.Cu) (net 2)) + (via (at 134.112 121.285) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 135.571 121.285) (end 134.112 121.285) (width 0.508) (layer F.Cu) (net 2)) + (via (at 133.858 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 135.571 116.205) (end 133.858 116.205) (width 0.508) (layer F.Cu) (net 2)) + (via (at 129.159 118.745) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (segment (start 130.621 118.745) (end 129.159 118.745) (width 0.508) (layer F.Cu) (net 2)) + (segment (start 118.491 124.3125) (end 118.491 123.1265) (width 0.508) (layer F.Cu) (net 2)) + (via (at 118.491 123.1265) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2)) + (via (at 123.698 107.696) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E918BBF)) + (via (at 118.364 107.696) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E918BC2)) + (via (at 102.743 110.49) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E918965) (status 40000)) + (via (at 103.378 113.665) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E91896C) (status 40000)) + (via (at 103.378 114.935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E91896E) (status 40000)) + (via (at 102.743 116.84) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E918970) (status 40000)) + (via (at 98.4885 123.571) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E9189A8) (status 40000)) + (via (at 86.8045 114.3) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 2) (tstamp 5E919959) (status 40000)) + (segment (start 92.6465 124.1425) (end 88.9 127.889) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 94.234 125.095) (end 93.2815 124.1425) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 93.2815 124.1425) (end 92.6465 124.1425) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 94.234 125.984) (end 94.234 125.095) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 88.9 127.889) (end 88.9 135.282) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 94.615 126.365) (end 94.234 125.984) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 95.812 126.365) (end 94.615 126.365) (width 0.1524) (layer F.Cu) (net 3)) + (segment (start 81.837 117.475) (end 80.264 117.475) (width 0.1524) (layer F.Cu) (net 4) (tstamp 5E4EE1F0)) + (via (at 80.264 117.475) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 4) (tstamp 5E4EE1F1)) + (segment (start 78.994 118.745) (end 80.264 117.475) (width 0.1524) (layer B.Cu) (net 4)) + (segment (start 84.729262 129.4638) (end 78.994 123.728538) (width 0.1524) (layer B.Cu) (net 4)) + (segment (start 96.52 135.382) (end 96.52 131.318) (width 0.1524) (layer B.Cu) (net 4)) + (segment (start 94.6658 129.4638) (end 84.729262 129.4638) (width 0.1524) (layer B.Cu) (net 4)) + (segment (start 78.994 123.728538) (end 78.994 118.745) (width 0.1524) (layer B.Cu) (net 4)) + (segment (start 96.52 131.318) (end 94.6658 129.4638) (width 0.1524) (layer B.Cu) (net 4)) + (via (at 80.264 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 5) (tstamp 5E4EE1EF)) + (segment (start 81.837 116.205) (end 80.264 116.205) (width 0.1524) (layer F.Cu) (net 5) (tstamp 5E4EE1F2)) + (segment (start 93.98 131.318) (end 93.98 135.382) (width 0.1524) (layer B.Cu) (net 5)) + (segment (start 84.602996 129.7686) (end 92.4306 129.7686) (width 0.1524) (layer B.Cu) (net 5)) + (segment (start 92.4306 129.7686) (end 93.98 131.318) (width 0.1524) (layer B.Cu) (net 5)) + (segment (start 80.264 116.205) (end 78.6892 117.7798) (width 0.1524) (layer B.Cu) (net 5)) + (segment (start 78.6892 123.854804) (end 84.602996 129.7686) (width 0.1524) (layer B.Cu) (net 5)) + (segment (start 78.6892 117.7798) (end 78.6892 123.854804) (width 0.1524) (layer B.Cu) (net 5)) + (segment (start 100.965 129.286) (end 99.06 131.191) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 100.965 122.682) (end 100.965 129.286) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 107.442 116.205) (end 100.965 122.682) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 99.06 131.191) (end 99.06 135.382) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 108.912 116.205) (end 107.442 116.205) (width 0.1524) (layer F.Cu) (net 6)) + (segment (start 96.52 131.191) (end 96.52 135.382) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 98.806 130.556) (end 97.155 130.556) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 100.33 122.047) (end 100.33 129.032) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 107.442 114.935) (end 100.33 122.047) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 97.155 130.556) (end 96.52 131.191) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 100.33 129.032) (end 98.806 130.556) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 108.912 114.935) (end 107.442 114.935) (width 0.1524) (layer F.Cu) (net 7)) + (segment (start 95.377 129.794) (end 93.98 131.191) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 98.679 129.794) (end 95.377 129.794) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 99.695 121.44375) (end 99.695 128.778) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 93.98 131.191) (end 93.98 135.382) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 107.47375 113.665) (end 99.695 121.44375) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 99.695 128.778) (end 98.679 129.794) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 108.912 113.665) (end 107.47375 113.665) (width 0.1524) (layer F.Cu) (net 8)) + (segment (start 93.599 129.032) (end 91.44 131.191) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 98.552 129.032) (end 93.599 129.032) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 99.06 128.524) (end 98.552 129.032) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 99.06 118.237) (end 99.06 128.524) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 97.028 116.205) (end 99.06 118.237) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 91.44 131.191) (end 91.44 135.382) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 95.812 116.205) (end 97.028 116.205) (width 0.1524) (layer F.Cu) (net 9)) + (segment (start 114.1985 117.475) (end 112.903 117.475) (width 0.1524) (layer F.Cu) (net 10)) + (via (at 97.409 122.555) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 10)) + (segment (start 95.812 122.555) (end 97.409 122.555) (width 0.1524) (layer F.Cu) (net 10)) + (via (at 112.903 117.475) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 10)) + (segment (start 86.36 131.191) (end 86.36 135.382) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 86.36 129.997934) (end 86.36 131.191) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 93.802934 122.555) (end 86.36 129.997934) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 95.812 122.555) (end 93.802934 122.555) (width 0.1524) (layer F.Cu) (net 10)) + (segment (start 102.489 117.475) (end 112.903 117.475) (width 0.1524) (layer B.Cu) (net 10)) + (segment (start 100.457 119.507) (end 102.489 117.475) (width 0.1524) (layer B.Cu) (net 10)) + (segment (start 100.076 120.523) (end 100.457 120.142) (width 0.1524) (layer B.Cu) (net 10)) + (segment (start 97.409 122.555) (end 99.441 120.523) (width 0.1524) (layer B.Cu) (net 10)) + (segment (start 100.457 120.142) (end 100.457 119.507) (width 0.1524) (layer B.Cu) (net 10)) + (segment (start 99.441 120.523) (end 100.076 120.523) (width 0.1524) (layer B.Cu) (net 10)) + (via (at 97.409 121.285) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 11)) + (segment (start 95.812 121.285) (end 97.409 121.285) (width 0.1524) (layer F.Cu) (net 11)) + (via (at 112.903 116.205) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 11)) + (segment (start 114.1985 116.205) (end 112.903 116.205) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 83.82 131.318) (end 83.82 135.382) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 83.82 131.191) (end 83.82 131.318) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 94.641868 121.285) (end 85.396268 130.5306) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 84.4804 130.5306) (end 83.82 131.191) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 85.396268 130.5306) (end 84.4804 130.5306) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 95.812 121.285) (end 94.641868 121.285) (width 0.1524) (layer F.Cu) (net 11)) + (segment (start 103.124 115.57) (end 98.34881 120.34519) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 112.268 115.57) (end 103.124 115.57) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 98.34881 120.34519) (end 97.409 121.285) (width 0.1524) (layer B.Cu) (net 11)) + (segment (start 112.903 116.205) (end 112.268 115.57) (width 0.1524) (layer B.Cu) (net 11)) + (via (at 112.903 114.935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 12)) + (segment (start 114.1985 114.935) (end 112.903 114.935) (width 0.1524) (layer F.Cu) (net 12)) + (via (at 97.409 120.015) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 12)) + (segment (start 95.812 120.015) (end 97.409 120.015) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 94.4245 120.015) (end 95.812 120.015) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 94.107 120.3325) (end 94.4245 120.015) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 94.107 121.388802) (end 94.107 120.3325) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 85.270002 130.2258) (end 94.107 121.388802) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 82.3722 130.2258) (end 85.270002 130.2258) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 81.28 131.318) (end 82.3722 130.2258) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 81.28 135.382) (end 81.28 131.318) (width 0.1524) (layer F.Cu) (net 12)) + (segment (start 98.044 119.38) (end 97.409 120.015) (width 0.1524) (layer B.Cu) (net 12)) + (segment (start 103.124 114.3) (end 98.044 119.38) (width 0.1524) (layer B.Cu) (net 12)) + (segment (start 112.268 114.3) (end 103.124 114.3) (width 0.1524) (layer B.Cu) (net 12)) + (segment (start 112.903 114.935) (end 112.268 114.3) (width 0.1524) (layer B.Cu) (net 12)) + (via (at 115.951 111.887) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 13)) + (segment (start 115.951 113.1775) (end 115.951 111.887) (width 0.1524) (layer F.Cu) (net 13)) + (via (at 97.409 118.745) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 13)) + (segment (start 95.812 118.745) (end 97.409 118.745) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 78.74 131.318) (end 78.74 135.382) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 80.137 129.921) (end 78.74 131.318) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 85.143736 129.921) (end 80.137 129.921) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 93.7895 121.275236) (end 85.143736 129.921) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 93.7895 119.6975) (end 93.7895 121.275236) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 94.107 119.38) (end 93.7895 119.6975) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 94.107 119.126) (end 94.107 119.38) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 94.488 118.745) (end 94.107 119.126) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 95.812 118.745) (end 94.488 118.745) (width 0.1524) (layer F.Cu) (net 13)) + (segment (start 115.824 111.76) (end 104.394 111.76) (width 0.1524) (layer B.Cu) (net 13)) + (segment (start 104.394 111.76) (end 97.409 118.745) (width 0.1524) (layer B.Cu) (net 13)) + (segment (start 115.951 111.887) (end 115.824 111.76) (width 0.1524) (layer B.Cu) (net 13)) + (segment (start 101.6 123.317) (end 107.442 117.475) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 107.442 117.475) (end 108.912 117.475) (width 0.1524) (layer F.Cu) (net 14)) + (segment (start 101.6 135.382) (end 101.6 123.317) (width 0.1524) (layer F.Cu) (net 14)) + (via (at 80.264 109.855) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 15)) + (segment (start 81.837 109.855) (end 80.264 109.855) (width 0.1524) (layer F.Cu) (net 15)) + (segment (start 77.1652 112.9538) (end 80.264 109.855) (width 0.1524) (layer B.Cu) (net 15)) + (segment (start 80.772 128.092934) (end 77.1652 124.486134) (width 0.1524) (layer B.Cu) (net 15)) + (segment (start 80.772 129.286) (end 80.772 128.092934) (width 0.1524) (layer B.Cu) (net 15)) + (segment (start 77.1652 124.486134) (end 77.1652 112.9538) (width 0.1524) (layer B.Cu) (net 15)) + (segment (start 81.28 129.794) (end 80.772 129.286) (width 0.1524) (layer B.Cu) (net 15)) + (segment (start 81.28 135.282) (end 81.28 129.794) (width 0.1524) (layer B.Cu) (net 15)) + (segment (start 81.837 114.935) (end 80.264 114.935) (width 0.1524) (layer F.Cu) (net 16) (tstamp 5E4EE1EB)) + (via (at 80.264 114.935) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 16) (tstamp 5E4EE1EC)) + (segment (start 78.3844 116.8146) (end 80.264 114.935) (width 0.1524) (layer B.Cu) (net 16)) + (segment (start 78.3844 123.98107) (end 78.3844 116.8146) (width 0.1524) (layer B.Cu) (net 16)) + (segment (start 84.47673 130.0734) (end 78.3844 123.98107) (width 0.1524) (layer B.Cu) (net 16)) + (segment (start 90.1954 130.0734) (end 84.47673 130.0734) (width 0.1524) (layer B.Cu) (net 16)) + (segment (start 91.44 131.318) (end 90.1954 130.0734) (width 0.1524) (layer B.Cu) (net 16)) + (segment (start 91.44 135.382) (end 91.44 131.318) (width 0.1524) (layer B.Cu) (net 16)) + (via (at 80.264 108.585) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 17)) + (segment (start 81.837 108.585) (end 80.264 108.585) (width 0.1524) (layer F.Cu) (net 17)) + (segment (start 76.8604 111.9886) (end 80.264 108.585) (width 0.1524) (layer B.Cu) (net 17)) + (segment (start 79.629 127.381) (end 76.8604 124.6124) (width 0.1524) (layer B.Cu) (net 17)) + (segment (start 76.8604 124.6124) (end 76.8604 111.9886) (width 0.1524) (layer B.Cu) (net 17)) + (segment (start 79.629 128.143) (end 79.629 127.381) (width 0.1524) (layer B.Cu) (net 17)) + (segment (start 78.74 129.032) (end 79.629 128.143) (width 0.1524) (layer B.Cu) (net 17)) + (segment (start 78.74 135.382) (end 78.74 129.032) (width 0.1524) (layer B.Cu) (net 17)) + (via (at 80.264 111.125) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 18)) + (segment (start 81.837 111.125) (end 80.264 111.125) (width 0.1524) (layer F.Cu) (net 18)) + (segment (start 83.82 130.709868) (end 83.82 135.382) (width 0.1524) (layer B.Cu) (net 18)) + (segment (start 77.47 124.359868) (end 83.82 130.709868) (width 0.1524) (layer B.Cu) (net 18)) + (segment (start 77.47 113.919) (end 77.47 124.359868) (width 0.1524) (layer B.Cu) (net 18)) + (segment (start 80.264 111.125) (end 77.47 113.919) (width 0.1524) (layer B.Cu) (net 18)) + (via (at 80.264 112.395) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 19) (tstamp 5E4EE1E5)) + (segment (start 81.837 112.395) (end 80.264 112.395) (width 0.1524) (layer F.Cu) (net 19) (tstamp 5E4EE1E6)) + (segment (start 86.36 131.191) (end 85.852 130.683) (width 0.1524) (layer B.Cu) (net 19)) + (segment (start 77.7748 114.8842) (end 80.264 112.395) (width 0.1524) (layer B.Cu) (net 19)) + (segment (start 77.7748 124.233602) (end 77.7748 114.8842) (width 0.1524) (layer B.Cu) (net 19)) + (segment (start 84.224198 130.683) (end 77.7748 124.233602) (width 0.1524) (layer B.Cu) (net 19)) + (segment (start 85.852 130.683) (end 84.224198 130.683) (width 0.1524) (layer B.Cu) (net 19)) + (segment (start 86.36 135.382) (end 86.36 131.191) (width 0.1524) (layer B.Cu) (net 19)) + (via (at 80.264 113.665) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 20) (tstamp 5E4EE1ED)) + (segment (start 81.837 113.665) (end 80.264 113.665) (width 0.1524) (layer F.Cu) (net 20) (tstamp 5E4EE1EE)) + (segment (start 88.9 131.191) (end 88.9 135.382) (width 0.1524) (layer B.Cu) (net 20)) + (segment (start 78.0796 124.107336) (end 84.350464 130.3782) (width 0.1524) (layer B.Cu) (net 20)) + (segment (start 88.0872 130.3782) (end 88.9 131.191) (width 0.1524) (layer B.Cu) (net 20)) + (segment (start 84.350464 130.3782) (end 88.0872 130.3782) (width 0.1524) (layer B.Cu) (net 20)) + (segment (start 78.0796 115.8494) (end 78.0796 124.107336) (width 0.1524) (layer B.Cu) (net 20)) + (segment (start 80.264 113.665) (end 78.0796 115.8494) (width 0.1524) (layer B.Cu) (net 20)) + (segment (start 107.442 118.745) (end 108.912 118.745) (width 0.1524) (layer F.Cu) (net 21)) + (segment (start 104.14 122.047) (end 107.442 118.745) (width 0.1524) (layer F.Cu) (net 21)) + (segment (start 104.14 135.282) (end 104.14 122.047) (width 0.1524) (layer F.Cu) (net 21)) + (via (at 76.2 129.54) (size 1.524) (drill 0.762) (layers F.Cu B.Cu) (net 22)) + (segment (start 76.2 135.382) (end 76.2 129.54) (width 1.524) (layer B.Cu) (net 22)) + (segment (start 76.2 127.889) (end 76.2 129.54) (width 0.762) (layer F.Cu) (net 22)) + (segment (start 107.442 120.015) (end 108.912 120.015) (width 0.1524) (layer F.Cu) (net 26)) + (segment (start 106.68 120.777) (end 107.442 120.015) (width 0.1524) (layer F.Cu) (net 26)) + (segment (start 106.68 135.282) (end 106.68 120.777) (width 0.1524) (layer F.Cu) (net 26)) + (segment (start 112.903 120.015) (end 112.014 120.904) (width 0.1524) (layer F.Cu) (net 27)) + (segment (start 112.014 120.904) (end 112.014 128.397) (width 0.1524) (layer F.Cu) (net 27)) + (segment (start 114.1985 120.015) (end 112.903 120.015) (width 0.1524) (layer F.Cu) (net 27)) + (segment (start 112.014 128.397) (end 109.22 131.191) (width 0.1524) (layer F.Cu) (net 27)) + (segment (start 109.22 131.191) (end 109.22 135.382) (width 0.1524) (layer F.Cu) (net 27)) + (via (at 110.49 122.555) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 28)) + (segment (start 108.912 122.555) (end 110.49 122.555) (width 0.1524) (layer F.Cu) (net 28)) + (via (at 112.903 121.285) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 28)) + (segment (start 114.1985 121.285) (end 112.903 121.285) (width 0.1524) (layer F.Cu) (net 28)) + (segment (start 111.76 131.206875) (end 112.903 130.063875) (width 0.1524) (layer F.Cu) (net 28)) + (segment (start 112.903 130.063875) (end 112.903 121.285) (width 0.1524) (layer F.Cu) (net 28)) + (segment (start 111.76 135.382) (end 111.76 131.206875) (width 0.1524) (layer F.Cu) (net 28)) + (segment (start 111.633 122.555) (end 112.903 121.285) (width 0.1524) (layer B.Cu) (net 28)) + (segment (start 110.49 122.555) (end 111.633 122.555) (width 0.1524) (layer B.Cu) (net 28)) + (segment (start 114.3 122.6565) (end 114.1985 122.555) (width 0.1524) (layer F.Cu) (net 29)) + (segment (start 114.3 135.282) (end 114.3 122.6565) (width 0.1524) (layer F.Cu) (net 29)) + (segment (start 115.951 130.302) (end 115.951 124.3125) (width 0.1524) (layer F.Cu) (net 30)) + (segment (start 116.84 131.191) (end 115.951 130.302) (width 0.1524) (layer F.Cu) (net 30)) + (segment (start 116.84 135.382) (end 116.84 131.191) (width 0.1524) (layer F.Cu) (net 30)) + (segment (start 121.031 125.603) (end 121.031 124.3125) (width 0.1524) (layer F.Cu) (net 36)) + (via (at 121.031 125.603) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 36)) + (segment (start 124.46 131.191) (end 121.031 127.762) (width 0.1524) (layer B.Cu) (net 36)) + (segment (start 121.031 127.762) (end 121.031 125.603) (width 0.1524) (layer B.Cu) (net 36)) + (segment (start 124.46 135.382) (end 124.46 131.191) (width 0.1524) (layer B.Cu) (net 36)) + (segment (start 121.92 135.382) (end 121.92 130.683) (width 0.1524) (layer B.Cu) (net 37)) + (segment (start 121.915 130.678) (end 121.915 129.6035) (width 0.1524) (layer F.Cu) (net 37)) + (segment (start 121.92 130.683) (end 121.915 130.678) (width 0.1524) (layer F.Cu) (net 37)) + (via (at 121.92 130.683) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 37)) + (segment (start 121.92 128.397) (end 121.92 129.54) (width 0.1524) (layer F.Cu) (net 37)) + (segment (start 122.682 127.635) (end 121.92 128.397) (width 0.1524) (layer F.Cu) (net 37)) + (segment (start 131.445 127.635) (end 122.682 127.635) (width 0.1524) (layer F.Cu) (net 37)) + (segment (start 135.571 123.509) (end 131.445 127.635) (width 0.1524) (layer F.Cu) (net 37)) + (segment (start 135.571 122.555) (end 135.571 123.509) (width 0.1524) (layer F.Cu) (net 37)) + (via (at 117.221 111.887) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 41)) + (segment (start 117.221 113.1775) (end 117.221 111.887) (width 0.1524) (layer F.Cu) (net 41)) + (segment (start 105.283 129.54) (end 106.68 130.937) (width 0.1524) (layer B.Cu) (net 41)) + (segment (start 106.68 130.937) (end 106.68 135.382) (width 0.1524) (layer B.Cu) (net 41)) + (segment (start 96.52 124.206) (end 101.854 129.54) (width 0.1524) (layer B.Cu) (net 41)) + (segment (start 115.824 110.49) (end 103.632 110.49) (width 0.1524) (layer B.Cu) (net 41)) + (segment (start 96.52 117.602) (end 96.52 124.206) (width 0.1524) (layer B.Cu) (net 41)) + (segment (start 103.632 110.49) (end 96.52 117.602) (width 0.1524) (layer B.Cu) (net 41)) + (segment (start 101.854 129.54) (end 105.283 129.54) (width 0.1524) (layer B.Cu) (net 41)) + (segment (start 117.221 111.887) (end 115.824 110.49) (width 0.1524) (layer B.Cu) (net 41)) + (via (at 118.491 111.887) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 44)) + (segment (start 118.491 113.1775) (end 118.491 111.887) (width 0.1524) (layer F.Cu) (net 44)) + (segment (start 99.06 130.81) (end 99.06 135.382) (width 0.1524) (layer B.Cu) (net 44)) + (segment (start 99.06 127.762) (end 99.06 130.81) (width 0.1524) (layer B.Cu) (net 44)) + (segment (start 95.758 124.46) (end 99.06 127.762) (width 0.1524) (layer B.Cu) (net 44)) + (segment (start 118.491 111.887) (end 115.824 109.22) (width 0.1524) (layer B.Cu) (net 44)) + (segment (start 95.758 116.586) (end 95.758 124.46) (width 0.1524) (layer B.Cu) (net 44)) + (segment (start 103.124 109.22) (end 95.758 116.586) (width 0.1524) (layer B.Cu) (net 44)) + (segment (start 115.824 109.22) (end 103.124 109.22) (width 0.1524) (layer B.Cu) (net 44)) + (via (at 132.08 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 45) (tstamp 5D3137A3)) + (segment (start 132.08 135.382) (end 132.08 131.064) (width 0.1524) (layer B.Cu) (net 45) (tstamp 5D3137A0)) + (segment (start 132.08 131.064) (end 132.08 135.382) (width 0.1524) (layer F.Cu) (net 45) (tstamp 5D31379D)) + (via (at 134.62 131.064) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 46) (tstamp 5D31379A)) + (segment (start 134.62 135.382) (end 134.62 131.064) (width 0.1524) (layer B.Cu) (net 46) (tstamp 5D313956)) + (segment (start 134.62 131.064) (end 134.62 135.382) (width 0.1524) (layer F.Cu) (net 46) (tstamp 5D313953)) + (via (at 97.409 123.825) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 48)) + (segment (start 97.409 123.825) (end 95.812 123.825) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 119.38 131.191) (end 119.38 135.382) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 117.221 129.032) (end 119.38 131.191) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 117.221 125.603) (end 117.221 124.3125) (width 0.1524) (layer F.Cu) (net 48)) + (via (at 117.221 125.603) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 48)) + (segment (start 117.221 125.603) (end 117.221 129.032) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 93.6625 118.0465) (end 93.345 118.364) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 94.0435 118.0465) (end 93.6625 118.0465) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 92.583 120.015) (end 91.137 120.015) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 98.298 118.618) (end 97.79 118.11) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 94.107 118.11) (end 94.0435 118.0465) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 93.345 119.253) (end 92.583 120.015) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 97.79 118.11) (end 94.107 118.11) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 98.298 122.936) (end 98.298 118.618) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 93.345 118.364) (end 93.345 119.253) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 97.409 123.825) (end 98.298 122.936) (width 0.1524) (layer F.Cu) (net 48)) + (segment (start 101.854 128.27) (end 97.409 123.825) (width 0.1524) (layer B.Cu) (net 48)) + (segment (start 114.554 128.27) (end 101.854 128.27) (width 0.1524) (layer B.Cu) (net 48)) + (segment (start 117.221 125.603) (end 114.554 128.27) (width 0.1524) (layer B.Cu) (net 48)) + (segment (start 92.329 119.38) (end 88.646 119.38) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 92.71 116.713) (end 92.71 118.999) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 94.488 114.935) (end 92.71 116.713) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 92.71 118.999) (end 92.329 119.38) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 88.646 119.38) (end 88.011 118.745) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 95.812 114.935) (end 94.488 114.935) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 87.757 118.618) (end 87.63 118.745) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 88.011 118.745) (end 87.757 118.491) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 87.757 118.491) (end 87.757 118.618) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 87.63 118.745) (end 81.837 118.745) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 87.757 118.618) (end 87.884 118.745) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 87.884 118.745) (end 87.63 118.745) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 88.011 118.745) (end 87.884 118.745) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 86.233 110.871) (end 86.233 116.967) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 90.043 107.061) (end 86.233 110.871) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 86.233 116.967) (end 87.757 118.491) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 132.08 117.475) (end 132.969 116.586) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 125.349 107.061) (end 90.043 107.061) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 130.621 117.475) (end 132.08 117.475) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 132.969 114.681) (end 125.349 107.061) (width 0.1524) (layer F.Cu) (net 49)) + (segment (start 132.969 116.586) (end 132.969 114.681) (width 0.1524) (layer F.Cu) (net 49)) + (via (at 123.571 125.603) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 50)) + (segment (start 123.571 124.3125) (end 123.571 125.603) (width 0.1524) (layer F.Cu) (net 50)) + (via (at 110.49 125.095) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 50)) + (segment (start 108.912 125.095) (end 110.49 125.095) (width 0.1524) (layer F.Cu) (net 50)) + (segment (start 111.252 125.095) (end 110.49 125.095) (width 0.1524) (layer B.Cu) (net 50)) + (segment (start 112.268 124.079) (end 111.252 125.095) (width 0.1524) (layer B.Cu) (net 50)) + (segment (start 122.047 124.079) (end 112.268 124.079) (width 0.1524) (layer B.Cu) (net 50)) + (segment (start 123.571 125.603) (end 122.047 124.079) (width 0.1524) (layer B.Cu) (net 50)) + (via (at 110.49 126.365) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 51)) + (segment (start 108.912 126.365) (end 110.49 126.365) (width 0.1524) (layer F.Cu) (net 51)) + (via (at 122.301 125.603) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 51)) + (segment (start 122.301 124.3125) (end 122.301 125.603) (width 0.1524) (layer F.Cu) (net 51)) + (segment (start 110.871 126.365) (end 110.49 126.365) (width 0.1524) (layer B.Cu) (net 51)) + (segment (start 112.522 124.714) (end 110.871 126.365) (width 0.1524) (layer B.Cu) (net 51)) + (segment (start 121.412 124.714) (end 112.522 124.714) (width 0.1524) (layer B.Cu) (net 51)) + (segment (start 122.301 125.603) (end 121.412 124.714) (width 0.1524) (layer B.Cu) (net 51)) + (via (at 126.619 122.555) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 52)) + (segment (start 125.3235 122.555) (end 126.619 122.555) (width 0.1524) (layer F.Cu) (net 52)) + (via (at 110.49 123.825) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 52)) + (segment (start 108.912 123.825) (end 110.49 123.825) (width 0.1524) (layer F.Cu) (net 52)) + (segment (start 122.936 122.555) (end 126.619 122.555) (width 0.1524) (layer B.Cu) (net 52)) + (segment (start 112.903 122.555) (end 122.936 122.555) (width 0.1524) (layer B.Cu) (net 52)) + (segment (start 111.633 123.825) (end 112.903 122.555) (width 0.1524) (layer B.Cu) (net 52)) + (segment (start 110.49 123.825) (end 111.633 123.825) (width 0.1524) (layer B.Cu) (net 52)) + (via (at 110.49 121.285) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 53)) + (segment (start 108.912 121.285) (end 110.49 121.285) (width 0.1524) (layer F.Cu) (net 53)) + (via (at 126.619 120.015) (size 0.6) (drill 0.3) (layers F.Cu B.Cu) (net 53)) + (segment (start 125.3235 120.015) (end 126.619 120.015) (width 0.1524) (layer F.Cu) (net 53)) + (segment (start 111.76 120.015) (end 126.619 120.015) (width 0.1524) (layer B.Cu) (net 53)) + (segment (start 110.49 121.285) (end 111.76 120.015) (width 0.1524) (layer B.Cu) (net 53)) + (segment (start 91.137 109.855) (end 95.812 109.855) (width 0.1524) (layer F.Cu) (net 54)) + (segment (start 91.137 111.125) (end 95.812 111.125) (width 0.1524) (layer F.Cu) (net 55)) + (segment (start 95.812 112.395) (end 91.137 112.395) (width 0.1524) (layer F.Cu) (net 56)) + (segment (start 91.137 113.665) (end 95.812 113.665) (width 0.1524) (layer F.Cu) (net 57)) + (segment (start 89.789 114.935) (end 91.137 114.935) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 89.281 114.427) (end 89.789 114.935) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 89.281 113.538) (end 89.281 114.427) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 89.789 113.03) (end 89.281 113.538) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 98.679 113.03) (end 89.789 113.03) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 99.314 112.395) (end 98.679 113.03) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 108.912 112.395) (end 99.314 112.395) (width 0.1524) (layer F.Cu) (net 58)) + (segment (start 89.789 116.205) (end 91.137 116.205) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 88.646 115.062) (end 89.789 116.205) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 88.646 112.903) (end 88.646 115.062) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 89.789 111.76) (end 88.646 112.903) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 98.679 111.76) (end 89.789 111.76) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 99.314 111.125) (end 98.679 111.76) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 108.912 111.125) (end 99.314 111.125) (width 0.1524) (layer F.Cu) (net 59)) + (segment (start 88.011 115.697) (end 89.789 117.475) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 89.789 117.475) (end 91.137 117.475) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 108.912 109.855) (end 99.314 109.855) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 88.011 112.268) (end 88.011 115.697) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 98.679 110.49) (end 89.789 110.49) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 99.314 109.855) (end 98.679 110.49) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 89.789 110.49) (end 88.011 112.268) (width 0.1524) (layer F.Cu) (net 60)) + (segment (start 89.789 118.745) (end 91.137 118.745) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 87.376 116.332) (end 89.789 118.745) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 87.376 111.633) (end 87.376 116.332) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 89.789 109.22) (end 87.376 111.633) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 93.678375 108.585) (end 93.043375 109.22) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 93.043375 109.22) (end 89.789 109.22) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 95.812 108.585) (end 93.678375 108.585) (width 0.1524) (layer F.Cu) (net 61)) + (segment (start 129.159 120.015) (end 130.621 120.015) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 125.3235 117.475) (end 126.619 117.475) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 126.619 117.475) (end 129.159 120.015) (width 0.1524) (layer F.Cu) (net 65)) + (segment (start 132.04825 121.285) (end 130.621 121.285) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 133.096 120.23725) (end 132.04825 121.285) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 133.096 118.491) (end 133.096 120.23725) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 134.112 117.475) (end 133.096 118.491) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 135.571 117.475) (end 134.112 117.475) (width 0.1524) (layer F.Cu) (net 68)) + (segment (start 135.571 118.745) (end 135.571 120.015) (width 0.1524) (layer F.Cu) (net 69)) + (segment (start 122.682 111.633) (end 122.301 112.014) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 122.301 112.014) (end 122.301 113.1775) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 124.587 111.633) (end 122.682 111.633) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 129.159 116.205) (end 124.587 111.633) (width 0.1524) (layer F.Cu) (net 70)) + (segment (start 130.621 116.205) (end 129.159 116.205) (width 0.1524) (layer F.Cu) (net 70)) + + (zone (net 2) (net_name GND) (layer F.Cu) (tstamp 5DE6C357) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 137.541 104.648) (xy 138.176 105.029) (xy 138.557 105.41) (xy 138.811 105.918) (xy 138.938 106.553) + (xy 138.938 131.572) (xy 70.358 131.572) (xy 69.723 131.445) (xy 69.215 131.191) (xy 68.707 130.683) + (xy 68.453 130.175) (xy 68.326 129.54) (xy 68.326 115.189) (xy 68.453 114.554) (xy 68.707 114.046) + (xy 77.851 104.902) (xy 78.359 104.648) (xy 78.994 104.521) (xy 136.906 104.521) + ) + ) + (filled_polygon + (pts + (xy 137.513112 104.720131) (xy 138.12875 105.089514) (xy 138.494376 105.45514) (xy 138.738281 105.942951) (xy 138.8618 106.560544) + (xy 138.8618 131.4958) (xy 138.1506 131.4958) (xy 138.1506 129.442434) (xy 138.14106 129.394474) (xy 138.136267 129.345808) + (xy 138.122072 129.299014) (xy 138.112532 129.251053) (xy 138.093818 129.205872) (xy 138.079623 129.15908) (xy 138.056572 129.115954) + (xy 138.037858 129.070775) (xy 138.010691 129.030117) (xy 137.987639 128.986989) (xy 137.956616 128.949188) (xy 137.929449 128.908529) + (xy 137.89487 128.87395) (xy 137.863849 128.836151) (xy 137.82605 128.80513) (xy 137.791471 128.770551) (xy 137.7696 128.755937) + (xy 137.7696 128.675315) (xy 137.770542 128.674542) (xy 137.831754 128.599955) (xy 137.877239 128.514859) (xy 137.905248 128.422525) + (xy 137.914706 128.3265) (xy 137.914706 127.4515) (xy 137.905248 127.355475) (xy 137.877239 127.263141) (xy 137.831754 127.178045) + (xy 137.770542 127.103458) (xy 137.7696 127.102685) (xy 137.7696 126.589059) (xy 137.760779 126.499498) (xy 137.725921 126.384588) + (xy 137.669316 126.278686) (xy 137.593138 126.185862) (xy 137.500314 126.109684) (xy 137.394412 126.053079) (xy 137.279502 126.018221) + (xy 137.16 126.006451) (xy 137.040499 126.018221) (xy 136.925589 126.053079) (xy 136.819687 126.109684) (xy 136.726863 126.185862) + (xy 136.650685 126.278686) (xy 136.59408 126.384588) (xy 136.559222 126.499498) (xy 136.550401 126.589059) (xy 136.550401 127.102684) + (xy 136.549458 127.103458) (xy 136.488246 127.178045) (xy 136.442761 127.263141) (xy 136.414752 127.355475) (xy 136.405294 127.4515) + (xy 136.405294 128.3265) (xy 136.414752 128.422525) (xy 136.442761 128.514859) (xy 136.488246 128.599955) (xy 136.549458 128.674542) + (xy 136.5504 128.675315) (xy 136.550401 128.755937) (xy 136.528529 128.770551) (xy 136.493945 128.805135) (xy 136.456152 128.836151) + (xy 136.425136 128.873944) (xy 136.390551 128.908529) (xy 136.363379 128.949194) (xy 136.332362 128.986989) (xy 136.309314 129.030109) + (xy 136.282142 129.070775) (xy 136.263425 129.115963) (xy 136.240378 129.15908) (xy 136.226186 129.205863) (xy 136.207468 129.251053) + (xy 136.197926 129.299024) (xy 136.183734 129.345808) (xy 136.178942 129.394464) (xy 136.1694 129.442434) (xy 136.1694 129.637566) + (xy 136.169401 129.637571) (xy 136.169401 131.4958) (xy 135.353185 131.4958) (xy 135.34029 131.485217) (xy 135.234707 131.428781) + (xy 135.120143 131.394029) (xy 135.040468 131.386181) (xy 135.08844 131.314386) (xy 135.128287 131.218187) (xy 135.1486 131.116063) + (xy 135.1486 131.011937) (xy 135.128287 130.909813) (xy 135.08844 130.813614) (xy 135.030591 130.727037) (xy 134.956963 130.653409) + (xy 134.870386 130.59556) (xy 134.774187 130.555713) (xy 134.672063 130.5354) (xy 134.567937 130.5354) (xy 134.465813 130.555713) + (xy 134.369614 130.59556) (xy 134.283037 130.653409) (xy 134.209409 130.727037) (xy 134.15156 130.813614) (xy 134.111713 130.909813) + (xy 134.0914 131.011937) (xy 134.0914 131.116063) (xy 134.111713 131.218187) (xy 134.15156 131.314386) (xy 134.199532 131.386181) + (xy 134.119857 131.394029) (xy 134.005293 131.428781) (xy 133.89971 131.485217) (xy 133.886815 131.4958) (xy 132.813185 131.4958) + (xy 132.80029 131.485217) (xy 132.694707 131.428781) (xy 132.580143 131.394029) (xy 132.500468 131.386181) (xy 132.54844 131.314386) + (xy 132.588287 131.218187) (xy 132.6086 131.116063) (xy 132.6086 131.011937) (xy 132.588287 130.909813) (xy 132.54844 130.813614) + (xy 132.490591 130.727037) (xy 132.416963 130.653409) (xy 132.330386 130.59556) (xy 132.234187 130.555713) (xy 132.132063 130.5354) + (xy 132.027937 130.5354) (xy 131.925813 130.555713) (xy 131.829614 130.59556) (xy 131.743037 130.653409) (xy 131.669409 130.727037) + (xy 131.61156 130.813614) (xy 131.571713 130.909813) (xy 131.5514 131.011937) (xy 131.5514 131.116063) (xy 131.571713 131.218187) + (xy 131.61156 131.314386) (xy 131.659532 131.386181) (xy 131.579857 131.394029) (xy 131.465293 131.428781) (xy 131.35971 131.485217) + (xy 131.346815 131.4958) (xy 130.273185 131.4958) (xy 130.26029 131.485217) (xy 130.154707 131.428781) (xy 130.040143 131.394029) + (xy 129.921 131.382294) (xy 129.159 131.382294) (xy 129.039857 131.394029) (xy 128.925293 131.428781) (xy 128.81971 131.485217) + (xy 128.806815 131.4958) (xy 127.733185 131.4958) (xy 127.72029 131.485217) (xy 127.614707 131.428781) (xy 127.500143 131.394029) + (xy 127.381 131.382294) (xy 126.619 131.382294) (xy 126.499857 131.394029) (xy 126.385293 131.428781) (xy 126.27971 131.485217) + (xy 126.266815 131.4958) (xy 125.193185 131.4958) (xy 125.18029 131.485217) (xy 125.074707 131.428781) (xy 124.960143 131.394029) + (xy 124.841 131.382294) (xy 124.079 131.382294) (xy 123.959857 131.394029) (xy 123.845293 131.428781) (xy 123.73971 131.485217) + (xy 123.726815 131.4958) (xy 122.653185 131.4958) (xy 122.64029 131.485217) (xy 122.534707 131.428781) (xy 122.420143 131.394029) + (xy 122.301 131.382294) (xy 121.539 131.382294) (xy 121.419857 131.394029) (xy 121.305293 131.428781) (xy 121.19971 131.485217) + (xy 121.186815 131.4958) (xy 120.113185 131.4958) (xy 120.10029 131.485217) (xy 119.994707 131.428781) (xy 119.880143 131.394029) + (xy 119.761 131.382294) (xy 119.6848 131.382294) (xy 119.6848 131.205957) (xy 119.686273 131.190999) (xy 119.6848 131.176041) + (xy 119.6848 131.176034) (xy 119.680389 131.131249) (xy 119.675783 131.116063) (xy 119.66296 131.073794) (xy 119.657373 131.063342) + (xy 119.634658 131.020843) (xy 119.596568 130.974432) (xy 119.584945 130.964893) (xy 117.672552 129.0525) (xy 121.265294 129.0525) + (xy 121.265294 130.0275) (xy 121.273791 130.11377) (xy 121.298955 130.196725) (xy 121.339819 130.273176) (xy 121.394813 130.340187) + (xy 121.461824 130.395181) (xy 121.472691 130.400989) (xy 121.45156 130.432614) (xy 121.411713 130.528813) (xy 121.3914 130.630937) + (xy 121.3914 130.735063) (xy 121.411713 130.837187) (xy 121.45156 130.933386) (xy 121.509409 131.019963) (xy 121.583037 131.093591) + (xy 121.669614 131.15144) (xy 121.765813 131.191287) (xy 121.867937 131.2116) (xy 121.972063 131.2116) (xy 122.074187 131.191287) + (xy 122.170386 131.15144) (xy 122.256963 131.093591) (xy 122.330591 131.019963) (xy 122.38844 130.933386) (xy 122.428287 130.837187) + (xy 122.4486 130.735063) (xy 122.4486 130.630937) (xy 122.428287 130.528813) (xy 122.38844 130.432614) (xy 122.367309 130.400989) + (xy 122.378176 130.395181) (xy 122.445187 130.340187) (xy 122.500181 130.273176) (xy 122.541045 130.196725) (xy 122.566209 130.11377) + (xy 122.574706 130.0275) (xy 122.574706 129.0525) (xy 123.165294 129.0525) (xy 123.165294 130.0275) (xy 123.173791 130.11377) + (xy 123.198955 130.196725) (xy 123.239819 130.273176) (xy 123.294813 130.340187) (xy 123.361824 130.395181) (xy 123.438275 130.436045) + (xy 123.52123 130.461209) (xy 123.6075 130.469706) (xy 124.0325 130.469706) (xy 124.11877 130.461209) (xy 124.201725 130.436045) + (xy 124.278176 130.395181) (xy 124.345187 130.340187) (xy 124.400181 130.273176) (xy 124.441045 130.196725) (xy 124.466209 130.11377) + (xy 124.474706 130.0275) (xy 124.474706 130.0226) (xy 125.386799 130.0226) (xy 125.448813 130.048287) (xy 125.550937 130.0686) + (xy 125.655063 130.0686) (xy 125.757187 130.048287) (xy 125.853386 130.00844) (xy 125.939963 129.950591) (xy 126.013591 129.876963) + (xy 126.07144 129.790386) (xy 126.111287 129.694187) (xy 126.1316 129.592063) (xy 126.1316 129.487937) (xy 126.111287 129.385813) + (xy 126.07144 129.289614) (xy 126.013591 129.203037) (xy 125.939963 129.129409) (xy 125.853386 129.07156) (xy 125.757187 129.031713) + (xy 125.655063 129.0114) (xy 125.550937 129.0114) (xy 125.448813 129.031713) (xy 125.386799 129.0574) (xy 124.474706 129.0574) + (xy 124.474706 129.0525) (xy 124.466209 128.96623) (xy 124.441045 128.883275) (xy 124.400181 128.806824) (xy 124.345187 128.739813) + (xy 124.278176 128.684819) (xy 124.201725 128.643955) (xy 124.11877 128.618791) (xy 124.0325 128.610294) (xy 123.6075 128.610294) + (xy 123.52123 128.618791) (xy 123.438275 128.643955) (xy 123.361824 128.684819) (xy 123.294813 128.739813) (xy 123.239819 128.806824) + (xy 123.198955 128.883275) (xy 123.173791 128.96623) (xy 123.165294 129.0525) (xy 122.574706 129.0525) (xy 122.566209 128.96623) + (xy 122.541045 128.883275) (xy 122.500181 128.806824) (xy 122.445187 128.739813) (xy 122.378176 128.684819) (xy 122.301725 128.643955) + (xy 122.2248 128.62062) (xy 122.2248 128.589) (xy 134.705294 128.589) (xy 134.709708 128.633813) (xy 134.722779 128.676905) + (xy 134.744006 128.716618) (xy 134.772573 128.751427) (xy 134.807382 128.779994) (xy 134.847095 128.801221) (xy 134.890187 128.814292) + (xy 134.935 128.818706) (xy 135.32905 128.8176) (xy 135.3862 128.76045) (xy 135.3862 127.9628) (xy 135.5338 127.9628) + (xy 135.5338 128.76045) (xy 135.59095 128.8176) (xy 135.985 128.818706) (xy 136.029813 128.814292) (xy 136.072905 128.801221) + (xy 136.112618 128.779994) (xy 136.147427 128.751427) (xy 136.175994 128.716618) (xy 136.197221 128.676905) (xy 136.210292 128.633813) + (xy 136.214706 128.589) (xy 136.2136 128.01995) (xy 136.15645 127.9628) (xy 135.5338 127.9628) (xy 135.3862 127.9628) + (xy 134.76355 127.9628) (xy 134.7064 128.01995) (xy 134.705294 128.589) (xy 122.2248 128.589) (xy 122.2248 128.523251) + (xy 122.808252 127.9398) (xy 131.430042 127.9398) (xy 131.445 127.941273) (xy 131.459958 127.9398) (xy 131.459966 127.9398) + (xy 131.504751 127.935389) (xy 131.562206 127.91796) (xy 131.615157 127.889658) (xy 131.661568 127.851568) (xy 131.671112 127.839939) + (xy 132.322051 127.189) (xy 134.705294 127.189) (xy 134.7064 127.75805) (xy 134.76355 127.8152) (xy 135.3862 127.8152) + (xy 135.3862 127.01755) (xy 135.5338 127.01755) (xy 135.5338 127.8152) (xy 136.15645 127.8152) (xy 136.2136 127.75805) + (xy 136.214706 127.189) (xy 136.210292 127.144187) (xy 136.197221 127.101095) (xy 136.175994 127.061382) (xy 136.147427 127.026573) + (xy 136.112618 126.998006) (xy 136.072905 126.976779) (xy 136.029813 126.963708) (xy 135.985 126.959294) (xy 135.59095 126.9604) + (xy 135.5338 127.01755) (xy 135.3862 127.01755) (xy 135.32905 126.9604) (xy 134.935 126.959294) (xy 134.890187 126.963708) + (xy 134.847095 126.976779) (xy 134.807382 126.998006) (xy 134.772573 127.026573) (xy 134.744006 127.061382) (xy 134.722779 127.101095) + (xy 134.709708 127.144187) (xy 134.705294 127.189) (xy 132.322051 127.189) (xy 134.783434 124.727617) (xy 135.7548 124.727617) + (xy 135.7548 124.954383) (xy 135.79904 125.176793) (xy 135.88582 125.386298) (xy 136.011805 125.574847) (xy 136.172153 125.735195) + (xy 136.360702 125.86118) (xy 136.570207 125.94796) (xy 136.792617 125.9922) (xy 137.019383 125.9922) (xy 137.241793 125.94796) + (xy 137.451298 125.86118) (xy 137.639847 125.735195) (xy 137.800195 125.574847) (xy 137.92618 125.386298) (xy 138.01296 125.176793) + (xy 138.0572 124.954383) (xy 138.0572 124.727617) (xy 138.01296 124.505207) (xy 137.92618 124.295702) (xy 137.800195 124.107153) + (xy 137.639847 123.946805) (xy 137.451298 123.82082) (xy 137.241793 123.73404) (xy 137.019383 123.6898) (xy 136.792617 123.6898) + (xy 136.570207 123.73404) (xy 136.360702 123.82082) (xy 136.172153 123.946805) (xy 136.011805 124.107153) (xy 135.88582 124.295702) + (xy 135.79904 124.505207) (xy 135.7548 124.727617) (xy 134.783434 124.727617) (xy 135.775944 123.735108) (xy 135.787568 123.725568) + (xy 135.825658 123.679157) (xy 135.85396 123.626206) (xy 135.86807 123.579693) (xy 135.871389 123.568752) (xy 135.871945 123.563111) + (xy 135.8758 123.523966) (xy 135.8758 123.523959) (xy 135.877273 123.509001) (xy 135.8758 123.494043) (xy 135.8758 123.084706) + (xy 136.396 123.084706) (xy 136.470077 123.07741) (xy 136.541307 123.055803) (xy 136.606953 123.020714) (xy 136.664493 122.973493) + (xy 136.711714 122.915953) (xy 136.746803 122.850307) (xy 136.76841 122.779077) (xy 136.775706 122.705) (xy 136.775706 122.405) + (xy 136.76841 122.330923) (xy 136.746803 122.259693) (xy 136.711714 122.194047) (xy 136.664493 122.136507) (xy 136.606953 122.089286) + (xy 136.541307 122.054197) (xy 136.470077 122.03259) (xy 136.396 122.025294) (xy 134.746 122.025294) (xy 134.671923 122.03259) + (xy 134.600693 122.054197) (xy 134.535047 122.089286) (xy 134.477507 122.136507) (xy 134.430286 122.194047) (xy 134.395197 122.259693) + (xy 134.37359 122.330923) (xy 134.366294 122.405) (xy 134.366294 122.705) (xy 134.37359 122.779077) (xy 134.395197 122.850307) + (xy 134.430286 122.915953) (xy 134.477507 122.973493) (xy 134.535047 123.020714) (xy 134.600693 123.055803) (xy 134.671923 123.07741) + (xy 134.746 123.084706) (xy 135.266201 123.084706) (xy 135.266201 123.382747) (xy 131.318749 127.3302) (xy 122.696958 127.3302) + (xy 122.682 127.328727) (xy 122.667042 127.3302) (xy 122.667034 127.3302) (xy 122.627403 127.334103) (xy 122.622248 127.334611) + (xy 122.564794 127.35204) (xy 122.511843 127.380342) (xy 122.465432 127.418432) (xy 122.455892 127.430056) (xy 121.715061 128.170888) + (xy 121.703432 128.180432) (xy 121.665342 128.226844) (xy 121.63704 128.279795) (xy 121.619611 128.33725) (xy 121.6152 128.382035) + (xy 121.6152 128.382042) (xy 121.613727 128.397) (xy 121.6152 128.411958) (xy 121.6152 128.62062) (xy 121.538275 128.643955) + (xy 121.461824 128.684819) (xy 121.394813 128.739813) (xy 121.339819 128.806824) (xy 121.298955 128.883275) (xy 121.273791 128.96623) + (xy 121.265294 129.0525) (xy 117.672552 129.0525) (xy 117.5258 128.905749) (xy 117.5258 126.035082) (xy 117.557963 126.013591) + (xy 117.631591 125.939963) (xy 117.68944 125.853386) (xy 117.729287 125.757187) (xy 117.7496 125.655063) (xy 117.7496 125.550937) + (xy 117.729287 125.448813) (xy 117.68944 125.352614) (xy 117.631591 125.266037) (xy 117.581507 125.215953) (xy 117.581953 125.215714) + (xy 117.639493 125.168493) (xy 117.686714 125.110953) (xy 117.719294 125.05) (xy 117.961294 125.05) (xy 117.965708 125.094813) + (xy 117.978779 125.137905) (xy 118.000006 125.177618) (xy 118.028573 125.212427) (xy 118.063382 125.240994) (xy 118.103095 125.262221) + (xy 118.146187 125.275292) (xy 118.191 125.279706) (xy 118.36005 125.2786) (xy 118.4172 125.22145) (xy 118.4172 124.3863) + (xy 118.5648 124.3863) (xy 118.5648 125.22145) (xy 118.62195 125.2786) (xy 118.791 125.279706) (xy 118.835813 125.275292) + (xy 118.878905 125.262221) (xy 118.918618 125.240994) (xy 118.953427 125.212427) (xy 118.981994 125.177618) (xy 119.003221 125.137905) + (xy 119.016292 125.094813) (xy 119.020706 125.05) (xy 119.0196 124.44345) (xy 118.96245 124.3863) (xy 118.5648 124.3863) + (xy 118.4172 124.3863) (xy 118.01955 124.3863) (xy 117.9624 124.44345) (xy 117.961294 125.05) (xy 117.719294 125.05) + (xy 117.721803 125.045307) (xy 117.74341 124.974077) (xy 117.750706 124.9) (xy 117.750706 123.725) (xy 117.74341 123.650923) + (xy 117.721803 123.579693) (xy 117.719295 123.575) (xy 117.961294 123.575) (xy 117.9624 124.18155) (xy 118.01955 124.2387) + (xy 118.4172 124.2387) (xy 118.4172 123.40355) (xy 118.5648 123.40355) (xy 118.5648 124.2387) (xy 118.96245 124.2387) + (xy 119.0196 124.18155) (xy 119.020432 123.725) (xy 119.231294 123.725) (xy 119.231294 124.9) (xy 119.23859 124.974077) + (xy 119.260197 125.045307) (xy 119.295286 125.110953) (xy 119.342507 125.168493) (xy 119.400047 125.215714) (xy 119.465693 125.250803) + (xy 119.536923 125.27241) (xy 119.611 125.279706) (xy 119.911 125.279706) (xy 119.985077 125.27241) (xy 120.056307 125.250803) + (xy 120.121953 125.215714) (xy 120.179493 125.168493) (xy 120.226714 125.110953) (xy 120.261803 125.045307) (xy 120.28341 124.974077) + (xy 120.290706 124.9) (xy 120.290706 123.725) (xy 120.501294 123.725) (xy 120.501294 124.9) (xy 120.50859 124.974077) + (xy 120.530197 125.045307) (xy 120.565286 125.110953) (xy 120.612507 125.168493) (xy 120.670047 125.215714) (xy 120.670493 125.215953) + (xy 120.620409 125.266037) (xy 120.56256 125.352614) (xy 120.522713 125.448813) (xy 120.5024 125.550937) (xy 120.5024 125.655063) + (xy 120.522713 125.757187) (xy 120.56256 125.853386) (xy 120.620409 125.939963) (xy 120.694037 126.013591) (xy 120.780614 126.07144) + (xy 120.876813 126.111287) (xy 120.978937 126.1316) (xy 121.083063 126.1316) (xy 121.185187 126.111287) (xy 121.281386 126.07144) + (xy 121.367963 126.013591) (xy 121.441591 125.939963) (xy 121.49944 125.853386) (xy 121.539287 125.757187) (xy 121.5596 125.655063) + (xy 121.5596 125.550937) (xy 121.539287 125.448813) (xy 121.49944 125.352614) (xy 121.441591 125.266037) (xy 121.391507 125.215953) + (xy 121.391953 125.215714) (xy 121.449493 125.168493) (xy 121.496714 125.110953) (xy 121.531803 125.045307) (xy 121.55341 124.974077) + (xy 121.560706 124.9) (xy 121.560706 123.725) (xy 121.771294 123.725) (xy 121.771294 124.9) (xy 121.77859 124.974077) + (xy 121.800197 125.045307) (xy 121.835286 125.110953) (xy 121.882507 125.168493) (xy 121.940047 125.215714) (xy 121.940493 125.215953) + (xy 121.890409 125.266037) (xy 121.83256 125.352614) (xy 121.792713 125.448813) (xy 121.7724 125.550937) (xy 121.7724 125.655063) + (xy 121.792713 125.757187) (xy 121.83256 125.853386) (xy 121.890409 125.939963) (xy 121.964037 126.013591) (xy 122.050614 126.07144) + (xy 122.146813 126.111287) (xy 122.248937 126.1316) (xy 122.353063 126.1316) (xy 122.455187 126.111287) (xy 122.551386 126.07144) + (xy 122.637963 126.013591) (xy 122.711591 125.939963) (xy 122.76944 125.853386) (xy 122.809287 125.757187) (xy 122.8296 125.655063) + (xy 122.8296 125.550937) (xy 122.809287 125.448813) (xy 122.76944 125.352614) (xy 122.711591 125.266037) (xy 122.661507 125.215953) + (xy 122.661953 125.215714) (xy 122.719493 125.168493) (xy 122.766714 125.110953) (xy 122.801803 125.045307) (xy 122.82341 124.974077) + (xy 122.830706 124.9) (xy 122.830706 123.725) (xy 123.041294 123.725) (xy 123.041294 124.9) (xy 123.04859 124.974077) + (xy 123.070197 125.045307) (xy 123.105286 125.110953) (xy 123.152507 125.168493) (xy 123.210047 125.215714) (xy 123.210493 125.215953) + (xy 123.160409 125.266037) (xy 123.10256 125.352614) (xy 123.062713 125.448813) (xy 123.0424 125.550937) (xy 123.0424 125.655063) + (xy 123.062713 125.757187) (xy 123.10256 125.853386) (xy 123.160409 125.939963) (xy 123.234037 126.013591) (xy 123.320614 126.07144) + (xy 123.416813 126.111287) (xy 123.518937 126.1316) (xy 123.623063 126.1316) (xy 123.725187 126.111287) (xy 123.821386 126.07144) + (xy 123.907963 126.013591) (xy 123.981591 125.939963) (xy 124.03944 125.853386) (xy 124.079287 125.757187) (xy 124.0996 125.655063) + (xy 124.0996 125.550937) (xy 124.079287 125.448813) (xy 124.03944 125.352614) (xy 123.981591 125.266037) (xy 123.931507 125.215953) + (xy 123.931953 125.215714) (xy 123.989493 125.168493) (xy 124.036714 125.110953) (xy 124.071803 125.045307) (xy 124.09341 124.974077) + (xy 124.100706 124.9) (xy 124.100706 123.725) (xy 124.09341 123.650923) (xy 124.071803 123.579693) (xy 124.036714 123.514047) + (xy 123.989493 123.456507) (xy 123.931953 123.409286) (xy 123.866307 123.374197) (xy 123.795077 123.35259) (xy 123.721 123.345294) + (xy 123.421 123.345294) (xy 123.346923 123.35259) (xy 123.275693 123.374197) (xy 123.210047 123.409286) (xy 123.152507 123.456507) + (xy 123.105286 123.514047) (xy 123.070197 123.579693) (xy 123.04859 123.650923) (xy 123.041294 123.725) (xy 122.830706 123.725) + (xy 122.82341 123.650923) (xy 122.801803 123.579693) (xy 122.766714 123.514047) (xy 122.719493 123.456507) (xy 122.661953 123.409286) + (xy 122.596307 123.374197) (xy 122.525077 123.35259) (xy 122.451 123.345294) (xy 122.151 123.345294) (xy 122.076923 123.35259) + (xy 122.005693 123.374197) (xy 121.940047 123.409286) (xy 121.882507 123.456507) (xy 121.835286 123.514047) (xy 121.800197 123.579693) + (xy 121.77859 123.650923) (xy 121.771294 123.725) (xy 121.560706 123.725) (xy 121.55341 123.650923) (xy 121.531803 123.579693) + (xy 121.496714 123.514047) (xy 121.449493 123.456507) (xy 121.391953 123.409286) (xy 121.326307 123.374197) (xy 121.255077 123.35259) + (xy 121.181 123.345294) (xy 120.881 123.345294) (xy 120.806923 123.35259) (xy 120.735693 123.374197) (xy 120.670047 123.409286) + (xy 120.612507 123.456507) (xy 120.565286 123.514047) (xy 120.530197 123.579693) (xy 120.50859 123.650923) (xy 120.501294 123.725) + (xy 120.290706 123.725) (xy 120.28341 123.650923) (xy 120.261803 123.579693) (xy 120.226714 123.514047) (xy 120.179493 123.456507) + (xy 120.121953 123.409286) (xy 120.056307 123.374197) (xy 119.985077 123.35259) (xy 119.911 123.345294) (xy 119.611 123.345294) + (xy 119.536923 123.35259) (xy 119.465693 123.374197) (xy 119.400047 123.409286) (xy 119.342507 123.456507) (xy 119.295286 123.514047) + (xy 119.260197 123.579693) (xy 119.23859 123.650923) (xy 119.231294 123.725) (xy 119.020432 123.725) (xy 119.020706 123.575) + (xy 119.016292 123.530187) (xy 119.003221 123.487095) (xy 118.981994 123.447382) (xy 118.953427 123.412573) (xy 118.918618 123.384006) + (xy 118.878905 123.362779) (xy 118.835813 123.349708) (xy 118.791 123.345294) (xy 118.62195 123.3464) (xy 118.5648 123.40355) + (xy 118.4172 123.40355) (xy 118.36005 123.3464) (xy 118.191 123.345294) (xy 118.146187 123.349708) (xy 118.103095 123.362779) + (xy 118.063382 123.384006) (xy 118.028573 123.412573) (xy 118.000006 123.447382) (xy 117.978779 123.487095) (xy 117.965708 123.530187) + (xy 117.961294 123.575) (xy 117.719295 123.575) (xy 117.686714 123.514047) (xy 117.639493 123.456507) (xy 117.581953 123.409286) + (xy 117.516307 123.374197) (xy 117.445077 123.35259) (xy 117.371 123.345294) (xy 117.071 123.345294) (xy 116.996923 123.35259) + (xy 116.925693 123.374197) (xy 116.860047 123.409286) (xy 116.802507 123.456507) (xy 116.755286 123.514047) (xy 116.720197 123.579693) + (xy 116.69859 123.650923) (xy 116.691294 123.725) (xy 116.691294 124.9) (xy 116.69859 124.974077) (xy 116.720197 125.045307) + (xy 116.755286 125.110953) (xy 116.802507 125.168493) (xy 116.860047 125.215714) (xy 116.860493 125.215953) (xy 116.810409 125.266037) + (xy 116.75256 125.352614) (xy 116.712713 125.448813) (xy 116.6924 125.550937) (xy 116.6924 125.655063) (xy 116.712713 125.757187) + (xy 116.75256 125.853386) (xy 116.810409 125.939963) (xy 116.884037 126.013591) (xy 116.9162 126.035082) (xy 116.916201 129.017032) + (xy 116.914727 129.032) (xy 116.920611 129.091751) (xy 116.93804 129.149205) (xy 116.948511 129.168795) (xy 116.966343 129.202157) + (xy 117.004433 129.248568) (xy 117.016057 129.258108) (xy 119.0752 131.317252) (xy 119.0752 131.382294) (xy 118.999 131.382294) + (xy 118.879857 131.394029) (xy 118.765293 131.428781) (xy 118.65971 131.485217) (xy 118.646815 131.4958) (xy 117.573185 131.4958) + (xy 117.56029 131.485217) (xy 117.454707 131.428781) (xy 117.340143 131.394029) (xy 117.221 131.382294) (xy 117.1448 131.382294) + (xy 117.1448 131.205958) (xy 117.146273 131.191) (xy 117.1448 131.176042) (xy 117.1448 131.176034) (xy 117.140389 131.131249) + (xy 117.135783 131.116063) (xy 117.12296 131.073794) (xy 117.117373 131.063342) (xy 117.094658 131.020843) (xy 117.056568 130.974432) + (xy 117.044944 130.964892) (xy 116.2558 130.175749) (xy 116.2558 125.245729) (xy 116.311953 125.215714) (xy 116.369493 125.168493) + (xy 116.416714 125.110953) (xy 116.451803 125.045307) (xy 116.47341 124.974077) (xy 116.480706 124.9) (xy 116.480706 123.725) + (xy 116.47341 123.650923) (xy 116.451803 123.579693) (xy 116.416714 123.514047) (xy 116.369493 123.456507) (xy 116.311953 123.409286) + (xy 116.246307 123.374197) (xy 116.175077 123.35259) (xy 116.101 123.345294) (xy 115.801 123.345294) (xy 115.726923 123.35259) + (xy 115.655693 123.374197) (xy 115.590047 123.409286) (xy 115.532507 123.456507) (xy 115.485286 123.514047) (xy 115.450197 123.579693) + (xy 115.42859 123.650923) (xy 115.421294 123.725) (xy 115.421294 124.9) (xy 115.42859 124.974077) (xy 115.450197 125.045307) + (xy 115.485286 125.110953) (xy 115.532507 125.168493) (xy 115.590047 125.215714) (xy 115.646201 125.245729) (xy 115.6462 130.287042) + (xy 115.644727 130.302) (xy 115.6462 130.316958) (xy 115.6462 130.316965) (xy 115.649142 130.346835) (xy 115.650611 130.361751) + (xy 115.660752 130.395181) (xy 115.66804 130.419205) (xy 115.696342 130.472156) (xy 115.734432 130.518568) (xy 115.746061 130.528112) + (xy 116.535201 131.317253) (xy 116.535201 131.382294) (xy 116.459 131.382294) (xy 116.339857 131.394029) (xy 116.225293 131.428781) + (xy 116.11971 131.485217) (xy 116.106815 131.4958) (xy 115.033185 131.4958) (xy 115.02029 131.485217) (xy 114.914707 131.428781) + (xy 114.800143 131.394029) (xy 114.681 131.382294) (xy 114.6048 131.382294) (xy 114.6048 123.084706) (xy 114.786 123.084706) + (xy 114.860077 123.07741) (xy 114.931307 123.055803) (xy 114.996953 123.020714) (xy 115.054493 122.973493) (xy 115.101714 122.915953) + (xy 115.136803 122.850307) (xy 115.15841 122.779077) (xy 115.165706 122.705) (xy 115.165706 122.405) (xy 124.356294 122.405) + (xy 124.356294 122.705) (xy 124.36359 122.779077) (xy 124.385197 122.850307) (xy 124.420286 122.915953) (xy 124.467507 122.973493) + (xy 124.525047 123.020714) (xy 124.590693 123.055803) (xy 124.661923 123.07741) (xy 124.736 123.084706) (xy 125.911 123.084706) + (xy 125.985077 123.07741) (xy 126.056307 123.055803) (xy 126.121953 123.020714) (xy 126.179493 122.973493) (xy 126.226714 122.915953) + (xy 126.228694 122.912248) (xy 126.282037 122.965591) (xy 126.368614 123.02344) (xy 126.464813 123.063287) (xy 126.566937 123.0836) + (xy 126.671063 123.0836) (xy 126.773187 123.063287) (xy 126.869386 123.02344) (xy 126.955963 122.965591) (xy 127.029591 122.891963) + (xy 127.054288 122.855) (xy 129.416294 122.855) (xy 129.420708 122.899813) (xy 129.433779 122.942905) (xy 129.455006 122.982618) + (xy 129.483573 123.017427) (xy 129.518382 123.045994) (xy 129.558095 123.067221) (xy 129.601187 123.080292) (xy 129.646 123.084706) + (xy 130.49005 123.0836) (xy 130.5472 123.02645) (xy 130.5472 122.6288) (xy 130.6948 122.6288) (xy 130.6948 123.02645) + (xy 130.75195 123.0836) (xy 131.596 123.084706) (xy 131.640813 123.080292) (xy 131.683905 123.067221) (xy 131.723618 123.045994) + (xy 131.758427 123.017427) (xy 131.786994 122.982618) (xy 131.808221 122.942905) (xy 131.821292 122.899813) (xy 131.825706 122.855) + (xy 131.8246 122.68595) (xy 131.76745 122.6288) (xy 130.6948 122.6288) (xy 130.5472 122.6288) (xy 129.47455 122.6288) + (xy 129.4174 122.68595) (xy 129.416294 122.855) (xy 127.054288 122.855) (xy 127.08744 122.805386) (xy 127.127287 122.709187) + (xy 127.1476 122.607063) (xy 127.1476 122.502937) (xy 127.127287 122.400813) (xy 127.08744 122.304614) (xy 127.054289 122.255) + (xy 129.416294 122.255) (xy 129.4174 122.42405) (xy 129.47455 122.4812) (xy 130.5472 122.4812) (xy 130.5472 122.08355) + (xy 130.6948 122.08355) (xy 130.6948 122.4812) (xy 131.76745 122.4812) (xy 131.8246 122.42405) (xy 131.825706 122.255) + (xy 131.821292 122.210187) (xy 131.808221 122.167095) (xy 131.786994 122.127382) (xy 131.758427 122.092573) (xy 131.723618 122.064006) + (xy 131.683905 122.042779) (xy 131.640813 122.029708) (xy 131.596 122.025294) (xy 130.75195 122.0264) (xy 130.6948 122.08355) + (xy 130.5472 122.08355) (xy 130.49005 122.0264) (xy 129.646 122.025294) (xy 129.601187 122.029708) (xy 129.558095 122.042779) + (xy 129.518382 122.064006) (xy 129.483573 122.092573) (xy 129.455006 122.127382) (xy 129.433779 122.167095) (xy 129.420708 122.210187) + (xy 129.416294 122.255) (xy 127.054289 122.255) (xy 127.029591 122.218037) (xy 126.955963 122.144409) (xy 126.869386 122.08656) + (xy 126.773187 122.046713) (xy 126.671063 122.0264) (xy 126.566937 122.0264) (xy 126.464813 122.046713) (xy 126.368614 122.08656) + (xy 126.282037 122.144409) (xy 126.228694 122.197752) (xy 126.226714 122.194047) (xy 126.179493 122.136507) (xy 126.121953 122.089286) + (xy 126.056307 122.054197) (xy 125.985077 122.03259) (xy 125.911 122.025294) (xy 124.736 122.025294) (xy 124.661923 122.03259) + (xy 124.590693 122.054197) (xy 124.525047 122.089286) (xy 124.467507 122.136507) (xy 124.420286 122.194047) (xy 124.385197 122.259693) + (xy 124.36359 122.330923) (xy 124.356294 122.405) (xy 115.165706 122.405) (xy 115.15841 122.330923) (xy 115.136803 122.259693) + (xy 115.101714 122.194047) (xy 115.054493 122.136507) (xy 114.996953 122.089286) (xy 114.931307 122.054197) (xy 114.860077 122.03259) + (xy 114.786 122.025294) (xy 113.611 122.025294) (xy 113.536923 122.03259) (xy 113.465693 122.054197) (xy 113.400047 122.089286) + (xy 113.342507 122.136507) (xy 113.295286 122.194047) (xy 113.260197 122.259693) (xy 113.23859 122.330923) (xy 113.231294 122.405) + (xy 113.231294 122.705) (xy 113.23859 122.779077) (xy 113.260197 122.850307) (xy 113.295286 122.915953) (xy 113.342507 122.973493) + (xy 113.400047 123.020714) (xy 113.465693 123.055803) (xy 113.536923 123.07741) (xy 113.611 123.084706) (xy 113.995201 123.084706) + (xy 113.9952 131.382294) (xy 113.919 131.382294) (xy 113.799857 131.394029) (xy 113.685293 131.428781) (xy 113.57971 131.485217) + (xy 113.566815 131.4958) (xy 112.493185 131.4958) (xy 112.48029 131.485217) (xy 112.374707 131.428781) (xy 112.260143 131.394029) + (xy 112.141 131.382294) (xy 112.0648 131.382294) (xy 112.0648 131.333126) (xy 113.107945 130.289982) (xy 113.119568 130.280443) + (xy 113.157658 130.234032) (xy 113.18596 130.181081) (xy 113.198186 130.140779) (xy 113.203389 130.123627) (xy 113.204869 130.108595) + (xy 113.2078 130.078841) (xy 113.2078 130.078834) (xy 113.209273 130.063876) (xy 113.2078 130.048918) (xy 113.2078 121.717082) + (xy 113.239963 121.695591) (xy 113.293306 121.642248) (xy 113.295286 121.645953) (xy 113.342507 121.703493) (xy 113.400047 121.750714) + (xy 113.465693 121.785803) (xy 113.536923 121.80741) (xy 113.611 121.814706) (xy 114.786 121.814706) (xy 114.860077 121.80741) + (xy 114.931307 121.785803) (xy 114.996953 121.750714) (xy 115.054493 121.703493) (xy 115.101714 121.645953) (xy 115.136803 121.580307) + (xy 115.15841 121.509077) (xy 115.165706 121.435) (xy 115.165706 121.135) (xy 124.356294 121.135) (xy 124.356294 121.435) + (xy 124.36359 121.509077) (xy 124.385197 121.580307) (xy 124.420286 121.645953) (xy 124.467507 121.703493) (xy 124.525047 121.750714) + (xy 124.590693 121.785803) (xy 124.661923 121.80741) (xy 124.736 121.814706) (xy 125.911 121.814706) (xy 125.985077 121.80741) + (xy 126.056307 121.785803) (xy 126.121953 121.750714) (xy 126.179493 121.703493) (xy 126.226714 121.645953) (xy 126.261803 121.580307) + (xy 126.28341 121.509077) (xy 126.290706 121.435) (xy 126.290706 121.135) (xy 129.416294 121.135) (xy 129.416294 121.435) + (xy 129.42359 121.509077) (xy 129.445197 121.580307) (xy 129.480286 121.645953) (xy 129.527507 121.703493) (xy 129.585047 121.750714) + (xy 129.650693 121.785803) (xy 129.721923 121.80741) (xy 129.796 121.814706) (xy 131.446 121.814706) (xy 131.520077 121.80741) + (xy 131.591307 121.785803) (xy 131.656953 121.750714) (xy 131.714493 121.703493) (xy 131.761714 121.645953) (xy 131.791729 121.5898) + (xy 132.033292 121.5898) (xy 132.04825 121.591273) (xy 132.063208 121.5898) (xy 132.063216 121.5898) (xy 132.108001 121.585389) + (xy 132.109283 121.585) (xy 134.366294 121.585) (xy 134.370708 121.629813) (xy 134.383779 121.672905) (xy 134.405006 121.712618) + (xy 134.433573 121.747427) (xy 134.468382 121.775994) (xy 134.508095 121.797221) (xy 134.551187 121.810292) (xy 134.596 121.814706) + (xy 135.44005 121.8136) (xy 135.4972 121.75645) (xy 135.4972 121.3588) (xy 135.6448 121.3588) (xy 135.6448 121.75645) + (xy 135.70195 121.8136) (xy 136.546 121.814706) (xy 136.590813 121.810292) (xy 136.633905 121.797221) (xy 136.673618 121.775994) + (xy 136.708427 121.747427) (xy 136.736994 121.712618) (xy 136.758221 121.672905) (xy 136.771292 121.629813) (xy 136.775706 121.585) + (xy 136.7746 121.41595) (xy 136.71745 121.3588) (xy 135.6448 121.3588) (xy 135.4972 121.3588) (xy 134.42455 121.3588) + (xy 134.3674 121.41595) (xy 134.366294 121.585) (xy 132.109283 121.585) (xy 132.165456 121.56796) (xy 132.218407 121.539658) + (xy 132.264818 121.501568) (xy 132.274362 121.489939) (xy 132.779301 120.985) (xy 134.366294 120.985) (xy 134.3674 121.15405) + (xy 134.42455 121.2112) (xy 135.4972 121.2112) (xy 135.4972 120.81355) (xy 135.6448 120.81355) (xy 135.6448 121.2112) + (xy 136.71745 121.2112) (xy 136.7746 121.15405) (xy 136.775706 120.985) (xy 136.771292 120.940187) (xy 136.758221 120.897095) + (xy 136.736994 120.857382) (xy 136.708427 120.822573) (xy 136.673618 120.794006) (xy 136.633905 120.772779) (xy 136.590813 120.759708) + (xy 136.546 120.755294) (xy 135.70195 120.7564) (xy 135.6448 120.81355) (xy 135.4972 120.81355) (xy 135.44005 120.7564) + (xy 134.596 120.755294) (xy 134.551187 120.759708) (xy 134.508095 120.772779) (xy 134.468382 120.794006) (xy 134.433573 120.822573) + (xy 134.405006 120.857382) (xy 134.383779 120.897095) (xy 134.370708 120.940187) (xy 134.366294 120.985) (xy 132.779301 120.985) + (xy 133.300944 120.463358) (xy 133.312568 120.453818) (xy 133.350658 120.407407) (xy 133.37896 120.354456) (xy 133.396098 120.29796) + (xy 133.396389 120.297002) (xy 133.397629 120.284415) (xy 133.4008 120.252216) (xy 133.4008 120.252209) (xy 133.402273 120.237251) + (xy 133.4008 120.222293) (xy 133.4008 118.617251) (xy 133.423051 118.595) (xy 134.366294 118.595) (xy 134.366294 118.895) + (xy 134.37359 118.969077) (xy 134.395197 119.040307) (xy 134.430286 119.105953) (xy 134.477507 119.163493) (xy 134.535047 119.210714) + (xy 134.600693 119.245803) (xy 134.671923 119.26741) (xy 134.746 119.274706) (xy 135.2662 119.274706) (xy 135.266201 119.485294) + (xy 134.746 119.485294) (xy 134.671923 119.49259) (xy 134.600693 119.514197) (xy 134.535047 119.549286) (xy 134.477507 119.596507) + (xy 134.430286 119.654047) (xy 134.395197 119.719693) (xy 134.37359 119.790923) (xy 134.366294 119.865) (xy 134.366294 120.165) + (xy 134.37359 120.239077) (xy 134.395197 120.310307) (xy 134.430286 120.375953) (xy 134.477507 120.433493) (xy 134.535047 120.480714) + (xy 134.600693 120.515803) (xy 134.671923 120.53741) (xy 134.746 120.544706) (xy 136.396 120.544706) (xy 136.470077 120.53741) + (xy 136.541307 120.515803) (xy 136.606953 120.480714) (xy 136.664493 120.433493) (xy 136.711714 120.375953) (xy 136.746803 120.310307) + (xy 136.76841 120.239077) (xy 136.775706 120.165) (xy 136.775706 119.865) (xy 136.76841 119.790923) (xy 136.746803 119.719693) + (xy 136.711714 119.654047) (xy 136.664493 119.596507) (xy 136.606953 119.549286) (xy 136.541307 119.514197) (xy 136.470077 119.49259) + (xy 136.396 119.485294) (xy 135.8758 119.485294) (xy 135.8758 119.274706) (xy 136.396 119.274706) (xy 136.470077 119.26741) + (xy 136.541307 119.245803) (xy 136.606953 119.210714) (xy 136.664493 119.163493) (xy 136.711714 119.105953) (xy 136.746803 119.040307) + (xy 136.76841 118.969077) (xy 136.775706 118.895) (xy 136.775706 118.595) (xy 136.76841 118.520923) (xy 136.746803 118.449693) + (xy 136.711714 118.384047) (xy 136.664493 118.326507) (xy 136.606953 118.279286) (xy 136.541307 118.244197) (xy 136.470077 118.22259) + (xy 136.396 118.215294) (xy 134.746 118.215294) (xy 134.671923 118.22259) (xy 134.600693 118.244197) (xy 134.535047 118.279286) + (xy 134.477507 118.326507) (xy 134.430286 118.384047) (xy 134.395197 118.449693) (xy 134.37359 118.520923) (xy 134.366294 118.595) + (xy 133.423051 118.595) (xy 134.238252 117.7798) (xy 134.400271 117.7798) (xy 134.430286 117.835953) (xy 134.477507 117.893493) + (xy 134.535047 117.940714) (xy 134.600693 117.975803) (xy 134.671923 117.99741) (xy 134.746 118.004706) (xy 136.396 118.004706) + (xy 136.470077 117.99741) (xy 136.541307 117.975803) (xy 136.606953 117.940714) (xy 136.664493 117.893493) (xy 136.711714 117.835953) + (xy 136.746803 117.770307) (xy 136.76841 117.699077) (xy 136.775706 117.625) (xy 136.775706 117.325) (xy 136.76841 117.250923) + (xy 136.746803 117.179693) (xy 136.711714 117.114047) (xy 136.664493 117.056507) (xy 136.606953 117.009286) (xy 136.541307 116.974197) + (xy 136.470077 116.95259) (xy 136.396 116.945294) (xy 134.746 116.945294) (xy 134.671923 116.95259) (xy 134.600693 116.974197) + (xy 134.535047 117.009286) (xy 134.477507 117.056507) (xy 134.430286 117.114047) (xy 134.400271 117.1702) (xy 134.126958 117.1702) + (xy 134.112 117.168727) (xy 134.097042 117.1702) (xy 134.097034 117.1702) (xy 134.057403 117.174103) (xy 134.052248 117.174611) + (xy 134.022722 117.183568) (xy 133.994794 117.19204) (xy 133.941843 117.220342) (xy 133.895432 117.258432) (xy 133.885892 117.270056) + (xy 132.891061 118.264888) (xy 132.879432 118.274432) (xy 132.841342 118.320844) (xy 132.817924 118.364657) (xy 132.81304 118.373795) + (xy 132.795612 118.431248) (xy 132.795611 118.43125) (xy 132.7912 118.476035) (xy 132.7912 118.476042) (xy 132.789727 118.491) + (xy 132.7912 118.505958) (xy 132.791201 120.110997) (xy 131.921999 120.9802) (xy 131.791729 120.9802) (xy 131.761714 120.924047) + (xy 131.714493 120.866507) (xy 131.656953 120.819286) (xy 131.591307 120.784197) (xy 131.520077 120.76259) (xy 131.446 120.755294) + (xy 129.796 120.755294) (xy 129.721923 120.76259) (xy 129.650693 120.784197) (xy 129.585047 120.819286) (xy 129.527507 120.866507) + (xy 129.480286 120.924047) (xy 129.445197 120.989693) (xy 129.42359 121.060923) (xy 129.416294 121.135) (xy 126.290706 121.135) + (xy 126.28341 121.060923) (xy 126.261803 120.989693) (xy 126.226714 120.924047) (xy 126.179493 120.866507) (xy 126.121953 120.819286) + (xy 126.056307 120.784197) (xy 125.985077 120.76259) (xy 125.911 120.755294) (xy 124.736 120.755294) (xy 124.661923 120.76259) + (xy 124.590693 120.784197) (xy 124.525047 120.819286) (xy 124.467507 120.866507) (xy 124.420286 120.924047) (xy 124.385197 120.989693) + (xy 124.36359 121.060923) (xy 124.356294 121.135) (xy 115.165706 121.135) (xy 115.15841 121.060923) (xy 115.136803 120.989693) + (xy 115.101714 120.924047) (xy 115.054493 120.866507) (xy 114.996953 120.819286) (xy 114.931307 120.784197) (xy 114.860077 120.76259) + (xy 114.786 120.755294) (xy 113.611 120.755294) (xy 113.536923 120.76259) (xy 113.465693 120.784197) (xy 113.400047 120.819286) + (xy 113.342507 120.866507) (xy 113.295286 120.924047) (xy 113.293306 120.927752) (xy 113.239963 120.874409) (xy 113.153386 120.81656) + (xy 113.057187 120.776713) (xy 112.955063 120.7564) (xy 112.850937 120.7564) (xy 112.748813 120.776713) (xy 112.652614 120.81656) + (xy 112.566037 120.874409) (xy 112.492409 120.948037) (xy 112.43456 121.034614) (xy 112.394713 121.130813) (xy 112.3744 121.232937) + (xy 112.3744 121.337063) (xy 112.394713 121.439187) (xy 112.43456 121.535386) (xy 112.492409 121.621963) (xy 112.566037 121.695591) + (xy 112.598201 121.717082) (xy 112.5982 129.937623) (xy 111.555057 130.980767) (xy 111.543433 130.990307) (xy 111.533893 131.001931) + (xy 111.533892 131.001932) (xy 111.53199 131.00425) (xy 111.505343 131.036718) (xy 111.491113 131.063342) (xy 111.47704 131.08967) + (xy 111.459611 131.147124) (xy 111.453727 131.206875) (xy 111.455201 131.221843) (xy 111.455201 131.382294) (xy 111.379 131.382294) + (xy 111.259857 131.394029) (xy 111.145293 131.428781) (xy 111.03971 131.485217) (xy 111.026815 131.4958) (xy 109.953185 131.4958) + (xy 109.94029 131.485217) (xy 109.834707 131.428781) (xy 109.720143 131.394029) (xy 109.601 131.382294) (xy 109.5248 131.382294) + (xy 109.5248 131.317251) (xy 112.218944 128.623108) (xy 112.230568 128.613568) (xy 112.268658 128.567157) (xy 112.29696 128.514206) + (xy 112.314389 128.456751) (xy 112.3188 128.411966) (xy 112.3188 128.411958) (xy 112.320273 128.397) (xy 112.3188 128.382042) + (xy 112.3188 121.030251) (xy 113.029252 120.3198) (xy 113.265271 120.3198) (xy 113.295286 120.375953) (xy 113.342507 120.433493) + (xy 113.400047 120.480714) (xy 113.465693 120.515803) (xy 113.536923 120.53741) (xy 113.611 120.544706) (xy 114.786 120.544706) + (xy 114.860077 120.53741) (xy 114.931307 120.515803) (xy 114.996953 120.480714) (xy 115.054493 120.433493) (xy 115.101714 120.375953) + (xy 115.136803 120.310307) (xy 115.15841 120.239077) (xy 115.165706 120.165) (xy 115.165706 119.865) (xy 124.356294 119.865) + (xy 124.356294 120.165) (xy 124.36359 120.239077) (xy 124.385197 120.310307) (xy 124.420286 120.375953) (xy 124.467507 120.433493) + (xy 124.525047 120.480714) (xy 124.590693 120.515803) (xy 124.661923 120.53741) (xy 124.736 120.544706) (xy 125.911 120.544706) + (xy 125.985077 120.53741) (xy 126.056307 120.515803) (xy 126.121953 120.480714) (xy 126.179493 120.433493) (xy 126.226714 120.375953) + (xy 126.228694 120.372248) (xy 126.282037 120.425591) (xy 126.368614 120.48344) (xy 126.464813 120.523287) (xy 126.566937 120.5436) + (xy 126.671063 120.5436) (xy 126.773187 120.523287) (xy 126.869386 120.48344) (xy 126.955963 120.425591) (xy 127.029591 120.351963) + (xy 127.08744 120.265386) (xy 127.127287 120.169187) (xy 127.1476 120.067063) (xy 127.1476 119.962937) (xy 127.127287 119.860813) + (xy 127.08744 119.764614) (xy 127.029591 119.678037) (xy 126.955963 119.604409) (xy 126.869386 119.54656) (xy 126.773187 119.506713) + (xy 126.671063 119.4864) (xy 126.566937 119.4864) (xy 126.464813 119.506713) (xy 126.368614 119.54656) (xy 126.282037 119.604409) + (xy 126.228694 119.657752) (xy 126.226714 119.654047) (xy 126.179493 119.596507) (xy 126.121953 119.549286) (xy 126.056307 119.514197) + (xy 125.985077 119.49259) (xy 125.911 119.485294) (xy 124.736 119.485294) (xy 124.661923 119.49259) (xy 124.590693 119.514197) + (xy 124.525047 119.549286) (xy 124.467507 119.596507) (xy 124.420286 119.654047) (xy 124.385197 119.719693) (xy 124.36359 119.790923) + (xy 124.356294 119.865) (xy 115.165706 119.865) (xy 115.15841 119.790923) (xy 115.136803 119.719693) (xy 115.101714 119.654047) + (xy 115.054493 119.596507) (xy 114.996953 119.549286) (xy 114.931307 119.514197) (xy 114.860077 119.49259) (xy 114.786 119.485294) + (xy 113.611 119.485294) (xy 113.536923 119.49259) (xy 113.465693 119.514197) (xy 113.400047 119.549286) (xy 113.342507 119.596507) + (xy 113.295286 119.654047) (xy 113.265271 119.7102) (xy 112.917958 119.7102) (xy 112.903 119.708727) (xy 112.888042 119.7102) + (xy 112.888034 119.7102) (xy 112.848403 119.714103) (xy 112.843248 119.714611) (xy 112.785794 119.73204) (xy 112.732843 119.760342) + (xy 112.686432 119.798432) (xy 112.676892 119.810056) (xy 111.809061 120.677888) (xy 111.797432 120.687432) (xy 111.759342 120.733844) + (xy 111.732429 120.784197) (xy 111.73104 120.786795) (xy 111.724185 120.809394) (xy 111.713611 120.84425) (xy 111.7092 120.889035) + (xy 111.7092 120.889042) (xy 111.707727 120.904) (xy 111.7092 120.918958) (xy 111.709201 128.270747) (xy 109.015061 130.964888) + (xy 109.003432 130.974432) (xy 108.965342 131.020844) (xy 108.948867 131.051668) (xy 108.93704 131.073795) (xy 108.919612 131.131248) + (xy 108.919611 131.13125) (xy 108.9152 131.176035) (xy 108.9152 131.176042) (xy 108.913727 131.191) (xy 108.9152 131.205958) + (xy 108.9152 131.382294) (xy 108.839 131.382294) (xy 108.719857 131.394029) (xy 108.605293 131.428781) (xy 108.49971 131.485217) + (xy 108.486815 131.4958) (xy 107.413185 131.4958) (xy 107.40029 131.485217) (xy 107.294707 131.428781) (xy 107.180143 131.394029) + (xy 107.061 131.382294) (xy 106.9848 131.382294) (xy 106.9848 127.485) (xy 107.657294 127.485) (xy 107.657294 127.785) + (xy 107.66459 127.859077) (xy 107.686197 127.930307) (xy 107.721286 127.995953) (xy 107.768507 128.053493) (xy 107.826047 128.100714) + (xy 107.891693 128.135803) (xy 107.962923 128.15741) (xy 108.037 128.164706) (xy 109.787 128.164706) (xy 109.861077 128.15741) + (xy 109.932307 128.135803) (xy 109.997953 128.100714) (xy 110.055493 128.053493) (xy 110.102714 127.995953) (xy 110.137803 127.930307) + (xy 110.15941 127.859077) (xy 110.166706 127.785) (xy 110.166706 127.485) (xy 110.15941 127.410923) (xy 110.137803 127.339693) + (xy 110.102714 127.274047) (xy 110.055493 127.216507) (xy 109.997953 127.169286) (xy 109.932307 127.134197) (xy 109.861077 127.11259) + (xy 109.787 127.105294) (xy 108.037 127.105294) (xy 107.962923 127.11259) (xy 107.891693 127.134197) (xy 107.826047 127.169286) + (xy 107.768507 127.216507) (xy 107.721286 127.274047) (xy 107.686197 127.339693) (xy 107.66459 127.410923) (xy 107.657294 127.485) + (xy 106.9848 127.485) (xy 106.9848 126.215) (xy 107.657294 126.215) (xy 107.657294 126.515) (xy 107.66459 126.589077) + (xy 107.686197 126.660307) (xy 107.721286 126.725953) (xy 107.768507 126.783493) (xy 107.826047 126.830714) (xy 107.891693 126.865803) + (xy 107.962923 126.88741) (xy 108.037 126.894706) (xy 109.787 126.894706) (xy 109.861077 126.88741) (xy 109.932307 126.865803) + (xy 109.997953 126.830714) (xy 110.055493 126.783493) (xy 110.102714 126.725953) (xy 110.102953 126.725507) (xy 110.153037 126.775591) + (xy 110.239614 126.83344) (xy 110.335813 126.873287) (xy 110.437937 126.8936) (xy 110.542063 126.8936) (xy 110.644187 126.873287) + (xy 110.740386 126.83344) (xy 110.826963 126.775591) (xy 110.900591 126.701963) (xy 110.95844 126.615386) (xy 110.998287 126.519187) + (xy 111.0186 126.417063) (xy 111.0186 126.312937) (xy 110.998287 126.210813) (xy 110.95844 126.114614) (xy 110.900591 126.028037) + (xy 110.826963 125.954409) (xy 110.740386 125.89656) (xy 110.644187 125.856713) (xy 110.542063 125.8364) (xy 110.437937 125.8364) + (xy 110.335813 125.856713) (xy 110.239614 125.89656) (xy 110.153037 125.954409) (xy 110.102953 126.004493) (xy 110.102714 126.004047) + (xy 110.055493 125.946507) (xy 109.997953 125.899286) (xy 109.932307 125.864197) (xy 109.861077 125.84259) (xy 109.787 125.835294) + (xy 108.037 125.835294) (xy 107.962923 125.84259) (xy 107.891693 125.864197) (xy 107.826047 125.899286) (xy 107.768507 125.946507) + (xy 107.721286 126.004047) (xy 107.686197 126.069693) (xy 107.66459 126.140923) (xy 107.657294 126.215) (xy 106.9848 126.215) + (xy 106.9848 124.945) (xy 107.657294 124.945) (xy 107.657294 125.245) (xy 107.66459 125.319077) (xy 107.686197 125.390307) + (xy 107.721286 125.455953) (xy 107.768507 125.513493) (xy 107.826047 125.560714) (xy 107.891693 125.595803) (xy 107.962923 125.61741) + (xy 108.037 125.624706) (xy 109.787 125.624706) (xy 109.861077 125.61741) (xy 109.932307 125.595803) (xy 109.997953 125.560714) + (xy 110.055493 125.513493) (xy 110.102714 125.455953) (xy 110.102953 125.455507) (xy 110.153037 125.505591) (xy 110.239614 125.56344) + (xy 110.335813 125.603287) (xy 110.437937 125.6236) (xy 110.542063 125.6236) (xy 110.644187 125.603287) (xy 110.740386 125.56344) + (xy 110.826963 125.505591) (xy 110.900591 125.431963) (xy 110.95844 125.345386) (xy 110.998287 125.249187) (xy 111.0186 125.147063) + (xy 111.0186 125.042937) (xy 110.998287 124.940813) (xy 110.95844 124.844614) (xy 110.900591 124.758037) (xy 110.826963 124.684409) + (xy 110.740386 124.62656) (xy 110.644187 124.586713) (xy 110.542063 124.5664) (xy 110.437937 124.5664) (xy 110.335813 124.586713) + (xy 110.239614 124.62656) (xy 110.153037 124.684409) (xy 110.102953 124.734493) (xy 110.102714 124.734047) (xy 110.055493 124.676507) + (xy 109.997953 124.629286) (xy 109.932307 124.594197) (xy 109.861077 124.57259) (xy 109.787 124.565294) (xy 108.037 124.565294) + (xy 107.962923 124.57259) (xy 107.891693 124.594197) (xy 107.826047 124.629286) (xy 107.768507 124.676507) (xy 107.721286 124.734047) + (xy 107.686197 124.799693) (xy 107.66459 124.870923) (xy 107.657294 124.945) (xy 106.9848 124.945) (xy 106.9848 123.675) + (xy 107.657294 123.675) (xy 107.657294 123.975) (xy 107.66459 124.049077) (xy 107.686197 124.120307) (xy 107.721286 124.185953) + (xy 107.768507 124.243493) (xy 107.826047 124.290714) (xy 107.891693 124.325803) (xy 107.962923 124.34741) (xy 108.037 124.354706) + (xy 109.787 124.354706) (xy 109.861077 124.34741) (xy 109.932307 124.325803) (xy 109.997953 124.290714) (xy 110.055493 124.243493) + (xy 110.102714 124.185953) (xy 110.102953 124.185507) (xy 110.153037 124.235591) (xy 110.239614 124.29344) (xy 110.335813 124.333287) + (xy 110.437937 124.3536) (xy 110.542063 124.3536) (xy 110.644187 124.333287) (xy 110.740386 124.29344) (xy 110.826963 124.235591) + (xy 110.900591 124.161963) (xy 110.95844 124.075386) (xy 110.998287 123.979187) (xy 111.0186 123.877063) (xy 111.0186 123.772937) + (xy 110.998287 123.670813) (xy 110.95844 123.574614) (xy 110.900591 123.488037) (xy 110.826963 123.414409) (xy 110.740386 123.35656) + (xy 110.644187 123.316713) (xy 110.542063 123.2964) (xy 110.437937 123.2964) (xy 110.335813 123.316713) (xy 110.239614 123.35656) + (xy 110.153037 123.414409) (xy 110.102953 123.464493) (xy 110.102714 123.464047) (xy 110.055493 123.406507) (xy 109.997953 123.359286) + (xy 109.932307 123.324197) (xy 109.861077 123.30259) (xy 109.787 123.295294) (xy 108.037 123.295294) (xy 107.962923 123.30259) + (xy 107.891693 123.324197) (xy 107.826047 123.359286) (xy 107.768507 123.406507) (xy 107.721286 123.464047) (xy 107.686197 123.529693) + (xy 107.66459 123.600923) (xy 107.657294 123.675) (xy 106.9848 123.675) (xy 106.9848 122.405) (xy 107.657294 122.405) + (xy 107.657294 122.705) (xy 107.66459 122.779077) (xy 107.686197 122.850307) (xy 107.721286 122.915953) (xy 107.768507 122.973493) + (xy 107.826047 123.020714) (xy 107.891693 123.055803) (xy 107.962923 123.07741) (xy 108.037 123.084706) (xy 109.787 123.084706) + (xy 109.861077 123.07741) (xy 109.932307 123.055803) (xy 109.997953 123.020714) (xy 110.055493 122.973493) (xy 110.102714 122.915953) + (xy 110.102953 122.915507) (xy 110.153037 122.965591) (xy 110.239614 123.02344) (xy 110.335813 123.063287) (xy 110.437937 123.0836) + (xy 110.542063 123.0836) (xy 110.644187 123.063287) (xy 110.740386 123.02344) (xy 110.826963 122.965591) (xy 110.900591 122.891963) + (xy 110.95844 122.805386) (xy 110.998287 122.709187) (xy 111.0186 122.607063) (xy 111.0186 122.502937) (xy 110.998287 122.400813) + (xy 110.95844 122.304614) (xy 110.900591 122.218037) (xy 110.826963 122.144409) (xy 110.740386 122.08656) (xy 110.644187 122.046713) + (xy 110.542063 122.0264) (xy 110.437937 122.0264) (xy 110.335813 122.046713) (xy 110.239614 122.08656) (xy 110.153037 122.144409) + (xy 110.102953 122.194493) (xy 110.102714 122.194047) (xy 110.055493 122.136507) (xy 109.997953 122.089286) (xy 109.932307 122.054197) + (xy 109.861077 122.03259) (xy 109.787 122.025294) (xy 108.037 122.025294) (xy 107.962923 122.03259) (xy 107.891693 122.054197) + (xy 107.826047 122.089286) (xy 107.768507 122.136507) (xy 107.721286 122.194047) (xy 107.686197 122.259693) (xy 107.66459 122.330923) + (xy 107.657294 122.405) (xy 106.9848 122.405) (xy 106.9848 121.135) (xy 107.657294 121.135) (xy 107.657294 121.435) + (xy 107.66459 121.509077) (xy 107.686197 121.580307) (xy 107.721286 121.645953) (xy 107.768507 121.703493) (xy 107.826047 121.750714) + (xy 107.891693 121.785803) (xy 107.962923 121.80741) (xy 108.037 121.814706) (xy 109.787 121.814706) (xy 109.861077 121.80741) + (xy 109.932307 121.785803) (xy 109.997953 121.750714) (xy 110.055493 121.703493) (xy 110.102714 121.645953) (xy 110.102953 121.645507) + (xy 110.153037 121.695591) (xy 110.239614 121.75344) (xy 110.335813 121.793287) (xy 110.437937 121.8136) (xy 110.542063 121.8136) + (xy 110.644187 121.793287) (xy 110.740386 121.75344) (xy 110.826963 121.695591) (xy 110.900591 121.621963) (xy 110.95844 121.535386) + (xy 110.998287 121.439187) (xy 111.0186 121.337063) (xy 111.0186 121.232937) (xy 110.998287 121.130813) (xy 110.95844 121.034614) + (xy 110.900591 120.948037) (xy 110.826963 120.874409) (xy 110.740386 120.81656) (xy 110.644187 120.776713) (xy 110.542063 120.7564) + (xy 110.437937 120.7564) (xy 110.335813 120.776713) (xy 110.239614 120.81656) (xy 110.153037 120.874409) (xy 110.102953 120.924493) + (xy 110.102714 120.924047) (xy 110.055493 120.866507) (xy 109.997953 120.819286) (xy 109.932307 120.784197) (xy 109.861077 120.76259) + (xy 109.787 120.755294) (xy 108.037 120.755294) (xy 107.962923 120.76259) (xy 107.891693 120.784197) (xy 107.826047 120.819286) + (xy 107.768507 120.866507) (xy 107.721286 120.924047) (xy 107.686197 120.989693) (xy 107.66459 121.060923) (xy 107.657294 121.135) + (xy 106.9848 121.135) (xy 106.9848 120.903251) (xy 107.568252 120.3198) (xy 107.691271 120.3198) (xy 107.721286 120.375953) + (xy 107.768507 120.433493) (xy 107.826047 120.480714) (xy 107.891693 120.515803) (xy 107.962923 120.53741) (xy 108.037 120.544706) + (xy 109.787 120.544706) (xy 109.861077 120.53741) (xy 109.932307 120.515803) (xy 109.997953 120.480714) (xy 110.055493 120.433493) + (xy 110.102714 120.375953) (xy 110.137803 120.310307) (xy 110.15941 120.239077) (xy 110.166706 120.165) (xy 110.166706 119.865) + (xy 110.15941 119.790923) (xy 110.137803 119.719693) (xy 110.102714 119.654047) (xy 110.055493 119.596507) (xy 109.997953 119.549286) + (xy 109.932307 119.514197) (xy 109.861077 119.49259) (xy 109.787 119.485294) (xy 108.037 119.485294) (xy 107.962923 119.49259) + (xy 107.891693 119.514197) (xy 107.826047 119.549286) (xy 107.768507 119.596507) (xy 107.721286 119.654047) (xy 107.691271 119.7102) + (xy 107.456958 119.7102) (xy 107.442 119.708727) (xy 107.427042 119.7102) (xy 107.427034 119.7102) (xy 107.387403 119.714103) + (xy 107.382248 119.714611) (xy 107.324794 119.73204) (xy 107.271843 119.760342) (xy 107.225432 119.798432) (xy 107.215892 119.810056) + (xy 106.475057 120.550892) (xy 106.463433 120.560432) (xy 106.453893 120.572056) (xy 106.453892 120.572057) (xy 106.447772 120.579514) + (xy 106.425343 120.606843) (xy 106.411422 120.632889) (xy 106.39704 120.659795) (xy 106.379611 120.717249) (xy 106.373727 120.777) + (xy 106.375201 120.791968) (xy 106.3752 131.382294) (xy 106.299 131.382294) (xy 106.179857 131.394029) (xy 106.065293 131.428781) + (xy 105.95971 131.485217) (xy 105.946815 131.4958) (xy 104.873185 131.4958) (xy 104.86029 131.485217) (xy 104.754707 131.428781) + (xy 104.640143 131.394029) (xy 104.521 131.382294) (xy 104.4448 131.382294) (xy 104.4448 122.173251) (xy 107.568252 119.0498) + (xy 107.691271 119.0498) (xy 107.721286 119.105953) (xy 107.768507 119.163493) (xy 107.826047 119.210714) (xy 107.891693 119.245803) + (xy 107.962923 119.26741) (xy 108.037 119.274706) (xy 109.787 119.274706) (xy 109.861077 119.26741) (xy 109.932307 119.245803) + (xy 109.997953 119.210714) (xy 110.055493 119.163493) (xy 110.102714 119.105953) (xy 110.137803 119.040307) (xy 110.15941 118.969077) + (xy 110.166706 118.895) (xy 110.166706 118.595) (xy 113.231294 118.595) (xy 113.231294 118.895) (xy 113.23859 118.969077) + (xy 113.260197 119.040307) (xy 113.295286 119.105953) (xy 113.342507 119.163493) (xy 113.400047 119.210714) (xy 113.465693 119.245803) + (xy 113.536923 119.26741) (xy 113.611 119.274706) (xy 114.786 119.274706) (xy 114.860077 119.26741) (xy 114.931307 119.245803) + (xy 114.996953 119.210714) (xy 115.054493 119.163493) (xy 115.101714 119.105953) (xy 115.136803 119.040307) (xy 115.15841 118.969077) + (xy 115.165706 118.895) (xy 115.165706 118.595) (xy 124.356294 118.595) (xy 124.356294 118.895) (xy 124.36359 118.969077) + (xy 124.385197 119.040307) (xy 124.420286 119.105953) (xy 124.467507 119.163493) (xy 124.525047 119.210714) (xy 124.590693 119.245803) + (xy 124.661923 119.26741) (xy 124.736 119.274706) (xy 125.911 119.274706) (xy 125.985077 119.26741) (xy 126.056307 119.245803) + (xy 126.121953 119.210714) (xy 126.179493 119.163493) (xy 126.226714 119.105953) (xy 126.261803 119.040307) (xy 126.28341 118.969077) + (xy 126.290706 118.895) (xy 126.290706 118.595) (xy 126.28341 118.520923) (xy 126.261803 118.449693) (xy 126.226714 118.384047) + (xy 126.179493 118.326507) (xy 126.121953 118.279286) (xy 126.056307 118.244197) (xy 125.985077 118.22259) (xy 125.911 118.215294) + (xy 124.736 118.215294) (xy 124.661923 118.22259) (xy 124.590693 118.244197) (xy 124.525047 118.279286) (xy 124.467507 118.326507) + (xy 124.420286 118.384047) (xy 124.385197 118.449693) (xy 124.36359 118.520923) (xy 124.356294 118.595) (xy 115.165706 118.595) + (xy 115.15841 118.520923) (xy 115.136803 118.449693) (xy 115.101714 118.384047) (xy 115.054493 118.326507) (xy 114.996953 118.279286) + (xy 114.931307 118.244197) (xy 114.860077 118.22259) (xy 114.786 118.215294) (xy 113.611 118.215294) (xy 113.536923 118.22259) + (xy 113.465693 118.244197) (xy 113.400047 118.279286) (xy 113.342507 118.326507) (xy 113.295286 118.384047) (xy 113.260197 118.449693) + (xy 113.23859 118.520923) (xy 113.231294 118.595) (xy 110.166706 118.595) (xy 110.15941 118.520923) (xy 110.137803 118.449693) + (xy 110.102714 118.384047) (xy 110.055493 118.326507) (xy 109.997953 118.279286) (xy 109.932307 118.244197) (xy 109.861077 118.22259) + (xy 109.787 118.215294) (xy 108.037 118.215294) (xy 107.962923 118.22259) (xy 107.891693 118.244197) (xy 107.826047 118.279286) + (xy 107.768507 118.326507) (xy 107.721286 118.384047) (xy 107.691271 118.4402) (xy 107.456957 118.4402) (xy 107.441999 118.438727) + (xy 107.427041 118.4402) (xy 107.427034 118.4402) (xy 107.387889 118.444055) (xy 107.382248 118.444611) (xy 107.371591 118.447844) + (xy 107.324794 118.46204) (xy 107.271843 118.490342) (xy 107.225432 118.528432) (xy 107.215892 118.540056) (xy 103.935057 121.820892) + (xy 103.923433 121.830432) (xy 103.885343 121.876843) (xy 103.870215 121.905146) (xy 103.85704 121.929795) (xy 103.839611 121.987249) + (xy 103.833727 122.047) (xy 103.835201 122.061968) (xy 103.8352 131.382294) (xy 103.759 131.382294) (xy 103.639857 131.394029) + (xy 103.525293 131.428781) (xy 103.41971 131.485217) (xy 103.406815 131.4958) (xy 102.333185 131.4958) (xy 102.32029 131.485217) + (xy 102.214707 131.428781) (xy 102.100143 131.394029) (xy 101.981 131.382294) (xy 101.9048 131.382294) (xy 101.9048 123.443251) + (xy 107.568252 117.7798) (xy 107.691271 117.7798) (xy 107.721286 117.835953) (xy 107.768507 117.893493) (xy 107.826047 117.940714) + (xy 107.891693 117.975803) (xy 107.962923 117.99741) (xy 108.037 118.004706) (xy 109.787 118.004706) (xy 109.861077 117.99741) + (xy 109.932307 117.975803) (xy 109.997953 117.940714) (xy 110.055493 117.893493) (xy 110.102714 117.835953) (xy 110.137803 117.770307) + (xy 110.15941 117.699077) (xy 110.166706 117.625) (xy 110.166706 117.422937) (xy 112.3744 117.422937) (xy 112.3744 117.527063) + (xy 112.394713 117.629187) (xy 112.43456 117.725386) (xy 112.492409 117.811963) (xy 112.566037 117.885591) (xy 112.652614 117.94344) + (xy 112.748813 117.983287) (xy 112.850937 118.0036) (xy 112.955063 118.0036) (xy 113.057187 117.983287) (xy 113.153386 117.94344) + (xy 113.239963 117.885591) (xy 113.293306 117.832248) (xy 113.295286 117.835953) (xy 113.342507 117.893493) (xy 113.400047 117.940714) + (xy 113.465693 117.975803) (xy 113.536923 117.99741) (xy 113.611 118.004706) (xy 114.786 118.004706) (xy 114.860077 117.99741) + (xy 114.931307 117.975803) (xy 114.996953 117.940714) (xy 115.054493 117.893493) (xy 115.101714 117.835953) (xy 115.136803 117.770307) + (xy 115.15841 117.699077) (xy 115.165706 117.625) (xy 115.165706 117.325) (xy 124.356294 117.325) (xy 124.356294 117.625) + (xy 124.36359 117.699077) (xy 124.385197 117.770307) (xy 124.420286 117.835953) (xy 124.467507 117.893493) (xy 124.525047 117.940714) + (xy 124.590693 117.975803) (xy 124.661923 117.99741) (xy 124.736 118.004706) (xy 125.911 118.004706) (xy 125.985077 117.99741) + (xy 126.056307 117.975803) (xy 126.121953 117.940714) (xy 126.179493 117.893493) (xy 126.226714 117.835953) (xy 126.256729 117.7798) + (xy 126.492749 117.7798) (xy 128.932892 120.219944) (xy 128.942432 120.231568) (xy 128.988843 120.269658) (xy 129.041794 120.29796) + (xy 129.081819 120.310102) (xy 129.099248 120.315389) (xy 129.104889 120.315945) (xy 129.144034 120.3198) (xy 129.144041 120.3198) + (xy 129.158999 120.321273) (xy 129.173957 120.3198) (xy 129.450271 120.3198) (xy 129.480286 120.375953) (xy 129.527507 120.433493) + (xy 129.585047 120.480714) (xy 129.650693 120.515803) (xy 129.721923 120.53741) (xy 129.796 120.544706) (xy 131.446 120.544706) + (xy 131.520077 120.53741) (xy 131.591307 120.515803) (xy 131.656953 120.480714) (xy 131.714493 120.433493) (xy 131.761714 120.375953) + (xy 131.796803 120.310307) (xy 131.81841 120.239077) (xy 131.825706 120.165) (xy 131.825706 119.865) (xy 131.81841 119.790923) + (xy 131.796803 119.719693) (xy 131.761714 119.654047) (xy 131.714493 119.596507) (xy 131.656953 119.549286) (xy 131.591307 119.514197) + (xy 131.520077 119.49259) (xy 131.446 119.485294) (xy 129.796 119.485294) (xy 129.721923 119.49259) (xy 129.650693 119.514197) + (xy 129.585047 119.549286) (xy 129.527507 119.596507) (xy 129.480286 119.654047) (xy 129.450271 119.7102) (xy 129.285252 119.7102) + (xy 128.620052 119.045) (xy 129.416294 119.045) (xy 129.420708 119.089813) (xy 129.433779 119.132905) (xy 129.455006 119.172618) + (xy 129.483573 119.207427) (xy 129.518382 119.235994) (xy 129.558095 119.257221) (xy 129.601187 119.270292) (xy 129.646 119.274706) + (xy 130.49005 119.2736) (xy 130.5472 119.21645) (xy 130.5472 118.8188) (xy 130.6948 118.8188) (xy 130.6948 119.21645) + (xy 130.75195 119.2736) (xy 131.596 119.274706) (xy 131.640813 119.270292) (xy 131.683905 119.257221) (xy 131.723618 119.235994) + (xy 131.758427 119.207427) (xy 131.786994 119.172618) (xy 131.808221 119.132905) (xy 131.821292 119.089813) (xy 131.825706 119.045) + (xy 131.8246 118.87595) (xy 131.76745 118.8188) (xy 130.6948 118.8188) (xy 130.5472 118.8188) (xy 129.47455 118.8188) + (xy 129.4174 118.87595) (xy 129.416294 119.045) (xy 128.620052 119.045) (xy 128.020052 118.445) (xy 129.416294 118.445) + (xy 129.4174 118.61405) (xy 129.47455 118.6712) (xy 130.5472 118.6712) (xy 130.5472 118.27355) (xy 130.6948 118.27355) + (xy 130.6948 118.6712) (xy 131.76745 118.6712) (xy 131.8246 118.61405) (xy 131.825706 118.445) (xy 131.821292 118.400187) + (xy 131.808221 118.357095) (xy 131.786994 118.317382) (xy 131.758427 118.282573) (xy 131.723618 118.254006) (xy 131.683905 118.232779) + (xy 131.640813 118.219708) (xy 131.596 118.215294) (xy 130.75195 118.2164) (xy 130.6948 118.27355) (xy 130.5472 118.27355) + (xy 130.49005 118.2164) (xy 129.646 118.215294) (xy 129.601187 118.219708) (xy 129.558095 118.232779) (xy 129.518382 118.254006) + (xy 129.483573 118.282573) (xy 129.455006 118.317382) (xy 129.433779 118.357095) (xy 129.420708 118.400187) (xy 129.416294 118.445) + (xy 128.020052 118.445) (xy 126.845112 117.270061) (xy 126.835568 117.258432) (xy 126.789157 117.220342) (xy 126.736206 117.19204) + (xy 126.678751 117.174611) (xy 126.633966 117.1702) (xy 126.633958 117.1702) (xy 126.619 117.168727) (xy 126.604042 117.1702) + (xy 126.256729 117.1702) (xy 126.226714 117.114047) (xy 126.179493 117.056507) (xy 126.121953 117.009286) (xy 126.056307 116.974197) + (xy 125.985077 116.95259) (xy 125.911 116.945294) (xy 124.736 116.945294) (xy 124.661923 116.95259) (xy 124.590693 116.974197) + (xy 124.525047 117.009286) (xy 124.467507 117.056507) (xy 124.420286 117.114047) (xy 124.385197 117.179693) (xy 124.36359 117.250923) + (xy 124.356294 117.325) (xy 115.165706 117.325) (xy 115.15841 117.250923) (xy 115.136803 117.179693) (xy 115.101714 117.114047) + (xy 115.054493 117.056507) (xy 114.996953 117.009286) (xy 114.931307 116.974197) (xy 114.860077 116.95259) (xy 114.786 116.945294) + (xy 113.611 116.945294) (xy 113.536923 116.95259) (xy 113.465693 116.974197) (xy 113.400047 117.009286) (xy 113.342507 117.056507) + (xy 113.295286 117.114047) (xy 113.293306 117.117752) (xy 113.239963 117.064409) (xy 113.153386 117.00656) (xy 113.057187 116.966713) + (xy 112.955063 116.9464) (xy 112.850937 116.9464) (xy 112.748813 116.966713) (xy 112.652614 117.00656) (xy 112.566037 117.064409) + (xy 112.492409 117.138037) (xy 112.43456 117.224614) (xy 112.394713 117.320813) (xy 112.3744 117.422937) (xy 110.166706 117.422937) + (xy 110.166706 117.325) (xy 110.15941 117.250923) (xy 110.137803 117.179693) (xy 110.102714 117.114047) (xy 110.055493 117.056507) + (xy 109.997953 117.009286) (xy 109.932307 116.974197) (xy 109.861077 116.95259) (xy 109.787 116.945294) (xy 108.037 116.945294) + (xy 107.962923 116.95259) (xy 107.891693 116.974197) (xy 107.826047 117.009286) (xy 107.768507 117.056507) (xy 107.721286 117.114047) + (xy 107.691271 117.1702) (xy 107.456958 117.1702) (xy 107.442 117.168727) (xy 107.427042 117.1702) (xy 107.427034 117.1702) + (xy 107.382249 117.174611) (xy 107.324794 117.19204) (xy 107.271843 117.220342) (xy 107.225432 117.258432) (xy 107.215892 117.270056) + (xy 101.395057 123.090892) (xy 101.383433 123.100432) (xy 101.345343 123.146843) (xy 101.342283 123.152568) (xy 101.31704 123.199795) + (xy 101.299611 123.257249) (xy 101.293727 123.317) (xy 101.295201 123.331968) (xy 101.2952 131.382294) (xy 101.219 131.382294) + (xy 101.099857 131.394029) (xy 100.985293 131.428781) (xy 100.87971 131.485217) (xy 100.866815 131.4958) (xy 99.793185 131.4958) + (xy 99.78029 131.485217) (xy 99.674707 131.428781) (xy 99.560143 131.394029) (xy 99.441 131.382294) (xy 99.3648 131.382294) + (xy 99.3648 131.317251) (xy 101.169944 129.512108) (xy 101.181568 129.502568) (xy 101.219658 129.456157) (xy 101.24796 129.403206) + (xy 101.257697 129.371107) (xy 101.265389 129.345752) (xy 101.266125 129.338274) (xy 101.2698 129.300966) (xy 101.2698 129.300958) + (xy 101.271273 129.286) (xy 101.2698 129.271042) (xy 101.2698 122.808251) (xy 107.568252 116.5098) (xy 107.691271 116.5098) + (xy 107.721286 116.565953) (xy 107.768507 116.623493) (xy 107.826047 116.670714) (xy 107.891693 116.705803) (xy 107.962923 116.72741) + (xy 108.037 116.734706) (xy 109.787 116.734706) (xy 109.861077 116.72741) (xy 109.932307 116.705803) (xy 109.997953 116.670714) + (xy 110.055493 116.623493) (xy 110.102714 116.565953) (xy 110.137803 116.500307) (xy 110.15941 116.429077) (xy 110.166706 116.355) + (xy 110.166706 116.152937) (xy 112.3744 116.152937) (xy 112.3744 116.257063) (xy 112.394713 116.359187) (xy 112.43456 116.455386) + (xy 112.492409 116.541963) (xy 112.566037 116.615591) (xy 112.652614 116.67344) (xy 112.748813 116.713287) (xy 112.850937 116.7336) + (xy 112.955063 116.7336) (xy 113.057187 116.713287) (xy 113.153386 116.67344) (xy 113.239963 116.615591) (xy 113.293306 116.562248) + (xy 113.295286 116.565953) (xy 113.342507 116.623493) (xy 113.400047 116.670714) (xy 113.465693 116.705803) (xy 113.536923 116.72741) + (xy 113.611 116.734706) (xy 114.786 116.734706) (xy 114.860077 116.72741) (xy 114.931307 116.705803) (xy 114.996953 116.670714) + (xy 115.054493 116.623493) (xy 115.101714 116.565953) (xy 115.136803 116.500307) (xy 115.15841 116.429077) (xy 115.165706 116.355) + (xy 115.165706 116.055) (xy 124.356294 116.055) (xy 124.356294 116.355) (xy 124.36359 116.429077) (xy 124.385197 116.500307) + (xy 124.420286 116.565953) (xy 124.467507 116.623493) (xy 124.525047 116.670714) (xy 124.590693 116.705803) (xy 124.661923 116.72741) + (xy 124.736 116.734706) (xy 125.911 116.734706) (xy 125.985077 116.72741) (xy 126.056307 116.705803) (xy 126.121953 116.670714) + (xy 126.179493 116.623493) (xy 126.226714 116.565953) (xy 126.261803 116.500307) (xy 126.28341 116.429077) (xy 126.290706 116.355) + (xy 126.290706 116.055) (xy 126.28341 115.980923) (xy 126.261803 115.909693) (xy 126.226714 115.844047) (xy 126.179493 115.786507) + (xy 126.121953 115.739286) (xy 126.056307 115.704197) (xy 125.985077 115.68259) (xy 125.911 115.675294) (xy 124.736 115.675294) + (xy 124.661923 115.68259) (xy 124.590693 115.704197) (xy 124.525047 115.739286) (xy 124.467507 115.786507) (xy 124.420286 115.844047) + (xy 124.385197 115.909693) (xy 124.36359 115.980923) (xy 124.356294 116.055) (xy 115.165706 116.055) (xy 115.15841 115.980923) + (xy 115.136803 115.909693) (xy 115.101714 115.844047) (xy 115.054493 115.786507) (xy 114.996953 115.739286) (xy 114.931307 115.704197) + (xy 114.860077 115.68259) (xy 114.786 115.675294) (xy 113.611 115.675294) (xy 113.536923 115.68259) (xy 113.465693 115.704197) + (xy 113.400047 115.739286) (xy 113.342507 115.786507) (xy 113.295286 115.844047) (xy 113.293306 115.847752) (xy 113.239963 115.794409) + (xy 113.153386 115.73656) (xy 113.057187 115.696713) (xy 112.955063 115.6764) (xy 112.850937 115.6764) (xy 112.748813 115.696713) + (xy 112.652614 115.73656) (xy 112.566037 115.794409) (xy 112.492409 115.868037) (xy 112.43456 115.954614) (xy 112.394713 116.050813) + (xy 112.3744 116.152937) (xy 110.166706 116.152937) (xy 110.166706 116.055) (xy 110.15941 115.980923) (xy 110.137803 115.909693) + (xy 110.102714 115.844047) (xy 110.055493 115.786507) (xy 109.997953 115.739286) (xy 109.932307 115.704197) (xy 109.861077 115.68259) + (xy 109.787 115.675294) (xy 108.037 115.675294) (xy 107.962923 115.68259) (xy 107.891693 115.704197) (xy 107.826047 115.739286) + (xy 107.768507 115.786507) (xy 107.721286 115.844047) (xy 107.691271 115.9002) (xy 107.456957 115.9002) (xy 107.441999 115.898727) + (xy 107.427041 115.9002) (xy 107.427034 115.9002) (xy 107.387889 115.904055) (xy 107.382248 115.904611) (xy 107.368876 115.908668) + (xy 107.324794 115.92204) (xy 107.271843 115.950342) (xy 107.225432 115.988432) (xy 107.215892 116.000056) (xy 100.760061 122.455888) + (xy 100.748432 122.465432) (xy 100.710342 122.511844) (xy 100.687275 122.555001) (xy 100.68204 122.564795) (xy 100.666886 122.614752) + (xy 100.664611 122.62225) (xy 100.6602 122.667035) (xy 100.6602 122.667042) (xy 100.658727 122.682) (xy 100.6602 122.696958) + (xy 100.660201 129.159747) (xy 98.855061 130.964888) (xy 98.843432 130.974432) (xy 98.805342 131.020844) (xy 98.788867 131.051668) + (xy 98.77704 131.073795) (xy 98.759612 131.131248) (xy 98.759611 131.13125) (xy 98.7552 131.176035) (xy 98.7552 131.176042) + (xy 98.753727 131.191) (xy 98.7552 131.205958) (xy 98.7552 131.382294) (xy 98.679 131.382294) (xy 98.559857 131.394029) + (xy 98.445293 131.428781) (xy 98.33971 131.485217) (xy 98.326815 131.4958) (xy 97.253185 131.4958) (xy 97.24029 131.485217) + (xy 97.134707 131.428781) (xy 97.020143 131.394029) (xy 96.901 131.382294) (xy 96.8248 131.382294) (xy 96.8248 131.317251) + (xy 97.281252 130.8608) (xy 98.791042 130.8608) (xy 98.806 130.862273) (xy 98.820958 130.8608) (xy 98.820966 130.8608) + (xy 98.865751 130.856389) (xy 98.923206 130.83896) (xy 98.976157 130.810658) (xy 99.022568 130.772568) (xy 99.032112 130.760939) + (xy 100.534944 129.258108) (xy 100.546568 129.248568) (xy 100.584658 129.202157) (xy 100.61296 129.149206) (xy 100.630389 129.091751) + (xy 100.6348 129.046966) (xy 100.6348 129.046959) (xy 100.636273 129.032001) (xy 100.6348 129.017043) (xy 100.6348 122.173251) + (xy 107.568252 115.2398) (xy 107.691271 115.2398) (xy 107.721286 115.295953) (xy 107.768507 115.353493) (xy 107.826047 115.400714) + (xy 107.891693 115.435803) (xy 107.962923 115.45741) (xy 108.037 115.464706) (xy 109.787 115.464706) (xy 109.861077 115.45741) + (xy 109.932307 115.435803) (xy 109.997953 115.400714) (xy 110.055493 115.353493) (xy 110.102714 115.295953) (xy 110.137803 115.230307) + (xy 110.15941 115.159077) (xy 110.166706 115.085) (xy 110.166706 114.882937) (xy 112.3744 114.882937) (xy 112.3744 114.987063) + (xy 112.394713 115.089187) (xy 112.43456 115.185386) (xy 112.492409 115.271963) (xy 112.566037 115.345591) (xy 112.652614 115.40344) + (xy 112.748813 115.443287) (xy 112.850937 115.4636) (xy 112.955063 115.4636) (xy 113.057187 115.443287) (xy 113.153386 115.40344) + (xy 113.239963 115.345591) (xy 113.293306 115.292248) (xy 113.295286 115.295953) (xy 113.342507 115.353493) (xy 113.400047 115.400714) + (xy 113.465693 115.435803) (xy 113.536923 115.45741) (xy 113.611 115.464706) (xy 114.786 115.464706) (xy 114.860077 115.45741) + (xy 114.931307 115.435803) (xy 114.996953 115.400714) (xy 115.054493 115.353493) (xy 115.101714 115.295953) (xy 115.136803 115.230307) + (xy 115.15841 115.159077) (xy 115.165706 115.085) (xy 115.165706 114.785) (xy 115.15841 114.710923) (xy 115.136803 114.639693) + (xy 115.101714 114.574047) (xy 115.054493 114.516507) (xy 114.996953 114.469286) (xy 114.931307 114.434197) (xy 114.860077 114.41259) + (xy 114.786 114.405294) (xy 113.611 114.405294) (xy 113.536923 114.41259) (xy 113.465693 114.434197) (xy 113.400047 114.469286) + (xy 113.342507 114.516507) (xy 113.295286 114.574047) (xy 113.293306 114.577752) (xy 113.239963 114.524409) (xy 113.153386 114.46656) + (xy 113.057187 114.426713) (xy 112.955063 114.4064) (xy 112.850937 114.4064) (xy 112.748813 114.426713) (xy 112.652614 114.46656) + (xy 112.566037 114.524409) (xy 112.492409 114.598037) (xy 112.43456 114.684614) (xy 112.394713 114.780813) (xy 112.3744 114.882937) + (xy 110.166706 114.882937) (xy 110.166706 114.785) (xy 110.15941 114.710923) (xy 110.137803 114.639693) (xy 110.102714 114.574047) + (xy 110.055493 114.516507) (xy 109.997953 114.469286) (xy 109.932307 114.434197) (xy 109.861077 114.41259) (xy 109.787 114.405294) + (xy 108.037 114.405294) (xy 107.962923 114.41259) (xy 107.891693 114.434197) (xy 107.826047 114.469286) (xy 107.768507 114.516507) + (xy 107.721286 114.574047) (xy 107.691271 114.6302) (xy 107.456958 114.6302) (xy 107.442 114.628727) (xy 107.427042 114.6302) + (xy 107.427034 114.6302) (xy 107.387403 114.634103) (xy 107.382248 114.634611) (xy 107.352722 114.643568) (xy 107.324794 114.65204) + (xy 107.271843 114.680342) (xy 107.225432 114.718432) (xy 107.215892 114.730056) (xy 100.125061 121.820888) (xy 100.113432 121.830432) + (xy 100.075342 121.876844) (xy 100.04704 121.929795) (xy 100.029611 121.98725) (xy 100.0252 122.032035) (xy 100.0252 122.032042) + (xy 100.023727 122.047) (xy 100.0252 122.061958) (xy 100.025201 128.905747) (xy 98.679749 130.2512) (xy 97.169958 130.2512) + (xy 97.155 130.249727) (xy 97.140042 130.2512) (xy 97.140034 130.2512) (xy 97.095249 130.255611) (xy 97.037794 130.27304) + (xy 96.984843 130.301342) (xy 96.938432 130.339432) (xy 96.928892 130.351056) (xy 96.315061 130.964888) (xy 96.303432 130.974432) + (xy 96.265342 131.020844) (xy 96.248867 131.051668) (xy 96.23704 131.073795) (xy 96.219612 131.131248) (xy 96.219611 131.13125) + (xy 96.2152 131.176035) (xy 96.2152 131.176042) (xy 96.213727 131.191) (xy 96.2152 131.205958) (xy 96.2152 131.382294) + (xy 96.139 131.382294) (xy 96.019857 131.394029) (xy 95.905293 131.428781) (xy 95.79971 131.485217) (xy 95.786815 131.4958) + (xy 94.713185 131.4958) (xy 94.70029 131.485217) (xy 94.594707 131.428781) (xy 94.480143 131.394029) (xy 94.361 131.382294) + (xy 94.2848 131.382294) (xy 94.2848 131.317251) (xy 95.503252 130.0988) (xy 98.664042 130.0988) (xy 98.679 130.100273) + (xy 98.693958 130.0988) (xy 98.693966 130.0988) (xy 98.738751 130.094389) (xy 98.796206 130.07696) (xy 98.849157 130.048658) + (xy 98.895568 130.010568) (xy 98.905112 129.998939) (xy 99.899944 129.004108) (xy 99.911568 128.994568) (xy 99.949658 128.948157) + (xy 99.97796 128.895206) (xy 99.981579 128.883275) (xy 99.995389 128.837752) (xy 99.997265 128.818706) (xy 99.9998 128.792966) + (xy 99.9998 128.792958) (xy 100.001273 128.778) (xy 99.9998 128.763042) (xy 99.9998 121.570001) (xy 107.600002 113.9698) + (xy 107.691271 113.9698) (xy 107.721286 114.025953) (xy 107.768507 114.083493) (xy 107.826047 114.130714) (xy 107.891693 114.165803) + (xy 107.962923 114.18741) (xy 108.037 114.194706) (xy 109.787 114.194706) (xy 109.861077 114.18741) (xy 109.932307 114.165803) + (xy 109.997953 114.130714) (xy 110.055493 114.083493) (xy 110.102714 114.025953) (xy 110.137803 113.960307) (xy 110.15941 113.889077) + (xy 110.166706 113.815) (xy 110.166706 113.515) (xy 110.15941 113.440923) (xy 110.137803 113.369693) (xy 110.102714 113.304047) + (xy 110.055493 113.246507) (xy 109.997953 113.199286) (xy 109.932307 113.164197) (xy 109.861077 113.14259) (xy 109.787 113.135294) + (xy 108.037 113.135294) (xy 107.962923 113.14259) (xy 107.891693 113.164197) (xy 107.826047 113.199286) (xy 107.768507 113.246507) + (xy 107.721286 113.304047) (xy 107.691271 113.3602) (xy 107.488708 113.3602) (xy 107.47375 113.358727) (xy 107.458792 113.3602) + (xy 107.458784 113.3602) (xy 107.419153 113.364103) (xy 107.413998 113.364611) (xy 107.373887 113.376779) (xy 107.356544 113.38204) + (xy 107.303593 113.410342) (xy 107.257182 113.448432) (xy 107.247642 113.460056) (xy 99.490061 121.217638) (xy 99.478432 121.227182) + (xy 99.440342 121.273594) (xy 99.422376 121.307208) (xy 99.41204 121.326545) (xy 99.397513 121.374435) (xy 99.394611 121.384) + (xy 99.3902 121.428785) (xy 99.3902 121.428792) (xy 99.388727 121.44375) (xy 99.3902 121.458708) (xy 99.390201 128.651747) + (xy 98.552749 129.4892) (xy 95.391957 129.4892) (xy 95.376999 129.487727) (xy 95.362041 129.4892) (xy 95.362034 129.4892) + (xy 95.322889 129.493055) (xy 95.317248 129.493611) (xy 95.299819 129.498898) (xy 95.259794 129.51104) (xy 95.206843 129.539342) + (xy 95.160432 129.577432) (xy 95.150892 129.589056) (xy 93.775061 130.964888) (xy 93.763432 130.974432) (xy 93.725342 131.020844) + (xy 93.708867 131.051668) (xy 93.69704 131.073795) (xy 93.679612 131.131248) (xy 93.679611 131.13125) (xy 93.6752 131.176035) + (xy 93.6752 131.176042) (xy 93.673727 131.191) (xy 93.6752 131.205958) (xy 93.6752 131.382294) (xy 93.599 131.382294) + (xy 93.479857 131.394029) (xy 93.365293 131.428781) (xy 93.25971 131.485217) (xy 93.246815 131.4958) (xy 92.173185 131.4958) + (xy 92.16029 131.485217) (xy 92.054707 131.428781) (xy 91.940143 131.394029) (xy 91.821 131.382294) (xy 91.7448 131.382294) + (xy 91.7448 131.317251) (xy 93.725252 129.3368) (xy 98.537042 129.3368) (xy 98.552 129.338273) (xy 98.566958 129.3368) + (xy 98.566966 129.3368) (xy 98.611751 129.332389) (xy 98.669206 129.31496) (xy 98.722157 129.286658) (xy 98.768568 129.248568) + (xy 98.778112 129.236939) (xy 99.264944 128.750108) (xy 99.276568 128.740568) (xy 99.314658 128.694157) (xy 99.34296 128.641206) + (xy 99.358797 128.589) (xy 99.360389 128.583752) (xy 99.362023 128.567156) (xy 99.3648 128.538966) (xy 99.3648 128.538959) + (xy 99.366273 128.524001) (xy 99.3648 128.509043) (xy 99.3648 118.251958) (xy 99.366273 118.237) (xy 99.3648 118.222042) + (xy 99.3648 118.222034) (xy 99.360389 118.177249) (xy 99.358115 118.169751) (xy 99.34296 118.119794) (xy 99.335721 118.106251) + (xy 99.314658 118.066843) (xy 99.276568 118.020432) (xy 99.264945 118.010893) (xy 97.254112 116.000061) (xy 97.244568 115.988432) + (xy 97.198157 115.950342) (xy 97.145206 115.92204) (xy 97.087751 115.904611) (xy 97.042966 115.9002) (xy 97.042958 115.9002) + (xy 97.03216 115.899137) (xy 97.002714 115.844047) (xy 96.955493 115.786507) (xy 96.897953 115.739286) (xy 96.832307 115.704197) + (xy 96.761077 115.68259) (xy 96.687 115.675294) (xy 94.937 115.675294) (xy 94.862923 115.68259) (xy 94.791693 115.704197) + (xy 94.726047 115.739286) (xy 94.668507 115.786507) (xy 94.621286 115.844047) (xy 94.586197 115.909693) (xy 94.56459 115.980923) + (xy 94.557294 116.055) (xy 94.557294 116.355) (xy 94.56459 116.429077) (xy 94.586197 116.500307) (xy 94.621286 116.565953) + (xy 94.668507 116.623493) (xy 94.726047 116.670714) (xy 94.791693 116.705803) (xy 94.862923 116.72741) (xy 94.937 116.734706) + (xy 96.687 116.734706) (xy 96.761077 116.72741) (xy 96.832307 116.705803) (xy 96.897953 116.670714) (xy 96.955493 116.623493) + (xy 96.982515 116.590566) (xy 98.7552 118.363252) (xy 98.755201 128.397747) (xy 98.425749 128.7272) (xy 93.613957 128.7272) + (xy 93.598999 128.725727) (xy 93.584041 128.7272) (xy 93.584034 128.7272) (xy 93.544889 128.731055) (xy 93.539248 128.731611) + (xy 93.509722 128.740568) (xy 93.481794 128.74904) (xy 93.428843 128.777342) (xy 93.382432 128.815432) (xy 93.372892 128.827056) + (xy 91.235061 130.964888) (xy 91.223432 130.974432) (xy 91.185342 131.020844) (xy 91.168867 131.051668) (xy 91.15704 131.073795) + (xy 91.139612 131.131248) (xy 91.139611 131.13125) (xy 91.1352 131.176035) (xy 91.1352 131.176042) (xy 91.133727 131.191) + (xy 91.1352 131.205958) (xy 91.1352 131.382294) (xy 91.059 131.382294) (xy 90.939857 131.394029) (xy 90.825293 131.428781) + (xy 90.71971 131.485217) (xy 90.706815 131.4958) (xy 89.633185 131.4958) (xy 89.62029 131.485217) (xy 89.514707 131.428781) + (xy 89.400143 131.394029) (xy 89.281 131.382294) (xy 89.2048 131.382294) (xy 89.2048 128.015251) (xy 89.646963 127.573088) + (xy 91.0654 127.573088) (xy 91.0654 127.696912) (xy 91.089556 127.818356) (xy 91.136941 127.932754) (xy 91.205734 128.035709) + (xy 91.293291 128.123266) (xy 91.396246 128.192059) (xy 91.510644 128.239444) (xy 91.632088 128.2636) (xy 91.755912 128.2636) + (xy 91.851434 128.2446) (xy 92.177685 128.2446) (xy 92.178458 128.245542) (xy 92.253045 128.306754) (xy 92.338141 128.352239) + (xy 92.430475 128.380248) (xy 92.5265 128.389706) (xy 93.4015 128.389706) (xy 93.497525 128.380248) (xy 93.589859 128.352239) + (xy 93.674955 128.306754) (xy 93.749542 128.245542) (xy 93.750315 128.2446) (xy 94.076566 128.2446) (xy 94.172088 128.2636) + (xy 94.295912 128.2636) (xy 94.417356 128.239444) (xy 94.531754 128.192059) (xy 94.634709 128.123266) (xy 94.640375 128.1176) + (xy 94.757638 128.1176) (xy 94.791693 128.135803) (xy 94.862923 128.15741) (xy 94.937 128.164706) (xy 96.687 128.164706) + (xy 96.761077 128.15741) (xy 96.832307 128.135803) (xy 96.866362 128.1176) (xy 97.192799 128.1176) (xy 97.254813 128.143287) + (xy 97.356937 128.1636) (xy 97.461063 128.1636) (xy 97.563187 128.143287) (xy 97.659386 128.10344) (xy 97.745963 128.045591) + (xy 97.819591 127.971963) (xy 97.87744 127.885386) (xy 97.903128 127.823371) (xy 97.987477 127.739021) (xy 98.005901 127.723901) + (xy 98.066209 127.650415) (xy 98.111022 127.566577) (xy 98.138617 127.475606) (xy 98.1456 127.404707) (xy 98.1456 127.404706) + (xy 98.147935 127.381001) (xy 98.1456 127.357296) (xy 98.1456 125.626696) (xy 98.147934 125.602999) (xy 98.1456 125.579302) + (xy 98.1456 125.579293) (xy 98.138617 125.508394) (xy 98.111022 125.417423) (xy 98.066209 125.333585) (xy 98.005901 125.260099) + (xy 97.987482 125.244983) (xy 97.513017 124.770518) (xy 97.497901 124.752099) (xy 97.424415 124.691791) (xy 97.340577 124.646978) + (xy 97.249606 124.619383) (xy 97.178707 124.6124) (xy 97.178705 124.6124) (xy 97.155 124.610065) (xy 97.131295 124.6124) + (xy 96.866362 124.6124) (xy 96.832307 124.594197) (xy 96.761077 124.57259) (xy 96.687 124.565294) (xy 94.937 124.565294) + (xy 94.862923 124.57259) (xy 94.791693 124.594197) (xy 94.726047 124.629286) (xy 94.668507 124.676507) (xy 94.621286 124.734047) + (xy 94.586197 124.799693) (xy 94.56459 124.870923) (xy 94.557294 124.945) (xy 94.557294 125.245) (xy 94.56459 125.319077) + (xy 94.586197 125.390307) (xy 94.621286 125.455953) (xy 94.668507 125.513493) (xy 94.726047 125.560714) (xy 94.791693 125.595803) + (xy 94.862923 125.61741) (xy 94.937 125.624706) (xy 96.687 125.624706) (xy 96.761077 125.61741) (xy 96.832307 125.595803) + (xy 96.866362 125.5776) (xy 96.955101 125.5776) (xy 97.1804 125.802899) (xy 97.180401 127.1524) (xy 96.866362 127.1524) + (xy 96.832307 127.134197) (xy 96.761077 127.11259) (xy 96.687 127.105294) (xy 94.937 127.105294) (xy 94.862923 127.11259) + (xy 94.791693 127.134197) (xy 94.757638 127.1524) (xy 94.640375 127.1524) (xy 94.634709 127.146734) (xy 94.531754 127.077941) + (xy 94.417356 127.030556) (xy 94.295912 127.0064) (xy 94.172088 127.0064) (xy 94.076566 127.0254) (xy 93.750315 127.0254) + (xy 93.749542 127.024458) (xy 93.674955 126.963246) (xy 93.589859 126.917761) (xy 93.497525 126.889752) (xy 93.4015 126.880294) + (xy 92.5265 126.880294) (xy 92.430475 126.889752) (xy 92.338141 126.917761) (xy 92.253045 126.963246) (xy 92.178458 127.024458) + (xy 92.177685 127.0254) (xy 91.851434 127.0254) (xy 91.755912 127.0064) (xy 91.632088 127.0064) (xy 91.510644 127.030556) + (xy 91.396246 127.077941) (xy 91.293291 127.146734) (xy 91.205734 127.234291) (xy 91.136941 127.337246) (xy 91.089556 127.451644) + (xy 91.0654 127.573088) (xy 89.646963 127.573088) (xy 90.760051 126.46) (xy 92.034294 126.46) (xy 92.038708 126.504813) + (xy 92.051779 126.547905) (xy 92.073006 126.587618) (xy 92.101573 126.622427) (xy 92.136382 126.650994) (xy 92.176095 126.672221) + (xy 92.219187 126.685292) (xy 92.264 126.689706) (xy 92.83305 126.6886) (xy 92.8902 126.63145) (xy 92.8902 126.0088) + (xy 93.0378 126.0088) (xy 93.0378 126.63145) (xy 93.09495 126.6886) (xy 93.664 126.689706) (xy 93.708813 126.685292) + (xy 93.751905 126.672221) (xy 93.791618 126.650994) (xy 93.826427 126.622427) (xy 93.854994 126.587618) (xy 93.876221 126.547905) + (xy 93.889292 126.504813) (xy 93.893706 126.46) (xy 93.8926 126.06595) (xy 93.83545 126.0088) (xy 93.0378 126.0088) + (xy 92.8902 126.0088) (xy 92.09255 126.0088) (xy 92.0354 126.06595) (xy 92.034294 126.46) (xy 90.760051 126.46) + (xy 91.810051 125.41) (xy 92.034294 125.41) (xy 92.0354 125.80405) (xy 92.09255 125.8612) (xy 92.8902 125.8612) + (xy 92.8902 125.23855) (xy 93.0378 125.23855) (xy 93.0378 125.8612) (xy 93.83545 125.8612) (xy 93.8926 125.80405) + (xy 93.893706 125.41) (xy 93.889292 125.365187) (xy 93.876221 125.322095) (xy 93.854994 125.282382) (xy 93.826427 125.247573) + (xy 93.791618 125.219006) (xy 93.751905 125.197779) (xy 93.708813 125.184708) (xy 93.664 125.180294) (xy 93.09495 125.1814) + (xy 93.0378 125.23855) (xy 92.8902 125.23855) (xy 92.83305 125.1814) (xy 92.264 125.180294) (xy 92.219187 125.184708) + (xy 92.176095 125.197779) (xy 92.136382 125.219006) (xy 92.101573 125.247573) (xy 92.073006 125.282382) (xy 92.051779 125.322095) + (xy 92.038708 125.365187) (xy 92.034294 125.41) (xy 91.810051 125.41) (xy 92.772752 124.4473) (xy 93.155249 124.4473) + (xy 93.929201 125.221253) (xy 93.9292 125.969042) (xy 93.927727 125.984) (xy 93.9292 125.998958) (xy 93.9292 125.998965) + (xy 93.933055 126.03811) (xy 93.933611 126.043751) (xy 93.945226 126.08204) (xy 93.95104 126.101205) (xy 93.979342 126.154156) + (xy 94.017432 126.200568) (xy 94.029061 126.210112) (xy 94.388892 126.569944) (xy 94.398432 126.581568) (xy 94.444843 126.619658) + (xy 94.497794 126.64796) (xy 94.537819 126.660102) (xy 94.555248 126.665389) (xy 94.560889 126.665945) (xy 94.590784 126.668889) + (xy 94.621286 126.725953) (xy 94.668507 126.783493) (xy 94.726047 126.830714) (xy 94.791693 126.865803) (xy 94.862923 126.88741) + (xy 94.937 126.894706) (xy 96.687 126.894706) (xy 96.761077 126.88741) (xy 96.832307 126.865803) (xy 96.897953 126.830714) + (xy 96.955493 126.783493) (xy 97.002714 126.725953) (xy 97.037803 126.660307) (xy 97.05941 126.589077) (xy 97.066706 126.515) + (xy 97.066706 126.215) (xy 97.05941 126.140923) (xy 97.037803 126.069693) (xy 97.002714 126.004047) (xy 96.955493 125.946507) + (xy 96.897953 125.899286) (xy 96.832307 125.864197) (xy 96.761077 125.84259) (xy 96.687 125.835294) (xy 94.937 125.835294) + (xy 94.862923 125.84259) (xy 94.791693 125.864197) (xy 94.726047 125.899286) (xy 94.668507 125.946507) (xy 94.650049 125.968998) + (xy 94.5388 125.857749) (xy 94.5388 125.109957) (xy 94.540273 125.094999) (xy 94.5388 125.080041) (xy 94.5388 125.080034) + (xy 94.534389 125.035249) (xy 94.51696 124.977794) (xy 94.488658 124.924843) (xy 94.450568 124.878432) (xy 94.438944 124.868892) + (xy 93.507612 123.937561) (xy 93.498068 123.925932) (xy 93.451657 123.887842) (xy 93.398706 123.85954) (xy 93.341251 123.842111) + (xy 93.296466 123.8377) (xy 93.296458 123.8377) (xy 93.2815 123.836227) (xy 93.266542 123.8377) (xy 92.951286 123.8377) + (xy 93.929186 122.8598) (xy 94.591271 122.8598) (xy 94.621286 122.915953) (xy 94.668507 122.973493) (xy 94.726047 123.020714) + (xy 94.791693 123.055803) (xy 94.862923 123.07741) (xy 94.937 123.084706) (xy 96.687 123.084706) (xy 96.761077 123.07741) + (xy 96.832307 123.055803) (xy 96.897953 123.020714) (xy 96.955493 122.973493) (xy 97.002714 122.915953) (xy 97.009571 122.903125) + (xy 97.072037 122.965591) (xy 97.158614 123.02344) (xy 97.254813 123.063287) (xy 97.356937 123.0836) (xy 97.461063 123.0836) + (xy 97.563187 123.063287) (xy 97.659386 123.02344) (xy 97.745963 122.965591) (xy 97.819591 122.891963) (xy 97.87744 122.805386) + (xy 97.917287 122.709187) (xy 97.9376 122.607063) (xy 97.9376 122.502937) (xy 97.917287 122.400813) (xy 97.87744 122.304614) + (xy 97.819591 122.218037) (xy 97.745963 122.144409) (xy 97.659386 122.08656) (xy 97.563187 122.046713) (xy 97.461063 122.0264) + (xy 97.356937 122.0264) (xy 97.254813 122.046713) (xy 97.158614 122.08656) (xy 97.072037 122.144409) (xy 97.009571 122.206875) + (xy 97.002714 122.194047) (xy 96.955493 122.136507) (xy 96.897953 122.089286) (xy 96.832307 122.054197) (xy 96.761077 122.03259) + (xy 96.687 122.025294) (xy 94.937 122.025294) (xy 94.862923 122.03259) (xy 94.791693 122.054197) (xy 94.726047 122.089286) + (xy 94.668507 122.136507) (xy 94.621286 122.194047) (xy 94.591271 122.2502) (xy 94.10772 122.2502) (xy 94.66216 121.69576) + (xy 94.668507 121.703493) (xy 94.726047 121.750714) (xy 94.791693 121.785803) (xy 94.862923 121.80741) (xy 94.937 121.814706) + (xy 96.687 121.814706) (xy 96.761077 121.80741) (xy 96.832307 121.785803) (xy 96.897953 121.750714) (xy 96.955493 121.703493) + (xy 97.002714 121.645953) (xy 97.009571 121.633125) (xy 97.072037 121.695591) (xy 97.158614 121.75344) (xy 97.254813 121.793287) + (xy 97.356937 121.8136) (xy 97.461063 121.8136) (xy 97.563187 121.793287) (xy 97.659386 121.75344) (xy 97.745963 121.695591) + (xy 97.819591 121.621963) (xy 97.87744 121.535386) (xy 97.917287 121.439187) (xy 97.9376 121.337063) (xy 97.9376 121.232937) + (xy 97.917287 121.130813) (xy 97.87744 121.034614) (xy 97.819591 120.948037) (xy 97.745963 120.874409) (xy 97.659386 120.81656) + (xy 97.563187 120.776713) (xy 97.461063 120.7564) (xy 97.356937 120.7564) (xy 97.254813 120.776713) (xy 97.158614 120.81656) + (xy 97.072037 120.874409) (xy 97.009571 120.936875) (xy 97.002714 120.924047) (xy 96.955493 120.866507) (xy 96.897953 120.819286) + (xy 96.832307 120.784197) (xy 96.761077 120.76259) (xy 96.687 120.755294) (xy 94.937 120.755294) (xy 94.862923 120.76259) + (xy 94.791693 120.784197) (xy 94.726047 120.819286) (xy 94.668507 120.866507) (xy 94.621286 120.924047) (xy 94.589291 120.983904) + (xy 94.587271 120.984103) (xy 94.582116 120.984611) (xy 94.556849 120.992276) (xy 94.524662 121.00204) (xy 94.471711 121.030342) + (xy 94.4253 121.068432) (xy 94.41576 121.080056) (xy 94.4118 121.084016) (xy 94.4118 120.458751) (xy 94.550752 120.3198) + (xy 94.591271 120.3198) (xy 94.621286 120.375953) (xy 94.668507 120.433493) (xy 94.726047 120.480714) (xy 94.791693 120.515803) + (xy 94.862923 120.53741) (xy 94.937 120.544706) (xy 96.687 120.544706) (xy 96.761077 120.53741) (xy 96.832307 120.515803) + (xy 96.897953 120.480714) (xy 96.955493 120.433493) (xy 97.002714 120.375953) (xy 97.009571 120.363125) (xy 97.072037 120.425591) + (xy 97.158614 120.48344) (xy 97.254813 120.523287) (xy 97.356937 120.5436) (xy 97.461063 120.5436) (xy 97.563187 120.523287) + (xy 97.659386 120.48344) (xy 97.745963 120.425591) (xy 97.819591 120.351963) (xy 97.87744 120.265386) (xy 97.917287 120.169187) + (xy 97.9376 120.067063) (xy 97.9376 119.962937) (xy 97.917287 119.860813) (xy 97.87744 119.764614) (xy 97.819591 119.678037) + (xy 97.745963 119.604409) (xy 97.659386 119.54656) (xy 97.563187 119.506713) (xy 97.461063 119.4864) (xy 97.356937 119.4864) + (xy 97.254813 119.506713) (xy 97.158614 119.54656) (xy 97.072037 119.604409) (xy 97.009571 119.666875) (xy 97.002714 119.654047) + (xy 96.955493 119.596507) (xy 96.897953 119.549286) (xy 96.832307 119.514197) (xy 96.761077 119.49259) (xy 96.687 119.485294) + (xy 94.937 119.485294) (xy 94.862923 119.49259) (xy 94.791693 119.514197) (xy 94.726047 119.549286) (xy 94.668507 119.596507) + (xy 94.621286 119.654047) (xy 94.591271 119.7102) (xy 94.439458 119.7102) (xy 94.4245 119.708727) (xy 94.409542 119.7102) + (xy 94.409534 119.7102) (xy 94.369903 119.714103) (xy 94.364748 119.714611) (xy 94.307294 119.73204) (xy 94.254343 119.760342) + (xy 94.207932 119.798432) (xy 94.198392 119.810056) (xy 94.0943 119.914148) (xy 94.0943 119.823751) (xy 94.311944 119.606108) + (xy 94.323568 119.596568) (xy 94.361658 119.550157) (xy 94.38996 119.497206) (xy 94.401871 119.457941) (xy 94.407389 119.439752) + (xy 94.407897 119.434597) (xy 94.4118 119.394966) (xy 94.4118 119.394958) (xy 94.413273 119.38) (xy 94.4118 119.365042) + (xy 94.4118 119.252251) (xy 94.599276 119.064776) (xy 94.621286 119.105953) (xy 94.668507 119.163493) (xy 94.726047 119.210714) + (xy 94.791693 119.245803) (xy 94.862923 119.26741) (xy 94.937 119.274706) (xy 96.687 119.274706) (xy 96.761077 119.26741) + (xy 96.832307 119.245803) (xy 96.897953 119.210714) (xy 96.955493 119.163493) (xy 97.002714 119.105953) (xy 97.009571 119.093125) + (xy 97.072037 119.155591) (xy 97.158614 119.21344) (xy 97.254813 119.253287) (xy 97.356937 119.2736) (xy 97.461063 119.2736) + (xy 97.563187 119.253287) (xy 97.659386 119.21344) (xy 97.745963 119.155591) (xy 97.819591 119.081963) (xy 97.87744 118.995386) + (xy 97.917287 118.899187) (xy 97.9376 118.797063) (xy 97.9376 118.692937) (xy 97.936536 118.687588) (xy 97.993201 118.744253) + (xy 97.9932 122.809748) (xy 97.499002 123.303946) (xy 97.461063 123.2964) (xy 97.356937 123.2964) (xy 97.254813 123.316713) + (xy 97.158614 123.35656) (xy 97.072037 123.414409) (xy 97.009571 123.476875) (xy 97.002714 123.464047) (xy 96.955493 123.406507) + (xy 96.897953 123.359286) (xy 96.832307 123.324197) (xy 96.761077 123.30259) (xy 96.687 123.295294) (xy 94.937 123.295294) + (xy 94.862923 123.30259) (xy 94.791693 123.324197) (xy 94.726047 123.359286) (xy 94.668507 123.406507) (xy 94.621286 123.464047) + (xy 94.586197 123.529693) (xy 94.56459 123.600923) (xy 94.557294 123.675) (xy 94.557294 123.975) (xy 94.56459 124.049077) + (xy 94.586197 124.120307) (xy 94.621286 124.185953) (xy 94.668507 124.243493) (xy 94.726047 124.290714) (xy 94.791693 124.325803) + (xy 94.862923 124.34741) (xy 94.937 124.354706) (xy 96.687 124.354706) (xy 96.761077 124.34741) (xy 96.832307 124.325803) + (xy 96.897953 124.290714) (xy 96.955493 124.243493) (xy 97.002714 124.185953) (xy 97.009571 124.173125) (xy 97.072037 124.235591) + (xy 97.158614 124.29344) (xy 97.254813 124.333287) (xy 97.356937 124.3536) (xy 97.461063 124.3536) (xy 97.563187 124.333287) + (xy 97.659386 124.29344) (xy 97.745963 124.235591) (xy 97.819591 124.161963) (xy 97.87744 124.075386) (xy 97.917287 123.979187) + (xy 97.9376 123.877063) (xy 97.9376 123.772937) (xy 97.930054 123.734998) (xy 98.502945 123.162107) (xy 98.514568 123.152568) + (xy 98.552658 123.106157) (xy 98.58096 123.053206) (xy 98.586774 123.03404) (xy 98.598389 122.995752) (xy 98.598897 122.990597) + (xy 98.6028 122.950966) (xy 98.6028 122.950958) (xy 98.604273 122.936) (xy 98.6028 122.921042) (xy 98.6028 118.632957) + (xy 98.604273 118.617999) (xy 98.6028 118.603041) (xy 98.6028 118.603034) (xy 98.598389 118.558249) (xy 98.596115 118.550751) + (xy 98.58096 118.500795) (xy 98.58096 118.500794) (xy 98.552658 118.447843) (xy 98.514568 118.401432) (xy 98.502944 118.391892) + (xy 98.016112 117.905061) (xy 98.006568 117.893432) (xy 97.960157 117.855342) (xy 97.907206 117.82704) (xy 97.849751 117.809611) + (xy 97.804966 117.8052) (xy 97.804958 117.8052) (xy 97.79 117.803727) (xy 97.775042 117.8052) (xy 97.063731 117.8052) + (xy 97.066706 117.775) (xy 97.0656 117.60595) (xy 97.00845 117.5488) (xy 95.8858 117.5488) (xy 95.8858 117.5688) + (xy 95.7382 117.5688) (xy 95.7382 117.5488) (xy 94.61555 117.5488) (xy 94.5584 117.60595) (xy 94.557294 117.775) + (xy 94.560269 117.8052) (xy 94.229933 117.8052) (xy 94.213657 117.791842) (xy 94.160706 117.76354) (xy 94.103251 117.746111) + (xy 94.058466 117.7417) (xy 94.058458 117.7417) (xy 94.0435 117.740227) (xy 94.028542 117.7417) (xy 93.677458 117.7417) + (xy 93.6625 117.740227) (xy 93.647542 117.7417) (xy 93.647534 117.7417) (xy 93.607903 117.745603) (xy 93.602748 117.746111) + (xy 93.545294 117.76354) (xy 93.492343 117.791842) (xy 93.445932 117.829932) (xy 93.436392 117.841556) (xy 93.140061 118.137888) + (xy 93.128432 118.147432) (xy 93.090342 118.193844) (xy 93.063429 118.244197) (xy 93.06204 118.246795) (xy 93.05192 118.280157) + (xy 93.044611 118.30425) (xy 93.0402 118.349035) (xy 93.0402 118.349042) (xy 93.038727 118.364) (xy 93.0402 118.378958) + (xy 93.040201 119.126747) (xy 92.456749 119.7102) (xy 92.357729 119.7102) (xy 92.344143 119.684783) (xy 92.388751 119.680389) + (xy 92.446206 119.66296) (xy 92.499157 119.634658) (xy 92.545568 119.596568) (xy 92.555112 119.584939) (xy 92.914944 119.225108) + (xy 92.926568 119.215568) (xy 92.964658 119.169157) (xy 92.99296 119.116206) (xy 93.008114 119.06625) (xy 93.010389 119.058752) + (xy 93.011032 119.052221) (xy 93.0148 119.013966) (xy 93.0148 119.013959) (xy 93.016273 118.999001) (xy 93.0148 118.984043) + (xy 93.0148 117.175) (xy 94.557294 117.175) (xy 94.5584 117.34405) (xy 94.61555 117.4012) (xy 95.7382 117.4012) + (xy 95.7382 117.00355) (xy 95.8858 117.00355) (xy 95.8858 117.4012) (xy 97.00845 117.4012) (xy 97.0656 117.34405) + (xy 97.066706 117.175) (xy 97.062292 117.130187) (xy 97.049221 117.087095) (xy 97.027994 117.047382) (xy 96.999427 117.012573) + (xy 96.964618 116.984006) (xy 96.924905 116.962779) (xy 96.881813 116.949708) (xy 96.837 116.945294) (xy 95.94295 116.9464) + (xy 95.8858 117.00355) (xy 95.7382 117.00355) (xy 95.68105 116.9464) (xy 94.787 116.945294) (xy 94.742187 116.949708) + (xy 94.699095 116.962779) (xy 94.659382 116.984006) (xy 94.624573 117.012573) (xy 94.596006 117.047382) (xy 94.574779 117.087095) + (xy 94.561708 117.130187) (xy 94.557294 117.175) (xy 93.0148 117.175) (xy 93.0148 116.839251) (xy 94.599276 115.254776) + (xy 94.621286 115.295953) (xy 94.668507 115.353493) (xy 94.726047 115.400714) (xy 94.791693 115.435803) (xy 94.862923 115.45741) + (xy 94.937 115.464706) (xy 96.687 115.464706) (xy 96.761077 115.45741) (xy 96.832307 115.435803) (xy 96.897953 115.400714) + (xy 96.955493 115.353493) (xy 97.002714 115.295953) (xy 97.037803 115.230307) (xy 97.05941 115.159077) (xy 97.066706 115.085) + (xy 97.066706 114.785) (xy 97.05941 114.710923) (xy 97.037803 114.639693) (xy 97.002714 114.574047) (xy 96.955493 114.516507) + (xy 96.897953 114.469286) (xy 96.832307 114.434197) (xy 96.761077 114.41259) (xy 96.687 114.405294) (xy 94.937 114.405294) + (xy 94.862923 114.41259) (xy 94.791693 114.434197) (xy 94.726047 114.469286) (xy 94.668507 114.516507) (xy 94.621286 114.574047) + (xy 94.591271 114.6302) (xy 94.502958 114.6302) (xy 94.488 114.628727) (xy 94.473042 114.6302) (xy 94.473034 114.6302) + (xy 94.433403 114.634103) (xy 94.428248 114.634611) (xy 94.398722 114.643568) (xy 94.370794 114.65204) (xy 94.317843 114.680342) + (xy 94.271432 114.718432) (xy 94.261892 114.730056) (xy 92.505061 116.486888) (xy 92.493432 116.496432) (xy 92.455342 116.542844) + (xy 92.431924 116.586658) (xy 92.42704 116.595795) (xy 92.411886 116.645752) (xy 92.409611 116.65325) (xy 92.4052 116.698035) + (xy 92.4052 116.698042) (xy 92.403727 116.713) (xy 92.4052 116.727958) (xy 92.405201 118.872747) (xy 92.391706 118.886242) + (xy 92.391706 118.595) (xy 92.38441 118.520923) (xy 92.362803 118.449693) (xy 92.327714 118.384047) (xy 92.280493 118.326507) + (xy 92.222953 118.279286) (xy 92.157307 118.244197) (xy 92.086077 118.22259) (xy 92.012 118.215294) (xy 90.262 118.215294) + (xy 90.187923 118.22259) (xy 90.116693 118.244197) (xy 90.051047 118.279286) (xy 89.993507 118.326507) (xy 89.946286 118.384047) + (xy 89.916271 118.4402) (xy 89.915252 118.4402) (xy 87.6808 116.205749) (xy 87.6808 111.759251) (xy 89.915252 109.5248) + (xy 89.929848 109.5248) (xy 89.911197 109.559693) (xy 89.88959 109.630923) (xy 89.882294 109.705) (xy 89.882294 110.005) + (xy 89.88959 110.079077) (xy 89.911197 110.150307) (xy 89.929848 110.1852) (xy 89.803958 110.1852) (xy 89.789 110.183727) + (xy 89.774042 110.1852) (xy 89.774034 110.1852) (xy 89.734403 110.189103) (xy 89.729248 110.189611) (xy 89.671794 110.20704) + (xy 89.618843 110.235342) (xy 89.572432 110.273432) (xy 89.562892 110.285056) (xy 87.806061 112.041888) (xy 87.794432 112.051432) + (xy 87.756342 112.097844) (xy 87.72804 112.150795) (xy 87.710611 112.20825) (xy 87.7062 112.253035) (xy 87.7062 112.253042) + (xy 87.704727 112.268) (xy 87.7062 112.282958) (xy 87.706201 115.682032) (xy 87.704727 115.697) (xy 87.710611 115.756751) + (xy 87.72804 115.814205) (xy 87.728041 115.814206) (xy 87.756343 115.867157) (xy 87.794433 115.913568) (xy 87.806057 115.923108) + (xy 89.562891 117.679943) (xy 89.572432 117.691568) (xy 89.618843 117.729658) (xy 89.671794 117.75796) (xy 89.729248 117.775389) + (xy 89.734403 117.775897) (xy 89.774034 117.7798) (xy 89.774042 117.7798) (xy 89.789 117.781273) (xy 89.803958 117.7798) + (xy 89.916271 117.7798) (xy 89.946286 117.835953) (xy 89.993507 117.893493) (xy 90.051047 117.940714) (xy 90.116693 117.975803) + (xy 90.187923 117.99741) (xy 90.262 118.004706) (xy 92.012 118.004706) (xy 92.086077 117.99741) (xy 92.157307 117.975803) + (xy 92.222953 117.940714) (xy 92.280493 117.893493) (xy 92.327714 117.835953) (xy 92.362803 117.770307) (xy 92.38441 117.699077) + (xy 92.391706 117.625) (xy 92.391706 117.325) (xy 92.38441 117.250923) (xy 92.362803 117.179693) (xy 92.327714 117.114047) + (xy 92.280493 117.056507) (xy 92.222953 117.009286) (xy 92.157307 116.974197) (xy 92.086077 116.95259) (xy 92.012 116.945294) + (xy 90.262 116.945294) (xy 90.187923 116.95259) (xy 90.116693 116.974197) (xy 90.051047 117.009286) (xy 89.993507 117.056507) + (xy 89.946286 117.114047) (xy 89.916271 117.1702) (xy 89.915252 117.1702) (xy 88.3158 115.570749) (xy 88.3158 112.394251) + (xy 89.915252 110.7948) (xy 89.929848 110.7948) (xy 89.911197 110.829693) (xy 89.88959 110.900923) (xy 89.882294 110.975) + (xy 89.882294 111.275) (xy 89.88959 111.349077) (xy 89.911197 111.420307) (xy 89.929848 111.4552) (xy 89.803957 111.4552) + (xy 89.788999 111.453727) (xy 89.774041 111.4552) (xy 89.774034 111.4552) (xy 89.734889 111.459055) (xy 89.729248 111.459611) + (xy 89.718591 111.462844) (xy 89.671794 111.47704) (xy 89.618843 111.505342) (xy 89.572432 111.543432) (xy 89.562892 111.555056) + (xy 88.441061 112.676888) (xy 88.429432 112.686432) (xy 88.391342 112.732844) (xy 88.36304 112.785795) (xy 88.345611 112.84325) + (xy 88.3412 112.888035) (xy 88.3412 112.888042) (xy 88.339727 112.903) (xy 88.3412 112.917958) (xy 88.341201 115.047032) + (xy 88.339727 115.062) (xy 88.345611 115.121751) (xy 88.36304 115.179205) (xy 88.375713 115.202915) (xy 88.391343 115.232157) + (xy 88.429433 115.278568) (xy 88.441057 115.288108) (xy 89.562891 116.409943) (xy 89.572432 116.421568) (xy 89.618843 116.459658) + (xy 89.671792 116.487959) (xy 89.671794 116.48796) (xy 89.729248 116.505389) (xy 89.734889 116.505945) (xy 89.774034 116.5098) + (xy 89.774041 116.5098) (xy 89.788999 116.511273) (xy 89.803957 116.5098) (xy 89.916271 116.5098) (xy 89.946286 116.565953) + (xy 89.993507 116.623493) (xy 90.051047 116.670714) (xy 90.116693 116.705803) (xy 90.187923 116.72741) (xy 90.262 116.734706) + (xy 92.012 116.734706) (xy 92.086077 116.72741) (xy 92.157307 116.705803) (xy 92.222953 116.670714) (xy 92.280493 116.623493) + (xy 92.327714 116.565953) (xy 92.362803 116.500307) (xy 92.38441 116.429077) (xy 92.391706 116.355) (xy 92.391706 116.055) + (xy 92.38441 115.980923) (xy 92.362803 115.909693) (xy 92.327714 115.844047) (xy 92.280493 115.786507) (xy 92.222953 115.739286) + (xy 92.157307 115.704197) (xy 92.086077 115.68259) (xy 92.012 115.675294) (xy 90.262 115.675294) (xy 90.187923 115.68259) + (xy 90.116693 115.704197) (xy 90.051047 115.739286) (xy 89.993507 115.786507) (xy 89.946286 115.844047) (xy 89.916271 115.9002) + (xy 89.915252 115.9002) (xy 88.9508 114.935749) (xy 88.9508 113.029251) (xy 89.915252 112.0648) (xy 89.929848 112.0648) + (xy 89.911197 112.099693) (xy 89.88959 112.170923) (xy 89.882294 112.245) (xy 89.882294 112.545) (xy 89.88959 112.619077) + (xy 89.911197 112.690307) (xy 89.929848 112.7252) (xy 89.803957 112.7252) (xy 89.788999 112.723727) (xy 89.774041 112.7252) + (xy 89.774034 112.7252) (xy 89.734889 112.729055) (xy 89.729248 112.729611) (xy 89.718591 112.732844) (xy 89.671794 112.74704) + (xy 89.618843 112.775342) (xy 89.572432 112.813432) (xy 89.562892 112.825056) (xy 89.076061 113.311888) (xy 89.064432 113.321432) + (xy 89.026342 113.367844) (xy 89.006696 113.4046) (xy 88.99804 113.420795) (xy 88.980721 113.477889) (xy 88.980611 113.47825) + (xy 88.9762 113.523035) (xy 88.9762 113.523042) (xy 88.974727 113.538) (xy 88.9762 113.552958) (xy 88.976201 114.412032) + (xy 88.974727 114.427) (xy 88.980611 114.486751) (xy 88.99804 114.544205) (xy 89.003979 114.555316) (xy 89.026343 114.597157) + (xy 89.064433 114.643568) (xy 89.076056 114.653107) (xy 89.562892 115.139944) (xy 89.572432 115.151568) (xy 89.618843 115.189658) + (xy 89.671794 115.21796) (xy 89.711819 115.230102) (xy 89.729248 115.235389) (xy 89.734889 115.235945) (xy 89.774034 115.2398) + (xy 89.774041 115.2398) (xy 89.788999 115.241273) (xy 89.803957 115.2398) (xy 89.916271 115.2398) (xy 89.946286 115.295953) + (xy 89.993507 115.353493) (xy 90.051047 115.400714) (xy 90.116693 115.435803) (xy 90.187923 115.45741) (xy 90.262 115.464706) + (xy 92.012 115.464706) (xy 92.086077 115.45741) (xy 92.157307 115.435803) (xy 92.222953 115.400714) (xy 92.280493 115.353493) + (xy 92.327714 115.295953) (xy 92.362803 115.230307) (xy 92.38441 115.159077) (xy 92.391706 115.085) (xy 92.391706 114.785) + (xy 92.38441 114.710923) (xy 92.362803 114.639693) (xy 92.327714 114.574047) (xy 92.280493 114.516507) (xy 92.222953 114.469286) + (xy 92.157307 114.434197) (xy 92.086077 114.41259) (xy 92.012 114.405294) (xy 90.262 114.405294) (xy 90.187923 114.41259) + (xy 90.116693 114.434197) (xy 90.051047 114.469286) (xy 89.993507 114.516507) (xy 89.946286 114.574047) (xy 89.916271 114.6302) + (xy 89.915252 114.6302) (xy 89.5858 114.300749) (xy 89.5858 113.664251) (xy 89.915252 113.3348) (xy 89.929848 113.3348) + (xy 89.911197 113.369693) (xy 89.88959 113.440923) (xy 89.882294 113.515) (xy 89.882294 113.815) (xy 89.88959 113.889077) + (xy 89.911197 113.960307) (xy 89.946286 114.025953) (xy 89.993507 114.083493) (xy 90.051047 114.130714) (xy 90.116693 114.165803) + (xy 90.187923 114.18741) (xy 90.262 114.194706) (xy 92.012 114.194706) (xy 92.086077 114.18741) (xy 92.157307 114.165803) + (xy 92.222953 114.130714) (xy 92.280493 114.083493) (xy 92.327714 114.025953) (xy 92.357729 113.9698) (xy 94.591271 113.9698) + (xy 94.621286 114.025953) (xy 94.668507 114.083493) (xy 94.726047 114.130714) (xy 94.791693 114.165803) (xy 94.862923 114.18741) + (xy 94.937 114.194706) (xy 96.687 114.194706) (xy 96.761077 114.18741) (xy 96.832307 114.165803) (xy 96.897953 114.130714) + (xy 96.955493 114.083493) (xy 97.002714 114.025953) (xy 97.037803 113.960307) (xy 97.05941 113.889077) (xy 97.066706 113.815) + (xy 97.066706 113.515) (xy 97.05941 113.440923) (xy 97.037803 113.369693) (xy 97.019152 113.3348) (xy 98.664042 113.3348) + (xy 98.679 113.336273) (xy 98.693958 113.3348) (xy 98.693966 113.3348) (xy 98.738751 113.330389) (xy 98.796206 113.31296) + (xy 98.849157 113.284658) (xy 98.895568 113.246568) (xy 98.905112 113.234939) (xy 99.440252 112.6998) (xy 107.691271 112.6998) + (xy 107.721286 112.755953) (xy 107.768507 112.813493) (xy 107.826047 112.860714) (xy 107.891693 112.895803) (xy 107.962923 112.91741) + (xy 108.037 112.924706) (xy 109.787 112.924706) (xy 109.861077 112.91741) (xy 109.932307 112.895803) (xy 109.997953 112.860714) + (xy 110.055493 112.813493) (xy 110.102714 112.755953) (xy 110.137803 112.690307) (xy 110.15941 112.619077) (xy 110.162273 112.59) + (xy 115.421294 112.59) (xy 115.421294 113.765) (xy 115.42859 113.839077) (xy 115.450197 113.910307) (xy 115.485286 113.975953) + (xy 115.532507 114.033493) (xy 115.590047 114.080714) (xy 115.655693 114.115803) (xy 115.726923 114.13741) (xy 115.801 114.144706) + (xy 116.101 114.144706) (xy 116.175077 114.13741) (xy 116.246307 114.115803) (xy 116.311953 114.080714) (xy 116.369493 114.033493) + (xy 116.416714 113.975953) (xy 116.451803 113.910307) (xy 116.47341 113.839077) (xy 116.480706 113.765) (xy 116.480706 112.59) + (xy 116.691294 112.59) (xy 116.691294 113.765) (xy 116.69859 113.839077) (xy 116.720197 113.910307) (xy 116.755286 113.975953) + (xy 116.802507 114.033493) (xy 116.860047 114.080714) (xy 116.925693 114.115803) (xy 116.996923 114.13741) (xy 117.071 114.144706) + (xy 117.371 114.144706) (xy 117.445077 114.13741) (xy 117.516307 114.115803) (xy 117.581953 114.080714) (xy 117.639493 114.033493) + (xy 117.686714 113.975953) (xy 117.721803 113.910307) (xy 117.74341 113.839077) (xy 117.750706 113.765) (xy 117.750706 112.59) + (xy 117.961294 112.59) (xy 117.961294 113.765) (xy 117.96859 113.839077) (xy 117.990197 113.910307) (xy 118.025286 113.975953) + (xy 118.072507 114.033493) (xy 118.130047 114.080714) (xy 118.195693 114.115803) (xy 118.266923 114.13741) (xy 118.341 114.144706) + (xy 118.641 114.144706) (xy 118.715077 114.13741) (xy 118.786307 114.115803) (xy 118.851953 114.080714) (xy 118.909493 114.033493) + (xy 118.956714 113.975953) (xy 118.991803 113.910307) (xy 119.01341 113.839077) (xy 119.020706 113.765) (xy 119.020706 112.59) + (xy 119.231294 112.59) (xy 119.231294 113.765) (xy 119.23859 113.839077) (xy 119.260197 113.910307) (xy 119.295286 113.975953) + (xy 119.342507 114.033493) (xy 119.400047 114.080714) (xy 119.465693 114.115803) (xy 119.536923 114.13741) (xy 119.611 114.144706) + (xy 119.911 114.144706) (xy 119.985077 114.13741) (xy 120.056307 114.115803) (xy 120.121953 114.080714) (xy 120.179493 114.033493) + (xy 120.226714 113.975953) (xy 120.261803 113.910307) (xy 120.28341 113.839077) (xy 120.290706 113.765) (xy 120.290706 112.59) + (xy 120.28341 112.515923) (xy 120.261803 112.444693) (xy 120.226714 112.379047) (xy 120.179493 112.321507) (xy 120.121953 112.274286) + (xy 120.056307 112.239197) (xy 119.985077 112.21759) (xy 119.911 112.210294) (xy 119.611 112.210294) (xy 119.536923 112.21759) + (xy 119.465693 112.239197) (xy 119.400047 112.274286) (xy 119.342507 112.321507) (xy 119.295286 112.379047) (xy 119.260197 112.444693) + (xy 119.23859 112.515923) (xy 119.231294 112.59) (xy 119.020706 112.59) (xy 119.01341 112.515923) (xy 118.991803 112.444693) + (xy 118.956714 112.379047) (xy 118.909493 112.321507) (xy 118.851953 112.274286) (xy 118.851507 112.274047) (xy 118.901591 112.223963) + (xy 118.95944 112.137386) (xy 118.999287 112.041187) (xy 119.0196 111.939063) (xy 119.0196 111.834937) (xy 118.999287 111.732813) + (xy 118.95944 111.636614) (xy 118.901591 111.550037) (xy 118.827963 111.476409) (xy 118.741386 111.41856) (xy 118.645187 111.378713) + (xy 118.543063 111.3584) (xy 118.438937 111.3584) (xy 118.336813 111.378713) (xy 118.240614 111.41856) (xy 118.154037 111.476409) + (xy 118.080409 111.550037) (xy 118.02256 111.636614) (xy 117.982713 111.732813) (xy 117.9624 111.834937) (xy 117.9624 111.939063) + (xy 117.982713 112.041187) (xy 118.02256 112.137386) (xy 118.080409 112.223963) (xy 118.130493 112.274047) (xy 118.130047 112.274286) + (xy 118.072507 112.321507) (xy 118.025286 112.379047) (xy 117.990197 112.444693) (xy 117.96859 112.515923) (xy 117.961294 112.59) + (xy 117.750706 112.59) (xy 117.74341 112.515923) (xy 117.721803 112.444693) (xy 117.686714 112.379047) (xy 117.639493 112.321507) + (xy 117.581953 112.274286) (xy 117.581507 112.274047) (xy 117.631591 112.223963) (xy 117.68944 112.137386) (xy 117.729287 112.041187) + (xy 117.7496 111.939063) (xy 117.7496 111.834937) (xy 117.729287 111.732813) (xy 117.68944 111.636614) (xy 117.631591 111.550037) + (xy 117.557963 111.476409) (xy 117.471386 111.41856) (xy 117.375187 111.378713) (xy 117.273063 111.3584) (xy 117.168937 111.3584) + (xy 117.066813 111.378713) (xy 116.970614 111.41856) (xy 116.884037 111.476409) (xy 116.810409 111.550037) (xy 116.75256 111.636614) + (xy 116.712713 111.732813) (xy 116.6924 111.834937) (xy 116.6924 111.939063) (xy 116.712713 112.041187) (xy 116.75256 112.137386) + (xy 116.810409 112.223963) (xy 116.860493 112.274047) (xy 116.860047 112.274286) (xy 116.802507 112.321507) (xy 116.755286 112.379047) + (xy 116.720197 112.444693) (xy 116.69859 112.515923) (xy 116.691294 112.59) (xy 116.480706 112.59) (xy 116.47341 112.515923) + (xy 116.451803 112.444693) (xy 116.416714 112.379047) (xy 116.369493 112.321507) (xy 116.311953 112.274286) (xy 116.311507 112.274047) + (xy 116.361591 112.223963) (xy 116.41944 112.137386) (xy 116.459287 112.041187) (xy 116.4796 111.939063) (xy 116.4796 111.834937) + (xy 116.459287 111.732813) (xy 116.41944 111.636614) (xy 116.361591 111.550037) (xy 116.287963 111.476409) (xy 116.201386 111.41856) + (xy 116.105187 111.378713) (xy 116.003063 111.3584) (xy 115.898937 111.3584) (xy 115.796813 111.378713) (xy 115.700614 111.41856) + (xy 115.614037 111.476409) (xy 115.540409 111.550037) (xy 115.48256 111.636614) (xy 115.442713 111.732813) (xy 115.4224 111.834937) + (xy 115.4224 111.939063) (xy 115.442713 112.041187) (xy 115.48256 112.137386) (xy 115.540409 112.223963) (xy 115.590493 112.274047) + (xy 115.590047 112.274286) (xy 115.532507 112.321507) (xy 115.485286 112.379047) (xy 115.450197 112.444693) (xy 115.42859 112.515923) + (xy 115.421294 112.59) (xy 110.162273 112.59) (xy 110.166706 112.545) (xy 110.166706 112.245) (xy 110.15941 112.170923) + (xy 110.137803 112.099693) (xy 110.102714 112.034047) (xy 110.055493 111.976507) (xy 109.997953 111.929286) (xy 109.932307 111.894197) + (xy 109.861077 111.87259) (xy 109.787 111.865294) (xy 108.037 111.865294) (xy 107.962923 111.87259) (xy 107.891693 111.894197) + (xy 107.826047 111.929286) (xy 107.768507 111.976507) (xy 107.721286 112.034047) (xy 107.691271 112.0902) (xy 99.328958 112.0902) + (xy 99.314 112.088727) (xy 99.299042 112.0902) (xy 99.299034 112.0902) (xy 99.254249 112.094611) (xy 99.196794 112.11204) + (xy 99.143843 112.140342) (xy 99.097432 112.178432) (xy 99.087892 112.190056) (xy 98.552749 112.7252) (xy 97.019152 112.7252) + (xy 97.037803 112.690307) (xy 97.05941 112.619077) (xy 97.066706 112.545) (xy 97.066706 112.245) (xy 97.05941 112.170923) + (xy 97.037803 112.099693) (xy 97.019152 112.0648) (xy 98.664042 112.0648) (xy 98.679 112.066273) (xy 98.693958 112.0648) + (xy 98.693966 112.0648) (xy 98.738751 112.060389) (xy 98.796206 112.04296) (xy 98.849157 112.014658) (xy 98.895568 111.976568) + (xy 98.905112 111.964939) (xy 99.440252 111.4298) (xy 107.691271 111.4298) (xy 107.721286 111.485953) (xy 107.768507 111.543493) + (xy 107.826047 111.590714) (xy 107.891693 111.625803) (xy 107.962923 111.64741) (xy 108.037 111.654706) (xy 109.787 111.654706) + (xy 109.861077 111.64741) (xy 109.932307 111.625803) (xy 109.997953 111.590714) (xy 110.055493 111.543493) (xy 110.102714 111.485953) + (xy 110.137803 111.420307) (xy 110.15941 111.349077) (xy 110.166706 111.275) (xy 110.166706 110.975) (xy 110.15941 110.900923) + (xy 110.137803 110.829693) (xy 110.126743 110.809) (xy 118.576294 110.809) (xy 118.580708 110.853813) (xy 118.593779 110.896905) + (xy 118.615006 110.936618) (xy 118.643573 110.971427) (xy 118.678382 110.999994) (xy 118.718095 111.021221) (xy 118.761187 111.034292) + (xy 118.806 111.038706) (xy 119.20005 111.0376) (xy 119.2572 110.98045) (xy 119.2572 110.1828) (xy 119.4048 110.1828) + (xy 119.4048 110.98045) (xy 119.46195 111.0376) (xy 119.856 111.038706) (xy 119.900813 111.034292) (xy 119.943905 111.021221) + (xy 119.983618 110.999994) (xy 120.018427 110.971427) (xy 120.046994 110.936618) (xy 120.068221 110.896905) (xy 120.081292 110.853813) + (xy 120.085706 110.809) (xy 120.0846 110.23995) (xy 120.02745 110.1828) (xy 119.4048 110.1828) (xy 119.2572 110.1828) + (xy 118.63455 110.1828) (xy 118.5774 110.23995) (xy 118.576294 110.809) (xy 110.126743 110.809) (xy 110.102714 110.764047) + (xy 110.055493 110.706507) (xy 109.997953 110.659286) (xy 109.932307 110.624197) (xy 109.861077 110.60259) (xy 109.787 110.595294) + (xy 108.037 110.595294) (xy 107.962923 110.60259) (xy 107.891693 110.624197) (xy 107.826047 110.659286) (xy 107.768507 110.706507) + (xy 107.721286 110.764047) (xy 107.691271 110.8202) (xy 99.328958 110.8202) (xy 99.314 110.818727) (xy 99.299042 110.8202) + (xy 99.299034 110.8202) (xy 99.254249 110.824611) (xy 99.196794 110.84204) (xy 99.143843 110.870342) (xy 99.097432 110.908432) + (xy 99.087892 110.920056) (xy 98.552749 111.4552) (xy 97.019152 111.4552) (xy 97.037803 111.420307) (xy 97.05941 111.349077) + (xy 97.066706 111.275) (xy 97.066706 110.975) (xy 97.05941 110.900923) (xy 97.037803 110.829693) (xy 97.019152 110.7948) + (xy 98.664042 110.7948) (xy 98.679 110.796273) (xy 98.693958 110.7948) (xy 98.693966 110.7948) (xy 98.738751 110.790389) + (xy 98.796206 110.77296) (xy 98.849157 110.744658) (xy 98.895568 110.706568) (xy 98.905112 110.694939) (xy 99.440252 110.1598) + (xy 107.691271 110.1598) (xy 107.721286 110.215953) (xy 107.768507 110.273493) (xy 107.826047 110.320714) (xy 107.891693 110.355803) + (xy 107.962923 110.37741) (xy 108.037 110.384706) (xy 109.787 110.384706) (xy 109.861077 110.37741) (xy 109.932307 110.355803) + (xy 109.997953 110.320714) (xy 110.055493 110.273493) (xy 110.102714 110.215953) (xy 110.137803 110.150307) (xy 110.15941 110.079077) + (xy 110.166706 110.005) (xy 110.166706 109.705) (xy 110.15941 109.630923) (xy 110.137803 109.559693) (xy 110.102714 109.494047) + (xy 110.055493 109.436507) (xy 110.021976 109.409) (xy 118.576294 109.409) (xy 118.5774 109.97805) (xy 118.63455 110.0352) + (xy 119.2572 110.0352) (xy 119.2572 109.23755) (xy 119.4048 109.23755) (xy 119.4048 110.0352) (xy 120.02745 110.0352) + (xy 120.0846 109.97805) (xy 120.085195 109.6715) (xy 120.276294 109.6715) (xy 120.276294 110.5465) (xy 120.285752 110.642525) + (xy 120.313761 110.734859) (xy 120.359246 110.819955) (xy 120.420458 110.894542) (xy 120.421401 110.895316) (xy 120.421401 111.221561) + (xy 120.4024 111.317088) (xy 120.4024 111.440912) (xy 120.426556 111.562356) (xy 120.473941 111.676754) (xy 120.542734 111.779709) + (xy 120.548401 111.785376) (xy 120.548401 112.410637) (xy 120.530197 112.444693) (xy 120.50859 112.515923) (xy 120.501294 112.59) + (xy 120.501294 113.765) (xy 120.50859 113.839077) (xy 120.530197 113.910307) (xy 120.548401 113.944363) (xy 120.548401 114.147624) + (xy 120.542734 114.153291) (xy 120.473941 114.256246) (xy 120.426556 114.370644) (xy 120.4024 114.492088) (xy 120.4024 114.615912) + (xy 120.426556 114.737356) (xy 120.473941 114.851754) (xy 120.542734 114.954709) (xy 120.630291 115.042266) (xy 120.733246 115.111059) + (xy 120.847644 115.158444) (xy 120.969088 115.1826) (xy 121.092912 115.1826) (xy 121.214356 115.158444) (xy 121.328754 115.111059) + (xy 121.431709 115.042266) (xy 121.519266 114.954709) (xy 121.588059 114.851754) (xy 121.615709 114.785) (xy 124.356294 114.785) + (xy 124.356294 115.085) (xy 124.36359 115.159077) (xy 124.385197 115.230307) (xy 124.420286 115.295953) (xy 124.467507 115.353493) + (xy 124.525047 115.400714) (xy 124.590693 115.435803) (xy 124.661923 115.45741) (xy 124.736 115.464706) (xy 125.911 115.464706) + (xy 125.985077 115.45741) (xy 126.056307 115.435803) (xy 126.121953 115.400714) (xy 126.179493 115.353493) (xy 126.226714 115.295953) + (xy 126.261803 115.230307) (xy 126.28341 115.159077) (xy 126.290706 115.085) (xy 126.290706 114.785) (xy 126.28341 114.710923) + (xy 126.261803 114.639693) (xy 126.226714 114.574047) (xy 126.179493 114.516507) (xy 126.121953 114.469286) (xy 126.056307 114.434197) + (xy 125.985077 114.41259) (xy 125.911 114.405294) (xy 124.736 114.405294) (xy 124.661923 114.41259) (xy 124.590693 114.434197) + (xy 124.525047 114.469286) (xy 124.467507 114.516507) (xy 124.420286 114.574047) (xy 124.385197 114.639693) (xy 124.36359 114.710923) + (xy 124.356294 114.785) (xy 121.615709 114.785) (xy 121.635444 114.737356) (xy 121.6596 114.615912) (xy 121.6596 114.492088) + (xy 121.635444 114.370644) (xy 121.588059 114.256246) (xy 121.519266 114.153291) (xy 121.5136 114.147625) (xy 121.5136 113.944362) + (xy 121.531803 113.910307) (xy 121.55341 113.839077) (xy 121.560706 113.765) (xy 121.560706 112.59) (xy 121.771294 112.59) + (xy 121.771294 113.765) (xy 121.77859 113.839077) (xy 121.800197 113.910307) (xy 121.835286 113.975953) (xy 121.882507 114.033493) + (xy 121.940047 114.080714) (xy 122.005693 114.115803) (xy 122.076923 114.13741) (xy 122.151 114.144706) (xy 122.451 114.144706) + (xy 122.525077 114.13741) (xy 122.596307 114.115803) (xy 122.661953 114.080714) (xy 122.719493 114.033493) (xy 122.766714 113.975953) + (xy 122.801803 113.910307) (xy 122.82341 113.839077) (xy 122.830706 113.765) (xy 122.830706 112.59) (xy 123.041294 112.59) + (xy 123.041294 113.765) (xy 123.04859 113.839077) (xy 123.070197 113.910307) (xy 123.105286 113.975953) (xy 123.152507 114.033493) + (xy 123.210047 114.080714) (xy 123.275693 114.115803) (xy 123.346923 114.13741) (xy 123.421 114.144706) (xy 123.721 114.144706) + (xy 123.795077 114.13741) (xy 123.866307 114.115803) (xy 123.931953 114.080714) (xy 123.989493 114.033493) (xy 124.036714 113.975953) + (xy 124.071803 113.910307) (xy 124.09341 113.839077) (xy 124.100706 113.765) (xy 124.100706 112.59) (xy 124.09341 112.515923) + (xy 124.071803 112.444693) (xy 124.036714 112.379047) (xy 123.989493 112.321507) (xy 123.931953 112.274286) (xy 123.866307 112.239197) + (xy 123.795077 112.21759) (xy 123.721 112.210294) (xy 123.421 112.210294) (xy 123.346923 112.21759) (xy 123.275693 112.239197) + (xy 123.210047 112.274286) (xy 123.152507 112.321507) (xy 123.105286 112.379047) (xy 123.070197 112.444693) (xy 123.04859 112.515923) + (xy 123.041294 112.59) (xy 122.830706 112.59) (xy 122.82341 112.515923) (xy 122.801803 112.444693) (xy 122.766714 112.379047) + (xy 122.719493 112.321507) (xy 122.661953 112.274286) (xy 122.6058 112.244271) (xy 122.6058 112.140251) (xy 122.808252 111.9378) + (xy 124.460749 111.9378) (xy 128.932891 116.409943) (xy 128.942432 116.421568) (xy 128.988843 116.459658) (xy 129.041794 116.48796) + (xy 129.081819 116.500102) (xy 129.099248 116.505389) (xy 129.104889 116.505945) (xy 129.144034 116.5098) (xy 129.144041 116.5098) + (xy 129.158999 116.511273) (xy 129.173957 116.5098) (xy 129.450271 116.5098) (xy 129.480286 116.565953) (xy 129.527507 116.623493) + (xy 129.585047 116.670714) (xy 129.650693 116.705803) (xy 129.721923 116.72741) (xy 129.796 116.734706) (xy 131.446 116.734706) + (xy 131.520077 116.72741) (xy 131.591307 116.705803) (xy 131.656953 116.670714) (xy 131.714493 116.623493) (xy 131.761714 116.565953) + (xy 131.796803 116.500307) (xy 131.81841 116.429077) (xy 131.825706 116.355) (xy 131.825706 116.055) (xy 131.81841 115.980923) + (xy 131.796803 115.909693) (xy 131.761714 115.844047) (xy 131.714493 115.786507) (xy 131.656953 115.739286) (xy 131.591307 115.704197) + (xy 131.520077 115.68259) (xy 131.446 115.675294) (xy 129.796 115.675294) (xy 129.721923 115.68259) (xy 129.650693 115.704197) + (xy 129.585047 115.739286) (xy 129.527507 115.786507) (xy 129.480286 115.844047) (xy 129.450271 115.9002) (xy 129.285252 115.9002) + (xy 128.620052 115.235) (xy 129.416294 115.235) (xy 129.420708 115.279813) (xy 129.433779 115.322905) (xy 129.455006 115.362618) + (xy 129.483573 115.397427) (xy 129.518382 115.425994) (xy 129.558095 115.447221) (xy 129.601187 115.460292) (xy 129.646 115.464706) + (xy 130.49005 115.4636) (xy 130.5472 115.40645) (xy 130.5472 115.0088) (xy 130.6948 115.0088) (xy 130.6948 115.40645) + (xy 130.75195 115.4636) (xy 131.596 115.464706) (xy 131.640813 115.460292) (xy 131.683905 115.447221) (xy 131.723618 115.425994) + (xy 131.758427 115.397427) (xy 131.786994 115.362618) (xy 131.808221 115.322905) (xy 131.821292 115.279813) (xy 131.825706 115.235) + (xy 131.8246 115.06595) (xy 131.76745 115.0088) (xy 130.6948 115.0088) (xy 130.5472 115.0088) (xy 129.47455 115.0088) + (xy 129.4174 115.06595) (xy 129.416294 115.235) (xy 128.620052 115.235) (xy 128.020052 114.635) (xy 129.416294 114.635) + (xy 129.4174 114.80405) (xy 129.47455 114.8612) (xy 130.5472 114.8612) (xy 130.5472 114.46355) (xy 130.6948 114.46355) + (xy 130.6948 114.8612) (xy 131.76745 114.8612) (xy 131.8246 114.80405) (xy 131.825706 114.635) (xy 131.821292 114.590187) + (xy 131.808221 114.547095) (xy 131.786994 114.507382) (xy 131.758427 114.472573) (xy 131.723618 114.444006) (xy 131.683905 114.422779) + (xy 131.640813 114.409708) (xy 131.596 114.405294) (xy 130.75195 114.4064) (xy 130.6948 114.46355) (xy 130.5472 114.46355) + (xy 130.49005 114.4064) (xy 129.646 114.405294) (xy 129.601187 114.409708) (xy 129.558095 114.422779) (xy 129.518382 114.444006) + (xy 129.483573 114.472573) (xy 129.455006 114.507382) (xy 129.433779 114.547095) (xy 129.420708 114.590187) (xy 129.416294 114.635) + (xy 128.020052 114.635) (xy 124.813112 111.428061) (xy 124.803568 111.416432) (xy 124.757157 111.378342) (xy 124.704206 111.35004) + (xy 124.646751 111.332611) (xy 124.601966 111.3282) (xy 124.601958 111.3282) (xy 124.587 111.326727) (xy 124.572042 111.3282) + (xy 122.696957 111.3282) (xy 122.681999 111.326727) (xy 122.667041 111.3282) (xy 122.667034 111.3282) (xy 122.627889 111.332055) + (xy 122.622248 111.332611) (xy 122.604819 111.337898) (xy 122.564794 111.35004) (xy 122.511843 111.378342) (xy 122.465432 111.416432) + (xy 122.455892 111.428056) (xy 122.096061 111.787888) (xy 122.084432 111.797432) (xy 122.046342 111.843844) (xy 122.025007 111.883761) + (xy 122.01804 111.896795) (xy 122.00792 111.930157) (xy 122.000611 111.95425) (xy 121.9962 111.999035) (xy 121.9962 111.999042) + (xy 121.994727 112.014) (xy 121.9962 112.028958) (xy 121.9962 112.244271) (xy 121.940047 112.274286) (xy 121.882507 112.321507) + (xy 121.835286 112.379047) (xy 121.800197 112.444693) (xy 121.77859 112.515923) (xy 121.771294 112.59) (xy 121.560706 112.59) + (xy 121.55341 112.515923) (xy 121.531803 112.444693) (xy 121.5136 112.410638) (xy 121.5136 111.785375) (xy 121.519266 111.779709) + (xy 121.588059 111.676754) (xy 121.635444 111.562356) (xy 121.6596 111.440912) (xy 121.6596 111.317088) (xy 121.6406 111.221566) + (xy 121.6406 110.895315) (xy 121.641542 110.894542) (xy 121.702754 110.819955) (xy 121.748239 110.734859) (xy 121.753171 110.7186) + (xy 122.016566 110.7186) (xy 122.112088 110.7376) (xy 122.235912 110.7376) (xy 122.357356 110.713444) (xy 122.471754 110.666059) + (xy 122.574709 110.597266) (xy 122.662266 110.509709) (xy 122.731059 110.406754) (xy 122.778444 110.292356) (xy 122.8026 110.170912) + (xy 122.8026 110.047088) (xy 122.778444 109.925644) (xy 122.731059 109.811246) (xy 122.662266 109.708291) (xy 122.574709 109.620734) + (xy 122.471754 109.551941) (xy 122.357356 109.504556) (xy 122.235912 109.4804) (xy 122.112088 109.4804) (xy 122.016566 109.4994) + (xy 121.753171 109.4994) (xy 121.748239 109.483141) (xy 121.702754 109.398045) (xy 121.641542 109.323458) (xy 121.6406 109.322685) + (xy 121.6406 108.996434) (xy 121.6596 108.900912) (xy 121.6596 108.777088) (xy 121.635444 108.655644) (xy 121.588059 108.541246) + (xy 121.519266 108.438291) (xy 121.431709 108.350734) (xy 121.328754 108.281941) (xy 121.214356 108.234556) (xy 121.092912 108.2104) + (xy 120.969088 108.2104) (xy 120.847644 108.234556) (xy 120.733246 108.281941) (xy 120.630291 108.350734) (xy 120.542734 108.438291) + (xy 120.473941 108.541246) (xy 120.426556 108.655644) (xy 120.4024 108.777088) (xy 120.4024 108.900912) (xy 120.421401 108.996439) + (xy 120.421401 109.322684) (xy 120.420458 109.323458) (xy 120.359246 109.398045) (xy 120.313761 109.483141) (xy 120.285752 109.575475) + (xy 120.276294 109.6715) (xy 120.085195 109.6715) (xy 120.085706 109.409) (xy 120.081292 109.364187) (xy 120.068221 109.321095) + (xy 120.046994 109.281382) (xy 120.018427 109.246573) (xy 119.983618 109.218006) (xy 119.943905 109.196779) (xy 119.900813 109.183708) + (xy 119.856 109.179294) (xy 119.46195 109.1804) (xy 119.4048 109.23755) (xy 119.2572 109.23755) (xy 119.20005 109.1804) + (xy 118.806 109.179294) (xy 118.761187 109.183708) (xy 118.718095 109.196779) (xy 118.678382 109.218006) (xy 118.643573 109.246573) + (xy 118.615006 109.281382) (xy 118.593779 109.321095) (xy 118.580708 109.364187) (xy 118.576294 109.409) (xy 110.021976 109.409) + (xy 109.997953 109.389286) (xy 109.932307 109.354197) (xy 109.861077 109.33259) (xy 109.787 109.325294) (xy 108.037 109.325294) + (xy 107.962923 109.33259) (xy 107.891693 109.354197) (xy 107.826047 109.389286) (xy 107.768507 109.436507) (xy 107.721286 109.494047) + (xy 107.691271 109.5502) (xy 99.328958 109.5502) (xy 99.314 109.548727) (xy 99.299042 109.5502) (xy 99.299034 109.5502) + (xy 99.254249 109.554611) (xy 99.196794 109.57204) (xy 99.143843 109.600342) (xy 99.097432 109.638432) (xy 99.087892 109.650056) + (xy 98.552749 110.1852) (xy 97.019152 110.1852) (xy 97.037803 110.150307) (xy 97.05941 110.079077) (xy 97.066706 110.005) + (xy 97.066706 109.705) (xy 97.05941 109.630923) (xy 97.037803 109.559693) (xy 97.002714 109.494047) (xy 96.955493 109.436507) + (xy 96.897953 109.389286) (xy 96.832307 109.354197) (xy 96.761077 109.33259) (xy 96.687 109.325294) (xy 94.937 109.325294) + (xy 94.862923 109.33259) (xy 94.791693 109.354197) (xy 94.726047 109.389286) (xy 94.668507 109.436507) (xy 94.621286 109.494047) + (xy 94.591271 109.5502) (xy 92.357729 109.5502) (xy 92.344152 109.5248) (xy 93.028417 109.5248) (xy 93.043375 109.526273) + (xy 93.058333 109.5248) (xy 93.058341 109.5248) (xy 93.103126 109.520389) (xy 93.160581 109.50296) (xy 93.213532 109.474658) + (xy 93.259943 109.436568) (xy 93.269487 109.424939) (xy 93.804627 108.8898) (xy 94.591271 108.8898) (xy 94.621286 108.945953) + (xy 94.668507 109.003493) (xy 94.726047 109.050714) (xy 94.791693 109.085803) (xy 94.862923 109.10741) (xy 94.937 109.114706) + (xy 96.687 109.114706) (xy 96.761077 109.10741) (xy 96.832307 109.085803) (xy 96.897953 109.050714) (xy 96.955493 109.003493) + (xy 97.002714 108.945953) (xy 97.035294 108.885) (xy 107.657294 108.885) (xy 107.661708 108.929813) (xy 107.674779 108.972905) + (xy 107.696006 109.012618) (xy 107.724573 109.047427) (xy 107.759382 109.075994) (xy 107.799095 109.097221) (xy 107.842187 109.110292) + (xy 107.887 109.114706) (xy 108.78105 109.1136) (xy 108.8382 109.05645) (xy 108.8382 108.6588) (xy 108.9858 108.6588) + (xy 108.9858 109.05645) (xy 109.04295 109.1136) (xy 109.937 109.114706) (xy 109.981813 109.110292) (xy 110.024905 109.097221) + (xy 110.064618 109.075994) (xy 110.099427 109.047427) (xy 110.127994 109.012618) (xy 110.149221 108.972905) (xy 110.162292 108.929813) + (xy 110.166706 108.885) (xy 110.1656 108.71595) (xy 110.10845 108.6588) (xy 108.9858 108.6588) (xy 108.8382 108.6588) + (xy 107.71555 108.6588) (xy 107.6584 108.71595) (xy 107.657294 108.885) (xy 97.035294 108.885) (xy 97.037803 108.880307) + (xy 97.05941 108.809077) (xy 97.066706 108.735) (xy 97.066706 108.435) (xy 97.05941 108.360923) (xy 97.037803 108.289693) + (xy 97.035295 108.285) (xy 107.657294 108.285) (xy 107.6584 108.45405) (xy 107.71555 108.5112) (xy 108.8382 108.5112) + (xy 108.8382 108.11355) (xy 108.9858 108.11355) (xy 108.9858 108.5112) (xy 110.10845 108.5112) (xy 110.1656 108.45405) + (xy 110.166706 108.285) (xy 110.162292 108.240187) (xy 110.149221 108.197095) (xy 110.127994 108.157382) (xy 110.099427 108.122573) + (xy 110.064618 108.094006) (xy 110.024905 108.072779) (xy 109.981813 108.059708) (xy 109.937 108.055294) (xy 109.04295 108.0564) + (xy 108.9858 108.11355) (xy 108.8382 108.11355) (xy 108.78105 108.0564) (xy 107.887 108.055294) (xy 107.842187 108.059708) + (xy 107.799095 108.072779) (xy 107.759382 108.094006) (xy 107.724573 108.122573) (xy 107.696006 108.157382) (xy 107.674779 108.197095) + (xy 107.661708 108.240187) (xy 107.657294 108.285) (xy 97.035295 108.285) (xy 97.002714 108.224047) (xy 96.955493 108.166507) + (xy 96.897953 108.119286) (xy 96.832307 108.084197) (xy 96.761077 108.06259) (xy 96.687 108.055294) (xy 94.937 108.055294) + (xy 94.862923 108.06259) (xy 94.791693 108.084197) (xy 94.726047 108.119286) (xy 94.668507 108.166507) (xy 94.621286 108.224047) + (xy 94.591271 108.2802) (xy 93.693333 108.2802) (xy 93.678375 108.278727) (xy 93.663417 108.2802) (xy 93.663409 108.2802) + (xy 93.618624 108.284611) (xy 93.561169 108.30204) (xy 93.508218 108.330342) (xy 93.461807 108.368432) (xy 93.452267 108.380056) + (xy 92.917124 108.9152) (xy 92.388731 108.9152) (xy 92.391706 108.885) (xy 92.3906 108.71595) (xy 92.33345 108.6588) + (xy 91.2108 108.6588) (xy 91.2108 108.6788) (xy 91.0632 108.6788) (xy 91.0632 108.6588) (xy 89.94055 108.6588) + (xy 89.8834 108.71595) (xy 89.882294 108.885) (xy 89.885269 108.9152) (xy 89.803957 108.9152) (xy 89.788999 108.913727) + (xy 89.774041 108.9152) (xy 89.774034 108.9152) (xy 89.734889 108.919055) (xy 89.729248 108.919611) (xy 89.711819 108.924898) + (xy 89.671794 108.93704) (xy 89.618843 108.965342) (xy 89.572432 109.003432) (xy 89.562892 109.015056) (xy 87.171061 111.406888) + (xy 87.159432 111.416432) (xy 87.121342 111.462844) (xy 87.106515 111.490585) (xy 87.09304 111.515795) (xy 87.078916 111.562356) + (xy 87.075611 111.57325) (xy 87.0712 111.618035) (xy 87.0712 111.618042) (xy 87.069727 111.633) (xy 87.0712 111.647958) + (xy 87.071201 116.317032) (xy 87.069727 116.332) (xy 87.075611 116.391751) (xy 87.09304 116.449205) (xy 87.103511 116.468795) + (xy 87.121343 116.502157) (xy 87.159433 116.548568) (xy 87.171057 116.558108) (xy 89.562891 118.949943) (xy 89.572432 118.961568) + (xy 89.618843 118.999658) (xy 89.671794 119.02796) (xy 89.711819 119.040102) (xy 89.729248 119.045389) (xy 89.734889 119.045945) + (xy 89.774034 119.0498) (xy 89.774041 119.0498) (xy 89.788999 119.051273) (xy 89.803957 119.0498) (xy 89.916271 119.0498) + (xy 89.929848 119.0752) (xy 88.772252 119.0752) (xy 88.237112 118.540061) (xy 88.227568 118.528432) (xy 88.215944 118.518892) + (xy 87.983112 118.286061) (xy 87.973568 118.274432) (xy 87.961944 118.264892) (xy 86.5378 116.840749) (xy 86.5378 110.997251) + (xy 89.250051 108.285) (xy 89.882294 108.285) (xy 89.8834 108.45405) (xy 89.94055 108.5112) (xy 91.0632 108.5112) + (xy 91.0632 108.11355) (xy 91.2108 108.11355) (xy 91.2108 108.5112) (xy 92.33345 108.5112) (xy 92.3906 108.45405) + (xy 92.391706 108.285) (xy 92.387292 108.240187) (xy 92.374221 108.197095) (xy 92.352994 108.157382) (xy 92.324427 108.122573) + (xy 92.289618 108.094006) (xy 92.249905 108.072779) (xy 92.206813 108.059708) (xy 92.162 108.055294) (xy 91.26795 108.0564) + (xy 91.2108 108.11355) (xy 91.0632 108.11355) (xy 91.00605 108.0564) (xy 90.112 108.055294) (xy 90.067187 108.059708) + (xy 90.024095 108.072779) (xy 89.984382 108.094006) (xy 89.949573 108.122573) (xy 89.921006 108.157382) (xy 89.899779 108.197095) + (xy 89.886708 108.240187) (xy 89.882294 108.285) (xy 89.250051 108.285) (xy 90.169252 107.3658) (xy 125.222749 107.3658) + (xy 132.664201 114.807253) (xy 132.6642 116.459748) (xy 131.953749 117.1702) (xy 131.791729 117.1702) (xy 131.761714 117.114047) + (xy 131.714493 117.056507) (xy 131.656953 117.009286) (xy 131.591307 116.974197) (xy 131.520077 116.95259) (xy 131.446 116.945294) + (xy 129.796 116.945294) (xy 129.721923 116.95259) (xy 129.650693 116.974197) (xy 129.585047 117.009286) (xy 129.527507 117.056507) + (xy 129.480286 117.114047) (xy 129.445197 117.179693) (xy 129.42359 117.250923) (xy 129.416294 117.325) (xy 129.416294 117.625) + (xy 129.42359 117.699077) (xy 129.445197 117.770307) (xy 129.480286 117.835953) (xy 129.527507 117.893493) (xy 129.585047 117.940714) + (xy 129.650693 117.975803) (xy 129.721923 117.99741) (xy 129.796 118.004706) (xy 131.446 118.004706) (xy 131.520077 117.99741) + (xy 131.591307 117.975803) (xy 131.656953 117.940714) (xy 131.714493 117.893493) (xy 131.761714 117.835953) (xy 131.791729 117.7798) + (xy 132.065042 117.7798) (xy 132.08 117.781273) (xy 132.094958 117.7798) (xy 132.094966 117.7798) (xy 132.139751 117.775389) + (xy 132.197206 117.75796) (xy 132.250157 117.729658) (xy 132.296568 117.691568) (xy 132.306112 117.679939) (xy 133.173945 116.812107) + (xy 133.185568 116.802568) (xy 133.223658 116.756157) (xy 133.25196 116.703206) (xy 133.257774 116.68404) (xy 133.269389 116.645752) + (xy 133.270125 116.638274) (xy 133.2738 116.600966) (xy 133.2738 116.600958) (xy 133.275273 116.586) (xy 133.2738 116.571042) + (xy 133.2738 116.505) (xy 134.366294 116.505) (xy 134.370708 116.549813) (xy 134.383779 116.592905) (xy 134.405006 116.632618) + (xy 134.433573 116.667427) (xy 134.468382 116.695994) (xy 134.508095 116.717221) (xy 134.551187 116.730292) (xy 134.596 116.734706) + (xy 135.44005 116.7336) (xy 135.4972 116.67645) (xy 135.4972 116.2788) (xy 135.6448 116.2788) (xy 135.6448 116.67645) + (xy 135.70195 116.7336) (xy 136.546 116.734706) (xy 136.590813 116.730292) (xy 136.633905 116.717221) (xy 136.673618 116.695994) + (xy 136.708427 116.667427) (xy 136.736994 116.632618) (xy 136.758221 116.592905) (xy 136.771292 116.549813) (xy 136.775706 116.505) + (xy 136.7746 116.33595) (xy 136.71745 116.2788) (xy 135.6448 116.2788) (xy 135.4972 116.2788) (xy 134.42455 116.2788) + (xy 134.3674 116.33595) (xy 134.366294 116.505) (xy 133.2738 116.505) (xy 133.2738 115.905) (xy 134.366294 115.905) + (xy 134.3674 116.07405) (xy 134.42455 116.1312) (xy 135.4972 116.1312) (xy 135.4972 115.73355) (xy 135.6448 115.73355) + (xy 135.6448 116.1312) (xy 136.71745 116.1312) (xy 136.7746 116.07405) (xy 136.775706 115.905) (xy 136.771292 115.860187) + (xy 136.758221 115.817095) (xy 136.736994 115.777382) (xy 136.708427 115.742573) (xy 136.673618 115.714006) (xy 136.633905 115.692779) + (xy 136.590813 115.679708) (xy 136.546 115.675294) (xy 135.70195 115.6764) (xy 135.6448 115.73355) (xy 135.4972 115.73355) + (xy 135.44005 115.6764) (xy 134.596 115.675294) (xy 134.551187 115.679708) (xy 134.508095 115.692779) (xy 134.468382 115.714006) + (xy 134.433573 115.742573) (xy 134.405006 115.777382) (xy 134.383779 115.817095) (xy 134.370708 115.860187) (xy 134.366294 115.905) + (xy 133.2738 115.905) (xy 133.2738 114.873088) (xy 133.3564 114.873088) (xy 133.3564 114.996912) (xy 133.380556 115.118356) + (xy 133.427941 115.232754) (xy 133.496734 115.335709) (xy 133.584291 115.423266) (xy 133.687246 115.492059) (xy 133.801644 115.539444) + (xy 133.923088 115.5636) (xy 134.046912 115.5636) (xy 134.168356 115.539444) (xy 134.282754 115.492059) (xy 134.385709 115.423266) + (xy 134.391375 115.4176) (xy 134.566638 115.4176) (xy 134.600693 115.435803) (xy 134.671923 115.45741) (xy 134.746 115.464706) + (xy 136.396 115.464706) (xy 136.470077 115.45741) (xy 136.541307 115.435803) (xy 136.575362 115.4176) (xy 136.753625 115.4176) + (xy 136.759291 115.423266) (xy 136.862246 115.492059) (xy 136.976644 115.539444) (xy 137.098088 115.5636) (xy 137.221912 115.5636) + (xy 137.343356 115.539444) (xy 137.457754 115.492059) (xy 137.560709 115.423266) (xy 137.648266 115.335709) (xy 137.717059 115.232754) + (xy 137.764444 115.118356) (xy 137.7886 114.996912) (xy 137.7886 114.873088) (xy 137.764444 114.751644) (xy 137.717059 114.637246) + (xy 137.648266 114.534291) (xy 137.560709 114.446734) (xy 137.457754 114.377941) (xy 137.343356 114.330556) (xy 137.221912 114.3064) + (xy 137.098088 114.3064) (xy 136.976644 114.330556) (xy 136.862246 114.377941) (xy 136.759291 114.446734) (xy 136.753625 114.4524) + (xy 136.575362 114.4524) (xy 136.541307 114.434197) (xy 136.470077 114.41259) (xy 136.396 114.405294) (xy 136.088439 114.405294) + (xy 136.129559 114.343754) (xy 136.176944 114.229356) (xy 136.2011 114.107912) (xy 136.2011 113.984088) (xy 136.1821 113.888566) + (xy 136.1821 113.562315) (xy 136.183042 113.561542) (xy 136.244254 113.486955) (xy 136.289739 113.401859) (xy 136.294671 113.3856) + (xy 136.494566 113.3856) (xy 136.590088 113.4046) (xy 136.713912 113.4046) (xy 136.835356 113.380444) (xy 136.949754 113.333059) + (xy 137.052709 113.264266) (xy 137.140266 113.176709) (xy 137.209059 113.073754) (xy 137.256444 112.959356) (xy 137.2806 112.837912) + (xy 137.2806 112.714088) (xy 137.256444 112.592644) (xy 137.209059 112.478246) (xy 137.140266 112.375291) (xy 137.052709 112.287734) + (xy 136.949754 112.218941) (xy 136.835356 112.171556) (xy 136.713912 112.1474) (xy 136.590088 112.1474) (xy 136.494566 112.1664) + (xy 136.294671 112.1664) (xy 136.289739 112.150141) (xy 136.244254 112.065045) (xy 136.183042 111.990458) (xy 136.1821 111.989685) + (xy 136.1821 111.663434) (xy 136.2011 111.567912) (xy 136.2011 111.444088) (xy 136.176944 111.322644) (xy 136.129559 111.208246) + (xy 136.060766 111.105291) (xy 135.973209 111.017734) (xy 135.870254 110.948941) (xy 135.755856 110.901556) (xy 135.634412 110.8774) + (xy 135.510588 110.8774) (xy 135.389144 110.901556) (xy 135.274746 110.948941) (xy 135.171791 111.017734) (xy 135.084234 111.105291) + (xy 135.015441 111.208246) (xy 134.968056 111.322644) (xy 134.9439 111.444088) (xy 134.9439 111.567912) (xy 134.962901 111.663439) + (xy 134.962901 111.989684) (xy 134.961958 111.990458) (xy 134.900746 112.065045) (xy 134.855261 112.150141) (xy 134.827252 112.242475) + (xy 134.817794 112.3385) (xy 134.817794 113.2135) (xy 134.827252 113.309525) (xy 134.855261 113.401859) (xy 134.900746 113.486955) + (xy 134.961958 113.561542) (xy 134.9629 113.562315) (xy 134.9629 113.888565) (xy 134.9439 113.984088) (xy 134.9439 114.107912) + (xy 134.968056 114.229356) (xy 135.015441 114.343754) (xy 135.056561 114.405294) (xy 134.746 114.405294) (xy 134.671923 114.41259) + (xy 134.600693 114.434197) (xy 134.566638 114.4524) (xy 134.391375 114.4524) (xy 134.385709 114.446734) (xy 134.282754 114.377941) + (xy 134.168356 114.330556) (xy 134.046912 114.3064) (xy 133.923088 114.3064) (xy 133.801644 114.330556) (xy 133.687246 114.377941) + (xy 133.584291 114.446734) (xy 133.496734 114.534291) (xy 133.427941 114.637246) (xy 133.380556 114.751644) (xy 133.3564 114.873088) + (xy 133.2738 114.873088) (xy 133.2738 114.695957) (xy 133.275273 114.680999) (xy 133.2738 114.666041) (xy 133.2738 114.666034) + (xy 133.269389 114.621249) (xy 133.25196 114.563794) (xy 133.223658 114.510843) (xy 133.185568 114.464432) (xy 133.173944 114.454892) + (xy 132.195052 113.476) (xy 133.117794 113.476) (xy 133.122208 113.520813) (xy 133.135279 113.563905) (xy 133.156506 113.603618) + (xy 133.185073 113.638427) (xy 133.219882 113.666994) (xy 133.259595 113.688221) (xy 133.302687 113.701292) (xy 133.3475 113.705706) + (xy 133.74155 113.7046) (xy 133.7987 113.64745) (xy 133.7987 112.8498) (xy 133.9463 112.8498) (xy 133.9463 113.64745) + (xy 134.00345 113.7046) (xy 134.3975 113.705706) (xy 134.442313 113.701292) (xy 134.485405 113.688221) (xy 134.525118 113.666994) + (xy 134.559927 113.638427) (xy 134.588494 113.603618) (xy 134.609721 113.563905) (xy 134.622792 113.520813) (xy 134.627206 113.476) + (xy 134.6261 112.90695) (xy 134.56895 112.8498) (xy 133.9463 112.8498) (xy 133.7987 112.8498) (xy 133.17605 112.8498) + (xy 133.1189 112.90695) (xy 133.117794 113.476) (xy 132.195052 113.476) (xy 130.795052 112.076) (xy 133.117794 112.076) + (xy 133.1189 112.64505) (xy 133.17605 112.7022) (xy 133.7987 112.7022) (xy 133.7987 111.90455) (xy 133.9463 111.90455) + (xy 133.9463 112.7022) (xy 134.56895 112.7022) (xy 134.6261 112.64505) (xy 134.627206 112.076) (xy 134.622792 112.031187) + (xy 134.609721 111.988095) (xy 134.588494 111.948382) (xy 134.559927 111.913573) (xy 134.525118 111.885006) (xy 134.485405 111.863779) + (xy 134.442313 111.850708) (xy 134.3975 111.846294) (xy 134.00345 111.8474) (xy 133.9463 111.90455) (xy 133.7987 111.90455) + (xy 133.74155 111.8474) (xy 133.3475 111.846294) (xy 133.302687 111.850708) (xy 133.259595 111.863779) (xy 133.219882 111.885006) + (xy 133.185073 111.913573) (xy 133.156506 111.948382) (xy 133.135279 111.988095) (xy 133.122208 112.031187) (xy 133.117794 112.076) + (xy 130.795052 112.076) (xy 125.575112 106.856061) (xy 125.565568 106.844432) (xy 125.519157 106.806342) (xy 125.466206 106.77804) + (xy 125.408751 106.760611) (xy 125.363966 106.7562) (xy 125.363958 106.7562) (xy 125.349 106.754727) (xy 125.334042 106.7562) + (xy 90.057958 106.7562) (xy 90.043 106.754727) (xy 90.028042 106.7562) (xy 90.028034 106.7562) (xy 89.983249 106.760611) + (xy 89.925794 106.77804) (xy 89.872843 106.806342) (xy 89.826432 106.844432) (xy 89.816892 106.856056) (xy 86.028061 110.644888) + (xy 86.016432 110.654432) (xy 85.978342 110.700844) (xy 85.958696 110.7376) (xy 85.95004 110.753795) (xy 85.933294 110.809) + (xy 85.932611 110.81125) (xy 85.9282 110.856035) (xy 85.9282 110.856042) (xy 85.926727 110.871) (xy 85.9282 110.885958) + (xy 85.928201 116.952032) (xy 85.926727 116.967) (xy 85.932611 117.026751) (xy 85.95004 117.084205) (xy 85.950041 117.084206) + (xy 85.978343 117.137157) (xy 86.016433 117.183568) (xy 86.028057 117.193108) (xy 87.275148 118.4402) (xy 83.057729 118.4402) + (xy 83.027714 118.384047) (xy 82.980493 118.326507) (xy 82.922953 118.279286) (xy 82.857307 118.244197) (xy 82.786077 118.22259) + (xy 82.712 118.215294) (xy 80.962 118.215294) (xy 80.887923 118.22259) (xy 80.816693 118.244197) (xy 80.751047 118.279286) + (xy 80.693507 118.326507) (xy 80.646286 118.384047) (xy 80.611197 118.449693) (xy 80.58959 118.520923) (xy 80.582294 118.595) + (xy 80.582294 118.895) (xy 80.58959 118.969077) (xy 80.611197 119.040307) (xy 80.646286 119.105953) (xy 80.693507 119.163493) + (xy 80.751047 119.210714) (xy 80.816693 119.245803) (xy 80.887923 119.26741) (xy 80.962 119.274706) (xy 82.712 119.274706) + (xy 82.786077 119.26741) (xy 82.857307 119.245803) (xy 82.922953 119.210714) (xy 82.980493 119.163493) (xy 83.027714 119.105953) + (xy 83.057729 119.0498) (xy 87.615042 119.0498) (xy 87.63 119.051273) (xy 87.644958 119.0498) (xy 87.869041 119.0498) + (xy 87.883999 119.051273) (xy 87.886023 119.051074) (xy 88.419892 119.584944) (xy 88.429432 119.596568) (xy 88.475843 119.634658) + (xy 88.528794 119.66296) (xy 88.586249 119.680389) (xy 88.631034 119.6848) (xy 88.631042 119.6848) (xy 88.646 119.686273) + (xy 88.660958 119.6848) (xy 89.929848 119.6848) (xy 89.911197 119.719693) (xy 89.88959 119.790923) (xy 89.882294 119.865) + (xy 89.882294 120.165) (xy 89.88959 120.239077) (xy 89.911197 120.310307) (xy 89.946286 120.375953) (xy 89.993507 120.433493) + (xy 90.051047 120.480714) (xy 90.116693 120.515803) (xy 90.187923 120.53741) (xy 90.262 120.544706) (xy 92.012 120.544706) + (xy 92.086077 120.53741) (xy 92.157307 120.515803) (xy 92.222953 120.480714) (xy 92.280493 120.433493) (xy 92.327714 120.375953) + (xy 92.357729 120.3198) (xy 92.568042 120.3198) (xy 92.583 120.321273) (xy 92.597958 120.3198) (xy 92.597966 120.3198) + (xy 92.642751 120.315389) (xy 92.700206 120.29796) (xy 92.753157 120.269658) (xy 92.799568 120.231568) (xy 92.809112 120.219939) + (xy 93.549944 119.479108) (xy 93.561568 119.469568) (xy 93.599658 119.423157) (xy 93.62796 119.370206) (xy 93.636344 119.342568) + (xy 93.645389 119.312752) (xy 93.647023 119.296156) (xy 93.6498 119.267966) (xy 93.6498 119.267958) (xy 93.651273 119.253) + (xy 93.6498 119.238042) (xy 93.6498 118.490251) (xy 93.788752 118.3513) (xy 93.920567 118.3513) (xy 93.936843 118.364658) + (xy 93.989794 118.39296) (xy 94.047249 118.410389) (xy 94.092034 118.4148) (xy 94.092042 118.4148) (xy 94.107 118.416273) + (xy 94.121958 118.4148) (xy 94.604848 118.4148) (xy 94.591271 118.4402) (xy 94.502957 118.4402) (xy 94.487999 118.438727) + (xy 94.473041 118.4402) (xy 94.473034 118.4402) (xy 94.433889 118.444055) (xy 94.428248 118.444611) (xy 94.417591 118.447844) + (xy 94.370794 118.46204) (xy 94.317843 118.490342) (xy 94.271432 118.528432) (xy 94.261892 118.540056) (xy 93.902061 118.899888) + (xy 93.890432 118.909432) (xy 93.852342 118.955844) (xy 93.831207 118.995386) (xy 93.82404 119.008795) (xy 93.806611 119.066249) + (xy 93.806271 119.069706) (xy 93.8022 119.111035) (xy 93.8022 119.111042) (xy 93.800727 119.126) (xy 93.8022 119.140958) + (xy 93.8022 119.253748) (xy 93.584561 119.471388) (xy 93.572932 119.480932) (xy 93.534842 119.527344) (xy 93.513658 119.566978) + (xy 93.50654 119.580295) (xy 93.49871 119.606108) (xy 93.489111 119.63775) (xy 93.4847 119.682535) (xy 93.4847 119.682542) + (xy 93.483227 119.6975) (xy 93.4847 119.712458) (xy 93.484701 121.148983) (xy 85.017485 129.6162) (xy 80.151957 129.6162) + (xy 80.136999 129.614727) (xy 80.122041 129.6162) (xy 80.122034 129.6162) (xy 80.085331 129.619815) (xy 80.077248 129.620611) + (xy 80.066591 129.623844) (xy 80.019794 129.63804) (xy 79.966843 129.666342) (xy 79.920432 129.704432) (xy 79.910892 129.716056) + (xy 78.535061 131.091888) (xy 78.523432 131.101432) (xy 78.485342 131.147844) (xy 78.457041 131.200794) (xy 78.45704 131.200795) + (xy 78.441813 131.250992) (xy 78.439611 131.25825) (xy 78.4352 131.303035) (xy 78.4352 131.303042) (xy 78.433727 131.318) + (xy 78.4352 131.332958) (xy 78.4352 131.382294) (xy 78.359 131.382294) (xy 78.239857 131.394029) (xy 78.125293 131.428781) + (xy 78.01971 131.485217) (xy 78.006815 131.4958) (xy 76.933185 131.4958) (xy 76.92029 131.485217) (xy 76.814707 131.428781) + (xy 76.700143 131.394029) (xy 76.581 131.382294) (xy 75.819 131.382294) (xy 75.699857 131.394029) (xy 75.585293 131.428781) + (xy 75.47971 131.485217) (xy 75.466815 131.4958) (xy 70.365544 131.4958) (xy 69.747951 131.372281) (xy 69.26014 131.128376) + (xy 68.769624 130.63786) (xy 68.525719 130.150049) (xy 68.4022 129.532456) (xy 68.4022 129.426617) (xy 69.2068 129.426617) + (xy 69.2068 129.653383) (xy 69.25104 129.875793) (xy 69.33782 130.085298) (xy 69.463805 130.273847) (xy 69.624153 130.434195) + (xy 69.812702 130.56018) (xy 70.022207 130.64696) (xy 70.244617 130.6912) (xy 70.471383 130.6912) (xy 70.693793 130.64696) + (xy 70.903298 130.56018) (xy 71.091847 130.434195) (xy 71.252195 130.273847) (xy 71.37818 130.085298) (xy 71.46496 129.875793) + (xy 71.5092 129.653383) (xy 71.5092 129.442434) (xy 75.2094 129.442434) (xy 75.2094 129.637566) (xy 75.247468 129.828947) + (xy 75.322142 130.009225) (xy 75.430551 130.171471) (xy 75.568529 130.309449) (xy 75.730775 130.417858) (xy 75.911053 130.492532) + (xy 76.102434 130.5306) (xy 76.297566 130.5306) (xy 76.488947 130.492532) (xy 76.669225 130.417858) (xy 76.831471 130.309449) + (xy 76.969449 130.171471) (xy 77.077858 130.009225) (xy 77.152532 129.828947) (xy 77.1906 129.637566) (xy 77.1906 129.442434) + (xy 77.152532 129.251053) (xy 77.077858 129.070775) (xy 76.969449 128.908529) (xy 76.831471 128.770551) (xy 76.8096 128.755937) + (xy 76.8096 128.675315) (xy 76.810542 128.674542) (xy 76.871754 128.599955) (xy 76.877609 128.589) (xy 77.145294 128.589) + (xy 77.149708 128.633813) (xy 77.162779 128.676905) (xy 77.184006 128.716618) (xy 77.212573 128.751427) (xy 77.247382 128.779994) + (xy 77.287095 128.801221) (xy 77.330187 128.814292) (xy 77.375 128.818706) (xy 77.76905 128.8176) (xy 77.8262 128.76045) + (xy 77.8262 127.9628) (xy 77.9738 127.9628) (xy 77.9738 128.76045) (xy 78.03095 128.8176) (xy 78.425 128.818706) + (xy 78.469813 128.814292) (xy 78.512905 128.801221) (xy 78.552618 128.779994) (xy 78.587427 128.751427) (xy 78.615994 128.716618) + (xy 78.637221 128.676905) (xy 78.650292 128.633813) (xy 78.654706 128.589) (xy 78.6536 128.01995) (xy 78.59645 127.9628) + (xy 77.9738 127.9628) (xy 77.8262 127.9628) (xy 77.20355 127.9628) (xy 77.1464 128.01995) (xy 77.145294 128.589) + (xy 76.877609 128.589) (xy 76.917239 128.514859) (xy 76.945248 128.422525) (xy 76.954706 128.3265) (xy 76.954706 127.4515) + (xy 76.945248 127.355475) (xy 76.917239 127.263141) (xy 76.87761 127.189) (xy 77.145294 127.189) (xy 77.1464 127.75805) + (xy 77.20355 127.8152) (xy 77.8262 127.8152) (xy 77.8262 127.01755) (xy 77.9738 127.01755) (xy 77.9738 127.8152) + (xy 78.59645 127.8152) (xy 78.6536 127.75805) (xy 78.654706 127.189) (xy 78.650292 127.144187) (xy 78.637221 127.101095) + (xy 78.615994 127.061382) (xy 78.587427 127.026573) (xy 78.552618 126.998006) (xy 78.512905 126.976779) (xy 78.469813 126.963708) + (xy 78.425 126.959294) (xy 78.03095 126.9604) (xy 77.9738 127.01755) (xy 77.8262 127.01755) (xy 77.76905 126.9604) + (xy 77.375 126.959294) (xy 77.330187 126.963708) (xy 77.287095 126.976779) (xy 77.247382 126.998006) (xy 77.212573 127.026573) + (xy 77.184006 127.061382) (xy 77.162779 127.101095) (xy 77.149708 127.144187) (xy 77.145294 127.189) (xy 76.87761 127.189) + (xy 76.871754 127.178045) (xy 76.810542 127.103458) (xy 76.735955 127.042246) (xy 76.650859 126.996761) (xy 76.558525 126.968752) + (xy 76.4625 126.959294) (xy 75.9375 126.959294) (xy 75.841475 126.968752) (xy 75.749141 126.996761) (xy 75.664045 127.042246) + (xy 75.589458 127.103458) (xy 75.528246 127.178045) (xy 75.482761 127.263141) (xy 75.454752 127.355475) (xy 75.445294 127.4515) + (xy 75.445294 128.3265) (xy 75.454752 128.422525) (xy 75.482761 128.514859) (xy 75.528246 128.599955) (xy 75.589458 128.674542) + (xy 75.5904 128.675315) (xy 75.590401 128.755937) (xy 75.568529 128.770551) (xy 75.430551 128.908529) (xy 75.322142 129.070775) + (xy 75.247468 129.251053) (xy 75.2094 129.442434) (xy 71.5092 129.442434) (xy 71.5092 129.426617) (xy 71.46496 129.204207) + (xy 71.37818 128.994702) (xy 71.252195 128.806153) (xy 71.091847 128.645805) (xy 70.903298 128.51982) (xy 70.693793 128.43304) + (xy 70.471383 128.3888) (xy 70.244617 128.3888) (xy 70.022207 128.43304) (xy 69.812702 128.51982) (xy 69.624153 128.645805) + (xy 69.463805 128.806153) (xy 69.33782 128.994702) (xy 69.25104 129.204207) (xy 69.2068 129.426617) (xy 68.4022 129.426617) + (xy 68.4022 119.7525) (xy 77.937294 119.7525) (xy 77.937294 120.2775) (xy 77.946752 120.373525) (xy 77.974761 120.465859) + (xy 78.020246 120.550955) (xy 78.081458 120.625542) (xy 78.156045 120.686754) (xy 78.241141 120.732239) (xy 78.333475 120.760248) + (xy 78.4295 120.769706) (xy 79.3045 120.769706) (xy 79.400525 120.760248) (xy 79.492859 120.732239) (xy 79.577955 120.686754) + (xy 79.652542 120.625542) (xy 79.653315 120.6246) (xy 80.106566 120.6246) (xy 80.202088 120.6436) (xy 80.325912 120.6436) + (xy 80.447356 120.619444) (xy 80.561754 120.572059) (xy 80.664709 120.503266) (xy 80.670375 120.4976) (xy 80.782638 120.4976) + (xy 80.816693 120.515803) (xy 80.887923 120.53741) (xy 80.962 120.544706) (xy 81.335561 120.544706) (xy 81.294441 120.606246) + (xy 81.247056 120.720644) (xy 81.2229 120.842088) (xy 81.2229 120.965912) (xy 81.247056 121.087356) (xy 81.294441 121.201754) + (xy 81.363234 121.304709) (xy 81.450791 121.392266) (xy 81.553746 121.461059) (xy 81.668144 121.508444) (xy 81.789588 121.5326) + (xy 81.913412 121.5326) (xy 82.034856 121.508444) (xy 82.149254 121.461059) (xy 82.252209 121.392266) (xy 82.339766 121.304709) + (xy 82.408559 121.201754) (xy 82.455944 121.087356) (xy 82.4801 120.965912) (xy 82.4801 120.842088) (xy 82.455944 120.720644) + (xy 82.408559 120.606246) (xy 82.367439 120.544706) (xy 82.712 120.544706) (xy 82.786077 120.53741) (xy 82.857307 120.515803) + (xy 82.891362 120.4976) (xy 83.032625 120.4976) (xy 83.038291 120.503266) (xy 83.141246 120.572059) (xy 83.255644 120.619444) + (xy 83.377088 120.6436) (xy 83.500912 120.6436) (xy 83.622356 120.619444) (xy 83.736754 120.572059) (xy 83.839709 120.503266) + (xy 83.927266 120.415709) (xy 83.996059 120.312754) (xy 84.043444 120.198356) (xy 84.0676 120.076912) (xy 84.0676 119.953088) + (xy 84.043444 119.831644) (xy 83.996059 119.717246) (xy 83.927266 119.614291) (xy 83.839709 119.526734) (xy 83.736754 119.457941) + (xy 83.622356 119.410556) (xy 83.500912 119.3864) (xy 83.377088 119.3864) (xy 83.255644 119.410556) (xy 83.141246 119.457941) + (xy 83.038291 119.526734) (xy 83.032625 119.5324) (xy 82.891362 119.5324) (xy 82.857307 119.514197) (xy 82.786077 119.49259) + (xy 82.712 119.485294) (xy 80.962 119.485294) (xy 80.887923 119.49259) (xy 80.816693 119.514197) (xy 80.782638 119.5324) + (xy 80.670375 119.5324) (xy 80.664709 119.526734) (xy 80.561754 119.457941) (xy 80.447356 119.410556) (xy 80.325912 119.3864) + (xy 80.202088 119.3864) (xy 80.106566 119.4054) (xy 79.653315 119.4054) (xy 79.652542 119.404458) (xy 79.577955 119.343246) + (xy 79.492859 119.297761) (xy 79.400525 119.269752) (xy 79.3045 119.260294) (xy 78.4295 119.260294) (xy 78.333475 119.269752) + (xy 78.241141 119.297761) (xy 78.156045 119.343246) (xy 78.081458 119.404458) (xy 78.020246 119.479045) (xy 77.974761 119.564141) + (xy 77.946752 119.656475) (xy 77.937294 119.7525) (xy 68.4022 119.7525) (xy 68.4022 118.84) (xy 77.937294 118.84) + (xy 77.941708 118.884813) (xy 77.954779 118.927905) (xy 77.976006 118.967618) (xy 78.004573 119.002427) (xy 78.039382 119.030994) + (xy 78.079095 119.052221) (xy 78.122187 119.065292) (xy 78.167 119.069706) (xy 78.73605 119.0686) (xy 78.7932 119.01145) + (xy 78.7932 118.3888) (xy 78.9408 118.3888) (xy 78.9408 119.01145) (xy 78.99795 119.0686) (xy 79.567 119.069706) + (xy 79.611813 119.065292) (xy 79.654905 119.052221) (xy 79.694618 119.030994) (xy 79.729427 119.002427) (xy 79.757994 118.967618) + (xy 79.779221 118.927905) (xy 79.792292 118.884813) (xy 79.796706 118.84) (xy 79.7956 118.44595) (xy 79.73845 118.3888) + (xy 78.9408 118.3888) (xy 78.7932 118.3888) (xy 77.99555 118.3888) (xy 77.9384 118.44595) (xy 77.937294 118.84) + (xy 68.4022 118.84) (xy 68.4022 117.79) (xy 77.937294 117.79) (xy 77.9384 118.18405) (xy 77.99555 118.2412) + (xy 78.7932 118.2412) (xy 78.7932 117.61855) (xy 78.9408 117.61855) (xy 78.9408 118.2412) (xy 79.73845 118.2412) + (xy 79.7956 118.18405) (xy 79.796706 117.79) (xy 79.792292 117.745187) (xy 79.779221 117.702095) (xy 79.757994 117.662382) + (xy 79.729427 117.627573) (xy 79.694618 117.599006) (xy 79.654905 117.577779) (xy 79.611813 117.564708) (xy 79.567 117.560294) + (xy 78.99795 117.5614) (xy 78.9408 117.61855) (xy 78.7932 117.61855) (xy 78.73605 117.5614) (xy 78.167 117.560294) + (xy 78.122187 117.564708) (xy 78.079095 117.577779) (xy 78.039382 117.599006) (xy 78.004573 117.627573) (xy 77.976006 117.662382) + (xy 77.954779 117.702095) (xy 77.941708 117.745187) (xy 77.937294 117.79) (xy 68.4022 117.79) (xy 68.4022 117.422937) + (xy 79.7354 117.422937) (xy 79.7354 117.527063) (xy 79.755713 117.629187) (xy 79.79556 117.725386) (xy 79.853409 117.811963) + (xy 79.927037 117.885591) (xy 80.013614 117.94344) (xy 80.109813 117.983287) (xy 80.211937 118.0036) (xy 80.316063 118.0036) + (xy 80.418187 117.983287) (xy 80.514386 117.94344) (xy 80.600963 117.885591) (xy 80.648231 117.838323) (xy 80.693507 117.893493) + (xy 80.751047 117.940714) (xy 80.816693 117.975803) (xy 80.887923 117.99741) (xy 80.962 118.004706) (xy 82.712 118.004706) + (xy 82.786077 117.99741) (xy 82.857307 117.975803) (xy 82.922953 117.940714) (xy 82.980493 117.893493) (xy 83.027714 117.835953) + (xy 83.062803 117.770307) (xy 83.08441 117.699077) (xy 83.091706 117.625) (xy 83.091706 117.325) (xy 83.08441 117.250923) + (xy 83.062803 117.179693) (xy 83.027714 117.114047) (xy 82.980493 117.056507) (xy 82.922953 117.009286) (xy 82.857307 116.974197) + (xy 82.786077 116.95259) (xy 82.712 116.945294) (xy 80.962 116.945294) (xy 80.887923 116.95259) (xy 80.816693 116.974197) + (xy 80.751047 117.009286) (xy 80.693507 117.056507) (xy 80.648231 117.111677) (xy 80.600963 117.064409) (xy 80.514386 117.00656) + (xy 80.418187 116.966713) (xy 80.316063 116.9464) (xy 80.211937 116.9464) (xy 80.109813 116.966713) (xy 80.013614 117.00656) + (xy 79.927037 117.064409) (xy 79.853409 117.138037) (xy 79.79556 117.224614) (xy 79.755713 117.320813) (xy 79.7354 117.422937) + (xy 68.4022 117.422937) (xy 68.4022 115.196544) (xy 68.426385 115.075617) (xy 69.2068 115.075617) (xy 69.2068 115.302383) + (xy 69.25104 115.524793) (xy 69.33782 115.734298) (xy 69.463805 115.922847) (xy 69.624153 116.083195) (xy 69.812702 116.20918) + (xy 70.022207 116.29596) (xy 70.244617 116.3402) (xy 70.471383 116.3402) (xy 70.693793 116.29596) (xy 70.903298 116.20918) + (xy 70.987471 116.152937) (xy 79.7354 116.152937) (xy 79.7354 116.257063) (xy 79.755713 116.359187) (xy 79.79556 116.455386) + (xy 79.853409 116.541963) (xy 79.927037 116.615591) (xy 80.013614 116.67344) (xy 80.109813 116.713287) (xy 80.211937 116.7336) + (xy 80.316063 116.7336) (xy 80.418187 116.713287) (xy 80.514386 116.67344) (xy 80.600963 116.615591) (xy 80.648231 116.568323) + (xy 80.693507 116.623493) (xy 80.751047 116.670714) (xy 80.816693 116.705803) (xy 80.887923 116.72741) (xy 80.962 116.734706) + (xy 82.712 116.734706) (xy 82.786077 116.72741) (xy 82.857307 116.705803) (xy 82.922953 116.670714) (xy 82.980493 116.623493) + (xy 83.027714 116.565953) (xy 83.062803 116.500307) (xy 83.08441 116.429077) (xy 83.091706 116.355) (xy 83.091706 116.055) + (xy 83.08441 115.980923) (xy 83.062803 115.909693) (xy 83.027714 115.844047) (xy 82.980493 115.786507) (xy 82.922953 115.739286) + (xy 82.857307 115.704197) (xy 82.786077 115.68259) (xy 82.712 115.675294) (xy 80.962 115.675294) (xy 80.887923 115.68259) + (xy 80.816693 115.704197) (xy 80.751047 115.739286) (xy 80.693507 115.786507) (xy 80.648231 115.841677) (xy 80.600963 115.794409) + (xy 80.514386 115.73656) (xy 80.418187 115.696713) (xy 80.316063 115.6764) (xy 80.211937 115.6764) (xy 80.109813 115.696713) + (xy 80.013614 115.73656) (xy 79.927037 115.794409) (xy 79.853409 115.868037) (xy 79.79556 115.954614) (xy 79.755713 116.050813) + (xy 79.7354 116.152937) (xy 70.987471 116.152937) (xy 71.091847 116.083195) (xy 71.252195 115.922847) (xy 71.37818 115.734298) + (xy 71.46496 115.524793) (xy 71.5092 115.302383) (xy 71.5092 115.075617) (xy 71.470874 114.882937) (xy 79.7354 114.882937) + (xy 79.7354 114.987063) (xy 79.755713 115.089187) (xy 79.79556 115.185386) (xy 79.853409 115.271963) (xy 79.927037 115.345591) + (xy 80.013614 115.40344) (xy 80.109813 115.443287) (xy 80.211937 115.4636) (xy 80.316063 115.4636) (xy 80.418187 115.443287) + (xy 80.514386 115.40344) (xy 80.600963 115.345591) (xy 80.648231 115.298323) (xy 80.693507 115.353493) (xy 80.751047 115.400714) + (xy 80.816693 115.435803) (xy 80.887923 115.45741) (xy 80.962 115.464706) (xy 82.712 115.464706) (xy 82.786077 115.45741) + (xy 82.857307 115.435803) (xy 82.922953 115.400714) (xy 82.980493 115.353493) (xy 83.027714 115.295953) (xy 83.062803 115.230307) + (xy 83.08441 115.159077) (xy 83.091706 115.085) (xy 83.091706 114.785) (xy 83.08441 114.710923) (xy 83.062803 114.639693) + (xy 83.027714 114.574047) (xy 82.980493 114.516507) (xy 82.922953 114.469286) (xy 82.857307 114.434197) (xy 82.786077 114.41259) + (xy 82.712 114.405294) (xy 80.962 114.405294) (xy 80.887923 114.41259) (xy 80.816693 114.434197) (xy 80.751047 114.469286) + (xy 80.693507 114.516507) (xy 80.648231 114.571677) (xy 80.600963 114.524409) (xy 80.514386 114.46656) (xy 80.418187 114.426713) + (xy 80.316063 114.4064) (xy 80.211937 114.4064) (xy 80.109813 114.426713) (xy 80.013614 114.46656) (xy 79.927037 114.524409) + (xy 79.853409 114.598037) (xy 79.79556 114.684614) (xy 79.755713 114.780813) (xy 79.7354 114.882937) (xy 71.470874 114.882937) + (xy 71.46496 114.853207) (xy 71.37818 114.643702) (xy 71.252195 114.455153) (xy 71.091847 114.294805) (xy 70.903298 114.16882) + (xy 70.693793 114.08204) (xy 70.471383 114.0378) (xy 70.244617 114.0378) (xy 70.022207 114.08204) (xy 69.812702 114.16882) + (xy 69.624153 114.294805) (xy 69.463805 114.455153) (xy 69.33782 114.643702) (xy 69.25104 114.853207) (xy 69.2068 115.075617) + (xy 68.426385 115.075617) (xy 68.525719 114.578951) (xy 68.769624 114.09114) (xy 69.247827 113.612937) (xy 79.7354 113.612937) + (xy 79.7354 113.717063) (xy 79.755713 113.819187) (xy 79.79556 113.915386) (xy 79.853409 114.001963) (xy 79.927037 114.075591) + (xy 80.013614 114.13344) (xy 80.109813 114.173287) (xy 80.211937 114.1936) (xy 80.316063 114.1936) (xy 80.418187 114.173287) + (xy 80.514386 114.13344) (xy 80.600963 114.075591) (xy 80.648231 114.028323) (xy 80.693507 114.083493) (xy 80.751047 114.130714) + (xy 80.816693 114.165803) (xy 80.887923 114.18741) (xy 80.962 114.194706) (xy 82.712 114.194706) (xy 82.786077 114.18741) + (xy 82.857307 114.165803) (xy 82.922953 114.130714) (xy 82.980493 114.083493) (xy 83.027714 114.025953) (xy 83.062803 113.960307) + (xy 83.08441 113.889077) (xy 83.091706 113.815) (xy 83.091706 113.515) (xy 83.08441 113.440923) (xy 83.062803 113.369693) + (xy 83.027714 113.304047) (xy 82.980493 113.246507) (xy 82.922953 113.199286) (xy 82.857307 113.164197) (xy 82.786077 113.14259) + (xy 82.712 113.135294) (xy 80.962 113.135294) (xy 80.887923 113.14259) (xy 80.816693 113.164197) (xy 80.751047 113.199286) + (xy 80.693507 113.246507) (xy 80.648231 113.301677) (xy 80.600963 113.254409) (xy 80.514386 113.19656) (xy 80.418187 113.156713) + (xy 80.316063 113.1364) (xy 80.211937 113.1364) (xy 80.109813 113.156713) (xy 80.013614 113.19656) (xy 79.927037 113.254409) + (xy 79.853409 113.328037) (xy 79.79556 113.414614) (xy 79.755713 113.510813) (xy 79.7354 113.612937) (xy 69.247827 113.612937) + (xy 70.517827 112.342937) (xy 79.7354 112.342937) (xy 79.7354 112.447063) (xy 79.755713 112.549187) (xy 79.79556 112.645386) + (xy 79.853409 112.731963) (xy 79.927037 112.805591) (xy 80.013614 112.86344) (xy 80.109813 112.903287) (xy 80.211937 112.9236) + (xy 80.316063 112.9236) (xy 80.418187 112.903287) (xy 80.514386 112.86344) (xy 80.600963 112.805591) (xy 80.648231 112.758323) + (xy 80.693507 112.813493) (xy 80.751047 112.860714) (xy 80.816693 112.895803) (xy 80.887923 112.91741) (xy 80.962 112.924706) + (xy 82.712 112.924706) (xy 82.786077 112.91741) (xy 82.857307 112.895803) (xy 82.922953 112.860714) (xy 82.980493 112.813493) + (xy 83.027714 112.755953) (xy 83.062803 112.690307) (xy 83.08441 112.619077) (xy 83.091706 112.545) (xy 83.091706 112.245) + (xy 83.08441 112.170923) (xy 83.062803 112.099693) (xy 83.027714 112.034047) (xy 82.980493 111.976507) (xy 82.922953 111.929286) + (xy 82.857307 111.894197) (xy 82.786077 111.87259) (xy 82.712 111.865294) (xy 80.962 111.865294) (xy 80.887923 111.87259) + (xy 80.816693 111.894197) (xy 80.751047 111.929286) (xy 80.693507 111.976507) (xy 80.648231 112.031677) (xy 80.600963 111.984409) + (xy 80.514386 111.92656) (xy 80.418187 111.886713) (xy 80.316063 111.8664) (xy 80.211937 111.8664) (xy 80.109813 111.886713) + (xy 80.013614 111.92656) (xy 79.927037 111.984409) (xy 79.853409 112.058037) (xy 79.79556 112.144614) (xy 79.755713 112.240813) + (xy 79.7354 112.342937) (xy 70.517827 112.342937) (xy 71.787827 111.072937) (xy 79.7354 111.072937) (xy 79.7354 111.177063) + (xy 79.755713 111.279187) (xy 79.79556 111.375386) (xy 79.853409 111.461963) (xy 79.927037 111.535591) (xy 80.013614 111.59344) + (xy 80.109813 111.633287) (xy 80.211937 111.6536) (xy 80.316063 111.6536) (xy 80.418187 111.633287) (xy 80.514386 111.59344) + (xy 80.600963 111.535591) (xy 80.648231 111.488323) (xy 80.693507 111.543493) (xy 80.751047 111.590714) (xy 80.816693 111.625803) + (xy 80.887923 111.64741) (xy 80.962 111.654706) (xy 82.712 111.654706) (xy 82.786077 111.64741) (xy 82.857307 111.625803) + (xy 82.922953 111.590714) (xy 82.980493 111.543493) (xy 83.027714 111.485953) (xy 83.062803 111.420307) (xy 83.08441 111.349077) + (xy 83.091706 111.275) (xy 83.091706 110.975) (xy 83.08441 110.900923) (xy 83.062803 110.829693) (xy 83.027714 110.764047) + (xy 82.980493 110.706507) (xy 82.922953 110.659286) (xy 82.857307 110.624197) (xy 82.786077 110.60259) (xy 82.712 110.595294) + (xy 80.962 110.595294) (xy 80.887923 110.60259) (xy 80.816693 110.624197) (xy 80.751047 110.659286) (xy 80.693507 110.706507) + (xy 80.648231 110.761677) (xy 80.600963 110.714409) (xy 80.514386 110.65656) (xy 80.418187 110.616713) (xy 80.316063 110.5964) + (xy 80.211937 110.5964) (xy 80.109813 110.616713) (xy 80.013614 110.65656) (xy 79.927037 110.714409) (xy 79.853409 110.788037) + (xy 79.79556 110.874614) (xy 79.755713 110.970813) (xy 79.7354 111.072937) (xy 71.787827 111.072937) (xy 73.057827 109.802937) + (xy 79.7354 109.802937) (xy 79.7354 109.907063) (xy 79.755713 110.009187) (xy 79.79556 110.105386) (xy 79.853409 110.191963) + (xy 79.927037 110.265591) (xy 80.013614 110.32344) (xy 80.109813 110.363287) (xy 80.211937 110.3836) (xy 80.316063 110.3836) + (xy 80.418187 110.363287) (xy 80.514386 110.32344) (xy 80.600963 110.265591) (xy 80.648231 110.218323) (xy 80.693507 110.273493) + (xy 80.751047 110.320714) (xy 80.816693 110.355803) (xy 80.887923 110.37741) (xy 80.962 110.384706) (xy 82.712 110.384706) + (xy 82.786077 110.37741) (xy 82.857307 110.355803) (xy 82.922953 110.320714) (xy 82.980493 110.273493) (xy 83.027714 110.215953) + (xy 83.062803 110.150307) (xy 83.08441 110.079077) (xy 83.091706 110.005) (xy 83.091706 109.705) (xy 83.08441 109.630923) + (xy 83.062803 109.559693) (xy 83.027714 109.494047) (xy 82.980493 109.436507) (xy 82.922953 109.389286) (xy 82.857307 109.354197) + (xy 82.786077 109.33259) (xy 82.712 109.325294) (xy 80.962 109.325294) (xy 80.887923 109.33259) (xy 80.816693 109.354197) + (xy 80.751047 109.389286) (xy 80.693507 109.436507) (xy 80.648231 109.491677) (xy 80.600963 109.444409) (xy 80.514386 109.38656) + (xy 80.418187 109.346713) (xy 80.316063 109.3264) (xy 80.211937 109.3264) (xy 80.109813 109.346713) (xy 80.013614 109.38656) + (xy 79.927037 109.444409) (xy 79.853409 109.518037) (xy 79.79556 109.604614) (xy 79.755713 109.700813) (xy 79.7354 109.802937) + (xy 73.057827 109.802937) (xy 74.327827 108.532937) (xy 79.7354 108.532937) (xy 79.7354 108.637063) (xy 79.755713 108.739187) + (xy 79.79556 108.835386) (xy 79.853409 108.921963) (xy 79.927037 108.995591) (xy 80.013614 109.05344) (xy 80.109813 109.093287) + (xy 80.211937 109.1136) (xy 80.316063 109.1136) (xy 80.418187 109.093287) (xy 80.514386 109.05344) (xy 80.600963 108.995591) + (xy 80.648231 108.948323) (xy 80.693507 109.003493) (xy 80.751047 109.050714) (xy 80.816693 109.085803) (xy 80.887923 109.10741) + (xy 80.962 109.114706) (xy 82.712 109.114706) (xy 82.786077 109.10741) (xy 82.857307 109.085803) (xy 82.922953 109.050714) + (xy 82.980493 109.003493) (xy 83.027714 108.945953) (xy 83.062803 108.880307) (xy 83.08441 108.809077) (xy 83.091706 108.735) + (xy 83.091706 108.435) (xy 83.08441 108.360923) (xy 83.062803 108.289693) (xy 83.027714 108.224047) (xy 82.980493 108.166507) + (xy 82.922953 108.119286) (xy 82.857307 108.084197) (xy 82.786077 108.06259) (xy 82.712 108.055294) (xy 80.962 108.055294) + (xy 80.887923 108.06259) (xy 80.816693 108.084197) (xy 80.751047 108.119286) (xy 80.693507 108.166507) (xy 80.648231 108.221677) + (xy 80.600963 108.174409) (xy 80.514386 108.11656) (xy 80.418187 108.076713) (xy 80.316063 108.0564) (xy 80.211937 108.0564) + (xy 80.109813 108.076713) (xy 80.013614 108.11656) (xy 79.927037 108.174409) (xy 79.853409 108.248037) (xy 79.79556 108.334614) + (xy 79.755713 108.430813) (xy 79.7354 108.532937) (xy 74.327827 108.532937) (xy 76.421147 106.439617) (xy 77.8428 106.439617) + (xy 77.8428 106.666383) (xy 77.88704 106.888793) (xy 77.97382 107.098298) (xy 78.099805 107.286847) (xy 78.260153 107.447195) + (xy 78.448702 107.57318) (xy 78.658207 107.65996) (xy 78.880617 107.7042) (xy 79.107383 107.7042) (xy 79.329793 107.65996) + (xy 79.539298 107.57318) (xy 79.727847 107.447195) (xy 79.888195 107.286847) (xy 80.01418 107.098298) (xy 80.10096 106.888793) + (xy 80.1452 106.666383) (xy 80.1452 106.439617) (xy 135.7548 106.439617) (xy 135.7548 106.666383) (xy 135.79904 106.888793) + (xy 135.88582 107.098298) (xy 136.011805 107.286847) (xy 136.172153 107.447195) (xy 136.360702 107.57318) (xy 136.570207 107.65996) + (xy 136.792617 107.7042) (xy 137.019383 107.7042) (xy 137.241793 107.65996) (xy 137.451298 107.57318) (xy 137.639847 107.447195) + (xy 137.800195 107.286847) (xy 137.92618 107.098298) (xy 138.01296 106.888793) (xy 138.0572 106.666383) (xy 138.0572 106.439617) + (xy 138.01296 106.217207) (xy 137.92618 106.007702) (xy 137.800195 105.819153) (xy 137.639847 105.658805) (xy 137.451298 105.53282) + (xy 137.241793 105.44604) (xy 137.019383 105.4018) (xy 136.792617 105.4018) (xy 136.570207 105.44604) (xy 136.360702 105.53282) + (xy 136.172153 105.658805) (xy 136.011805 105.819153) (xy 135.88582 106.007702) (xy 135.79904 106.217207) (xy 135.7548 106.439617) + (xy 80.1452 106.439617) (xy 80.10096 106.217207) (xy 80.01418 106.007702) (xy 79.888195 105.819153) (xy 79.727847 105.658805) + (xy 79.539298 105.53282) (xy 79.329793 105.44604) (xy 79.107383 105.4018) (xy 78.880617 105.4018) (xy 78.658207 105.44604) + (xy 78.448702 105.53282) (xy 78.260153 105.658805) (xy 78.099805 105.819153) (xy 77.97382 106.007702) (xy 77.88704 106.217207) + (xy 77.8428 106.439617) (xy 76.421147 106.439617) (xy 77.89614 104.964624) (xy 78.383951 104.720719) (xy 79.001544 104.5972) + (xy 136.898456 104.5972) + ) + ) + (filled_polygon + (pts + (xy 81.075057 131.091892) (xy 81.063433 131.101432) (xy 81.025343 131.147843) (xy 81.023082 131.152074) (xy 80.99704 131.200795) + (xy 80.979611 131.258249) (xy 80.973727 131.318) (xy 80.975201 131.332965) (xy 80.975201 131.382294) (xy 80.899 131.382294) + (xy 80.779857 131.394029) (xy 80.665293 131.428781) (xy 80.55971 131.485217) (xy 80.546815 131.4958) (xy 79.473185 131.4958) + (xy 79.46029 131.485217) (xy 79.354707 131.428781) (xy 79.240143 131.394029) (xy 79.121 131.382294) (xy 79.106757 131.382294) + (xy 80.263252 130.2258) (xy 81.941148 130.2258) + ) + ) + (filled_polygon + (pts + (xy 83.615061 130.964888) (xy 83.603432 130.974432) (xy 83.565342 131.020844) (xy 83.548867 131.051668) (xy 83.53704 131.073795) + (xy 83.519612 131.131248) (xy 83.519611 131.13125) (xy 83.5152 131.176035) (xy 83.5152 131.176042) (xy 83.513727 131.191) + (xy 83.5152 131.205958) (xy 83.5152 131.382294) (xy 83.439 131.382294) (xy 83.319857 131.394029) (xy 83.205293 131.428781) + (xy 83.09971 131.485217) (xy 83.086815 131.4958) (xy 82.013185 131.4958) (xy 82.00029 131.485217) (xy 81.894707 131.428781) + (xy 81.780143 131.394029) (xy 81.661 131.382294) (xy 81.646757 131.382294) (xy 82.498452 130.5306) (xy 84.049348 130.5306) + ) + ) + (filled_polygon + (pts + (xy 88.5952 131.382294) (xy 88.519 131.382294) (xy 88.399857 131.394029) (xy 88.285293 131.428781) (xy 88.17971 131.485217) + (xy 88.166815 131.4958) (xy 87.093185 131.4958) (xy 87.08029 131.485217) (xy 86.974707 131.428781) (xy 86.860143 131.394029) + (xy 86.741 131.382294) (xy 86.6648 131.382294) (xy 86.6648 130.124185) (xy 88.5952 128.193785) + ) + ) + ) + (zone (net 2) (net_name GND) (layer B.Cu) (tstamp 5DE6C368) (hatch edge 0.508) + (connect_pads (clearance 0.1524)) + (min_thickness 0.1524) + (fill yes (arc_segments 32) (thermal_gap 0.1524) (thermal_bridge_width 0.3)) + (polygon + (pts + (xy 137.541 104.648) (xy 138.176 105.029) (xy 138.557 105.41) (xy 138.811 105.918) (xy 138.938 106.553) + (xy 138.938 131.572) (xy 70.358 131.572) (xy 69.723 131.445) (xy 69.215 131.191) (xy 68.707 130.683) + (xy 68.453 130.175) (xy 68.326 129.54) (xy 68.326 115.189) (xy 68.453 114.554) (xy 68.707 114.046) + (xy 77.851 104.902) (xy 78.359 104.648) (xy 78.994 104.521) (xy 136.906 104.521) + ) + ) + (filled_polygon + (pts + (xy 137.513112 104.720131) (xy 138.12875 105.089514) (xy 138.494376 105.45514) (xy 138.738281 105.942951) (xy 138.8618 106.560544) + (xy 138.8618 131.4958) (xy 138.119097 131.4958) (xy 138.112994 131.484382) (xy 138.084427 131.449573) (xy 138.049618 131.421006) + (xy 138.009905 131.399779) (xy 137.966813 131.386708) (xy 137.922 131.382294) (xy 137.29095 131.3834) (xy 137.2338 131.44055) + (xy 137.2338 131.4958) (xy 137.0862 131.4958) (xy 137.0862 131.44055) (xy 137.02905 131.3834) (xy 136.398 131.382294) + (xy 136.353187 131.386708) (xy 136.310095 131.399779) (xy 136.270382 131.421006) (xy 136.235573 131.449573) (xy 136.207006 131.484382) + (xy 136.200903 131.4958) (xy 135.353185 131.4958) (xy 135.34029 131.485217) (xy 135.234707 131.428781) (xy 135.120143 131.394029) + (xy 135.040468 131.386181) (xy 135.08844 131.314386) (xy 135.128287 131.218187) (xy 135.1486 131.116063) (xy 135.1486 131.011937) + (xy 135.128287 130.909813) (xy 135.08844 130.813614) (xy 135.030591 130.727037) (xy 134.956963 130.653409) (xy 134.870386 130.59556) + (xy 134.774187 130.555713) (xy 134.672063 130.5354) (xy 134.567937 130.5354) (xy 134.465813 130.555713) (xy 134.369614 130.59556) + (xy 134.283037 130.653409) (xy 134.209409 130.727037) (xy 134.15156 130.813614) (xy 134.111713 130.909813) (xy 134.0914 131.011937) + (xy 134.0914 131.116063) (xy 134.111713 131.218187) (xy 134.15156 131.314386) (xy 134.199532 131.386181) (xy 134.119857 131.394029) + (xy 134.005293 131.428781) (xy 133.89971 131.485217) (xy 133.886815 131.4958) (xy 132.813185 131.4958) (xy 132.80029 131.485217) + (xy 132.694707 131.428781) (xy 132.580143 131.394029) (xy 132.500468 131.386181) (xy 132.54844 131.314386) (xy 132.588287 131.218187) + (xy 132.6086 131.116063) (xy 132.6086 131.011937) (xy 132.588287 130.909813) (xy 132.54844 130.813614) (xy 132.490591 130.727037) + (xy 132.416963 130.653409) (xy 132.330386 130.59556) (xy 132.234187 130.555713) (xy 132.132063 130.5354) (xy 132.027937 130.5354) + (xy 131.925813 130.555713) (xy 131.829614 130.59556) (xy 131.743037 130.653409) (xy 131.669409 130.727037) (xy 131.61156 130.813614) + (xy 131.571713 130.909813) (xy 131.5514 131.011937) (xy 131.5514 131.116063) (xy 131.571713 131.218187) (xy 131.61156 131.314386) + (xy 131.659532 131.386181) (xy 131.579857 131.394029) (xy 131.465293 131.428781) (xy 131.35971 131.485217) (xy 131.346815 131.4958) + (xy 130.273185 131.4958) (xy 130.26029 131.485217) (xy 130.154707 131.428781) (xy 130.040143 131.394029) (xy 129.921 131.382294) + (xy 129.159 131.382294) (xy 129.039857 131.394029) (xy 128.925293 131.428781) (xy 128.81971 131.485217) (xy 128.806815 131.4958) + (xy 127.733185 131.4958) (xy 127.72029 131.485217) (xy 127.614707 131.428781) (xy 127.500143 131.394029) (xy 127.381 131.382294) + (xy 126.619 131.382294) (xy 126.499857 131.394029) (xy 126.385293 131.428781) (xy 126.27971 131.485217) (xy 126.266815 131.4958) + (xy 125.193185 131.4958) (xy 125.18029 131.485217) (xy 125.074707 131.428781) (xy 124.960143 131.394029) (xy 124.841 131.382294) + (xy 124.7648 131.382294) (xy 124.7648 131.205957) (xy 124.766273 131.190999) (xy 124.7648 131.176041) (xy 124.7648 131.176034) + (xy 124.760389 131.131249) (xy 124.74296 131.073794) (xy 124.714658 131.020843) (xy 124.676568 130.974432) (xy 124.664944 130.964892) + (xy 121.3358 127.635749) (xy 121.3358 126.035082) (xy 121.367963 126.013591) (xy 121.441591 125.939963) (xy 121.49944 125.853386) + (xy 121.539287 125.757187) (xy 121.5596 125.655063) (xy 121.5596 125.550937) (xy 121.539287 125.448813) (xy 121.49944 125.352614) + (xy 121.441591 125.266037) (xy 121.367963 125.192409) (xy 121.281386 125.13456) (xy 121.185187 125.094713) (xy 121.083063 125.0744) + (xy 120.978937 125.0744) (xy 120.876813 125.094713) (xy 120.780614 125.13456) (xy 120.694037 125.192409) (xy 120.620409 125.266037) + (xy 120.56256 125.352614) (xy 120.522713 125.448813) (xy 120.5024 125.550937) (xy 120.5024 125.655063) (xy 120.522713 125.757187) + (xy 120.56256 125.853386) (xy 120.620409 125.939963) (xy 120.694037 126.013591) (xy 120.726201 126.035082) (xy 120.7262 127.747042) + (xy 120.724727 127.762) (xy 120.7262 127.776958) (xy 120.7262 127.776965) (xy 120.730611 127.82175) (xy 120.74804 127.879205) + (xy 120.776342 127.932156) (xy 120.814432 127.978568) (xy 120.826061 127.988112) (xy 124.155201 131.317253) (xy 124.155201 131.382294) + (xy 124.079 131.382294) (xy 123.959857 131.394029) (xy 123.845293 131.428781) (xy 123.73971 131.485217) (xy 123.726815 131.4958) + (xy 122.653185 131.4958) (xy 122.64029 131.485217) (xy 122.534707 131.428781) (xy 122.420143 131.394029) (xy 122.301 131.382294) + (xy 122.2248 131.382294) (xy 122.2248 131.115082) (xy 122.256963 131.093591) (xy 122.330591 131.019963) (xy 122.38844 130.933386) + (xy 122.428287 130.837187) (xy 122.4486 130.735063) (xy 122.4486 130.630937) (xy 122.428287 130.528813) (xy 122.38844 130.432614) + (xy 122.330591 130.346037) (xy 122.256963 130.272409) (xy 122.170386 130.21456) (xy 122.074187 130.174713) (xy 121.972063 130.1544) + (xy 121.867937 130.1544) (xy 121.765813 130.174713) (xy 121.669614 130.21456) (xy 121.583037 130.272409) (xy 121.509409 130.346037) + (xy 121.45156 130.432614) (xy 121.411713 130.528813) (xy 121.3914 130.630937) (xy 121.3914 130.735063) (xy 121.411713 130.837187) + (xy 121.45156 130.933386) (xy 121.509409 131.019963) (xy 121.583037 131.093591) (xy 121.615201 131.115082) (xy 121.615201 131.382294) + (xy 121.539 131.382294) (xy 121.419857 131.394029) (xy 121.305293 131.428781) (xy 121.19971 131.485217) (xy 121.186815 131.4958) + (xy 120.113185 131.4958) (xy 120.10029 131.485217) (xy 119.994707 131.428781) (xy 119.880143 131.394029) (xy 119.761 131.382294) + (xy 118.999 131.382294) (xy 118.879857 131.394029) (xy 118.765293 131.428781) (xy 118.65971 131.485217) (xy 118.646815 131.4958) + (xy 117.573185 131.4958) (xy 117.56029 131.485217) (xy 117.454707 131.428781) (xy 117.340143 131.394029) (xy 117.221 131.382294) + (xy 116.459 131.382294) (xy 116.339857 131.394029) (xy 116.225293 131.428781) (xy 116.11971 131.485217) (xy 116.106815 131.4958) + (xy 115.033185 131.4958) (xy 115.02029 131.485217) (xy 114.914707 131.428781) (xy 114.800143 131.394029) (xy 114.681 131.382294) + (xy 113.919 131.382294) (xy 113.799857 131.394029) (xy 113.685293 131.428781) (xy 113.57971 131.485217) (xy 113.566815 131.4958) + (xy 112.493185 131.4958) (xy 112.48029 131.485217) (xy 112.374707 131.428781) (xy 112.260143 131.394029) (xy 112.141 131.382294) + (xy 111.379 131.382294) (xy 111.259857 131.394029) (xy 111.145293 131.428781) (xy 111.03971 131.485217) (xy 111.026815 131.4958) + (xy 109.953185 131.4958) (xy 109.94029 131.485217) (xy 109.834707 131.428781) (xy 109.720143 131.394029) (xy 109.601 131.382294) + (xy 108.839 131.382294) (xy 108.719857 131.394029) (xy 108.605293 131.428781) (xy 108.49971 131.485217) (xy 108.486815 131.4958) + (xy 107.413185 131.4958) (xy 107.40029 131.485217) (xy 107.294707 131.428781) (xy 107.180143 131.394029) (xy 107.061 131.382294) + (xy 106.9848 131.382294) (xy 106.9848 130.951957) (xy 106.986273 130.936999) (xy 106.9848 130.922041) (xy 106.9848 130.922034) + (xy 106.980389 130.877249) (xy 106.978115 130.869751) (xy 106.965168 130.827073) (xy 106.96296 130.819794) (xy 106.934658 130.766843) + (xy 106.896568 130.720432) (xy 106.884945 130.710893) (xy 105.509112 129.335061) (xy 105.499568 129.323432) (xy 105.453157 129.285342) + (xy 105.400206 129.25704) (xy 105.342751 129.239611) (xy 105.297966 129.2352) (xy 105.297958 129.2352) (xy 105.283 129.233727) + (xy 105.268042 129.2352) (xy 101.980252 129.2352) (xy 96.8248 124.079749) (xy 96.8248 123.772937) (xy 96.8804 123.772937) + (xy 96.8804 123.877063) (xy 96.900713 123.979187) (xy 96.94056 124.075386) (xy 96.998409 124.161963) (xy 97.072037 124.235591) + (xy 97.158614 124.29344) (xy 97.254813 124.333287) (xy 97.356937 124.3536) (xy 97.461063 124.3536) (xy 97.499003 124.346054) + (xy 101.627892 128.474944) (xy 101.637432 128.486568) (xy 101.683843 128.524658) (xy 101.736794 128.55296) (xy 101.780876 128.566332) + (xy 101.794248 128.570389) (xy 101.799889 128.570945) (xy 101.839034 128.5748) (xy 101.839041 128.5748) (xy 101.853999 128.576273) + (xy 101.868957 128.5748) (xy 114.539042 128.5748) (xy 114.554 128.576273) (xy 114.568958 128.5748) (xy 114.568966 128.5748) + (xy 114.613751 128.570389) (xy 114.671206 128.55296) (xy 114.724157 128.524658) (xy 114.770568 128.486568) (xy 114.780112 128.474939) + (xy 117.130999 126.124054) (xy 117.168937 126.1316) (xy 117.273063 126.1316) (xy 117.375187 126.111287) (xy 117.471386 126.07144) + (xy 117.557963 126.013591) (xy 117.631591 125.939963) (xy 117.68944 125.853386) (xy 117.729287 125.757187) (xy 117.7496 125.655063) + (xy 117.7496 125.550937) (xy 117.729287 125.448813) (xy 117.68944 125.352614) (xy 117.631591 125.266037) (xy 117.557963 125.192409) + (xy 117.471386 125.13456) (xy 117.375187 125.094713) (xy 117.273063 125.0744) (xy 117.168937 125.0744) (xy 117.066813 125.094713) + (xy 116.970614 125.13456) (xy 116.884037 125.192409) (xy 116.810409 125.266037) (xy 116.75256 125.352614) (xy 116.712713 125.448813) + (xy 116.6924 125.550937) (xy 116.6924 125.655063) (xy 116.699946 125.693001) (xy 114.427749 127.9652) (xy 101.980252 127.9652) + (xy 100.327989 126.312937) (xy 109.9614 126.312937) (xy 109.9614 126.417063) (xy 109.981713 126.519187) (xy 110.02156 126.615386) + (xy 110.079409 126.701963) (xy 110.153037 126.775591) (xy 110.239614 126.83344) (xy 110.335813 126.873287) (xy 110.437937 126.8936) + (xy 110.542063 126.8936) (xy 110.644187 126.873287) (xy 110.740386 126.83344) (xy 110.826963 126.775591) (xy 110.900591 126.701963) + (xy 110.924626 126.665992) (xy 110.930751 126.665389) (xy 110.988206 126.64796) (xy 111.041157 126.619658) (xy 111.087568 126.581568) + (xy 111.097112 126.569939) (xy 112.648252 125.0188) (xy 121.285749 125.0188) (xy 121.779946 125.512998) (xy 121.7724 125.550937) + (xy 121.7724 125.655063) (xy 121.792713 125.757187) (xy 121.83256 125.853386) (xy 121.890409 125.939963) (xy 121.964037 126.013591) + (xy 122.050614 126.07144) (xy 122.146813 126.111287) (xy 122.248937 126.1316) (xy 122.353063 126.1316) (xy 122.455187 126.111287) + (xy 122.551386 126.07144) (xy 122.637963 126.013591) (xy 122.711591 125.939963) (xy 122.76944 125.853386) (xy 122.809287 125.757187) + (xy 122.8296 125.655063) (xy 122.8296 125.550937) (xy 122.809287 125.448813) (xy 122.76944 125.352614) (xy 122.711591 125.266037) + (xy 122.637963 125.192409) (xy 122.551386 125.13456) (xy 122.455187 125.094713) (xy 122.353063 125.0744) (xy 122.248937 125.0744) + (xy 122.210998 125.081946) (xy 121.638112 124.509061) (xy 121.628568 124.497432) (xy 121.582157 124.459342) (xy 121.529206 124.43104) + (xy 121.471751 124.413611) (xy 121.426966 124.4092) (xy 121.426958 124.4092) (xy 121.412 124.407727) (xy 121.397042 124.4092) + (xy 112.536958 124.4092) (xy 112.522 124.407727) (xy 112.507042 124.4092) (xy 112.507034 124.4092) (xy 112.462249 124.413611) + (xy 112.404794 124.43104) (xy 112.351843 124.459342) (xy 112.305432 124.497432) (xy 112.295892 124.509056) (xy 110.838751 125.966197) + (xy 110.826963 125.954409) (xy 110.740386 125.89656) (xy 110.644187 125.856713) (xy 110.542063 125.8364) (xy 110.437937 125.8364) + (xy 110.335813 125.856713) (xy 110.239614 125.89656) (xy 110.153037 125.954409) (xy 110.079409 126.028037) (xy 110.02156 126.114614) + (xy 109.981713 126.210813) (xy 109.9614 126.312937) (xy 100.327989 126.312937) (xy 99.057989 125.042937) (xy 109.9614 125.042937) + (xy 109.9614 125.147063) (xy 109.981713 125.249187) (xy 110.02156 125.345386) (xy 110.079409 125.431963) (xy 110.153037 125.505591) + (xy 110.239614 125.56344) (xy 110.335813 125.603287) (xy 110.437937 125.6236) (xy 110.542063 125.6236) (xy 110.644187 125.603287) + (xy 110.740386 125.56344) (xy 110.826963 125.505591) (xy 110.900591 125.431963) (xy 110.922082 125.3998) (xy 111.237042 125.3998) + (xy 111.252 125.401273) (xy 111.266958 125.3998) (xy 111.266966 125.3998) (xy 111.311751 125.395389) (xy 111.369206 125.37796) + (xy 111.422157 125.349658) (xy 111.468568 125.311568) (xy 111.478112 125.299939) (xy 112.394252 124.3838) (xy 121.920749 124.3838) + (xy 123.049946 125.512998) (xy 123.0424 125.550937) (xy 123.0424 125.655063) (xy 123.062713 125.757187) (xy 123.10256 125.853386) + (xy 123.160409 125.939963) (xy 123.234037 126.013591) (xy 123.320614 126.07144) (xy 123.416813 126.111287) (xy 123.518937 126.1316) + (xy 123.623063 126.1316) (xy 123.725187 126.111287) (xy 123.821386 126.07144) (xy 123.907963 126.013591) (xy 123.981591 125.939963) + (xy 124.03944 125.853386) (xy 124.079287 125.757187) (xy 124.0996 125.655063) (xy 124.0996 125.550937) (xy 124.079287 125.448813) + (xy 124.03944 125.352614) (xy 123.981591 125.266037) (xy 123.907963 125.192409) (xy 123.821386 125.13456) (xy 123.725187 125.094713) + (xy 123.623063 125.0744) (xy 123.518937 125.0744) (xy 123.480998 125.081946) (xy 122.273112 123.874061) (xy 122.263568 123.862432) + (xy 122.217157 123.824342) (xy 122.164206 123.79604) (xy 122.106751 123.778611) (xy 122.061966 123.7742) (xy 122.061958 123.7742) + (xy 122.047 123.772727) (xy 122.032042 123.7742) (xy 112.282958 123.7742) (xy 112.268 123.772727) (xy 112.253042 123.7742) + (xy 112.253034 123.7742) (xy 112.213403 123.778103) (xy 112.208248 123.778611) (xy 112.176345 123.788289) (xy 112.150794 123.79604) + (xy 112.097843 123.824342) (xy 112.051432 123.862432) (xy 112.041892 123.874056) (xy 111.125749 124.7902) (xy 110.922082 124.7902) + (xy 110.900591 124.758037) (xy 110.826963 124.684409) (xy 110.740386 124.62656) (xy 110.644187 124.586713) (xy 110.542063 124.5664) + (xy 110.437937 124.5664) (xy 110.335813 124.586713) (xy 110.239614 124.62656) (xy 110.153037 124.684409) (xy 110.079409 124.758037) + (xy 110.02156 124.844614) (xy 109.981713 124.940813) (xy 109.9614 125.042937) (xy 99.057989 125.042937) (xy 97.930054 123.915003) + (xy 97.9376 123.877063) (xy 97.9376 123.772937) (xy 109.9614 123.772937) (xy 109.9614 123.877063) (xy 109.981713 123.979187) + (xy 110.02156 124.075386) (xy 110.079409 124.161963) (xy 110.153037 124.235591) (xy 110.239614 124.29344) (xy 110.335813 124.333287) + (xy 110.437937 124.3536) (xy 110.542063 124.3536) (xy 110.644187 124.333287) (xy 110.740386 124.29344) (xy 110.826963 124.235591) + (xy 110.900591 124.161963) (xy 110.922082 124.1298) (xy 111.618042 124.1298) (xy 111.633 124.131273) (xy 111.647958 124.1298) + (xy 111.647966 124.1298) (xy 111.692751 124.125389) (xy 111.750206 124.10796) (xy 111.803157 124.079658) (xy 111.849568 124.041568) + (xy 111.859112 124.029939) (xy 113.029252 122.8598) (xy 126.186918 122.8598) (xy 126.208409 122.891963) (xy 126.282037 122.965591) + (xy 126.368614 123.02344) (xy 126.464813 123.063287) (xy 126.566937 123.0836) (xy 126.671063 123.0836) (xy 126.773187 123.063287) + (xy 126.869386 123.02344) (xy 126.955963 122.965591) (xy 127.029591 122.891963) (xy 127.08744 122.805386) (xy 127.127287 122.709187) + (xy 127.1476 122.607063) (xy 127.1476 122.502937) (xy 127.127287 122.400813) (xy 127.08744 122.304614) (xy 127.029591 122.218037) + (xy 126.955963 122.144409) (xy 126.869386 122.08656) (xy 126.773187 122.046713) (xy 126.671063 122.0264) (xy 126.566937 122.0264) + (xy 126.464813 122.046713) (xy 126.368614 122.08656) (xy 126.282037 122.144409) (xy 126.208409 122.218037) (xy 126.186918 122.2502) + (xy 112.917957 122.2502) (xy 112.902999 122.248727) (xy 112.888041 122.2502) (xy 112.888034 122.2502) (xy 112.848889 122.254055) + (xy 112.843248 122.254611) (xy 112.829876 122.258668) (xy 112.785794 122.27204) (xy 112.732843 122.300342) (xy 112.686432 122.338432) + (xy 112.676892 122.350056) (xy 111.506749 123.5202) (xy 110.922082 123.5202) (xy 110.900591 123.488037) (xy 110.826963 123.414409) + (xy 110.740386 123.35656) (xy 110.644187 123.316713) (xy 110.542063 123.2964) (xy 110.437937 123.2964) (xy 110.335813 123.316713) + (xy 110.239614 123.35656) (xy 110.153037 123.414409) (xy 110.079409 123.488037) (xy 110.02156 123.574614) (xy 109.981713 123.670813) + (xy 109.9614 123.772937) (xy 97.9376 123.772937) (xy 97.917287 123.670813) (xy 97.87744 123.574614) (xy 97.819591 123.488037) + (xy 97.745963 123.414409) (xy 97.659386 123.35656) (xy 97.563187 123.316713) (xy 97.461063 123.2964) (xy 97.356937 123.2964) + (xy 97.254813 123.316713) (xy 97.158614 123.35656) (xy 97.072037 123.414409) (xy 96.998409 123.488037) (xy 96.94056 123.574614) + (xy 96.900713 123.670813) (xy 96.8804 123.772937) (xy 96.8248 123.772937) (xy 96.8248 122.502937) (xy 96.8804 122.502937) + (xy 96.8804 122.607063) (xy 96.900713 122.709187) (xy 96.94056 122.805386) (xy 96.998409 122.891963) (xy 97.072037 122.965591) + (xy 97.158614 123.02344) (xy 97.254813 123.063287) (xy 97.356937 123.0836) (xy 97.461063 123.0836) (xy 97.563187 123.063287) + (xy 97.659386 123.02344) (xy 97.745963 122.965591) (xy 97.819591 122.891963) (xy 97.87744 122.805386) (xy 97.917287 122.709187) + (xy 97.9376 122.607063) (xy 97.9376 122.502937) (xy 109.9614 122.502937) (xy 109.9614 122.607063) (xy 109.981713 122.709187) + (xy 110.02156 122.805386) (xy 110.079409 122.891963) (xy 110.153037 122.965591) (xy 110.239614 123.02344) (xy 110.335813 123.063287) + (xy 110.437937 123.0836) (xy 110.542063 123.0836) (xy 110.644187 123.063287) (xy 110.740386 123.02344) (xy 110.826963 122.965591) + (xy 110.900591 122.891963) (xy 110.922082 122.8598) (xy 111.618042 122.8598) (xy 111.633 122.861273) (xy 111.647958 122.8598) + (xy 111.647966 122.8598) (xy 111.692751 122.855389) (xy 111.750206 122.83796) (xy 111.803157 122.809658) (xy 111.849568 122.771568) + (xy 111.859112 122.759939) (xy 112.812998 121.806054) (xy 112.850937 121.8136) (xy 112.955063 121.8136) (xy 113.057187 121.793287) + (xy 113.153386 121.75344) (xy 113.239963 121.695591) (xy 113.313591 121.621963) (xy 113.37144 121.535386) (xy 113.411287 121.439187) + (xy 113.4316 121.337063) (xy 113.4316 121.232937) (xy 113.411287 121.130813) (xy 113.37144 121.034614) (xy 113.313591 120.948037) + (xy 113.239963 120.874409) (xy 113.153386 120.81656) (xy 113.057187 120.776713) (xy 112.955063 120.7564) (xy 112.850937 120.7564) + (xy 112.748813 120.776713) (xy 112.652614 120.81656) (xy 112.566037 120.874409) (xy 112.492409 120.948037) (xy 112.43456 121.034614) + (xy 112.394713 121.130813) (xy 112.3744 121.232937) (xy 112.3744 121.337063) (xy 112.381946 121.375002) (xy 111.506749 122.2502) + (xy 110.922082 122.2502) (xy 110.900591 122.218037) (xy 110.826963 122.144409) (xy 110.740386 122.08656) (xy 110.644187 122.046713) + (xy 110.542063 122.0264) (xy 110.437937 122.0264) (xy 110.335813 122.046713) (xy 110.239614 122.08656) (xy 110.153037 122.144409) + (xy 110.079409 122.218037) (xy 110.02156 122.304614) (xy 109.981713 122.400813) (xy 109.9614 122.502937) (xy 97.9376 122.502937) + (xy 97.930054 122.464998) (xy 99.162115 121.232937) (xy 109.9614 121.232937) (xy 109.9614 121.337063) (xy 109.981713 121.439187) + (xy 110.02156 121.535386) (xy 110.079409 121.621963) (xy 110.153037 121.695591) (xy 110.239614 121.75344) (xy 110.335813 121.793287) + (xy 110.437937 121.8136) (xy 110.542063 121.8136) (xy 110.644187 121.793287) (xy 110.740386 121.75344) (xy 110.826963 121.695591) + (xy 110.900591 121.621963) (xy 110.95844 121.535386) (xy 110.998287 121.439187) (xy 111.0186 121.337063) (xy 111.0186 121.232937) + (xy 111.011054 121.194998) (xy 111.886252 120.3198) (xy 126.186918 120.3198) (xy 126.208409 120.351963) (xy 126.282037 120.425591) + (xy 126.368614 120.48344) (xy 126.464813 120.523287) (xy 126.566937 120.5436) (xy 126.671063 120.5436) (xy 126.773187 120.523287) + (xy 126.869386 120.48344) (xy 126.955963 120.425591) (xy 127.029591 120.351963) (xy 127.08744 120.265386) (xy 127.127287 120.169187) + (xy 127.1476 120.067063) (xy 127.1476 119.962937) (xy 127.127287 119.860813) (xy 127.08744 119.764614) (xy 127.029591 119.678037) + (xy 126.955963 119.604409) (xy 126.869386 119.54656) (xy 126.773187 119.506713) (xy 126.671063 119.4864) (xy 126.566937 119.4864) + (xy 126.464813 119.506713) (xy 126.368614 119.54656) (xy 126.282037 119.604409) (xy 126.208409 119.678037) (xy 126.186918 119.7102) + (xy 111.774957 119.7102) (xy 111.759999 119.708727) (xy 111.745041 119.7102) (xy 111.745034 119.7102) (xy 111.705889 119.714055) + (xy 111.700248 119.714611) (xy 111.686876 119.718668) (xy 111.642794 119.73204) (xy 111.589843 119.760342) (xy 111.543432 119.798432) + (xy 111.533892 119.810056) (xy 110.580002 120.763946) (xy 110.542063 120.7564) (xy 110.437937 120.7564) (xy 110.335813 120.776713) + (xy 110.239614 120.81656) (xy 110.153037 120.874409) (xy 110.079409 120.948037) (xy 110.02156 121.034614) (xy 109.981713 121.130813) + (xy 109.9614 121.232937) (xy 99.162115 121.232937) (xy 99.567252 120.8278) (xy 100.061042 120.8278) (xy 100.076 120.829273) + (xy 100.090958 120.8278) (xy 100.090966 120.8278) (xy 100.135751 120.823389) (xy 100.193206 120.80596) (xy 100.246157 120.777658) + (xy 100.292568 120.739568) (xy 100.302112 120.727939) (xy 100.661945 120.368107) (xy 100.673568 120.358568) (xy 100.684548 120.34519) + (xy 100.711657 120.312158) (xy 100.711658 120.312157) (xy 100.73996 120.259206) (xy 100.757389 120.201751) (xy 100.7618 120.156966) + (xy 100.7618 120.156959) (xy 100.763273 120.142001) (xy 100.7618 120.127043) (xy 100.7618 119.633251) (xy 102.615252 117.7798) + (xy 112.470918 117.7798) (xy 112.492409 117.811963) (xy 112.566037 117.885591) (xy 112.652614 117.94344) (xy 112.748813 117.983287) + (xy 112.850937 118.0036) (xy 112.955063 118.0036) (xy 113.057187 117.983287) (xy 113.153386 117.94344) (xy 113.239963 117.885591) + (xy 113.313591 117.811963) (xy 113.37144 117.725386) (xy 113.411287 117.629187) (xy 113.4316 117.527063) (xy 113.4316 117.422937) + (xy 113.411287 117.320813) (xy 113.37144 117.224614) (xy 113.313591 117.138037) (xy 113.239963 117.064409) (xy 113.153386 117.00656) + (xy 113.057187 116.966713) (xy 112.955063 116.9464) (xy 112.850937 116.9464) (xy 112.748813 116.966713) (xy 112.652614 117.00656) + (xy 112.566037 117.064409) (xy 112.492409 117.138037) (xy 112.470918 117.1702) (xy 102.503958 117.1702) (xy 102.489 117.168727) + (xy 102.474042 117.1702) (xy 102.474034 117.1702) (xy 102.434403 117.174103) (xy 102.429248 117.174611) (xy 102.371794 117.19204) + (xy 102.318843 117.220342) (xy 102.272432 117.258432) (xy 102.262892 117.270056) (xy 100.252057 119.280892) (xy 100.240433 119.290432) + (xy 100.202343 119.336843) (xy 100.198549 119.343942) (xy 100.17404 119.389795) (xy 100.156611 119.447249) (xy 100.150727 119.507) + (xy 100.152201 119.521968) (xy 100.1522 120.015748) (xy 99.949749 120.2182) (xy 99.455958 120.2182) (xy 99.441 120.216727) + (xy 99.426042 120.2182) (xy 99.426034 120.2182) (xy 99.386403 120.222103) (xy 99.381248 120.222611) (xy 99.351722 120.231568) + (xy 99.323794 120.24004) (xy 99.270843 120.268342) (xy 99.224432 120.306432) (xy 99.214892 120.318056) (xy 97.499002 122.033946) + (xy 97.461063 122.0264) (xy 97.356937 122.0264) (xy 97.254813 122.046713) (xy 97.158614 122.08656) (xy 97.072037 122.144409) + (xy 96.998409 122.218037) (xy 96.94056 122.304614) (xy 96.900713 122.400813) (xy 96.8804 122.502937) (xy 96.8248 122.502937) + (xy 96.8248 121.232937) (xy 96.8804 121.232937) (xy 96.8804 121.337063) (xy 96.900713 121.439187) (xy 96.94056 121.535386) + (xy 96.998409 121.621963) (xy 97.072037 121.695591) (xy 97.158614 121.75344) (xy 97.254813 121.793287) (xy 97.356937 121.8136) + (xy 97.461063 121.8136) (xy 97.563187 121.793287) (xy 97.659386 121.75344) (xy 97.745963 121.695591) (xy 97.819591 121.621963) + (xy 97.87744 121.535386) (xy 97.917287 121.439187) (xy 97.9376 121.337063) (xy 97.9376 121.232937) (xy 97.930054 121.194998) + (xy 98.574918 120.550134) (xy 98.574923 120.550128) (xy 103.250252 115.8748) (xy 112.141749 115.8748) (xy 112.381946 116.114998) + (xy 112.3744 116.152937) (xy 112.3744 116.257063) (xy 112.394713 116.359187) (xy 112.43456 116.455386) (xy 112.492409 116.541963) + (xy 112.566037 116.615591) (xy 112.652614 116.67344) (xy 112.748813 116.713287) (xy 112.850937 116.7336) (xy 112.955063 116.7336) + (xy 113.057187 116.713287) (xy 113.153386 116.67344) (xy 113.239963 116.615591) (xy 113.313591 116.541963) (xy 113.37144 116.455386) + (xy 113.411287 116.359187) (xy 113.4316 116.257063) (xy 113.4316 116.152937) (xy 113.411287 116.050813) (xy 113.37144 115.954614) + (xy 113.313591 115.868037) (xy 113.239963 115.794409) (xy 113.153386 115.73656) (xy 113.057187 115.696713) (xy 112.955063 115.6764) + (xy 112.850937 115.6764) (xy 112.812998 115.683946) (xy 112.494112 115.365061) (xy 112.484568 115.353432) (xy 112.438157 115.315342) + (xy 112.385206 115.28704) (xy 112.327751 115.269611) (xy 112.282966 115.2652) (xy 112.282958 115.2652) (xy 112.268 115.263727) + (xy 112.253042 115.2652) (xy 103.138957 115.2652) (xy 103.123999 115.263727) (xy 103.109041 115.2652) (xy 103.109034 115.2652) + (xy 103.069889 115.269055) (xy 103.064248 115.269611) (xy 103.046819 115.274898) (xy 103.006794 115.28704) (xy 102.953843 115.315342) + (xy 102.907432 115.353432) (xy 102.897892 115.365056) (xy 98.143872 120.119077) (xy 98.143866 120.119082) (xy 97.499002 120.763946) + (xy 97.461063 120.7564) (xy 97.356937 120.7564) (xy 97.254813 120.776713) (xy 97.158614 120.81656) (xy 97.072037 120.874409) + (xy 96.998409 120.948037) (xy 96.94056 121.034614) (xy 96.900713 121.130813) (xy 96.8804 121.232937) (xy 96.8248 121.232937) + (xy 96.8248 119.962937) (xy 96.8804 119.962937) (xy 96.8804 120.067063) (xy 96.900713 120.169187) (xy 96.94056 120.265386) + (xy 96.998409 120.351963) (xy 97.072037 120.425591) (xy 97.158614 120.48344) (xy 97.254813 120.523287) (xy 97.356937 120.5436) + (xy 97.461063 120.5436) (xy 97.563187 120.523287) (xy 97.659386 120.48344) (xy 97.745963 120.425591) (xy 97.819591 120.351963) + (xy 97.87744 120.265386) (xy 97.917287 120.169187) (xy 97.9376 120.067063) (xy 97.9376 119.962937) (xy 97.930054 119.924998) + (xy 98.270108 119.584944) (xy 98.270113 119.584938) (xy 103.250252 114.6048) (xy 112.141749 114.6048) (xy 112.381946 114.844998) + (xy 112.3744 114.882937) (xy 112.3744 114.987063) (xy 112.394713 115.089187) (xy 112.43456 115.185386) (xy 112.492409 115.271963) + (xy 112.566037 115.345591) (xy 112.652614 115.40344) (xy 112.748813 115.443287) (xy 112.850937 115.4636) (xy 112.955063 115.4636) + (xy 113.057187 115.443287) (xy 113.153386 115.40344) (xy 113.239963 115.345591) (xy 113.313591 115.271963) (xy 113.37144 115.185386) + (xy 113.411287 115.089187) (xy 113.4316 114.987063) (xy 113.4316 114.882937) (xy 113.411287 114.780813) (xy 113.37144 114.684614) + (xy 113.313591 114.598037) (xy 113.239963 114.524409) (xy 113.153386 114.46656) (xy 113.057187 114.426713) (xy 112.955063 114.4064) + (xy 112.850937 114.4064) (xy 112.812998 114.413946) (xy 112.494112 114.095061) (xy 112.484568 114.083432) (xy 112.438157 114.045342) + (xy 112.385206 114.01704) (xy 112.327751 113.999611) (xy 112.282966 113.9952) (xy 112.282958 113.9952) (xy 112.268 113.993727) + (xy 112.253042 113.9952) (xy 103.138958 113.9952) (xy 103.124 113.993727) (xy 103.109042 113.9952) (xy 103.109034 113.9952) + (xy 103.069403 113.999103) (xy 103.064248 113.999611) (xy 103.030611 114.009815) (xy 103.006794 114.01704) (xy 102.953843 114.045342) + (xy 102.907432 114.083432) (xy 102.897892 114.095056) (xy 97.839062 119.153887) (xy 97.839056 119.153892) (xy 97.499002 119.493946) + (xy 97.461063 119.4864) (xy 97.356937 119.4864) (xy 97.254813 119.506713) (xy 97.158614 119.54656) (xy 97.072037 119.604409) + (xy 96.998409 119.678037) (xy 96.94056 119.764614) (xy 96.900713 119.860813) (xy 96.8804 119.962937) (xy 96.8248 119.962937) + (xy 96.8248 118.692937) (xy 96.8804 118.692937) (xy 96.8804 118.797063) (xy 96.900713 118.899187) (xy 96.94056 118.995386) + (xy 96.998409 119.081963) (xy 97.072037 119.155591) (xy 97.158614 119.21344) (xy 97.254813 119.253287) (xy 97.356937 119.2736) + (xy 97.461063 119.2736) (xy 97.563187 119.253287) (xy 97.659386 119.21344) (xy 97.745963 119.155591) (xy 97.819591 119.081963) + (xy 97.87744 118.995386) (xy 97.917287 118.899187) (xy 97.9376 118.797063) (xy 97.9376 118.692937) (xy 97.930054 118.654997) + (xy 104.520252 112.0648) (xy 115.452494 112.0648) (xy 115.48256 112.137386) (xy 115.540409 112.223963) (xy 115.614037 112.297591) + (xy 115.700614 112.35544) (xy 115.796813 112.395287) (xy 115.898937 112.4156) (xy 116.003063 112.4156) (xy 116.105187 112.395287) + (xy 116.201386 112.35544) (xy 116.287963 112.297591) (xy 116.361591 112.223963) (xy 116.41944 112.137386) (xy 116.459287 112.041187) + (xy 116.4796 111.939063) (xy 116.4796 111.834937) (xy 116.459287 111.732813) (xy 116.41944 111.636614) (xy 116.361591 111.550037) + (xy 116.287963 111.476409) (xy 116.201386 111.41856) (xy 116.105187 111.378713) (xy 116.003063 111.3584) (xy 115.898937 111.3584) + (xy 115.796813 111.378713) (xy 115.700614 111.41856) (xy 115.645778 111.4552) (xy 104.408958 111.4552) (xy 104.394 111.453727) + (xy 104.379042 111.4552) (xy 104.379034 111.4552) (xy 104.339403 111.459103) (xy 104.334248 111.459611) (xy 104.300611 111.469815) + (xy 104.276794 111.47704) (xy 104.223843 111.505342) (xy 104.177432 111.543432) (xy 104.167892 111.555056) (xy 97.499003 118.223946) + (xy 97.461063 118.2164) (xy 97.356937 118.2164) (xy 97.254813 118.236713) (xy 97.158614 118.27656) (xy 97.072037 118.334409) + (xy 96.998409 118.408037) (xy 96.94056 118.494614) (xy 96.900713 118.590813) (xy 96.8804 118.692937) (xy 96.8248 118.692937) + (xy 96.8248 117.728251) (xy 103.758252 110.7948) (xy 115.697749 110.7948) (xy 116.699946 111.796998) (xy 116.6924 111.834937) + (xy 116.6924 111.939063) (xy 116.712713 112.041187) (xy 116.75256 112.137386) (xy 116.810409 112.223963) (xy 116.884037 112.297591) + (xy 116.970614 112.35544) (xy 117.066813 112.395287) (xy 117.168937 112.4156) (xy 117.273063 112.4156) (xy 117.375187 112.395287) + (xy 117.471386 112.35544) (xy 117.557963 112.297591) (xy 117.631591 112.223963) (xy 117.68944 112.137386) (xy 117.729287 112.041187) + (xy 117.7496 111.939063) (xy 117.7496 111.834937) (xy 117.729287 111.732813) (xy 117.68944 111.636614) (xy 117.631591 111.550037) + (xy 117.557963 111.476409) (xy 117.471386 111.41856) (xy 117.375187 111.378713) (xy 117.273063 111.3584) (xy 117.168937 111.3584) + (xy 117.130998 111.365946) (xy 116.050112 110.285061) (xy 116.040568 110.273432) (xy 115.994157 110.235342) (xy 115.941206 110.20704) + (xy 115.883751 110.189611) (xy 115.838966 110.1852) (xy 115.838958 110.1852) (xy 115.824 110.183727) (xy 115.809042 110.1852) + (xy 103.646958 110.1852) (xy 103.632 110.183727) (xy 103.617042 110.1852) (xy 103.617034 110.1852) (xy 103.577403 110.189103) + (xy 103.572248 110.189611) (xy 103.514794 110.20704) (xy 103.461843 110.235342) (xy 103.415432 110.273432) (xy 103.405892 110.285056) + (xy 96.315061 117.375888) (xy 96.303432 117.385432) (xy 96.265342 117.431844) (xy 96.242275 117.475001) (xy 96.23704 117.484795) + (xy 96.221886 117.534752) (xy 96.219611 117.54225) (xy 96.2152 117.587035) (xy 96.2152 117.587042) (xy 96.213727 117.602) + (xy 96.2152 117.616958) (xy 96.215201 124.191032) (xy 96.213727 124.206) (xy 96.219611 124.265751) (xy 96.23704 124.323205) + (xy 96.247511 124.342795) (xy 96.265343 124.376157) (xy 96.303433 124.422568) (xy 96.315057 124.432108) (xy 101.627891 129.744943) + (xy 101.637432 129.756568) (xy 101.683843 129.794658) (xy 101.736794 129.82296) (xy 101.780876 129.836332) (xy 101.794248 129.840389) + (xy 101.799889 129.840945) (xy 101.839034 129.8448) (xy 101.839041 129.8448) (xy 101.853999 129.846273) (xy 101.868957 129.8448) + (xy 105.156749 129.8448) (xy 106.3752 131.063252) (xy 106.3752 131.382294) (xy 106.299 131.382294) (xy 106.179857 131.394029) + (xy 106.065293 131.428781) (xy 105.95971 131.485217) (xy 105.946815 131.4958) (xy 104.873185 131.4958) (xy 104.86029 131.485217) + (xy 104.754707 131.428781) (xy 104.640143 131.394029) (xy 104.521 131.382294) (xy 103.759 131.382294) (xy 103.639857 131.394029) + (xy 103.525293 131.428781) (xy 103.41971 131.485217) (xy 103.406815 131.4958) (xy 102.333185 131.4958) (xy 102.32029 131.485217) + (xy 102.214707 131.428781) (xy 102.100143 131.394029) (xy 101.981 131.382294) (xy 101.219 131.382294) (xy 101.099857 131.394029) + (xy 100.985293 131.428781) (xy 100.87971 131.485217) (xy 100.866815 131.4958) (xy 99.793185 131.4958) (xy 99.78029 131.485217) + (xy 99.674707 131.428781) (xy 99.560143 131.394029) (xy 99.441 131.382294) (xy 99.3648 131.382294) (xy 99.3648 127.776957) + (xy 99.366273 127.761999) (xy 99.3648 127.747041) (xy 99.3648 127.747034) (xy 99.360389 127.702249) (xy 99.34296 127.644794) + (xy 99.314658 127.591843) (xy 99.276568 127.545432) (xy 99.264944 127.535892) (xy 96.0628 124.333749) (xy 96.0628 116.712251) + (xy 103.250252 109.5248) (xy 115.697749 109.5248) (xy 117.969946 111.796999) (xy 117.9624 111.834937) (xy 117.9624 111.939063) + (xy 117.982713 112.041187) (xy 118.02256 112.137386) (xy 118.080409 112.223963) (xy 118.154037 112.297591) (xy 118.240614 112.35544) + (xy 118.336813 112.395287) (xy 118.438937 112.4156) (xy 118.543063 112.4156) (xy 118.645187 112.395287) (xy 118.741386 112.35544) + (xy 118.827963 112.297591) (xy 118.901591 112.223963) (xy 118.95944 112.137386) (xy 118.999287 112.041187) (xy 119.0196 111.939063) + (xy 119.0196 111.834937) (xy 118.999287 111.732813) (xy 118.95944 111.636614) (xy 118.901591 111.550037) (xy 118.827963 111.476409) + (xy 118.741386 111.41856) (xy 118.645187 111.378713) (xy 118.543063 111.3584) (xy 118.438937 111.3584) (xy 118.400999 111.365946) + (xy 116.050112 109.015061) (xy 116.040568 109.003432) (xy 115.994157 108.965342) (xy 115.941206 108.93704) (xy 115.883751 108.919611) + (xy 115.838966 108.9152) (xy 115.838958 108.9152) (xy 115.824 108.913727) (xy 115.809042 108.9152) (xy 103.138957 108.9152) + (xy 103.123999 108.913727) (xy 103.109041 108.9152) (xy 103.109034 108.9152) (xy 103.069889 108.919055) (xy 103.064248 108.919611) + (xy 103.010921 108.935788) (xy 103.006794 108.93704) (xy 102.953843 108.965342) (xy 102.907432 109.003432) (xy 102.897892 109.015056) + (xy 95.553061 116.359888) (xy 95.541432 116.369432) (xy 95.503342 116.415844) (xy 95.47504 116.468795) (xy 95.457611 116.52625) + (xy 95.4532 116.571035) (xy 95.4532 116.571042) (xy 95.451727 116.586) (xy 95.4532 116.600958) (xy 95.453201 124.445032) + (xy 95.451727 124.46) (xy 95.457611 124.519751) (xy 95.47504 124.577205) (xy 95.475041 124.577206) (xy 95.503343 124.630157) + (xy 95.541433 124.676568) (xy 95.553057 124.686108) (xy 98.7552 127.888252) (xy 98.755201 130.795025) (xy 98.7552 130.795035) + (xy 98.7552 131.382294) (xy 98.679 131.382294) (xy 98.559857 131.394029) (xy 98.445293 131.428781) (xy 98.33971 131.485217) + (xy 98.326815 131.4958) (xy 97.253185 131.4958) (xy 97.24029 131.485217) (xy 97.134707 131.428781) (xy 97.020143 131.394029) + (xy 96.901 131.382294) (xy 96.8248 131.382294) (xy 96.8248 131.332958) (xy 96.826273 131.318) (xy 96.8248 131.303042) + (xy 96.8248 131.303034) (xy 96.820389 131.258249) (xy 96.819887 131.256592) (xy 96.80296 131.200794) (xy 96.798076 131.191657) + (xy 96.774658 131.147843) (xy 96.736568 131.101432) (xy 96.724944 131.091892) (xy 94.891912 129.258861) (xy 94.882368 129.247232) + (xy 94.835957 129.209142) (xy 94.783006 129.18084) (xy 94.725551 129.163411) (xy 94.680766 129.159) (xy 94.680758 129.159) + (xy 94.6658 129.157527) (xy 94.650842 129.159) (xy 84.855514 129.159) (xy 79.2988 123.602287) (xy 79.2988 120.015) + (xy 79.523836 120.015) (xy 79.538058 120.159399) (xy 79.580178 120.298249) (xy 79.648576 120.426213) (xy 79.740625 120.538375) + (xy 79.768736 120.561445) (xy 80.606557 121.399267) (xy 80.629625 121.427375) (xy 80.657731 121.450441) (xy 80.657732 121.450442) + (xy 80.720029 121.501568) (xy 80.741787 121.519424) (xy 80.869751 121.587822) (xy 80.982298 121.621963) (xy 81.008601 121.629942) + (xy 81.153 121.644164) (xy 81.189186 121.6406) (xy 82.513817 121.6406) (xy 82.55 121.644164) (xy 82.586183 121.6406) + (xy 84.022891 121.6406) (xy 90.512554 128.130263) (xy 90.535625 128.158375) (xy 90.647787 128.250424) (xy 90.775751 128.318822) + (xy 90.914601 128.360942) (xy 91.022814 128.3716) (xy 91.022823 128.3716) (xy 91.058999 128.375163) (xy 91.095175 128.3716) + (xy 97.445186 128.3716) (xy 97.553399 128.360942) (xy 97.692249 128.318822) (xy 97.820213 128.250424) (xy 97.932375 128.158375) + (xy 98.024424 128.046213) (xy 98.092822 127.918249) (xy 98.134942 127.779399) (xy 98.149164 127.635) (xy 98.134942 127.490601) + (xy 98.092822 127.351751) (xy 98.024424 127.223787) (xy 97.932375 127.111625) (xy 97.820213 127.019576) (xy 97.692249 126.951178) + (xy 97.553399 126.909058) (xy 97.445186 126.8984) (xy 91.364109 126.8984) (xy 84.874446 120.408737) (xy 84.851375 120.380625) + (xy 84.823263 120.357554) (xy 84.1756 119.709891) (xy 84.1756 118.654177) (xy 84.179163 118.618001) (xy 84.1756 118.581825) + (xy 84.1756 116.876177) (xy 84.179163 116.840001) (xy 84.1756 116.803825) (xy 84.1756 111.049109) (xy 88.316109 106.9086) + (xy 118.058891 106.9086) (xy 120.294401 109.144111) (xy 120.2944 111.415185) (xy 120.294401 111.415195) (xy 120.2944 114.517817) + (xy 120.290836 114.554) (xy 120.2944 114.590183) (xy 120.2944 114.590185) (xy 120.305058 114.698398) (xy 120.347178 114.837248) + (xy 120.415576 114.965212) (xy 120.507625 115.077374) (xy 120.619787 115.169424) (xy 120.747751 115.237822) (xy 120.886601 115.279942) + (xy 121.031 115.294164) (xy 121.175398 115.279942) (xy 121.314248 115.237822) (xy 121.442212 115.169424) (xy 121.46397 115.151568) + (xy 121.526267 115.100442) (xy 121.526268 115.100441) (xy 121.554374 115.077375) (xy 121.577441 115.049268) (xy 122.03427 114.592439) + (xy 122.062374 114.569375) (xy 122.085442 114.541267) (xy 122.669269 113.957441) (xy 122.697375 113.934375) (xy 122.722275 113.904035) + (xy 122.756243 113.862644) (xy 122.789424 113.822213) (xy 122.857822 113.694249) (xy 122.899942 113.555399) (xy 122.9106 113.447186) + (xy 122.9106 113.447177) (xy 122.914163 113.411001) (xy 122.9106 113.374825) (xy 122.9106 110.018186) (xy 122.914164 109.982) + (xy 122.9106 109.945814) (xy 122.9106 108.00111) (xy 124.00311 106.9086) (xy 129.933391 106.9086) (xy 134.23265 111.20786) + (xy 134.23265 111.80414) (xy 133.489732 112.547059) (xy 133.461626 112.570125) (xy 133.43856 112.598231) (xy 133.438558 112.598233) + (xy 133.369576 112.682288) (xy 133.301178 112.810253) (xy 133.292788 112.837912) (xy 133.259059 112.949101) (xy 133.254067 112.999788) + (xy 133.244836 113.0935) (xy 133.2484 113.129683) (xy 133.2484 113.882814) (xy 133.244836 113.919) (xy 133.2484 113.955183) + (xy 133.2484 113.955185) (xy 133.248401 113.955194) (xy 133.2484 114.898813) (xy 133.244836 114.935) (xy 133.259058 115.079399) + (xy 133.301178 115.218249) (xy 133.369576 115.346213) (xy 133.461625 115.458375) (xy 133.489737 115.481446) (xy 136.4234 118.41511) + (xy 136.4234 119.634657) (xy 136.423401 121.644164) (xy 136.4234 124.02789) (xy 135.711891 124.7394) (xy 132.497186 124.7394) + (xy 132.461 124.735836) (xy 132.424814 124.7394) (xy 132.316601 124.750058) (xy 132.177751 124.792178) (xy 132.07965 124.844614) + (xy 132.049787 124.860576) (xy 131.978667 124.918943) (xy 131.937625 124.952625) (xy 131.914559 124.980731) (xy 128.091891 128.8034) + (xy 125.566814 128.8034) (xy 125.458601 128.814058) (xy 125.319751 128.856178) (xy 125.191787 128.924576) (xy 125.079625 129.016625) + (xy 124.987576 129.128787) (xy 124.919178 129.256751) (xy 124.877058 129.395601) (xy 124.862836 129.54) (xy 124.877058 129.684399) + (xy 124.919178 129.823249) (xy 124.987576 129.951213) (xy 125.079625 130.063375) (xy 125.191787 130.155424) (xy 125.319751 130.223822) + (xy 125.458601 130.265942) (xy 125.566814 130.2766) (xy 128.360817 130.2766) (xy 128.397 130.280164) (xy 128.433183 130.2766) + (xy 128.433186 130.2766) (xy 128.541399 130.265942) (xy 128.680249 130.223822) (xy 128.808213 130.155424) (xy 128.920375 130.063375) + (xy 128.943446 130.035263) (xy 132.76611 126.2126) (xy 135.711891 126.2126) (xy 136.296401 126.79711) (xy 136.2964 129.049436) + (xy 136.282142 129.070775) (xy 136.207468 129.251053) (xy 136.1694 129.442434) (xy 136.1694 129.637566) (xy 136.207468 129.828947) + (xy 136.282142 130.009225) (xy 136.390551 130.171471) (xy 136.528529 130.309449) (xy 136.690775 130.417858) (xy 136.871053 130.492532) + (xy 137.062434 130.5306) (xy 137.257566 130.5306) (xy 137.448947 130.492532) (xy 137.629225 130.417858) (xy 137.791471 130.309449) + (xy 137.929449 130.171471) (xy 138.037858 130.009225) (xy 138.112532 129.828947) (xy 138.1506 129.637566) (xy 138.1506 129.442434) + (xy 138.112532 129.251053) (xy 138.037858 129.070775) (xy 138.0236 129.049436) (xy 138.0236 126.528177) (xy 138.027163 126.492001) + (xy 138.0236 126.455825) (xy 138.0236 113.256675) (xy 138.027163 113.220499) (xy 138.0236 113.184323) (xy 138.0236 113.184314) + (xy 138.012942 113.076101) (xy 137.970822 112.937251) (xy 137.902424 112.809287) (xy 137.810375 112.697125) (xy 137.782269 112.674059) + (xy 137.706441 112.598231) (xy 137.683375 112.570125) (xy 137.655268 112.547059) (xy 136.943147 111.834937) (xy 136.118945 111.010736) + (xy 136.095875 110.982625) (xy 136.067763 110.959554) (xy 135.515697 110.407488) (xy 135.515692 110.407482) (xy 135.515687 110.407477) + (xy 135.492624 110.379375) (xy 135.464523 110.356314) (xy 130.784946 105.676737) (xy 130.761875 105.648625) (xy 130.649713 105.556576) + (xy 130.521749 105.488178) (xy 130.382899 105.446058) (xy 130.274686 105.4354) (xy 130.274683 105.4354) (xy 130.2385 105.431836) + (xy 130.202317 105.4354) (xy 123.734186 105.4354) (xy 123.698 105.431836) (xy 123.661814 105.4354) (xy 122.337175 105.4354) + (xy 122.300999 105.431837) (xy 122.264823 105.4354) (xy 121.067186 105.4354) (xy 121.031 105.431836) (xy 120.994814 105.4354) + (xy 119.797183 105.4354) (xy 119.761 105.431836) (xy 119.724817 105.4354) (xy 118.400183 105.4354) (xy 118.364 105.431836) + (xy 118.327817 105.4354) (xy 88.047175 105.4354) (xy 88.010999 105.431837) (xy 87.974823 105.4354) (xy 87.974814 105.4354) + (xy 87.866601 105.446058) (xy 87.727751 105.488178) (xy 87.599787 105.556576) (xy 87.487625 105.648625) (xy 87.464554 105.676737) + (xy 82.943732 110.197559) (xy 82.915626 110.220625) (xy 82.89256 110.248731) (xy 82.892558 110.248733) (xy 82.823576 110.332788) + (xy 82.755178 110.460753) (xy 82.737141 110.520213) (xy 82.71372 110.597424) (xy 82.713059 110.599602) (xy 82.698836 110.744) + (xy 82.702401 110.780193) (xy 82.702401 115.353432) (xy 82.7024 116.53489) (xy 82.372237 116.865054) (xy 82.372232 116.865058) + (xy 82.372227 116.865063) (xy 82.344125 116.888126) (xy 82.321063 116.916227) (xy 79.768736 119.468554) (xy 79.740625 119.491625) + (xy 79.648576 119.603787) (xy 79.580178 119.731751) (xy 79.538058 119.870601) (xy 79.523836 120.015) (xy 79.2988 120.015) + (xy 79.2988 118.871251) (xy 80.173998 117.996054) (xy 80.211937 118.0036) (xy 80.316063 118.0036) (xy 80.418187 117.983287) + (xy 80.514386 117.94344) (xy 80.600963 117.885591) (xy 80.674591 117.811963) (xy 80.73244 117.725386) (xy 80.772287 117.629187) + (xy 80.7926 117.527063) (xy 80.7926 117.422937) (xy 80.772287 117.320813) (xy 80.73244 117.224614) (xy 80.674591 117.138037) + (xy 80.600963 117.064409) (xy 80.514386 117.00656) (xy 80.418187 116.966713) (xy 80.316063 116.9464) (xy 80.211937 116.9464) + (xy 80.109813 116.966713) (xy 80.013614 117.00656) (xy 79.927037 117.064409) (xy 79.853409 117.138037) (xy 79.79556 117.224614) + (xy 79.755713 117.320813) (xy 79.7354 117.422937) (xy 79.7354 117.527063) (xy 79.742946 117.565002) (xy 78.994 118.313949) + (xy 78.994 117.906051) (xy 80.173999 116.726054) (xy 80.211937 116.7336) (xy 80.316063 116.7336) (xy 80.418187 116.713287) + (xy 80.514386 116.67344) (xy 80.600963 116.615591) (xy 80.674591 116.541963) (xy 80.73244 116.455386) (xy 80.772287 116.359187) + (xy 80.7926 116.257063) (xy 80.7926 116.152937) (xy 80.772287 116.050813) (xy 80.73244 115.954614) (xy 80.674591 115.868037) + (xy 80.600963 115.794409) (xy 80.514386 115.73656) (xy 80.418187 115.696713) (xy 80.316063 115.6764) (xy 80.211937 115.6764) + (xy 80.109813 115.696713) (xy 80.013614 115.73656) (xy 79.927037 115.794409) (xy 79.853409 115.868037) (xy 79.79556 115.954614) + (xy 79.755713 116.050813) (xy 79.7354 116.152937) (xy 79.7354 116.257063) (xy 79.742946 116.295001) (xy 78.6892 117.348749) + (xy 78.6892 116.940851) (xy 80.173998 115.456054) (xy 80.211937 115.4636) (xy 80.316063 115.4636) (xy 80.418187 115.443287) + (xy 80.514386 115.40344) (xy 80.600963 115.345591) (xy 80.674591 115.271963) (xy 80.73244 115.185386) (xy 80.772287 115.089187) + (xy 80.7926 114.987063) (xy 80.7926 114.882937) (xy 80.772287 114.780813) (xy 80.73244 114.684614) (xy 80.674591 114.598037) + (xy 80.600963 114.524409) (xy 80.514386 114.46656) (xy 80.418187 114.426713) (xy 80.316063 114.4064) (xy 80.211937 114.4064) + (xy 80.109813 114.426713) (xy 80.013614 114.46656) (xy 79.927037 114.524409) (xy 79.853409 114.598037) (xy 79.79556 114.684614) + (xy 79.755713 114.780813) (xy 79.7354 114.882937) (xy 79.7354 114.987063) (xy 79.742946 115.025002) (xy 78.3844 116.383549) + (xy 78.3844 115.975651) (xy 80.173998 114.186054) (xy 80.211937 114.1936) (xy 80.316063 114.1936) (xy 80.418187 114.173287) + (xy 80.514386 114.13344) (xy 80.600963 114.075591) (xy 80.674591 114.001963) (xy 80.73244 113.915386) (xy 80.772287 113.819187) + (xy 80.7926 113.717063) (xy 80.7926 113.612937) (xy 80.772287 113.510813) (xy 80.73244 113.414614) (xy 80.674591 113.328037) + (xy 80.600963 113.254409) (xy 80.514386 113.19656) (xy 80.418187 113.156713) (xy 80.316063 113.1364) (xy 80.211937 113.1364) + (xy 80.109813 113.156713) (xy 80.013614 113.19656) (xy 79.927037 113.254409) (xy 79.853409 113.328037) (xy 79.79556 113.414614) + (xy 79.755713 113.510813) (xy 79.7354 113.612937) (xy 79.7354 113.717063) (xy 79.742946 113.755002) (xy 78.0796 115.418349) + (xy 78.0796 115.010451) (xy 80.173998 112.916054) (xy 80.211937 112.9236) (xy 80.316063 112.9236) (xy 80.418187 112.903287) + (xy 80.514386 112.86344) (xy 80.600963 112.805591) (xy 80.674591 112.731963) (xy 80.73244 112.645386) (xy 80.772287 112.549187) + (xy 80.7926 112.447063) (xy 80.7926 112.342937) (xy 80.772287 112.240813) (xy 80.73244 112.144614) (xy 80.674591 112.058037) + (xy 80.600963 111.984409) (xy 80.514386 111.92656) (xy 80.418187 111.886713) (xy 80.316063 111.8664) (xy 80.211937 111.8664) + (xy 80.109813 111.886713) (xy 80.013614 111.92656) (xy 79.927037 111.984409) (xy 79.853409 112.058037) (xy 79.79556 112.144614) + (xy 79.755713 112.240813) (xy 79.7354 112.342937) (xy 79.7354 112.447063) (xy 79.742946 112.485002) (xy 77.7748 114.453149) + (xy 77.7748 114.045251) (xy 80.173998 111.646054) (xy 80.211937 111.6536) (xy 80.316063 111.6536) (xy 80.418187 111.633287) + (xy 80.514386 111.59344) (xy 80.600963 111.535591) (xy 80.674591 111.461963) (xy 80.73244 111.375386) (xy 80.772287 111.279187) + (xy 80.7926 111.177063) (xy 80.7926 111.072937) (xy 80.772287 110.970813) (xy 80.73244 110.874614) (xy 80.674591 110.788037) + (xy 80.600963 110.714409) (xy 80.514386 110.65656) (xy 80.418187 110.616713) (xy 80.316063 110.5964) (xy 80.211937 110.5964) + (xy 80.109813 110.616713) (xy 80.013614 110.65656) (xy 79.927037 110.714409) (xy 79.853409 110.788037) (xy 79.79556 110.874614) + (xy 79.755713 110.970813) (xy 79.7354 111.072937) (xy 79.7354 111.177063) (xy 79.742946 111.215002) (xy 77.47 113.487949) + (xy 77.47 113.080051) (xy 80.173998 110.376054) (xy 80.211937 110.3836) (xy 80.316063 110.3836) (xy 80.418187 110.363287) + (xy 80.514386 110.32344) (xy 80.600963 110.265591) (xy 80.674591 110.191963) (xy 80.73244 110.105386) (xy 80.772287 110.009187) + (xy 80.7926 109.907063) (xy 80.7926 109.802937) (xy 80.772287 109.700813) (xy 80.73244 109.604614) (xy 80.674591 109.518037) + (xy 80.600963 109.444409) (xy 80.514386 109.38656) (xy 80.418187 109.346713) (xy 80.316063 109.3264) (xy 80.211937 109.3264) + (xy 80.109813 109.346713) (xy 80.013614 109.38656) (xy 79.927037 109.444409) (xy 79.853409 109.518037) (xy 79.79556 109.604614) + (xy 79.755713 109.700813) (xy 79.7354 109.802937) (xy 79.7354 109.907063) (xy 79.742946 109.945002) (xy 77.1652 112.522749) + (xy 77.1652 112.114851) (xy 80.173998 109.106054) (xy 80.211937 109.1136) (xy 80.316063 109.1136) (xy 80.418187 109.093287) + (xy 80.514386 109.05344) (xy 80.600963 108.995591) (xy 80.674591 108.921963) (xy 80.73244 108.835386) (xy 80.772287 108.739187) + (xy 80.7926 108.637063) (xy 80.7926 108.532937) (xy 80.772287 108.430813) (xy 80.73244 108.334614) (xy 80.674591 108.248037) + (xy 80.600963 108.174409) (xy 80.514386 108.11656) (xy 80.418187 108.076713) (xy 80.316063 108.0564) (xy 80.211937 108.0564) + (xy 80.109813 108.076713) (xy 80.013614 108.11656) (xy 79.927037 108.174409) (xy 79.853409 108.248037) (xy 79.79556 108.334614) + (xy 79.755713 108.430813) (xy 79.7354 108.532937) (xy 79.7354 108.637063) (xy 79.742946 108.675002) (xy 76.655457 111.762492) + (xy 76.643833 111.772032) (xy 76.605743 111.818443) (xy 76.596927 111.834937) (xy 76.57744 111.871395) (xy 76.560011 111.928849) + (xy 76.554127 111.9886) (xy 76.555601 112.003568) (xy 76.5556 124.597442) (xy 76.554127 124.6124) (xy 76.5556 124.627358) + (xy 76.5556 124.627365) (xy 76.557123 124.642828) (xy 76.560011 124.672151) (xy 76.569279 124.702702) (xy 76.57744 124.729605) + (xy 76.605742 124.782556) (xy 76.643832 124.828968) (xy 76.655461 124.838512) (xy 79.324201 127.507253) (xy 79.3242 128.016748) + (xy 78.535057 128.805892) (xy 78.523433 128.815432) (xy 78.485343 128.861843) (xy 78.470215 128.890146) (xy 78.45704 128.914795) + (xy 78.439611 128.972249) (xy 78.433727 129.032) (xy 78.435201 129.046968) (xy 78.435201 131.382294) (xy 78.359 131.382294) + (xy 78.239857 131.394029) (xy 78.125293 131.428781) (xy 78.01971 131.485217) (xy 78.006815 131.4958) (xy 77.1906 131.4958) + (xy 77.1906 129.442434) (xy 77.18106 129.394474) (xy 77.176267 129.345808) (xy 77.162072 129.299014) (xy 77.152532 129.251053) + (xy 77.133818 129.205872) (xy 77.119623 129.15908) (xy 77.096572 129.115954) (xy 77.077858 129.070775) (xy 77.050691 129.030117) + (xy 77.027639 128.986989) (xy 76.996616 128.949188) (xy 76.969449 128.908529) (xy 76.93487 128.87395) (xy 76.903849 128.836151) + (xy 76.86605 128.80513) (xy 76.831471 128.770551) (xy 76.790812 128.743384) (xy 76.753011 128.712361) (xy 76.709883 128.689309) + (xy 76.669225 128.662142) (xy 76.624046 128.643428) (xy 76.58092 128.620377) (xy 76.534128 128.606182) (xy 76.488947 128.587468) + (xy 76.440986 128.577928) (xy 76.394192 128.563733) (xy 76.345527 128.55894) (xy 76.297566 128.5494) (xy 76.248665 128.5494) + (xy 76.2 128.544607) (xy 76.151335 128.5494) (xy 76.102434 128.5494) (xy 76.054473 128.55894) (xy 76.005809 128.563733) + (xy 75.959017 128.577927) (xy 75.911053 128.587468) (xy 75.86587 128.606184) (xy 75.819081 128.620377) (xy 75.775959 128.643426) + (xy 75.730775 128.662142) (xy 75.690113 128.689311) (xy 75.64699 128.712361) (xy 75.609193 128.74338) (xy 75.568529 128.770551) + (xy 75.533945 128.805135) (xy 75.496152 128.836151) (xy 75.465136 128.873944) (xy 75.430551 128.908529) (xy 75.403379 128.949194) + (xy 75.372362 128.986989) (xy 75.349314 129.030109) (xy 75.322142 129.070775) (xy 75.303425 129.115963) (xy 75.280378 129.15908) + (xy 75.266186 129.205863) (xy 75.247468 129.251053) (xy 75.237926 129.299024) (xy 75.223734 129.345808) (xy 75.218942 129.394464) + (xy 75.2094 129.442434) (xy 75.2094 129.637566) (xy 75.209401 129.637571) (xy 75.209401 131.4958) (xy 70.365544 131.4958) + (xy 69.747951 131.372281) (xy 69.26014 131.128376) (xy 68.769624 130.63786) (xy 68.525719 130.150049) (xy 68.4022 129.532456) + (xy 68.4022 115.196544) (xy 68.525719 114.578951) (xy 68.769624 114.09114) (xy 77.89614 104.964624) (xy 78.383951 104.720719) + (xy 79.001544 104.5972) (xy 136.898456 104.5972) + ) + ) + (filled_polygon + (pts + (xy 80.467201 128.219187) (xy 80.4672 129.271042) (xy 80.465727 129.286) (xy 80.4672 129.300958) (xy 80.4672 129.300965) + (xy 80.469317 129.322458) (xy 80.471611 129.345751) (xy 80.47892 129.369844) (xy 80.48904 129.403205) (xy 80.517342 129.456156) + (xy 80.555432 129.502568) (xy 80.567061 129.512112) (xy 80.975201 129.920253) (xy 80.975201 131.382294) (xy 80.899 131.382294) + (xy 80.779857 131.394029) (xy 80.665293 131.428781) (xy 80.55971 131.485217) (xy 80.546815 131.4958) (xy 79.473185 131.4958) + (xy 79.46029 131.485217) (xy 79.354707 131.428781) (xy 79.240143 131.394029) (xy 79.121 131.382294) (xy 79.0448 131.382294) + (xy 79.0448 129.158251) (xy 79.833945 128.369107) (xy 79.845568 128.359568) (xy 79.883658 128.313157) (xy 79.91196 128.260206) + (xy 79.918258 128.239444) (xy 79.929389 128.202752) (xy 79.931488 128.181441) (xy 79.9338 128.157966) (xy 79.9338 128.157958) + (xy 79.935273 128.143) (xy 79.9338 128.128042) (xy 79.9338 127.685786) + ) + ) + (filled_polygon + (pts + (xy 88.5952 131.317252) (xy 88.5952 131.382294) (xy 88.519 131.382294) (xy 88.399857 131.394029) (xy 88.285293 131.428781) + (xy 88.17971 131.485217) (xy 88.166815 131.4958) (xy 87.093185 131.4958) (xy 87.08029 131.485217) (xy 86.974707 131.428781) + (xy 86.860143 131.394029) (xy 86.741 131.382294) (xy 86.6648 131.382294) (xy 86.6648 131.205957) (xy 86.666273 131.190999) + (xy 86.6648 131.176041) (xy 86.6648 131.176034) (xy 86.660389 131.131249) (xy 86.64296 131.073794) (xy 86.614658 131.020843) + (xy 86.576568 130.974432) (xy 86.564944 130.964892) (xy 86.283051 130.683) (xy 87.960949 130.683) + ) + ) + (filled_polygon + (pts + (xy 91.073242 131.382294) (xy 91.059 131.382294) (xy 90.939857 131.394029) (xy 90.825293 131.428781) (xy 90.71971 131.485217) + (xy 90.706815 131.4958) (xy 89.633185 131.4958) (xy 89.62029 131.485217) (xy 89.514707 131.428781) (xy 89.400143 131.394029) + (xy 89.281 131.382294) (xy 89.2048 131.382294) (xy 89.2048 131.205958) (xy 89.206273 131.191) (xy 89.2048 131.176042) + (xy 89.2048 131.176034) (xy 89.200389 131.131249) (xy 89.195847 131.116274) (xy 89.18296 131.073794) (xy 89.177373 131.063342) + (xy 89.154658 131.020843) (xy 89.116568 130.974432) (xy 89.104945 130.964893) (xy 88.518251 130.3782) (xy 90.069149 130.3782) + ) + ) + (filled_polygon + (pts + (xy 93.613242 131.382294) (xy 93.599 131.382294) (xy 93.479857 131.394029) (xy 93.365293 131.428781) (xy 93.25971 131.485217) + (xy 93.246815 131.4958) (xy 92.173185 131.4958) (xy 92.16029 131.485217) (xy 92.054707 131.428781) (xy 91.940143 131.394029) + (xy 91.821 131.382294) (xy 91.7448 131.382294) (xy 91.7448 131.332957) (xy 91.746273 131.317999) (xy 91.7448 131.303041) + (xy 91.7448 131.303034) (xy 91.740389 131.258249) (xy 91.739887 131.256592) (xy 91.734061 131.237389) (xy 91.72296 131.200794) + (xy 91.694658 131.147843) (xy 91.656568 131.101432) (xy 91.644944 131.091892) (xy 90.626451 130.0734) (xy 92.304349 130.0734) + ) + ) + (filled_polygon + (pts + (xy 96.153242 131.382294) (xy 96.139 131.382294) (xy 96.019857 131.394029) (xy 95.905293 131.428781) (xy 95.79971 131.485217) + (xy 95.786815 131.4958) (xy 94.713185 131.4958) (xy 94.70029 131.485217) (xy 94.594707 131.428781) (xy 94.480143 131.394029) + (xy 94.361 131.382294) (xy 94.2848 131.382294) (xy 94.2848 131.332957) (xy 94.286273 131.317999) (xy 94.2848 131.303041) + (xy 94.2848 131.303034) (xy 94.280389 131.258249) (xy 94.279887 131.256592) (xy 94.274061 131.237389) (xy 94.26296 131.200794) + (xy 94.234658 131.147843) (xy 94.196568 131.101432) (xy 94.184945 131.091893) (xy 92.861651 129.7686) (xy 94.539549 129.7686) + ) + ) + (filled_polygon + (pts + (xy 83.5152 130.83612) (xy 83.5152 131.382294) (xy 83.439 131.382294) (xy 83.319857 131.394029) (xy 83.205293 131.428781) + (xy 83.09971 131.485217) (xy 83.086815 131.4958) (xy 82.013185 131.4958) (xy 82.00029 131.485217) (xy 81.894707 131.428781) + (xy 81.780143 131.394029) (xy 81.661 131.382294) (xy 81.5848 131.382294) (xy 81.5848 129.808957) (xy 81.586273 129.793999) + (xy 81.5848 129.779041) (xy 81.5848 129.779034) (xy 81.580389 129.734249) (xy 81.578609 129.728379) (xy 81.566938 129.689908) + (xy 81.56296 129.676794) (xy 81.534658 129.623843) (xy 81.496568 129.577432) (xy 81.484944 129.567892) (xy 81.0768 129.159749) + (xy 81.0768 128.39772) + ) + ) + ) +) diff --git a/Voyager128.pro b/Voyager128.pro new file mode 100644 index 0000000..ac27f83 --- /dev/null +++ b/Voyager128.pro @@ -0,0 +1,259 @@ +update=Wednesday, February 19, 2020 at 07:32:36 PM +version=1 +last_client=kicad +[general] +version=1 +RootSch= +BoardNm= +[cvpcb] +version=1 +NetIExt=net +[eeschema] +version=1 +LibDir= +[eeschema/libraries] +[schematic_editor] +version=1 +PageLayoutDescrFile= +PlotDirectoryName= +SubpartIdSeparator=0 +SubpartFirstId=65 +NetFmtName=Pcbnew +SpiceAjustPassiveValues=0 +LabSize=50 +ERC_TestSimilarLabels=1 +[pcbnew] +version=1 +PageLayoutDescrFile= +LastNetListRead=Voyager128.net +CopperLayerCount=2 +BoardThickness=1.6 +AllowMicroVias=0 +AllowBlindVias=0 +RequireCourtyardDefinitions=0 +ProhibitOverlappingCourtyards=1 +MinTrackWidth=0.1524 +MinViaDiameter=0.4 +MinViaDrill=0.3 +MinMicroViaDiameter=0.2 +MinMicroViaDrill=0.09999999999999999 +MinHoleToHole=0.25 +TrackWidth1=0.1524 +TrackWidth2=0.2 +TrackWidth3=0.254 +TrackWidth4=0.508 +TrackWidth5=0.762 +TrackWidth6=1.016 +TrackWidth7=1.27 +TrackWidth8=1.524 +ViaDiameter1=0.6 +ViaDrill1=0.3 +ViaDiameter2=0.8 +ViaDrill2=0.4 +ViaDiameter3=1.524 +ViaDrill3=0.762 +dPairWidth1=0.2 +dPairGap1=0.25 +dPairViaGap1=0.25 +SilkLineWidth=0.15 +SilkTextSizeV=1 +SilkTextSizeH=1 +SilkTextSizeThickness=0.15 +SilkTextItalic=0 +SilkTextUpright=1 +CopperLineWidth=0.1524 +CopperTextSizeV=1.5 +CopperTextSizeH=1.5 +CopperTextThickness=0.3 +CopperTextItalic=0 +CopperTextUpright=1 +EdgeCutLineWidth=0.15 +CourtyardLineWidth=0.05 +OthersLineWidth=0.15 +OthersTextSizeV=1 +OthersTextSizeH=1 +OthersTextSizeThickness=0.15 +OthersTextItalic=0 +OthersTextUpright=1 +SolderMaskClearance=0.07619999999999999 +SolderMaskMinWidth=0.127 +SolderPasteClearance=-0.03809999999999999 +SolderPasteRatio=-0 +[pcbnew/Layer.F.Cu] +Name=F.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.In1.Cu] +Name=In1.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In2.Cu] +Name=In2.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In3.Cu] +Name=In3.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In4.Cu] +Name=In4.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In5.Cu] +Name=In5.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In6.Cu] +Name=In6.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In7.Cu] +Name=In7.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In8.Cu] +Name=In8.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In9.Cu] +Name=In9.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In10.Cu] +Name=In10.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In11.Cu] +Name=In11.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In12.Cu] +Name=In12.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In13.Cu] +Name=In13.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In14.Cu] +Name=In14.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In15.Cu] +Name=In15.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In16.Cu] +Name=In16.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In17.Cu] +Name=In17.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In18.Cu] +Name=In18.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In19.Cu] +Name=In19.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In20.Cu] +Name=In20.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In21.Cu] +Name=In21.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In22.Cu] +Name=In22.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In23.Cu] +Name=In23.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In24.Cu] +Name=In24.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In25.Cu] +Name=In25.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In26.Cu] +Name=In26.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In27.Cu] +Name=In27.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In28.Cu] +Name=In28.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In29.Cu] +Name=In29.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.In30.Cu] +Name=In30.Cu +Type=0 +Enabled=0 +[pcbnew/Layer.B.Cu] +Name=B.Cu +Type=0 +Enabled=1 +[pcbnew/Layer.B.Adhes] +Enabled=1 +[pcbnew/Layer.F.Adhes] +Enabled=1 +[pcbnew/Layer.B.Paste] +Enabled=1 +[pcbnew/Layer.F.Paste] +Enabled=1 +[pcbnew/Layer.B.SilkS] +Enabled=1 +[pcbnew/Layer.F.SilkS] +Enabled=1 +[pcbnew/Layer.B.Mask] +Enabled=1 +[pcbnew/Layer.F.Mask] +Enabled=1 +[pcbnew/Layer.Dwgs.User] +Enabled=1 +[pcbnew/Layer.Cmts.User] +Enabled=1 +[pcbnew/Layer.Eco1.User] +Enabled=1 +[pcbnew/Layer.Eco2.User] +Enabled=1 +[pcbnew/Layer.Edge.Cuts] +Enabled=1 +[pcbnew/Layer.Margin] +Enabled=1 +[pcbnew/Layer.B.CrtYd] +Enabled=1 +[pcbnew/Layer.F.CrtYd] +Enabled=1 +[pcbnew/Layer.B.Fab] +Enabled=1 +[pcbnew/Layer.F.Fab] +Enabled=1 +[pcbnew/Layer.Rescue] +Enabled=0 +[pcbnew/Netclasses] +[pcbnew/Netclasses/Default] +Name=Default +Clearance=0.1524 +TrackWidth=0.1524 +ViaDiameter=0.6 +ViaDrill=0.3 +uViaDiameter=0.3 +uViaDrill=0.1 +dPairWidth=0.2 +dPairGap=0.25 +dPairViaGap=0.25 diff --git a/Voyager128.sch b/Voyager128.sch new file mode 100644 index 0000000..203bdce --- /dev/null +++ b/Voyager128.sch @@ -0,0 +1,1261 @@ +EESchema Schematic File Version 4 +EELAYER 30 0 +EELAYER END +$Descr USLetter 11000 8500 +encoding utf-8 +Sheet 1 1 +Title "GR8RAM" +Date "2019-10-13" +Rev "0.9" +Comp "Garrett's Workshop" +Comment1 "" +Comment2 "" +Comment3 "" +Comment4 "" +$EndDescr +$Comp +L power:+5V #PWR0120 +U 1 1 607FA428 +P 3250 6900 +F 0 "#PWR0120" H 3250 6750 50 0001 C CNN +F 1 "+5V" H 3250 7050 50 0000 C CNN +F 2 "" H 3250 6900 50 0001 C CNN +F 3 "" H 3250 6900 50 0001 C CNN + 1 3250 6900 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C4 +U 1 1 607FA429 +P 4450 7000 +F 0 "C4" H 4500 7050 50 0000 L CNN +F 1 "2u2" H 4500 6950 50 0000 L CNN +F 2 "stdpads:C_0805" H 4450 7000 50 0001 C CNN +F 3 "~" H 4450 7000 50 0001 C CNN + 1 4450 7000 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C1 +U 1 1 5D136B08 +P 3250 7000 +F 0 "C1" H 3300 7050 50 0000 L CNN +F 1 "2u2" H 3300 6950 50 0000 L CNN +F 2 "stdpads:C_0805" H 3250 7000 50 0001 C CNN +F 3 "~" H 3250 7000 50 0001 C CNN + 1 3250 7000 + 1 0 0 -1 +$EndComp +Connection ~ 3650 6900 +Wire Wire Line + 3650 6900 4050 6900 +Wire Wire Line + 3650 7100 4050 7100 +$Comp +L Device:C_Small C3 +U 1 1 5D14D1AA +P 4050 7000 +F 0 "C3" H 4100 7050 50 0000 L CNN +F 1 "2u2" H 4100 6950 50 0000 L CNN +F 2 "stdpads:C_0805" H 4050 7000 50 0001 C CNN +F 3 "~" H 4050 7000 50 0001 C CNN + 1 4050 7000 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C2 +U 1 1 5D14D1B0 +P 3650 7000 +F 0 "C2" H 3700 7050 50 0000 L CNN +F 1 "2u2" H 3700 6950 50 0000 L CNN +F 2 "stdpads:C_0805" H 3650 7000 50 0001 C CNN +F 3 "~" H 3650 7000 50 0001 C CNN + 1 3650 7000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3250 7100 3650 7100 +Connection ~ 3650 7100 +Wire Wire Line + 3650 6900 3250 6900 +Connection ~ 4050 6900 +Wire Wire Line + 4050 6900 4450 6900 +Connection ~ 4050 7100 +Wire Wire Line + 4050 7100 4450 7100 +Connection ~ 3250 6900 +Wire Wire Line + 3400 6400 3100 6400 +Connection ~ 3400 6400 +Wire Wire Line + 3100 6400 2800 6400 +Connection ~ 3100 6400 +Wire Wire Line + 2800 6400 2500 6400 +Connection ~ 2800 6400 +Connection ~ 3700 6400 +Wire Wire Line + 3700 6400 3400 6400 +$Comp +L power:GND #PWR0132 +U 1 1 607FA437 +P 3700 6400 +F 0 "#PWR0132" H 3700 6150 50 0001 C CNN +F 1 "GND" H 3705 6227 50 0000 C CNN +F 2 "" H 3700 6400 50 0001 C CNN +F 3 "" H 3700 6400 50 0001 C CNN + 1 3700 6400 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H5 +U 1 1 5CC871F0 +P 3700 6300 +F 0 "H5" H 3800 6351 50 0000 L CNN +F 1 " " H 3800 6260 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 3700 6300 50 0001 C CNN +F 3 "~" H 3700 6300 50 0001 C CNN + 1 3700 6300 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H4 +U 1 1 5CC7E0C0 +P 3400 6300 +F 0 "H4" H 3500 6351 50 0000 L CNN +F 1 " " H 3500 6260 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 3400 6300 50 0001 C CNN +F 3 "~" H 3400 6300 50 0001 C CNN + 1 3400 6300 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H3 +U 1 1 607FA435 +P 3100 6300 +F 0 "H3" H 3200 6351 50 0000 L CNN +F 1 " " H 3200 6260 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 3100 6300 50 0001 C CNN +F 3 "~" H 3100 6300 50 0001 C CNN + 1 3100 6300 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H2 +U 1 1 607FA434 +P 2800 6300 +F 0 "H2" H 2900 6351 50 0000 L CNN +F 1 " " H 2900 6260 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 2800 6300 50 0001 C CNN +F 3 "~" H 2800 6300 50 0001 C CNN + 1 2800 6300 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:MountingHole_Pad H1 +U 1 1 607FA433 +P 2500 6300 +F 0 "H1" H 2600 6351 50 0000 L CNN +F 1 " " H 2600 6260 50 0000 L CNN +F 2 "stdpads:PasteHole_1.1mm_PTH" H 2500 6300 50 0001 C CNN +F 3 "~" H 2500 6300 50 0001 C CNN + 1 2500 6300 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0109 +U 1 1 5D120CE1 +P 1750 1800 +F 0 "#PWR0109" H 1750 1650 50 0001 C CNN +F 1 "+5V" V 1750 2000 50 0000 C CNN +F 2 "" H 1750 1800 50 0001 C CNN +F 3 "" H 1750 1800 50 0001 C CNN + 1 1750 1800 + 0 1 1 0 +$EndComp +$Comp +L power:GND #PWR0108 +U 1 1 5D11FEA8 +P 1250 1800 +F 0 "#PWR0108" H 1250 1550 50 0001 C CNN +F 1 "GND" V 1250 1600 50 0000 C CNN +F 2 "" H 1250 1800 50 0001 C CNN +F 3 "" H 1250 1800 50 0001 C CNN + 1 1250 1800 + 0 1 -1 0 +$EndComp +$Comp +L power:+12V #PWR0103 +U 1 1 5CFFF62F +P 1250 4200 +F 0 "#PWR0103" H 1250 4050 50 0001 C CNN +F 1 "+12V" V 1150 4150 50 0000 L CNN +F 2 "" H 1250 4200 50 0001 C CNN +F 3 "" H 1250 4200 50 0001 C CNN + 1 1250 4200 + 0 -1 -1 0 +$EndComp +Text Label 1250 4100 2 50 ~ 0 +D0 +Text Label 1250 4000 2 50 ~ 0 +D1 +Text Label 1250 3900 2 50 ~ 0 +D2 +Text Label 1250 3800 2 50 ~ 0 +D3 +Text Label 1250 3700 2 50 ~ 0 +D4 +Text Label 1250 3600 2 50 ~ 0 +D5 +Text Label 1250 3500 2 50 ~ 0 +D6 +Text Label 1250 3400 2 50 ~ 0 +D7 +Text Label 1250 3300 2 50 ~ 0 +~DEVSEL~ +Text Label 1250 3200 2 50 ~ 0 +PHI0 +Text Label 1250 3100 2 50 ~ 0 +USER1 +Text Label 1250 3000 2 50 ~ 0 +PHI1 +Text Label 1250 2900 2 50 ~ 0 +Q3 +Text Label 1250 2800 2 50 ~ 0 +7M +Text Label 1250 2700 2 50 ~ 0 +COLORREF +$Comp +L power:-12V #PWR0102 +U 1 1 5CFEEC44 +P 1250 2500 +F 0 "#PWR0102" H 1250 2600 50 0001 C CNN +F 1 "-12V" V 1250 2650 50 0000 L CNN +F 2 "" H 1250 2500 50 0001 C CNN +F 3 "" H 1250 2500 50 0001 C CNN + 1 1250 2500 + 0 -1 -1 0 +$EndComp +$Comp +L power:-5V #PWR0101 +U 1 1 5CFEFECE +P 1250 2600 +F 0 "#PWR0101" H 1250 2700 50 0001 C CNN +F 1 "-5V" V 1250 2750 50 0000 L CNN +F 2 "" H 1250 2600 50 0001 C CNN +F 3 "" H 1250 2600 50 0001 C CNN + 1 1250 2600 + 0 -1 -1 0 +$EndComp +$Comp +L Connector_Generic:Conn_02x25_Counter_Clockwise J1 +U 1 1 5CFC517D +P 1550 3000 +F 0 "J1" H 1600 1575 50 0000 C CNN +F 1 "AppleIIBus" H 1600 1666 50 0000 C CNN +F 2 "stdpads:AppleIIBus_Edge" H 1550 3000 50 0001 C CNN +F 3 "~" H 1550 3000 50 0001 C CNN + 1 1550 3000 + -1 0 0 1 +$EndComp +Text Label 1250 2400 2 50 ~ 0 +~INH~ +Text Label 1250 2300 2 50 ~ 0 +~RES~ +Text Label 1250 2200 2 50 ~ 0 +~IRQ~ +Text Label 1250 2100 2 50 ~ 0 +~NMI~ +Text Label 1250 2000 2 50 ~ 0 +INTin +Text Label 1250 1900 2 50 ~ 0 +DMAin +Text Label 1750 1900 0 50 ~ 0 +DMAout +Text Label 1750 2000 0 50 ~ 0 +INTout +Text Label 1750 2100 0 50 ~ 0 +DMA +Text Label 1750 2200 0 50 ~ 0 +RDY +Text Label 1750 2300 0 50 ~ 0 +~IOSTRB~ +Text Label 1750 2400 0 50 ~ 0 +VIDSYNC +Text Label 1750 2500 0 50 ~ 0 +R~W~ +Text Label 1750 2600 0 50 ~ 0 +A15 +Text Label 1750 2700 0 50 ~ 0 +A14 +Text Label 1750 2800 0 50 ~ 0 +A13 +Text Label 1750 2900 0 50 ~ 0 +A12 +Text Label 1750 3000 0 50 ~ 0 +A11 +Text Label 1750 3100 0 50 ~ 0 +A10 +Text Label 1750 3200 0 50 ~ 0 +A9 +Text Label 1750 3300 0 50 ~ 0 +A8 +Text Label 1750 3400 0 50 ~ 0 +A7 +Text Label 1750 3500 0 50 ~ 0 +A6 +Text Label 1750 3600 0 50 ~ 0 +A5 +Text Label 1750 3700 0 50 ~ 0 +A4 +Text Label 1750 3800 0 50 ~ 0 +A3 +Text Label 1750 3900 0 50 ~ 0 +A2 +Text Label 1750 4000 0 50 ~ 0 +A1 +Text Label 1750 4100 0 50 ~ 0 +A0 +Text Label 1750 4200 0 50 ~ 0 +~IOSEL~ +$Comp +L Device:C_Small C5 +U 1 1 5E8640A9 +P 2850 7000 +F 0 "C5" H 2900 7050 50 0000 L CNN +F 1 "2u2" H 2900 6950 50 0000 L CNN +F 2 "stdpads:C_0805" H 2850 7000 50 0001 C CNN +F 3 "~" H 2850 7000 50 0001 C CNN + 1 2850 7000 + 1 0 0 -1 +$EndComp +$Comp +L power:+12V #PWR0128 +U 1 1 5E875A47 +P 2850 6900 +F 0 "#PWR0128" H 2850 6750 50 0001 C CNN +F 1 "+12V" H 2850 7050 50 0000 C CNN +F 2 "" H 2850 6900 50 0001 C CNN +F 3 "" H 2850 6900 50 0001 C CNN + 1 2850 6900 + -1 0 0 -1 +$EndComp +Text Label 1450 4750 2 50 ~ 0 +INTin +Text Label 1450 4650 2 50 ~ 0 +DMAin +Text Label 1550 4650 0 50 ~ 0 +DMAout +Text Label 1550 4750 0 50 ~ 0 +INTout +Wire Wire Line + 1450 4650 1550 4650 +Wire Wire Line + 1550 4750 1450 4750 +$Comp +L Mechanical:Fiducial FID1 +U 1 1 5D319AED +P 4450 6250 +F 0 "FID1" H 4535 6296 50 0000 L CNN +F 1 "Fiducial" H 4535 6205 50 0000 L CNN +F 2 "stdpads:Fiducial" H 4450 6250 50 0001 C CNN +F 3 "~" H 4450 6250 50 0001 C CNN + 1 4450 6250 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID2 +U 1 1 5D321D2B +P 4450 6450 +F 0 "FID2" H 4535 6496 50 0000 L CNN +F 1 "Fiducial" H 4535 6405 50 0000 L CNN +F 2 "stdpads:Fiducial" H 4450 6450 50 0001 C CNN +F 3 "~" H 4450 6450 50 0001 C CNN + 1 4450 6450 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID3 +U 1 1 5D321DA8 +P 5050 6250 +F 0 "FID3" H 5135 6296 50 0000 L CNN +F 1 "Fiducial" H 5135 6205 50 0000 L CNN +F 2 "stdpads:Fiducial" H 5050 6250 50 0001 C CNN +F 3 "~" H 5050 6250 50 0001 C CNN + 1 5050 6250 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID4 +U 1 1 5D322056 +P 5050 6450 +F 0 "FID4" H 5135 6496 50 0000 L CNN +F 1 "Fiducial" H 5135 6405 50 0000 L CNN +F 2 "stdpads:Fiducial" H 5050 6450 50 0001 C CNN +F 3 "~" H 5050 6450 50 0001 C CNN + 1 5050 6450 + 1 0 0 -1 +$EndComp +$Comp +L Mechanical:Fiducial FID5 +U 1 1 5D3223BF +P 5650 6450 +F 0 "FID5" H 5735 6496 50 0000 L CNN +F 1 "Fiducial" H 5735 6405 50 0000 L CNN +F 2 "stdpads:Fiducial" H 5650 6450 50 0001 C CNN +F 3 "~" H 5650 6450 50 0001 C CNN + 1 5650 6450 + 1 0 0 -1 +$EndComp +Entry Wire Line + 2250 3350 2350 3250 +Entry Wire Line + 2250 3450 2350 3350 +Entry Wire Line + 2250 3550 2350 3450 +Entry Wire Line + 2250 3650 2350 3550 +Entry Wire Line + 2250 3050 2350 2950 +Entry Wire Line + 2250 3150 2350 3050 +Entry Wire Line + 2250 3250 2350 3150 +Entry Wire Line + 2050 3600 1950 3700 +Entry Wire Line + 2050 3700 1950 3800 +Entry Wire Line + 2050 3800 1950 3900 +Entry Wire Line + 2050 3900 1950 4000 +Entry Wire Line + 2050 4000 1950 4100 +Entry Wire Line + 2050 3100 1950 3200 +Entry Wire Line + 2050 3200 1950 3300 +Entry Wire Line + 2050 3300 1950 3400 +Entry Wire Line + 2050 3400 1950 3500 +Entry Wire Line + 2050 3500 1950 3600 +Entry Wire Line + 2050 2600 1950 2700 +Entry Wire Line + 2050 2700 1950 2800 +Entry Wire Line + 2050 2800 1950 2900 +Entry Wire Line + 2050 2900 1950 3000 +Entry Wire Line + 2050 3000 1950 3100 +Entry Wire Line + 2050 2500 1950 2600 +Wire Wire Line + 1750 2600 1950 2600 +Wire Wire Line + 1750 2700 1950 2700 +Wire Wire Line + 1750 2800 1950 2800 +Wire Wire Line + 1750 2900 1950 2900 +Wire Wire Line + 1750 3000 1950 3000 +Wire Wire Line + 1750 3100 1950 3100 +Wire Wire Line + 1750 3200 1950 3200 +Wire Wire Line + 1750 3300 1950 3300 +Wire Wire Line + 1750 3400 1950 3400 +Wire Wire Line + 1750 3500 1950 3500 +Wire Wire Line + 1750 3600 1950 3600 +Wire Wire Line + 1750 3700 1950 3700 +Wire Wire Line + 1750 3800 1950 3800 +Wire Wire Line + 1750 3900 1950 3900 +Wire Wire Line + 1750 4000 1950 4000 +Wire Wire Line + 1750 4100 1950 4100 +Entry Wire Line + 950 3900 1050 3800 +Entry Wire Line + 950 3800 1050 3700 +Entry Wire Line + 950 3700 1050 3600 +Entry Wire Line + 950 3600 1050 3500 +Entry Wire Line + 950 3500 1050 3400 +Entry Wire Line + 950 4200 1050 4100 +Entry Wire Line + 950 4100 1050 4000 +Entry Wire Line + 950 4000 1050 3900 +Wire Wire Line + 1050 3400 1250 3400 +Wire Wire Line + 1050 3500 1250 3500 +Wire Wire Line + 1050 3600 1250 3600 +Wire Wire Line + 1050 3700 1250 3700 +Wire Wire Line + 1050 3800 1250 3800 +Wire Wire Line + 1050 3900 1250 3900 +Wire Wire Line + 1050 4000 1250 4000 +Wire Wire Line + 1050 4100 1250 4100 +$Comp +L GW_RAM:SRAM-128Kx8-SOP-32 U2 +U 1 1 5DE2589C +P 4450 3450 +F 0 "U2" H 4450 3850 50 0000 C CNN +F 1 "621024" V 4450 3450 50 0000 C CNN +F 2 "stdpads:SOP-32_P1.27mm" H 4450 3450 50 0001 C CNN +F 3 "http://www.futurlec.com/Datasheet/Memory/628128.pdf" H 4450 3450 50 0001 C CNN + 1 4450 3450 + -1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0104 +U 1 1 5DE402D0 +P 2950 2550 +F 0 "#PWR0104" H 2950 2400 50 0001 C CNN +F 1 "+5V" H 2950 2700 50 0000 C CNN +F 2 "" H 2950 2550 50 0001 C CNN +F 3 "" H 2950 2550 50 0001 C CNN + 1 2950 2550 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0105 +U 1 1 5DE41138 +P 2950 4150 +F 0 "#PWR0105" H 2950 3900 50 0001 C CNN +F 1 "GND" H 2950 4000 50 0000 C CNN +F 2 "" H 2950 4150 50 0001 C CNN +F 3 "" H 2950 4150 50 0001 C CNN + 1 2950 4150 + 1 0 0 -1 +$EndComp +Entry Wire Line + 2250 2950 2350 2850 +Text Label 2450 3550 2 50 ~ 0 +D0 +Text Label 2450 3450 2 50 ~ 0 +D1 +Text Label 2450 3350 2 50 ~ 0 +D2 +Text Label 2450 3250 2 50 ~ 0 +D3 +Text Label 2450 3150 2 50 ~ 0 +D4 +Text Label 2450 3050 2 50 ~ 0 +D5 +Text Label 2450 2950 2 50 ~ 0 +D6 +Text Label 2450 2850 2 50 ~ 0 +D7 +Wire Wire Line + 2350 2850 2450 2850 +Wire Wire Line + 2350 2950 2450 2950 +Wire Wire Line + 2350 3050 2450 3050 +Wire Wire Line + 2350 3150 2450 3150 +Wire Wire Line + 2350 3250 2450 3250 +Wire Wire Line + 2350 3350 2450 3350 +Wire Wire Line + 2350 3450 2450 3450 +Wire Wire Line + 2350 3550 2450 3550 +Text Label 3450 2850 0 50 ~ 0 +RD0 +Text Label 3450 2950 0 50 ~ 0 +RD1 +Text Label 3450 3050 0 50 ~ 0 +RD2 +Text Label 3450 3150 0 50 ~ 0 +RD3 +Text Label 3450 3250 0 50 ~ 0 +RD4 +Text Label 3450 3350 0 50 ~ 0 +RD5 +Text Label 3450 3450 0 50 ~ 0 +RD6 +Text Label 3450 3550 0 50 ~ 0 +RD7 +Wire Wire Line + 3650 3550 3450 3550 +Wire Wire Line + 3650 3450 3450 3450 +Wire Wire Line + 3650 3350 3450 3350 +Wire Wire Line + 3650 3250 3450 3250 +Wire Wire Line + 3650 3150 3450 3150 +Wire Wire Line + 3650 3050 3450 3050 +Text Label 4050 3150 2 50 ~ 0 +RD0 +Text Label 4050 3050 2 50 ~ 0 +RD1 +Text Label 4050 2950 2 50 ~ 0 +RD2 +Text Label 4050 2850 2 50 ~ 0 +RD3 +Wire Wire Line + 3850 2850 4050 2850 +Wire Wire Line + 3850 2950 4050 2950 +Wire Wire Line + 3850 3050 4050 3050 +Wire Wire Line + 3850 3150 4050 3150 +Wire Wire Line + 3850 3250 4050 3250 +Wire Wire Line + 3850 3350 4050 3350 +Wire Wire Line + 3850 3450 4050 3450 +Wire Wire Line + 3850 3550 4050 3550 +Entry Wire Line + 3650 2950 3750 2850 +Entry Wire Line + 3650 3050 3750 2950 +Entry Wire Line + 3650 3150 3750 3050 +Entry Wire Line + 3650 3250 3750 3150 +Entry Wire Line + 3650 3350 3750 3250 +Entry Wire Line + 3750 2750 3850 2850 +Entry Wire Line + 3750 2850 3850 2950 +Entry Wire Line + 3750 2950 3850 3050 +Entry Wire Line + 3750 3050 3850 3150 +Entry Wire Line + 3750 3150 3850 3250 +Entry Wire Line + 3750 3250 3850 3350 +Entry Wire Line + 3750 3350 3850 3450 +Entry Wire Line + 3750 3450 3850 3550 +$Comp +L power:+5V #PWR0106 +U 1 1 5DE88183 +P 4050 2650 +F 0 "#PWR0106" H 4050 2500 50 0001 C CNN +F 1 "+5V" H 4050 2800 50 0000 C CNN +F 2 "" H 4050 2650 50 0001 C CNN +F 3 "" H 4050 2650 50 0001 C CNN + 1 4050 2650 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0107 +U 1 1 5DE887B9 +P 4050 4250 +F 0 "#PWR0107" H 4050 4000 50 0001 C CNN +F 1 "GND" H 4050 4100 50 0000 C CNN +F 2 "" H 4050 4250 50 0001 C CNN +F 3 "" H 4050 4250 50 0001 C CNN + 1 4050 4250 + 1 0 0 -1 +$EndComp +Entry Wire Line + 3650 2850 3750 2750 +Text Label 4850 3150 0 50 ~ 0 +A11 +Text Label 4850 3050 0 50 ~ 0 +A10 +Text Label 4850 2950 0 50 ~ 0 +A9 +Text Label 4850 2850 0 50 ~ 0 +A8 +Text Label 4850 3650 0 50 ~ 0 +A5 +Text Label 4850 4150 0 50 ~ 0 +A4 +Text Label 4850 3450 0 50 ~ 0 +A2 +Text Label 4850 3550 0 50 ~ 0 +A1 +Text Label 4850 3750 0 50 ~ 0 +A0 +Wire Wire Line + 4850 3750 5050 3750 +Wire Wire Line + 4850 3650 5050 3650 +Wire Wire Line + 4850 3550 5050 3550 +Wire Wire Line + 4850 3450 5050 3450 +Wire Wire Line + 4850 3350 5050 3350 +Wire Wire Line + 4850 3250 5050 3250 +Wire Wire Line + 4850 3150 5050 3150 +Wire Wire Line + 4850 3050 5050 3050 +Wire Wire Line + 4850 2950 5050 2950 +Wire Wire Line + 4850 2850 5050 2850 +Wire Wire Line + 4850 2750 5050 2750 +Wire Wire Line + 4850 2650 5050 2650 +Wire Wire Line + 4850 4250 5050 4250 +Wire Wire Line + 4850 4150 5050 4150 +Wire Wire Line + 4850 4050 5050 4050 +Wire Wire Line + 4850 3950 5050 3950 +Wire Wire Line + 4850 3850 5050 3850 +Entry Wire Line + 5150 3650 5050 3750 +Entry Wire Line + 5150 3550 5050 3650 +Entry Wire Line + 5150 3850 5050 3950 +Entry Wire Line + 5150 3950 5050 4050 +Entry Wire Line + 5150 4050 5050 4150 +Entry Wire Line + 5150 4150 5050 4250 +Entry Wire Line + 5150 3750 5050 3850 +Entry Wire Line + 3650 3550 3750 3450 +Entry Wire Line + 3650 3450 3750 3350 +Wire Wire Line + 3650 2850 3450 2850 +Wire Wire Line + 3650 2950 3450 2950 +Wire Wire Line + 3450 3750 3550 3750 +Text Label 3450 3750 0 50 ~ 0 +R~W~ +Text Label 4050 3750 2 50 ~ 0 +R~CS~ +Wire Wire Line + 3550 3750 3550 3950 +$Comp +L 74xx:74HC245 U1 +U 1 1 5DE36B22 +P 2950 3350 +F 0 "U1" H 2950 3550 50 0000 C CNN +F 1 "74HCT245" H 2950 3050 50 0000 C CNN +F 2 "stdpads:SOIC-20W_7.5mm" H 2950 3350 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74HC245" H 2950 3350 50 0001 C CNN + 1 2950 3350 + -1 0 0 -1 +$EndComp +Wire Wire Line + 3650 3850 3650 3750 +Wire Wire Line + 3450 3850 3650 3850 +Wire Wire Line + 4050 3850 3750 3850 +Wire Wire Line + 3650 3750 4050 3750 +$Comp +L power:+5V #PWR0111 +U 1 1 5E1C7A4E +P 3750 3700 +F 0 "#PWR0111" H 3750 3550 50 0001 C CNN +F 1 "+5V" H 3750 3850 50 0000 C CNN +F 2 "" H 3750 3700 50 0001 C CNN +F 3 "" H 3750 3700 50 0001 C CNN + 1 3750 3700 + 1 0 0 -1 +$EndComp +Wire Wire Line + 3750 3700 3750 3850 +Wire Wire Line + 3550 3950 4050 3950 +Text Label 4050 3950 2 50 ~ 0 +R~WE~ +Wire Wire Line + 4050 4050 4050 4250 +Connection ~ 4050 4250 +Entry Wire Line + 5150 2550 5050 2650 +Entry Wire Line + 5150 3050 5050 3150 +Entry Wire Line + 5150 2950 5050 3050 +Entry Wire Line + 5150 2850 5050 2950 +Entry Wire Line + 5150 2750 5050 2850 +Entry Wire Line + 5150 2650 5050 2750 +Entry Wire Line + 5150 3450 5050 3550 +Entry Wire Line + 5150 3350 5050 3450 +Entry Wire Line + 5150 3250 5050 3350 +Entry Wire Line + 5150 3150 5050 3250 +Entry Wire Line + 5150 2950 5250 3050 +Entry Wire Line + 5150 3050 5250 3150 +Entry Wire Line + 5150 3150 5250 3250 +Entry Wire Line + 5150 3250 5250 3350 +Entry Wire Line + 5150 3350 5250 3450 +Entry Wire Line + 5150 3450 5250 3550 +Entry Wire Line + 5150 3550 5250 3650 +Entry Wire Line + 5150 3650 5250 3750 +Wire Wire Line + 6550 3850 6750 3850 +Wire Wire Line + 6750 3750 6550 3750 +Wire Wire Line + 6550 3650 6750 3650 +Text Label 6550 2950 0 50 ~ 0 +R~CS~1 +Text Label 6550 3350 0 50 ~ 0 +~INH~b +Wire Wire Line + 6550 3450 6750 3450 +Text Label 6550 3450 0 50 ~ 0 +RA12 +Text Label 6550 3050 0 50 ~ 0 +ENWR +Text Label 6550 3150 0 50 ~ 0 +ENRD +Text Label 6550 3650 0 50 ~ 0 +RA14 +Text Label 6550 3750 0 50 ~ 0 +RA15 +Text Label 6550 3850 0 50 ~ 0 +RA16 +Text Label 5750 3750 2 50 ~ 0 +A15 +Text Label 5750 3650 2 50 ~ 0 +A14 +Text Label 5750 3550 2 50 ~ 0 +A13 +Text Label 5750 3450 2 50 ~ 0 +A12 +Text Label 5750 3850 2 50 ~ 0 +R~W~ +Text Label 5750 3950 2 50 ~ 0 +~RES~ +Text Label 5750 2950 2 50 ~ 0 +PHI1 +Text Label 5750 3050 2 50 ~ 0 +A0 +Text Label 5750 3150 2 50 ~ 0 +A1 +Text Label 5750 3250 2 50 ~ 0 +A2 +Text Label 5750 3350 2 50 ~ 0 +A3 +Text Label 5750 2850 2 50 ~ 0 +~DEVSEL~ +Wire Bus Line + 5150 4150 6850 4150 +Entry Wire Line + 6750 3850 6850 3950 +Entry Wire Line + 6750 3750 6850 3850 +Entry Wire Line + 6750 3650 6850 3750 +Wire Bus Line + 950 4450 2250 4450 +Wire Bus Line + 2050 2300 5150 2300 +$Comp +L power:GND #PWR0110 +U 1 1 5D1550D4 +P 4850 7100 +F 0 "#PWR0110" H 4850 6850 50 0001 C CNN +F 1 "GND" H 4850 6950 50 0000 C CNN +F 2 "" H 4850 7100 50 0001 C CNN +F 3 "" H 4850 7100 50 0001 C CNN + 1 4850 7100 + 1 0 0 -1 +$EndComp +Connection ~ 4450 7100 +$Comp +L GW_PLD:GAL22V10-PLCC U3 +U 1 1 5E740F35 +P 6150 3350 +F 0 "U3" H 6150 4000 50 0000 C CNN +F 1 "GAL22V10" V 6150 3250 50 0000 C CNN +F 2 "stdpads:PLCC-28" H 6150 3300 50 0001 C CNN +F 3 "~" H 6150 3300 50 0001 C CNN + 1 6150 3350 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0113 +U 1 1 5E752E93 +P 6550 3950 +F 0 "#PWR0113" H 6550 3700 50 0001 C CNN +F 1 "GND" H 6550 3800 50 0000 C CNN +F 2 "" H 6550 3950 50 0001 C CNN +F 3 "" H 6550 3950 50 0001 C CNN + 1 6550 3950 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0114 +U 1 1 5E7548D5 +P 6550 2850 +F 0 "#PWR0114" H 6550 2700 50 0001 C CNN +F 1 "+5V" H 6550 3000 50 0000 C CNN +F 2 "" H 6550 2850 50 0001 C CNN +F 3 "" H 6550 2850 50 0001 C CNN + 1 6550 2850 + 1 0 0 -1 +$EndComp +Text Label 4050 3250 2 50 ~ 0 +RD7 +Text Label 4050 3350 2 50 ~ 0 +RD6 +Text Label 4050 3450 2 50 ~ 0 +RD5 +Text Label 4050 3550 2 50 ~ 0 +RD4 +Text Label 4850 4250 0 50 ~ 0 +RA16 +Text Label 4850 4050 0 50 ~ 0 +RA15 +Text Label 4850 3250 0 50 ~ 0 +RA12 +Text Label 4850 3350 0 50 ~ 0 +A13 +Text Label 4850 3850 0 50 ~ 0 +RA14 +Text Label 4850 2650 0 50 ~ 0 +A6 +Text Label 4850 2750 0 50 ~ 0 +A7 +Text Label 4850 3950 0 50 ~ 0 +A3 +Text Label 6550 3550 0 50 ~ 0 +ENWR1 +Entry Wire Line + 6750 3450 6850 3550 +Text Label 6550 3250 0 50 ~ 0 +BankAB +Wire Wire Line + 5250 3650 5750 3650 +Wire Wire Line + 5250 3750 5750 3750 +Wire Wire Line + 5250 3450 5750 3450 +Wire Wire Line + 5250 3550 5750 3550 +Wire Wire Line + 5250 3250 5750 3250 +Wire Wire Line + 5250 3350 5750 3350 +Wire Wire Line + 5250 3050 5750 3050 +Wire Wire Line + 5250 3150 5750 3150 +Text Notes 5350 2850 0 50 ~ 0 +(1) +Text Notes 5350 2950 0 50 ~ 0 +(2) +Text Notes 5350 3050 0 50 ~ 0 +(3) +Text Notes 5350 3150 0 50 ~ 0 +(4) +Text Notes 5350 3250 0 50 ~ 0 +(5) +Text Notes 5350 3350 0 50 ~ 0 +(6) +Text Notes 5350 3450 0 50 ~ 0 +(7) +Text Notes 5350 3550 0 50 ~ 0 +(8) +Text Notes 5350 3650 0 50 ~ 0 +(9) +Text Notes 5350 3750 0 50 ~ 0 +(10) +Text Notes 5350 3850 0 50 ~ 0 +(11) +Text Notes 5350 3950 0 50 ~ 0 +(13) +Wire Wire Line + 2850 7100 3250 7100 +Connection ~ 3250 7100 +Text Notes 6950 3850 0 50 ~ 0 +(14) +Text Notes 6950 3750 0 50 ~ 0 +(15) +Text Notes 6950 3650 0 50 ~ 0 +(16) +Text Notes 6950 3550 0 50 ~ 0 +(17) +Text Notes 6950 3450 0 50 ~ 0 +(18) +Text Notes 6950 3350 0 50 ~ 0 +(19) +Text Notes 6950 3250 0 50 ~ 0 +(20) +Text Notes 6950 3150 0 50 ~ 0 +(21) +Text Notes 6950 3050 0 50 ~ 0 +(22) +Text Notes 6950 2950 0 50 ~ 0 +(23) +$Comp +L power:+5V #PWR0112 +U 1 1 5E66DD72 +P 8450 3550 +F 0 "#PWR0112" H 8450 3400 50 0001 C CNN +F 1 "+5V" H 8450 3700 50 0000 C CNN +F 2 "" H 8450 3550 50 0001 C CNN +F 3 "" H 8450 3550 50 0001 C CNN + 1 8450 3550 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0115 +U 1 1 5E66E2A7 +P 8450 4550 +F 0 "#PWR0115" H 8450 4300 50 0001 C CNN +F 1 "GND" H 8450 4400 50 0000 C CNN +F 2 "" H 8450 4550 50 0001 C CNN +F 3 "" H 8450 4550 50 0001 C CNN + 1 8450 4550 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS125 U4 +U 4 1 5E6CF07A +P 7500 4350 +F 0 "U4" H 7450 4350 50 0000 C CNN +F 1 "74AHCT125" H 7450 4100 50 0000 R CNN +F 2 "stdpads:SOIC-14_3.9mm" H 7500 4350 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS125" H 7500 4350 50 0001 C CNN + 4 7500 4350 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS125 U4 +U 3 1 5E6CFF48 +P 7500 4850 +F 0 "U4" H 7450 4850 50 0000 C CNN +F 1 "74AHCT125" H 7650 4800 50 0000 L CNN +F 2 "stdpads:SOIC-14_3.9mm" H 7500 4850 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS125" H 7500 4850 50 0001 C CNN + 3 7500 4850 + 1 0 0 -1 +$EndComp +$Comp +L power:+5V #PWR0116 +U 1 1 5E6CB431 +P 7900 4650 +F 0 "#PWR0116" H 7900 4500 50 0001 C CNN +F 1 "+5V" H 7900 4800 50 0000 C CNN +F 2 "" H 7900 4650 50 0001 C CNN +F 3 "" H 7900 4650 50 0001 C CNN + 1 7900 4650 + 1 0 0 -1 +$EndComp +$Comp +L Device:R_Small R1 +U 1 1 5E6C502A +P 7900 4750 +F 0 "R1" H 7959 4796 50 0000 L CNN +F 1 "1k" H 7959 4705 50 0000 L CNN +F 2 "stdpads:R_0805" H 7900 4750 50 0001 C CNN +F 3 "~" H 7900 4750 50 0001 C CNN + 1 7900 4750 + 1 0 0 -1 +$EndComp +Text Label 8100 4850 0 50 ~ 0 +~INH~ +$Comp +L 74xx:74LS125 U4 +U 1 1 5E64DA0F +P 7450 2950 +F 0 "U4" H 7400 2950 50 0000 C CNN +F 1 "74AHCT125" H 7800 2900 50 0000 C CNN +F 2 "stdpads:SOIC-14_3.9mm" H 7450 2950 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS125" H 7450 2950 50 0001 C CNN + 1 7450 2950 + 1 0 0 -1 +$EndComp +$Comp +L 74xx:74LS125 U4 +U 2 1 5E6CE65F +P 7500 3850 +F 0 "U4" H 7450 3850 50 0000 C CNN +F 1 "74AHCT125" H 7450 3600 50 0000 R CNN +F 2 "stdpads:SOIC-14_3.9mm" H 7500 3850 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS125" H 7500 3850 50 0001 C CNN + 2 7500 3850 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0117 +U 1 1 5E946D06 +P 7450 3200 +F 0 "#PWR0117" H 7450 2950 50 0001 C CNN +F 1 "GND" H 7450 3050 50 0000 C CNN +F 2 "" H 7450 3200 50 0001 C CNN +F 3 "" H 7450 3200 50 0001 C CNN + 1 7450 3200 + 1 0 0 -1 +$EndComp +$Comp +L power:GND #PWR0119 +U 1 1 5E95F71E +P 7700 3900 +F 0 "#PWR0119" H 7700 3650 50 0001 C CNN +F 1 "GND" H 7700 3750 50 0000 C CNN +F 2 "" H 7700 3900 50 0001 C CNN +F 3 "" H 7700 3900 50 0001 C CNN + 1 7700 3900 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7700 3900 7600 3900 +Wire Wire Line + 7600 3900 7600 4100 +Wire Wire Line + 7600 4100 7500 4100 +$Comp +L power:GND #PWR0121 +U 1 1 5E965165 +P 7700 4400 +F 0 "#PWR0121" H 7700 4150 50 0001 C CNN +F 1 "GND" H 7700 4250 50 0000 C CNN +F 2 "" H 7700 4400 50 0001 C CNN +F 3 "" H 7700 4400 50 0001 C CNN + 1 7700 4400 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7700 4400 7600 4400 +Wire Wire Line + 7600 4400 7600 4600 +Wire Wire Line + 7600 4600 7500 4600 +Wire Wire Line + 7800 4150 7200 4150 +Wire Wire Line + 7800 4350 7800 4650 +Wire Wire Line + 7800 3850 7800 4150 +Wire Wire Line + 7200 4350 7200 4150 +$Comp +L power:GND #PWR0122 +U 1 1 5EA28AA9 +P 7200 4850 +F 0 "#PWR0122" H 7200 4600 50 0001 C CNN +F 1 "GND" H 7200 4700 50 0000 C CNN +F 2 "" H 7200 4850 50 0001 C CNN +F 3 "" H 7200 4850 50 0001 C CNN + 1 7200 4850 + 1 0 0 -1 +$EndComp +Wire Wire Line + 7500 5100 7100 5100 +Wire Wire Line + 7100 5100 7100 4650 +Wire Wire Line + 7100 4650 7800 4650 +Wire Wire Line + 8100 4850 7900 4850 +Connection ~ 7900 4850 +Wire Wire Line + 7900 4850 7800 4850 +Wire Wire Line + 6550 2950 7150 2950 +Wire Wire Line + 6550 3350 7200 3350 +Wire Wire Line + 7200 3350 7200 3850 +Text Label 7950 2950 2 50 ~ 0 +R~CS~ +Wire Wire Line + 7950 2950 7750 2950 +$Comp +L 74xx:74LS125 U4 +U 5 1 5E66D644 +P 8450 4050 +F 0 "U4" H 8450 4100 50 0000 C CNN +F 1 "74AHCT125" H 8450 4000 50 0000 C CNN +F 2 "stdpads:SOIC-14_3.9mm" H 8450 4050 50 0001 C CNN +F 3 "http://www.ti.com/lit/gpn/sn74LS125" H 8450 4050 50 0001 C CNN + 5 8450 4050 + 1 0 0 -1 +$EndComp +$Comp +L Device:C_Small C6 +U 1 1 5E988E8B +P 4850 7000 +F 0 "C6" H 4900 7050 50 0000 L CNN +F 1 "2u2" H 4900 6950 50 0000 L CNN +F 2 "stdpads:C_0805" H 4850 7000 50 0001 C CNN +F 3 "~" H 4850 7000 50 0001 C CNN + 1 4850 7000 + 1 0 0 -1 +$EndComp +Wire Wire Line + 4450 6900 4850 6900 +Wire Wire Line + 4450 7100 4850 7100 +Connection ~ 4850 7100 +Wire Bus Line + 6850 3550 6850 4150 +Wire Bus Line + 5150 3750 5150 4150 +Wire Bus Line + 950 3500 950 4450 +Wire Bus Line + 3750 2750 3750 3450 +Wire Bus Line + 2250 2950 2250 4450 +Wire Bus Line + 2050 2300 2050 4000 +Wire Bus Line + 5150 2300 5150 3650 +$EndSCHEMATC diff --git a/fp-lib-table b/fp-lib-table new file mode 100644 index 0000000..0d7b8e4 --- /dev/null +++ b/fp-lib-table @@ -0,0 +1,3 @@ +(fp_lib_table + (lib (name stdpads)(type KiCad)(uri "$(KIPRJMOD)/../stdpads.pretty")(options "")(descr "")) +) diff --git a/gerber/Voyager128-B_Cu.gbl b/gerber/Voyager128-B_Cu.gbl new file mode 100644 index 0000000..ab86424 --- /dev/null +++ b/gerber/Voyager128-B_Cu.gbl @@ -0,0 +1,6812 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L2,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,2.000000*% +%ADD12C,0.800000*% +%ADD13C,0.600000*% +%ADD14C,1.524000*% +%ADD15C,1.270000*% +%ADD16C,1.016000*% +%ADD17C,1.524000*% +%ADD18C,0.152400*% +G04 APERTURE END LIST* +G04 #@! TA.AperFunction,SMDPad,CuDef* +D10* +G36* +X137578345Y-131613835D02* +G01* +X137615329Y-131619321D01* +X137651598Y-131628406D01* +X137686802Y-131641002D01* +X137720602Y-131656988D01* +X137752672Y-131676210D01* +X137782704Y-131698483D01* +X137810408Y-131723592D01* +X137835517Y-131751296D01* +X137857790Y-131781328D01* +X137877012Y-131813398D01* +X137892998Y-131847198D01* +X137905594Y-131882402D01* +X137914679Y-131918671D01* +X137920165Y-131955655D01* +X137922000Y-131993000D01* +X137922000Y-138571000D01* +X137920165Y-138608345D01* +X137914679Y-138645329D01* +X137905594Y-138681598D01* +X137892998Y-138716802D01* +X137877012Y-138750602D01* +X137857790Y-138782672D01* +X137835517Y-138812704D01* +X137810408Y-138840408D01* +X137782704Y-138865517D01* +X137752672Y-138887790D01* +X137720602Y-138907012D01* +X137686802Y-138922998D01* +X137651598Y-138935594D01* +X137615329Y-138944679D01* +X137578345Y-138950165D01* +X137541000Y-138952000D01* +X136779000Y-138952000D01* +X136741655Y-138950165D01* +X136704671Y-138944679D01* +X136668402Y-138935594D01* +X136633198Y-138922998D01* +X136599398Y-138907012D01* +X136567328Y-138887790D01* +X136537296Y-138865517D01* +X136509592Y-138840408D01* +X136484483Y-138812704D01* +X136462210Y-138782672D01* +X136442988Y-138750602D01* +X136427002Y-138716802D01* +X136414406Y-138681598D01* +X136405321Y-138645329D01* +X136399835Y-138608345D01* +X136398000Y-138571000D01* +X136398000Y-131993000D01* +X136399835Y-131955655D01* +X136405321Y-131918671D01* +X136414406Y-131882402D01* +X136427002Y-131847198D01* +X136442988Y-131813398D01* +X136462210Y-131781328D01* +X136484483Y-131751296D01* +X136509592Y-131723592D01* +X136537296Y-131698483D01* +X136567328Y-131676210D01* +X136599398Y-131656988D01* +X136633198Y-131641002D01* +X136668402Y-131628406D01* +X136704671Y-131619321D01* +X136741655Y-131613835D01* +X136779000Y-131612000D01* +X137541000Y-131612000D01* +X137578345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X135038345Y-131613835D02* +G01* +X135075329Y-131619321D01* +X135111598Y-131628406D01* +X135146802Y-131641002D01* +X135180602Y-131656988D01* +X135212672Y-131676210D01* +X135242704Y-131698483D01* +X135270408Y-131723592D01* +X135295517Y-131751296D01* +X135317790Y-131781328D01* +X135337012Y-131813398D01* +X135352998Y-131847198D01* +X135365594Y-131882402D01* +X135374679Y-131918671D01* +X135380165Y-131955655D01* +X135382000Y-131993000D01* +X135382000Y-138571000D01* +X135380165Y-138608345D01* +X135374679Y-138645329D01* +X135365594Y-138681598D01* +X135352998Y-138716802D01* +X135337012Y-138750602D01* +X135317790Y-138782672D01* +X135295517Y-138812704D01* +X135270408Y-138840408D01* +X135242704Y-138865517D01* +X135212672Y-138887790D01* +X135180602Y-138907012D01* +X135146802Y-138922998D01* +X135111598Y-138935594D01* +X135075329Y-138944679D01* +X135038345Y-138950165D01* +X135001000Y-138952000D01* +X134239000Y-138952000D01* +X134201655Y-138950165D01* +X134164671Y-138944679D01* +X134128402Y-138935594D01* +X134093198Y-138922998D01* +X134059398Y-138907012D01* +X134027328Y-138887790D01* +X133997296Y-138865517D01* +X133969592Y-138840408D01* +X133944483Y-138812704D01* +X133922210Y-138782672D01* +X133902988Y-138750602D01* +X133887002Y-138716802D01* +X133874406Y-138681598D01* +X133865321Y-138645329D01* +X133859835Y-138608345D01* +X133858000Y-138571000D01* +X133858000Y-131993000D01* +X133859835Y-131955655D01* +X133865321Y-131918671D01* +X133874406Y-131882402D01* +X133887002Y-131847198D01* +X133902988Y-131813398D01* +X133922210Y-131781328D01* +X133944483Y-131751296D01* +X133969592Y-131723592D01* +X133997296Y-131698483D01* +X134027328Y-131676210D01* +X134059398Y-131656988D01* +X134093198Y-131641002D01* +X134128402Y-131628406D01* +X134164671Y-131619321D01* +X134201655Y-131613835D01* +X134239000Y-131612000D01* +X135001000Y-131612000D01* +X135038345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X132498345Y-131613835D02* +G01* +X132535329Y-131619321D01* +X132571598Y-131628406D01* +X132606802Y-131641002D01* +X132640602Y-131656988D01* +X132672672Y-131676210D01* +X132702704Y-131698483D01* +X132730408Y-131723592D01* +X132755517Y-131751296D01* +X132777790Y-131781328D01* +X132797012Y-131813398D01* +X132812998Y-131847198D01* +X132825594Y-131882402D01* +X132834679Y-131918671D01* +X132840165Y-131955655D01* +X132842000Y-131993000D01* +X132842000Y-138571000D01* +X132840165Y-138608345D01* +X132834679Y-138645329D01* +X132825594Y-138681598D01* +X132812998Y-138716802D01* +X132797012Y-138750602D01* +X132777790Y-138782672D01* +X132755517Y-138812704D01* +X132730408Y-138840408D01* +X132702704Y-138865517D01* +X132672672Y-138887790D01* +X132640602Y-138907012D01* +X132606802Y-138922998D01* +X132571598Y-138935594D01* +X132535329Y-138944679D01* +X132498345Y-138950165D01* +X132461000Y-138952000D01* +X131699000Y-138952000D01* +X131661655Y-138950165D01* +X131624671Y-138944679D01* +X131588402Y-138935594D01* +X131553198Y-138922998D01* +X131519398Y-138907012D01* +X131487328Y-138887790D01* +X131457296Y-138865517D01* +X131429592Y-138840408D01* +X131404483Y-138812704D01* +X131382210Y-138782672D01* +X131362988Y-138750602D01* +X131347002Y-138716802D01* +X131334406Y-138681598D01* +X131325321Y-138645329D01* +X131319835Y-138608345D01* +X131318000Y-138571000D01* +X131318000Y-131993000D01* +X131319835Y-131955655D01* +X131325321Y-131918671D01* +X131334406Y-131882402D01* +X131347002Y-131847198D01* +X131362988Y-131813398D01* +X131382210Y-131781328D01* +X131404483Y-131751296D01* +X131429592Y-131723592D01* +X131457296Y-131698483D01* +X131487328Y-131676210D01* +X131519398Y-131656988D01* +X131553198Y-131641002D01* +X131588402Y-131628406D01* +X131624671Y-131619321D01* +X131661655Y-131613835D01* +X131699000Y-131612000D01* +X132461000Y-131612000D01* +X132498345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X76618345Y-131613835D02* +G01* +X76655329Y-131619321D01* +X76691598Y-131628406D01* +X76726802Y-131641002D01* +X76760602Y-131656988D01* +X76792672Y-131676210D01* +X76822704Y-131698483D01* +X76850408Y-131723592D01* +X76875517Y-131751296D01* +X76897790Y-131781328D01* +X76917012Y-131813398D01* +X76932998Y-131847198D01* +X76945594Y-131882402D01* +X76954679Y-131918671D01* +X76960165Y-131955655D01* +X76962000Y-131993000D01* +X76962000Y-138571000D01* +X76960165Y-138608345D01* +X76954679Y-138645329D01* +X76945594Y-138681598D01* +X76932998Y-138716802D01* +X76917012Y-138750602D01* +X76897790Y-138782672D01* +X76875517Y-138812704D01* +X76850408Y-138840408D01* +X76822704Y-138865517D01* +X76792672Y-138887790D01* +X76760602Y-138907012D01* +X76726802Y-138922998D01* +X76691598Y-138935594D01* +X76655329Y-138944679D01* +X76618345Y-138950165D01* +X76581000Y-138952000D01* +X75819000Y-138952000D01* +X75781655Y-138950165D01* +X75744671Y-138944679D01* +X75708402Y-138935594D01* +X75673198Y-138922998D01* +X75639398Y-138907012D01* +X75607328Y-138887790D01* +X75577296Y-138865517D01* +X75549592Y-138840408D01* +X75524483Y-138812704D01* +X75502210Y-138782672D01* +X75482988Y-138750602D01* +X75467002Y-138716802D01* +X75454406Y-138681598D01* +X75445321Y-138645329D01* +X75439835Y-138608345D01* +X75438000Y-138571000D01* +X75438000Y-131993000D01* +X75439835Y-131955655D01* +X75445321Y-131918671D01* +X75454406Y-131882402D01* +X75467002Y-131847198D01* +X75482988Y-131813398D01* +X75502210Y-131781328D01* +X75524483Y-131751296D01* +X75549592Y-131723592D01* +X75577296Y-131698483D01* +X75607328Y-131676210D01* +X75639398Y-131656988D01* +X75673198Y-131641002D01* +X75708402Y-131628406D01* +X75744671Y-131619321D01* +X75781655Y-131613835D01* +X75819000Y-131612000D01* +X76581000Y-131612000D01* +X76618345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X79158345Y-131613835D02* +G01* +X79195329Y-131619321D01* +X79231598Y-131628406D01* +X79266802Y-131641002D01* +X79300602Y-131656988D01* +X79332672Y-131676210D01* +X79362704Y-131698483D01* +X79390408Y-131723592D01* +X79415517Y-131751296D01* +X79437790Y-131781328D01* +X79457012Y-131813398D01* +X79472998Y-131847198D01* +X79485594Y-131882402D01* +X79494679Y-131918671D01* +X79500165Y-131955655D01* +X79502000Y-131993000D01* +X79502000Y-138571000D01* +X79500165Y-138608345D01* +X79494679Y-138645329D01* +X79485594Y-138681598D01* +X79472998Y-138716802D01* +X79457012Y-138750602D01* +X79437790Y-138782672D01* +X79415517Y-138812704D01* +X79390408Y-138840408D01* +X79362704Y-138865517D01* +X79332672Y-138887790D01* +X79300602Y-138907012D01* +X79266802Y-138922998D01* +X79231598Y-138935594D01* +X79195329Y-138944679D01* +X79158345Y-138950165D01* +X79121000Y-138952000D01* +X78359000Y-138952000D01* +X78321655Y-138950165D01* +X78284671Y-138944679D01* +X78248402Y-138935594D01* +X78213198Y-138922998D01* +X78179398Y-138907012D01* +X78147328Y-138887790D01* +X78117296Y-138865517D01* +X78089592Y-138840408D01* +X78064483Y-138812704D01* +X78042210Y-138782672D01* +X78022988Y-138750602D01* +X78007002Y-138716802D01* +X77994406Y-138681598D01* +X77985321Y-138645329D01* +X77979835Y-138608345D01* +X77978000Y-138571000D01* +X77978000Y-131993000D01* +X77979835Y-131955655D01* +X77985321Y-131918671D01* +X77994406Y-131882402D01* +X78007002Y-131847198D01* +X78022988Y-131813398D01* +X78042210Y-131781328D01* +X78064483Y-131751296D01* +X78089592Y-131723592D01* +X78117296Y-131698483D01* +X78147328Y-131676210D01* +X78179398Y-131656988D01* +X78213198Y-131641002D01* +X78248402Y-131628406D01* +X78284671Y-131619321D01* +X78321655Y-131613835D01* +X78359000Y-131612000D01* +X79121000Y-131612000D01* +X79158345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X81698345Y-131613835D02* +G01* +X81735329Y-131619321D01* +X81771598Y-131628406D01* +X81806802Y-131641002D01* +X81840602Y-131656988D01* +X81872672Y-131676210D01* +X81902704Y-131698483D01* +X81930408Y-131723592D01* +X81955517Y-131751296D01* +X81977790Y-131781328D01* +X81997012Y-131813398D01* +X82012998Y-131847198D01* +X82025594Y-131882402D01* +X82034679Y-131918671D01* +X82040165Y-131955655D01* +X82042000Y-131993000D01* +X82042000Y-138571000D01* +X82040165Y-138608345D01* +X82034679Y-138645329D01* +X82025594Y-138681598D01* +X82012998Y-138716802D01* +X81997012Y-138750602D01* +X81977790Y-138782672D01* +X81955517Y-138812704D01* +X81930408Y-138840408D01* +X81902704Y-138865517D01* +X81872672Y-138887790D01* +X81840602Y-138907012D01* +X81806802Y-138922998D01* +X81771598Y-138935594D01* +X81735329Y-138944679D01* +X81698345Y-138950165D01* +X81661000Y-138952000D01* +X80899000Y-138952000D01* +X80861655Y-138950165D01* +X80824671Y-138944679D01* +X80788402Y-138935594D01* +X80753198Y-138922998D01* +X80719398Y-138907012D01* +X80687328Y-138887790D01* +X80657296Y-138865517D01* +X80629592Y-138840408D01* +X80604483Y-138812704D01* +X80582210Y-138782672D01* +X80562988Y-138750602D01* +X80547002Y-138716802D01* +X80534406Y-138681598D01* +X80525321Y-138645329D01* +X80519835Y-138608345D01* +X80518000Y-138571000D01* +X80518000Y-131993000D01* +X80519835Y-131955655D01* +X80525321Y-131918671D01* +X80534406Y-131882402D01* +X80547002Y-131847198D01* +X80562988Y-131813398D01* +X80582210Y-131781328D01* +X80604483Y-131751296D01* +X80629592Y-131723592D01* +X80657296Y-131698483D01* +X80687328Y-131676210D01* +X80719398Y-131656988D01* +X80753198Y-131641002D01* +X80788402Y-131628406D01* +X80824671Y-131619321D01* +X80861655Y-131613835D01* +X80899000Y-131612000D01* +X81661000Y-131612000D01* +X81698345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X84238345Y-131613835D02* +G01* +X84275329Y-131619321D01* +X84311598Y-131628406D01* +X84346802Y-131641002D01* +X84380602Y-131656988D01* +X84412672Y-131676210D01* +X84442704Y-131698483D01* +X84470408Y-131723592D01* +X84495517Y-131751296D01* +X84517790Y-131781328D01* +X84537012Y-131813398D01* +X84552998Y-131847198D01* +X84565594Y-131882402D01* +X84574679Y-131918671D01* +X84580165Y-131955655D01* +X84582000Y-131993000D01* +X84582000Y-138571000D01* +X84580165Y-138608345D01* +X84574679Y-138645329D01* +X84565594Y-138681598D01* +X84552998Y-138716802D01* +X84537012Y-138750602D01* +X84517790Y-138782672D01* +X84495517Y-138812704D01* +X84470408Y-138840408D01* +X84442704Y-138865517D01* +X84412672Y-138887790D01* +X84380602Y-138907012D01* +X84346802Y-138922998D01* +X84311598Y-138935594D01* +X84275329Y-138944679D01* +X84238345Y-138950165D01* +X84201000Y-138952000D01* +X83439000Y-138952000D01* +X83401655Y-138950165D01* +X83364671Y-138944679D01* +X83328402Y-138935594D01* +X83293198Y-138922998D01* +X83259398Y-138907012D01* +X83227328Y-138887790D01* +X83197296Y-138865517D01* +X83169592Y-138840408D01* +X83144483Y-138812704D01* +X83122210Y-138782672D01* +X83102988Y-138750602D01* +X83087002Y-138716802D01* +X83074406Y-138681598D01* +X83065321Y-138645329D01* +X83059835Y-138608345D01* +X83058000Y-138571000D01* +X83058000Y-131993000D01* +X83059835Y-131955655D01* +X83065321Y-131918671D01* +X83074406Y-131882402D01* +X83087002Y-131847198D01* +X83102988Y-131813398D01* +X83122210Y-131781328D01* +X83144483Y-131751296D01* +X83169592Y-131723592D01* +X83197296Y-131698483D01* +X83227328Y-131676210D01* +X83259398Y-131656988D01* +X83293198Y-131641002D01* +X83328402Y-131628406D01* +X83364671Y-131619321D01* +X83401655Y-131613835D01* +X83439000Y-131612000D01* +X84201000Y-131612000D01* +X84238345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X86778345Y-131613835D02* +G01* +X86815329Y-131619321D01* +X86851598Y-131628406D01* +X86886802Y-131641002D01* +X86920602Y-131656988D01* +X86952672Y-131676210D01* +X86982704Y-131698483D01* +X87010408Y-131723592D01* +X87035517Y-131751296D01* +X87057790Y-131781328D01* +X87077012Y-131813398D01* +X87092998Y-131847198D01* +X87105594Y-131882402D01* +X87114679Y-131918671D01* +X87120165Y-131955655D01* +X87122000Y-131993000D01* +X87122000Y-138571000D01* +X87120165Y-138608345D01* +X87114679Y-138645329D01* +X87105594Y-138681598D01* +X87092998Y-138716802D01* +X87077012Y-138750602D01* +X87057790Y-138782672D01* +X87035517Y-138812704D01* +X87010408Y-138840408D01* +X86982704Y-138865517D01* +X86952672Y-138887790D01* +X86920602Y-138907012D01* +X86886802Y-138922998D01* +X86851598Y-138935594D01* +X86815329Y-138944679D01* +X86778345Y-138950165D01* +X86741000Y-138952000D01* +X85979000Y-138952000D01* +X85941655Y-138950165D01* +X85904671Y-138944679D01* +X85868402Y-138935594D01* +X85833198Y-138922998D01* +X85799398Y-138907012D01* +X85767328Y-138887790D01* +X85737296Y-138865517D01* +X85709592Y-138840408D01* +X85684483Y-138812704D01* +X85662210Y-138782672D01* +X85642988Y-138750602D01* +X85627002Y-138716802D01* +X85614406Y-138681598D01* +X85605321Y-138645329D01* +X85599835Y-138608345D01* +X85598000Y-138571000D01* +X85598000Y-131993000D01* +X85599835Y-131955655D01* +X85605321Y-131918671D01* +X85614406Y-131882402D01* +X85627002Y-131847198D01* +X85642988Y-131813398D01* +X85662210Y-131781328D01* +X85684483Y-131751296D01* +X85709592Y-131723592D01* +X85737296Y-131698483D01* +X85767328Y-131676210D01* +X85799398Y-131656988D01* +X85833198Y-131641002D01* +X85868402Y-131628406D01* +X85904671Y-131619321D01* +X85941655Y-131613835D01* +X85979000Y-131612000D01* +X86741000Y-131612000D01* +X86778345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X89318345Y-131613835D02* +G01* +X89355329Y-131619321D01* +X89391598Y-131628406D01* +X89426802Y-131641002D01* +X89460602Y-131656988D01* +X89492672Y-131676210D01* +X89522704Y-131698483D01* +X89550408Y-131723592D01* +X89575517Y-131751296D01* +X89597790Y-131781328D01* +X89617012Y-131813398D01* +X89632998Y-131847198D01* +X89645594Y-131882402D01* +X89654679Y-131918671D01* +X89660165Y-131955655D01* +X89662000Y-131993000D01* +X89662000Y-138571000D01* +X89660165Y-138608345D01* +X89654679Y-138645329D01* +X89645594Y-138681598D01* +X89632998Y-138716802D01* +X89617012Y-138750602D01* +X89597790Y-138782672D01* +X89575517Y-138812704D01* +X89550408Y-138840408D01* +X89522704Y-138865517D01* +X89492672Y-138887790D01* +X89460602Y-138907012D01* +X89426802Y-138922998D01* +X89391598Y-138935594D01* +X89355329Y-138944679D01* +X89318345Y-138950165D01* +X89281000Y-138952000D01* +X88519000Y-138952000D01* +X88481655Y-138950165D01* +X88444671Y-138944679D01* +X88408402Y-138935594D01* +X88373198Y-138922998D01* +X88339398Y-138907012D01* +X88307328Y-138887790D01* +X88277296Y-138865517D01* +X88249592Y-138840408D01* +X88224483Y-138812704D01* +X88202210Y-138782672D01* +X88182988Y-138750602D01* +X88167002Y-138716802D01* +X88154406Y-138681598D01* +X88145321Y-138645329D01* +X88139835Y-138608345D01* +X88138000Y-138571000D01* +X88138000Y-131993000D01* +X88139835Y-131955655D01* +X88145321Y-131918671D01* +X88154406Y-131882402D01* +X88167002Y-131847198D01* +X88182988Y-131813398D01* +X88202210Y-131781328D01* +X88224483Y-131751296D01* +X88249592Y-131723592D01* +X88277296Y-131698483D01* +X88307328Y-131676210D01* +X88339398Y-131656988D01* +X88373198Y-131641002D01* +X88408402Y-131628406D01* +X88444671Y-131619321D01* +X88481655Y-131613835D01* +X88519000Y-131612000D01* +X89281000Y-131612000D01* +X89318345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X91858345Y-131613835D02* +G01* +X91895329Y-131619321D01* +X91931598Y-131628406D01* +X91966802Y-131641002D01* +X92000602Y-131656988D01* +X92032672Y-131676210D01* +X92062704Y-131698483D01* +X92090408Y-131723592D01* +X92115517Y-131751296D01* +X92137790Y-131781328D01* +X92157012Y-131813398D01* +X92172998Y-131847198D01* +X92185594Y-131882402D01* +X92194679Y-131918671D01* +X92200165Y-131955655D01* +X92202000Y-131993000D01* +X92202000Y-138571000D01* +X92200165Y-138608345D01* +X92194679Y-138645329D01* +X92185594Y-138681598D01* +X92172998Y-138716802D01* +X92157012Y-138750602D01* +X92137790Y-138782672D01* +X92115517Y-138812704D01* +X92090408Y-138840408D01* +X92062704Y-138865517D01* +X92032672Y-138887790D01* +X92000602Y-138907012D01* +X91966802Y-138922998D01* +X91931598Y-138935594D01* +X91895329Y-138944679D01* +X91858345Y-138950165D01* +X91821000Y-138952000D01* +X91059000Y-138952000D01* +X91021655Y-138950165D01* +X90984671Y-138944679D01* +X90948402Y-138935594D01* +X90913198Y-138922998D01* +X90879398Y-138907012D01* +X90847328Y-138887790D01* +X90817296Y-138865517D01* +X90789592Y-138840408D01* +X90764483Y-138812704D01* +X90742210Y-138782672D01* +X90722988Y-138750602D01* +X90707002Y-138716802D01* +X90694406Y-138681598D01* +X90685321Y-138645329D01* +X90679835Y-138608345D01* +X90678000Y-138571000D01* +X90678000Y-131993000D01* +X90679835Y-131955655D01* +X90685321Y-131918671D01* +X90694406Y-131882402D01* +X90707002Y-131847198D01* +X90722988Y-131813398D01* +X90742210Y-131781328D01* +X90764483Y-131751296D01* +X90789592Y-131723592D01* +X90817296Y-131698483D01* +X90847328Y-131676210D01* +X90879398Y-131656988D01* +X90913198Y-131641002D01* +X90948402Y-131628406D01* +X90984671Y-131619321D01* +X91021655Y-131613835D01* +X91059000Y-131612000D01* +X91821000Y-131612000D01* +X91858345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X94398345Y-131613835D02* +G01* +X94435329Y-131619321D01* +X94471598Y-131628406D01* +X94506802Y-131641002D01* +X94540602Y-131656988D01* +X94572672Y-131676210D01* +X94602704Y-131698483D01* +X94630408Y-131723592D01* +X94655517Y-131751296D01* +X94677790Y-131781328D01* +X94697012Y-131813398D01* +X94712998Y-131847198D01* +X94725594Y-131882402D01* +X94734679Y-131918671D01* +X94740165Y-131955655D01* +X94742000Y-131993000D01* +X94742000Y-138571000D01* +X94740165Y-138608345D01* +X94734679Y-138645329D01* +X94725594Y-138681598D01* +X94712998Y-138716802D01* +X94697012Y-138750602D01* +X94677790Y-138782672D01* +X94655517Y-138812704D01* +X94630408Y-138840408D01* +X94602704Y-138865517D01* +X94572672Y-138887790D01* +X94540602Y-138907012D01* +X94506802Y-138922998D01* +X94471598Y-138935594D01* +X94435329Y-138944679D01* +X94398345Y-138950165D01* +X94361000Y-138952000D01* +X93599000Y-138952000D01* +X93561655Y-138950165D01* +X93524671Y-138944679D01* +X93488402Y-138935594D01* +X93453198Y-138922998D01* +X93419398Y-138907012D01* +X93387328Y-138887790D01* +X93357296Y-138865517D01* +X93329592Y-138840408D01* +X93304483Y-138812704D01* +X93282210Y-138782672D01* +X93262988Y-138750602D01* +X93247002Y-138716802D01* +X93234406Y-138681598D01* +X93225321Y-138645329D01* +X93219835Y-138608345D01* +X93218000Y-138571000D01* +X93218000Y-131993000D01* +X93219835Y-131955655D01* +X93225321Y-131918671D01* +X93234406Y-131882402D01* +X93247002Y-131847198D01* +X93262988Y-131813398D01* +X93282210Y-131781328D01* +X93304483Y-131751296D01* +X93329592Y-131723592D01* +X93357296Y-131698483D01* +X93387328Y-131676210D01* +X93419398Y-131656988D01* +X93453198Y-131641002D01* +X93488402Y-131628406D01* +X93524671Y-131619321D01* +X93561655Y-131613835D01* +X93599000Y-131612000D01* +X94361000Y-131612000D01* +X94398345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96938345Y-131613835D02* +G01* +X96975329Y-131619321D01* +X97011598Y-131628406D01* +X97046802Y-131641002D01* +X97080602Y-131656988D01* +X97112672Y-131676210D01* +X97142704Y-131698483D01* +X97170408Y-131723592D01* +X97195517Y-131751296D01* +X97217790Y-131781328D01* +X97237012Y-131813398D01* +X97252998Y-131847198D01* +X97265594Y-131882402D01* +X97274679Y-131918671D01* +X97280165Y-131955655D01* +X97282000Y-131993000D01* +X97282000Y-138571000D01* +X97280165Y-138608345D01* +X97274679Y-138645329D01* +X97265594Y-138681598D01* +X97252998Y-138716802D01* +X97237012Y-138750602D01* +X97217790Y-138782672D01* +X97195517Y-138812704D01* +X97170408Y-138840408D01* +X97142704Y-138865517D01* +X97112672Y-138887790D01* +X97080602Y-138907012D01* +X97046802Y-138922998D01* +X97011598Y-138935594D01* +X96975329Y-138944679D01* +X96938345Y-138950165D01* +X96901000Y-138952000D01* +X96139000Y-138952000D01* +X96101655Y-138950165D01* +X96064671Y-138944679D01* +X96028402Y-138935594D01* +X95993198Y-138922998D01* +X95959398Y-138907012D01* +X95927328Y-138887790D01* +X95897296Y-138865517D01* +X95869592Y-138840408D01* +X95844483Y-138812704D01* +X95822210Y-138782672D01* +X95802988Y-138750602D01* +X95787002Y-138716802D01* +X95774406Y-138681598D01* +X95765321Y-138645329D01* +X95759835Y-138608345D01* +X95758000Y-138571000D01* +X95758000Y-131993000D01* +X95759835Y-131955655D01* +X95765321Y-131918671D01* +X95774406Y-131882402D01* +X95787002Y-131847198D01* +X95802988Y-131813398D01* +X95822210Y-131781328D01* +X95844483Y-131751296D01* +X95869592Y-131723592D01* +X95897296Y-131698483D01* +X95927328Y-131676210D01* +X95959398Y-131656988D01* +X95993198Y-131641002D01* +X96028402Y-131628406D01* +X96064671Y-131619321D01* +X96101655Y-131613835D01* +X96139000Y-131612000D01* +X96901000Y-131612000D01* +X96938345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X99478345Y-131613835D02* +G01* +X99515329Y-131619321D01* +X99551598Y-131628406D01* +X99586802Y-131641002D01* +X99620602Y-131656988D01* +X99652672Y-131676210D01* +X99682704Y-131698483D01* +X99710408Y-131723592D01* +X99735517Y-131751296D01* +X99757790Y-131781328D01* +X99777012Y-131813398D01* +X99792998Y-131847198D01* +X99805594Y-131882402D01* +X99814679Y-131918671D01* +X99820165Y-131955655D01* +X99822000Y-131993000D01* +X99822000Y-138571000D01* +X99820165Y-138608345D01* +X99814679Y-138645329D01* +X99805594Y-138681598D01* +X99792998Y-138716802D01* +X99777012Y-138750602D01* +X99757790Y-138782672D01* +X99735517Y-138812704D01* +X99710408Y-138840408D01* +X99682704Y-138865517D01* +X99652672Y-138887790D01* +X99620602Y-138907012D01* +X99586802Y-138922998D01* +X99551598Y-138935594D01* +X99515329Y-138944679D01* +X99478345Y-138950165D01* +X99441000Y-138952000D01* +X98679000Y-138952000D01* +X98641655Y-138950165D01* +X98604671Y-138944679D01* +X98568402Y-138935594D01* +X98533198Y-138922998D01* +X98499398Y-138907012D01* +X98467328Y-138887790D01* +X98437296Y-138865517D01* +X98409592Y-138840408D01* +X98384483Y-138812704D01* +X98362210Y-138782672D01* +X98342988Y-138750602D01* +X98327002Y-138716802D01* +X98314406Y-138681598D01* +X98305321Y-138645329D01* +X98299835Y-138608345D01* +X98298000Y-138571000D01* +X98298000Y-131993000D01* +X98299835Y-131955655D01* +X98305321Y-131918671D01* +X98314406Y-131882402D01* +X98327002Y-131847198D01* +X98342988Y-131813398D01* +X98362210Y-131781328D01* +X98384483Y-131751296D01* +X98409592Y-131723592D01* +X98437296Y-131698483D01* +X98467328Y-131676210D01* +X98499398Y-131656988D01* +X98533198Y-131641002D01* +X98568402Y-131628406D01* +X98604671Y-131619321D01* +X98641655Y-131613835D01* +X98679000Y-131612000D01* +X99441000Y-131612000D01* +X99478345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X102018345Y-131613835D02* +G01* +X102055329Y-131619321D01* +X102091598Y-131628406D01* +X102126802Y-131641002D01* +X102160602Y-131656988D01* +X102192672Y-131676210D01* +X102222704Y-131698483D01* +X102250408Y-131723592D01* +X102275517Y-131751296D01* +X102297790Y-131781328D01* +X102317012Y-131813398D01* +X102332998Y-131847198D01* +X102345594Y-131882402D01* +X102354679Y-131918671D01* +X102360165Y-131955655D01* +X102362000Y-131993000D01* +X102362000Y-138571000D01* +X102360165Y-138608345D01* +X102354679Y-138645329D01* +X102345594Y-138681598D01* +X102332998Y-138716802D01* +X102317012Y-138750602D01* +X102297790Y-138782672D01* +X102275517Y-138812704D01* +X102250408Y-138840408D01* +X102222704Y-138865517D01* +X102192672Y-138887790D01* +X102160602Y-138907012D01* +X102126802Y-138922998D01* +X102091598Y-138935594D01* +X102055329Y-138944679D01* +X102018345Y-138950165D01* +X101981000Y-138952000D01* +X101219000Y-138952000D01* +X101181655Y-138950165D01* +X101144671Y-138944679D01* +X101108402Y-138935594D01* +X101073198Y-138922998D01* +X101039398Y-138907012D01* +X101007328Y-138887790D01* +X100977296Y-138865517D01* +X100949592Y-138840408D01* +X100924483Y-138812704D01* +X100902210Y-138782672D01* +X100882988Y-138750602D01* +X100867002Y-138716802D01* +X100854406Y-138681598D01* +X100845321Y-138645329D01* +X100839835Y-138608345D01* +X100838000Y-138571000D01* +X100838000Y-131993000D01* +X100839835Y-131955655D01* +X100845321Y-131918671D01* +X100854406Y-131882402D01* +X100867002Y-131847198D01* +X100882988Y-131813398D01* +X100902210Y-131781328D01* +X100924483Y-131751296D01* +X100949592Y-131723592D01* +X100977296Y-131698483D01* +X101007328Y-131676210D01* +X101039398Y-131656988D01* +X101073198Y-131641002D01* +X101108402Y-131628406D01* +X101144671Y-131619321D01* +X101181655Y-131613835D01* +X101219000Y-131612000D01* +X101981000Y-131612000D01* +X102018345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X104558345Y-131613835D02* +G01* +X104595329Y-131619321D01* +X104631598Y-131628406D01* +X104666802Y-131641002D01* +X104700602Y-131656988D01* +X104732672Y-131676210D01* +X104762704Y-131698483D01* +X104790408Y-131723592D01* +X104815517Y-131751296D01* +X104837790Y-131781328D01* +X104857012Y-131813398D01* +X104872998Y-131847198D01* +X104885594Y-131882402D01* +X104894679Y-131918671D01* +X104900165Y-131955655D01* +X104902000Y-131993000D01* +X104902000Y-138571000D01* +X104900165Y-138608345D01* +X104894679Y-138645329D01* +X104885594Y-138681598D01* +X104872998Y-138716802D01* +X104857012Y-138750602D01* +X104837790Y-138782672D01* +X104815517Y-138812704D01* +X104790408Y-138840408D01* +X104762704Y-138865517D01* +X104732672Y-138887790D01* +X104700602Y-138907012D01* +X104666802Y-138922998D01* +X104631598Y-138935594D01* +X104595329Y-138944679D01* +X104558345Y-138950165D01* +X104521000Y-138952000D01* +X103759000Y-138952000D01* +X103721655Y-138950165D01* +X103684671Y-138944679D01* +X103648402Y-138935594D01* +X103613198Y-138922998D01* +X103579398Y-138907012D01* +X103547328Y-138887790D01* +X103517296Y-138865517D01* +X103489592Y-138840408D01* +X103464483Y-138812704D01* +X103442210Y-138782672D01* +X103422988Y-138750602D01* +X103407002Y-138716802D01* +X103394406Y-138681598D01* +X103385321Y-138645329D01* +X103379835Y-138608345D01* +X103378000Y-138571000D01* +X103378000Y-131993000D01* +X103379835Y-131955655D01* +X103385321Y-131918671D01* +X103394406Y-131882402D01* +X103407002Y-131847198D01* +X103422988Y-131813398D01* +X103442210Y-131781328D01* +X103464483Y-131751296D01* +X103489592Y-131723592D01* +X103517296Y-131698483D01* +X103547328Y-131676210D01* +X103579398Y-131656988D01* +X103613198Y-131641002D01* +X103648402Y-131628406D01* +X103684671Y-131619321D01* +X103721655Y-131613835D01* +X103759000Y-131612000D01* +X104521000Y-131612000D01* +X104558345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X107098345Y-131613835D02* +G01* +X107135329Y-131619321D01* +X107171598Y-131628406D01* +X107206802Y-131641002D01* +X107240602Y-131656988D01* +X107272672Y-131676210D01* +X107302704Y-131698483D01* +X107330408Y-131723592D01* +X107355517Y-131751296D01* +X107377790Y-131781328D01* +X107397012Y-131813398D01* +X107412998Y-131847198D01* +X107425594Y-131882402D01* +X107434679Y-131918671D01* +X107440165Y-131955655D01* +X107442000Y-131993000D01* +X107442000Y-138571000D01* +X107440165Y-138608345D01* +X107434679Y-138645329D01* +X107425594Y-138681598D01* +X107412998Y-138716802D01* +X107397012Y-138750602D01* +X107377790Y-138782672D01* +X107355517Y-138812704D01* +X107330408Y-138840408D01* +X107302704Y-138865517D01* +X107272672Y-138887790D01* +X107240602Y-138907012D01* +X107206802Y-138922998D01* +X107171598Y-138935594D01* +X107135329Y-138944679D01* +X107098345Y-138950165D01* +X107061000Y-138952000D01* +X106299000Y-138952000D01* +X106261655Y-138950165D01* +X106224671Y-138944679D01* +X106188402Y-138935594D01* +X106153198Y-138922998D01* +X106119398Y-138907012D01* +X106087328Y-138887790D01* +X106057296Y-138865517D01* +X106029592Y-138840408D01* +X106004483Y-138812704D01* +X105982210Y-138782672D01* +X105962988Y-138750602D01* +X105947002Y-138716802D01* +X105934406Y-138681598D01* +X105925321Y-138645329D01* +X105919835Y-138608345D01* +X105918000Y-138571000D01* +X105918000Y-131993000D01* +X105919835Y-131955655D01* +X105925321Y-131918671D01* +X105934406Y-131882402D01* +X105947002Y-131847198D01* +X105962988Y-131813398D01* +X105982210Y-131781328D01* +X106004483Y-131751296D01* +X106029592Y-131723592D01* +X106057296Y-131698483D01* +X106087328Y-131676210D01* +X106119398Y-131656988D01* +X106153198Y-131641002D01* +X106188402Y-131628406D01* +X106224671Y-131619321D01* +X106261655Y-131613835D01* +X106299000Y-131612000D01* +X107061000Y-131612000D01* +X107098345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109638345Y-131613835D02* +G01* +X109675329Y-131619321D01* +X109711598Y-131628406D01* +X109746802Y-131641002D01* +X109780602Y-131656988D01* +X109812672Y-131676210D01* +X109842704Y-131698483D01* +X109870408Y-131723592D01* +X109895517Y-131751296D01* +X109917790Y-131781328D01* +X109937012Y-131813398D01* +X109952998Y-131847198D01* +X109965594Y-131882402D01* +X109974679Y-131918671D01* +X109980165Y-131955655D01* +X109982000Y-131993000D01* +X109982000Y-138571000D01* +X109980165Y-138608345D01* +X109974679Y-138645329D01* +X109965594Y-138681598D01* +X109952998Y-138716802D01* +X109937012Y-138750602D01* +X109917790Y-138782672D01* +X109895517Y-138812704D01* +X109870408Y-138840408D01* +X109842704Y-138865517D01* +X109812672Y-138887790D01* +X109780602Y-138907012D01* +X109746802Y-138922998D01* +X109711598Y-138935594D01* +X109675329Y-138944679D01* +X109638345Y-138950165D01* +X109601000Y-138952000D01* +X108839000Y-138952000D01* +X108801655Y-138950165D01* +X108764671Y-138944679D01* +X108728402Y-138935594D01* +X108693198Y-138922998D01* +X108659398Y-138907012D01* +X108627328Y-138887790D01* +X108597296Y-138865517D01* +X108569592Y-138840408D01* +X108544483Y-138812704D01* +X108522210Y-138782672D01* +X108502988Y-138750602D01* +X108487002Y-138716802D01* +X108474406Y-138681598D01* +X108465321Y-138645329D01* +X108459835Y-138608345D01* +X108458000Y-138571000D01* +X108458000Y-131993000D01* +X108459835Y-131955655D01* +X108465321Y-131918671D01* +X108474406Y-131882402D01* +X108487002Y-131847198D01* +X108502988Y-131813398D01* +X108522210Y-131781328D01* +X108544483Y-131751296D01* +X108569592Y-131723592D01* +X108597296Y-131698483D01* +X108627328Y-131676210D01* +X108659398Y-131656988D01* +X108693198Y-131641002D01* +X108728402Y-131628406D01* +X108764671Y-131619321D01* +X108801655Y-131613835D01* +X108839000Y-131612000D01* +X109601000Y-131612000D01* +X109638345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X112178345Y-131613835D02* +G01* +X112215329Y-131619321D01* +X112251598Y-131628406D01* +X112286802Y-131641002D01* +X112320602Y-131656988D01* +X112352672Y-131676210D01* +X112382704Y-131698483D01* +X112410408Y-131723592D01* +X112435517Y-131751296D01* +X112457790Y-131781328D01* +X112477012Y-131813398D01* +X112492998Y-131847198D01* +X112505594Y-131882402D01* +X112514679Y-131918671D01* +X112520165Y-131955655D01* +X112522000Y-131993000D01* +X112522000Y-138571000D01* +X112520165Y-138608345D01* +X112514679Y-138645329D01* +X112505594Y-138681598D01* +X112492998Y-138716802D01* +X112477012Y-138750602D01* +X112457790Y-138782672D01* +X112435517Y-138812704D01* +X112410408Y-138840408D01* +X112382704Y-138865517D01* +X112352672Y-138887790D01* +X112320602Y-138907012D01* +X112286802Y-138922998D01* +X112251598Y-138935594D01* +X112215329Y-138944679D01* +X112178345Y-138950165D01* +X112141000Y-138952000D01* +X111379000Y-138952000D01* +X111341655Y-138950165D01* +X111304671Y-138944679D01* +X111268402Y-138935594D01* +X111233198Y-138922998D01* +X111199398Y-138907012D01* +X111167328Y-138887790D01* +X111137296Y-138865517D01* +X111109592Y-138840408D01* +X111084483Y-138812704D01* +X111062210Y-138782672D01* +X111042988Y-138750602D01* +X111027002Y-138716802D01* +X111014406Y-138681598D01* +X111005321Y-138645329D01* +X110999835Y-138608345D01* +X110998000Y-138571000D01* +X110998000Y-131993000D01* +X110999835Y-131955655D01* +X111005321Y-131918671D01* +X111014406Y-131882402D01* +X111027002Y-131847198D01* +X111042988Y-131813398D01* +X111062210Y-131781328D01* +X111084483Y-131751296D01* +X111109592Y-131723592D01* +X111137296Y-131698483D01* +X111167328Y-131676210D01* +X111199398Y-131656988D01* +X111233198Y-131641002D01* +X111268402Y-131628406D01* +X111304671Y-131619321D01* +X111341655Y-131613835D01* +X111379000Y-131612000D01* +X112141000Y-131612000D01* +X112178345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114718345Y-131613835D02* +G01* +X114755329Y-131619321D01* +X114791598Y-131628406D01* +X114826802Y-131641002D01* +X114860602Y-131656988D01* +X114892672Y-131676210D01* +X114922704Y-131698483D01* +X114950408Y-131723592D01* +X114975517Y-131751296D01* +X114997790Y-131781328D01* +X115017012Y-131813398D01* +X115032998Y-131847198D01* +X115045594Y-131882402D01* +X115054679Y-131918671D01* +X115060165Y-131955655D01* +X115062000Y-131993000D01* +X115062000Y-138571000D01* +X115060165Y-138608345D01* +X115054679Y-138645329D01* +X115045594Y-138681598D01* +X115032998Y-138716802D01* +X115017012Y-138750602D01* +X114997790Y-138782672D01* +X114975517Y-138812704D01* +X114950408Y-138840408D01* +X114922704Y-138865517D01* +X114892672Y-138887790D01* +X114860602Y-138907012D01* +X114826802Y-138922998D01* +X114791598Y-138935594D01* +X114755329Y-138944679D01* +X114718345Y-138950165D01* +X114681000Y-138952000D01* +X113919000Y-138952000D01* +X113881655Y-138950165D01* +X113844671Y-138944679D01* +X113808402Y-138935594D01* +X113773198Y-138922998D01* +X113739398Y-138907012D01* +X113707328Y-138887790D01* +X113677296Y-138865517D01* +X113649592Y-138840408D01* +X113624483Y-138812704D01* +X113602210Y-138782672D01* +X113582988Y-138750602D01* +X113567002Y-138716802D01* +X113554406Y-138681598D01* +X113545321Y-138645329D01* +X113539835Y-138608345D01* +X113538000Y-138571000D01* +X113538000Y-131993000D01* +X113539835Y-131955655D01* +X113545321Y-131918671D01* +X113554406Y-131882402D01* +X113567002Y-131847198D01* +X113582988Y-131813398D01* +X113602210Y-131781328D01* +X113624483Y-131751296D01* +X113649592Y-131723592D01* +X113677296Y-131698483D01* +X113707328Y-131676210D01* +X113739398Y-131656988D01* +X113773198Y-131641002D01* +X113808402Y-131628406D01* +X113844671Y-131619321D01* +X113881655Y-131613835D01* +X113919000Y-131612000D01* +X114681000Y-131612000D01* +X114718345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X117258345Y-131613835D02* +G01* +X117295329Y-131619321D01* +X117331598Y-131628406D01* +X117366802Y-131641002D01* +X117400602Y-131656988D01* +X117432672Y-131676210D01* +X117462704Y-131698483D01* +X117490408Y-131723592D01* +X117515517Y-131751296D01* +X117537790Y-131781328D01* +X117557012Y-131813398D01* +X117572998Y-131847198D01* +X117585594Y-131882402D01* +X117594679Y-131918671D01* +X117600165Y-131955655D01* +X117602000Y-131993000D01* +X117602000Y-138571000D01* +X117600165Y-138608345D01* +X117594679Y-138645329D01* +X117585594Y-138681598D01* +X117572998Y-138716802D01* +X117557012Y-138750602D01* +X117537790Y-138782672D01* +X117515517Y-138812704D01* +X117490408Y-138840408D01* +X117462704Y-138865517D01* +X117432672Y-138887790D01* +X117400602Y-138907012D01* +X117366802Y-138922998D01* +X117331598Y-138935594D01* +X117295329Y-138944679D01* +X117258345Y-138950165D01* +X117221000Y-138952000D01* +X116459000Y-138952000D01* +X116421655Y-138950165D01* +X116384671Y-138944679D01* +X116348402Y-138935594D01* +X116313198Y-138922998D01* +X116279398Y-138907012D01* +X116247328Y-138887790D01* +X116217296Y-138865517D01* +X116189592Y-138840408D01* +X116164483Y-138812704D01* +X116142210Y-138782672D01* +X116122988Y-138750602D01* +X116107002Y-138716802D01* +X116094406Y-138681598D01* +X116085321Y-138645329D01* +X116079835Y-138608345D01* +X116078000Y-138571000D01* +X116078000Y-131993000D01* +X116079835Y-131955655D01* +X116085321Y-131918671D01* +X116094406Y-131882402D01* +X116107002Y-131847198D01* +X116122988Y-131813398D01* +X116142210Y-131781328D01* +X116164483Y-131751296D01* +X116189592Y-131723592D01* +X116217296Y-131698483D01* +X116247328Y-131676210D01* +X116279398Y-131656988D01* +X116313198Y-131641002D01* +X116348402Y-131628406D01* +X116384671Y-131619321D01* +X116421655Y-131613835D01* +X116459000Y-131612000D01* +X117221000Y-131612000D01* +X117258345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X119798345Y-131613835D02* +G01* +X119835329Y-131619321D01* +X119871598Y-131628406D01* +X119906802Y-131641002D01* +X119940602Y-131656988D01* +X119972672Y-131676210D01* +X120002704Y-131698483D01* +X120030408Y-131723592D01* +X120055517Y-131751296D01* +X120077790Y-131781328D01* +X120097012Y-131813398D01* +X120112998Y-131847198D01* +X120125594Y-131882402D01* +X120134679Y-131918671D01* +X120140165Y-131955655D01* +X120142000Y-131993000D01* +X120142000Y-138571000D01* +X120140165Y-138608345D01* +X120134679Y-138645329D01* +X120125594Y-138681598D01* +X120112998Y-138716802D01* +X120097012Y-138750602D01* +X120077790Y-138782672D01* +X120055517Y-138812704D01* +X120030408Y-138840408D01* +X120002704Y-138865517D01* +X119972672Y-138887790D01* +X119940602Y-138907012D01* +X119906802Y-138922998D01* +X119871598Y-138935594D01* +X119835329Y-138944679D01* +X119798345Y-138950165D01* +X119761000Y-138952000D01* +X118999000Y-138952000D01* +X118961655Y-138950165D01* +X118924671Y-138944679D01* +X118888402Y-138935594D01* +X118853198Y-138922998D01* +X118819398Y-138907012D01* +X118787328Y-138887790D01* +X118757296Y-138865517D01* +X118729592Y-138840408D01* +X118704483Y-138812704D01* +X118682210Y-138782672D01* +X118662988Y-138750602D01* +X118647002Y-138716802D01* +X118634406Y-138681598D01* +X118625321Y-138645329D01* +X118619835Y-138608345D01* +X118618000Y-138571000D01* +X118618000Y-131993000D01* +X118619835Y-131955655D01* +X118625321Y-131918671D01* +X118634406Y-131882402D01* +X118647002Y-131847198D01* +X118662988Y-131813398D01* +X118682210Y-131781328D01* +X118704483Y-131751296D01* +X118729592Y-131723592D01* +X118757296Y-131698483D01* +X118787328Y-131676210D01* +X118819398Y-131656988D01* +X118853198Y-131641002D01* +X118888402Y-131628406D01* +X118924671Y-131619321D01* +X118961655Y-131613835D01* +X118999000Y-131612000D01* +X119761000Y-131612000D01* +X119798345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X122338345Y-131613835D02* +G01* +X122375329Y-131619321D01* +X122411598Y-131628406D01* +X122446802Y-131641002D01* +X122480602Y-131656988D01* +X122512672Y-131676210D01* +X122542704Y-131698483D01* +X122570408Y-131723592D01* +X122595517Y-131751296D01* +X122617790Y-131781328D01* +X122637012Y-131813398D01* +X122652998Y-131847198D01* +X122665594Y-131882402D01* +X122674679Y-131918671D01* +X122680165Y-131955655D01* +X122682000Y-131993000D01* +X122682000Y-138571000D01* +X122680165Y-138608345D01* +X122674679Y-138645329D01* +X122665594Y-138681598D01* +X122652998Y-138716802D01* +X122637012Y-138750602D01* +X122617790Y-138782672D01* +X122595517Y-138812704D01* +X122570408Y-138840408D01* +X122542704Y-138865517D01* +X122512672Y-138887790D01* +X122480602Y-138907012D01* +X122446802Y-138922998D01* +X122411598Y-138935594D01* +X122375329Y-138944679D01* +X122338345Y-138950165D01* +X122301000Y-138952000D01* +X121539000Y-138952000D01* +X121501655Y-138950165D01* +X121464671Y-138944679D01* +X121428402Y-138935594D01* +X121393198Y-138922998D01* +X121359398Y-138907012D01* +X121327328Y-138887790D01* +X121297296Y-138865517D01* +X121269592Y-138840408D01* +X121244483Y-138812704D01* +X121222210Y-138782672D01* +X121202988Y-138750602D01* +X121187002Y-138716802D01* +X121174406Y-138681598D01* +X121165321Y-138645329D01* +X121159835Y-138608345D01* +X121158000Y-138571000D01* +X121158000Y-131993000D01* +X121159835Y-131955655D01* +X121165321Y-131918671D01* +X121174406Y-131882402D01* +X121187002Y-131847198D01* +X121202988Y-131813398D01* +X121222210Y-131781328D01* +X121244483Y-131751296D01* +X121269592Y-131723592D01* +X121297296Y-131698483D01* +X121327328Y-131676210D01* +X121359398Y-131656988D01* +X121393198Y-131641002D01* +X121428402Y-131628406D01* +X121464671Y-131619321D01* +X121501655Y-131613835D01* +X121539000Y-131612000D01* +X122301000Y-131612000D01* +X122338345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X124878345Y-131613835D02* +G01* +X124915329Y-131619321D01* +X124951598Y-131628406D01* +X124986802Y-131641002D01* +X125020602Y-131656988D01* +X125052672Y-131676210D01* +X125082704Y-131698483D01* +X125110408Y-131723592D01* +X125135517Y-131751296D01* +X125157790Y-131781328D01* +X125177012Y-131813398D01* +X125192998Y-131847198D01* +X125205594Y-131882402D01* +X125214679Y-131918671D01* +X125220165Y-131955655D01* +X125222000Y-131993000D01* +X125222000Y-138571000D01* +X125220165Y-138608345D01* +X125214679Y-138645329D01* +X125205594Y-138681598D01* +X125192998Y-138716802D01* +X125177012Y-138750602D01* +X125157790Y-138782672D01* +X125135517Y-138812704D01* +X125110408Y-138840408D01* +X125082704Y-138865517D01* +X125052672Y-138887790D01* +X125020602Y-138907012D01* +X124986802Y-138922998D01* +X124951598Y-138935594D01* +X124915329Y-138944679D01* +X124878345Y-138950165D01* +X124841000Y-138952000D01* +X124079000Y-138952000D01* +X124041655Y-138950165D01* +X124004671Y-138944679D01* +X123968402Y-138935594D01* +X123933198Y-138922998D01* +X123899398Y-138907012D01* +X123867328Y-138887790D01* +X123837296Y-138865517D01* +X123809592Y-138840408D01* +X123784483Y-138812704D01* +X123762210Y-138782672D01* +X123742988Y-138750602D01* +X123727002Y-138716802D01* +X123714406Y-138681598D01* +X123705321Y-138645329D01* +X123699835Y-138608345D01* +X123698000Y-138571000D01* +X123698000Y-131993000D01* +X123699835Y-131955655D01* +X123705321Y-131918671D01* +X123714406Y-131882402D01* +X123727002Y-131847198D01* +X123742988Y-131813398D01* +X123762210Y-131781328D01* +X123784483Y-131751296D01* +X123809592Y-131723592D01* +X123837296Y-131698483D01* +X123867328Y-131676210D01* +X123899398Y-131656988D01* +X123933198Y-131641002D01* +X123968402Y-131628406D01* +X124004671Y-131619321D01* +X124041655Y-131613835D01* +X124079000Y-131612000D01* +X124841000Y-131612000D01* +X124878345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X127418345Y-131613835D02* +G01* +X127455329Y-131619321D01* +X127491598Y-131628406D01* +X127526802Y-131641002D01* +X127560602Y-131656988D01* +X127592672Y-131676210D01* +X127622704Y-131698483D01* +X127650408Y-131723592D01* +X127675517Y-131751296D01* +X127697790Y-131781328D01* +X127717012Y-131813398D01* +X127732998Y-131847198D01* +X127745594Y-131882402D01* +X127754679Y-131918671D01* +X127760165Y-131955655D01* +X127762000Y-131993000D01* +X127762000Y-138571000D01* +X127760165Y-138608345D01* +X127754679Y-138645329D01* +X127745594Y-138681598D01* +X127732998Y-138716802D01* +X127717012Y-138750602D01* +X127697790Y-138782672D01* +X127675517Y-138812704D01* +X127650408Y-138840408D01* +X127622704Y-138865517D01* +X127592672Y-138887790D01* +X127560602Y-138907012D01* +X127526802Y-138922998D01* +X127491598Y-138935594D01* +X127455329Y-138944679D01* +X127418345Y-138950165D01* +X127381000Y-138952000D01* +X126619000Y-138952000D01* +X126581655Y-138950165D01* +X126544671Y-138944679D01* +X126508402Y-138935594D01* +X126473198Y-138922998D01* +X126439398Y-138907012D01* +X126407328Y-138887790D01* +X126377296Y-138865517D01* +X126349592Y-138840408D01* +X126324483Y-138812704D01* +X126302210Y-138782672D01* +X126282988Y-138750602D01* +X126267002Y-138716802D01* +X126254406Y-138681598D01* +X126245321Y-138645329D01* +X126239835Y-138608345D01* +X126238000Y-138571000D01* +X126238000Y-131993000D01* +X126239835Y-131955655D01* +X126245321Y-131918671D01* +X126254406Y-131882402D01* +X126267002Y-131847198D01* +X126282988Y-131813398D01* +X126302210Y-131781328D01* +X126324483Y-131751296D01* +X126349592Y-131723592D01* +X126377296Y-131698483D01* +X126407328Y-131676210D01* +X126439398Y-131656988D01* +X126473198Y-131641002D01* +X126508402Y-131628406D01* +X126544671Y-131619321D01* +X126581655Y-131613835D01* +X126619000Y-131612000D01* +X127381000Y-131612000D01* +X127418345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X129958345Y-131613835D02* +G01* +X129995329Y-131619321D01* +X130031598Y-131628406D01* +X130066802Y-131641002D01* +X130100602Y-131656988D01* +X130132672Y-131676210D01* +X130162704Y-131698483D01* +X130190408Y-131723592D01* +X130215517Y-131751296D01* +X130237790Y-131781328D01* +X130257012Y-131813398D01* +X130272998Y-131847198D01* +X130285594Y-131882402D01* +X130294679Y-131918671D01* +X130300165Y-131955655D01* +X130302000Y-131993000D01* +X130302000Y-138571000D01* +X130300165Y-138608345D01* +X130294679Y-138645329D01* +X130285594Y-138681598D01* +X130272998Y-138716802D01* +X130257012Y-138750602D01* +X130237790Y-138782672D01* +X130215517Y-138812704D01* +X130190408Y-138840408D01* +X130162704Y-138865517D01* +X130132672Y-138887790D01* +X130100602Y-138907012D01* +X130066802Y-138922998D01* +X130031598Y-138935594D01* +X129995329Y-138944679D01* +X129958345Y-138950165D01* +X129921000Y-138952000D01* +X129159000Y-138952000D01* +X129121655Y-138950165D01* +X129084671Y-138944679D01* +X129048402Y-138935594D01* +X129013198Y-138922998D01* +X128979398Y-138907012D01* +X128947328Y-138887790D01* +X128917296Y-138865517D01* +X128889592Y-138840408D01* +X128864483Y-138812704D01* +X128842210Y-138782672D01* +X128822988Y-138750602D01* +X128807002Y-138716802D01* +X128794406Y-138681598D01* +X128785321Y-138645329D01* +X128779835Y-138608345D01* +X128778000Y-138571000D01* +X128778000Y-131993000D01* +X128779835Y-131955655D01* +X128785321Y-131918671D01* +X128794406Y-131882402D01* +X128807002Y-131847198D01* +X128822988Y-131813398D01* +X128842210Y-131781328D01* +X128864483Y-131751296D01* +X128889592Y-131723592D01* +X128917296Y-131698483D01* +X128947328Y-131676210D01* +X128979398Y-131656988D01* +X129013198Y-131641002D01* +X129048402Y-131628406D01* +X129084671Y-131619321D01* +X129121655Y-131613835D01* +X129159000Y-131612000D01* +X129921000Y-131612000D01* +X129958345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +D11* +X81534000Y-106553000D03* +X70358000Y-117729000D03* +X134366000Y-106553000D03* +X133350000Y-129540000D03* +X72898000Y-129540000D03* +D12* +X91694000Y-127635000D03* +D13* +X97409000Y-127635000D03* +D14* +X137160000Y-129540000D03* +D13* +X137160000Y-126619000D03* +D12* +X121031000Y-111379000D03* +X121031000Y-114554000D03* +X121031000Y-108839000D03* +X122174000Y-110109000D03* +X136652000Y-112776000D03* +X135572500Y-111506000D03* +X135572500Y-114046000D03* +X133985000Y-114935000D03* +X137160000Y-114935000D03* +X94234000Y-127635000D03* +X83439000Y-120015000D03* +X81851500Y-120904000D03* +X80264000Y-120015000D03* +D13* +X125603000Y-129540000D03* +D12* +X68834000Y-114681000D03* +X68834000Y-124841000D03* +X117983000Y-105029000D03* +X112903000Y-105029000D03* +X107823000Y-105029000D03* +X102743000Y-105029000D03* +X97663000Y-105029000D03* +X92583000Y-105029000D03* +X69977000Y-131064000D03* +X68834000Y-129921000D03* +X138430000Y-106172000D03* +X137287000Y-105029000D03* +D13* +X102870000Y-131318000D03* +X105410000Y-131318000D03* +X107950000Y-131318000D03* +X110490000Y-131318000D03* +X113030000Y-131318000D03* +X115570000Y-131318000D03* +X118110000Y-131318000D03* +X100330000Y-131318000D03* +X77470000Y-131318000D03* +D12* +X138430000Y-110236000D03* +X133223000Y-105029000D03* +X128143000Y-105029000D03* +X123063000Y-105029000D03* +D13* +X120650000Y-131318000D03* +X125730000Y-131318000D03* +X128270000Y-131318000D03* +X130810000Y-131318000D03* +X133350000Y-131318000D03* +X135890000Y-131318000D03* +X123190000Y-131318000D03* +D12* +X71374000Y-127381000D03* +D13* +X138430000Y-131318000D03* +X82550000Y-131318000D03* +X80010000Y-131318000D03* +X95250000Y-131318000D03* +X97790000Y-131318000D03* +X92710000Y-131318000D03* +X90170000Y-131318000D03* +X87630000Y-131318000D03* +D12* +X78486000Y-105029000D03* +X87503000Y-105029000D03* +X91694000Y-125984000D03* +X92964000Y-124841000D03* +D13* +X94234000Y-117475000D03* +X97409000Y-117475000D03* +D12* +X135509000Y-126619000D03* +X134366000Y-127889000D03* +X135509000Y-129159000D03* +X118491000Y-125730000D03* +X118237000Y-110109000D03* +X119380000Y-108839000D03* +D13* +X74930000Y-131318000D03* +D12* +X77851000Y-129159000D03* +X77978000Y-126619000D03* +X78994000Y-127889000D03* +X107315000Y-108585000D03* +X110617000Y-108585000D03* +X82423000Y-105029000D03* +X72009000Y-111506000D03* +X75311000Y-108204000D03* +X68834000Y-119761000D03* +X138430000Y-115316000D03* +X138430000Y-120396000D03* +X138430000Y-125476000D03* +D13* +X98425000Y-112395000D03* +X98425000Y-111125000D03* +X98425000Y-109855000D03* +X115316000Y-126619000D03* +X116586000Y-128524000D03* +X102108000Y-113030000D03* +X88900000Y-118745000D03* +X111379000Y-123190000D03* +X111379000Y-121920000D03* +D12* +X133858000Y-111506000D03* +X132778500Y-112776000D03* +X129032000Y-122555000D03* +X132207000Y-122555000D03* +X130619500Y-123444000D03* +D13* +X100203000Y-113030000D03* +D12* +X108902500Y-107696000D03* +X93980000Y-109220000D03* +X92710000Y-108585000D03* +X89535000Y-108585000D03* +X91122500Y-107696000D03* +D13* +X93472000Y-114300000D03* +D12* +X76200000Y-118300500D03* +D13* +X93878400Y-118592600D03* +X93472000Y-123634500D03* +X110617000Y-113665000D03* +X110617000Y-114935000D03* +X110617000Y-116205000D03* +X110617000Y-112395000D03* +X110617000Y-111125000D03* +X110617000Y-109855000D03* +X106553000Y-113665000D03* +X89535000Y-124714000D03* +X88138000Y-126111000D03* +X89789000Y-127762000D03* +X85090000Y-128778000D03* +X80200500Y-128333500D03* +X93497400Y-129997200D03* +X103505000Y-122301000D03* +X99822000Y-119888000D03* +X101473000Y-111760000D03* +X103378000Y-111760000D03* +D12* +X72644000Y-117094000D03* +D13* +X113601500Y-126619000D03* +X112331500Y-128905000D03* +X115570000Y-109855000D03* +X114300000Y-111125000D03* +X105410000Y-125730000D03* +X123317000Y-127000000D03* +X127889000Y-127000000D03* +X89027000Y-107188000D03* +X86487000Y-118110000D03* +X84455000Y-118110000D03* +X84455000Y-119380000D03* +X83947000Y-121920000D03* +X79629000Y-123444000D03* +X93218000Y-121031000D03* +X88328500Y-128841500D03* +X102870000Y-128905000D03* +X106108500Y-120904000D03* +X105410000Y-123190000D03* +X102870000Y-124460000D03* +X102870000Y-127635000D03* +X81343500Y-129032000D03* +D12* +X129032000Y-114935000D03* +X132207000Y-114935000D03* +X130619500Y-114046000D03* +D13* +X132080000Y-118745000D03* +X134112000Y-121285000D03* +X133858000Y-116205000D03* +X129159000Y-118745000D03* +X118491000Y-123126500D03* +X123698000Y-107696000D03* +X118364000Y-107696000D03* +X102743000Y-110490000D03* +X103378000Y-113665000D03* +X103378000Y-114935000D03* +X102743000Y-116840000D03* +X98488500Y-123571000D03* +X86804500Y-114300000D03* +X80264000Y-117475000D03* +X80264000Y-116205000D03* +X97409000Y-122555000D03* +X112903000Y-117475000D03* +X97409000Y-121285000D03* +X112903000Y-116205000D03* +X112903000Y-114935000D03* +X97409000Y-120015000D03* +X115951000Y-111887000D03* +X97409000Y-118745000D03* +X80264000Y-109855000D03* +X80264000Y-114935000D03* +X80264000Y-108585000D03* +X80264000Y-111125000D03* +X80264000Y-112395000D03* +X80264000Y-113665000D03* +D14* +X76200000Y-129540000D03* +D13* +X110490000Y-122555000D03* +X112903000Y-121285000D03* +X121031000Y-125603000D03* +X121920000Y-130683000D03* +X117221000Y-111887000D03* +X118491000Y-111887000D03* +X132080000Y-131064000D03* +X134620000Y-131064000D03* +X97409000Y-123825000D03* +X117221000Y-125603000D03* +X123571000Y-125603000D03* +X110490000Y-125095000D03* +X110490000Y-126365000D03* +X122301000Y-125603000D03* +X126619000Y-122555000D03* +X110490000Y-123825000D03* +X110490000Y-121285000D03* +X126619000Y-120015000D03* +D15* +X137160000Y-129540000D02* +X137160000Y-126619000D01* +D16* +X137160000Y-114935000D02* +X133985000Y-114935000D01* +X88011000Y-106172000D02* +X83439000Y-110744000D01* +X83439000Y-120015000D02* +X83439000Y-110744000D01* +X91059000Y-127635000D02* +X91694000Y-127635000D01* +X83439000Y-120015000D02* +X91059000Y-127635000D01* +X91694000Y-127635000D02* +X94234000Y-127635000D01* +X94234000Y-127635000D02* +X97409000Y-127635000D01* +X137160000Y-113093500D02* +X135572500Y-111506000D01* +X137160000Y-114935000D02* +X137160000Y-113093500D01* +X133985000Y-113093500D02* +X135572500Y-111506000D01* +X135572500Y-114046000D02* +X135572500Y-111506000D01* +X136271000Y-114046000D02* +X137160000Y-114935000D01* +X135572500Y-114046000D02* +X136271000Y-114046000D01* +X134874000Y-114046000D02* +X133985000Y-114935000D01* +X135572500Y-114046000D02* +X134874000Y-114046000D01* +X136652000Y-114427000D02* +X137160000Y-114935000D01* +X135572500Y-111506000D02* +X135572500Y-112331500D01* +X133985000Y-113919000D02* +X133985000Y-113093500D01* +X135572500Y-112331500D02* +X133985000Y-113919000D01* +X133985000Y-114935000D02* +X133985000Y-113919000D01* +X135572500Y-112712500D02* +X136652000Y-113792000D01* +X135572500Y-111506000D02* +X135572500Y-112712500D01* +X136652000Y-113792000D02* +X136652000Y-114427000D01* +X136652000Y-112776000D02* +X136652000Y-113792000D01* +X135572500Y-111506000D02* +X134969250Y-110902750D01* +X134969250Y-113950750D02* +X133985000Y-114935000D01* +X134969250Y-110902750D02* +X134969250Y-113950750D01* +X81153000Y-120904000D02* +X81851500Y-120904000D01* +X82550000Y-120904000D02* +X83439000Y-120015000D01* +X81851500Y-120904000D02* +X82550000Y-120904000D01* +X84328000Y-120904000D02* +X91059000Y-127635000D01* +X81851500Y-120904000D02* +X84328000Y-120904000D01* +X83439000Y-116840000D02* +X83439000Y-110744000D01* +X83439000Y-118618000D02* +X81153000Y-120904000D01* +X83439000Y-116840000D02* +X83439000Y-118618000D01* +X82867500Y-117411500D02* +X82867500Y-118427500D01* +X81280000Y-120015000D02* +X83439000Y-120015000D01* +X80264000Y-120015000D02* +X81280000Y-120015000D01* +X82867500Y-118427500D02* +X81280000Y-120015000D01* +X82867500Y-117411500D02* +X83439000Y-116840000D01* +X80264000Y-120015000D02* +X81153000Y-120904000D01* +X80264000Y-120015000D02* +X82867500Y-117411500D01* +X137160000Y-118110000D02* +X137160000Y-126619000D01* +X133985000Y-114935000D02* +X137160000Y-118110000D01* +X135572500Y-114046000D02* +X135572500Y-115125500D01* +X135572500Y-115125500D02* +X137160000Y-116713000D01* +X136525000Y-114935000D02* +X137160000Y-115570000D01* +X133985000Y-114935000D02* +X136525000Y-114935000D01* +X137160000Y-115570000D02* +X137160000Y-114935000D01* +X137160000Y-116713000D02* +X137160000Y-115570000D01* +X121031000Y-114554000D02* +X121031000Y-111379000D01* +X121031000Y-111379000D02* +X121031000Y-108839000D01* +X118364000Y-106172000D02* +X121031000Y-108839000D01* +X88011000Y-106172000D02* +X118364000Y-106172000D01* +X130238500Y-106172000D02* +X134969250Y-110902750D01* +X123698000Y-106172000D02* +X130238500Y-106172000D01* +X121031000Y-108839000D02* +X123698000Y-106172000D01* +X121031000Y-108839000D02* +X121031000Y-107442000D01* +X121031000Y-107442000D02* +X119761000Y-106172000D01* +X118364000Y-106172000D02* +X119761000Y-106172000D01* +X121031000Y-107442000D02* +X122301000Y-106172000D01* +X122301000Y-106172000D02* +X123698000Y-106172000D01* +X121031000Y-108839000D02* +X121031000Y-106172000D01* +X121031000Y-106172000D02* +X122301000Y-106172000D01* +X119761000Y-106172000D02* +X121031000Y-106172000D01* +X122174000Y-109982000D02* +X122174000Y-110109000D01* +X122174000Y-110109000D02* +X122174000Y-113411000D01* +X122174000Y-107696000D02* +X123698000Y-106172000D01* +X122174000Y-110109000D02* +X122174000Y-107696000D01* +X122174000Y-113411000D02* +X121031000Y-114554000D01* +X121539000Y-114046000D02* +X121539000Y-109347000D01* +X121031000Y-114554000D02* +X121539000Y-114046000D01* +X121539000Y-109347000D02* +X122174000Y-109982000D01* +X121031000Y-108839000D02* +X121539000Y-109347000D01* +X137160000Y-126619000D02* +X137160000Y-116713000D01* +X136017000Y-125476000D02* +X137160000Y-126619000D01* +X132461000Y-125476000D02* +X136017000Y-125476000D01* +X128397000Y-129540000D02* +X132461000Y-125476000D01* +X125603000Y-129540000D02* +X128397000Y-129540000D01* +X136017000Y-125476000D02* +X137160000Y-124333000D01* +X137160000Y-124333000D02* +X137160000Y-114935000D01* +X137160000Y-126619000D02* +X137287000Y-126492000D01* +X137287000Y-113220500D02* +X135572500Y-111506000D01* +X137287000Y-126492000D02* +X137287000Y-113220500D01* +D15* +X137160000Y-129540000D02* +X137160000Y-125349000D01* +D17* +X137160000Y-135382000D02* +X137160000Y-131445000D01* +D18* +X78994000Y-118745000D02* +X80264000Y-117475000D01* +X84729262Y-129463800D02* +X78994000Y-123728538D01* +X96520000Y-135382000D02* +X96520000Y-131318000D01* +X94665800Y-129463800D02* +X84729262Y-129463800D01* +X78994000Y-123728538D02* +X78994000Y-118745000D01* +X96520000Y-131318000D02* +X94665800Y-129463800D01* +X93980000Y-131318000D02* +X93980000Y-135382000D01* +X84602996Y-129768600D02* +X92430600Y-129768600D01* +X92430600Y-129768600D02* +X93980000Y-131318000D01* +X80264000Y-116205000D02* +X78689200Y-117779800D01* +X78689200Y-123854804D02* +X84602996Y-129768600D01* +X78689200Y-117779800D02* +X78689200Y-123854804D01* +X102489000Y-117475000D02* +X112903000Y-117475000D01* +X100457000Y-119507000D02* +X102489000Y-117475000D01* +X100076000Y-120523000D02* +X100457000Y-120142000D01* +X97409000Y-122555000D02* +X99441000Y-120523000D01* +X100457000Y-120142000D02* +X100457000Y-119507000D01* +X99441000Y-120523000D02* +X100076000Y-120523000D01* +X103124000Y-115570000D02* +X98348810Y-120345190D01* +X112268000Y-115570000D02* +X103124000Y-115570000D01* +X98348810Y-120345190D02* +X97409000Y-121285000D01* +X112903000Y-116205000D02* +X112268000Y-115570000D01* +X98044000Y-119380000D02* +X97409000Y-120015000D01* +X103124000Y-114300000D02* +X98044000Y-119380000D01* +X112268000Y-114300000D02* +X103124000Y-114300000D01* +X112903000Y-114935000D02* +X112268000Y-114300000D01* +X115824000Y-111760000D02* +X104394000Y-111760000D01* +X104394000Y-111760000D02* +X97409000Y-118745000D01* +X115951000Y-111887000D02* +X115824000Y-111760000D01* +X77165200Y-112953800D02* +X80264000Y-109855000D01* +X80772000Y-128092934D02* +X77165200Y-124486134D01* +X80772000Y-129286000D02* +X80772000Y-128092934D01* +X77165200Y-124486134D02* +X77165200Y-112953800D01* +X81280000Y-129794000D02* +X80772000Y-129286000D01* +X81280000Y-135282000D02* +X81280000Y-129794000D01* +X78384400Y-116814600D02* +X80264000Y-114935000D01* +X78384400Y-123981070D02* +X78384400Y-116814600D01* +X84476730Y-130073400D02* +X78384400Y-123981070D01* +X90195400Y-130073400D02* +X84476730Y-130073400D01* +X91440000Y-131318000D02* +X90195400Y-130073400D01* +X91440000Y-135382000D02* +X91440000Y-131318000D01* +X76860400Y-111988600D02* +X80264000Y-108585000D01* +X79629000Y-127381000D02* +X76860400Y-124612400D01* +X76860400Y-124612400D02* +X76860400Y-111988600D01* +X79629000Y-128143000D02* +X79629000Y-127381000D01* +X78740000Y-129032000D02* +X79629000Y-128143000D01* +X78740000Y-135382000D02* +X78740000Y-129032000D01* +X83820000Y-130709868D02* +X83820000Y-135382000D01* +X77470000Y-124359868D02* +X83820000Y-130709868D01* +X77470000Y-113919000D02* +X77470000Y-124359868D01* +X80264000Y-111125000D02* +X77470000Y-113919000D01* +X86360000Y-131191000D02* +X85852000Y-130683000D01* +X77774800Y-114884200D02* +X80264000Y-112395000D01* +X77774800Y-124233602D02* +X77774800Y-114884200D01* +X84224198Y-130683000D02* +X77774800Y-124233602D01* +X85852000Y-130683000D02* +X84224198Y-130683000D01* +X86360000Y-135382000D02* +X86360000Y-131191000D01* +X88900000Y-131191000D02* +X88900000Y-135382000D01* +X78079600Y-124107336D02* +X84350464Y-130378200D01* +X88087200Y-130378200D02* +X88900000Y-131191000D01* +X84350464Y-130378200D02* +X88087200Y-130378200D01* +X78079600Y-115849400D02* +X78079600Y-124107336D01* +X80264000Y-113665000D02* +X78079600Y-115849400D01* +D17* +X76200000Y-135382000D02* +X76200000Y-129540000D01* +D18* +X111633000Y-122555000D02* +X112903000Y-121285000D01* +X110490000Y-122555000D02* +X111633000Y-122555000D01* +X124460000Y-131191000D02* +X121031000Y-127762000D01* +X121031000Y-127762000D02* +X121031000Y-125603000D01* +X124460000Y-135382000D02* +X124460000Y-131191000D01* +X121920000Y-135382000D02* +X121920000Y-130683000D01* +X105283000Y-129540000D02* +X106680000Y-130937000D01* +X106680000Y-130937000D02* +X106680000Y-135382000D01* +X96520000Y-124206000D02* +X101854000Y-129540000D01* +X115824000Y-110490000D02* +X103632000Y-110490000D01* +X96520000Y-117602000D02* +X96520000Y-124206000D01* +X103632000Y-110490000D02* +X96520000Y-117602000D01* +X101854000Y-129540000D02* +X105283000Y-129540000D01* +X117221000Y-111887000D02* +X115824000Y-110490000D01* +X99060000Y-130810000D02* +X99060000Y-135382000D01* +X99060000Y-127762000D02* +X99060000Y-130810000D01* +X95758000Y-124460000D02* +X99060000Y-127762000D01* +X118491000Y-111887000D02* +X115824000Y-109220000D01* +X95758000Y-116586000D02* +X95758000Y-124460000D01* +X103124000Y-109220000D02* +X95758000Y-116586000D01* +X115824000Y-109220000D02* +X103124000Y-109220000D01* +X132080000Y-135382000D02* +X132080000Y-131064000D01* +X134620000Y-135382000D02* +X134620000Y-131064000D01* +X101854000Y-128270000D02* +X97409000Y-123825000D01* +X114554000Y-128270000D02* +X101854000Y-128270000D01* +X117221000Y-125603000D02* +X114554000Y-128270000D01* +X111252000Y-125095000D02* +X110490000Y-125095000D01* +X112268000Y-124079000D02* +X111252000Y-125095000D01* +X122047000Y-124079000D02* +X112268000Y-124079000D01* +X123571000Y-125603000D02* +X122047000Y-124079000D01* +X110871000Y-126365000D02* +X110490000Y-126365000D01* +X112522000Y-124714000D02* +X110871000Y-126365000D01* +X121412000Y-124714000D02* +X112522000Y-124714000D01* +X122301000Y-125603000D02* +X121412000Y-124714000D01* +X122936000Y-122555000D02* +X126619000Y-122555000D01* +X112903000Y-122555000D02* +X122936000Y-122555000D01* +X111633000Y-123825000D02* +X112903000Y-122555000D01* +X110490000Y-123825000D02* +X111633000Y-123825000D01* +X111760000Y-120015000D02* +X126619000Y-120015000D01* +X110490000Y-121285000D02* +X111760000Y-120015000D01* +G36* +X137513112Y-104720131D02* +G01* +X138128750Y-105089514D01* +X138494376Y-105455140D01* +X138738281Y-105942951D01* +X138861800Y-106560544D01* +X138861800Y-131495800D01* +X138119097Y-131495800D01* +X138112994Y-131484382D01* +X138084427Y-131449573D01* +X138049618Y-131421006D01* +X138009905Y-131399779D01* +X137966813Y-131386708D01* +X137922000Y-131382294D01* +X137290950Y-131383400D01* +X137233800Y-131440550D01* +X137233800Y-131495800D01* +X137086200Y-131495800D01* +X137086200Y-131440550D01* +X137029050Y-131383400D01* +X136398000Y-131382294D01* +X136353187Y-131386708D01* +X136310095Y-131399779D01* +X136270382Y-131421006D01* +X136235573Y-131449573D01* +X136207006Y-131484382D01* +X136200903Y-131495800D01* +X135353185Y-131495800D01* +X135340290Y-131485217D01* +X135234707Y-131428781D01* +X135120143Y-131394029D01* +X135040468Y-131386181D01* +X135088440Y-131314386D01* +X135128287Y-131218187D01* +X135148600Y-131116063D01* +X135148600Y-131011937D01* +X135128287Y-130909813D01* +X135088440Y-130813614D01* +X135030591Y-130727037D01* +X134956963Y-130653409D01* +X134870386Y-130595560D01* +X134774187Y-130555713D01* +X134672063Y-130535400D01* +X134567937Y-130535400D01* +X134465813Y-130555713D01* +X134369614Y-130595560D01* +X134283037Y-130653409D01* +X134209409Y-130727037D01* +X134151560Y-130813614D01* +X134111713Y-130909813D01* +X134091400Y-131011937D01* +X134091400Y-131116063D01* +X134111713Y-131218187D01* +X134151560Y-131314386D01* +X134199532Y-131386181D01* +X134119857Y-131394029D01* +X134005293Y-131428781D01* +X133899710Y-131485217D01* +X133886815Y-131495800D01* +X132813185Y-131495800D01* +X132800290Y-131485217D01* +X132694707Y-131428781D01* +X132580143Y-131394029D01* +X132500468Y-131386181D01* +X132548440Y-131314386D01* +X132588287Y-131218187D01* +X132608600Y-131116063D01* +X132608600Y-131011937D01* +X132588287Y-130909813D01* +X132548440Y-130813614D01* +X132490591Y-130727037D01* +X132416963Y-130653409D01* +X132330386Y-130595560D01* +X132234187Y-130555713D01* +X132132063Y-130535400D01* +X132027937Y-130535400D01* +X131925813Y-130555713D01* +X131829614Y-130595560D01* +X131743037Y-130653409D01* +X131669409Y-130727037D01* +X131611560Y-130813614D01* +X131571713Y-130909813D01* +X131551400Y-131011937D01* +X131551400Y-131116063D01* +X131571713Y-131218187D01* +X131611560Y-131314386D01* +X131659532Y-131386181D01* +X131579857Y-131394029D01* +X131465293Y-131428781D01* +X131359710Y-131485217D01* +X131346815Y-131495800D01* +X130273185Y-131495800D01* +X130260290Y-131485217D01* +X130154707Y-131428781D01* +X130040143Y-131394029D01* +X129921000Y-131382294D01* +X129159000Y-131382294D01* +X129039857Y-131394029D01* +X128925293Y-131428781D01* +X128819710Y-131485217D01* +X128806815Y-131495800D01* +X127733185Y-131495800D01* +X127720290Y-131485217D01* +X127614707Y-131428781D01* +X127500143Y-131394029D01* +X127381000Y-131382294D01* +X126619000Y-131382294D01* +X126499857Y-131394029D01* +X126385293Y-131428781D01* +X126279710Y-131485217D01* +X126266815Y-131495800D01* +X125193185Y-131495800D01* +X125180290Y-131485217D01* +X125074707Y-131428781D01* +X124960143Y-131394029D01* +X124841000Y-131382294D01* +X124764800Y-131382294D01* +X124764800Y-131205957D01* +X124766273Y-131190999D01* +X124764800Y-131176041D01* +X124764800Y-131176034D01* +X124760389Y-131131249D01* +X124742960Y-131073794D01* +X124714658Y-131020843D01* +X124676568Y-130974432D01* +X124664944Y-130964892D01* +X121335800Y-127635749D01* +X121335800Y-126035082D01* +X121367963Y-126013591D01* +X121441591Y-125939963D01* +X121499440Y-125853386D01* +X121539287Y-125757187D01* +X121559600Y-125655063D01* +X121559600Y-125550937D01* +X121539287Y-125448813D01* +X121499440Y-125352614D01* +X121441591Y-125266037D01* +X121367963Y-125192409D01* +X121281386Y-125134560D01* +X121185187Y-125094713D01* +X121083063Y-125074400D01* +X120978937Y-125074400D01* +X120876813Y-125094713D01* +X120780614Y-125134560D01* +X120694037Y-125192409D01* +X120620409Y-125266037D01* +X120562560Y-125352614D01* +X120522713Y-125448813D01* +X120502400Y-125550937D01* +X120502400Y-125655063D01* +X120522713Y-125757187D01* +X120562560Y-125853386D01* +X120620409Y-125939963D01* +X120694037Y-126013591D01* +X120726201Y-126035082D01* +X120726200Y-127747042D01* +X120724727Y-127762000D01* +X120726200Y-127776958D01* +X120726200Y-127776965D01* +X120730611Y-127821750D01* +X120748040Y-127879205D01* +X120776342Y-127932156D01* +X120814432Y-127978568D01* +X120826061Y-127988112D01* +X124155201Y-131317253D01* +X124155201Y-131382294D01* +X124079000Y-131382294D01* +X123959857Y-131394029D01* +X123845293Y-131428781D01* +X123739710Y-131485217D01* +X123726815Y-131495800D01* +X122653185Y-131495800D01* +X122640290Y-131485217D01* +X122534707Y-131428781D01* +X122420143Y-131394029D01* +X122301000Y-131382294D01* +X122224800Y-131382294D01* +X122224800Y-131115082D01* +X122256963Y-131093591D01* +X122330591Y-131019963D01* +X122388440Y-130933386D01* +X122428287Y-130837187D01* +X122448600Y-130735063D01* +X122448600Y-130630937D01* +X122428287Y-130528813D01* +X122388440Y-130432614D01* +X122330591Y-130346037D01* +X122256963Y-130272409D01* +X122170386Y-130214560D01* +X122074187Y-130174713D01* +X121972063Y-130154400D01* +X121867937Y-130154400D01* +X121765813Y-130174713D01* +X121669614Y-130214560D01* +X121583037Y-130272409D01* +X121509409Y-130346037D01* +X121451560Y-130432614D01* +X121411713Y-130528813D01* +X121391400Y-130630937D01* +X121391400Y-130735063D01* +X121411713Y-130837187D01* +X121451560Y-130933386D01* +X121509409Y-131019963D01* +X121583037Y-131093591D01* +X121615201Y-131115082D01* +X121615201Y-131382294D01* +X121539000Y-131382294D01* +X121419857Y-131394029D01* +X121305293Y-131428781D01* +X121199710Y-131485217D01* +X121186815Y-131495800D01* +X120113185Y-131495800D01* +X120100290Y-131485217D01* +X119994707Y-131428781D01* +X119880143Y-131394029D01* +X119761000Y-131382294D01* +X118999000Y-131382294D01* +X118879857Y-131394029D01* +X118765293Y-131428781D01* +X118659710Y-131485217D01* +X118646815Y-131495800D01* +X117573185Y-131495800D01* +X117560290Y-131485217D01* +X117454707Y-131428781D01* +X117340143Y-131394029D01* +X117221000Y-131382294D01* +X116459000Y-131382294D01* +X116339857Y-131394029D01* +X116225293Y-131428781D01* +X116119710Y-131485217D01* +X116106815Y-131495800D01* +X115033185Y-131495800D01* +X115020290Y-131485217D01* +X114914707Y-131428781D01* +X114800143Y-131394029D01* +X114681000Y-131382294D01* +X113919000Y-131382294D01* +X113799857Y-131394029D01* +X113685293Y-131428781D01* +X113579710Y-131485217D01* +X113566815Y-131495800D01* +X112493185Y-131495800D01* +X112480290Y-131485217D01* +X112374707Y-131428781D01* +X112260143Y-131394029D01* +X112141000Y-131382294D01* +X111379000Y-131382294D01* +X111259857Y-131394029D01* +X111145293Y-131428781D01* +X111039710Y-131485217D01* +X111026815Y-131495800D01* +X109953185Y-131495800D01* +X109940290Y-131485217D01* +X109834707Y-131428781D01* +X109720143Y-131394029D01* +X109601000Y-131382294D01* +X108839000Y-131382294D01* +X108719857Y-131394029D01* +X108605293Y-131428781D01* +X108499710Y-131485217D01* +X108486815Y-131495800D01* +X107413185Y-131495800D01* +X107400290Y-131485217D01* +X107294707Y-131428781D01* +X107180143Y-131394029D01* +X107061000Y-131382294D01* +X106984800Y-131382294D01* +X106984800Y-130951957D01* +X106986273Y-130936999D01* +X106984800Y-130922041D01* +X106984800Y-130922034D01* +X106980389Y-130877249D01* +X106978115Y-130869751D01* +X106965168Y-130827073D01* +X106962960Y-130819794D01* +X106934658Y-130766843D01* +X106896568Y-130720432D01* +X106884945Y-130710893D01* +X105509112Y-129335061D01* +X105499568Y-129323432D01* +X105453157Y-129285342D01* +X105400206Y-129257040D01* +X105342751Y-129239611D01* +X105297966Y-129235200D01* +X105297958Y-129235200D01* +X105283000Y-129233727D01* +X105268042Y-129235200D01* +X101980252Y-129235200D01* +X96824800Y-124079749D01* +X96824800Y-123772937D01* +X96880400Y-123772937D01* +X96880400Y-123877063D01* +X96900713Y-123979187D01* +X96940560Y-124075386D01* +X96998409Y-124161963D01* +X97072037Y-124235591D01* +X97158614Y-124293440D01* +X97254813Y-124333287D01* +X97356937Y-124353600D01* +X97461063Y-124353600D01* +X97499003Y-124346054D01* +X101627892Y-128474944D01* +X101637432Y-128486568D01* +X101683843Y-128524658D01* +X101736794Y-128552960D01* +X101780876Y-128566332D01* +X101794248Y-128570389D01* +X101799889Y-128570945D01* +X101839034Y-128574800D01* +X101839041Y-128574800D01* +X101853999Y-128576273D01* +X101868957Y-128574800D01* +X114539042Y-128574800D01* +X114554000Y-128576273D01* +X114568958Y-128574800D01* +X114568966Y-128574800D01* +X114613751Y-128570389D01* +X114671206Y-128552960D01* +X114724157Y-128524658D01* +X114770568Y-128486568D01* +X114780112Y-128474939D01* +X117130999Y-126124054D01* +X117168937Y-126131600D01* +X117273063Y-126131600D01* +X117375187Y-126111287D01* +X117471386Y-126071440D01* +X117557963Y-126013591D01* +X117631591Y-125939963D01* +X117689440Y-125853386D01* +X117729287Y-125757187D01* +X117749600Y-125655063D01* +X117749600Y-125550937D01* +X117729287Y-125448813D01* +X117689440Y-125352614D01* +X117631591Y-125266037D01* +X117557963Y-125192409D01* +X117471386Y-125134560D01* +X117375187Y-125094713D01* +X117273063Y-125074400D01* +X117168937Y-125074400D01* +X117066813Y-125094713D01* +X116970614Y-125134560D01* +X116884037Y-125192409D01* +X116810409Y-125266037D01* +X116752560Y-125352614D01* +X116712713Y-125448813D01* +X116692400Y-125550937D01* +X116692400Y-125655063D01* +X116699946Y-125693001D01* +X114427749Y-127965200D01* +X101980252Y-127965200D01* +X100327989Y-126312937D01* +X109961400Y-126312937D01* +X109961400Y-126417063D01* +X109981713Y-126519187D01* +X110021560Y-126615386D01* +X110079409Y-126701963D01* +X110153037Y-126775591D01* +X110239614Y-126833440D01* +X110335813Y-126873287D01* +X110437937Y-126893600D01* +X110542063Y-126893600D01* +X110644187Y-126873287D01* +X110740386Y-126833440D01* +X110826963Y-126775591D01* +X110900591Y-126701963D01* +X110924626Y-126665992D01* +X110930751Y-126665389D01* +X110988206Y-126647960D01* +X111041157Y-126619658D01* +X111087568Y-126581568D01* +X111097112Y-126569939D01* +X112648252Y-125018800D01* +X121285749Y-125018800D01* +X121779946Y-125512998D01* +X121772400Y-125550937D01* +X121772400Y-125655063D01* +X121792713Y-125757187D01* +X121832560Y-125853386D01* +X121890409Y-125939963D01* +X121964037Y-126013591D01* +X122050614Y-126071440D01* +X122146813Y-126111287D01* +X122248937Y-126131600D01* +X122353063Y-126131600D01* +X122455187Y-126111287D01* +X122551386Y-126071440D01* +X122637963Y-126013591D01* +X122711591Y-125939963D01* +X122769440Y-125853386D01* +X122809287Y-125757187D01* +X122829600Y-125655063D01* +X122829600Y-125550937D01* +X122809287Y-125448813D01* +X122769440Y-125352614D01* +X122711591Y-125266037D01* +X122637963Y-125192409D01* +X122551386Y-125134560D01* +X122455187Y-125094713D01* +X122353063Y-125074400D01* +X122248937Y-125074400D01* +X122210998Y-125081946D01* +X121638112Y-124509061D01* +X121628568Y-124497432D01* +X121582157Y-124459342D01* +X121529206Y-124431040D01* +X121471751Y-124413611D01* +X121426966Y-124409200D01* +X121426958Y-124409200D01* +X121412000Y-124407727D01* +X121397042Y-124409200D01* +X112536958Y-124409200D01* +X112522000Y-124407727D01* +X112507042Y-124409200D01* +X112507034Y-124409200D01* +X112462249Y-124413611D01* +X112404794Y-124431040D01* +X112351843Y-124459342D01* +X112305432Y-124497432D01* +X112295892Y-124509056D01* +X110838751Y-125966197D01* +X110826963Y-125954409D01* +X110740386Y-125896560D01* +X110644187Y-125856713D01* +X110542063Y-125836400D01* +X110437937Y-125836400D01* +X110335813Y-125856713D01* +X110239614Y-125896560D01* +X110153037Y-125954409D01* +X110079409Y-126028037D01* +X110021560Y-126114614D01* +X109981713Y-126210813D01* +X109961400Y-126312937D01* +X100327989Y-126312937D01* +X99057989Y-125042937D01* +X109961400Y-125042937D01* +X109961400Y-125147063D01* +X109981713Y-125249187D01* +X110021560Y-125345386D01* +X110079409Y-125431963D01* +X110153037Y-125505591D01* +X110239614Y-125563440D01* +X110335813Y-125603287D01* +X110437937Y-125623600D01* +X110542063Y-125623600D01* +X110644187Y-125603287D01* +X110740386Y-125563440D01* +X110826963Y-125505591D01* +X110900591Y-125431963D01* +X110922082Y-125399800D01* +X111237042Y-125399800D01* +X111252000Y-125401273D01* +X111266958Y-125399800D01* +X111266966Y-125399800D01* +X111311751Y-125395389D01* +X111369206Y-125377960D01* +X111422157Y-125349658D01* +X111468568Y-125311568D01* +X111478112Y-125299939D01* +X112394252Y-124383800D01* +X121920749Y-124383800D01* +X123049946Y-125512998D01* +X123042400Y-125550937D01* +X123042400Y-125655063D01* +X123062713Y-125757187D01* +X123102560Y-125853386D01* +X123160409Y-125939963D01* +X123234037Y-126013591D01* +X123320614Y-126071440D01* +X123416813Y-126111287D01* +X123518937Y-126131600D01* +X123623063Y-126131600D01* +X123725187Y-126111287D01* +X123821386Y-126071440D01* +X123907963Y-126013591D01* +X123981591Y-125939963D01* +X124039440Y-125853386D01* +X124079287Y-125757187D01* +X124099600Y-125655063D01* +X124099600Y-125550937D01* +X124079287Y-125448813D01* +X124039440Y-125352614D01* +X123981591Y-125266037D01* +X123907963Y-125192409D01* +X123821386Y-125134560D01* +X123725187Y-125094713D01* +X123623063Y-125074400D01* +X123518937Y-125074400D01* +X123480998Y-125081946D01* +X122273112Y-123874061D01* +X122263568Y-123862432D01* +X122217157Y-123824342D01* +X122164206Y-123796040D01* +X122106751Y-123778611D01* +X122061966Y-123774200D01* +X122061958Y-123774200D01* +X122047000Y-123772727D01* +X122032042Y-123774200D01* +X112282958Y-123774200D01* +X112268000Y-123772727D01* +X112253042Y-123774200D01* +X112253034Y-123774200D01* +X112213403Y-123778103D01* +X112208248Y-123778611D01* +X112176345Y-123788289D01* +X112150794Y-123796040D01* +X112097843Y-123824342D01* +X112051432Y-123862432D01* +X112041892Y-123874056D01* +X111125749Y-124790200D01* +X110922082Y-124790200D01* +X110900591Y-124758037D01* +X110826963Y-124684409D01* +X110740386Y-124626560D01* +X110644187Y-124586713D01* +X110542063Y-124566400D01* +X110437937Y-124566400D01* +X110335813Y-124586713D01* +X110239614Y-124626560D01* +X110153037Y-124684409D01* +X110079409Y-124758037D01* +X110021560Y-124844614D01* +X109981713Y-124940813D01* +X109961400Y-125042937D01* +X99057989Y-125042937D01* +X97930054Y-123915003D01* +X97937600Y-123877063D01* +X97937600Y-123772937D01* +X109961400Y-123772937D01* +X109961400Y-123877063D01* +X109981713Y-123979187D01* +X110021560Y-124075386D01* +X110079409Y-124161963D01* +X110153037Y-124235591D01* +X110239614Y-124293440D01* +X110335813Y-124333287D01* +X110437937Y-124353600D01* +X110542063Y-124353600D01* +X110644187Y-124333287D01* +X110740386Y-124293440D01* +X110826963Y-124235591D01* +X110900591Y-124161963D01* +X110922082Y-124129800D01* +X111618042Y-124129800D01* +X111633000Y-124131273D01* +X111647958Y-124129800D01* +X111647966Y-124129800D01* +X111692751Y-124125389D01* +X111750206Y-124107960D01* +X111803157Y-124079658D01* +X111849568Y-124041568D01* +X111859112Y-124029939D01* +X113029252Y-122859800D01* +X126186918Y-122859800D01* +X126208409Y-122891963D01* +X126282037Y-122965591D01* +X126368614Y-123023440D01* +X126464813Y-123063287D01* +X126566937Y-123083600D01* +X126671063Y-123083600D01* +X126773187Y-123063287D01* +X126869386Y-123023440D01* +X126955963Y-122965591D01* +X127029591Y-122891963D01* +X127087440Y-122805386D01* +X127127287Y-122709187D01* +X127147600Y-122607063D01* +X127147600Y-122502937D01* +X127127287Y-122400813D01* +X127087440Y-122304614D01* +X127029591Y-122218037D01* +X126955963Y-122144409D01* +X126869386Y-122086560D01* +X126773187Y-122046713D01* +X126671063Y-122026400D01* +X126566937Y-122026400D01* +X126464813Y-122046713D01* +X126368614Y-122086560D01* +X126282037Y-122144409D01* +X126208409Y-122218037D01* +X126186918Y-122250200D01* +X112917957Y-122250200D01* +X112902999Y-122248727D01* +X112888041Y-122250200D01* +X112888034Y-122250200D01* +X112848889Y-122254055D01* +X112843248Y-122254611D01* +X112829876Y-122258668D01* +X112785794Y-122272040D01* +X112732843Y-122300342D01* +X112686432Y-122338432D01* +X112676892Y-122350056D01* +X111506749Y-123520200D01* +X110922082Y-123520200D01* +X110900591Y-123488037D01* +X110826963Y-123414409D01* +X110740386Y-123356560D01* +X110644187Y-123316713D01* +X110542063Y-123296400D01* +X110437937Y-123296400D01* +X110335813Y-123316713D01* +X110239614Y-123356560D01* +X110153037Y-123414409D01* +X110079409Y-123488037D01* +X110021560Y-123574614D01* +X109981713Y-123670813D01* +X109961400Y-123772937D01* +X97937600Y-123772937D01* +X97917287Y-123670813D01* +X97877440Y-123574614D01* +X97819591Y-123488037D01* +X97745963Y-123414409D01* +X97659386Y-123356560D01* +X97563187Y-123316713D01* +X97461063Y-123296400D01* +X97356937Y-123296400D01* +X97254813Y-123316713D01* +X97158614Y-123356560D01* +X97072037Y-123414409D01* +X96998409Y-123488037D01* +X96940560Y-123574614D01* +X96900713Y-123670813D01* +X96880400Y-123772937D01* +X96824800Y-123772937D01* +X96824800Y-122502937D01* +X96880400Y-122502937D01* +X96880400Y-122607063D01* +X96900713Y-122709187D01* +X96940560Y-122805386D01* +X96998409Y-122891963D01* +X97072037Y-122965591D01* +X97158614Y-123023440D01* +X97254813Y-123063287D01* +X97356937Y-123083600D01* +X97461063Y-123083600D01* +X97563187Y-123063287D01* +X97659386Y-123023440D01* +X97745963Y-122965591D01* +X97819591Y-122891963D01* +X97877440Y-122805386D01* +X97917287Y-122709187D01* +X97937600Y-122607063D01* +X97937600Y-122502937D01* +X109961400Y-122502937D01* +X109961400Y-122607063D01* +X109981713Y-122709187D01* +X110021560Y-122805386D01* +X110079409Y-122891963D01* +X110153037Y-122965591D01* +X110239614Y-123023440D01* +X110335813Y-123063287D01* +X110437937Y-123083600D01* +X110542063Y-123083600D01* +X110644187Y-123063287D01* +X110740386Y-123023440D01* +X110826963Y-122965591D01* +X110900591Y-122891963D01* +X110922082Y-122859800D01* +X111618042Y-122859800D01* +X111633000Y-122861273D01* +X111647958Y-122859800D01* +X111647966Y-122859800D01* +X111692751Y-122855389D01* +X111750206Y-122837960D01* +X111803157Y-122809658D01* +X111849568Y-122771568D01* +X111859112Y-122759939D01* +X112812998Y-121806054D01* +X112850937Y-121813600D01* +X112955063Y-121813600D01* +X113057187Y-121793287D01* +X113153386Y-121753440D01* +X113239963Y-121695591D01* +X113313591Y-121621963D01* +X113371440Y-121535386D01* +X113411287Y-121439187D01* +X113431600Y-121337063D01* +X113431600Y-121232937D01* +X113411287Y-121130813D01* +X113371440Y-121034614D01* +X113313591Y-120948037D01* +X113239963Y-120874409D01* +X113153386Y-120816560D01* +X113057187Y-120776713D01* +X112955063Y-120756400D01* +X112850937Y-120756400D01* +X112748813Y-120776713D01* +X112652614Y-120816560D01* +X112566037Y-120874409D01* +X112492409Y-120948037D01* +X112434560Y-121034614D01* +X112394713Y-121130813D01* +X112374400Y-121232937D01* +X112374400Y-121337063D01* +X112381946Y-121375002D01* +X111506749Y-122250200D01* +X110922082Y-122250200D01* +X110900591Y-122218037D01* +X110826963Y-122144409D01* +X110740386Y-122086560D01* +X110644187Y-122046713D01* +X110542063Y-122026400D01* +X110437937Y-122026400D01* +X110335813Y-122046713D01* +X110239614Y-122086560D01* +X110153037Y-122144409D01* +X110079409Y-122218037D01* +X110021560Y-122304614D01* +X109981713Y-122400813D01* +X109961400Y-122502937D01* +X97937600Y-122502937D01* +X97930054Y-122464998D01* +X99162115Y-121232937D01* +X109961400Y-121232937D01* +X109961400Y-121337063D01* +X109981713Y-121439187D01* +X110021560Y-121535386D01* +X110079409Y-121621963D01* +X110153037Y-121695591D01* +X110239614Y-121753440D01* +X110335813Y-121793287D01* +X110437937Y-121813600D01* +X110542063Y-121813600D01* +X110644187Y-121793287D01* +X110740386Y-121753440D01* +X110826963Y-121695591D01* +X110900591Y-121621963D01* +X110958440Y-121535386D01* +X110998287Y-121439187D01* +X111018600Y-121337063D01* +X111018600Y-121232937D01* +X111011054Y-121194998D01* +X111886252Y-120319800D01* +X126186918Y-120319800D01* +X126208409Y-120351963D01* +X126282037Y-120425591D01* +X126368614Y-120483440D01* +X126464813Y-120523287D01* +X126566937Y-120543600D01* +X126671063Y-120543600D01* +X126773187Y-120523287D01* +X126869386Y-120483440D01* +X126955963Y-120425591D01* +X127029591Y-120351963D01* +X127087440Y-120265386D01* +X127127287Y-120169187D01* +X127147600Y-120067063D01* +X127147600Y-119962937D01* +X127127287Y-119860813D01* +X127087440Y-119764614D01* +X127029591Y-119678037D01* +X126955963Y-119604409D01* +X126869386Y-119546560D01* +X126773187Y-119506713D01* +X126671063Y-119486400D01* +X126566937Y-119486400D01* +X126464813Y-119506713D01* +X126368614Y-119546560D01* +X126282037Y-119604409D01* +X126208409Y-119678037D01* +X126186918Y-119710200D01* +X111774957Y-119710200D01* +X111759999Y-119708727D01* +X111745041Y-119710200D01* +X111745034Y-119710200D01* +X111705889Y-119714055D01* +X111700248Y-119714611D01* +X111686876Y-119718668D01* +X111642794Y-119732040D01* +X111589843Y-119760342D01* +X111543432Y-119798432D01* +X111533892Y-119810056D01* +X110580002Y-120763946D01* +X110542063Y-120756400D01* +X110437937Y-120756400D01* +X110335813Y-120776713D01* +X110239614Y-120816560D01* +X110153037Y-120874409D01* +X110079409Y-120948037D01* +X110021560Y-121034614D01* +X109981713Y-121130813D01* +X109961400Y-121232937D01* +X99162115Y-121232937D01* +X99567252Y-120827800D01* +X100061042Y-120827800D01* +X100076000Y-120829273D01* +X100090958Y-120827800D01* +X100090966Y-120827800D01* +X100135751Y-120823389D01* +X100193206Y-120805960D01* +X100246157Y-120777658D01* +X100292568Y-120739568D01* +X100302112Y-120727939D01* +X100661945Y-120368107D01* +X100673568Y-120358568D01* +X100684548Y-120345190D01* +X100711657Y-120312158D01* +X100711658Y-120312157D01* +X100739960Y-120259206D01* +X100757389Y-120201751D01* +X100761800Y-120156966D01* +X100761800Y-120156959D01* +X100763273Y-120142001D01* +X100761800Y-120127043D01* +X100761800Y-119633251D01* +X102615252Y-117779800D01* +X112470918Y-117779800D01* +X112492409Y-117811963D01* +X112566037Y-117885591D01* +X112652614Y-117943440D01* +X112748813Y-117983287D01* +X112850937Y-118003600D01* +X112955063Y-118003600D01* +X113057187Y-117983287D01* +X113153386Y-117943440D01* +X113239963Y-117885591D01* +X113313591Y-117811963D01* +X113371440Y-117725386D01* +X113411287Y-117629187D01* +X113431600Y-117527063D01* +X113431600Y-117422937D01* +X113411287Y-117320813D01* +X113371440Y-117224614D01* +X113313591Y-117138037D01* +X113239963Y-117064409D01* +X113153386Y-117006560D01* +X113057187Y-116966713D01* +X112955063Y-116946400D01* +X112850937Y-116946400D01* +X112748813Y-116966713D01* +X112652614Y-117006560D01* +X112566037Y-117064409D01* +X112492409Y-117138037D01* +X112470918Y-117170200D01* +X102503958Y-117170200D01* +X102489000Y-117168727D01* +X102474042Y-117170200D01* +X102474034Y-117170200D01* +X102434403Y-117174103D01* +X102429248Y-117174611D01* +X102371794Y-117192040D01* +X102318843Y-117220342D01* +X102272432Y-117258432D01* +X102262892Y-117270056D01* +X100252057Y-119280892D01* +X100240433Y-119290432D01* +X100202343Y-119336843D01* +X100198549Y-119343942D01* +X100174040Y-119389795D01* +X100156611Y-119447249D01* +X100150727Y-119507000D01* +X100152201Y-119521968D01* +X100152200Y-120015748D01* +X99949749Y-120218200D01* +X99455958Y-120218200D01* +X99441000Y-120216727D01* +X99426042Y-120218200D01* +X99426034Y-120218200D01* +X99386403Y-120222103D01* +X99381248Y-120222611D01* +X99351722Y-120231568D01* +X99323794Y-120240040D01* +X99270843Y-120268342D01* +X99224432Y-120306432D01* +X99214892Y-120318056D01* +X97499002Y-122033946D01* +X97461063Y-122026400D01* +X97356937Y-122026400D01* +X97254813Y-122046713D01* +X97158614Y-122086560D01* +X97072037Y-122144409D01* +X96998409Y-122218037D01* +X96940560Y-122304614D01* +X96900713Y-122400813D01* +X96880400Y-122502937D01* +X96824800Y-122502937D01* +X96824800Y-121232937D01* +X96880400Y-121232937D01* +X96880400Y-121337063D01* +X96900713Y-121439187D01* +X96940560Y-121535386D01* +X96998409Y-121621963D01* +X97072037Y-121695591D01* +X97158614Y-121753440D01* +X97254813Y-121793287D01* +X97356937Y-121813600D01* +X97461063Y-121813600D01* +X97563187Y-121793287D01* +X97659386Y-121753440D01* +X97745963Y-121695591D01* +X97819591Y-121621963D01* +X97877440Y-121535386D01* +X97917287Y-121439187D01* +X97937600Y-121337063D01* +X97937600Y-121232937D01* +X97930054Y-121194998D01* +X98574918Y-120550134D01* +X98574923Y-120550128D01* +X103250252Y-115874800D01* +X112141749Y-115874800D01* +X112381946Y-116114998D01* +X112374400Y-116152937D01* +X112374400Y-116257063D01* +X112394713Y-116359187D01* +X112434560Y-116455386D01* +X112492409Y-116541963D01* +X112566037Y-116615591D01* +X112652614Y-116673440D01* +X112748813Y-116713287D01* +X112850937Y-116733600D01* +X112955063Y-116733600D01* +X113057187Y-116713287D01* +X113153386Y-116673440D01* +X113239963Y-116615591D01* +X113313591Y-116541963D01* +X113371440Y-116455386D01* +X113411287Y-116359187D01* +X113431600Y-116257063D01* +X113431600Y-116152937D01* +X113411287Y-116050813D01* +X113371440Y-115954614D01* +X113313591Y-115868037D01* +X113239963Y-115794409D01* +X113153386Y-115736560D01* +X113057187Y-115696713D01* +X112955063Y-115676400D01* +X112850937Y-115676400D01* +X112812998Y-115683946D01* +X112494112Y-115365061D01* +X112484568Y-115353432D01* +X112438157Y-115315342D01* +X112385206Y-115287040D01* +X112327751Y-115269611D01* +X112282966Y-115265200D01* +X112282958Y-115265200D01* +X112268000Y-115263727D01* +X112253042Y-115265200D01* +X103138957Y-115265200D01* +X103123999Y-115263727D01* +X103109041Y-115265200D01* +X103109034Y-115265200D01* +X103069889Y-115269055D01* +X103064248Y-115269611D01* +X103046819Y-115274898D01* +X103006794Y-115287040D01* +X102953843Y-115315342D01* +X102907432Y-115353432D01* +X102897892Y-115365056D01* +X98143872Y-120119077D01* +X98143866Y-120119082D01* +X97499002Y-120763946D01* +X97461063Y-120756400D01* +X97356937Y-120756400D01* +X97254813Y-120776713D01* +X97158614Y-120816560D01* +X97072037Y-120874409D01* +X96998409Y-120948037D01* +X96940560Y-121034614D01* +X96900713Y-121130813D01* +X96880400Y-121232937D01* +X96824800Y-121232937D01* +X96824800Y-119962937D01* +X96880400Y-119962937D01* +X96880400Y-120067063D01* +X96900713Y-120169187D01* +X96940560Y-120265386D01* +X96998409Y-120351963D01* +X97072037Y-120425591D01* +X97158614Y-120483440D01* +X97254813Y-120523287D01* +X97356937Y-120543600D01* +X97461063Y-120543600D01* +X97563187Y-120523287D01* +X97659386Y-120483440D01* +X97745963Y-120425591D01* +X97819591Y-120351963D01* +X97877440Y-120265386D01* +X97917287Y-120169187D01* +X97937600Y-120067063D01* +X97937600Y-119962937D01* +X97930054Y-119924998D01* +X98270108Y-119584944D01* +X98270113Y-119584938D01* +X103250252Y-114604800D01* +X112141749Y-114604800D01* +X112381946Y-114844998D01* +X112374400Y-114882937D01* +X112374400Y-114987063D01* +X112394713Y-115089187D01* +X112434560Y-115185386D01* +X112492409Y-115271963D01* +X112566037Y-115345591D01* +X112652614Y-115403440D01* +X112748813Y-115443287D01* +X112850937Y-115463600D01* +X112955063Y-115463600D01* +X113057187Y-115443287D01* +X113153386Y-115403440D01* +X113239963Y-115345591D01* +X113313591Y-115271963D01* +X113371440Y-115185386D01* +X113411287Y-115089187D01* +X113431600Y-114987063D01* +X113431600Y-114882937D01* +X113411287Y-114780813D01* +X113371440Y-114684614D01* +X113313591Y-114598037D01* +X113239963Y-114524409D01* +X113153386Y-114466560D01* +X113057187Y-114426713D01* +X112955063Y-114406400D01* +X112850937Y-114406400D01* +X112812998Y-114413946D01* +X112494112Y-114095061D01* +X112484568Y-114083432D01* +X112438157Y-114045342D01* +X112385206Y-114017040D01* +X112327751Y-113999611D01* +X112282966Y-113995200D01* +X112282958Y-113995200D01* +X112268000Y-113993727D01* +X112253042Y-113995200D01* +X103138958Y-113995200D01* +X103124000Y-113993727D01* +X103109042Y-113995200D01* +X103109034Y-113995200D01* +X103069403Y-113999103D01* +X103064248Y-113999611D01* +X103030611Y-114009815D01* +X103006794Y-114017040D01* +X102953843Y-114045342D01* +X102907432Y-114083432D01* +X102897892Y-114095056D01* +X97839062Y-119153887D01* +X97839056Y-119153892D01* +X97499002Y-119493946D01* +X97461063Y-119486400D01* +X97356937Y-119486400D01* +X97254813Y-119506713D01* +X97158614Y-119546560D01* +X97072037Y-119604409D01* +X96998409Y-119678037D01* +X96940560Y-119764614D01* +X96900713Y-119860813D01* +X96880400Y-119962937D01* +X96824800Y-119962937D01* +X96824800Y-118692937D01* +X96880400Y-118692937D01* +X96880400Y-118797063D01* +X96900713Y-118899187D01* +X96940560Y-118995386D01* +X96998409Y-119081963D01* +X97072037Y-119155591D01* +X97158614Y-119213440D01* +X97254813Y-119253287D01* +X97356937Y-119273600D01* +X97461063Y-119273600D01* +X97563187Y-119253287D01* +X97659386Y-119213440D01* +X97745963Y-119155591D01* +X97819591Y-119081963D01* +X97877440Y-118995386D01* +X97917287Y-118899187D01* +X97937600Y-118797063D01* +X97937600Y-118692937D01* +X97930054Y-118654997D01* +X104520252Y-112064800D01* +X115452494Y-112064800D01* +X115482560Y-112137386D01* +X115540409Y-112223963D01* +X115614037Y-112297591D01* +X115700614Y-112355440D01* +X115796813Y-112395287D01* +X115898937Y-112415600D01* +X116003063Y-112415600D01* +X116105187Y-112395287D01* +X116201386Y-112355440D01* +X116287963Y-112297591D01* +X116361591Y-112223963D01* +X116419440Y-112137386D01* +X116459287Y-112041187D01* +X116479600Y-111939063D01* +X116479600Y-111834937D01* +X116459287Y-111732813D01* +X116419440Y-111636614D01* +X116361591Y-111550037D01* +X116287963Y-111476409D01* +X116201386Y-111418560D01* +X116105187Y-111378713D01* +X116003063Y-111358400D01* +X115898937Y-111358400D01* +X115796813Y-111378713D01* +X115700614Y-111418560D01* +X115645778Y-111455200D01* +X104408958Y-111455200D01* +X104394000Y-111453727D01* +X104379042Y-111455200D01* +X104379034Y-111455200D01* +X104339403Y-111459103D01* +X104334248Y-111459611D01* +X104300611Y-111469815D01* +X104276794Y-111477040D01* +X104223843Y-111505342D01* +X104177432Y-111543432D01* +X104167892Y-111555056D01* +X97499003Y-118223946D01* +X97461063Y-118216400D01* +X97356937Y-118216400D01* +X97254813Y-118236713D01* +X97158614Y-118276560D01* +X97072037Y-118334409D01* +X96998409Y-118408037D01* +X96940560Y-118494614D01* +X96900713Y-118590813D01* +X96880400Y-118692937D01* +X96824800Y-118692937D01* +X96824800Y-117728251D01* +X103758252Y-110794800D01* +X115697749Y-110794800D01* +X116699946Y-111796998D01* +X116692400Y-111834937D01* +X116692400Y-111939063D01* +X116712713Y-112041187D01* +X116752560Y-112137386D01* +X116810409Y-112223963D01* +X116884037Y-112297591D01* +X116970614Y-112355440D01* +X117066813Y-112395287D01* +X117168937Y-112415600D01* +X117273063Y-112415600D01* +X117375187Y-112395287D01* +X117471386Y-112355440D01* +X117557963Y-112297591D01* +X117631591Y-112223963D01* +X117689440Y-112137386D01* +X117729287Y-112041187D01* +X117749600Y-111939063D01* +X117749600Y-111834937D01* +X117729287Y-111732813D01* +X117689440Y-111636614D01* +X117631591Y-111550037D01* +X117557963Y-111476409D01* +X117471386Y-111418560D01* +X117375187Y-111378713D01* +X117273063Y-111358400D01* +X117168937Y-111358400D01* +X117130998Y-111365946D01* +X116050112Y-110285061D01* +X116040568Y-110273432D01* +X115994157Y-110235342D01* +X115941206Y-110207040D01* +X115883751Y-110189611D01* +X115838966Y-110185200D01* +X115838958Y-110185200D01* +X115824000Y-110183727D01* +X115809042Y-110185200D01* +X103646958Y-110185200D01* +X103632000Y-110183727D01* +X103617042Y-110185200D01* +X103617034Y-110185200D01* +X103577403Y-110189103D01* +X103572248Y-110189611D01* +X103514794Y-110207040D01* +X103461843Y-110235342D01* +X103415432Y-110273432D01* +X103405892Y-110285056D01* +X96315061Y-117375888D01* +X96303432Y-117385432D01* +X96265342Y-117431844D01* +X96242275Y-117475001D01* +X96237040Y-117484795D01* +X96221886Y-117534752D01* +X96219611Y-117542250D01* +X96215200Y-117587035D01* +X96215200Y-117587042D01* +X96213727Y-117602000D01* +X96215200Y-117616958D01* +X96215201Y-124191032D01* +X96213727Y-124206000D01* +X96219611Y-124265751D01* +X96237040Y-124323205D01* +X96247511Y-124342795D01* +X96265343Y-124376157D01* +X96303433Y-124422568D01* +X96315057Y-124432108D01* +X101627891Y-129744943D01* +X101637432Y-129756568D01* +X101683843Y-129794658D01* +X101736794Y-129822960D01* +X101780876Y-129836332D01* +X101794248Y-129840389D01* +X101799889Y-129840945D01* +X101839034Y-129844800D01* +X101839041Y-129844800D01* +X101853999Y-129846273D01* +X101868957Y-129844800D01* +X105156749Y-129844800D01* +X106375200Y-131063252D01* +X106375200Y-131382294D01* +X106299000Y-131382294D01* +X106179857Y-131394029D01* +X106065293Y-131428781D01* +X105959710Y-131485217D01* +X105946815Y-131495800D01* +X104873185Y-131495800D01* +X104860290Y-131485217D01* +X104754707Y-131428781D01* +X104640143Y-131394029D01* +X104521000Y-131382294D01* +X103759000Y-131382294D01* +X103639857Y-131394029D01* +X103525293Y-131428781D01* +X103419710Y-131485217D01* +X103406815Y-131495800D01* +X102333185Y-131495800D01* +X102320290Y-131485217D01* +X102214707Y-131428781D01* +X102100143Y-131394029D01* +X101981000Y-131382294D01* +X101219000Y-131382294D01* +X101099857Y-131394029D01* +X100985293Y-131428781D01* +X100879710Y-131485217D01* +X100866815Y-131495800D01* +X99793185Y-131495800D01* +X99780290Y-131485217D01* +X99674707Y-131428781D01* +X99560143Y-131394029D01* +X99441000Y-131382294D01* +X99364800Y-131382294D01* +X99364800Y-127776957D01* +X99366273Y-127761999D01* +X99364800Y-127747041D01* +X99364800Y-127747034D01* +X99360389Y-127702249D01* +X99342960Y-127644794D01* +X99314658Y-127591843D01* +X99276568Y-127545432D01* +X99264944Y-127535892D01* +X96062800Y-124333749D01* +X96062800Y-116712251D01* +X103250252Y-109524800D01* +X115697749Y-109524800D01* +X117969946Y-111796999D01* +X117962400Y-111834937D01* +X117962400Y-111939063D01* +X117982713Y-112041187D01* +X118022560Y-112137386D01* +X118080409Y-112223963D01* +X118154037Y-112297591D01* +X118240614Y-112355440D01* +X118336813Y-112395287D01* +X118438937Y-112415600D01* +X118543063Y-112415600D01* +X118645187Y-112395287D01* +X118741386Y-112355440D01* +X118827963Y-112297591D01* +X118901591Y-112223963D01* +X118959440Y-112137386D01* +X118999287Y-112041187D01* +X119019600Y-111939063D01* +X119019600Y-111834937D01* +X118999287Y-111732813D01* +X118959440Y-111636614D01* +X118901591Y-111550037D01* +X118827963Y-111476409D01* +X118741386Y-111418560D01* +X118645187Y-111378713D01* +X118543063Y-111358400D01* +X118438937Y-111358400D01* +X118400999Y-111365946D01* +X116050112Y-109015061D01* +X116040568Y-109003432D01* +X115994157Y-108965342D01* +X115941206Y-108937040D01* +X115883751Y-108919611D01* +X115838966Y-108915200D01* +X115838958Y-108915200D01* +X115824000Y-108913727D01* +X115809042Y-108915200D01* +X103138957Y-108915200D01* +X103123999Y-108913727D01* +X103109041Y-108915200D01* +X103109034Y-108915200D01* +X103069889Y-108919055D01* +X103064248Y-108919611D01* +X103010921Y-108935788D01* +X103006794Y-108937040D01* +X102953843Y-108965342D01* +X102907432Y-109003432D01* +X102897892Y-109015056D01* +X95553061Y-116359888D01* +X95541432Y-116369432D01* +X95503342Y-116415844D01* +X95475040Y-116468795D01* +X95457611Y-116526250D01* +X95453200Y-116571035D01* +X95453200Y-116571042D01* +X95451727Y-116586000D01* +X95453200Y-116600958D01* +X95453201Y-124445032D01* +X95451727Y-124460000D01* +X95457611Y-124519751D01* +X95475040Y-124577205D01* +X95475041Y-124577206D01* +X95503343Y-124630157D01* +X95541433Y-124676568D01* +X95553057Y-124686108D01* +X98755200Y-127888252D01* +X98755201Y-130795025D01* +X98755200Y-130795035D01* +X98755200Y-131382294D01* +X98679000Y-131382294D01* +X98559857Y-131394029D01* +X98445293Y-131428781D01* +X98339710Y-131485217D01* +X98326815Y-131495800D01* +X97253185Y-131495800D01* +X97240290Y-131485217D01* +X97134707Y-131428781D01* +X97020143Y-131394029D01* +X96901000Y-131382294D01* +X96824800Y-131382294D01* +X96824800Y-131332958D01* +X96826273Y-131318000D01* +X96824800Y-131303042D01* +X96824800Y-131303034D01* +X96820389Y-131258249D01* +X96819887Y-131256592D01* +X96802960Y-131200794D01* +X96798076Y-131191657D01* +X96774658Y-131147843D01* +X96736568Y-131101432D01* +X96724944Y-131091892D01* +X94891912Y-129258861D01* +X94882368Y-129247232D01* +X94835957Y-129209142D01* +X94783006Y-129180840D01* +X94725551Y-129163411D01* +X94680766Y-129159000D01* +X94680758Y-129159000D01* +X94665800Y-129157527D01* +X94650842Y-129159000D01* +X84855514Y-129159000D01* +X79298800Y-123602287D01* +X79298800Y-120015000D01* +X79523836Y-120015000D01* +X79538058Y-120159399D01* +X79580178Y-120298249D01* +X79648576Y-120426213D01* +X79740625Y-120538375D01* +X79768736Y-120561445D01* +X80606557Y-121399267D01* +X80629625Y-121427375D01* +X80657731Y-121450441D01* +X80657732Y-121450442D01* +X80720029Y-121501568D01* +X80741787Y-121519424D01* +X80869751Y-121587822D01* +X80982298Y-121621963D01* +X81008601Y-121629942D01* +X81153000Y-121644164D01* +X81189186Y-121640600D01* +X82513817Y-121640600D01* +X82550000Y-121644164D01* +X82586183Y-121640600D01* +X84022891Y-121640600D01* +X90512554Y-128130263D01* +X90535625Y-128158375D01* +X90647787Y-128250424D01* +X90775751Y-128318822D01* +X90914601Y-128360942D01* +X91022814Y-128371600D01* +X91022823Y-128371600D01* +X91058999Y-128375163D01* +X91095175Y-128371600D01* +X97445186Y-128371600D01* +X97553399Y-128360942D01* +X97692249Y-128318822D01* +X97820213Y-128250424D01* +X97932375Y-128158375D01* +X98024424Y-128046213D01* +X98092822Y-127918249D01* +X98134942Y-127779399D01* +X98149164Y-127635000D01* +X98134942Y-127490601D01* +X98092822Y-127351751D01* +X98024424Y-127223787D01* +X97932375Y-127111625D01* +X97820213Y-127019576D01* +X97692249Y-126951178D01* +X97553399Y-126909058D01* +X97445186Y-126898400D01* +X91364109Y-126898400D01* +X84874446Y-120408737D01* +X84851375Y-120380625D01* +X84823263Y-120357554D01* +X84175600Y-119709891D01* +X84175600Y-118654177D01* +X84179163Y-118618001D01* +X84175600Y-118581825D01* +X84175600Y-116876177D01* +X84179163Y-116840001D01* +X84175600Y-116803825D01* +X84175600Y-111049109D01* +X88316109Y-106908600D01* +X118058891Y-106908600D01* +X120294401Y-109144111D01* +X120294400Y-111415185D01* +X120294401Y-111415195D01* +X120294400Y-114517817D01* +X120290836Y-114554000D01* +X120294400Y-114590183D01* +X120294400Y-114590185D01* +X120305058Y-114698398D01* +X120347178Y-114837248D01* +X120415576Y-114965212D01* +X120507625Y-115077374D01* +X120619787Y-115169424D01* +X120747751Y-115237822D01* +X120886601Y-115279942D01* +X121031000Y-115294164D01* +X121175398Y-115279942D01* +X121314248Y-115237822D01* +X121442212Y-115169424D01* +X121463970Y-115151568D01* +X121526267Y-115100442D01* +X121526268Y-115100441D01* +X121554374Y-115077375D01* +X121577441Y-115049268D01* +X122034270Y-114592439D01* +X122062374Y-114569375D01* +X122085442Y-114541267D01* +X122669269Y-113957441D01* +X122697375Y-113934375D01* +X122722275Y-113904035D01* +X122756243Y-113862644D01* +X122789424Y-113822213D01* +X122857822Y-113694249D01* +X122899942Y-113555399D01* +X122910600Y-113447186D01* +X122910600Y-113447177D01* +X122914163Y-113411001D01* +X122910600Y-113374825D01* +X122910600Y-110018186D01* +X122914164Y-109982000D01* +X122910600Y-109945814D01* +X122910600Y-108001110D01* +X124003110Y-106908600D01* +X129933391Y-106908600D01* +X134232650Y-111207860D01* +X134232650Y-111804140D01* +X133489732Y-112547059D01* +X133461626Y-112570125D01* +X133438560Y-112598231D01* +X133438558Y-112598233D01* +X133369576Y-112682288D01* +X133301178Y-112810253D01* +X133292788Y-112837912D01* +X133259059Y-112949101D01* +X133254067Y-112999788D01* +X133244836Y-113093500D01* +X133248400Y-113129683D01* +X133248400Y-113882814D01* +X133244836Y-113919000D01* +X133248400Y-113955183D01* +X133248400Y-113955185D01* +X133248401Y-113955194D01* +X133248400Y-114898813D01* +X133244836Y-114935000D01* +X133259058Y-115079399D01* +X133301178Y-115218249D01* +X133369576Y-115346213D01* +X133461625Y-115458375D01* +X133489737Y-115481446D01* +X136423400Y-118415110D01* +X136423400Y-119634657D01* +X136423401Y-121644164D01* +X136423400Y-124027890D01* +X135711891Y-124739400D01* +X132497186Y-124739400D01* +X132461000Y-124735836D01* +X132424814Y-124739400D01* +X132316601Y-124750058D01* +X132177751Y-124792178D01* +X132079650Y-124844614D01* +X132049787Y-124860576D01* +X131978667Y-124918943D01* +X131937625Y-124952625D01* +X131914559Y-124980731D01* +X128091891Y-128803400D01* +X125566814Y-128803400D01* +X125458601Y-128814058D01* +X125319751Y-128856178D01* +X125191787Y-128924576D01* +X125079625Y-129016625D01* +X124987576Y-129128787D01* +X124919178Y-129256751D01* +X124877058Y-129395601D01* +X124862836Y-129540000D01* +X124877058Y-129684399D01* +X124919178Y-129823249D01* +X124987576Y-129951213D01* +X125079625Y-130063375D01* +X125191787Y-130155424D01* +X125319751Y-130223822D01* +X125458601Y-130265942D01* +X125566814Y-130276600D01* +X128360817Y-130276600D01* +X128397000Y-130280164D01* +X128433183Y-130276600D01* +X128433186Y-130276600D01* +X128541399Y-130265942D01* +X128680249Y-130223822D01* +X128808213Y-130155424D01* +X128920375Y-130063375D01* +X128943446Y-130035263D01* +X132766110Y-126212600D01* +X135711891Y-126212600D01* +X136296401Y-126797110D01* +X136296400Y-129049436D01* +X136282142Y-129070775D01* +X136207468Y-129251053D01* +X136169400Y-129442434D01* +X136169400Y-129637566D01* +X136207468Y-129828947D01* +X136282142Y-130009225D01* +X136390551Y-130171471D01* +X136528529Y-130309449D01* +X136690775Y-130417858D01* +X136871053Y-130492532D01* +X137062434Y-130530600D01* +X137257566Y-130530600D01* +X137448947Y-130492532D01* +X137629225Y-130417858D01* +X137791471Y-130309449D01* +X137929449Y-130171471D01* +X138037858Y-130009225D01* +X138112532Y-129828947D01* +X138150600Y-129637566D01* +X138150600Y-129442434D01* +X138112532Y-129251053D01* +X138037858Y-129070775D01* +X138023600Y-129049436D01* +X138023600Y-126528177D01* +X138027163Y-126492001D01* +X138023600Y-126455825D01* +X138023600Y-113256675D01* +X138027163Y-113220499D01* +X138023600Y-113184323D01* +X138023600Y-113184314D01* +X138012942Y-113076101D01* +X137970822Y-112937251D01* +X137902424Y-112809287D01* +X137810375Y-112697125D01* +X137782269Y-112674059D01* +X137706441Y-112598231D01* +X137683375Y-112570125D01* +X137655268Y-112547059D01* +X136943147Y-111834937D01* +X136118945Y-111010736D01* +X136095875Y-110982625D01* +X136067763Y-110959554D01* +X135515697Y-110407488D01* +X135515692Y-110407482D01* +X135515687Y-110407477D01* +X135492624Y-110379375D01* +X135464523Y-110356314D01* +X130784946Y-105676737D01* +X130761875Y-105648625D01* +X130649713Y-105556576D01* +X130521749Y-105488178D01* +X130382899Y-105446058D01* +X130274686Y-105435400D01* +X130274683Y-105435400D01* +X130238500Y-105431836D01* +X130202317Y-105435400D01* +X123734186Y-105435400D01* +X123698000Y-105431836D01* +X123661814Y-105435400D01* +X122337175Y-105435400D01* +X122300999Y-105431837D01* +X122264823Y-105435400D01* +X121067186Y-105435400D01* +X121031000Y-105431836D01* +X120994814Y-105435400D01* +X119797183Y-105435400D01* +X119761000Y-105431836D01* +X119724817Y-105435400D01* +X118400183Y-105435400D01* +X118364000Y-105431836D01* +X118327817Y-105435400D01* +X88047175Y-105435400D01* +X88010999Y-105431837D01* +X87974823Y-105435400D01* +X87974814Y-105435400D01* +X87866601Y-105446058D01* +X87727751Y-105488178D01* +X87599787Y-105556576D01* +X87487625Y-105648625D01* +X87464554Y-105676737D01* +X82943732Y-110197559D01* +X82915626Y-110220625D01* +X82892560Y-110248731D01* +X82892558Y-110248733D01* +X82823576Y-110332788D01* +X82755178Y-110460753D01* +X82737141Y-110520213D01* +X82713720Y-110597424D01* +X82713059Y-110599602D01* +X82698836Y-110744000D01* +X82702401Y-110780193D01* +X82702401Y-115353432D01* +X82702400Y-116534890D01* +X82372237Y-116865054D01* +X82372232Y-116865058D01* +X82372227Y-116865063D01* +X82344125Y-116888126D01* +X82321063Y-116916227D01* +X79768736Y-119468554D01* +X79740625Y-119491625D01* +X79648576Y-119603787D01* +X79580178Y-119731751D01* +X79538058Y-119870601D01* +X79523836Y-120015000D01* +X79298800Y-120015000D01* +X79298800Y-118871251D01* +X80173998Y-117996054D01* +X80211937Y-118003600D01* +X80316063Y-118003600D01* +X80418187Y-117983287D01* +X80514386Y-117943440D01* +X80600963Y-117885591D01* +X80674591Y-117811963D01* +X80732440Y-117725386D01* +X80772287Y-117629187D01* +X80792600Y-117527063D01* +X80792600Y-117422937D01* +X80772287Y-117320813D01* +X80732440Y-117224614D01* +X80674591Y-117138037D01* +X80600963Y-117064409D01* +X80514386Y-117006560D01* +X80418187Y-116966713D01* +X80316063Y-116946400D01* +X80211937Y-116946400D01* +X80109813Y-116966713D01* +X80013614Y-117006560D01* +X79927037Y-117064409D01* +X79853409Y-117138037D01* +X79795560Y-117224614D01* +X79755713Y-117320813D01* +X79735400Y-117422937D01* +X79735400Y-117527063D01* +X79742946Y-117565002D01* +X78994000Y-118313949D01* +X78994000Y-117906051D01* +X80173999Y-116726054D01* +X80211937Y-116733600D01* +X80316063Y-116733600D01* +X80418187Y-116713287D01* +X80514386Y-116673440D01* +X80600963Y-116615591D01* +X80674591Y-116541963D01* +X80732440Y-116455386D01* +X80772287Y-116359187D01* +X80792600Y-116257063D01* +X80792600Y-116152937D01* +X80772287Y-116050813D01* +X80732440Y-115954614D01* +X80674591Y-115868037D01* +X80600963Y-115794409D01* +X80514386Y-115736560D01* +X80418187Y-115696713D01* +X80316063Y-115676400D01* +X80211937Y-115676400D01* +X80109813Y-115696713D01* +X80013614Y-115736560D01* +X79927037Y-115794409D01* +X79853409Y-115868037D01* +X79795560Y-115954614D01* +X79755713Y-116050813D01* +X79735400Y-116152937D01* +X79735400Y-116257063D01* +X79742946Y-116295001D01* +X78689200Y-117348749D01* +X78689200Y-116940851D01* +X80173998Y-115456054D01* +X80211937Y-115463600D01* +X80316063Y-115463600D01* +X80418187Y-115443287D01* +X80514386Y-115403440D01* +X80600963Y-115345591D01* +X80674591Y-115271963D01* +X80732440Y-115185386D01* +X80772287Y-115089187D01* +X80792600Y-114987063D01* +X80792600Y-114882937D01* +X80772287Y-114780813D01* +X80732440Y-114684614D01* +X80674591Y-114598037D01* +X80600963Y-114524409D01* +X80514386Y-114466560D01* +X80418187Y-114426713D01* +X80316063Y-114406400D01* +X80211937Y-114406400D01* +X80109813Y-114426713D01* +X80013614Y-114466560D01* +X79927037Y-114524409D01* +X79853409Y-114598037D01* +X79795560Y-114684614D01* +X79755713Y-114780813D01* +X79735400Y-114882937D01* +X79735400Y-114987063D01* +X79742946Y-115025002D01* +X78384400Y-116383549D01* +X78384400Y-115975651D01* +X80173998Y-114186054D01* +X80211937Y-114193600D01* +X80316063Y-114193600D01* +X80418187Y-114173287D01* +X80514386Y-114133440D01* +X80600963Y-114075591D01* +X80674591Y-114001963D01* +X80732440Y-113915386D01* +X80772287Y-113819187D01* +X80792600Y-113717063D01* +X80792600Y-113612937D01* +X80772287Y-113510813D01* +X80732440Y-113414614D01* +X80674591Y-113328037D01* +X80600963Y-113254409D01* +X80514386Y-113196560D01* +X80418187Y-113156713D01* +X80316063Y-113136400D01* +X80211937Y-113136400D01* +X80109813Y-113156713D01* +X80013614Y-113196560D01* +X79927037Y-113254409D01* +X79853409Y-113328037D01* +X79795560Y-113414614D01* +X79755713Y-113510813D01* +X79735400Y-113612937D01* +X79735400Y-113717063D01* +X79742946Y-113755002D01* +X78079600Y-115418349D01* +X78079600Y-115010451D01* +X80173998Y-112916054D01* +X80211937Y-112923600D01* +X80316063Y-112923600D01* +X80418187Y-112903287D01* +X80514386Y-112863440D01* +X80600963Y-112805591D01* +X80674591Y-112731963D01* +X80732440Y-112645386D01* +X80772287Y-112549187D01* +X80792600Y-112447063D01* +X80792600Y-112342937D01* +X80772287Y-112240813D01* +X80732440Y-112144614D01* +X80674591Y-112058037D01* +X80600963Y-111984409D01* +X80514386Y-111926560D01* +X80418187Y-111886713D01* +X80316063Y-111866400D01* +X80211937Y-111866400D01* +X80109813Y-111886713D01* +X80013614Y-111926560D01* +X79927037Y-111984409D01* +X79853409Y-112058037D01* +X79795560Y-112144614D01* +X79755713Y-112240813D01* +X79735400Y-112342937D01* +X79735400Y-112447063D01* +X79742946Y-112485002D01* +X77774800Y-114453149D01* +X77774800Y-114045251D01* +X80173998Y-111646054D01* +X80211937Y-111653600D01* +X80316063Y-111653600D01* +X80418187Y-111633287D01* +X80514386Y-111593440D01* +X80600963Y-111535591D01* +X80674591Y-111461963D01* +X80732440Y-111375386D01* +X80772287Y-111279187D01* +X80792600Y-111177063D01* +X80792600Y-111072937D01* +X80772287Y-110970813D01* +X80732440Y-110874614D01* +X80674591Y-110788037D01* +X80600963Y-110714409D01* +X80514386Y-110656560D01* +X80418187Y-110616713D01* +X80316063Y-110596400D01* +X80211937Y-110596400D01* +X80109813Y-110616713D01* +X80013614Y-110656560D01* +X79927037Y-110714409D01* +X79853409Y-110788037D01* +X79795560Y-110874614D01* +X79755713Y-110970813D01* +X79735400Y-111072937D01* +X79735400Y-111177063D01* +X79742946Y-111215002D01* +X77470000Y-113487949D01* +X77470000Y-113080051D01* +X80173998Y-110376054D01* +X80211937Y-110383600D01* +X80316063Y-110383600D01* +X80418187Y-110363287D01* +X80514386Y-110323440D01* +X80600963Y-110265591D01* +X80674591Y-110191963D01* +X80732440Y-110105386D01* +X80772287Y-110009187D01* +X80792600Y-109907063D01* +X80792600Y-109802937D01* +X80772287Y-109700813D01* +X80732440Y-109604614D01* +X80674591Y-109518037D01* +X80600963Y-109444409D01* +X80514386Y-109386560D01* +X80418187Y-109346713D01* +X80316063Y-109326400D01* +X80211937Y-109326400D01* +X80109813Y-109346713D01* +X80013614Y-109386560D01* +X79927037Y-109444409D01* +X79853409Y-109518037D01* +X79795560Y-109604614D01* +X79755713Y-109700813D01* +X79735400Y-109802937D01* +X79735400Y-109907063D01* +X79742946Y-109945002D01* +X77165200Y-112522749D01* +X77165200Y-112114851D01* +X80173998Y-109106054D01* +X80211937Y-109113600D01* +X80316063Y-109113600D01* +X80418187Y-109093287D01* +X80514386Y-109053440D01* +X80600963Y-108995591D01* +X80674591Y-108921963D01* +X80732440Y-108835386D01* +X80772287Y-108739187D01* +X80792600Y-108637063D01* +X80792600Y-108532937D01* +X80772287Y-108430813D01* +X80732440Y-108334614D01* +X80674591Y-108248037D01* +X80600963Y-108174409D01* +X80514386Y-108116560D01* +X80418187Y-108076713D01* +X80316063Y-108056400D01* +X80211937Y-108056400D01* +X80109813Y-108076713D01* +X80013614Y-108116560D01* +X79927037Y-108174409D01* +X79853409Y-108248037D01* +X79795560Y-108334614D01* +X79755713Y-108430813D01* +X79735400Y-108532937D01* +X79735400Y-108637063D01* +X79742946Y-108675002D01* +X76655457Y-111762492D01* +X76643833Y-111772032D01* +X76605743Y-111818443D01* +X76596927Y-111834937D01* +X76577440Y-111871395D01* +X76560011Y-111928849D01* +X76554127Y-111988600D01* +X76555601Y-112003568D01* +X76555600Y-124597442D01* +X76554127Y-124612400D01* +X76555600Y-124627358D01* +X76555600Y-124627365D01* +X76557123Y-124642828D01* +X76560011Y-124672151D01* +X76569279Y-124702702D01* +X76577440Y-124729605D01* +X76605742Y-124782556D01* +X76643832Y-124828968D01* +X76655461Y-124838512D01* +X79324201Y-127507253D01* +X79324200Y-128016748D01* +X78535057Y-128805892D01* +X78523433Y-128815432D01* +X78485343Y-128861843D01* +X78470215Y-128890146D01* +X78457040Y-128914795D01* +X78439611Y-128972249D01* +X78433727Y-129032000D01* +X78435201Y-129046968D01* +X78435201Y-131382294D01* +X78359000Y-131382294D01* +X78239857Y-131394029D01* +X78125293Y-131428781D01* +X78019710Y-131485217D01* +X78006815Y-131495800D01* +X77190600Y-131495800D01* +X77190600Y-129442434D01* +X77181060Y-129394474D01* +X77176267Y-129345808D01* +X77162072Y-129299014D01* +X77152532Y-129251053D01* +X77133818Y-129205872D01* +X77119623Y-129159080D01* +X77096572Y-129115954D01* +X77077858Y-129070775D01* +X77050691Y-129030117D01* +X77027639Y-128986989D01* +X76996616Y-128949188D01* +X76969449Y-128908529D01* +X76934870Y-128873950D01* +X76903849Y-128836151D01* +X76866050Y-128805130D01* +X76831471Y-128770551D01* +X76790812Y-128743384D01* +X76753011Y-128712361D01* +X76709883Y-128689309D01* +X76669225Y-128662142D01* +X76624046Y-128643428D01* +X76580920Y-128620377D01* +X76534128Y-128606182D01* +X76488947Y-128587468D01* +X76440986Y-128577928D01* +X76394192Y-128563733D01* +X76345527Y-128558940D01* +X76297566Y-128549400D01* +X76248665Y-128549400D01* +X76200000Y-128544607D01* +X76151335Y-128549400D01* +X76102434Y-128549400D01* +X76054473Y-128558940D01* +X76005809Y-128563733D01* +X75959017Y-128577927D01* +X75911053Y-128587468D01* +X75865870Y-128606184D01* +X75819081Y-128620377D01* +X75775959Y-128643426D01* +X75730775Y-128662142D01* +X75690113Y-128689311D01* +X75646990Y-128712361D01* +X75609193Y-128743380D01* +X75568529Y-128770551D01* +X75533945Y-128805135D01* +X75496152Y-128836151D01* +X75465136Y-128873944D01* +X75430551Y-128908529D01* +X75403379Y-128949194D01* +X75372362Y-128986989D01* +X75349314Y-129030109D01* +X75322142Y-129070775D01* +X75303425Y-129115963D01* +X75280378Y-129159080D01* +X75266186Y-129205863D01* +X75247468Y-129251053D01* +X75237926Y-129299024D01* +X75223734Y-129345808D01* +X75218942Y-129394464D01* +X75209400Y-129442434D01* +X75209400Y-129637566D01* +X75209401Y-129637571D01* +X75209401Y-131495800D01* +X70365544Y-131495800D01* +X69747951Y-131372281D01* +X69260140Y-131128376D01* +X68769624Y-130637860D01* +X68525719Y-130150049D01* +X68402200Y-129532456D01* +X68402200Y-115196544D01* +X68525719Y-114578951D01* +X68769624Y-114091140D01* +X77896140Y-104964624D01* +X78383951Y-104720719D01* +X79001544Y-104597200D01* +X136898456Y-104597200D01* +X137513112Y-104720131D01* +G37* +X137513112Y-104720131D02* +X138128750Y-105089514D01* +X138494376Y-105455140D01* +X138738281Y-105942951D01* +X138861800Y-106560544D01* +X138861800Y-131495800D01* +X138119097Y-131495800D01* +X138112994Y-131484382D01* +X138084427Y-131449573D01* +X138049618Y-131421006D01* +X138009905Y-131399779D01* +X137966813Y-131386708D01* +X137922000Y-131382294D01* +X137290950Y-131383400D01* +X137233800Y-131440550D01* +X137233800Y-131495800D01* +X137086200Y-131495800D01* +X137086200Y-131440550D01* +X137029050Y-131383400D01* +X136398000Y-131382294D01* +X136353187Y-131386708D01* +X136310095Y-131399779D01* +X136270382Y-131421006D01* +X136235573Y-131449573D01* +X136207006Y-131484382D01* +X136200903Y-131495800D01* +X135353185Y-131495800D01* +X135340290Y-131485217D01* +X135234707Y-131428781D01* +X135120143Y-131394029D01* +X135040468Y-131386181D01* +X135088440Y-131314386D01* +X135128287Y-131218187D01* +X135148600Y-131116063D01* +X135148600Y-131011937D01* +X135128287Y-130909813D01* +X135088440Y-130813614D01* +X135030591Y-130727037D01* +X134956963Y-130653409D01* +X134870386Y-130595560D01* +X134774187Y-130555713D01* +X134672063Y-130535400D01* +X134567937Y-130535400D01* +X134465813Y-130555713D01* +X134369614Y-130595560D01* +X134283037Y-130653409D01* +X134209409Y-130727037D01* +X134151560Y-130813614D01* +X134111713Y-130909813D01* +X134091400Y-131011937D01* +X134091400Y-131116063D01* +X134111713Y-131218187D01* +X134151560Y-131314386D01* +X134199532Y-131386181D01* +X134119857Y-131394029D01* +X134005293Y-131428781D01* +X133899710Y-131485217D01* +X133886815Y-131495800D01* +X132813185Y-131495800D01* +X132800290Y-131485217D01* +X132694707Y-131428781D01* +X132580143Y-131394029D01* +X132500468Y-131386181D01* +X132548440Y-131314386D01* +X132588287Y-131218187D01* +X132608600Y-131116063D01* +X132608600Y-131011937D01* +X132588287Y-130909813D01* +X132548440Y-130813614D01* +X132490591Y-130727037D01* +X132416963Y-130653409D01* +X132330386Y-130595560D01* +X132234187Y-130555713D01* +X132132063Y-130535400D01* +X132027937Y-130535400D01* +X131925813Y-130555713D01* +X131829614Y-130595560D01* +X131743037Y-130653409D01* +X131669409Y-130727037D01* +X131611560Y-130813614D01* +X131571713Y-130909813D01* +X131551400Y-131011937D01* +X131551400Y-131116063D01* +X131571713Y-131218187D01* +X131611560Y-131314386D01* +X131659532Y-131386181D01* +X131579857Y-131394029D01* +X131465293Y-131428781D01* +X131359710Y-131485217D01* +X131346815Y-131495800D01* +X130273185Y-131495800D01* +X130260290Y-131485217D01* +X130154707Y-131428781D01* +X130040143Y-131394029D01* +X129921000Y-131382294D01* +X129159000Y-131382294D01* +X129039857Y-131394029D01* +X128925293Y-131428781D01* +X128819710Y-131485217D01* +X128806815Y-131495800D01* +X127733185Y-131495800D01* +X127720290Y-131485217D01* +X127614707Y-131428781D01* +X127500143Y-131394029D01* +X127381000Y-131382294D01* +X126619000Y-131382294D01* +X126499857Y-131394029D01* +X126385293Y-131428781D01* +X126279710Y-131485217D01* +X126266815Y-131495800D01* +X125193185Y-131495800D01* +X125180290Y-131485217D01* +X125074707Y-131428781D01* +X124960143Y-131394029D01* +X124841000Y-131382294D01* +X124764800Y-131382294D01* +X124764800Y-131205957D01* +X124766273Y-131190999D01* +X124764800Y-131176041D01* +X124764800Y-131176034D01* +X124760389Y-131131249D01* +X124742960Y-131073794D01* +X124714658Y-131020843D01* +X124676568Y-130974432D01* +X124664944Y-130964892D01* +X121335800Y-127635749D01* +X121335800Y-126035082D01* +X121367963Y-126013591D01* +X121441591Y-125939963D01* +X121499440Y-125853386D01* +X121539287Y-125757187D01* +X121559600Y-125655063D01* +X121559600Y-125550937D01* +X121539287Y-125448813D01* +X121499440Y-125352614D01* +X121441591Y-125266037D01* +X121367963Y-125192409D01* +X121281386Y-125134560D01* +X121185187Y-125094713D01* +X121083063Y-125074400D01* +X120978937Y-125074400D01* +X120876813Y-125094713D01* +X120780614Y-125134560D01* +X120694037Y-125192409D01* +X120620409Y-125266037D01* +X120562560Y-125352614D01* +X120522713Y-125448813D01* +X120502400Y-125550937D01* +X120502400Y-125655063D01* +X120522713Y-125757187D01* +X120562560Y-125853386D01* +X120620409Y-125939963D01* +X120694037Y-126013591D01* +X120726201Y-126035082D01* +X120726200Y-127747042D01* +X120724727Y-127762000D01* +X120726200Y-127776958D01* +X120726200Y-127776965D01* +X120730611Y-127821750D01* +X120748040Y-127879205D01* +X120776342Y-127932156D01* +X120814432Y-127978568D01* +X120826061Y-127988112D01* +X124155201Y-131317253D01* +X124155201Y-131382294D01* +X124079000Y-131382294D01* +X123959857Y-131394029D01* +X123845293Y-131428781D01* +X123739710Y-131485217D01* +X123726815Y-131495800D01* +X122653185Y-131495800D01* +X122640290Y-131485217D01* +X122534707Y-131428781D01* +X122420143Y-131394029D01* +X122301000Y-131382294D01* +X122224800Y-131382294D01* +X122224800Y-131115082D01* +X122256963Y-131093591D01* +X122330591Y-131019963D01* +X122388440Y-130933386D01* +X122428287Y-130837187D01* +X122448600Y-130735063D01* +X122448600Y-130630937D01* +X122428287Y-130528813D01* +X122388440Y-130432614D01* +X122330591Y-130346037D01* +X122256963Y-130272409D01* +X122170386Y-130214560D01* +X122074187Y-130174713D01* +X121972063Y-130154400D01* +X121867937Y-130154400D01* +X121765813Y-130174713D01* +X121669614Y-130214560D01* +X121583037Y-130272409D01* +X121509409Y-130346037D01* +X121451560Y-130432614D01* +X121411713Y-130528813D01* +X121391400Y-130630937D01* +X121391400Y-130735063D01* +X121411713Y-130837187D01* +X121451560Y-130933386D01* +X121509409Y-131019963D01* +X121583037Y-131093591D01* +X121615201Y-131115082D01* +X121615201Y-131382294D01* +X121539000Y-131382294D01* +X121419857Y-131394029D01* +X121305293Y-131428781D01* +X121199710Y-131485217D01* +X121186815Y-131495800D01* +X120113185Y-131495800D01* +X120100290Y-131485217D01* +X119994707Y-131428781D01* +X119880143Y-131394029D01* +X119761000Y-131382294D01* +X118999000Y-131382294D01* +X118879857Y-131394029D01* +X118765293Y-131428781D01* +X118659710Y-131485217D01* +X118646815Y-131495800D01* +X117573185Y-131495800D01* +X117560290Y-131485217D01* +X117454707Y-131428781D01* +X117340143Y-131394029D01* +X117221000Y-131382294D01* +X116459000Y-131382294D01* +X116339857Y-131394029D01* +X116225293Y-131428781D01* +X116119710Y-131485217D01* +X116106815Y-131495800D01* +X115033185Y-131495800D01* +X115020290Y-131485217D01* +X114914707Y-131428781D01* +X114800143Y-131394029D01* +X114681000Y-131382294D01* +X113919000Y-131382294D01* +X113799857Y-131394029D01* +X113685293Y-131428781D01* +X113579710Y-131485217D01* +X113566815Y-131495800D01* +X112493185Y-131495800D01* +X112480290Y-131485217D01* +X112374707Y-131428781D01* +X112260143Y-131394029D01* +X112141000Y-131382294D01* +X111379000Y-131382294D01* +X111259857Y-131394029D01* +X111145293Y-131428781D01* +X111039710Y-131485217D01* +X111026815Y-131495800D01* +X109953185Y-131495800D01* +X109940290Y-131485217D01* +X109834707Y-131428781D01* +X109720143Y-131394029D01* +X109601000Y-131382294D01* +X108839000Y-131382294D01* +X108719857Y-131394029D01* +X108605293Y-131428781D01* +X108499710Y-131485217D01* +X108486815Y-131495800D01* +X107413185Y-131495800D01* +X107400290Y-131485217D01* +X107294707Y-131428781D01* +X107180143Y-131394029D01* +X107061000Y-131382294D01* +X106984800Y-131382294D01* +X106984800Y-130951957D01* +X106986273Y-130936999D01* +X106984800Y-130922041D01* +X106984800Y-130922034D01* +X106980389Y-130877249D01* +X106978115Y-130869751D01* +X106965168Y-130827073D01* +X106962960Y-130819794D01* +X106934658Y-130766843D01* +X106896568Y-130720432D01* +X106884945Y-130710893D01* +X105509112Y-129335061D01* +X105499568Y-129323432D01* +X105453157Y-129285342D01* +X105400206Y-129257040D01* +X105342751Y-129239611D01* +X105297966Y-129235200D01* +X105297958Y-129235200D01* +X105283000Y-129233727D01* +X105268042Y-129235200D01* +X101980252Y-129235200D01* +X96824800Y-124079749D01* +X96824800Y-123772937D01* +X96880400Y-123772937D01* +X96880400Y-123877063D01* +X96900713Y-123979187D01* +X96940560Y-124075386D01* +X96998409Y-124161963D01* +X97072037Y-124235591D01* +X97158614Y-124293440D01* +X97254813Y-124333287D01* +X97356937Y-124353600D01* +X97461063Y-124353600D01* +X97499003Y-124346054D01* +X101627892Y-128474944D01* +X101637432Y-128486568D01* +X101683843Y-128524658D01* +X101736794Y-128552960D01* +X101780876Y-128566332D01* +X101794248Y-128570389D01* +X101799889Y-128570945D01* +X101839034Y-128574800D01* +X101839041Y-128574800D01* +X101853999Y-128576273D01* +X101868957Y-128574800D01* +X114539042Y-128574800D01* +X114554000Y-128576273D01* +X114568958Y-128574800D01* +X114568966Y-128574800D01* +X114613751Y-128570389D01* +X114671206Y-128552960D01* +X114724157Y-128524658D01* +X114770568Y-128486568D01* +X114780112Y-128474939D01* +X117130999Y-126124054D01* +X117168937Y-126131600D01* +X117273063Y-126131600D01* +X117375187Y-126111287D01* +X117471386Y-126071440D01* +X117557963Y-126013591D01* +X117631591Y-125939963D01* +X117689440Y-125853386D01* +X117729287Y-125757187D01* +X117749600Y-125655063D01* +X117749600Y-125550937D01* +X117729287Y-125448813D01* +X117689440Y-125352614D01* +X117631591Y-125266037D01* +X117557963Y-125192409D01* +X117471386Y-125134560D01* +X117375187Y-125094713D01* +X117273063Y-125074400D01* +X117168937Y-125074400D01* +X117066813Y-125094713D01* +X116970614Y-125134560D01* +X116884037Y-125192409D01* +X116810409Y-125266037D01* +X116752560Y-125352614D01* +X116712713Y-125448813D01* +X116692400Y-125550937D01* +X116692400Y-125655063D01* +X116699946Y-125693001D01* +X114427749Y-127965200D01* +X101980252Y-127965200D01* +X100327989Y-126312937D01* +X109961400Y-126312937D01* +X109961400Y-126417063D01* +X109981713Y-126519187D01* +X110021560Y-126615386D01* +X110079409Y-126701963D01* +X110153037Y-126775591D01* +X110239614Y-126833440D01* +X110335813Y-126873287D01* +X110437937Y-126893600D01* +X110542063Y-126893600D01* +X110644187Y-126873287D01* +X110740386Y-126833440D01* +X110826963Y-126775591D01* +X110900591Y-126701963D01* +X110924626Y-126665992D01* +X110930751Y-126665389D01* +X110988206Y-126647960D01* +X111041157Y-126619658D01* +X111087568Y-126581568D01* +X111097112Y-126569939D01* +X112648252Y-125018800D01* +X121285749Y-125018800D01* +X121779946Y-125512998D01* +X121772400Y-125550937D01* +X121772400Y-125655063D01* +X121792713Y-125757187D01* +X121832560Y-125853386D01* +X121890409Y-125939963D01* +X121964037Y-126013591D01* +X122050614Y-126071440D01* +X122146813Y-126111287D01* +X122248937Y-126131600D01* +X122353063Y-126131600D01* +X122455187Y-126111287D01* +X122551386Y-126071440D01* +X122637963Y-126013591D01* +X122711591Y-125939963D01* +X122769440Y-125853386D01* +X122809287Y-125757187D01* +X122829600Y-125655063D01* +X122829600Y-125550937D01* +X122809287Y-125448813D01* +X122769440Y-125352614D01* +X122711591Y-125266037D01* +X122637963Y-125192409D01* +X122551386Y-125134560D01* +X122455187Y-125094713D01* +X122353063Y-125074400D01* +X122248937Y-125074400D01* +X122210998Y-125081946D01* +X121638112Y-124509061D01* +X121628568Y-124497432D01* +X121582157Y-124459342D01* +X121529206Y-124431040D01* +X121471751Y-124413611D01* +X121426966Y-124409200D01* +X121426958Y-124409200D01* +X121412000Y-124407727D01* +X121397042Y-124409200D01* +X112536958Y-124409200D01* +X112522000Y-124407727D01* +X112507042Y-124409200D01* +X112507034Y-124409200D01* +X112462249Y-124413611D01* +X112404794Y-124431040D01* +X112351843Y-124459342D01* +X112305432Y-124497432D01* +X112295892Y-124509056D01* +X110838751Y-125966197D01* +X110826963Y-125954409D01* +X110740386Y-125896560D01* +X110644187Y-125856713D01* +X110542063Y-125836400D01* +X110437937Y-125836400D01* +X110335813Y-125856713D01* +X110239614Y-125896560D01* +X110153037Y-125954409D01* +X110079409Y-126028037D01* +X110021560Y-126114614D01* +X109981713Y-126210813D01* +X109961400Y-126312937D01* +X100327989Y-126312937D01* +X99057989Y-125042937D01* +X109961400Y-125042937D01* +X109961400Y-125147063D01* +X109981713Y-125249187D01* +X110021560Y-125345386D01* +X110079409Y-125431963D01* +X110153037Y-125505591D01* +X110239614Y-125563440D01* +X110335813Y-125603287D01* +X110437937Y-125623600D01* +X110542063Y-125623600D01* +X110644187Y-125603287D01* +X110740386Y-125563440D01* +X110826963Y-125505591D01* +X110900591Y-125431963D01* +X110922082Y-125399800D01* +X111237042Y-125399800D01* +X111252000Y-125401273D01* +X111266958Y-125399800D01* +X111266966Y-125399800D01* +X111311751Y-125395389D01* +X111369206Y-125377960D01* +X111422157Y-125349658D01* +X111468568Y-125311568D01* +X111478112Y-125299939D01* +X112394252Y-124383800D01* +X121920749Y-124383800D01* +X123049946Y-125512998D01* +X123042400Y-125550937D01* +X123042400Y-125655063D01* +X123062713Y-125757187D01* +X123102560Y-125853386D01* +X123160409Y-125939963D01* +X123234037Y-126013591D01* +X123320614Y-126071440D01* +X123416813Y-126111287D01* +X123518937Y-126131600D01* +X123623063Y-126131600D01* +X123725187Y-126111287D01* +X123821386Y-126071440D01* +X123907963Y-126013591D01* +X123981591Y-125939963D01* +X124039440Y-125853386D01* +X124079287Y-125757187D01* +X124099600Y-125655063D01* +X124099600Y-125550937D01* +X124079287Y-125448813D01* +X124039440Y-125352614D01* +X123981591Y-125266037D01* +X123907963Y-125192409D01* +X123821386Y-125134560D01* +X123725187Y-125094713D01* +X123623063Y-125074400D01* +X123518937Y-125074400D01* +X123480998Y-125081946D01* +X122273112Y-123874061D01* +X122263568Y-123862432D01* +X122217157Y-123824342D01* +X122164206Y-123796040D01* +X122106751Y-123778611D01* +X122061966Y-123774200D01* +X122061958Y-123774200D01* +X122047000Y-123772727D01* +X122032042Y-123774200D01* +X112282958Y-123774200D01* +X112268000Y-123772727D01* +X112253042Y-123774200D01* +X112253034Y-123774200D01* +X112213403Y-123778103D01* +X112208248Y-123778611D01* +X112176345Y-123788289D01* +X112150794Y-123796040D01* +X112097843Y-123824342D01* +X112051432Y-123862432D01* +X112041892Y-123874056D01* +X111125749Y-124790200D01* +X110922082Y-124790200D01* +X110900591Y-124758037D01* +X110826963Y-124684409D01* +X110740386Y-124626560D01* +X110644187Y-124586713D01* +X110542063Y-124566400D01* +X110437937Y-124566400D01* +X110335813Y-124586713D01* +X110239614Y-124626560D01* +X110153037Y-124684409D01* +X110079409Y-124758037D01* +X110021560Y-124844614D01* +X109981713Y-124940813D01* +X109961400Y-125042937D01* +X99057989Y-125042937D01* +X97930054Y-123915003D01* +X97937600Y-123877063D01* +X97937600Y-123772937D01* +X109961400Y-123772937D01* +X109961400Y-123877063D01* +X109981713Y-123979187D01* +X110021560Y-124075386D01* +X110079409Y-124161963D01* +X110153037Y-124235591D01* +X110239614Y-124293440D01* +X110335813Y-124333287D01* +X110437937Y-124353600D01* +X110542063Y-124353600D01* +X110644187Y-124333287D01* +X110740386Y-124293440D01* +X110826963Y-124235591D01* +X110900591Y-124161963D01* +X110922082Y-124129800D01* +X111618042Y-124129800D01* +X111633000Y-124131273D01* +X111647958Y-124129800D01* +X111647966Y-124129800D01* +X111692751Y-124125389D01* +X111750206Y-124107960D01* +X111803157Y-124079658D01* +X111849568Y-124041568D01* +X111859112Y-124029939D01* +X113029252Y-122859800D01* +X126186918Y-122859800D01* +X126208409Y-122891963D01* +X126282037Y-122965591D01* +X126368614Y-123023440D01* +X126464813Y-123063287D01* +X126566937Y-123083600D01* +X126671063Y-123083600D01* +X126773187Y-123063287D01* +X126869386Y-123023440D01* +X126955963Y-122965591D01* +X127029591Y-122891963D01* +X127087440Y-122805386D01* +X127127287Y-122709187D01* +X127147600Y-122607063D01* +X127147600Y-122502937D01* +X127127287Y-122400813D01* +X127087440Y-122304614D01* +X127029591Y-122218037D01* +X126955963Y-122144409D01* +X126869386Y-122086560D01* +X126773187Y-122046713D01* +X126671063Y-122026400D01* +X126566937Y-122026400D01* +X126464813Y-122046713D01* +X126368614Y-122086560D01* +X126282037Y-122144409D01* +X126208409Y-122218037D01* +X126186918Y-122250200D01* +X112917957Y-122250200D01* +X112902999Y-122248727D01* +X112888041Y-122250200D01* +X112888034Y-122250200D01* +X112848889Y-122254055D01* +X112843248Y-122254611D01* +X112829876Y-122258668D01* +X112785794Y-122272040D01* +X112732843Y-122300342D01* +X112686432Y-122338432D01* +X112676892Y-122350056D01* +X111506749Y-123520200D01* +X110922082Y-123520200D01* +X110900591Y-123488037D01* +X110826963Y-123414409D01* +X110740386Y-123356560D01* +X110644187Y-123316713D01* +X110542063Y-123296400D01* +X110437937Y-123296400D01* +X110335813Y-123316713D01* +X110239614Y-123356560D01* +X110153037Y-123414409D01* +X110079409Y-123488037D01* +X110021560Y-123574614D01* +X109981713Y-123670813D01* +X109961400Y-123772937D01* +X97937600Y-123772937D01* +X97917287Y-123670813D01* +X97877440Y-123574614D01* +X97819591Y-123488037D01* +X97745963Y-123414409D01* +X97659386Y-123356560D01* +X97563187Y-123316713D01* +X97461063Y-123296400D01* +X97356937Y-123296400D01* +X97254813Y-123316713D01* +X97158614Y-123356560D01* +X97072037Y-123414409D01* +X96998409Y-123488037D01* +X96940560Y-123574614D01* +X96900713Y-123670813D01* +X96880400Y-123772937D01* +X96824800Y-123772937D01* +X96824800Y-122502937D01* +X96880400Y-122502937D01* +X96880400Y-122607063D01* +X96900713Y-122709187D01* +X96940560Y-122805386D01* +X96998409Y-122891963D01* +X97072037Y-122965591D01* +X97158614Y-123023440D01* +X97254813Y-123063287D01* +X97356937Y-123083600D01* +X97461063Y-123083600D01* +X97563187Y-123063287D01* +X97659386Y-123023440D01* +X97745963Y-122965591D01* +X97819591Y-122891963D01* +X97877440Y-122805386D01* +X97917287Y-122709187D01* +X97937600Y-122607063D01* +X97937600Y-122502937D01* +X109961400Y-122502937D01* +X109961400Y-122607063D01* +X109981713Y-122709187D01* +X110021560Y-122805386D01* +X110079409Y-122891963D01* +X110153037Y-122965591D01* +X110239614Y-123023440D01* +X110335813Y-123063287D01* +X110437937Y-123083600D01* +X110542063Y-123083600D01* +X110644187Y-123063287D01* +X110740386Y-123023440D01* +X110826963Y-122965591D01* +X110900591Y-122891963D01* +X110922082Y-122859800D01* +X111618042Y-122859800D01* +X111633000Y-122861273D01* +X111647958Y-122859800D01* +X111647966Y-122859800D01* +X111692751Y-122855389D01* +X111750206Y-122837960D01* +X111803157Y-122809658D01* +X111849568Y-122771568D01* +X111859112Y-122759939D01* +X112812998Y-121806054D01* +X112850937Y-121813600D01* +X112955063Y-121813600D01* +X113057187Y-121793287D01* +X113153386Y-121753440D01* +X113239963Y-121695591D01* +X113313591Y-121621963D01* +X113371440Y-121535386D01* +X113411287Y-121439187D01* +X113431600Y-121337063D01* +X113431600Y-121232937D01* +X113411287Y-121130813D01* +X113371440Y-121034614D01* +X113313591Y-120948037D01* +X113239963Y-120874409D01* +X113153386Y-120816560D01* +X113057187Y-120776713D01* +X112955063Y-120756400D01* +X112850937Y-120756400D01* +X112748813Y-120776713D01* +X112652614Y-120816560D01* +X112566037Y-120874409D01* +X112492409Y-120948037D01* +X112434560Y-121034614D01* +X112394713Y-121130813D01* +X112374400Y-121232937D01* +X112374400Y-121337063D01* +X112381946Y-121375002D01* +X111506749Y-122250200D01* +X110922082Y-122250200D01* +X110900591Y-122218037D01* +X110826963Y-122144409D01* +X110740386Y-122086560D01* +X110644187Y-122046713D01* +X110542063Y-122026400D01* +X110437937Y-122026400D01* +X110335813Y-122046713D01* +X110239614Y-122086560D01* +X110153037Y-122144409D01* +X110079409Y-122218037D01* +X110021560Y-122304614D01* +X109981713Y-122400813D01* +X109961400Y-122502937D01* +X97937600Y-122502937D01* +X97930054Y-122464998D01* +X99162115Y-121232937D01* +X109961400Y-121232937D01* +X109961400Y-121337063D01* +X109981713Y-121439187D01* +X110021560Y-121535386D01* +X110079409Y-121621963D01* +X110153037Y-121695591D01* +X110239614Y-121753440D01* +X110335813Y-121793287D01* +X110437937Y-121813600D01* +X110542063Y-121813600D01* +X110644187Y-121793287D01* +X110740386Y-121753440D01* +X110826963Y-121695591D01* +X110900591Y-121621963D01* +X110958440Y-121535386D01* +X110998287Y-121439187D01* +X111018600Y-121337063D01* +X111018600Y-121232937D01* +X111011054Y-121194998D01* +X111886252Y-120319800D01* +X126186918Y-120319800D01* +X126208409Y-120351963D01* +X126282037Y-120425591D01* +X126368614Y-120483440D01* +X126464813Y-120523287D01* +X126566937Y-120543600D01* +X126671063Y-120543600D01* +X126773187Y-120523287D01* +X126869386Y-120483440D01* +X126955963Y-120425591D01* +X127029591Y-120351963D01* +X127087440Y-120265386D01* +X127127287Y-120169187D01* +X127147600Y-120067063D01* +X127147600Y-119962937D01* +X127127287Y-119860813D01* +X127087440Y-119764614D01* +X127029591Y-119678037D01* +X126955963Y-119604409D01* +X126869386Y-119546560D01* +X126773187Y-119506713D01* +X126671063Y-119486400D01* +X126566937Y-119486400D01* +X126464813Y-119506713D01* +X126368614Y-119546560D01* +X126282037Y-119604409D01* +X126208409Y-119678037D01* +X126186918Y-119710200D01* +X111774957Y-119710200D01* +X111759999Y-119708727D01* +X111745041Y-119710200D01* +X111745034Y-119710200D01* +X111705889Y-119714055D01* +X111700248Y-119714611D01* +X111686876Y-119718668D01* +X111642794Y-119732040D01* +X111589843Y-119760342D01* +X111543432Y-119798432D01* +X111533892Y-119810056D01* +X110580002Y-120763946D01* +X110542063Y-120756400D01* +X110437937Y-120756400D01* +X110335813Y-120776713D01* +X110239614Y-120816560D01* +X110153037Y-120874409D01* +X110079409Y-120948037D01* +X110021560Y-121034614D01* +X109981713Y-121130813D01* +X109961400Y-121232937D01* +X99162115Y-121232937D01* +X99567252Y-120827800D01* +X100061042Y-120827800D01* +X100076000Y-120829273D01* +X100090958Y-120827800D01* +X100090966Y-120827800D01* +X100135751Y-120823389D01* +X100193206Y-120805960D01* +X100246157Y-120777658D01* +X100292568Y-120739568D01* +X100302112Y-120727939D01* +X100661945Y-120368107D01* +X100673568Y-120358568D01* +X100684548Y-120345190D01* +X100711657Y-120312158D01* +X100711658Y-120312157D01* +X100739960Y-120259206D01* +X100757389Y-120201751D01* +X100761800Y-120156966D01* +X100761800Y-120156959D01* +X100763273Y-120142001D01* +X100761800Y-120127043D01* +X100761800Y-119633251D01* +X102615252Y-117779800D01* +X112470918Y-117779800D01* +X112492409Y-117811963D01* +X112566037Y-117885591D01* +X112652614Y-117943440D01* +X112748813Y-117983287D01* +X112850937Y-118003600D01* +X112955063Y-118003600D01* +X113057187Y-117983287D01* +X113153386Y-117943440D01* +X113239963Y-117885591D01* +X113313591Y-117811963D01* +X113371440Y-117725386D01* +X113411287Y-117629187D01* +X113431600Y-117527063D01* +X113431600Y-117422937D01* +X113411287Y-117320813D01* +X113371440Y-117224614D01* +X113313591Y-117138037D01* +X113239963Y-117064409D01* +X113153386Y-117006560D01* +X113057187Y-116966713D01* +X112955063Y-116946400D01* +X112850937Y-116946400D01* +X112748813Y-116966713D01* +X112652614Y-117006560D01* +X112566037Y-117064409D01* +X112492409Y-117138037D01* +X112470918Y-117170200D01* +X102503958Y-117170200D01* +X102489000Y-117168727D01* +X102474042Y-117170200D01* +X102474034Y-117170200D01* +X102434403Y-117174103D01* +X102429248Y-117174611D01* +X102371794Y-117192040D01* +X102318843Y-117220342D01* +X102272432Y-117258432D01* +X102262892Y-117270056D01* +X100252057Y-119280892D01* +X100240433Y-119290432D01* +X100202343Y-119336843D01* +X100198549Y-119343942D01* +X100174040Y-119389795D01* +X100156611Y-119447249D01* +X100150727Y-119507000D01* +X100152201Y-119521968D01* +X100152200Y-120015748D01* +X99949749Y-120218200D01* +X99455958Y-120218200D01* +X99441000Y-120216727D01* +X99426042Y-120218200D01* +X99426034Y-120218200D01* +X99386403Y-120222103D01* +X99381248Y-120222611D01* +X99351722Y-120231568D01* +X99323794Y-120240040D01* +X99270843Y-120268342D01* +X99224432Y-120306432D01* +X99214892Y-120318056D01* +X97499002Y-122033946D01* +X97461063Y-122026400D01* +X97356937Y-122026400D01* +X97254813Y-122046713D01* +X97158614Y-122086560D01* +X97072037Y-122144409D01* +X96998409Y-122218037D01* +X96940560Y-122304614D01* +X96900713Y-122400813D01* +X96880400Y-122502937D01* +X96824800Y-122502937D01* +X96824800Y-121232937D01* +X96880400Y-121232937D01* +X96880400Y-121337063D01* +X96900713Y-121439187D01* +X96940560Y-121535386D01* +X96998409Y-121621963D01* +X97072037Y-121695591D01* +X97158614Y-121753440D01* +X97254813Y-121793287D01* +X97356937Y-121813600D01* +X97461063Y-121813600D01* +X97563187Y-121793287D01* +X97659386Y-121753440D01* +X97745963Y-121695591D01* +X97819591Y-121621963D01* +X97877440Y-121535386D01* +X97917287Y-121439187D01* +X97937600Y-121337063D01* +X97937600Y-121232937D01* +X97930054Y-121194998D01* +X98574918Y-120550134D01* +X98574923Y-120550128D01* +X103250252Y-115874800D01* +X112141749Y-115874800D01* +X112381946Y-116114998D01* +X112374400Y-116152937D01* +X112374400Y-116257063D01* +X112394713Y-116359187D01* +X112434560Y-116455386D01* +X112492409Y-116541963D01* +X112566037Y-116615591D01* +X112652614Y-116673440D01* +X112748813Y-116713287D01* +X112850937Y-116733600D01* +X112955063Y-116733600D01* +X113057187Y-116713287D01* +X113153386Y-116673440D01* +X113239963Y-116615591D01* +X113313591Y-116541963D01* +X113371440Y-116455386D01* +X113411287Y-116359187D01* +X113431600Y-116257063D01* +X113431600Y-116152937D01* +X113411287Y-116050813D01* +X113371440Y-115954614D01* +X113313591Y-115868037D01* +X113239963Y-115794409D01* +X113153386Y-115736560D01* +X113057187Y-115696713D01* +X112955063Y-115676400D01* +X112850937Y-115676400D01* +X112812998Y-115683946D01* +X112494112Y-115365061D01* +X112484568Y-115353432D01* +X112438157Y-115315342D01* +X112385206Y-115287040D01* +X112327751Y-115269611D01* +X112282966Y-115265200D01* +X112282958Y-115265200D01* +X112268000Y-115263727D01* +X112253042Y-115265200D01* +X103138957Y-115265200D01* +X103123999Y-115263727D01* +X103109041Y-115265200D01* +X103109034Y-115265200D01* +X103069889Y-115269055D01* +X103064248Y-115269611D01* +X103046819Y-115274898D01* +X103006794Y-115287040D01* +X102953843Y-115315342D01* +X102907432Y-115353432D01* +X102897892Y-115365056D01* +X98143872Y-120119077D01* +X98143866Y-120119082D01* +X97499002Y-120763946D01* +X97461063Y-120756400D01* +X97356937Y-120756400D01* +X97254813Y-120776713D01* +X97158614Y-120816560D01* +X97072037Y-120874409D01* +X96998409Y-120948037D01* +X96940560Y-121034614D01* +X96900713Y-121130813D01* +X96880400Y-121232937D01* +X96824800Y-121232937D01* +X96824800Y-119962937D01* +X96880400Y-119962937D01* +X96880400Y-120067063D01* +X96900713Y-120169187D01* +X96940560Y-120265386D01* +X96998409Y-120351963D01* +X97072037Y-120425591D01* +X97158614Y-120483440D01* +X97254813Y-120523287D01* +X97356937Y-120543600D01* +X97461063Y-120543600D01* +X97563187Y-120523287D01* +X97659386Y-120483440D01* +X97745963Y-120425591D01* +X97819591Y-120351963D01* +X97877440Y-120265386D01* +X97917287Y-120169187D01* +X97937600Y-120067063D01* +X97937600Y-119962937D01* +X97930054Y-119924998D01* +X98270108Y-119584944D01* +X98270113Y-119584938D01* +X103250252Y-114604800D01* +X112141749Y-114604800D01* +X112381946Y-114844998D01* +X112374400Y-114882937D01* +X112374400Y-114987063D01* +X112394713Y-115089187D01* +X112434560Y-115185386D01* +X112492409Y-115271963D01* +X112566037Y-115345591D01* +X112652614Y-115403440D01* +X112748813Y-115443287D01* +X112850937Y-115463600D01* +X112955063Y-115463600D01* +X113057187Y-115443287D01* +X113153386Y-115403440D01* +X113239963Y-115345591D01* +X113313591Y-115271963D01* +X113371440Y-115185386D01* +X113411287Y-115089187D01* +X113431600Y-114987063D01* +X113431600Y-114882937D01* +X113411287Y-114780813D01* +X113371440Y-114684614D01* +X113313591Y-114598037D01* +X113239963Y-114524409D01* +X113153386Y-114466560D01* +X113057187Y-114426713D01* +X112955063Y-114406400D01* +X112850937Y-114406400D01* +X112812998Y-114413946D01* +X112494112Y-114095061D01* +X112484568Y-114083432D01* +X112438157Y-114045342D01* +X112385206Y-114017040D01* +X112327751Y-113999611D01* +X112282966Y-113995200D01* +X112282958Y-113995200D01* +X112268000Y-113993727D01* +X112253042Y-113995200D01* +X103138958Y-113995200D01* +X103124000Y-113993727D01* +X103109042Y-113995200D01* +X103109034Y-113995200D01* +X103069403Y-113999103D01* +X103064248Y-113999611D01* +X103030611Y-114009815D01* +X103006794Y-114017040D01* +X102953843Y-114045342D01* +X102907432Y-114083432D01* +X102897892Y-114095056D01* +X97839062Y-119153887D01* +X97839056Y-119153892D01* +X97499002Y-119493946D01* +X97461063Y-119486400D01* +X97356937Y-119486400D01* +X97254813Y-119506713D01* +X97158614Y-119546560D01* +X97072037Y-119604409D01* +X96998409Y-119678037D01* +X96940560Y-119764614D01* +X96900713Y-119860813D01* +X96880400Y-119962937D01* +X96824800Y-119962937D01* +X96824800Y-118692937D01* +X96880400Y-118692937D01* +X96880400Y-118797063D01* +X96900713Y-118899187D01* +X96940560Y-118995386D01* +X96998409Y-119081963D01* +X97072037Y-119155591D01* +X97158614Y-119213440D01* +X97254813Y-119253287D01* +X97356937Y-119273600D01* +X97461063Y-119273600D01* +X97563187Y-119253287D01* +X97659386Y-119213440D01* +X97745963Y-119155591D01* +X97819591Y-119081963D01* +X97877440Y-118995386D01* +X97917287Y-118899187D01* +X97937600Y-118797063D01* +X97937600Y-118692937D01* +X97930054Y-118654997D01* +X104520252Y-112064800D01* +X115452494Y-112064800D01* +X115482560Y-112137386D01* +X115540409Y-112223963D01* +X115614037Y-112297591D01* +X115700614Y-112355440D01* +X115796813Y-112395287D01* +X115898937Y-112415600D01* +X116003063Y-112415600D01* +X116105187Y-112395287D01* +X116201386Y-112355440D01* +X116287963Y-112297591D01* +X116361591Y-112223963D01* +X116419440Y-112137386D01* +X116459287Y-112041187D01* +X116479600Y-111939063D01* +X116479600Y-111834937D01* +X116459287Y-111732813D01* +X116419440Y-111636614D01* +X116361591Y-111550037D01* +X116287963Y-111476409D01* +X116201386Y-111418560D01* +X116105187Y-111378713D01* +X116003063Y-111358400D01* +X115898937Y-111358400D01* +X115796813Y-111378713D01* +X115700614Y-111418560D01* +X115645778Y-111455200D01* +X104408958Y-111455200D01* +X104394000Y-111453727D01* +X104379042Y-111455200D01* +X104379034Y-111455200D01* +X104339403Y-111459103D01* +X104334248Y-111459611D01* +X104300611Y-111469815D01* +X104276794Y-111477040D01* +X104223843Y-111505342D01* +X104177432Y-111543432D01* +X104167892Y-111555056D01* +X97499003Y-118223946D01* +X97461063Y-118216400D01* +X97356937Y-118216400D01* +X97254813Y-118236713D01* +X97158614Y-118276560D01* +X97072037Y-118334409D01* +X96998409Y-118408037D01* +X96940560Y-118494614D01* +X96900713Y-118590813D01* +X96880400Y-118692937D01* +X96824800Y-118692937D01* +X96824800Y-117728251D01* +X103758252Y-110794800D01* +X115697749Y-110794800D01* +X116699946Y-111796998D01* +X116692400Y-111834937D01* +X116692400Y-111939063D01* +X116712713Y-112041187D01* +X116752560Y-112137386D01* +X116810409Y-112223963D01* +X116884037Y-112297591D01* +X116970614Y-112355440D01* +X117066813Y-112395287D01* +X117168937Y-112415600D01* +X117273063Y-112415600D01* +X117375187Y-112395287D01* +X117471386Y-112355440D01* +X117557963Y-112297591D01* +X117631591Y-112223963D01* +X117689440Y-112137386D01* +X117729287Y-112041187D01* +X117749600Y-111939063D01* +X117749600Y-111834937D01* +X117729287Y-111732813D01* +X117689440Y-111636614D01* +X117631591Y-111550037D01* +X117557963Y-111476409D01* +X117471386Y-111418560D01* +X117375187Y-111378713D01* +X117273063Y-111358400D01* +X117168937Y-111358400D01* +X117130998Y-111365946D01* +X116050112Y-110285061D01* +X116040568Y-110273432D01* +X115994157Y-110235342D01* +X115941206Y-110207040D01* +X115883751Y-110189611D01* +X115838966Y-110185200D01* +X115838958Y-110185200D01* +X115824000Y-110183727D01* +X115809042Y-110185200D01* +X103646958Y-110185200D01* +X103632000Y-110183727D01* +X103617042Y-110185200D01* +X103617034Y-110185200D01* +X103577403Y-110189103D01* +X103572248Y-110189611D01* +X103514794Y-110207040D01* +X103461843Y-110235342D01* +X103415432Y-110273432D01* +X103405892Y-110285056D01* +X96315061Y-117375888D01* +X96303432Y-117385432D01* +X96265342Y-117431844D01* +X96242275Y-117475001D01* +X96237040Y-117484795D01* +X96221886Y-117534752D01* +X96219611Y-117542250D01* +X96215200Y-117587035D01* +X96215200Y-117587042D01* +X96213727Y-117602000D01* +X96215200Y-117616958D01* +X96215201Y-124191032D01* +X96213727Y-124206000D01* +X96219611Y-124265751D01* +X96237040Y-124323205D01* +X96247511Y-124342795D01* +X96265343Y-124376157D01* +X96303433Y-124422568D01* +X96315057Y-124432108D01* +X101627891Y-129744943D01* +X101637432Y-129756568D01* +X101683843Y-129794658D01* +X101736794Y-129822960D01* +X101780876Y-129836332D01* +X101794248Y-129840389D01* +X101799889Y-129840945D01* +X101839034Y-129844800D01* +X101839041Y-129844800D01* +X101853999Y-129846273D01* +X101868957Y-129844800D01* +X105156749Y-129844800D01* +X106375200Y-131063252D01* +X106375200Y-131382294D01* +X106299000Y-131382294D01* +X106179857Y-131394029D01* +X106065293Y-131428781D01* +X105959710Y-131485217D01* +X105946815Y-131495800D01* +X104873185Y-131495800D01* +X104860290Y-131485217D01* +X104754707Y-131428781D01* +X104640143Y-131394029D01* +X104521000Y-131382294D01* +X103759000Y-131382294D01* +X103639857Y-131394029D01* +X103525293Y-131428781D01* +X103419710Y-131485217D01* +X103406815Y-131495800D01* +X102333185Y-131495800D01* +X102320290Y-131485217D01* +X102214707Y-131428781D01* +X102100143Y-131394029D01* +X101981000Y-131382294D01* +X101219000Y-131382294D01* +X101099857Y-131394029D01* +X100985293Y-131428781D01* +X100879710Y-131485217D01* +X100866815Y-131495800D01* +X99793185Y-131495800D01* +X99780290Y-131485217D01* +X99674707Y-131428781D01* +X99560143Y-131394029D01* +X99441000Y-131382294D01* +X99364800Y-131382294D01* +X99364800Y-127776957D01* +X99366273Y-127761999D01* +X99364800Y-127747041D01* +X99364800Y-127747034D01* +X99360389Y-127702249D01* +X99342960Y-127644794D01* +X99314658Y-127591843D01* +X99276568Y-127545432D01* +X99264944Y-127535892D01* +X96062800Y-124333749D01* +X96062800Y-116712251D01* +X103250252Y-109524800D01* +X115697749Y-109524800D01* +X117969946Y-111796999D01* +X117962400Y-111834937D01* +X117962400Y-111939063D01* +X117982713Y-112041187D01* +X118022560Y-112137386D01* +X118080409Y-112223963D01* +X118154037Y-112297591D01* +X118240614Y-112355440D01* +X118336813Y-112395287D01* +X118438937Y-112415600D01* +X118543063Y-112415600D01* +X118645187Y-112395287D01* +X118741386Y-112355440D01* +X118827963Y-112297591D01* +X118901591Y-112223963D01* +X118959440Y-112137386D01* +X118999287Y-112041187D01* +X119019600Y-111939063D01* +X119019600Y-111834937D01* +X118999287Y-111732813D01* +X118959440Y-111636614D01* +X118901591Y-111550037D01* +X118827963Y-111476409D01* +X118741386Y-111418560D01* +X118645187Y-111378713D01* +X118543063Y-111358400D01* +X118438937Y-111358400D01* +X118400999Y-111365946D01* +X116050112Y-109015061D01* +X116040568Y-109003432D01* +X115994157Y-108965342D01* +X115941206Y-108937040D01* +X115883751Y-108919611D01* +X115838966Y-108915200D01* +X115838958Y-108915200D01* +X115824000Y-108913727D01* +X115809042Y-108915200D01* +X103138957Y-108915200D01* +X103123999Y-108913727D01* +X103109041Y-108915200D01* +X103109034Y-108915200D01* +X103069889Y-108919055D01* +X103064248Y-108919611D01* +X103010921Y-108935788D01* +X103006794Y-108937040D01* +X102953843Y-108965342D01* +X102907432Y-109003432D01* +X102897892Y-109015056D01* +X95553061Y-116359888D01* +X95541432Y-116369432D01* +X95503342Y-116415844D01* +X95475040Y-116468795D01* +X95457611Y-116526250D01* +X95453200Y-116571035D01* +X95453200Y-116571042D01* +X95451727Y-116586000D01* +X95453200Y-116600958D01* +X95453201Y-124445032D01* +X95451727Y-124460000D01* +X95457611Y-124519751D01* +X95475040Y-124577205D01* +X95475041Y-124577206D01* +X95503343Y-124630157D01* +X95541433Y-124676568D01* +X95553057Y-124686108D01* +X98755200Y-127888252D01* +X98755201Y-130795025D01* +X98755200Y-130795035D01* +X98755200Y-131382294D01* +X98679000Y-131382294D01* +X98559857Y-131394029D01* +X98445293Y-131428781D01* +X98339710Y-131485217D01* +X98326815Y-131495800D01* +X97253185Y-131495800D01* +X97240290Y-131485217D01* +X97134707Y-131428781D01* +X97020143Y-131394029D01* +X96901000Y-131382294D01* +X96824800Y-131382294D01* +X96824800Y-131332958D01* +X96826273Y-131318000D01* +X96824800Y-131303042D01* +X96824800Y-131303034D01* +X96820389Y-131258249D01* +X96819887Y-131256592D01* +X96802960Y-131200794D01* +X96798076Y-131191657D01* +X96774658Y-131147843D01* +X96736568Y-131101432D01* +X96724944Y-131091892D01* +X94891912Y-129258861D01* +X94882368Y-129247232D01* +X94835957Y-129209142D01* +X94783006Y-129180840D01* +X94725551Y-129163411D01* +X94680766Y-129159000D01* +X94680758Y-129159000D01* +X94665800Y-129157527D01* +X94650842Y-129159000D01* +X84855514Y-129159000D01* +X79298800Y-123602287D01* +X79298800Y-120015000D01* +X79523836Y-120015000D01* +X79538058Y-120159399D01* +X79580178Y-120298249D01* +X79648576Y-120426213D01* +X79740625Y-120538375D01* +X79768736Y-120561445D01* +X80606557Y-121399267D01* +X80629625Y-121427375D01* +X80657731Y-121450441D01* +X80657732Y-121450442D01* +X80720029Y-121501568D01* +X80741787Y-121519424D01* +X80869751Y-121587822D01* +X80982298Y-121621963D01* +X81008601Y-121629942D01* +X81153000Y-121644164D01* +X81189186Y-121640600D01* +X82513817Y-121640600D01* +X82550000Y-121644164D01* +X82586183Y-121640600D01* +X84022891Y-121640600D01* +X90512554Y-128130263D01* +X90535625Y-128158375D01* +X90647787Y-128250424D01* +X90775751Y-128318822D01* +X90914601Y-128360942D01* +X91022814Y-128371600D01* +X91022823Y-128371600D01* +X91058999Y-128375163D01* +X91095175Y-128371600D01* +X97445186Y-128371600D01* +X97553399Y-128360942D01* +X97692249Y-128318822D01* +X97820213Y-128250424D01* +X97932375Y-128158375D01* +X98024424Y-128046213D01* +X98092822Y-127918249D01* +X98134942Y-127779399D01* +X98149164Y-127635000D01* +X98134942Y-127490601D01* +X98092822Y-127351751D01* +X98024424Y-127223787D01* +X97932375Y-127111625D01* +X97820213Y-127019576D01* +X97692249Y-126951178D01* +X97553399Y-126909058D01* +X97445186Y-126898400D01* +X91364109Y-126898400D01* +X84874446Y-120408737D01* +X84851375Y-120380625D01* +X84823263Y-120357554D01* +X84175600Y-119709891D01* +X84175600Y-118654177D01* +X84179163Y-118618001D01* +X84175600Y-118581825D01* +X84175600Y-116876177D01* +X84179163Y-116840001D01* +X84175600Y-116803825D01* +X84175600Y-111049109D01* +X88316109Y-106908600D01* +X118058891Y-106908600D01* +X120294401Y-109144111D01* +X120294400Y-111415185D01* +X120294401Y-111415195D01* +X120294400Y-114517817D01* +X120290836Y-114554000D01* +X120294400Y-114590183D01* +X120294400Y-114590185D01* +X120305058Y-114698398D01* +X120347178Y-114837248D01* +X120415576Y-114965212D01* +X120507625Y-115077374D01* +X120619787Y-115169424D01* +X120747751Y-115237822D01* +X120886601Y-115279942D01* +X121031000Y-115294164D01* +X121175398Y-115279942D01* +X121314248Y-115237822D01* +X121442212Y-115169424D01* +X121463970Y-115151568D01* +X121526267Y-115100442D01* +X121526268Y-115100441D01* +X121554374Y-115077375D01* +X121577441Y-115049268D01* +X122034270Y-114592439D01* +X122062374Y-114569375D01* +X122085442Y-114541267D01* +X122669269Y-113957441D01* +X122697375Y-113934375D01* +X122722275Y-113904035D01* +X122756243Y-113862644D01* +X122789424Y-113822213D01* +X122857822Y-113694249D01* +X122899942Y-113555399D01* +X122910600Y-113447186D01* +X122910600Y-113447177D01* +X122914163Y-113411001D01* +X122910600Y-113374825D01* +X122910600Y-110018186D01* +X122914164Y-109982000D01* +X122910600Y-109945814D01* +X122910600Y-108001110D01* +X124003110Y-106908600D01* +X129933391Y-106908600D01* +X134232650Y-111207860D01* +X134232650Y-111804140D01* +X133489732Y-112547059D01* +X133461626Y-112570125D01* +X133438560Y-112598231D01* +X133438558Y-112598233D01* +X133369576Y-112682288D01* +X133301178Y-112810253D01* +X133292788Y-112837912D01* +X133259059Y-112949101D01* +X133254067Y-112999788D01* +X133244836Y-113093500D01* +X133248400Y-113129683D01* +X133248400Y-113882814D01* +X133244836Y-113919000D01* +X133248400Y-113955183D01* +X133248400Y-113955185D01* +X133248401Y-113955194D01* +X133248400Y-114898813D01* +X133244836Y-114935000D01* +X133259058Y-115079399D01* +X133301178Y-115218249D01* +X133369576Y-115346213D01* +X133461625Y-115458375D01* +X133489737Y-115481446D01* +X136423400Y-118415110D01* +X136423400Y-119634657D01* +X136423401Y-121644164D01* +X136423400Y-124027890D01* +X135711891Y-124739400D01* +X132497186Y-124739400D01* +X132461000Y-124735836D01* +X132424814Y-124739400D01* +X132316601Y-124750058D01* +X132177751Y-124792178D01* +X132079650Y-124844614D01* +X132049787Y-124860576D01* +X131978667Y-124918943D01* +X131937625Y-124952625D01* +X131914559Y-124980731D01* +X128091891Y-128803400D01* +X125566814Y-128803400D01* +X125458601Y-128814058D01* +X125319751Y-128856178D01* +X125191787Y-128924576D01* +X125079625Y-129016625D01* +X124987576Y-129128787D01* +X124919178Y-129256751D01* +X124877058Y-129395601D01* +X124862836Y-129540000D01* +X124877058Y-129684399D01* +X124919178Y-129823249D01* +X124987576Y-129951213D01* +X125079625Y-130063375D01* +X125191787Y-130155424D01* +X125319751Y-130223822D01* +X125458601Y-130265942D01* +X125566814Y-130276600D01* +X128360817Y-130276600D01* +X128397000Y-130280164D01* +X128433183Y-130276600D01* +X128433186Y-130276600D01* +X128541399Y-130265942D01* +X128680249Y-130223822D01* +X128808213Y-130155424D01* +X128920375Y-130063375D01* +X128943446Y-130035263D01* +X132766110Y-126212600D01* +X135711891Y-126212600D01* +X136296401Y-126797110D01* +X136296400Y-129049436D01* +X136282142Y-129070775D01* +X136207468Y-129251053D01* +X136169400Y-129442434D01* +X136169400Y-129637566D01* +X136207468Y-129828947D01* +X136282142Y-130009225D01* +X136390551Y-130171471D01* +X136528529Y-130309449D01* +X136690775Y-130417858D01* +X136871053Y-130492532D01* +X137062434Y-130530600D01* +X137257566Y-130530600D01* +X137448947Y-130492532D01* +X137629225Y-130417858D01* +X137791471Y-130309449D01* +X137929449Y-130171471D01* +X138037858Y-130009225D01* +X138112532Y-129828947D01* +X138150600Y-129637566D01* +X138150600Y-129442434D01* +X138112532Y-129251053D01* +X138037858Y-129070775D01* +X138023600Y-129049436D01* +X138023600Y-126528177D01* +X138027163Y-126492001D01* +X138023600Y-126455825D01* +X138023600Y-113256675D01* +X138027163Y-113220499D01* +X138023600Y-113184323D01* +X138023600Y-113184314D01* +X138012942Y-113076101D01* +X137970822Y-112937251D01* +X137902424Y-112809287D01* +X137810375Y-112697125D01* +X137782269Y-112674059D01* +X137706441Y-112598231D01* +X137683375Y-112570125D01* +X137655268Y-112547059D01* +X136943147Y-111834937D01* +X136118945Y-111010736D01* +X136095875Y-110982625D01* +X136067763Y-110959554D01* +X135515697Y-110407488D01* +X135515692Y-110407482D01* +X135515687Y-110407477D01* +X135492624Y-110379375D01* +X135464523Y-110356314D01* +X130784946Y-105676737D01* +X130761875Y-105648625D01* +X130649713Y-105556576D01* +X130521749Y-105488178D01* +X130382899Y-105446058D01* +X130274686Y-105435400D01* +X130274683Y-105435400D01* +X130238500Y-105431836D01* +X130202317Y-105435400D01* +X123734186Y-105435400D01* +X123698000Y-105431836D01* +X123661814Y-105435400D01* +X122337175Y-105435400D01* +X122300999Y-105431837D01* +X122264823Y-105435400D01* +X121067186Y-105435400D01* +X121031000Y-105431836D01* +X120994814Y-105435400D01* +X119797183Y-105435400D01* +X119761000Y-105431836D01* +X119724817Y-105435400D01* +X118400183Y-105435400D01* +X118364000Y-105431836D01* +X118327817Y-105435400D01* +X88047175Y-105435400D01* +X88010999Y-105431837D01* +X87974823Y-105435400D01* +X87974814Y-105435400D01* +X87866601Y-105446058D01* +X87727751Y-105488178D01* +X87599787Y-105556576D01* +X87487625Y-105648625D01* +X87464554Y-105676737D01* +X82943732Y-110197559D01* +X82915626Y-110220625D01* +X82892560Y-110248731D01* +X82892558Y-110248733D01* +X82823576Y-110332788D01* +X82755178Y-110460753D01* +X82737141Y-110520213D01* +X82713720Y-110597424D01* +X82713059Y-110599602D01* +X82698836Y-110744000D01* +X82702401Y-110780193D01* +X82702401Y-115353432D01* +X82702400Y-116534890D01* +X82372237Y-116865054D01* +X82372232Y-116865058D01* +X82372227Y-116865063D01* +X82344125Y-116888126D01* +X82321063Y-116916227D01* +X79768736Y-119468554D01* +X79740625Y-119491625D01* +X79648576Y-119603787D01* +X79580178Y-119731751D01* +X79538058Y-119870601D01* +X79523836Y-120015000D01* +X79298800Y-120015000D01* +X79298800Y-118871251D01* +X80173998Y-117996054D01* +X80211937Y-118003600D01* +X80316063Y-118003600D01* +X80418187Y-117983287D01* +X80514386Y-117943440D01* +X80600963Y-117885591D01* +X80674591Y-117811963D01* +X80732440Y-117725386D01* +X80772287Y-117629187D01* +X80792600Y-117527063D01* +X80792600Y-117422937D01* +X80772287Y-117320813D01* +X80732440Y-117224614D01* +X80674591Y-117138037D01* +X80600963Y-117064409D01* +X80514386Y-117006560D01* +X80418187Y-116966713D01* +X80316063Y-116946400D01* +X80211937Y-116946400D01* +X80109813Y-116966713D01* +X80013614Y-117006560D01* +X79927037Y-117064409D01* +X79853409Y-117138037D01* +X79795560Y-117224614D01* +X79755713Y-117320813D01* +X79735400Y-117422937D01* +X79735400Y-117527063D01* +X79742946Y-117565002D01* +X78994000Y-118313949D01* +X78994000Y-117906051D01* +X80173999Y-116726054D01* +X80211937Y-116733600D01* +X80316063Y-116733600D01* +X80418187Y-116713287D01* +X80514386Y-116673440D01* +X80600963Y-116615591D01* +X80674591Y-116541963D01* +X80732440Y-116455386D01* +X80772287Y-116359187D01* +X80792600Y-116257063D01* +X80792600Y-116152937D01* +X80772287Y-116050813D01* +X80732440Y-115954614D01* +X80674591Y-115868037D01* +X80600963Y-115794409D01* +X80514386Y-115736560D01* +X80418187Y-115696713D01* +X80316063Y-115676400D01* +X80211937Y-115676400D01* +X80109813Y-115696713D01* +X80013614Y-115736560D01* +X79927037Y-115794409D01* +X79853409Y-115868037D01* +X79795560Y-115954614D01* +X79755713Y-116050813D01* +X79735400Y-116152937D01* +X79735400Y-116257063D01* +X79742946Y-116295001D01* +X78689200Y-117348749D01* +X78689200Y-116940851D01* +X80173998Y-115456054D01* +X80211937Y-115463600D01* +X80316063Y-115463600D01* +X80418187Y-115443287D01* +X80514386Y-115403440D01* +X80600963Y-115345591D01* +X80674591Y-115271963D01* +X80732440Y-115185386D01* +X80772287Y-115089187D01* +X80792600Y-114987063D01* +X80792600Y-114882937D01* +X80772287Y-114780813D01* +X80732440Y-114684614D01* +X80674591Y-114598037D01* +X80600963Y-114524409D01* +X80514386Y-114466560D01* +X80418187Y-114426713D01* +X80316063Y-114406400D01* +X80211937Y-114406400D01* +X80109813Y-114426713D01* +X80013614Y-114466560D01* +X79927037Y-114524409D01* +X79853409Y-114598037D01* +X79795560Y-114684614D01* +X79755713Y-114780813D01* +X79735400Y-114882937D01* +X79735400Y-114987063D01* +X79742946Y-115025002D01* +X78384400Y-116383549D01* +X78384400Y-115975651D01* +X80173998Y-114186054D01* +X80211937Y-114193600D01* +X80316063Y-114193600D01* +X80418187Y-114173287D01* +X80514386Y-114133440D01* +X80600963Y-114075591D01* +X80674591Y-114001963D01* +X80732440Y-113915386D01* +X80772287Y-113819187D01* +X80792600Y-113717063D01* +X80792600Y-113612937D01* +X80772287Y-113510813D01* +X80732440Y-113414614D01* +X80674591Y-113328037D01* +X80600963Y-113254409D01* +X80514386Y-113196560D01* +X80418187Y-113156713D01* +X80316063Y-113136400D01* +X80211937Y-113136400D01* +X80109813Y-113156713D01* +X80013614Y-113196560D01* +X79927037Y-113254409D01* +X79853409Y-113328037D01* +X79795560Y-113414614D01* +X79755713Y-113510813D01* +X79735400Y-113612937D01* +X79735400Y-113717063D01* +X79742946Y-113755002D01* +X78079600Y-115418349D01* +X78079600Y-115010451D01* +X80173998Y-112916054D01* +X80211937Y-112923600D01* +X80316063Y-112923600D01* +X80418187Y-112903287D01* +X80514386Y-112863440D01* +X80600963Y-112805591D01* +X80674591Y-112731963D01* +X80732440Y-112645386D01* +X80772287Y-112549187D01* +X80792600Y-112447063D01* +X80792600Y-112342937D01* +X80772287Y-112240813D01* +X80732440Y-112144614D01* +X80674591Y-112058037D01* +X80600963Y-111984409D01* +X80514386Y-111926560D01* +X80418187Y-111886713D01* +X80316063Y-111866400D01* +X80211937Y-111866400D01* +X80109813Y-111886713D01* +X80013614Y-111926560D01* +X79927037Y-111984409D01* +X79853409Y-112058037D01* +X79795560Y-112144614D01* +X79755713Y-112240813D01* +X79735400Y-112342937D01* +X79735400Y-112447063D01* +X79742946Y-112485002D01* +X77774800Y-114453149D01* +X77774800Y-114045251D01* +X80173998Y-111646054D01* +X80211937Y-111653600D01* +X80316063Y-111653600D01* +X80418187Y-111633287D01* +X80514386Y-111593440D01* +X80600963Y-111535591D01* +X80674591Y-111461963D01* +X80732440Y-111375386D01* +X80772287Y-111279187D01* +X80792600Y-111177063D01* +X80792600Y-111072937D01* +X80772287Y-110970813D01* +X80732440Y-110874614D01* +X80674591Y-110788037D01* +X80600963Y-110714409D01* +X80514386Y-110656560D01* +X80418187Y-110616713D01* +X80316063Y-110596400D01* +X80211937Y-110596400D01* +X80109813Y-110616713D01* +X80013614Y-110656560D01* +X79927037Y-110714409D01* +X79853409Y-110788037D01* +X79795560Y-110874614D01* +X79755713Y-110970813D01* +X79735400Y-111072937D01* +X79735400Y-111177063D01* +X79742946Y-111215002D01* +X77470000Y-113487949D01* +X77470000Y-113080051D01* +X80173998Y-110376054D01* +X80211937Y-110383600D01* +X80316063Y-110383600D01* +X80418187Y-110363287D01* +X80514386Y-110323440D01* +X80600963Y-110265591D01* +X80674591Y-110191963D01* +X80732440Y-110105386D01* +X80772287Y-110009187D01* +X80792600Y-109907063D01* +X80792600Y-109802937D01* +X80772287Y-109700813D01* +X80732440Y-109604614D01* +X80674591Y-109518037D01* +X80600963Y-109444409D01* +X80514386Y-109386560D01* +X80418187Y-109346713D01* +X80316063Y-109326400D01* +X80211937Y-109326400D01* +X80109813Y-109346713D01* +X80013614Y-109386560D01* +X79927037Y-109444409D01* +X79853409Y-109518037D01* +X79795560Y-109604614D01* +X79755713Y-109700813D01* +X79735400Y-109802937D01* +X79735400Y-109907063D01* +X79742946Y-109945002D01* +X77165200Y-112522749D01* +X77165200Y-112114851D01* +X80173998Y-109106054D01* +X80211937Y-109113600D01* +X80316063Y-109113600D01* +X80418187Y-109093287D01* +X80514386Y-109053440D01* +X80600963Y-108995591D01* +X80674591Y-108921963D01* +X80732440Y-108835386D01* +X80772287Y-108739187D01* +X80792600Y-108637063D01* +X80792600Y-108532937D01* +X80772287Y-108430813D01* +X80732440Y-108334614D01* +X80674591Y-108248037D01* +X80600963Y-108174409D01* +X80514386Y-108116560D01* +X80418187Y-108076713D01* +X80316063Y-108056400D01* +X80211937Y-108056400D01* +X80109813Y-108076713D01* +X80013614Y-108116560D01* +X79927037Y-108174409D01* +X79853409Y-108248037D01* +X79795560Y-108334614D01* +X79755713Y-108430813D01* +X79735400Y-108532937D01* +X79735400Y-108637063D01* +X79742946Y-108675002D01* +X76655457Y-111762492D01* +X76643833Y-111772032D01* +X76605743Y-111818443D01* +X76596927Y-111834937D01* +X76577440Y-111871395D01* +X76560011Y-111928849D01* +X76554127Y-111988600D01* +X76555601Y-112003568D01* +X76555600Y-124597442D01* +X76554127Y-124612400D01* +X76555600Y-124627358D01* +X76555600Y-124627365D01* +X76557123Y-124642828D01* +X76560011Y-124672151D01* +X76569279Y-124702702D01* +X76577440Y-124729605D01* +X76605742Y-124782556D01* +X76643832Y-124828968D01* +X76655461Y-124838512D01* +X79324201Y-127507253D01* +X79324200Y-128016748D01* +X78535057Y-128805892D01* +X78523433Y-128815432D01* +X78485343Y-128861843D01* +X78470215Y-128890146D01* +X78457040Y-128914795D01* +X78439611Y-128972249D01* +X78433727Y-129032000D01* +X78435201Y-129046968D01* +X78435201Y-131382294D01* +X78359000Y-131382294D01* +X78239857Y-131394029D01* +X78125293Y-131428781D01* +X78019710Y-131485217D01* +X78006815Y-131495800D01* +X77190600Y-131495800D01* +X77190600Y-129442434D01* +X77181060Y-129394474D01* +X77176267Y-129345808D01* +X77162072Y-129299014D01* +X77152532Y-129251053D01* +X77133818Y-129205872D01* +X77119623Y-129159080D01* +X77096572Y-129115954D01* +X77077858Y-129070775D01* +X77050691Y-129030117D01* +X77027639Y-128986989D01* +X76996616Y-128949188D01* +X76969449Y-128908529D01* +X76934870Y-128873950D01* +X76903849Y-128836151D01* +X76866050Y-128805130D01* +X76831471Y-128770551D01* +X76790812Y-128743384D01* +X76753011Y-128712361D01* +X76709883Y-128689309D01* +X76669225Y-128662142D01* +X76624046Y-128643428D01* +X76580920Y-128620377D01* +X76534128Y-128606182D01* +X76488947Y-128587468D01* +X76440986Y-128577928D01* +X76394192Y-128563733D01* +X76345527Y-128558940D01* +X76297566Y-128549400D01* +X76248665Y-128549400D01* +X76200000Y-128544607D01* +X76151335Y-128549400D01* +X76102434Y-128549400D01* +X76054473Y-128558940D01* +X76005809Y-128563733D01* +X75959017Y-128577927D01* +X75911053Y-128587468D01* +X75865870Y-128606184D01* +X75819081Y-128620377D01* +X75775959Y-128643426D01* +X75730775Y-128662142D01* +X75690113Y-128689311D01* +X75646990Y-128712361D01* +X75609193Y-128743380D01* +X75568529Y-128770551D01* +X75533945Y-128805135D01* +X75496152Y-128836151D01* +X75465136Y-128873944D01* +X75430551Y-128908529D01* +X75403379Y-128949194D01* +X75372362Y-128986989D01* +X75349314Y-129030109D01* +X75322142Y-129070775D01* +X75303425Y-129115963D01* +X75280378Y-129159080D01* +X75266186Y-129205863D01* +X75247468Y-129251053D01* +X75237926Y-129299024D01* +X75223734Y-129345808D01* +X75218942Y-129394464D01* +X75209400Y-129442434D01* +X75209400Y-129637566D01* +X75209401Y-129637571D01* +X75209401Y-131495800D01* +X70365544Y-131495800D01* +X69747951Y-131372281D01* +X69260140Y-131128376D01* +X68769624Y-130637860D01* +X68525719Y-130150049D01* +X68402200Y-129532456D01* +X68402200Y-115196544D01* +X68525719Y-114578951D01* +X68769624Y-114091140D01* +X77896140Y-104964624D01* +X78383951Y-104720719D01* +X79001544Y-104597200D01* +X136898456Y-104597200D01* +X137513112Y-104720131D01* +G36* +X80467201Y-128219187D02* +G01* +X80467200Y-129271042D01* +X80465727Y-129286000D01* +X80467200Y-129300958D01* +X80467200Y-129300965D01* +X80469317Y-129322458D01* +X80471611Y-129345751D01* +X80478920Y-129369844D01* +X80489040Y-129403205D01* +X80517342Y-129456156D01* +X80555432Y-129502568D01* +X80567061Y-129512112D01* +X80975201Y-129920253D01* +X80975201Y-131382294D01* +X80899000Y-131382294D01* +X80779857Y-131394029D01* +X80665293Y-131428781D01* +X80559710Y-131485217D01* +X80546815Y-131495800D01* +X79473185Y-131495800D01* +X79460290Y-131485217D01* +X79354707Y-131428781D01* +X79240143Y-131394029D01* +X79121000Y-131382294D01* +X79044800Y-131382294D01* +X79044800Y-129158251D01* +X79833945Y-128369107D01* +X79845568Y-128359568D01* +X79883658Y-128313157D01* +X79911960Y-128260206D01* +X79918258Y-128239444D01* +X79929389Y-128202752D01* +X79931488Y-128181441D01* +X79933800Y-128157966D01* +X79933800Y-128157958D01* +X79935273Y-128143000D01* +X79933800Y-128128042D01* +X79933800Y-127685786D01* +X80467201Y-128219187D01* +G37* +X80467201Y-128219187D02* +X80467200Y-129271042D01* +X80465727Y-129286000D01* +X80467200Y-129300958D01* +X80467200Y-129300965D01* +X80469317Y-129322458D01* +X80471611Y-129345751D01* +X80478920Y-129369844D01* +X80489040Y-129403205D01* +X80517342Y-129456156D01* +X80555432Y-129502568D01* +X80567061Y-129512112D01* +X80975201Y-129920253D01* +X80975201Y-131382294D01* +X80899000Y-131382294D01* +X80779857Y-131394029D01* +X80665293Y-131428781D01* +X80559710Y-131485217D01* +X80546815Y-131495800D01* +X79473185Y-131495800D01* +X79460290Y-131485217D01* +X79354707Y-131428781D01* +X79240143Y-131394029D01* +X79121000Y-131382294D01* +X79044800Y-131382294D01* +X79044800Y-129158251D01* +X79833945Y-128369107D01* +X79845568Y-128359568D01* +X79883658Y-128313157D01* +X79911960Y-128260206D01* +X79918258Y-128239444D01* +X79929389Y-128202752D01* +X79931488Y-128181441D01* +X79933800Y-128157966D01* +X79933800Y-128157958D01* +X79935273Y-128143000D01* +X79933800Y-128128042D01* +X79933800Y-127685786D01* +X80467201Y-128219187D01* +G36* +X88595200Y-131317252D02* +G01* +X88595200Y-131382294D01* +X88519000Y-131382294D01* +X88399857Y-131394029D01* +X88285293Y-131428781D01* +X88179710Y-131485217D01* +X88166815Y-131495800D01* +X87093185Y-131495800D01* +X87080290Y-131485217D01* +X86974707Y-131428781D01* +X86860143Y-131394029D01* +X86741000Y-131382294D01* +X86664800Y-131382294D01* +X86664800Y-131205957D01* +X86666273Y-131190999D01* +X86664800Y-131176041D01* +X86664800Y-131176034D01* +X86660389Y-131131249D01* +X86642960Y-131073794D01* +X86614658Y-131020843D01* +X86576568Y-130974432D01* +X86564944Y-130964892D01* +X86283051Y-130683000D01* +X87960949Y-130683000D01* +X88595200Y-131317252D01* +G37* +X88595200Y-131317252D02* +X88595200Y-131382294D01* +X88519000Y-131382294D01* +X88399857Y-131394029D01* +X88285293Y-131428781D01* +X88179710Y-131485217D01* +X88166815Y-131495800D01* +X87093185Y-131495800D01* +X87080290Y-131485217D01* +X86974707Y-131428781D01* +X86860143Y-131394029D01* +X86741000Y-131382294D01* +X86664800Y-131382294D01* +X86664800Y-131205957D01* +X86666273Y-131190999D01* +X86664800Y-131176041D01* +X86664800Y-131176034D01* +X86660389Y-131131249D01* +X86642960Y-131073794D01* +X86614658Y-131020843D01* +X86576568Y-130974432D01* +X86564944Y-130964892D01* +X86283051Y-130683000D01* +X87960949Y-130683000D01* +X88595200Y-131317252D01* +G36* +X91073242Y-131382294D02* +G01* +X91059000Y-131382294D01* +X90939857Y-131394029D01* +X90825293Y-131428781D01* +X90719710Y-131485217D01* +X90706815Y-131495800D01* +X89633185Y-131495800D01* +X89620290Y-131485217D01* +X89514707Y-131428781D01* +X89400143Y-131394029D01* +X89281000Y-131382294D01* +X89204800Y-131382294D01* +X89204800Y-131205958D01* +X89206273Y-131191000D01* +X89204800Y-131176042D01* +X89204800Y-131176034D01* +X89200389Y-131131249D01* +X89195847Y-131116274D01* +X89182960Y-131073794D01* +X89177373Y-131063342D01* +X89154658Y-131020843D01* +X89116568Y-130974432D01* +X89104945Y-130964893D01* +X88518251Y-130378200D01* +X90069149Y-130378200D01* +X91073242Y-131382294D01* +G37* +X91073242Y-131382294D02* +X91059000Y-131382294D01* +X90939857Y-131394029D01* +X90825293Y-131428781D01* +X90719710Y-131485217D01* +X90706815Y-131495800D01* +X89633185Y-131495800D01* +X89620290Y-131485217D01* +X89514707Y-131428781D01* +X89400143Y-131394029D01* +X89281000Y-131382294D01* +X89204800Y-131382294D01* +X89204800Y-131205958D01* +X89206273Y-131191000D01* +X89204800Y-131176042D01* +X89204800Y-131176034D01* +X89200389Y-131131249D01* +X89195847Y-131116274D01* +X89182960Y-131073794D01* +X89177373Y-131063342D01* +X89154658Y-131020843D01* +X89116568Y-130974432D01* +X89104945Y-130964893D01* +X88518251Y-130378200D01* +X90069149Y-130378200D01* +X91073242Y-131382294D01* +G36* +X93613242Y-131382294D02* +G01* +X93599000Y-131382294D01* +X93479857Y-131394029D01* +X93365293Y-131428781D01* +X93259710Y-131485217D01* +X93246815Y-131495800D01* +X92173185Y-131495800D01* +X92160290Y-131485217D01* +X92054707Y-131428781D01* +X91940143Y-131394029D01* +X91821000Y-131382294D01* +X91744800Y-131382294D01* +X91744800Y-131332957D01* +X91746273Y-131317999D01* +X91744800Y-131303041D01* +X91744800Y-131303034D01* +X91740389Y-131258249D01* +X91739887Y-131256592D01* +X91734061Y-131237389D01* +X91722960Y-131200794D01* +X91694658Y-131147843D01* +X91656568Y-131101432D01* +X91644944Y-131091892D01* +X90626451Y-130073400D01* +X92304349Y-130073400D01* +X93613242Y-131382294D01* +G37* +X93613242Y-131382294D02* +X93599000Y-131382294D01* +X93479857Y-131394029D01* +X93365293Y-131428781D01* +X93259710Y-131485217D01* +X93246815Y-131495800D01* +X92173185Y-131495800D01* +X92160290Y-131485217D01* +X92054707Y-131428781D01* +X91940143Y-131394029D01* +X91821000Y-131382294D01* +X91744800Y-131382294D01* +X91744800Y-131332957D01* +X91746273Y-131317999D01* +X91744800Y-131303041D01* +X91744800Y-131303034D01* +X91740389Y-131258249D01* +X91739887Y-131256592D01* +X91734061Y-131237389D01* +X91722960Y-131200794D01* +X91694658Y-131147843D01* +X91656568Y-131101432D01* +X91644944Y-131091892D01* +X90626451Y-130073400D01* +X92304349Y-130073400D01* +X93613242Y-131382294D01* +G36* +X96153242Y-131382294D02* +G01* +X96139000Y-131382294D01* +X96019857Y-131394029D01* +X95905293Y-131428781D01* +X95799710Y-131485217D01* +X95786815Y-131495800D01* +X94713185Y-131495800D01* +X94700290Y-131485217D01* +X94594707Y-131428781D01* +X94480143Y-131394029D01* +X94361000Y-131382294D01* +X94284800Y-131382294D01* +X94284800Y-131332957D01* +X94286273Y-131317999D01* +X94284800Y-131303041D01* +X94284800Y-131303034D01* +X94280389Y-131258249D01* +X94279887Y-131256592D01* +X94274061Y-131237389D01* +X94262960Y-131200794D01* +X94234658Y-131147843D01* +X94196568Y-131101432D01* +X94184945Y-131091893D01* +X92861651Y-129768600D01* +X94539549Y-129768600D01* +X96153242Y-131382294D01* +G37* +X96153242Y-131382294D02* +X96139000Y-131382294D01* +X96019857Y-131394029D01* +X95905293Y-131428781D01* +X95799710Y-131485217D01* +X95786815Y-131495800D01* +X94713185Y-131495800D01* +X94700290Y-131485217D01* +X94594707Y-131428781D01* +X94480143Y-131394029D01* +X94361000Y-131382294D01* +X94284800Y-131382294D01* +X94284800Y-131332957D01* +X94286273Y-131317999D01* +X94284800Y-131303041D01* +X94284800Y-131303034D01* +X94280389Y-131258249D01* +X94279887Y-131256592D01* +X94274061Y-131237389D01* +X94262960Y-131200794D01* +X94234658Y-131147843D01* +X94196568Y-131101432D01* +X94184945Y-131091893D01* +X92861651Y-129768600D01* +X94539549Y-129768600D01* +X96153242Y-131382294D01* +G36* +X83515200Y-130836120D02* +G01* +X83515200Y-131382294D01* +X83439000Y-131382294D01* +X83319857Y-131394029D01* +X83205293Y-131428781D01* +X83099710Y-131485217D01* +X83086815Y-131495800D01* +X82013185Y-131495800D01* +X82000290Y-131485217D01* +X81894707Y-131428781D01* +X81780143Y-131394029D01* +X81661000Y-131382294D01* +X81584800Y-131382294D01* +X81584800Y-129808957D01* +X81586273Y-129793999D01* +X81584800Y-129779041D01* +X81584800Y-129779034D01* +X81580389Y-129734249D01* +X81578609Y-129728379D01* +X81566938Y-129689908D01* +X81562960Y-129676794D01* +X81534658Y-129623843D01* +X81496568Y-129577432D01* +X81484944Y-129567892D01* +X81076800Y-129159749D01* +X81076800Y-128397720D01* +X83515200Y-130836120D01* +G37* +X83515200Y-130836120D02* +X83515200Y-131382294D01* +X83439000Y-131382294D01* +X83319857Y-131394029D01* +X83205293Y-131428781D01* +X83099710Y-131485217D01* +X83086815Y-131495800D01* +X82013185Y-131495800D01* +X82000290Y-131485217D01* +X81894707Y-131428781D01* +X81780143Y-131394029D01* +X81661000Y-131382294D01* +X81584800Y-131382294D01* +X81584800Y-129808957D01* +X81586273Y-129793999D01* +X81584800Y-129779041D01* +X81584800Y-129779034D01* +X81580389Y-129734249D01* +X81578609Y-129728379D01* +X81566938Y-129689908D01* +X81562960Y-129676794D01* +X81534658Y-129623843D01* +X81496568Y-129577432D01* +X81484944Y-129567892D01* +X81076800Y-129159749D01* +X81076800Y-128397720D01* +X83515200Y-130836120D01* +M02* diff --git a/gerber/Voyager128-B_Mask.gbs b/gerber/Voyager128-B_Mask.gbs new file mode 100644 index 0000000..4ce4419 --- /dev/null +++ b/gerber/Voyager128-B_Mask.gbs @@ -0,0 +1,1206 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Bot* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +G04 APERTURE END LIST* +D10* +G36* +X139700000Y-139446000D02* +G01* +X139192000Y-139954000D01* +X74168000Y-139954000D01* +X73660000Y-139446000D01* +X73660000Y-132080000D01* +X139700000Y-132080000D01* +X139700000Y-139446000D01* +G37* +G36* +X137686355Y-131543544D02* +G01* +X137758967Y-131565570D01* +X137825881Y-131601336D01* +X137884531Y-131649469D01* +X137932664Y-131708119D01* +X137968430Y-131775033D01* +X137990456Y-131847645D01* +X137998200Y-131926267D01* +X137998200Y-138637733D01* +X137990456Y-138716355D01* +X137968430Y-138788967D01* +X137932664Y-138855881D01* +X137884531Y-138914531D01* +X137825881Y-138962664D01* +X137758967Y-138998430D01* +X137686355Y-139020456D01* +X137607733Y-139028200D01* +X136712267Y-139028200D01* +X136633645Y-139020456D01* +X136561033Y-138998430D01* +X136494119Y-138962664D01* +X136435469Y-138914531D01* +X136387336Y-138855881D01* +X136351570Y-138788967D01* +X136329544Y-138716355D01* +X136321800Y-138637733D01* +X136321800Y-131926267D01* +X136329544Y-131847645D01* +X136351570Y-131775033D01* +X136387336Y-131708119D01* +X136435469Y-131649469D01* +X136494119Y-131601336D01* +X136561033Y-131565570D01* +X136633645Y-131543544D01* +X136712267Y-131535800D01* +X137607733Y-131535800D01* +X137686355Y-131543544D01* +G37* +G36* +X135146355Y-131543544D02* +G01* +X135218967Y-131565570D01* +X135285881Y-131601336D01* +X135344531Y-131649469D01* +X135392664Y-131708119D01* +X135428430Y-131775033D01* +X135450456Y-131847645D01* +X135458200Y-131926267D01* +X135458200Y-138637733D01* +X135450456Y-138716355D01* +X135428430Y-138788967D01* +X135392664Y-138855881D01* +X135344531Y-138914531D01* +X135285881Y-138962664D01* +X135218967Y-138998430D01* +X135146355Y-139020456D01* +X135067733Y-139028200D01* +X134172267Y-139028200D01* +X134093645Y-139020456D01* +X134021033Y-138998430D01* +X133954119Y-138962664D01* +X133895469Y-138914531D01* +X133847336Y-138855881D01* +X133811570Y-138788967D01* +X133789544Y-138716355D01* +X133781800Y-138637733D01* +X133781800Y-131926267D01* +X133789544Y-131847645D01* +X133811570Y-131775033D01* +X133847336Y-131708119D01* +X133895469Y-131649469D01* +X133954119Y-131601336D01* +X134021033Y-131565570D01* +X134093645Y-131543544D01* +X134172267Y-131535800D01* +X135067733Y-131535800D01* +X135146355Y-131543544D01* +G37* +G36* +X132606355Y-131543544D02* +G01* +X132678967Y-131565570D01* +X132745881Y-131601336D01* +X132804531Y-131649469D01* +X132852664Y-131708119D01* +X132888430Y-131775033D01* +X132910456Y-131847645D01* +X132918200Y-131926267D01* +X132918200Y-138637733D01* +X132910456Y-138716355D01* +X132888430Y-138788967D01* +X132852664Y-138855881D01* +X132804531Y-138914531D01* +X132745881Y-138962664D01* +X132678967Y-138998430D01* +X132606355Y-139020456D01* +X132527733Y-139028200D01* +X131632267Y-139028200D01* +X131553645Y-139020456D01* +X131481033Y-138998430D01* +X131414119Y-138962664D01* +X131355469Y-138914531D01* +X131307336Y-138855881D01* +X131271570Y-138788967D01* +X131249544Y-138716355D01* +X131241800Y-138637733D01* +X131241800Y-131926267D01* +X131249544Y-131847645D01* +X131271570Y-131775033D01* +X131307336Y-131708119D01* +X131355469Y-131649469D01* +X131414119Y-131601336D01* +X131481033Y-131565570D01* +X131553645Y-131543544D01* +X131632267Y-131535800D01* +X132527733Y-131535800D01* +X132606355Y-131543544D01* +G37* +G36* +X130066355Y-131543544D02* +G01* +X130138967Y-131565570D01* +X130205881Y-131601336D01* +X130264531Y-131649469D01* +X130312664Y-131708119D01* +X130348430Y-131775033D01* +X130370456Y-131847645D01* +X130378200Y-131926267D01* +X130378200Y-138637733D01* +X130370456Y-138716355D01* +X130348430Y-138788967D01* +X130312664Y-138855881D01* +X130264531Y-138914531D01* +X130205881Y-138962664D01* +X130138967Y-138998430D01* +X130066355Y-139020456D01* +X129987733Y-139028200D01* +X129092267Y-139028200D01* +X129013645Y-139020456D01* +X128941033Y-138998430D01* +X128874119Y-138962664D01* +X128815469Y-138914531D01* +X128767336Y-138855881D01* +X128731570Y-138788967D01* +X128709544Y-138716355D01* +X128701800Y-138637733D01* +X128701800Y-131926267D01* +X128709544Y-131847645D01* +X128731570Y-131775033D01* +X128767336Y-131708119D01* +X128815469Y-131649469D01* +X128874119Y-131601336D01* +X128941033Y-131565570D01* +X129013645Y-131543544D01* +X129092267Y-131535800D01* +X129987733Y-131535800D01* +X130066355Y-131543544D01* +G37* +G36* +X127526355Y-131543544D02* +G01* +X127598967Y-131565570D01* +X127665881Y-131601336D01* +X127724531Y-131649469D01* +X127772664Y-131708119D01* +X127808430Y-131775033D01* +X127830456Y-131847645D01* +X127838200Y-131926267D01* +X127838200Y-138637733D01* +X127830456Y-138716355D01* +X127808430Y-138788967D01* +X127772664Y-138855881D01* +X127724531Y-138914531D01* +X127665881Y-138962664D01* +X127598967Y-138998430D01* +X127526355Y-139020456D01* +X127447733Y-139028200D01* +X126552267Y-139028200D01* +X126473645Y-139020456D01* +X126401033Y-138998430D01* +X126334119Y-138962664D01* +X126275469Y-138914531D01* +X126227336Y-138855881D01* +X126191570Y-138788967D01* +X126169544Y-138716355D01* +X126161800Y-138637733D01* +X126161800Y-131926267D01* +X126169544Y-131847645D01* +X126191570Y-131775033D01* +X126227336Y-131708119D01* +X126275469Y-131649469D01* +X126334119Y-131601336D01* +X126401033Y-131565570D01* +X126473645Y-131543544D01* +X126552267Y-131535800D01* +X127447733Y-131535800D01* +X127526355Y-131543544D01* +G37* +G36* +X124986355Y-131543544D02* +G01* +X125058967Y-131565570D01* +X125125881Y-131601336D01* +X125184531Y-131649469D01* +X125232664Y-131708119D01* +X125268430Y-131775033D01* +X125290456Y-131847645D01* +X125298200Y-131926267D01* +X125298200Y-138637733D01* +X125290456Y-138716355D01* +X125268430Y-138788967D01* +X125232664Y-138855881D01* +X125184531Y-138914531D01* +X125125881Y-138962664D01* +X125058967Y-138998430D01* +X124986355Y-139020456D01* +X124907733Y-139028200D01* +X124012267Y-139028200D01* +X123933645Y-139020456D01* +X123861033Y-138998430D01* +X123794119Y-138962664D01* +X123735469Y-138914531D01* +X123687336Y-138855881D01* +X123651570Y-138788967D01* +X123629544Y-138716355D01* +X123621800Y-138637733D01* +X123621800Y-131926267D01* +X123629544Y-131847645D01* +X123651570Y-131775033D01* +X123687336Y-131708119D01* +X123735469Y-131649469D01* +X123794119Y-131601336D01* +X123861033Y-131565570D01* +X123933645Y-131543544D01* +X124012267Y-131535800D01* +X124907733Y-131535800D01* +X124986355Y-131543544D01* +G37* +G36* +X122446355Y-131543544D02* +G01* +X122518967Y-131565570D01* +X122585881Y-131601336D01* +X122644531Y-131649469D01* +X122692664Y-131708119D01* +X122728430Y-131775033D01* +X122750456Y-131847645D01* +X122758200Y-131926267D01* +X122758200Y-138637733D01* +X122750456Y-138716355D01* +X122728430Y-138788967D01* +X122692664Y-138855881D01* +X122644531Y-138914531D01* +X122585881Y-138962664D01* +X122518967Y-138998430D01* +X122446355Y-139020456D01* +X122367733Y-139028200D01* +X121472267Y-139028200D01* +X121393645Y-139020456D01* +X121321033Y-138998430D01* +X121254119Y-138962664D01* +X121195469Y-138914531D01* +X121147336Y-138855881D01* +X121111570Y-138788967D01* +X121089544Y-138716355D01* +X121081800Y-138637733D01* +X121081800Y-131926267D01* +X121089544Y-131847645D01* +X121111570Y-131775033D01* +X121147336Y-131708119D01* +X121195469Y-131649469D01* +X121254119Y-131601336D01* +X121321033Y-131565570D01* +X121393645Y-131543544D01* +X121472267Y-131535800D01* +X122367733Y-131535800D01* +X122446355Y-131543544D01* +G37* +G36* +X119906355Y-131543544D02* +G01* +X119978967Y-131565570D01* +X120045881Y-131601336D01* +X120104531Y-131649469D01* +X120152664Y-131708119D01* +X120188430Y-131775033D01* +X120210456Y-131847645D01* +X120218200Y-131926267D01* +X120218200Y-138637733D01* +X120210456Y-138716355D01* +X120188430Y-138788967D01* +X120152664Y-138855881D01* +X120104531Y-138914531D01* +X120045881Y-138962664D01* +X119978967Y-138998430D01* +X119906355Y-139020456D01* +X119827733Y-139028200D01* +X118932267Y-139028200D01* +X118853645Y-139020456D01* +X118781033Y-138998430D01* +X118714119Y-138962664D01* +X118655469Y-138914531D01* +X118607336Y-138855881D01* +X118571570Y-138788967D01* +X118549544Y-138716355D01* +X118541800Y-138637733D01* +X118541800Y-131926267D01* +X118549544Y-131847645D01* +X118571570Y-131775033D01* +X118607336Y-131708119D01* +X118655469Y-131649469D01* +X118714119Y-131601336D01* +X118781033Y-131565570D01* +X118853645Y-131543544D01* +X118932267Y-131535800D01* +X119827733Y-131535800D01* +X119906355Y-131543544D01* +G37* +G36* +X117366355Y-131543544D02* +G01* +X117438967Y-131565570D01* +X117505881Y-131601336D01* +X117564531Y-131649469D01* +X117612664Y-131708119D01* +X117648430Y-131775033D01* +X117670456Y-131847645D01* +X117678200Y-131926267D01* +X117678200Y-138637733D01* +X117670456Y-138716355D01* +X117648430Y-138788967D01* +X117612664Y-138855881D01* +X117564531Y-138914531D01* +X117505881Y-138962664D01* +X117438967Y-138998430D01* +X117366355Y-139020456D01* +X117287733Y-139028200D01* +X116392267Y-139028200D01* +X116313645Y-139020456D01* +X116241033Y-138998430D01* +X116174119Y-138962664D01* +X116115469Y-138914531D01* +X116067336Y-138855881D01* +X116031570Y-138788967D01* +X116009544Y-138716355D01* +X116001800Y-138637733D01* +X116001800Y-131926267D01* +X116009544Y-131847645D01* +X116031570Y-131775033D01* +X116067336Y-131708119D01* +X116115469Y-131649469D01* +X116174119Y-131601336D01* +X116241033Y-131565570D01* +X116313645Y-131543544D01* +X116392267Y-131535800D01* +X117287733Y-131535800D01* +X117366355Y-131543544D01* +G37* +G36* +X114826355Y-131543544D02* +G01* +X114898967Y-131565570D01* +X114965881Y-131601336D01* +X115024531Y-131649469D01* +X115072664Y-131708119D01* +X115108430Y-131775033D01* +X115130456Y-131847645D01* +X115138200Y-131926267D01* +X115138200Y-138637733D01* +X115130456Y-138716355D01* +X115108430Y-138788967D01* +X115072664Y-138855881D01* +X115024531Y-138914531D01* +X114965881Y-138962664D01* +X114898967Y-138998430D01* +X114826355Y-139020456D01* +X114747733Y-139028200D01* +X113852267Y-139028200D01* +X113773645Y-139020456D01* +X113701033Y-138998430D01* +X113634119Y-138962664D01* +X113575469Y-138914531D01* +X113527336Y-138855881D01* +X113491570Y-138788967D01* +X113469544Y-138716355D01* +X113461800Y-138637733D01* +X113461800Y-131926267D01* +X113469544Y-131847645D01* +X113491570Y-131775033D01* +X113527336Y-131708119D01* +X113575469Y-131649469D01* +X113634119Y-131601336D01* +X113701033Y-131565570D01* +X113773645Y-131543544D01* +X113852267Y-131535800D01* +X114747733Y-131535800D01* +X114826355Y-131543544D01* +G37* +G36* +X112286355Y-131543544D02* +G01* +X112358967Y-131565570D01* +X112425881Y-131601336D01* +X112484531Y-131649469D01* +X112532664Y-131708119D01* +X112568430Y-131775033D01* +X112590456Y-131847645D01* +X112598200Y-131926267D01* +X112598200Y-138637733D01* +X112590456Y-138716355D01* +X112568430Y-138788967D01* +X112532664Y-138855881D01* +X112484531Y-138914531D01* +X112425881Y-138962664D01* +X112358967Y-138998430D01* +X112286355Y-139020456D01* +X112207733Y-139028200D01* +X111312267Y-139028200D01* +X111233645Y-139020456D01* +X111161033Y-138998430D01* +X111094119Y-138962664D01* +X111035469Y-138914531D01* +X110987336Y-138855881D01* +X110951570Y-138788967D01* +X110929544Y-138716355D01* +X110921800Y-138637733D01* +X110921800Y-131926267D01* +X110929544Y-131847645D01* +X110951570Y-131775033D01* +X110987336Y-131708119D01* +X111035469Y-131649469D01* +X111094119Y-131601336D01* +X111161033Y-131565570D01* +X111233645Y-131543544D01* +X111312267Y-131535800D01* +X112207733Y-131535800D01* +X112286355Y-131543544D01* +G37* +G36* +X109746355Y-131543544D02* +G01* +X109818967Y-131565570D01* +X109885881Y-131601336D01* +X109944531Y-131649469D01* +X109992664Y-131708119D01* +X110028430Y-131775033D01* +X110050456Y-131847645D01* +X110058200Y-131926267D01* +X110058200Y-138637733D01* +X110050456Y-138716355D01* +X110028430Y-138788967D01* +X109992664Y-138855881D01* +X109944531Y-138914531D01* +X109885881Y-138962664D01* +X109818967Y-138998430D01* +X109746355Y-139020456D01* +X109667733Y-139028200D01* +X108772267Y-139028200D01* +X108693645Y-139020456D01* +X108621033Y-138998430D01* +X108554119Y-138962664D01* +X108495469Y-138914531D01* +X108447336Y-138855881D01* +X108411570Y-138788967D01* +X108389544Y-138716355D01* +X108381800Y-138637733D01* +X108381800Y-131926267D01* +X108389544Y-131847645D01* +X108411570Y-131775033D01* +X108447336Y-131708119D01* +X108495469Y-131649469D01* +X108554119Y-131601336D01* +X108621033Y-131565570D01* +X108693645Y-131543544D01* +X108772267Y-131535800D01* +X109667733Y-131535800D01* +X109746355Y-131543544D01* +G37* +G36* +X107206355Y-131543544D02* +G01* +X107278967Y-131565570D01* +X107345881Y-131601336D01* +X107404531Y-131649469D01* +X107452664Y-131708119D01* +X107488430Y-131775033D01* +X107510456Y-131847645D01* +X107518200Y-131926267D01* +X107518200Y-138637733D01* +X107510456Y-138716355D01* +X107488430Y-138788967D01* +X107452664Y-138855881D01* +X107404531Y-138914531D01* +X107345881Y-138962664D01* +X107278967Y-138998430D01* +X107206355Y-139020456D01* +X107127733Y-139028200D01* +X106232267Y-139028200D01* +X106153645Y-139020456D01* +X106081033Y-138998430D01* +X106014119Y-138962664D01* +X105955469Y-138914531D01* +X105907336Y-138855881D01* +X105871570Y-138788967D01* +X105849544Y-138716355D01* +X105841800Y-138637733D01* +X105841800Y-131926267D01* +X105849544Y-131847645D01* +X105871570Y-131775033D01* +X105907336Y-131708119D01* +X105955469Y-131649469D01* +X106014119Y-131601336D01* +X106081033Y-131565570D01* +X106153645Y-131543544D01* +X106232267Y-131535800D01* +X107127733Y-131535800D01* +X107206355Y-131543544D01* +G37* +G36* +X104666355Y-131543544D02* +G01* +X104738967Y-131565570D01* +X104805881Y-131601336D01* +X104864531Y-131649469D01* +X104912664Y-131708119D01* +X104948430Y-131775033D01* +X104970456Y-131847645D01* +X104978200Y-131926267D01* +X104978200Y-138637733D01* +X104970456Y-138716355D01* +X104948430Y-138788967D01* +X104912664Y-138855881D01* +X104864531Y-138914531D01* +X104805881Y-138962664D01* +X104738967Y-138998430D01* +X104666355Y-139020456D01* +X104587733Y-139028200D01* +X103692267Y-139028200D01* +X103613645Y-139020456D01* +X103541033Y-138998430D01* +X103474119Y-138962664D01* +X103415469Y-138914531D01* +X103367336Y-138855881D01* +X103331570Y-138788967D01* +X103309544Y-138716355D01* +X103301800Y-138637733D01* +X103301800Y-131926267D01* +X103309544Y-131847645D01* +X103331570Y-131775033D01* +X103367336Y-131708119D01* +X103415469Y-131649469D01* +X103474119Y-131601336D01* +X103541033Y-131565570D01* +X103613645Y-131543544D01* +X103692267Y-131535800D01* +X104587733Y-131535800D01* +X104666355Y-131543544D01* +G37* +G36* +X102126355Y-131543544D02* +G01* +X102198967Y-131565570D01* +X102265881Y-131601336D01* +X102324531Y-131649469D01* +X102372664Y-131708119D01* +X102408430Y-131775033D01* +X102430456Y-131847645D01* +X102438200Y-131926267D01* +X102438200Y-138637733D01* +X102430456Y-138716355D01* +X102408430Y-138788967D01* +X102372664Y-138855881D01* +X102324531Y-138914531D01* +X102265881Y-138962664D01* +X102198967Y-138998430D01* +X102126355Y-139020456D01* +X102047733Y-139028200D01* +X101152267Y-139028200D01* +X101073645Y-139020456D01* +X101001033Y-138998430D01* +X100934119Y-138962664D01* +X100875469Y-138914531D01* +X100827336Y-138855881D01* +X100791570Y-138788967D01* +X100769544Y-138716355D01* +X100761800Y-138637733D01* +X100761800Y-131926267D01* +X100769544Y-131847645D01* +X100791570Y-131775033D01* +X100827336Y-131708119D01* +X100875469Y-131649469D01* +X100934119Y-131601336D01* +X101001033Y-131565570D01* +X101073645Y-131543544D01* +X101152267Y-131535800D01* +X102047733Y-131535800D01* +X102126355Y-131543544D01* +G37* +G36* +X99586355Y-131543544D02* +G01* +X99658967Y-131565570D01* +X99725881Y-131601336D01* +X99784531Y-131649469D01* +X99832664Y-131708119D01* +X99868430Y-131775033D01* +X99890456Y-131847645D01* +X99898200Y-131926267D01* +X99898200Y-138637733D01* +X99890456Y-138716355D01* +X99868430Y-138788967D01* +X99832664Y-138855881D01* +X99784531Y-138914531D01* +X99725881Y-138962664D01* +X99658967Y-138998430D01* +X99586355Y-139020456D01* +X99507733Y-139028200D01* +X98612267Y-139028200D01* +X98533645Y-139020456D01* +X98461033Y-138998430D01* +X98394119Y-138962664D01* +X98335469Y-138914531D01* +X98287336Y-138855881D01* +X98251570Y-138788967D01* +X98229544Y-138716355D01* +X98221800Y-138637733D01* +X98221800Y-131926267D01* +X98229544Y-131847645D01* +X98251570Y-131775033D01* +X98287336Y-131708119D01* +X98335469Y-131649469D01* +X98394119Y-131601336D01* +X98461033Y-131565570D01* +X98533645Y-131543544D01* +X98612267Y-131535800D01* +X99507733Y-131535800D01* +X99586355Y-131543544D01* +G37* +G36* +X97046355Y-131543544D02* +G01* +X97118967Y-131565570D01* +X97185881Y-131601336D01* +X97244531Y-131649469D01* +X97292664Y-131708119D01* +X97328430Y-131775033D01* +X97350456Y-131847645D01* +X97358200Y-131926267D01* +X97358200Y-138637733D01* +X97350456Y-138716355D01* +X97328430Y-138788967D01* +X97292664Y-138855881D01* +X97244531Y-138914531D01* +X97185881Y-138962664D01* +X97118967Y-138998430D01* +X97046355Y-139020456D01* +X96967733Y-139028200D01* +X96072267Y-139028200D01* +X95993645Y-139020456D01* +X95921033Y-138998430D01* +X95854119Y-138962664D01* +X95795469Y-138914531D01* +X95747336Y-138855881D01* +X95711570Y-138788967D01* +X95689544Y-138716355D01* +X95681800Y-138637733D01* +X95681800Y-131926267D01* +X95689544Y-131847645D01* +X95711570Y-131775033D01* +X95747336Y-131708119D01* +X95795469Y-131649469D01* +X95854119Y-131601336D01* +X95921033Y-131565570D01* +X95993645Y-131543544D01* +X96072267Y-131535800D01* +X96967733Y-131535800D01* +X97046355Y-131543544D01* +G37* +G36* +X94506355Y-131543544D02* +G01* +X94578967Y-131565570D01* +X94645881Y-131601336D01* +X94704531Y-131649469D01* +X94752664Y-131708119D01* +X94788430Y-131775033D01* +X94810456Y-131847645D01* +X94818200Y-131926267D01* +X94818200Y-138637733D01* +X94810456Y-138716355D01* +X94788430Y-138788967D01* +X94752664Y-138855881D01* +X94704531Y-138914531D01* +X94645881Y-138962664D01* +X94578967Y-138998430D01* +X94506355Y-139020456D01* +X94427733Y-139028200D01* +X93532267Y-139028200D01* +X93453645Y-139020456D01* +X93381033Y-138998430D01* +X93314119Y-138962664D01* +X93255469Y-138914531D01* +X93207336Y-138855881D01* +X93171570Y-138788967D01* +X93149544Y-138716355D01* +X93141800Y-138637733D01* +X93141800Y-131926267D01* +X93149544Y-131847645D01* +X93171570Y-131775033D01* +X93207336Y-131708119D01* +X93255469Y-131649469D01* +X93314119Y-131601336D01* +X93381033Y-131565570D01* +X93453645Y-131543544D01* +X93532267Y-131535800D01* +X94427733Y-131535800D01* +X94506355Y-131543544D01* +G37* +G36* +X91966355Y-131543544D02* +G01* +X92038967Y-131565570D01* +X92105881Y-131601336D01* +X92164531Y-131649469D01* +X92212664Y-131708119D01* +X92248430Y-131775033D01* +X92270456Y-131847645D01* +X92278200Y-131926267D01* +X92278200Y-138637733D01* +X92270456Y-138716355D01* +X92248430Y-138788967D01* +X92212664Y-138855881D01* +X92164531Y-138914531D01* +X92105881Y-138962664D01* +X92038967Y-138998430D01* +X91966355Y-139020456D01* +X91887733Y-139028200D01* +X90992267Y-139028200D01* +X90913645Y-139020456D01* +X90841033Y-138998430D01* +X90774119Y-138962664D01* +X90715469Y-138914531D01* +X90667336Y-138855881D01* +X90631570Y-138788967D01* +X90609544Y-138716355D01* +X90601800Y-138637733D01* +X90601800Y-131926267D01* +X90609544Y-131847645D01* +X90631570Y-131775033D01* +X90667336Y-131708119D01* +X90715469Y-131649469D01* +X90774119Y-131601336D01* +X90841033Y-131565570D01* +X90913645Y-131543544D01* +X90992267Y-131535800D01* +X91887733Y-131535800D01* +X91966355Y-131543544D01* +G37* +G36* +X89426355Y-131543544D02* +G01* +X89498967Y-131565570D01* +X89565881Y-131601336D01* +X89624531Y-131649469D01* +X89672664Y-131708119D01* +X89708430Y-131775033D01* +X89730456Y-131847645D01* +X89738200Y-131926267D01* +X89738200Y-138637733D01* +X89730456Y-138716355D01* +X89708430Y-138788967D01* +X89672664Y-138855881D01* +X89624531Y-138914531D01* +X89565881Y-138962664D01* +X89498967Y-138998430D01* +X89426355Y-139020456D01* +X89347733Y-139028200D01* +X88452267Y-139028200D01* +X88373645Y-139020456D01* +X88301033Y-138998430D01* +X88234119Y-138962664D01* +X88175469Y-138914531D01* +X88127336Y-138855881D01* +X88091570Y-138788967D01* +X88069544Y-138716355D01* +X88061800Y-138637733D01* +X88061800Y-131926267D01* +X88069544Y-131847645D01* +X88091570Y-131775033D01* +X88127336Y-131708119D01* +X88175469Y-131649469D01* +X88234119Y-131601336D01* +X88301033Y-131565570D01* +X88373645Y-131543544D01* +X88452267Y-131535800D01* +X89347733Y-131535800D01* +X89426355Y-131543544D01* +G37* +G36* +X86886355Y-131543544D02* +G01* +X86958967Y-131565570D01* +X87025881Y-131601336D01* +X87084531Y-131649469D01* +X87132664Y-131708119D01* +X87168430Y-131775033D01* +X87190456Y-131847645D01* +X87198200Y-131926267D01* +X87198200Y-138637733D01* +X87190456Y-138716355D01* +X87168430Y-138788967D01* +X87132664Y-138855881D01* +X87084531Y-138914531D01* +X87025881Y-138962664D01* +X86958967Y-138998430D01* +X86886355Y-139020456D01* +X86807733Y-139028200D01* +X85912267Y-139028200D01* +X85833645Y-139020456D01* +X85761033Y-138998430D01* +X85694119Y-138962664D01* +X85635469Y-138914531D01* +X85587336Y-138855881D01* +X85551570Y-138788967D01* +X85529544Y-138716355D01* +X85521800Y-138637733D01* +X85521800Y-131926267D01* +X85529544Y-131847645D01* +X85551570Y-131775033D01* +X85587336Y-131708119D01* +X85635469Y-131649469D01* +X85694119Y-131601336D01* +X85761033Y-131565570D01* +X85833645Y-131543544D01* +X85912267Y-131535800D01* +X86807733Y-131535800D01* +X86886355Y-131543544D01* +G37* +G36* +X84346355Y-131543544D02* +G01* +X84418967Y-131565570D01* +X84485881Y-131601336D01* +X84544531Y-131649469D01* +X84592664Y-131708119D01* +X84628430Y-131775033D01* +X84650456Y-131847645D01* +X84658200Y-131926267D01* +X84658200Y-138637733D01* +X84650456Y-138716355D01* +X84628430Y-138788967D01* +X84592664Y-138855881D01* +X84544531Y-138914531D01* +X84485881Y-138962664D01* +X84418967Y-138998430D01* +X84346355Y-139020456D01* +X84267733Y-139028200D01* +X83372267Y-139028200D01* +X83293645Y-139020456D01* +X83221033Y-138998430D01* +X83154119Y-138962664D01* +X83095469Y-138914531D01* +X83047336Y-138855881D01* +X83011570Y-138788967D01* +X82989544Y-138716355D01* +X82981800Y-138637733D01* +X82981800Y-131926267D01* +X82989544Y-131847645D01* +X83011570Y-131775033D01* +X83047336Y-131708119D01* +X83095469Y-131649469D01* +X83154119Y-131601336D01* +X83221033Y-131565570D01* +X83293645Y-131543544D01* +X83372267Y-131535800D01* +X84267733Y-131535800D01* +X84346355Y-131543544D01* +G37* +G36* +X81806355Y-131543544D02* +G01* +X81878967Y-131565570D01* +X81945881Y-131601336D01* +X82004531Y-131649469D01* +X82052664Y-131708119D01* +X82088430Y-131775033D01* +X82110456Y-131847645D01* +X82118200Y-131926267D01* +X82118200Y-138637733D01* +X82110456Y-138716355D01* +X82088430Y-138788967D01* +X82052664Y-138855881D01* +X82004531Y-138914531D01* +X81945881Y-138962664D01* +X81878967Y-138998430D01* +X81806355Y-139020456D01* +X81727733Y-139028200D01* +X80832267Y-139028200D01* +X80753645Y-139020456D01* +X80681033Y-138998430D01* +X80614119Y-138962664D01* +X80555469Y-138914531D01* +X80507336Y-138855881D01* +X80471570Y-138788967D01* +X80449544Y-138716355D01* +X80441800Y-138637733D01* +X80441800Y-131926267D01* +X80449544Y-131847645D01* +X80471570Y-131775033D01* +X80507336Y-131708119D01* +X80555469Y-131649469D01* +X80614119Y-131601336D01* +X80681033Y-131565570D01* +X80753645Y-131543544D01* +X80832267Y-131535800D01* +X81727733Y-131535800D01* +X81806355Y-131543544D01* +G37* +G36* +X79266355Y-131543544D02* +G01* +X79338967Y-131565570D01* +X79405881Y-131601336D01* +X79464531Y-131649469D01* +X79512664Y-131708119D01* +X79548430Y-131775033D01* +X79570456Y-131847645D01* +X79578200Y-131926267D01* +X79578200Y-138637733D01* +X79570456Y-138716355D01* +X79548430Y-138788967D01* +X79512664Y-138855881D01* +X79464531Y-138914531D01* +X79405881Y-138962664D01* +X79338967Y-138998430D01* +X79266355Y-139020456D01* +X79187733Y-139028200D01* +X78292267Y-139028200D01* +X78213645Y-139020456D01* +X78141033Y-138998430D01* +X78074119Y-138962664D01* +X78015469Y-138914531D01* +X77967336Y-138855881D01* +X77931570Y-138788967D01* +X77909544Y-138716355D01* +X77901800Y-138637733D01* +X77901800Y-131926267D01* +X77909544Y-131847645D01* +X77931570Y-131775033D01* +X77967336Y-131708119D01* +X78015469Y-131649469D01* +X78074119Y-131601336D01* +X78141033Y-131565570D01* +X78213645Y-131543544D01* +X78292267Y-131535800D01* +X79187733Y-131535800D01* +X79266355Y-131543544D01* +G37* +G36* +X76726355Y-131543544D02* +G01* +X76798967Y-131565570D01* +X76865881Y-131601336D01* +X76924531Y-131649469D01* +X76972664Y-131708119D01* +X77008430Y-131775033D01* +X77030456Y-131847645D01* +X77038200Y-131926267D01* +X77038200Y-138637733D01* +X77030456Y-138716355D01* +X77008430Y-138788967D01* +X76972664Y-138855881D01* +X76924531Y-138914531D01* +X76865881Y-138962664D01* +X76798967Y-138998430D01* +X76726355Y-139020456D01* +X76647733Y-139028200D01* +X75752267Y-139028200D01* +X75673645Y-139020456D01* +X75601033Y-138998430D01* +X75534119Y-138962664D01* +X75475469Y-138914531D01* +X75427336Y-138855881D01* +X75391570Y-138788967D01* +X75369544Y-138716355D01* +X75361800Y-138637733D01* +X75361800Y-131926267D01* +X75369544Y-131847645D01* +X75391570Y-131775033D01* +X75427336Y-131708119D01* +X75475469Y-131649469D01* +X75534119Y-131601336D01* +X75601033Y-131565570D01* +X75673645Y-131543544D01* +X75752267Y-131535800D01* +X76647733Y-131535800D01* +X76726355Y-131543544D01* +G37* +G36* +X73211914Y-128505157D02* +G01* +X73407777Y-128586286D01* +X73584033Y-128704057D01* +X73733943Y-128853967D01* +X73851714Y-129030223D01* +X73932843Y-129226086D01* +X73974200Y-129434004D01* +X73974200Y-129645996D01* +X73932843Y-129853914D01* +X73851714Y-130049777D01* +X73733943Y-130226033D01* +X73584033Y-130375943D01* +X73407777Y-130493714D01* +X73211914Y-130574843D01* +X73003996Y-130616200D01* +X72792004Y-130616200D01* +X72584086Y-130574843D01* +X72388223Y-130493714D01* +X72211967Y-130375943D01* +X72062057Y-130226033D01* +X71944286Y-130049777D01* +X71863157Y-129853914D01* +X71821800Y-129645996D01* +X71821800Y-129434004D01* +X71863157Y-129226086D01* +X71944286Y-129030223D01* +X72062057Y-128853967D01* +X72211967Y-128704057D01* +X72388223Y-128586286D01* +X72584086Y-128505157D01* +X72792004Y-128463800D01* +X73003996Y-128463800D01* +X73211914Y-128505157D01* +G37* +G36* +X133663914Y-128505157D02* +G01* +X133859777Y-128586286D01* +X134036033Y-128704057D01* +X134185943Y-128853967D01* +X134303714Y-129030223D01* +X134384843Y-129226086D01* +X134426200Y-129434004D01* +X134426200Y-129645996D01* +X134384843Y-129853914D01* +X134303714Y-130049777D01* +X134185943Y-130226033D01* +X134036033Y-130375943D01* +X133859777Y-130493714D01* +X133663914Y-130574843D01* +X133455996Y-130616200D01* +X133244004Y-130616200D01* +X133036086Y-130574843D01* +X132840223Y-130493714D01* +X132663967Y-130375943D01* +X132514057Y-130226033D01* +X132396286Y-130049777D01* +X132315157Y-129853914D01* +X132273800Y-129645996D01* +X132273800Y-129434004D01* +X132315157Y-129226086D01* +X132396286Y-129030223D01* +X132514057Y-128853967D01* +X132663967Y-128704057D01* +X132840223Y-128586286D01* +X133036086Y-128505157D01* +X133244004Y-128463800D01* +X133455996Y-128463800D01* +X133663914Y-128505157D01* +G37* +G36* +X70671914Y-116694157D02* +G01* +X70867777Y-116775286D01* +X71044033Y-116893057D01* +X71193943Y-117042967D01* +X71311714Y-117219223D01* +X71392843Y-117415086D01* +X71434200Y-117623004D01* +X71434200Y-117834996D01* +X71392843Y-118042914D01* +X71311714Y-118238777D01* +X71193943Y-118415033D01* +X71044033Y-118564943D01* +X70867777Y-118682714D01* +X70671914Y-118763843D01* +X70463996Y-118805200D01* +X70252004Y-118805200D01* +X70044086Y-118763843D01* +X69848223Y-118682714D01* +X69671967Y-118564943D01* +X69522057Y-118415033D01* +X69404286Y-118238777D01* +X69323157Y-118042914D01* +X69281800Y-117834996D01* +X69281800Y-117623004D01* +X69323157Y-117415086D01* +X69404286Y-117219223D01* +X69522057Y-117042967D01* +X69671967Y-116893057D01* +X69848223Y-116775286D01* +X70044086Y-116694157D01* +X70252004Y-116652800D01* +X70463996Y-116652800D01* +X70671914Y-116694157D01* +G37* +G36* +X81847914Y-105518157D02* +G01* +X82043777Y-105599286D01* +X82220033Y-105717057D01* +X82369943Y-105866967D01* +X82487714Y-106043223D01* +X82568843Y-106239086D01* +X82610200Y-106447004D01* +X82610200Y-106658996D01* +X82568843Y-106866914D01* +X82487714Y-107062777D01* +X82369943Y-107239033D01* +X82220033Y-107388943D01* +X82043777Y-107506714D01* +X81847914Y-107587843D01* +X81639996Y-107629200D01* +X81428004Y-107629200D01* +X81220086Y-107587843D01* +X81024223Y-107506714D01* +X80847967Y-107388943D01* +X80698057Y-107239033D01* +X80580286Y-107062777D01* +X80499157Y-106866914D01* +X80457800Y-106658996D01* +X80457800Y-106447004D01* +X80499157Y-106239086D01* +X80580286Y-106043223D01* +X80698057Y-105866967D01* +X80847967Y-105717057D01* +X81024223Y-105599286D01* +X81220086Y-105518157D01* +X81428004Y-105476800D01* +X81639996Y-105476800D01* +X81847914Y-105518157D01* +G37* +G36* +X134679914Y-105518157D02* +G01* +X134875777Y-105599286D01* +X135052033Y-105717057D01* +X135201943Y-105866967D01* +X135319714Y-106043223D01* +X135400843Y-106239086D01* +X135442200Y-106447004D01* +X135442200Y-106658996D01* +X135400843Y-106866914D01* +X135319714Y-107062777D01* +X135201943Y-107239033D01* +X135052033Y-107388943D01* +X134875777Y-107506714D01* +X134679914Y-107587843D01* +X134471996Y-107629200D01* +X134260004Y-107629200D01* +X134052086Y-107587843D01* +X133856223Y-107506714D01* +X133679967Y-107388943D01* +X133530057Y-107239033D01* +X133412286Y-107062777D01* +X133331157Y-106866914D01* +X133289800Y-106658996D01* +X133289800Y-106447004D01* +X133331157Y-106239086D01* +X133412286Y-106043223D01* +X133530057Y-105866967D01* +X133679967Y-105717057D01* +X133856223Y-105599286D01* +X134052086Y-105518157D01* +X134260004Y-105476800D01* +X134471996Y-105476800D01* +X134679914Y-105518157D01* +G37* +M02* diff --git a/gerber/Voyager128-B_SilkS.gbo b/gerber/Voyager128-B_SilkS.gbo new file mode 100644 index 0000000..6e189e3 --- /dev/null +++ b/gerber/Voyager128-B_SilkS.gbo @@ -0,0 +1,2134 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Bot* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.203200*% +%ADD11C,0.200000*% +%ADD12C,0.300000*% +%ADD13C,0.100000*% +%ADD14C,2.152400*% +G04 APERTURE END LIST* +D10* +X117009333Y-129729895D02* +X117009333Y-130310466D01* +X117048038Y-130426580D01* +X117125447Y-130503990D01* +X117241561Y-130542695D01* +X117318971Y-130542695D01* +X116235238Y-130542695D02* +X116622285Y-130542695D01* +X116622285Y-129729895D01* +X115499847Y-130465285D02* +X115538552Y-130503990D01* +X115654666Y-130542695D01* +X115732076Y-130542695D01* +X115848190Y-130503990D01* +X115925600Y-130426580D01* +X115964304Y-130349171D01* +X116003009Y-130194352D01* +X116003009Y-130078238D01* +X115964304Y-129923419D01* +X115925600Y-129846009D01* +X115848190Y-129768600D01* +X115732076Y-129729895D01* +X115654666Y-129729895D01* +X115538552Y-129768600D01* +X115499847Y-129807304D01* +X114919276Y-129729895D02* +X114919276Y-130310466D01* +X114957980Y-130426580D01* +X115035390Y-130503990D01* +X115151504Y-130542695D01* +X115228914Y-130542695D01* +X114145180Y-130542695D02* +X114532228Y-130542695D01* +X114532228Y-129729895D01* +X113409790Y-130465285D02* +X113448495Y-130503990D01* +X113564609Y-130542695D01* +X113642019Y-130542695D01* +X113758133Y-130503990D01* +X113835542Y-130426580D01* +X113874247Y-130349171D01* +X113912952Y-130194352D01* +X113912952Y-130078238D01* +X113874247Y-129923419D01* +X113835542Y-129846009D01* +X113758133Y-129768600D01* +X113642019Y-129729895D01* +X113564609Y-129729895D01* +X113448495Y-129768600D01* +X113409790Y-129807304D01* +X112829219Y-129729895D02* +X112829219Y-130310466D01* +X112867923Y-130426580D01* +X112945333Y-130503990D01* +X113061447Y-130542695D01* +X113138857Y-130542695D01* +X112055123Y-130542695D02* +X112442171Y-130542695D01* +X112442171Y-129729895D01* +X111319733Y-130465285D02* +X111358438Y-130503990D01* +X111474552Y-130542695D01* +X111551961Y-130542695D01* +X111668076Y-130503990D01* +X111745485Y-130426580D01* +X111784190Y-130349171D01* +X111822895Y-130194352D01* +X111822895Y-130078238D01* +X111784190Y-129923419D01* +X111745485Y-129846009D01* +X111668076Y-129768600D01* +X111551961Y-129729895D01* +X111474552Y-129729895D01* +X111358438Y-129768600D01* +X111319733Y-129807304D01* +X78135238Y-127443895D02* +X77593371Y-127443895D01* +X78135238Y-128256695D01* +X77593371Y-128256695D01* +X77283733Y-128256695D02* +X77283733Y-127443895D01* +X76819276Y-128256695D02* +X77167619Y-127792238D01* +X76819276Y-127443895D02* +X77283733Y-127908352D01* +X76432228Y-128217990D02* +X76432228Y-128256695D01* +X76470933Y-128334104D01* +X76509638Y-128372809D01* +X75038857Y-127482600D02* +X75116266Y-127443895D01* +X75232380Y-127443895D01* +X75348495Y-127482600D01* +X75425904Y-127560009D01* +X75464609Y-127637419D01* +X75503314Y-127792238D01* +X75503314Y-127908352D01* +X75464609Y-128063171D01* +X75425904Y-128140580D01* +X75348495Y-128217990D01* +X75232380Y-128256695D01* +X75154971Y-128256695D01* +X75038857Y-128217990D01* +X75000152Y-128179285D01* +X75000152Y-127908352D01* +X75154971Y-127908352D01* +X74380876Y-127830942D02* +X74651809Y-127830942D01* +X74651809Y-128256695D02* +X74651809Y-127443895D01* +X74264761Y-127443895D01* +D11* +X78946681Y-109086423D02* +X78672997Y-109360107D01* +X79322997Y-109668002D02* +X78707208Y-109052213D01* +X78604576Y-109018002D01* +X78501945Y-109052213D01* +X78433524Y-109120634D01* +X78809839Y-110181159D02* +X78844050Y-110078528D01* +X78844050Y-110010107D01* +X78809839Y-109907475D01* +X78604576Y-109702212D01* +X78501945Y-109668002D01* +X78433524Y-109668002D01* +X78330892Y-109702212D01* +X78228261Y-109804844D01* +X78194050Y-109907475D01* +X78194050Y-109975896D01* +X78228261Y-110078528D01* +X78433524Y-110283791D01* +X78536155Y-110318001D01* +X78604576Y-110318001D01* +X78707208Y-110283791D01* +X78809839Y-110181159D01* +X78262471Y-110728527D02* +X77783524Y-110249580D01* +X77920366Y-110386422D02* +X77817735Y-110352212D01* +X77749314Y-110352212D01* +X77646682Y-110386422D01* +X77578261Y-110454843D01* +X77099314Y-111481158D02* +X76757209Y-111823263D01* +X77372998Y-111618000D02* +X76415104Y-111139053D01* +X76894051Y-112096947D01* +X76175631Y-111857474D02* +X76894051Y-112575894D01* +X76209841Y-111891684D02* +X76107210Y-111925895D01* +X75970368Y-112062737D01* +X75936158Y-112165368D01* +X75936158Y-112233789D01* +X75970368Y-112336420D01* +X76175631Y-112541683D01* +X76278262Y-112575894D01* +X76346683Y-112575894D01* +X76449315Y-112541683D01* +X76586157Y-112404841D01* +X76620367Y-112302210D01* +X75525632Y-112507473D02* +X76244052Y-113225893D01* +X75559842Y-112541683D02* +X75457211Y-112575894D01* +X75320369Y-112712736D01* +X75286158Y-112815367D01* +X75286158Y-112883788D01* +X75320369Y-112986420D01* +X75525632Y-113191683D01* +X75628263Y-113225893D01* +X75696684Y-113225893D01* +X75799316Y-113191683D01* +X75936158Y-113054841D01* +X75970368Y-112952209D01* +X75251948Y-113739051D02* +X75286158Y-113636419D01* +X75251948Y-113533788D01* +X74636159Y-112917999D01* +X74670369Y-114252208D02* +X74773001Y-114217998D01* +X74909843Y-114081156D01* +X74944053Y-113978524D01* +X74909843Y-113875893D01* +X74636159Y-113602209D01* +X74533527Y-113567998D01* +X74430896Y-113602209D01* +X74294054Y-113739051D01* +X74259843Y-113841682D01* +X74294054Y-113944314D01* +X74362475Y-114012735D01* +X74773001Y-113739051D01* +X73815107Y-115175892D02* +X73096686Y-114457471D01* +X73473002Y-115517997D02* +X72754581Y-114799576D01* +D12* +X79045640Y-107651027D02* +X79611326Y-107085342D01* +X79328483Y-107368185D02* +X78338533Y-106378235D01* +X78574236Y-106425376D01* +X78762797Y-106425376D01* +X78904219Y-106378235D01* +X77772848Y-107132482D02* +X77678567Y-107132482D01* +X77537146Y-107179623D01* +X77301443Y-107415325D01* +X77254303Y-107556746D01* +X77254303Y-107651027D01* +X77301443Y-107792449D01* +X77395724Y-107886730D01* +X77584286Y-107981011D01* +X78715657Y-107981011D01* +X78102831Y-108593836D01* +X76971460Y-108593836D02* +X77018601Y-108452415D01* +X77018601Y-108358134D01* +X76971460Y-108216713D01* +X76924320Y-108169572D01* +X76782898Y-108122432D01* +X76688618Y-108122432D01* +X76547196Y-108169572D01* +X76358634Y-108358134D01* +X76311494Y-108499556D01* +X76311494Y-108593836D01* +X76358634Y-108735258D01* +X76405775Y-108782398D01* +X76547196Y-108829539D01* +X76641477Y-108829539D01* +X76782898Y-108782398D01* +X76971460Y-108593836D01* +X77112882Y-108546696D01* +X77207163Y-108546696D01* +X77348584Y-108593836D01* +X77537146Y-108782398D01* +X77584286Y-108923820D01* +X77584286Y-109018101D01* +X77537146Y-109159522D01* +X77348584Y-109348084D01* +X77207163Y-109395224D01* +X77112882Y-109395224D01* +X76971460Y-109348084D01* +X76782898Y-109159522D01* +X76735758Y-109018101D01* +X76735758Y-108923820D01* +X76782898Y-108782398D01* +X75981511Y-110715157D02* +X74991561Y-109725207D01* +X75510106Y-110432314D02* +X75604387Y-111092280D01* +X74944421Y-110432314D02* +X75698668Y-110432314D01* +X74331595Y-111327983D02* +X74237314Y-111516544D01* +X74237314Y-111610825D01* +X74284455Y-111752247D01* +X74425876Y-111893668D01* +X74567297Y-111940809D01* +X74661578Y-111940809D01* +X74803000Y-111893668D01* +X75180123Y-111516544D01* +X74190174Y-110526595D01* +X73860190Y-110856578D01* +X73813050Y-110998000D01* +X73813050Y-111092280D01* +X73860190Y-111233702D01* +X73954471Y-111327983D01* +X74095893Y-111375123D01* +X74190174Y-111375123D01* +X74331595Y-111327983D01* +X74661578Y-110998000D01* +X72870241Y-113826427D02* +X72728820Y-113025039D01* +X73435926Y-113260741D02* +X72445977Y-112270792D01* +X72068853Y-112647915D01* +X72021713Y-112789337D01* +X72021713Y-112883618D01* +X72068853Y-113025039D01* +X72210275Y-113166460D01* +X72351696Y-113213601D01* +X72445977Y-113213601D01* +X72587398Y-113166460D01* +X72964522Y-112789337D01* +X72210275Y-113920708D02* +X71738870Y-114392112D01* +X72587398Y-114109269D02* +X71267466Y-113449303D01* +X71927432Y-114769236D01* +X71597449Y-115099219D02* +X70607499Y-114109269D01* +X70984623Y-115146359D01* +X69947533Y-114769236D01* +X70937482Y-115759185D01* +%LPC*% +D13* +G36* +X139700000Y-139446000D02* +G01* +X139192000Y-139954000D01* +X74168000Y-139954000D01* +X73660000Y-139446000D01* +X73660000Y-132080000D01* +X139700000Y-132080000D01* +X139700000Y-139446000D01* +G37* +G36* +X137620179Y-131537818D02* +G01* +X137660862Y-131543853D01* +X137700758Y-131553846D01* +X137739483Y-131567702D01* +X137776662Y-131585287D01* +X137811939Y-131606431D01* +X137844974Y-131630931D01* +X137875448Y-131658552D01* +X137903069Y-131689026D01* +X137927569Y-131722061D01* +X137948713Y-131757338D01* +X137966298Y-131794517D01* +X137980154Y-131833242D01* +X137990147Y-131873138D01* +X137996182Y-131913821D01* +X137998200Y-131954900D01* +X137998200Y-138609100D01* +X137996182Y-138650179D01* +X137990147Y-138690862D01* +X137980154Y-138730758D01* +X137966298Y-138769483D01* +X137948713Y-138806662D01* +X137927569Y-138841939D01* +X137903069Y-138874974D01* +X137875448Y-138905448D01* +X137844974Y-138933069D01* +X137811939Y-138957569D01* +X137776662Y-138978713D01* +X137739483Y-138996298D01* +X137700758Y-139010154D01* +X137660862Y-139020147D01* +X137620179Y-139026182D01* +X137579100Y-139028200D01* +X136740900Y-139028200D01* +X136699821Y-139026182D01* +X136659138Y-139020147D01* +X136619242Y-139010154D01* +X136580517Y-138996298D01* +X136543338Y-138978713D01* +X136508061Y-138957569D01* +X136475026Y-138933069D01* +X136444552Y-138905448D01* +X136416931Y-138874974D01* +X136392431Y-138841939D01* +X136371287Y-138806662D01* +X136353702Y-138769483D01* +X136339846Y-138730758D01* +X136329853Y-138690862D01* +X136323818Y-138650179D01* +X136321800Y-138609100D01* +X136321800Y-131954900D01* +X136323818Y-131913821D01* +X136329853Y-131873138D01* +X136339846Y-131833242D01* +X136353702Y-131794517D01* +X136371287Y-131757338D01* +X136392431Y-131722061D01* +X136416931Y-131689026D01* +X136444552Y-131658552D01* +X136475026Y-131630931D01* +X136508061Y-131606431D01* +X136543338Y-131585287D01* +X136580517Y-131567702D01* +X136619242Y-131553846D01* +X136659138Y-131543853D01* +X136699821Y-131537818D01* +X136740900Y-131535800D01* +X137579100Y-131535800D01* +X137620179Y-131537818D01* +G37* +G36* +X135080179Y-131537818D02* +G01* +X135120862Y-131543853D01* +X135160758Y-131553846D01* +X135199483Y-131567702D01* +X135236662Y-131585287D01* +X135271939Y-131606431D01* +X135304974Y-131630931D01* +X135335448Y-131658552D01* +X135363069Y-131689026D01* +X135387569Y-131722061D01* +X135408713Y-131757338D01* +X135426298Y-131794517D01* +X135440154Y-131833242D01* +X135450147Y-131873138D01* +X135456182Y-131913821D01* +X135458200Y-131954900D01* +X135458200Y-138609100D01* +X135456182Y-138650179D01* +X135450147Y-138690862D01* +X135440154Y-138730758D01* +X135426298Y-138769483D01* +X135408713Y-138806662D01* +X135387569Y-138841939D01* +X135363069Y-138874974D01* +X135335448Y-138905448D01* +X135304974Y-138933069D01* +X135271939Y-138957569D01* +X135236662Y-138978713D01* +X135199483Y-138996298D01* +X135160758Y-139010154D01* +X135120862Y-139020147D01* +X135080179Y-139026182D01* +X135039100Y-139028200D01* +X134200900Y-139028200D01* +X134159821Y-139026182D01* +X134119138Y-139020147D01* +X134079242Y-139010154D01* +X134040517Y-138996298D01* +X134003338Y-138978713D01* +X133968061Y-138957569D01* +X133935026Y-138933069D01* +X133904552Y-138905448D01* +X133876931Y-138874974D01* +X133852431Y-138841939D01* +X133831287Y-138806662D01* +X133813702Y-138769483D01* +X133799846Y-138730758D01* +X133789853Y-138690862D01* +X133783818Y-138650179D01* +X133781800Y-138609100D01* +X133781800Y-131954900D01* +X133783818Y-131913821D01* +X133789853Y-131873138D01* +X133799846Y-131833242D01* +X133813702Y-131794517D01* +X133831287Y-131757338D01* +X133852431Y-131722061D01* +X133876931Y-131689026D01* +X133904552Y-131658552D01* +X133935026Y-131630931D01* +X133968061Y-131606431D01* +X134003338Y-131585287D01* +X134040517Y-131567702D01* +X134079242Y-131553846D01* +X134119138Y-131543853D01* +X134159821Y-131537818D01* +X134200900Y-131535800D01* +X135039100Y-131535800D01* +X135080179Y-131537818D01* +G37* +G36* +X132540179Y-131537818D02* +G01* +X132580862Y-131543853D01* +X132620758Y-131553846D01* +X132659483Y-131567702D01* +X132696662Y-131585287D01* +X132731939Y-131606431D01* +X132764974Y-131630931D01* +X132795448Y-131658552D01* +X132823069Y-131689026D01* +X132847569Y-131722061D01* +X132868713Y-131757338D01* +X132886298Y-131794517D01* +X132900154Y-131833242D01* +X132910147Y-131873138D01* +X132916182Y-131913821D01* +X132918200Y-131954900D01* +X132918200Y-138609100D01* +X132916182Y-138650179D01* +X132910147Y-138690862D01* +X132900154Y-138730758D01* +X132886298Y-138769483D01* +X132868713Y-138806662D01* +X132847569Y-138841939D01* +X132823069Y-138874974D01* +X132795448Y-138905448D01* +X132764974Y-138933069D01* +X132731939Y-138957569D01* +X132696662Y-138978713D01* +X132659483Y-138996298D01* +X132620758Y-139010154D01* +X132580862Y-139020147D01* +X132540179Y-139026182D01* +X132499100Y-139028200D01* +X131660900Y-139028200D01* +X131619821Y-139026182D01* +X131579138Y-139020147D01* +X131539242Y-139010154D01* +X131500517Y-138996298D01* +X131463338Y-138978713D01* +X131428061Y-138957569D01* +X131395026Y-138933069D01* +X131364552Y-138905448D01* +X131336931Y-138874974D01* +X131312431Y-138841939D01* +X131291287Y-138806662D01* +X131273702Y-138769483D01* +X131259846Y-138730758D01* +X131249853Y-138690862D01* +X131243818Y-138650179D01* +X131241800Y-138609100D01* +X131241800Y-131954900D01* +X131243818Y-131913821D01* +X131249853Y-131873138D01* +X131259846Y-131833242D01* +X131273702Y-131794517D01* +X131291287Y-131757338D01* +X131312431Y-131722061D01* +X131336931Y-131689026D01* +X131364552Y-131658552D01* +X131395026Y-131630931D01* +X131428061Y-131606431D01* +X131463338Y-131585287D01* +X131500517Y-131567702D01* +X131539242Y-131553846D01* +X131579138Y-131543853D01* +X131619821Y-131537818D01* +X131660900Y-131535800D01* +X132499100Y-131535800D01* +X132540179Y-131537818D01* +G37* +G36* +X76660179Y-131537818D02* +G01* +X76700862Y-131543853D01* +X76740758Y-131553846D01* +X76779483Y-131567702D01* +X76816662Y-131585287D01* +X76851939Y-131606431D01* +X76884974Y-131630931D01* +X76915448Y-131658552D01* +X76943069Y-131689026D01* +X76967569Y-131722061D01* +X76988713Y-131757338D01* +X77006298Y-131794517D01* +X77020154Y-131833242D01* +X77030147Y-131873138D01* +X77036182Y-131913821D01* +X77038200Y-131954900D01* +X77038200Y-138609100D01* +X77036182Y-138650179D01* +X77030147Y-138690862D01* +X77020154Y-138730758D01* +X77006298Y-138769483D01* +X76988713Y-138806662D01* +X76967569Y-138841939D01* +X76943069Y-138874974D01* +X76915448Y-138905448D01* +X76884974Y-138933069D01* +X76851939Y-138957569D01* +X76816662Y-138978713D01* +X76779483Y-138996298D01* +X76740758Y-139010154D01* +X76700862Y-139020147D01* +X76660179Y-139026182D01* +X76619100Y-139028200D01* +X75780900Y-139028200D01* +X75739821Y-139026182D01* +X75699138Y-139020147D01* +X75659242Y-139010154D01* +X75620517Y-138996298D01* +X75583338Y-138978713D01* +X75548061Y-138957569D01* +X75515026Y-138933069D01* +X75484552Y-138905448D01* +X75456931Y-138874974D01* +X75432431Y-138841939D01* +X75411287Y-138806662D01* +X75393702Y-138769483D01* +X75379846Y-138730758D01* +X75369853Y-138690862D01* +X75363818Y-138650179D01* +X75361800Y-138609100D01* +X75361800Y-131954900D01* +X75363818Y-131913821D01* +X75369853Y-131873138D01* +X75379846Y-131833242D01* +X75393702Y-131794517D01* +X75411287Y-131757338D01* +X75432431Y-131722061D01* +X75456931Y-131689026D01* +X75484552Y-131658552D01* +X75515026Y-131630931D01* +X75548061Y-131606431D01* +X75583338Y-131585287D01* +X75620517Y-131567702D01* +X75659242Y-131553846D01* +X75699138Y-131543853D01* +X75739821Y-131537818D01* +X75780900Y-131535800D01* +X76619100Y-131535800D01* +X76660179Y-131537818D01* +G37* +G36* +X79200179Y-131537818D02* +G01* +X79240862Y-131543853D01* +X79280758Y-131553846D01* +X79319483Y-131567702D01* +X79356662Y-131585287D01* +X79391939Y-131606431D01* +X79424974Y-131630931D01* +X79455448Y-131658552D01* +X79483069Y-131689026D01* +X79507569Y-131722061D01* +X79528713Y-131757338D01* +X79546298Y-131794517D01* +X79560154Y-131833242D01* +X79570147Y-131873138D01* +X79576182Y-131913821D01* +X79578200Y-131954900D01* +X79578200Y-138609100D01* +X79576182Y-138650179D01* +X79570147Y-138690862D01* +X79560154Y-138730758D01* +X79546298Y-138769483D01* +X79528713Y-138806662D01* +X79507569Y-138841939D01* +X79483069Y-138874974D01* +X79455448Y-138905448D01* +X79424974Y-138933069D01* +X79391939Y-138957569D01* +X79356662Y-138978713D01* +X79319483Y-138996298D01* +X79280758Y-139010154D01* +X79240862Y-139020147D01* +X79200179Y-139026182D01* +X79159100Y-139028200D01* +X78320900Y-139028200D01* +X78279821Y-139026182D01* +X78239138Y-139020147D01* +X78199242Y-139010154D01* +X78160517Y-138996298D01* +X78123338Y-138978713D01* +X78088061Y-138957569D01* +X78055026Y-138933069D01* +X78024552Y-138905448D01* +X77996931Y-138874974D01* +X77972431Y-138841939D01* +X77951287Y-138806662D01* +X77933702Y-138769483D01* +X77919846Y-138730758D01* +X77909853Y-138690862D01* +X77903818Y-138650179D01* +X77901800Y-138609100D01* +X77901800Y-131954900D01* +X77903818Y-131913821D01* +X77909853Y-131873138D01* +X77919846Y-131833242D01* +X77933702Y-131794517D01* +X77951287Y-131757338D01* +X77972431Y-131722061D01* +X77996931Y-131689026D01* +X78024552Y-131658552D01* +X78055026Y-131630931D01* +X78088061Y-131606431D01* +X78123338Y-131585287D01* +X78160517Y-131567702D01* +X78199242Y-131553846D01* +X78239138Y-131543853D01* +X78279821Y-131537818D01* +X78320900Y-131535800D01* +X79159100Y-131535800D01* +X79200179Y-131537818D01* +G37* +G36* +X81740179Y-131537818D02* +G01* +X81780862Y-131543853D01* +X81820758Y-131553846D01* +X81859483Y-131567702D01* +X81896662Y-131585287D01* +X81931939Y-131606431D01* +X81964974Y-131630931D01* +X81995448Y-131658552D01* +X82023069Y-131689026D01* +X82047569Y-131722061D01* +X82068713Y-131757338D01* +X82086298Y-131794517D01* +X82100154Y-131833242D01* +X82110147Y-131873138D01* +X82116182Y-131913821D01* +X82118200Y-131954900D01* +X82118200Y-138609100D01* +X82116182Y-138650179D01* +X82110147Y-138690862D01* +X82100154Y-138730758D01* +X82086298Y-138769483D01* +X82068713Y-138806662D01* +X82047569Y-138841939D01* +X82023069Y-138874974D01* +X81995448Y-138905448D01* +X81964974Y-138933069D01* +X81931939Y-138957569D01* +X81896662Y-138978713D01* +X81859483Y-138996298D01* +X81820758Y-139010154D01* +X81780862Y-139020147D01* +X81740179Y-139026182D01* +X81699100Y-139028200D01* +X80860900Y-139028200D01* +X80819821Y-139026182D01* +X80779138Y-139020147D01* +X80739242Y-139010154D01* +X80700517Y-138996298D01* +X80663338Y-138978713D01* +X80628061Y-138957569D01* +X80595026Y-138933069D01* +X80564552Y-138905448D01* +X80536931Y-138874974D01* +X80512431Y-138841939D01* +X80491287Y-138806662D01* +X80473702Y-138769483D01* +X80459846Y-138730758D01* +X80449853Y-138690862D01* +X80443818Y-138650179D01* +X80441800Y-138609100D01* +X80441800Y-131954900D01* +X80443818Y-131913821D01* +X80449853Y-131873138D01* +X80459846Y-131833242D01* +X80473702Y-131794517D01* +X80491287Y-131757338D01* +X80512431Y-131722061D01* +X80536931Y-131689026D01* +X80564552Y-131658552D01* +X80595026Y-131630931D01* +X80628061Y-131606431D01* +X80663338Y-131585287D01* +X80700517Y-131567702D01* +X80739242Y-131553846D01* +X80779138Y-131543853D01* +X80819821Y-131537818D01* +X80860900Y-131535800D01* +X81699100Y-131535800D01* +X81740179Y-131537818D01* +G37* +G36* +X84280179Y-131537818D02* +G01* +X84320862Y-131543853D01* +X84360758Y-131553846D01* +X84399483Y-131567702D01* +X84436662Y-131585287D01* +X84471939Y-131606431D01* +X84504974Y-131630931D01* +X84535448Y-131658552D01* +X84563069Y-131689026D01* +X84587569Y-131722061D01* +X84608713Y-131757338D01* +X84626298Y-131794517D01* +X84640154Y-131833242D01* +X84650147Y-131873138D01* +X84656182Y-131913821D01* +X84658200Y-131954900D01* +X84658200Y-138609100D01* +X84656182Y-138650179D01* +X84650147Y-138690862D01* +X84640154Y-138730758D01* +X84626298Y-138769483D01* +X84608713Y-138806662D01* +X84587569Y-138841939D01* +X84563069Y-138874974D01* +X84535448Y-138905448D01* +X84504974Y-138933069D01* +X84471939Y-138957569D01* +X84436662Y-138978713D01* +X84399483Y-138996298D01* +X84360758Y-139010154D01* +X84320862Y-139020147D01* +X84280179Y-139026182D01* +X84239100Y-139028200D01* +X83400900Y-139028200D01* +X83359821Y-139026182D01* +X83319138Y-139020147D01* +X83279242Y-139010154D01* +X83240517Y-138996298D01* +X83203338Y-138978713D01* +X83168061Y-138957569D01* +X83135026Y-138933069D01* +X83104552Y-138905448D01* +X83076931Y-138874974D01* +X83052431Y-138841939D01* +X83031287Y-138806662D01* +X83013702Y-138769483D01* +X82999846Y-138730758D01* +X82989853Y-138690862D01* +X82983818Y-138650179D01* +X82981800Y-138609100D01* +X82981800Y-131954900D01* +X82983818Y-131913821D01* +X82989853Y-131873138D01* +X82999846Y-131833242D01* +X83013702Y-131794517D01* +X83031287Y-131757338D01* +X83052431Y-131722061D01* +X83076931Y-131689026D01* +X83104552Y-131658552D01* +X83135026Y-131630931D01* +X83168061Y-131606431D01* +X83203338Y-131585287D01* +X83240517Y-131567702D01* +X83279242Y-131553846D01* +X83319138Y-131543853D01* +X83359821Y-131537818D01* +X83400900Y-131535800D01* +X84239100Y-131535800D01* +X84280179Y-131537818D01* +G37* +G36* +X86820179Y-131537818D02* +G01* +X86860862Y-131543853D01* +X86900758Y-131553846D01* +X86939483Y-131567702D01* +X86976662Y-131585287D01* +X87011939Y-131606431D01* +X87044974Y-131630931D01* +X87075448Y-131658552D01* +X87103069Y-131689026D01* +X87127569Y-131722061D01* +X87148713Y-131757338D01* +X87166298Y-131794517D01* +X87180154Y-131833242D01* +X87190147Y-131873138D01* +X87196182Y-131913821D01* +X87198200Y-131954900D01* +X87198200Y-138609100D01* +X87196182Y-138650179D01* +X87190147Y-138690862D01* +X87180154Y-138730758D01* +X87166298Y-138769483D01* +X87148713Y-138806662D01* +X87127569Y-138841939D01* +X87103069Y-138874974D01* +X87075448Y-138905448D01* +X87044974Y-138933069D01* +X87011939Y-138957569D01* +X86976662Y-138978713D01* +X86939483Y-138996298D01* +X86900758Y-139010154D01* +X86860862Y-139020147D01* +X86820179Y-139026182D01* +X86779100Y-139028200D01* +X85940900Y-139028200D01* +X85899821Y-139026182D01* +X85859138Y-139020147D01* +X85819242Y-139010154D01* +X85780517Y-138996298D01* +X85743338Y-138978713D01* +X85708061Y-138957569D01* +X85675026Y-138933069D01* +X85644552Y-138905448D01* +X85616931Y-138874974D01* +X85592431Y-138841939D01* +X85571287Y-138806662D01* +X85553702Y-138769483D01* +X85539846Y-138730758D01* +X85529853Y-138690862D01* +X85523818Y-138650179D01* +X85521800Y-138609100D01* +X85521800Y-131954900D01* +X85523818Y-131913821D01* +X85529853Y-131873138D01* +X85539846Y-131833242D01* +X85553702Y-131794517D01* +X85571287Y-131757338D01* +X85592431Y-131722061D01* +X85616931Y-131689026D01* +X85644552Y-131658552D01* +X85675026Y-131630931D01* +X85708061Y-131606431D01* +X85743338Y-131585287D01* +X85780517Y-131567702D01* +X85819242Y-131553846D01* +X85859138Y-131543853D01* +X85899821Y-131537818D01* +X85940900Y-131535800D01* +X86779100Y-131535800D01* +X86820179Y-131537818D01* +G37* +G36* +X89360179Y-131537818D02* +G01* +X89400862Y-131543853D01* +X89440758Y-131553846D01* +X89479483Y-131567702D01* +X89516662Y-131585287D01* +X89551939Y-131606431D01* +X89584974Y-131630931D01* +X89615448Y-131658552D01* +X89643069Y-131689026D01* +X89667569Y-131722061D01* +X89688713Y-131757338D01* +X89706298Y-131794517D01* +X89720154Y-131833242D01* +X89730147Y-131873138D01* +X89736182Y-131913821D01* +X89738200Y-131954900D01* +X89738200Y-138609100D01* +X89736182Y-138650179D01* +X89730147Y-138690862D01* +X89720154Y-138730758D01* +X89706298Y-138769483D01* +X89688713Y-138806662D01* +X89667569Y-138841939D01* +X89643069Y-138874974D01* +X89615448Y-138905448D01* +X89584974Y-138933069D01* +X89551939Y-138957569D01* +X89516662Y-138978713D01* +X89479483Y-138996298D01* +X89440758Y-139010154D01* +X89400862Y-139020147D01* +X89360179Y-139026182D01* +X89319100Y-139028200D01* +X88480900Y-139028200D01* +X88439821Y-139026182D01* +X88399138Y-139020147D01* +X88359242Y-139010154D01* +X88320517Y-138996298D01* +X88283338Y-138978713D01* +X88248061Y-138957569D01* +X88215026Y-138933069D01* +X88184552Y-138905448D01* +X88156931Y-138874974D01* +X88132431Y-138841939D01* +X88111287Y-138806662D01* +X88093702Y-138769483D01* +X88079846Y-138730758D01* +X88069853Y-138690862D01* +X88063818Y-138650179D01* +X88061800Y-138609100D01* +X88061800Y-131954900D01* +X88063818Y-131913821D01* +X88069853Y-131873138D01* +X88079846Y-131833242D01* +X88093702Y-131794517D01* +X88111287Y-131757338D01* +X88132431Y-131722061D01* +X88156931Y-131689026D01* +X88184552Y-131658552D01* +X88215026Y-131630931D01* +X88248061Y-131606431D01* +X88283338Y-131585287D01* +X88320517Y-131567702D01* +X88359242Y-131553846D01* +X88399138Y-131543853D01* +X88439821Y-131537818D01* +X88480900Y-131535800D01* +X89319100Y-131535800D01* +X89360179Y-131537818D01* +G37* +G36* +X91900179Y-131537818D02* +G01* +X91940862Y-131543853D01* +X91980758Y-131553846D01* +X92019483Y-131567702D01* +X92056662Y-131585287D01* +X92091939Y-131606431D01* +X92124974Y-131630931D01* +X92155448Y-131658552D01* +X92183069Y-131689026D01* +X92207569Y-131722061D01* +X92228713Y-131757338D01* +X92246298Y-131794517D01* +X92260154Y-131833242D01* +X92270147Y-131873138D01* +X92276182Y-131913821D01* +X92278200Y-131954900D01* +X92278200Y-138609100D01* +X92276182Y-138650179D01* +X92270147Y-138690862D01* +X92260154Y-138730758D01* +X92246298Y-138769483D01* +X92228713Y-138806662D01* +X92207569Y-138841939D01* +X92183069Y-138874974D01* +X92155448Y-138905448D01* +X92124974Y-138933069D01* +X92091939Y-138957569D01* +X92056662Y-138978713D01* +X92019483Y-138996298D01* +X91980758Y-139010154D01* +X91940862Y-139020147D01* +X91900179Y-139026182D01* +X91859100Y-139028200D01* +X91020900Y-139028200D01* +X90979821Y-139026182D01* +X90939138Y-139020147D01* +X90899242Y-139010154D01* +X90860517Y-138996298D01* +X90823338Y-138978713D01* +X90788061Y-138957569D01* +X90755026Y-138933069D01* +X90724552Y-138905448D01* +X90696931Y-138874974D01* +X90672431Y-138841939D01* +X90651287Y-138806662D01* +X90633702Y-138769483D01* +X90619846Y-138730758D01* +X90609853Y-138690862D01* +X90603818Y-138650179D01* +X90601800Y-138609100D01* +X90601800Y-131954900D01* +X90603818Y-131913821D01* +X90609853Y-131873138D01* +X90619846Y-131833242D01* +X90633702Y-131794517D01* +X90651287Y-131757338D01* +X90672431Y-131722061D01* +X90696931Y-131689026D01* +X90724552Y-131658552D01* +X90755026Y-131630931D01* +X90788061Y-131606431D01* +X90823338Y-131585287D01* +X90860517Y-131567702D01* +X90899242Y-131553846D01* +X90939138Y-131543853D01* +X90979821Y-131537818D01* +X91020900Y-131535800D01* +X91859100Y-131535800D01* +X91900179Y-131537818D01* +G37* +G36* +X94440179Y-131537818D02* +G01* +X94480862Y-131543853D01* +X94520758Y-131553846D01* +X94559483Y-131567702D01* +X94596662Y-131585287D01* +X94631939Y-131606431D01* +X94664974Y-131630931D01* +X94695448Y-131658552D01* +X94723069Y-131689026D01* +X94747569Y-131722061D01* +X94768713Y-131757338D01* +X94786298Y-131794517D01* +X94800154Y-131833242D01* +X94810147Y-131873138D01* +X94816182Y-131913821D01* +X94818200Y-131954900D01* +X94818200Y-138609100D01* +X94816182Y-138650179D01* +X94810147Y-138690862D01* +X94800154Y-138730758D01* +X94786298Y-138769483D01* +X94768713Y-138806662D01* +X94747569Y-138841939D01* +X94723069Y-138874974D01* +X94695448Y-138905448D01* +X94664974Y-138933069D01* +X94631939Y-138957569D01* +X94596662Y-138978713D01* +X94559483Y-138996298D01* +X94520758Y-139010154D01* +X94480862Y-139020147D01* +X94440179Y-139026182D01* +X94399100Y-139028200D01* +X93560900Y-139028200D01* +X93519821Y-139026182D01* +X93479138Y-139020147D01* +X93439242Y-139010154D01* +X93400517Y-138996298D01* +X93363338Y-138978713D01* +X93328061Y-138957569D01* +X93295026Y-138933069D01* +X93264552Y-138905448D01* +X93236931Y-138874974D01* +X93212431Y-138841939D01* +X93191287Y-138806662D01* +X93173702Y-138769483D01* +X93159846Y-138730758D01* +X93149853Y-138690862D01* +X93143818Y-138650179D01* +X93141800Y-138609100D01* +X93141800Y-131954900D01* +X93143818Y-131913821D01* +X93149853Y-131873138D01* +X93159846Y-131833242D01* +X93173702Y-131794517D01* +X93191287Y-131757338D01* +X93212431Y-131722061D01* +X93236931Y-131689026D01* +X93264552Y-131658552D01* +X93295026Y-131630931D01* +X93328061Y-131606431D01* +X93363338Y-131585287D01* +X93400517Y-131567702D01* +X93439242Y-131553846D01* +X93479138Y-131543853D01* +X93519821Y-131537818D01* +X93560900Y-131535800D01* +X94399100Y-131535800D01* +X94440179Y-131537818D01* +G37* +G36* +X96980179Y-131537818D02* +G01* +X97020862Y-131543853D01* +X97060758Y-131553846D01* +X97099483Y-131567702D01* +X97136662Y-131585287D01* +X97171939Y-131606431D01* +X97204974Y-131630931D01* +X97235448Y-131658552D01* +X97263069Y-131689026D01* +X97287569Y-131722061D01* +X97308713Y-131757338D01* +X97326298Y-131794517D01* +X97340154Y-131833242D01* +X97350147Y-131873138D01* +X97356182Y-131913821D01* +X97358200Y-131954900D01* +X97358200Y-138609100D01* +X97356182Y-138650179D01* +X97350147Y-138690862D01* +X97340154Y-138730758D01* +X97326298Y-138769483D01* +X97308713Y-138806662D01* +X97287569Y-138841939D01* +X97263069Y-138874974D01* +X97235448Y-138905448D01* +X97204974Y-138933069D01* +X97171939Y-138957569D01* +X97136662Y-138978713D01* +X97099483Y-138996298D01* +X97060758Y-139010154D01* +X97020862Y-139020147D01* +X96980179Y-139026182D01* +X96939100Y-139028200D01* +X96100900Y-139028200D01* +X96059821Y-139026182D01* +X96019138Y-139020147D01* +X95979242Y-139010154D01* +X95940517Y-138996298D01* +X95903338Y-138978713D01* +X95868061Y-138957569D01* +X95835026Y-138933069D01* +X95804552Y-138905448D01* +X95776931Y-138874974D01* +X95752431Y-138841939D01* +X95731287Y-138806662D01* +X95713702Y-138769483D01* +X95699846Y-138730758D01* +X95689853Y-138690862D01* +X95683818Y-138650179D01* +X95681800Y-138609100D01* +X95681800Y-131954900D01* +X95683818Y-131913821D01* +X95689853Y-131873138D01* +X95699846Y-131833242D01* +X95713702Y-131794517D01* +X95731287Y-131757338D01* +X95752431Y-131722061D01* +X95776931Y-131689026D01* +X95804552Y-131658552D01* +X95835026Y-131630931D01* +X95868061Y-131606431D01* +X95903338Y-131585287D01* +X95940517Y-131567702D01* +X95979242Y-131553846D01* +X96019138Y-131543853D01* +X96059821Y-131537818D01* +X96100900Y-131535800D01* +X96939100Y-131535800D01* +X96980179Y-131537818D01* +G37* +G36* +X99520179Y-131537818D02* +G01* +X99560862Y-131543853D01* +X99600758Y-131553846D01* +X99639483Y-131567702D01* +X99676662Y-131585287D01* +X99711939Y-131606431D01* +X99744974Y-131630931D01* +X99775448Y-131658552D01* +X99803069Y-131689026D01* +X99827569Y-131722061D01* +X99848713Y-131757338D01* +X99866298Y-131794517D01* +X99880154Y-131833242D01* +X99890147Y-131873138D01* +X99896182Y-131913821D01* +X99898200Y-131954900D01* +X99898200Y-138609100D01* +X99896182Y-138650179D01* +X99890147Y-138690862D01* +X99880154Y-138730758D01* +X99866298Y-138769483D01* +X99848713Y-138806662D01* +X99827569Y-138841939D01* +X99803069Y-138874974D01* +X99775448Y-138905448D01* +X99744974Y-138933069D01* +X99711939Y-138957569D01* +X99676662Y-138978713D01* +X99639483Y-138996298D01* +X99600758Y-139010154D01* +X99560862Y-139020147D01* +X99520179Y-139026182D01* +X99479100Y-139028200D01* +X98640900Y-139028200D01* +X98599821Y-139026182D01* +X98559138Y-139020147D01* +X98519242Y-139010154D01* +X98480517Y-138996298D01* +X98443338Y-138978713D01* +X98408061Y-138957569D01* +X98375026Y-138933069D01* +X98344552Y-138905448D01* +X98316931Y-138874974D01* +X98292431Y-138841939D01* +X98271287Y-138806662D01* +X98253702Y-138769483D01* +X98239846Y-138730758D01* +X98229853Y-138690862D01* +X98223818Y-138650179D01* +X98221800Y-138609100D01* +X98221800Y-131954900D01* +X98223818Y-131913821D01* +X98229853Y-131873138D01* +X98239846Y-131833242D01* +X98253702Y-131794517D01* +X98271287Y-131757338D01* +X98292431Y-131722061D01* +X98316931Y-131689026D01* +X98344552Y-131658552D01* +X98375026Y-131630931D01* +X98408061Y-131606431D01* +X98443338Y-131585287D01* +X98480517Y-131567702D01* +X98519242Y-131553846D01* +X98559138Y-131543853D01* +X98599821Y-131537818D01* +X98640900Y-131535800D01* +X99479100Y-131535800D01* +X99520179Y-131537818D01* +G37* +G36* +X102060179Y-131537818D02* +G01* +X102100862Y-131543853D01* +X102140758Y-131553846D01* +X102179483Y-131567702D01* +X102216662Y-131585287D01* +X102251939Y-131606431D01* +X102284974Y-131630931D01* +X102315448Y-131658552D01* +X102343069Y-131689026D01* +X102367569Y-131722061D01* +X102388713Y-131757338D01* +X102406298Y-131794517D01* +X102420154Y-131833242D01* +X102430147Y-131873138D01* +X102436182Y-131913821D01* +X102438200Y-131954900D01* +X102438200Y-138609100D01* +X102436182Y-138650179D01* +X102430147Y-138690862D01* +X102420154Y-138730758D01* +X102406298Y-138769483D01* +X102388713Y-138806662D01* +X102367569Y-138841939D01* +X102343069Y-138874974D01* +X102315448Y-138905448D01* +X102284974Y-138933069D01* +X102251939Y-138957569D01* +X102216662Y-138978713D01* +X102179483Y-138996298D01* +X102140758Y-139010154D01* +X102100862Y-139020147D01* +X102060179Y-139026182D01* +X102019100Y-139028200D01* +X101180900Y-139028200D01* +X101139821Y-139026182D01* +X101099138Y-139020147D01* +X101059242Y-139010154D01* +X101020517Y-138996298D01* +X100983338Y-138978713D01* +X100948061Y-138957569D01* +X100915026Y-138933069D01* +X100884552Y-138905448D01* +X100856931Y-138874974D01* +X100832431Y-138841939D01* +X100811287Y-138806662D01* +X100793702Y-138769483D01* +X100779846Y-138730758D01* +X100769853Y-138690862D01* +X100763818Y-138650179D01* +X100761800Y-138609100D01* +X100761800Y-131954900D01* +X100763818Y-131913821D01* +X100769853Y-131873138D01* +X100779846Y-131833242D01* +X100793702Y-131794517D01* +X100811287Y-131757338D01* +X100832431Y-131722061D01* +X100856931Y-131689026D01* +X100884552Y-131658552D01* +X100915026Y-131630931D01* +X100948061Y-131606431D01* +X100983338Y-131585287D01* +X101020517Y-131567702D01* +X101059242Y-131553846D01* +X101099138Y-131543853D01* +X101139821Y-131537818D01* +X101180900Y-131535800D01* +X102019100Y-131535800D01* +X102060179Y-131537818D01* +G37* +G36* +X104600179Y-131537818D02* +G01* +X104640862Y-131543853D01* +X104680758Y-131553846D01* +X104719483Y-131567702D01* +X104756662Y-131585287D01* +X104791939Y-131606431D01* +X104824974Y-131630931D01* +X104855448Y-131658552D01* +X104883069Y-131689026D01* +X104907569Y-131722061D01* +X104928713Y-131757338D01* +X104946298Y-131794517D01* +X104960154Y-131833242D01* +X104970147Y-131873138D01* +X104976182Y-131913821D01* +X104978200Y-131954900D01* +X104978200Y-138609100D01* +X104976182Y-138650179D01* +X104970147Y-138690862D01* +X104960154Y-138730758D01* +X104946298Y-138769483D01* +X104928713Y-138806662D01* +X104907569Y-138841939D01* +X104883069Y-138874974D01* +X104855448Y-138905448D01* +X104824974Y-138933069D01* +X104791939Y-138957569D01* +X104756662Y-138978713D01* +X104719483Y-138996298D01* +X104680758Y-139010154D01* +X104640862Y-139020147D01* +X104600179Y-139026182D01* +X104559100Y-139028200D01* +X103720900Y-139028200D01* +X103679821Y-139026182D01* +X103639138Y-139020147D01* +X103599242Y-139010154D01* +X103560517Y-138996298D01* +X103523338Y-138978713D01* +X103488061Y-138957569D01* +X103455026Y-138933069D01* +X103424552Y-138905448D01* +X103396931Y-138874974D01* +X103372431Y-138841939D01* +X103351287Y-138806662D01* +X103333702Y-138769483D01* +X103319846Y-138730758D01* +X103309853Y-138690862D01* +X103303818Y-138650179D01* +X103301800Y-138609100D01* +X103301800Y-131954900D01* +X103303818Y-131913821D01* +X103309853Y-131873138D01* +X103319846Y-131833242D01* +X103333702Y-131794517D01* +X103351287Y-131757338D01* +X103372431Y-131722061D01* +X103396931Y-131689026D01* +X103424552Y-131658552D01* +X103455026Y-131630931D01* +X103488061Y-131606431D01* +X103523338Y-131585287D01* +X103560517Y-131567702D01* +X103599242Y-131553846D01* +X103639138Y-131543853D01* +X103679821Y-131537818D01* +X103720900Y-131535800D01* +X104559100Y-131535800D01* +X104600179Y-131537818D01* +G37* +G36* +X107140179Y-131537818D02* +G01* +X107180862Y-131543853D01* +X107220758Y-131553846D01* +X107259483Y-131567702D01* +X107296662Y-131585287D01* +X107331939Y-131606431D01* +X107364974Y-131630931D01* +X107395448Y-131658552D01* +X107423069Y-131689026D01* +X107447569Y-131722061D01* +X107468713Y-131757338D01* +X107486298Y-131794517D01* +X107500154Y-131833242D01* +X107510147Y-131873138D01* +X107516182Y-131913821D01* +X107518200Y-131954900D01* +X107518200Y-138609100D01* +X107516182Y-138650179D01* +X107510147Y-138690862D01* +X107500154Y-138730758D01* +X107486298Y-138769483D01* +X107468713Y-138806662D01* +X107447569Y-138841939D01* +X107423069Y-138874974D01* +X107395448Y-138905448D01* +X107364974Y-138933069D01* +X107331939Y-138957569D01* +X107296662Y-138978713D01* +X107259483Y-138996298D01* +X107220758Y-139010154D01* +X107180862Y-139020147D01* +X107140179Y-139026182D01* +X107099100Y-139028200D01* +X106260900Y-139028200D01* +X106219821Y-139026182D01* +X106179138Y-139020147D01* +X106139242Y-139010154D01* +X106100517Y-138996298D01* +X106063338Y-138978713D01* +X106028061Y-138957569D01* +X105995026Y-138933069D01* +X105964552Y-138905448D01* +X105936931Y-138874974D01* +X105912431Y-138841939D01* +X105891287Y-138806662D01* +X105873702Y-138769483D01* +X105859846Y-138730758D01* +X105849853Y-138690862D01* +X105843818Y-138650179D01* +X105841800Y-138609100D01* +X105841800Y-131954900D01* +X105843818Y-131913821D01* +X105849853Y-131873138D01* +X105859846Y-131833242D01* +X105873702Y-131794517D01* +X105891287Y-131757338D01* +X105912431Y-131722061D01* +X105936931Y-131689026D01* +X105964552Y-131658552D01* +X105995026Y-131630931D01* +X106028061Y-131606431D01* +X106063338Y-131585287D01* +X106100517Y-131567702D01* +X106139242Y-131553846D01* +X106179138Y-131543853D01* +X106219821Y-131537818D01* +X106260900Y-131535800D01* +X107099100Y-131535800D01* +X107140179Y-131537818D01* +G37* +G36* +X109680179Y-131537818D02* +G01* +X109720862Y-131543853D01* +X109760758Y-131553846D01* +X109799483Y-131567702D01* +X109836662Y-131585287D01* +X109871939Y-131606431D01* +X109904974Y-131630931D01* +X109935448Y-131658552D01* +X109963069Y-131689026D01* +X109987569Y-131722061D01* +X110008713Y-131757338D01* +X110026298Y-131794517D01* +X110040154Y-131833242D01* +X110050147Y-131873138D01* +X110056182Y-131913821D01* +X110058200Y-131954900D01* +X110058200Y-138609100D01* +X110056182Y-138650179D01* +X110050147Y-138690862D01* +X110040154Y-138730758D01* +X110026298Y-138769483D01* +X110008713Y-138806662D01* +X109987569Y-138841939D01* +X109963069Y-138874974D01* +X109935448Y-138905448D01* +X109904974Y-138933069D01* +X109871939Y-138957569D01* +X109836662Y-138978713D01* +X109799483Y-138996298D01* +X109760758Y-139010154D01* +X109720862Y-139020147D01* +X109680179Y-139026182D01* +X109639100Y-139028200D01* +X108800900Y-139028200D01* +X108759821Y-139026182D01* +X108719138Y-139020147D01* +X108679242Y-139010154D01* +X108640517Y-138996298D01* +X108603338Y-138978713D01* +X108568061Y-138957569D01* +X108535026Y-138933069D01* +X108504552Y-138905448D01* +X108476931Y-138874974D01* +X108452431Y-138841939D01* +X108431287Y-138806662D01* +X108413702Y-138769483D01* +X108399846Y-138730758D01* +X108389853Y-138690862D01* +X108383818Y-138650179D01* +X108381800Y-138609100D01* +X108381800Y-131954900D01* +X108383818Y-131913821D01* +X108389853Y-131873138D01* +X108399846Y-131833242D01* +X108413702Y-131794517D01* +X108431287Y-131757338D01* +X108452431Y-131722061D01* +X108476931Y-131689026D01* +X108504552Y-131658552D01* +X108535026Y-131630931D01* +X108568061Y-131606431D01* +X108603338Y-131585287D01* +X108640517Y-131567702D01* +X108679242Y-131553846D01* +X108719138Y-131543853D01* +X108759821Y-131537818D01* +X108800900Y-131535800D01* +X109639100Y-131535800D01* +X109680179Y-131537818D01* +G37* +G36* +X112220179Y-131537818D02* +G01* +X112260862Y-131543853D01* +X112300758Y-131553846D01* +X112339483Y-131567702D01* +X112376662Y-131585287D01* +X112411939Y-131606431D01* +X112444974Y-131630931D01* +X112475448Y-131658552D01* +X112503069Y-131689026D01* +X112527569Y-131722061D01* +X112548713Y-131757338D01* +X112566298Y-131794517D01* +X112580154Y-131833242D01* +X112590147Y-131873138D01* +X112596182Y-131913821D01* +X112598200Y-131954900D01* +X112598200Y-138609100D01* +X112596182Y-138650179D01* +X112590147Y-138690862D01* +X112580154Y-138730758D01* +X112566298Y-138769483D01* +X112548713Y-138806662D01* +X112527569Y-138841939D01* +X112503069Y-138874974D01* +X112475448Y-138905448D01* +X112444974Y-138933069D01* +X112411939Y-138957569D01* +X112376662Y-138978713D01* +X112339483Y-138996298D01* +X112300758Y-139010154D01* +X112260862Y-139020147D01* +X112220179Y-139026182D01* +X112179100Y-139028200D01* +X111340900Y-139028200D01* +X111299821Y-139026182D01* +X111259138Y-139020147D01* +X111219242Y-139010154D01* +X111180517Y-138996298D01* +X111143338Y-138978713D01* +X111108061Y-138957569D01* +X111075026Y-138933069D01* +X111044552Y-138905448D01* +X111016931Y-138874974D01* +X110992431Y-138841939D01* +X110971287Y-138806662D01* +X110953702Y-138769483D01* +X110939846Y-138730758D01* +X110929853Y-138690862D01* +X110923818Y-138650179D01* +X110921800Y-138609100D01* +X110921800Y-131954900D01* +X110923818Y-131913821D01* +X110929853Y-131873138D01* +X110939846Y-131833242D01* +X110953702Y-131794517D01* +X110971287Y-131757338D01* +X110992431Y-131722061D01* +X111016931Y-131689026D01* +X111044552Y-131658552D01* +X111075026Y-131630931D01* +X111108061Y-131606431D01* +X111143338Y-131585287D01* +X111180517Y-131567702D01* +X111219242Y-131553846D01* +X111259138Y-131543853D01* +X111299821Y-131537818D01* +X111340900Y-131535800D01* +X112179100Y-131535800D01* +X112220179Y-131537818D01* +G37* +G36* +X114760179Y-131537818D02* +G01* +X114800862Y-131543853D01* +X114840758Y-131553846D01* +X114879483Y-131567702D01* +X114916662Y-131585287D01* +X114951939Y-131606431D01* +X114984974Y-131630931D01* +X115015448Y-131658552D01* +X115043069Y-131689026D01* +X115067569Y-131722061D01* +X115088713Y-131757338D01* +X115106298Y-131794517D01* +X115120154Y-131833242D01* +X115130147Y-131873138D01* +X115136182Y-131913821D01* +X115138200Y-131954900D01* +X115138200Y-138609100D01* +X115136182Y-138650179D01* +X115130147Y-138690862D01* +X115120154Y-138730758D01* +X115106298Y-138769483D01* +X115088713Y-138806662D01* +X115067569Y-138841939D01* +X115043069Y-138874974D01* +X115015448Y-138905448D01* +X114984974Y-138933069D01* +X114951939Y-138957569D01* +X114916662Y-138978713D01* +X114879483Y-138996298D01* +X114840758Y-139010154D01* +X114800862Y-139020147D01* +X114760179Y-139026182D01* +X114719100Y-139028200D01* +X113880900Y-139028200D01* +X113839821Y-139026182D01* +X113799138Y-139020147D01* +X113759242Y-139010154D01* +X113720517Y-138996298D01* +X113683338Y-138978713D01* +X113648061Y-138957569D01* +X113615026Y-138933069D01* +X113584552Y-138905448D01* +X113556931Y-138874974D01* +X113532431Y-138841939D01* +X113511287Y-138806662D01* +X113493702Y-138769483D01* +X113479846Y-138730758D01* +X113469853Y-138690862D01* +X113463818Y-138650179D01* +X113461800Y-138609100D01* +X113461800Y-131954900D01* +X113463818Y-131913821D01* +X113469853Y-131873138D01* +X113479846Y-131833242D01* +X113493702Y-131794517D01* +X113511287Y-131757338D01* +X113532431Y-131722061D01* +X113556931Y-131689026D01* +X113584552Y-131658552D01* +X113615026Y-131630931D01* +X113648061Y-131606431D01* +X113683338Y-131585287D01* +X113720517Y-131567702D01* +X113759242Y-131553846D01* +X113799138Y-131543853D01* +X113839821Y-131537818D01* +X113880900Y-131535800D01* +X114719100Y-131535800D01* +X114760179Y-131537818D01* +G37* +G36* +X117300179Y-131537818D02* +G01* +X117340862Y-131543853D01* +X117380758Y-131553846D01* +X117419483Y-131567702D01* +X117456662Y-131585287D01* +X117491939Y-131606431D01* +X117524974Y-131630931D01* +X117555448Y-131658552D01* +X117583069Y-131689026D01* +X117607569Y-131722061D01* +X117628713Y-131757338D01* +X117646298Y-131794517D01* +X117660154Y-131833242D01* +X117670147Y-131873138D01* +X117676182Y-131913821D01* +X117678200Y-131954900D01* +X117678200Y-138609100D01* +X117676182Y-138650179D01* +X117670147Y-138690862D01* +X117660154Y-138730758D01* +X117646298Y-138769483D01* +X117628713Y-138806662D01* +X117607569Y-138841939D01* +X117583069Y-138874974D01* +X117555448Y-138905448D01* +X117524974Y-138933069D01* +X117491939Y-138957569D01* +X117456662Y-138978713D01* +X117419483Y-138996298D01* +X117380758Y-139010154D01* +X117340862Y-139020147D01* +X117300179Y-139026182D01* +X117259100Y-139028200D01* +X116420900Y-139028200D01* +X116379821Y-139026182D01* +X116339138Y-139020147D01* +X116299242Y-139010154D01* +X116260517Y-138996298D01* +X116223338Y-138978713D01* +X116188061Y-138957569D01* +X116155026Y-138933069D01* +X116124552Y-138905448D01* +X116096931Y-138874974D01* +X116072431Y-138841939D01* +X116051287Y-138806662D01* +X116033702Y-138769483D01* +X116019846Y-138730758D01* +X116009853Y-138690862D01* +X116003818Y-138650179D01* +X116001800Y-138609100D01* +X116001800Y-131954900D01* +X116003818Y-131913821D01* +X116009853Y-131873138D01* +X116019846Y-131833242D01* +X116033702Y-131794517D01* +X116051287Y-131757338D01* +X116072431Y-131722061D01* +X116096931Y-131689026D01* +X116124552Y-131658552D01* +X116155026Y-131630931D01* +X116188061Y-131606431D01* +X116223338Y-131585287D01* +X116260517Y-131567702D01* +X116299242Y-131553846D01* +X116339138Y-131543853D01* +X116379821Y-131537818D01* +X116420900Y-131535800D01* +X117259100Y-131535800D01* +X117300179Y-131537818D01* +G37* +G36* +X119840179Y-131537818D02* +G01* +X119880862Y-131543853D01* +X119920758Y-131553846D01* +X119959483Y-131567702D01* +X119996662Y-131585287D01* +X120031939Y-131606431D01* +X120064974Y-131630931D01* +X120095448Y-131658552D01* +X120123069Y-131689026D01* +X120147569Y-131722061D01* +X120168713Y-131757338D01* +X120186298Y-131794517D01* +X120200154Y-131833242D01* +X120210147Y-131873138D01* +X120216182Y-131913821D01* +X120218200Y-131954900D01* +X120218200Y-138609100D01* +X120216182Y-138650179D01* +X120210147Y-138690862D01* +X120200154Y-138730758D01* +X120186298Y-138769483D01* +X120168713Y-138806662D01* +X120147569Y-138841939D01* +X120123069Y-138874974D01* +X120095448Y-138905448D01* +X120064974Y-138933069D01* +X120031939Y-138957569D01* +X119996662Y-138978713D01* +X119959483Y-138996298D01* +X119920758Y-139010154D01* +X119880862Y-139020147D01* +X119840179Y-139026182D01* +X119799100Y-139028200D01* +X118960900Y-139028200D01* +X118919821Y-139026182D01* +X118879138Y-139020147D01* +X118839242Y-139010154D01* +X118800517Y-138996298D01* +X118763338Y-138978713D01* +X118728061Y-138957569D01* +X118695026Y-138933069D01* +X118664552Y-138905448D01* +X118636931Y-138874974D01* +X118612431Y-138841939D01* +X118591287Y-138806662D01* +X118573702Y-138769483D01* +X118559846Y-138730758D01* +X118549853Y-138690862D01* +X118543818Y-138650179D01* +X118541800Y-138609100D01* +X118541800Y-131954900D01* +X118543818Y-131913821D01* +X118549853Y-131873138D01* +X118559846Y-131833242D01* +X118573702Y-131794517D01* +X118591287Y-131757338D01* +X118612431Y-131722061D01* +X118636931Y-131689026D01* +X118664552Y-131658552D01* +X118695026Y-131630931D01* +X118728061Y-131606431D01* +X118763338Y-131585287D01* +X118800517Y-131567702D01* +X118839242Y-131553846D01* +X118879138Y-131543853D01* +X118919821Y-131537818D01* +X118960900Y-131535800D01* +X119799100Y-131535800D01* +X119840179Y-131537818D01* +G37* +G36* +X122380179Y-131537818D02* +G01* +X122420862Y-131543853D01* +X122460758Y-131553846D01* +X122499483Y-131567702D01* +X122536662Y-131585287D01* +X122571939Y-131606431D01* +X122604974Y-131630931D01* +X122635448Y-131658552D01* +X122663069Y-131689026D01* +X122687569Y-131722061D01* +X122708713Y-131757338D01* +X122726298Y-131794517D01* +X122740154Y-131833242D01* +X122750147Y-131873138D01* +X122756182Y-131913821D01* +X122758200Y-131954900D01* +X122758200Y-138609100D01* +X122756182Y-138650179D01* +X122750147Y-138690862D01* +X122740154Y-138730758D01* +X122726298Y-138769483D01* +X122708713Y-138806662D01* +X122687569Y-138841939D01* +X122663069Y-138874974D01* +X122635448Y-138905448D01* +X122604974Y-138933069D01* +X122571939Y-138957569D01* +X122536662Y-138978713D01* +X122499483Y-138996298D01* +X122460758Y-139010154D01* +X122420862Y-139020147D01* +X122380179Y-139026182D01* +X122339100Y-139028200D01* +X121500900Y-139028200D01* +X121459821Y-139026182D01* +X121419138Y-139020147D01* +X121379242Y-139010154D01* +X121340517Y-138996298D01* +X121303338Y-138978713D01* +X121268061Y-138957569D01* +X121235026Y-138933069D01* +X121204552Y-138905448D01* +X121176931Y-138874974D01* +X121152431Y-138841939D01* +X121131287Y-138806662D01* +X121113702Y-138769483D01* +X121099846Y-138730758D01* +X121089853Y-138690862D01* +X121083818Y-138650179D01* +X121081800Y-138609100D01* +X121081800Y-131954900D01* +X121083818Y-131913821D01* +X121089853Y-131873138D01* +X121099846Y-131833242D01* +X121113702Y-131794517D01* +X121131287Y-131757338D01* +X121152431Y-131722061D01* +X121176931Y-131689026D01* +X121204552Y-131658552D01* +X121235026Y-131630931D01* +X121268061Y-131606431D01* +X121303338Y-131585287D01* +X121340517Y-131567702D01* +X121379242Y-131553846D01* +X121419138Y-131543853D01* +X121459821Y-131537818D01* +X121500900Y-131535800D01* +X122339100Y-131535800D01* +X122380179Y-131537818D01* +G37* +G36* +X124920179Y-131537818D02* +G01* +X124960862Y-131543853D01* +X125000758Y-131553846D01* +X125039483Y-131567702D01* +X125076662Y-131585287D01* +X125111939Y-131606431D01* +X125144974Y-131630931D01* +X125175448Y-131658552D01* +X125203069Y-131689026D01* +X125227569Y-131722061D01* +X125248713Y-131757338D01* +X125266298Y-131794517D01* +X125280154Y-131833242D01* +X125290147Y-131873138D01* +X125296182Y-131913821D01* +X125298200Y-131954900D01* +X125298200Y-138609100D01* +X125296182Y-138650179D01* +X125290147Y-138690862D01* +X125280154Y-138730758D01* +X125266298Y-138769483D01* +X125248713Y-138806662D01* +X125227569Y-138841939D01* +X125203069Y-138874974D01* +X125175448Y-138905448D01* +X125144974Y-138933069D01* +X125111939Y-138957569D01* +X125076662Y-138978713D01* +X125039483Y-138996298D01* +X125000758Y-139010154D01* +X124960862Y-139020147D01* +X124920179Y-139026182D01* +X124879100Y-139028200D01* +X124040900Y-139028200D01* +X123999821Y-139026182D01* +X123959138Y-139020147D01* +X123919242Y-139010154D01* +X123880517Y-138996298D01* +X123843338Y-138978713D01* +X123808061Y-138957569D01* +X123775026Y-138933069D01* +X123744552Y-138905448D01* +X123716931Y-138874974D01* +X123692431Y-138841939D01* +X123671287Y-138806662D01* +X123653702Y-138769483D01* +X123639846Y-138730758D01* +X123629853Y-138690862D01* +X123623818Y-138650179D01* +X123621800Y-138609100D01* +X123621800Y-131954900D01* +X123623818Y-131913821D01* +X123629853Y-131873138D01* +X123639846Y-131833242D01* +X123653702Y-131794517D01* +X123671287Y-131757338D01* +X123692431Y-131722061D01* +X123716931Y-131689026D01* +X123744552Y-131658552D01* +X123775026Y-131630931D01* +X123808061Y-131606431D01* +X123843338Y-131585287D01* +X123880517Y-131567702D01* +X123919242Y-131553846D01* +X123959138Y-131543853D01* +X123999821Y-131537818D01* +X124040900Y-131535800D01* +X124879100Y-131535800D01* +X124920179Y-131537818D01* +G37* +G36* +X127460179Y-131537818D02* +G01* +X127500862Y-131543853D01* +X127540758Y-131553846D01* +X127579483Y-131567702D01* +X127616662Y-131585287D01* +X127651939Y-131606431D01* +X127684974Y-131630931D01* +X127715448Y-131658552D01* +X127743069Y-131689026D01* +X127767569Y-131722061D01* +X127788713Y-131757338D01* +X127806298Y-131794517D01* +X127820154Y-131833242D01* +X127830147Y-131873138D01* +X127836182Y-131913821D01* +X127838200Y-131954900D01* +X127838200Y-138609100D01* +X127836182Y-138650179D01* +X127830147Y-138690862D01* +X127820154Y-138730758D01* +X127806298Y-138769483D01* +X127788713Y-138806662D01* +X127767569Y-138841939D01* +X127743069Y-138874974D01* +X127715448Y-138905448D01* +X127684974Y-138933069D01* +X127651939Y-138957569D01* +X127616662Y-138978713D01* +X127579483Y-138996298D01* +X127540758Y-139010154D01* +X127500862Y-139020147D01* +X127460179Y-139026182D01* +X127419100Y-139028200D01* +X126580900Y-139028200D01* +X126539821Y-139026182D01* +X126499138Y-139020147D01* +X126459242Y-139010154D01* +X126420517Y-138996298D01* +X126383338Y-138978713D01* +X126348061Y-138957569D01* +X126315026Y-138933069D01* +X126284552Y-138905448D01* +X126256931Y-138874974D01* +X126232431Y-138841939D01* +X126211287Y-138806662D01* +X126193702Y-138769483D01* +X126179846Y-138730758D01* +X126169853Y-138690862D01* +X126163818Y-138650179D01* +X126161800Y-138609100D01* +X126161800Y-131954900D01* +X126163818Y-131913821D01* +X126169853Y-131873138D01* +X126179846Y-131833242D01* +X126193702Y-131794517D01* +X126211287Y-131757338D01* +X126232431Y-131722061D01* +X126256931Y-131689026D01* +X126284552Y-131658552D01* +X126315026Y-131630931D01* +X126348061Y-131606431D01* +X126383338Y-131585287D01* +X126420517Y-131567702D01* +X126459242Y-131553846D01* +X126499138Y-131543853D01* +X126539821Y-131537818D01* +X126580900Y-131535800D01* +X127419100Y-131535800D01* +X127460179Y-131537818D01* +G37* +G36* +X130000179Y-131537818D02* +G01* +X130040862Y-131543853D01* +X130080758Y-131553846D01* +X130119483Y-131567702D01* +X130156662Y-131585287D01* +X130191939Y-131606431D01* +X130224974Y-131630931D01* +X130255448Y-131658552D01* +X130283069Y-131689026D01* +X130307569Y-131722061D01* +X130328713Y-131757338D01* +X130346298Y-131794517D01* +X130360154Y-131833242D01* +X130370147Y-131873138D01* +X130376182Y-131913821D01* +X130378200Y-131954900D01* +X130378200Y-138609100D01* +X130376182Y-138650179D01* +X130370147Y-138690862D01* +X130360154Y-138730758D01* +X130346298Y-138769483D01* +X130328713Y-138806662D01* +X130307569Y-138841939D01* +X130283069Y-138874974D01* +X130255448Y-138905448D01* +X130224974Y-138933069D01* +X130191939Y-138957569D01* +X130156662Y-138978713D01* +X130119483Y-138996298D01* +X130080758Y-139010154D01* +X130040862Y-139020147D01* +X130000179Y-139026182D01* +X129959100Y-139028200D01* +X129120900Y-139028200D01* +X129079821Y-139026182D01* +X129039138Y-139020147D01* +X128999242Y-139010154D01* +X128960517Y-138996298D01* +X128923338Y-138978713D01* +X128888061Y-138957569D01* +X128855026Y-138933069D01* +X128824552Y-138905448D01* +X128796931Y-138874974D01* +X128772431Y-138841939D01* +X128751287Y-138806662D01* +X128733702Y-138769483D01* +X128719846Y-138730758D01* +X128709853Y-138690862D01* +X128703818Y-138650179D01* +X128701800Y-138609100D01* +X128701800Y-131954900D01* +X128703818Y-131913821D01* +X128709853Y-131873138D01* +X128719846Y-131833242D01* +X128733702Y-131794517D01* +X128751287Y-131757338D01* +X128772431Y-131722061D01* +X128796931Y-131689026D01* +X128824552Y-131658552D01* +X128855026Y-131630931D01* +X128888061Y-131606431D01* +X128923338Y-131585287D01* +X128960517Y-131567702D01* +X128999242Y-131553846D01* +X129039138Y-131543853D01* +X129079821Y-131537818D01* +X129120900Y-131535800D01* +X129959100Y-131535800D01* +X130000179Y-131537818D01* +G37* +D14* +X81534000Y-106553000D03* +X70358000Y-117729000D03* +X134366000Y-106553000D03* +X133350000Y-129540000D03* +X72898000Y-129540000D03* +M02* diff --git a/gerber/Voyager128-Edge_Cuts.gm1 b/gerber/Voyager128-Edge_Cuts.gm1 new file mode 100644 index 0000000..9cf8ed9 --- /dev/null +++ b/gerber/Voyager128-Edge_Cuts.gm1 @@ -0,0 +1,55 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Profile,NP* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.150000*% +G04 APERTURE END LIST* +D10* +X139446000Y-106553000D02* +X139446000Y-132080000D01* +X68326001Y-113664999D02* +X77470000Y-104521000D01* +X67818000Y-115189000D02* +X67818000Y-129540000D01* +X77470000Y-104521000D02* +G75* +G02X78994000Y-104013000I1524000J-2032000D01* +G01* +X78994000Y-104013000D02* +X136906000Y-104013000D01* +X70358000Y-132080000D02* +X73914000Y-132080000D01* +X68326001Y-113664999D02* +G75* +G03X67818000Y-115189000I2031999J-1524001D01* +G01* +X136906000Y-104013000D02* +G75* +G02X139446000Y-106553000I0J-2540000D01* +G01* +X139446000Y-132080000D02* +X139446000Y-139192000D01* +X70358000Y-132080000D02* +G75* +G02X67818000Y-129540000I0J2540000D01* +G01* +X73914000Y-132080000D02* +X73914000Y-139192000D01* +X139446000Y-139192000D02* +G75* +G02X138938000Y-139700000I-508000J0D01* +G01* +X74422000Y-139700000D02* +G75* +G02X73914000Y-139192000I0J508000D01* +G01* +X138938000Y-139700000D02* +X74422000Y-139700000D01* +M02* diff --git a/gerber/Voyager128-F_Cu.gtl b/gerber/Voyager128-F_Cu.gtl new file mode 100644 index 0000000..c640409 --- /dev/null +++ b/gerber/Voyager128-F_Cu.gtl @@ -0,0 +1,24044 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Copper,L1,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +%ADD11C,1.000000*% +%ADD12C,2.000000*% +%ADD13C,0.800000*% +%ADD14C,0.600000*% +%ADD15C,1.524000*% +%ADD16C,0.762000*% +%ADD17C,0.508000*% +%ADD18C,1.524000*% +%ADD19C,0.152400*% +G04 APERTURE END LIST* +G04 #@! TA.AperFunction,SMDPad,CuDef* +D10* +G36* +X137578345Y-131613835D02* +G01* +X137615329Y-131619321D01* +X137651598Y-131628406D01* +X137686802Y-131641002D01* +X137720602Y-131656988D01* +X137752672Y-131676210D01* +X137782704Y-131698483D01* +X137810408Y-131723592D01* +X137835517Y-131751296D01* +X137857790Y-131781328D01* +X137877012Y-131813398D01* +X137892998Y-131847198D01* +X137905594Y-131882402D01* +X137914679Y-131918671D01* +X137920165Y-131955655D01* +X137922000Y-131993000D01* +X137922000Y-138571000D01* +X137920165Y-138608345D01* +X137914679Y-138645329D01* +X137905594Y-138681598D01* +X137892998Y-138716802D01* +X137877012Y-138750602D01* +X137857790Y-138782672D01* +X137835517Y-138812704D01* +X137810408Y-138840408D01* +X137782704Y-138865517D01* +X137752672Y-138887790D01* +X137720602Y-138907012D01* +X137686802Y-138922998D01* +X137651598Y-138935594D01* +X137615329Y-138944679D01* +X137578345Y-138950165D01* +X137541000Y-138952000D01* +X136779000Y-138952000D01* +X136741655Y-138950165D01* +X136704671Y-138944679D01* +X136668402Y-138935594D01* +X136633198Y-138922998D01* +X136599398Y-138907012D01* +X136567328Y-138887790D01* +X136537296Y-138865517D01* +X136509592Y-138840408D01* +X136484483Y-138812704D01* +X136462210Y-138782672D01* +X136442988Y-138750602D01* +X136427002Y-138716802D01* +X136414406Y-138681598D01* +X136405321Y-138645329D01* +X136399835Y-138608345D01* +X136398000Y-138571000D01* +X136398000Y-131993000D01* +X136399835Y-131955655D01* +X136405321Y-131918671D01* +X136414406Y-131882402D01* +X136427002Y-131847198D01* +X136442988Y-131813398D01* +X136462210Y-131781328D01* +X136484483Y-131751296D01* +X136509592Y-131723592D01* +X136537296Y-131698483D01* +X136567328Y-131676210D01* +X136599398Y-131656988D01* +X136633198Y-131641002D01* +X136668402Y-131628406D01* +X136704671Y-131619321D01* +X136741655Y-131613835D01* +X136779000Y-131612000D01* +X137541000Y-131612000D01* +X137578345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X135038345Y-131613835D02* +G01* +X135075329Y-131619321D01* +X135111598Y-131628406D01* +X135146802Y-131641002D01* +X135180602Y-131656988D01* +X135212672Y-131676210D01* +X135242704Y-131698483D01* +X135270408Y-131723592D01* +X135295517Y-131751296D01* +X135317790Y-131781328D01* +X135337012Y-131813398D01* +X135352998Y-131847198D01* +X135365594Y-131882402D01* +X135374679Y-131918671D01* +X135380165Y-131955655D01* +X135382000Y-131993000D01* +X135382000Y-138571000D01* +X135380165Y-138608345D01* +X135374679Y-138645329D01* +X135365594Y-138681598D01* +X135352998Y-138716802D01* +X135337012Y-138750602D01* +X135317790Y-138782672D01* +X135295517Y-138812704D01* +X135270408Y-138840408D01* +X135242704Y-138865517D01* +X135212672Y-138887790D01* +X135180602Y-138907012D01* +X135146802Y-138922998D01* +X135111598Y-138935594D01* +X135075329Y-138944679D01* +X135038345Y-138950165D01* +X135001000Y-138952000D01* +X134239000Y-138952000D01* +X134201655Y-138950165D01* +X134164671Y-138944679D01* +X134128402Y-138935594D01* +X134093198Y-138922998D01* +X134059398Y-138907012D01* +X134027328Y-138887790D01* +X133997296Y-138865517D01* +X133969592Y-138840408D01* +X133944483Y-138812704D01* +X133922210Y-138782672D01* +X133902988Y-138750602D01* +X133887002Y-138716802D01* +X133874406Y-138681598D01* +X133865321Y-138645329D01* +X133859835Y-138608345D01* +X133858000Y-138571000D01* +X133858000Y-131993000D01* +X133859835Y-131955655D01* +X133865321Y-131918671D01* +X133874406Y-131882402D01* +X133887002Y-131847198D01* +X133902988Y-131813398D01* +X133922210Y-131781328D01* +X133944483Y-131751296D01* +X133969592Y-131723592D01* +X133997296Y-131698483D01* +X134027328Y-131676210D01* +X134059398Y-131656988D01* +X134093198Y-131641002D01* +X134128402Y-131628406D01* +X134164671Y-131619321D01* +X134201655Y-131613835D01* +X134239000Y-131612000D01* +X135001000Y-131612000D01* +X135038345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X132498345Y-131613835D02* +G01* +X132535329Y-131619321D01* +X132571598Y-131628406D01* +X132606802Y-131641002D01* +X132640602Y-131656988D01* +X132672672Y-131676210D01* +X132702704Y-131698483D01* +X132730408Y-131723592D01* +X132755517Y-131751296D01* +X132777790Y-131781328D01* +X132797012Y-131813398D01* +X132812998Y-131847198D01* +X132825594Y-131882402D01* +X132834679Y-131918671D01* +X132840165Y-131955655D01* +X132842000Y-131993000D01* +X132842000Y-138571000D01* +X132840165Y-138608345D01* +X132834679Y-138645329D01* +X132825594Y-138681598D01* +X132812998Y-138716802D01* +X132797012Y-138750602D01* +X132777790Y-138782672D01* +X132755517Y-138812704D01* +X132730408Y-138840408D01* +X132702704Y-138865517D01* +X132672672Y-138887790D01* +X132640602Y-138907012D01* +X132606802Y-138922998D01* +X132571598Y-138935594D01* +X132535329Y-138944679D01* +X132498345Y-138950165D01* +X132461000Y-138952000D01* +X131699000Y-138952000D01* +X131661655Y-138950165D01* +X131624671Y-138944679D01* +X131588402Y-138935594D01* +X131553198Y-138922998D01* +X131519398Y-138907012D01* +X131487328Y-138887790D01* +X131457296Y-138865517D01* +X131429592Y-138840408D01* +X131404483Y-138812704D01* +X131382210Y-138782672D01* +X131362988Y-138750602D01* +X131347002Y-138716802D01* +X131334406Y-138681598D01* +X131325321Y-138645329D01* +X131319835Y-138608345D01* +X131318000Y-138571000D01* +X131318000Y-131993000D01* +X131319835Y-131955655D01* +X131325321Y-131918671D01* +X131334406Y-131882402D01* +X131347002Y-131847198D01* +X131362988Y-131813398D01* +X131382210Y-131781328D01* +X131404483Y-131751296D01* +X131429592Y-131723592D01* +X131457296Y-131698483D01* +X131487328Y-131676210D01* +X131519398Y-131656988D01* +X131553198Y-131641002D01* +X131588402Y-131628406D01* +X131624671Y-131619321D01* +X131661655Y-131613835D01* +X131699000Y-131612000D01* +X132461000Y-131612000D01* +X132498345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X129958345Y-131613835D02* +G01* +X129995329Y-131619321D01* +X130031598Y-131628406D01* +X130066802Y-131641002D01* +X130100602Y-131656988D01* +X130132672Y-131676210D01* +X130162704Y-131698483D01* +X130190408Y-131723592D01* +X130215517Y-131751296D01* +X130237790Y-131781328D01* +X130257012Y-131813398D01* +X130272998Y-131847198D01* +X130285594Y-131882402D01* +X130294679Y-131918671D01* +X130300165Y-131955655D01* +X130302000Y-131993000D01* +X130302000Y-138571000D01* +X130300165Y-138608345D01* +X130294679Y-138645329D01* +X130285594Y-138681598D01* +X130272998Y-138716802D01* +X130257012Y-138750602D01* +X130237790Y-138782672D01* +X130215517Y-138812704D01* +X130190408Y-138840408D01* +X130162704Y-138865517D01* +X130132672Y-138887790D01* +X130100602Y-138907012D01* +X130066802Y-138922998D01* +X130031598Y-138935594D01* +X129995329Y-138944679D01* +X129958345Y-138950165D01* +X129921000Y-138952000D01* +X129159000Y-138952000D01* +X129121655Y-138950165D01* +X129084671Y-138944679D01* +X129048402Y-138935594D01* +X129013198Y-138922998D01* +X128979398Y-138907012D01* +X128947328Y-138887790D01* +X128917296Y-138865517D01* +X128889592Y-138840408D01* +X128864483Y-138812704D01* +X128842210Y-138782672D01* +X128822988Y-138750602D01* +X128807002Y-138716802D01* +X128794406Y-138681598D01* +X128785321Y-138645329D01* +X128779835Y-138608345D01* +X128778000Y-138571000D01* +X128778000Y-131993000D01* +X128779835Y-131955655D01* +X128785321Y-131918671D01* +X128794406Y-131882402D01* +X128807002Y-131847198D01* +X128822988Y-131813398D01* +X128842210Y-131781328D01* +X128864483Y-131751296D01* +X128889592Y-131723592D01* +X128917296Y-131698483D01* +X128947328Y-131676210D01* +X128979398Y-131656988D01* +X129013198Y-131641002D01* +X129048402Y-131628406D01* +X129084671Y-131619321D01* +X129121655Y-131613835D01* +X129159000Y-131612000D01* +X129921000Y-131612000D01* +X129958345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X127418345Y-131613835D02* +G01* +X127455329Y-131619321D01* +X127491598Y-131628406D01* +X127526802Y-131641002D01* +X127560602Y-131656988D01* +X127592672Y-131676210D01* +X127622704Y-131698483D01* +X127650408Y-131723592D01* +X127675517Y-131751296D01* +X127697790Y-131781328D01* +X127717012Y-131813398D01* +X127732998Y-131847198D01* +X127745594Y-131882402D01* +X127754679Y-131918671D01* +X127760165Y-131955655D01* +X127762000Y-131993000D01* +X127762000Y-138571000D01* +X127760165Y-138608345D01* +X127754679Y-138645329D01* +X127745594Y-138681598D01* +X127732998Y-138716802D01* +X127717012Y-138750602D01* +X127697790Y-138782672D01* +X127675517Y-138812704D01* +X127650408Y-138840408D01* +X127622704Y-138865517D01* +X127592672Y-138887790D01* +X127560602Y-138907012D01* +X127526802Y-138922998D01* +X127491598Y-138935594D01* +X127455329Y-138944679D01* +X127418345Y-138950165D01* +X127381000Y-138952000D01* +X126619000Y-138952000D01* +X126581655Y-138950165D01* +X126544671Y-138944679D01* +X126508402Y-138935594D01* +X126473198Y-138922998D01* +X126439398Y-138907012D01* +X126407328Y-138887790D01* +X126377296Y-138865517D01* +X126349592Y-138840408D01* +X126324483Y-138812704D01* +X126302210Y-138782672D01* +X126282988Y-138750602D01* +X126267002Y-138716802D01* +X126254406Y-138681598D01* +X126245321Y-138645329D01* +X126239835Y-138608345D01* +X126238000Y-138571000D01* +X126238000Y-131993000D01* +X126239835Y-131955655D01* +X126245321Y-131918671D01* +X126254406Y-131882402D01* +X126267002Y-131847198D01* +X126282988Y-131813398D01* +X126302210Y-131781328D01* +X126324483Y-131751296D01* +X126349592Y-131723592D01* +X126377296Y-131698483D01* +X126407328Y-131676210D01* +X126439398Y-131656988D01* +X126473198Y-131641002D01* +X126508402Y-131628406D01* +X126544671Y-131619321D01* +X126581655Y-131613835D01* +X126619000Y-131612000D01* +X127381000Y-131612000D01* +X127418345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X124878345Y-131613835D02* +G01* +X124915329Y-131619321D01* +X124951598Y-131628406D01* +X124986802Y-131641002D01* +X125020602Y-131656988D01* +X125052672Y-131676210D01* +X125082704Y-131698483D01* +X125110408Y-131723592D01* +X125135517Y-131751296D01* +X125157790Y-131781328D01* +X125177012Y-131813398D01* +X125192998Y-131847198D01* +X125205594Y-131882402D01* +X125214679Y-131918671D01* +X125220165Y-131955655D01* +X125222000Y-131993000D01* +X125222000Y-138571000D01* +X125220165Y-138608345D01* +X125214679Y-138645329D01* +X125205594Y-138681598D01* +X125192998Y-138716802D01* +X125177012Y-138750602D01* +X125157790Y-138782672D01* +X125135517Y-138812704D01* +X125110408Y-138840408D01* +X125082704Y-138865517D01* +X125052672Y-138887790D01* +X125020602Y-138907012D01* +X124986802Y-138922998D01* +X124951598Y-138935594D01* +X124915329Y-138944679D01* +X124878345Y-138950165D01* +X124841000Y-138952000D01* +X124079000Y-138952000D01* +X124041655Y-138950165D01* +X124004671Y-138944679D01* +X123968402Y-138935594D01* +X123933198Y-138922998D01* +X123899398Y-138907012D01* +X123867328Y-138887790D01* +X123837296Y-138865517D01* +X123809592Y-138840408D01* +X123784483Y-138812704D01* +X123762210Y-138782672D01* +X123742988Y-138750602D01* +X123727002Y-138716802D01* +X123714406Y-138681598D01* +X123705321Y-138645329D01* +X123699835Y-138608345D01* +X123698000Y-138571000D01* +X123698000Y-131993000D01* +X123699835Y-131955655D01* +X123705321Y-131918671D01* +X123714406Y-131882402D01* +X123727002Y-131847198D01* +X123742988Y-131813398D01* +X123762210Y-131781328D01* +X123784483Y-131751296D01* +X123809592Y-131723592D01* +X123837296Y-131698483D01* +X123867328Y-131676210D01* +X123899398Y-131656988D01* +X123933198Y-131641002D01* +X123968402Y-131628406D01* +X124004671Y-131619321D01* +X124041655Y-131613835D01* +X124079000Y-131612000D01* +X124841000Y-131612000D01* +X124878345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X122338345Y-131613835D02* +G01* +X122375329Y-131619321D01* +X122411598Y-131628406D01* +X122446802Y-131641002D01* +X122480602Y-131656988D01* +X122512672Y-131676210D01* +X122542704Y-131698483D01* +X122570408Y-131723592D01* +X122595517Y-131751296D01* +X122617790Y-131781328D01* +X122637012Y-131813398D01* +X122652998Y-131847198D01* +X122665594Y-131882402D01* +X122674679Y-131918671D01* +X122680165Y-131955655D01* +X122682000Y-131993000D01* +X122682000Y-138571000D01* +X122680165Y-138608345D01* +X122674679Y-138645329D01* +X122665594Y-138681598D01* +X122652998Y-138716802D01* +X122637012Y-138750602D01* +X122617790Y-138782672D01* +X122595517Y-138812704D01* +X122570408Y-138840408D01* +X122542704Y-138865517D01* +X122512672Y-138887790D01* +X122480602Y-138907012D01* +X122446802Y-138922998D01* +X122411598Y-138935594D01* +X122375329Y-138944679D01* +X122338345Y-138950165D01* +X122301000Y-138952000D01* +X121539000Y-138952000D01* +X121501655Y-138950165D01* +X121464671Y-138944679D01* +X121428402Y-138935594D01* +X121393198Y-138922998D01* +X121359398Y-138907012D01* +X121327328Y-138887790D01* +X121297296Y-138865517D01* +X121269592Y-138840408D01* +X121244483Y-138812704D01* +X121222210Y-138782672D01* +X121202988Y-138750602D01* +X121187002Y-138716802D01* +X121174406Y-138681598D01* +X121165321Y-138645329D01* +X121159835Y-138608345D01* +X121158000Y-138571000D01* +X121158000Y-131993000D01* +X121159835Y-131955655D01* +X121165321Y-131918671D01* +X121174406Y-131882402D01* +X121187002Y-131847198D01* +X121202988Y-131813398D01* +X121222210Y-131781328D01* +X121244483Y-131751296D01* +X121269592Y-131723592D01* +X121297296Y-131698483D01* +X121327328Y-131676210D01* +X121359398Y-131656988D01* +X121393198Y-131641002D01* +X121428402Y-131628406D01* +X121464671Y-131619321D01* +X121501655Y-131613835D01* +X121539000Y-131612000D01* +X122301000Y-131612000D01* +X122338345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X119798345Y-131613835D02* +G01* +X119835329Y-131619321D01* +X119871598Y-131628406D01* +X119906802Y-131641002D01* +X119940602Y-131656988D01* +X119972672Y-131676210D01* +X120002704Y-131698483D01* +X120030408Y-131723592D01* +X120055517Y-131751296D01* +X120077790Y-131781328D01* +X120097012Y-131813398D01* +X120112998Y-131847198D01* +X120125594Y-131882402D01* +X120134679Y-131918671D01* +X120140165Y-131955655D01* +X120142000Y-131993000D01* +X120142000Y-138571000D01* +X120140165Y-138608345D01* +X120134679Y-138645329D01* +X120125594Y-138681598D01* +X120112998Y-138716802D01* +X120097012Y-138750602D01* +X120077790Y-138782672D01* +X120055517Y-138812704D01* +X120030408Y-138840408D01* +X120002704Y-138865517D01* +X119972672Y-138887790D01* +X119940602Y-138907012D01* +X119906802Y-138922998D01* +X119871598Y-138935594D01* +X119835329Y-138944679D01* +X119798345Y-138950165D01* +X119761000Y-138952000D01* +X118999000Y-138952000D01* +X118961655Y-138950165D01* +X118924671Y-138944679D01* +X118888402Y-138935594D01* +X118853198Y-138922998D01* +X118819398Y-138907012D01* +X118787328Y-138887790D01* +X118757296Y-138865517D01* +X118729592Y-138840408D01* +X118704483Y-138812704D01* +X118682210Y-138782672D01* +X118662988Y-138750602D01* +X118647002Y-138716802D01* +X118634406Y-138681598D01* +X118625321Y-138645329D01* +X118619835Y-138608345D01* +X118618000Y-138571000D01* +X118618000Y-131993000D01* +X118619835Y-131955655D01* +X118625321Y-131918671D01* +X118634406Y-131882402D01* +X118647002Y-131847198D01* +X118662988Y-131813398D01* +X118682210Y-131781328D01* +X118704483Y-131751296D01* +X118729592Y-131723592D01* +X118757296Y-131698483D01* +X118787328Y-131676210D01* +X118819398Y-131656988D01* +X118853198Y-131641002D01* +X118888402Y-131628406D01* +X118924671Y-131619321D01* +X118961655Y-131613835D01* +X118999000Y-131612000D01* +X119761000Y-131612000D01* +X119798345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X117258345Y-131613835D02* +G01* +X117295329Y-131619321D01* +X117331598Y-131628406D01* +X117366802Y-131641002D01* +X117400602Y-131656988D01* +X117432672Y-131676210D01* +X117462704Y-131698483D01* +X117490408Y-131723592D01* +X117515517Y-131751296D01* +X117537790Y-131781328D01* +X117557012Y-131813398D01* +X117572998Y-131847198D01* +X117585594Y-131882402D01* +X117594679Y-131918671D01* +X117600165Y-131955655D01* +X117602000Y-131993000D01* +X117602000Y-138571000D01* +X117600165Y-138608345D01* +X117594679Y-138645329D01* +X117585594Y-138681598D01* +X117572998Y-138716802D01* +X117557012Y-138750602D01* +X117537790Y-138782672D01* +X117515517Y-138812704D01* +X117490408Y-138840408D01* +X117462704Y-138865517D01* +X117432672Y-138887790D01* +X117400602Y-138907012D01* +X117366802Y-138922998D01* +X117331598Y-138935594D01* +X117295329Y-138944679D01* +X117258345Y-138950165D01* +X117221000Y-138952000D01* +X116459000Y-138952000D01* +X116421655Y-138950165D01* +X116384671Y-138944679D01* +X116348402Y-138935594D01* +X116313198Y-138922998D01* +X116279398Y-138907012D01* +X116247328Y-138887790D01* +X116217296Y-138865517D01* +X116189592Y-138840408D01* +X116164483Y-138812704D01* +X116142210Y-138782672D01* +X116122988Y-138750602D01* +X116107002Y-138716802D01* +X116094406Y-138681598D01* +X116085321Y-138645329D01* +X116079835Y-138608345D01* +X116078000Y-138571000D01* +X116078000Y-131993000D01* +X116079835Y-131955655D01* +X116085321Y-131918671D01* +X116094406Y-131882402D01* +X116107002Y-131847198D01* +X116122988Y-131813398D01* +X116142210Y-131781328D01* +X116164483Y-131751296D01* +X116189592Y-131723592D01* +X116217296Y-131698483D01* +X116247328Y-131676210D01* +X116279398Y-131656988D01* +X116313198Y-131641002D01* +X116348402Y-131628406D01* +X116384671Y-131619321D01* +X116421655Y-131613835D01* +X116459000Y-131612000D01* +X117221000Y-131612000D01* +X117258345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114718345Y-131613835D02* +G01* +X114755329Y-131619321D01* +X114791598Y-131628406D01* +X114826802Y-131641002D01* +X114860602Y-131656988D01* +X114892672Y-131676210D01* +X114922704Y-131698483D01* +X114950408Y-131723592D01* +X114975517Y-131751296D01* +X114997790Y-131781328D01* +X115017012Y-131813398D01* +X115032998Y-131847198D01* +X115045594Y-131882402D01* +X115054679Y-131918671D01* +X115060165Y-131955655D01* +X115062000Y-131993000D01* +X115062000Y-138571000D01* +X115060165Y-138608345D01* +X115054679Y-138645329D01* +X115045594Y-138681598D01* +X115032998Y-138716802D01* +X115017012Y-138750602D01* +X114997790Y-138782672D01* +X114975517Y-138812704D01* +X114950408Y-138840408D01* +X114922704Y-138865517D01* +X114892672Y-138887790D01* +X114860602Y-138907012D01* +X114826802Y-138922998D01* +X114791598Y-138935594D01* +X114755329Y-138944679D01* +X114718345Y-138950165D01* +X114681000Y-138952000D01* +X113919000Y-138952000D01* +X113881655Y-138950165D01* +X113844671Y-138944679D01* +X113808402Y-138935594D01* +X113773198Y-138922998D01* +X113739398Y-138907012D01* +X113707328Y-138887790D01* +X113677296Y-138865517D01* +X113649592Y-138840408D01* +X113624483Y-138812704D01* +X113602210Y-138782672D01* +X113582988Y-138750602D01* +X113567002Y-138716802D01* +X113554406Y-138681598D01* +X113545321Y-138645329D01* +X113539835Y-138608345D01* +X113538000Y-138571000D01* +X113538000Y-131993000D01* +X113539835Y-131955655D01* +X113545321Y-131918671D01* +X113554406Y-131882402D01* +X113567002Y-131847198D01* +X113582988Y-131813398D01* +X113602210Y-131781328D01* +X113624483Y-131751296D01* +X113649592Y-131723592D01* +X113677296Y-131698483D01* +X113707328Y-131676210D01* +X113739398Y-131656988D01* +X113773198Y-131641002D01* +X113808402Y-131628406D01* +X113844671Y-131619321D01* +X113881655Y-131613835D01* +X113919000Y-131612000D01* +X114681000Y-131612000D01* +X114718345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X112178345Y-131613835D02* +G01* +X112215329Y-131619321D01* +X112251598Y-131628406D01* +X112286802Y-131641002D01* +X112320602Y-131656988D01* +X112352672Y-131676210D01* +X112382704Y-131698483D01* +X112410408Y-131723592D01* +X112435517Y-131751296D01* +X112457790Y-131781328D01* +X112477012Y-131813398D01* +X112492998Y-131847198D01* +X112505594Y-131882402D01* +X112514679Y-131918671D01* +X112520165Y-131955655D01* +X112522000Y-131993000D01* +X112522000Y-138571000D01* +X112520165Y-138608345D01* +X112514679Y-138645329D01* +X112505594Y-138681598D01* +X112492998Y-138716802D01* +X112477012Y-138750602D01* +X112457790Y-138782672D01* +X112435517Y-138812704D01* +X112410408Y-138840408D01* +X112382704Y-138865517D01* +X112352672Y-138887790D01* +X112320602Y-138907012D01* +X112286802Y-138922998D01* +X112251598Y-138935594D01* +X112215329Y-138944679D01* +X112178345Y-138950165D01* +X112141000Y-138952000D01* +X111379000Y-138952000D01* +X111341655Y-138950165D01* +X111304671Y-138944679D01* +X111268402Y-138935594D01* +X111233198Y-138922998D01* +X111199398Y-138907012D01* +X111167328Y-138887790D01* +X111137296Y-138865517D01* +X111109592Y-138840408D01* +X111084483Y-138812704D01* +X111062210Y-138782672D01* +X111042988Y-138750602D01* +X111027002Y-138716802D01* +X111014406Y-138681598D01* +X111005321Y-138645329D01* +X110999835Y-138608345D01* +X110998000Y-138571000D01* +X110998000Y-131993000D01* +X110999835Y-131955655D01* +X111005321Y-131918671D01* +X111014406Y-131882402D01* +X111027002Y-131847198D01* +X111042988Y-131813398D01* +X111062210Y-131781328D01* +X111084483Y-131751296D01* +X111109592Y-131723592D01* +X111137296Y-131698483D01* +X111167328Y-131676210D01* +X111199398Y-131656988D01* +X111233198Y-131641002D01* +X111268402Y-131628406D01* +X111304671Y-131619321D01* +X111341655Y-131613835D01* +X111379000Y-131612000D01* +X112141000Y-131612000D01* +X112178345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109638345Y-131613835D02* +G01* +X109675329Y-131619321D01* +X109711598Y-131628406D01* +X109746802Y-131641002D01* +X109780602Y-131656988D01* +X109812672Y-131676210D01* +X109842704Y-131698483D01* +X109870408Y-131723592D01* +X109895517Y-131751296D01* +X109917790Y-131781328D01* +X109937012Y-131813398D01* +X109952998Y-131847198D01* +X109965594Y-131882402D01* +X109974679Y-131918671D01* +X109980165Y-131955655D01* +X109982000Y-131993000D01* +X109982000Y-138571000D01* +X109980165Y-138608345D01* +X109974679Y-138645329D01* +X109965594Y-138681598D01* +X109952998Y-138716802D01* +X109937012Y-138750602D01* +X109917790Y-138782672D01* +X109895517Y-138812704D01* +X109870408Y-138840408D01* +X109842704Y-138865517D01* +X109812672Y-138887790D01* +X109780602Y-138907012D01* +X109746802Y-138922998D01* +X109711598Y-138935594D01* +X109675329Y-138944679D01* +X109638345Y-138950165D01* +X109601000Y-138952000D01* +X108839000Y-138952000D01* +X108801655Y-138950165D01* +X108764671Y-138944679D01* +X108728402Y-138935594D01* +X108693198Y-138922998D01* +X108659398Y-138907012D01* +X108627328Y-138887790D01* +X108597296Y-138865517D01* +X108569592Y-138840408D01* +X108544483Y-138812704D01* +X108522210Y-138782672D01* +X108502988Y-138750602D01* +X108487002Y-138716802D01* +X108474406Y-138681598D01* +X108465321Y-138645329D01* +X108459835Y-138608345D01* +X108458000Y-138571000D01* +X108458000Y-131993000D01* +X108459835Y-131955655D01* +X108465321Y-131918671D01* +X108474406Y-131882402D01* +X108487002Y-131847198D01* +X108502988Y-131813398D01* +X108522210Y-131781328D01* +X108544483Y-131751296D01* +X108569592Y-131723592D01* +X108597296Y-131698483D01* +X108627328Y-131676210D01* +X108659398Y-131656988D01* +X108693198Y-131641002D01* +X108728402Y-131628406D01* +X108764671Y-131619321D01* +X108801655Y-131613835D01* +X108839000Y-131612000D01* +X109601000Y-131612000D01* +X109638345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X107098345Y-131613835D02* +G01* +X107135329Y-131619321D01* +X107171598Y-131628406D01* +X107206802Y-131641002D01* +X107240602Y-131656988D01* +X107272672Y-131676210D01* +X107302704Y-131698483D01* +X107330408Y-131723592D01* +X107355517Y-131751296D01* +X107377790Y-131781328D01* +X107397012Y-131813398D01* +X107412998Y-131847198D01* +X107425594Y-131882402D01* +X107434679Y-131918671D01* +X107440165Y-131955655D01* +X107442000Y-131993000D01* +X107442000Y-138571000D01* +X107440165Y-138608345D01* +X107434679Y-138645329D01* +X107425594Y-138681598D01* +X107412998Y-138716802D01* +X107397012Y-138750602D01* +X107377790Y-138782672D01* +X107355517Y-138812704D01* +X107330408Y-138840408D01* +X107302704Y-138865517D01* +X107272672Y-138887790D01* +X107240602Y-138907012D01* +X107206802Y-138922998D01* +X107171598Y-138935594D01* +X107135329Y-138944679D01* +X107098345Y-138950165D01* +X107061000Y-138952000D01* +X106299000Y-138952000D01* +X106261655Y-138950165D01* +X106224671Y-138944679D01* +X106188402Y-138935594D01* +X106153198Y-138922998D01* +X106119398Y-138907012D01* +X106087328Y-138887790D01* +X106057296Y-138865517D01* +X106029592Y-138840408D01* +X106004483Y-138812704D01* +X105982210Y-138782672D01* +X105962988Y-138750602D01* +X105947002Y-138716802D01* +X105934406Y-138681598D01* +X105925321Y-138645329D01* +X105919835Y-138608345D01* +X105918000Y-138571000D01* +X105918000Y-131993000D01* +X105919835Y-131955655D01* +X105925321Y-131918671D01* +X105934406Y-131882402D01* +X105947002Y-131847198D01* +X105962988Y-131813398D01* +X105982210Y-131781328D01* +X106004483Y-131751296D01* +X106029592Y-131723592D01* +X106057296Y-131698483D01* +X106087328Y-131676210D01* +X106119398Y-131656988D01* +X106153198Y-131641002D01* +X106188402Y-131628406D01* +X106224671Y-131619321D01* +X106261655Y-131613835D01* +X106299000Y-131612000D01* +X107061000Y-131612000D01* +X107098345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X104558345Y-131613835D02* +G01* +X104595329Y-131619321D01* +X104631598Y-131628406D01* +X104666802Y-131641002D01* +X104700602Y-131656988D01* +X104732672Y-131676210D01* +X104762704Y-131698483D01* +X104790408Y-131723592D01* +X104815517Y-131751296D01* +X104837790Y-131781328D01* +X104857012Y-131813398D01* +X104872998Y-131847198D01* +X104885594Y-131882402D01* +X104894679Y-131918671D01* +X104900165Y-131955655D01* +X104902000Y-131993000D01* +X104902000Y-138571000D01* +X104900165Y-138608345D01* +X104894679Y-138645329D01* +X104885594Y-138681598D01* +X104872998Y-138716802D01* +X104857012Y-138750602D01* +X104837790Y-138782672D01* +X104815517Y-138812704D01* +X104790408Y-138840408D01* +X104762704Y-138865517D01* +X104732672Y-138887790D01* +X104700602Y-138907012D01* +X104666802Y-138922998D01* +X104631598Y-138935594D01* +X104595329Y-138944679D01* +X104558345Y-138950165D01* +X104521000Y-138952000D01* +X103759000Y-138952000D01* +X103721655Y-138950165D01* +X103684671Y-138944679D01* +X103648402Y-138935594D01* +X103613198Y-138922998D01* +X103579398Y-138907012D01* +X103547328Y-138887790D01* +X103517296Y-138865517D01* +X103489592Y-138840408D01* +X103464483Y-138812704D01* +X103442210Y-138782672D01* +X103422988Y-138750602D01* +X103407002Y-138716802D01* +X103394406Y-138681598D01* +X103385321Y-138645329D01* +X103379835Y-138608345D01* +X103378000Y-138571000D01* +X103378000Y-131993000D01* +X103379835Y-131955655D01* +X103385321Y-131918671D01* +X103394406Y-131882402D01* +X103407002Y-131847198D01* +X103422988Y-131813398D01* +X103442210Y-131781328D01* +X103464483Y-131751296D01* +X103489592Y-131723592D01* +X103517296Y-131698483D01* +X103547328Y-131676210D01* +X103579398Y-131656988D01* +X103613198Y-131641002D01* +X103648402Y-131628406D01* +X103684671Y-131619321D01* +X103721655Y-131613835D01* +X103759000Y-131612000D01* +X104521000Y-131612000D01* +X104558345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X102018345Y-131613835D02* +G01* +X102055329Y-131619321D01* +X102091598Y-131628406D01* +X102126802Y-131641002D01* +X102160602Y-131656988D01* +X102192672Y-131676210D01* +X102222704Y-131698483D01* +X102250408Y-131723592D01* +X102275517Y-131751296D01* +X102297790Y-131781328D01* +X102317012Y-131813398D01* +X102332998Y-131847198D01* +X102345594Y-131882402D01* +X102354679Y-131918671D01* +X102360165Y-131955655D01* +X102362000Y-131993000D01* +X102362000Y-138571000D01* +X102360165Y-138608345D01* +X102354679Y-138645329D01* +X102345594Y-138681598D01* +X102332998Y-138716802D01* +X102317012Y-138750602D01* +X102297790Y-138782672D01* +X102275517Y-138812704D01* +X102250408Y-138840408D01* +X102222704Y-138865517D01* +X102192672Y-138887790D01* +X102160602Y-138907012D01* +X102126802Y-138922998D01* +X102091598Y-138935594D01* +X102055329Y-138944679D01* +X102018345Y-138950165D01* +X101981000Y-138952000D01* +X101219000Y-138952000D01* +X101181655Y-138950165D01* +X101144671Y-138944679D01* +X101108402Y-138935594D01* +X101073198Y-138922998D01* +X101039398Y-138907012D01* +X101007328Y-138887790D01* +X100977296Y-138865517D01* +X100949592Y-138840408D01* +X100924483Y-138812704D01* +X100902210Y-138782672D01* +X100882988Y-138750602D01* +X100867002Y-138716802D01* +X100854406Y-138681598D01* +X100845321Y-138645329D01* +X100839835Y-138608345D01* +X100838000Y-138571000D01* +X100838000Y-131993000D01* +X100839835Y-131955655D01* +X100845321Y-131918671D01* +X100854406Y-131882402D01* +X100867002Y-131847198D01* +X100882988Y-131813398D01* +X100902210Y-131781328D01* +X100924483Y-131751296D01* +X100949592Y-131723592D01* +X100977296Y-131698483D01* +X101007328Y-131676210D01* +X101039398Y-131656988D01* +X101073198Y-131641002D01* +X101108402Y-131628406D01* +X101144671Y-131619321D01* +X101181655Y-131613835D01* +X101219000Y-131612000D01* +X101981000Y-131612000D01* +X102018345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X99478345Y-131613835D02* +G01* +X99515329Y-131619321D01* +X99551598Y-131628406D01* +X99586802Y-131641002D01* +X99620602Y-131656988D01* +X99652672Y-131676210D01* +X99682704Y-131698483D01* +X99710408Y-131723592D01* +X99735517Y-131751296D01* +X99757790Y-131781328D01* +X99777012Y-131813398D01* +X99792998Y-131847198D01* +X99805594Y-131882402D01* +X99814679Y-131918671D01* +X99820165Y-131955655D01* +X99822000Y-131993000D01* +X99822000Y-138571000D01* +X99820165Y-138608345D01* +X99814679Y-138645329D01* +X99805594Y-138681598D01* +X99792998Y-138716802D01* +X99777012Y-138750602D01* +X99757790Y-138782672D01* +X99735517Y-138812704D01* +X99710408Y-138840408D01* +X99682704Y-138865517D01* +X99652672Y-138887790D01* +X99620602Y-138907012D01* +X99586802Y-138922998D01* +X99551598Y-138935594D01* +X99515329Y-138944679D01* +X99478345Y-138950165D01* +X99441000Y-138952000D01* +X98679000Y-138952000D01* +X98641655Y-138950165D01* +X98604671Y-138944679D01* +X98568402Y-138935594D01* +X98533198Y-138922998D01* +X98499398Y-138907012D01* +X98467328Y-138887790D01* +X98437296Y-138865517D01* +X98409592Y-138840408D01* +X98384483Y-138812704D01* +X98362210Y-138782672D01* +X98342988Y-138750602D01* +X98327002Y-138716802D01* +X98314406Y-138681598D01* +X98305321Y-138645329D01* +X98299835Y-138608345D01* +X98298000Y-138571000D01* +X98298000Y-131993000D01* +X98299835Y-131955655D01* +X98305321Y-131918671D01* +X98314406Y-131882402D01* +X98327002Y-131847198D01* +X98342988Y-131813398D01* +X98362210Y-131781328D01* +X98384483Y-131751296D01* +X98409592Y-131723592D01* +X98437296Y-131698483D01* +X98467328Y-131676210D01* +X98499398Y-131656988D01* +X98533198Y-131641002D01* +X98568402Y-131628406D01* +X98604671Y-131619321D01* +X98641655Y-131613835D01* +X98679000Y-131612000D01* +X99441000Y-131612000D01* +X99478345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96938345Y-131613835D02* +G01* +X96975329Y-131619321D01* +X97011598Y-131628406D01* +X97046802Y-131641002D01* +X97080602Y-131656988D01* +X97112672Y-131676210D01* +X97142704Y-131698483D01* +X97170408Y-131723592D01* +X97195517Y-131751296D01* +X97217790Y-131781328D01* +X97237012Y-131813398D01* +X97252998Y-131847198D01* +X97265594Y-131882402D01* +X97274679Y-131918671D01* +X97280165Y-131955655D01* +X97282000Y-131993000D01* +X97282000Y-138571000D01* +X97280165Y-138608345D01* +X97274679Y-138645329D01* +X97265594Y-138681598D01* +X97252998Y-138716802D01* +X97237012Y-138750602D01* +X97217790Y-138782672D01* +X97195517Y-138812704D01* +X97170408Y-138840408D01* +X97142704Y-138865517D01* +X97112672Y-138887790D01* +X97080602Y-138907012D01* +X97046802Y-138922998D01* +X97011598Y-138935594D01* +X96975329Y-138944679D01* +X96938345Y-138950165D01* +X96901000Y-138952000D01* +X96139000Y-138952000D01* +X96101655Y-138950165D01* +X96064671Y-138944679D01* +X96028402Y-138935594D01* +X95993198Y-138922998D01* +X95959398Y-138907012D01* +X95927328Y-138887790D01* +X95897296Y-138865517D01* +X95869592Y-138840408D01* +X95844483Y-138812704D01* +X95822210Y-138782672D01* +X95802988Y-138750602D01* +X95787002Y-138716802D01* +X95774406Y-138681598D01* +X95765321Y-138645329D01* +X95759835Y-138608345D01* +X95758000Y-138571000D01* +X95758000Y-131993000D01* +X95759835Y-131955655D01* +X95765321Y-131918671D01* +X95774406Y-131882402D01* +X95787002Y-131847198D01* +X95802988Y-131813398D01* +X95822210Y-131781328D01* +X95844483Y-131751296D01* +X95869592Y-131723592D01* +X95897296Y-131698483D01* +X95927328Y-131676210D01* +X95959398Y-131656988D01* +X95993198Y-131641002D01* +X96028402Y-131628406D01* +X96064671Y-131619321D01* +X96101655Y-131613835D01* +X96139000Y-131612000D01* +X96901000Y-131612000D01* +X96938345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X94398345Y-131613835D02* +G01* +X94435329Y-131619321D01* +X94471598Y-131628406D01* +X94506802Y-131641002D01* +X94540602Y-131656988D01* +X94572672Y-131676210D01* +X94602704Y-131698483D01* +X94630408Y-131723592D01* +X94655517Y-131751296D01* +X94677790Y-131781328D01* +X94697012Y-131813398D01* +X94712998Y-131847198D01* +X94725594Y-131882402D01* +X94734679Y-131918671D01* +X94740165Y-131955655D01* +X94742000Y-131993000D01* +X94742000Y-138571000D01* +X94740165Y-138608345D01* +X94734679Y-138645329D01* +X94725594Y-138681598D01* +X94712998Y-138716802D01* +X94697012Y-138750602D01* +X94677790Y-138782672D01* +X94655517Y-138812704D01* +X94630408Y-138840408D01* +X94602704Y-138865517D01* +X94572672Y-138887790D01* +X94540602Y-138907012D01* +X94506802Y-138922998D01* +X94471598Y-138935594D01* +X94435329Y-138944679D01* +X94398345Y-138950165D01* +X94361000Y-138952000D01* +X93599000Y-138952000D01* +X93561655Y-138950165D01* +X93524671Y-138944679D01* +X93488402Y-138935594D01* +X93453198Y-138922998D01* +X93419398Y-138907012D01* +X93387328Y-138887790D01* +X93357296Y-138865517D01* +X93329592Y-138840408D01* +X93304483Y-138812704D01* +X93282210Y-138782672D01* +X93262988Y-138750602D01* +X93247002Y-138716802D01* +X93234406Y-138681598D01* +X93225321Y-138645329D01* +X93219835Y-138608345D01* +X93218000Y-138571000D01* +X93218000Y-131993000D01* +X93219835Y-131955655D01* +X93225321Y-131918671D01* +X93234406Y-131882402D01* +X93247002Y-131847198D01* +X93262988Y-131813398D01* +X93282210Y-131781328D01* +X93304483Y-131751296D01* +X93329592Y-131723592D01* +X93357296Y-131698483D01* +X93387328Y-131676210D01* +X93419398Y-131656988D01* +X93453198Y-131641002D01* +X93488402Y-131628406D01* +X93524671Y-131619321D01* +X93561655Y-131613835D01* +X93599000Y-131612000D01* +X94361000Y-131612000D01* +X94398345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X91858345Y-131613835D02* +G01* +X91895329Y-131619321D01* +X91931598Y-131628406D01* +X91966802Y-131641002D01* +X92000602Y-131656988D01* +X92032672Y-131676210D01* +X92062704Y-131698483D01* +X92090408Y-131723592D01* +X92115517Y-131751296D01* +X92137790Y-131781328D01* +X92157012Y-131813398D01* +X92172998Y-131847198D01* +X92185594Y-131882402D01* +X92194679Y-131918671D01* +X92200165Y-131955655D01* +X92202000Y-131993000D01* +X92202000Y-138571000D01* +X92200165Y-138608345D01* +X92194679Y-138645329D01* +X92185594Y-138681598D01* +X92172998Y-138716802D01* +X92157012Y-138750602D01* +X92137790Y-138782672D01* +X92115517Y-138812704D01* +X92090408Y-138840408D01* +X92062704Y-138865517D01* +X92032672Y-138887790D01* +X92000602Y-138907012D01* +X91966802Y-138922998D01* +X91931598Y-138935594D01* +X91895329Y-138944679D01* +X91858345Y-138950165D01* +X91821000Y-138952000D01* +X91059000Y-138952000D01* +X91021655Y-138950165D01* +X90984671Y-138944679D01* +X90948402Y-138935594D01* +X90913198Y-138922998D01* +X90879398Y-138907012D01* +X90847328Y-138887790D01* +X90817296Y-138865517D01* +X90789592Y-138840408D01* +X90764483Y-138812704D01* +X90742210Y-138782672D01* +X90722988Y-138750602D01* +X90707002Y-138716802D01* +X90694406Y-138681598D01* +X90685321Y-138645329D01* +X90679835Y-138608345D01* +X90678000Y-138571000D01* +X90678000Y-131993000D01* +X90679835Y-131955655D01* +X90685321Y-131918671D01* +X90694406Y-131882402D01* +X90707002Y-131847198D01* +X90722988Y-131813398D01* +X90742210Y-131781328D01* +X90764483Y-131751296D01* +X90789592Y-131723592D01* +X90817296Y-131698483D01* +X90847328Y-131676210D01* +X90879398Y-131656988D01* +X90913198Y-131641002D01* +X90948402Y-131628406D01* +X90984671Y-131619321D01* +X91021655Y-131613835D01* +X91059000Y-131612000D01* +X91821000Y-131612000D01* +X91858345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X89318345Y-131613835D02* +G01* +X89355329Y-131619321D01* +X89391598Y-131628406D01* +X89426802Y-131641002D01* +X89460602Y-131656988D01* +X89492672Y-131676210D01* +X89522704Y-131698483D01* +X89550408Y-131723592D01* +X89575517Y-131751296D01* +X89597790Y-131781328D01* +X89617012Y-131813398D01* +X89632998Y-131847198D01* +X89645594Y-131882402D01* +X89654679Y-131918671D01* +X89660165Y-131955655D01* +X89662000Y-131993000D01* +X89662000Y-138571000D01* +X89660165Y-138608345D01* +X89654679Y-138645329D01* +X89645594Y-138681598D01* +X89632998Y-138716802D01* +X89617012Y-138750602D01* +X89597790Y-138782672D01* +X89575517Y-138812704D01* +X89550408Y-138840408D01* +X89522704Y-138865517D01* +X89492672Y-138887790D01* +X89460602Y-138907012D01* +X89426802Y-138922998D01* +X89391598Y-138935594D01* +X89355329Y-138944679D01* +X89318345Y-138950165D01* +X89281000Y-138952000D01* +X88519000Y-138952000D01* +X88481655Y-138950165D01* +X88444671Y-138944679D01* +X88408402Y-138935594D01* +X88373198Y-138922998D01* +X88339398Y-138907012D01* +X88307328Y-138887790D01* +X88277296Y-138865517D01* +X88249592Y-138840408D01* +X88224483Y-138812704D01* +X88202210Y-138782672D01* +X88182988Y-138750602D01* +X88167002Y-138716802D01* +X88154406Y-138681598D01* +X88145321Y-138645329D01* +X88139835Y-138608345D01* +X88138000Y-138571000D01* +X88138000Y-131993000D01* +X88139835Y-131955655D01* +X88145321Y-131918671D01* +X88154406Y-131882402D01* +X88167002Y-131847198D01* +X88182988Y-131813398D01* +X88202210Y-131781328D01* +X88224483Y-131751296D01* +X88249592Y-131723592D01* +X88277296Y-131698483D01* +X88307328Y-131676210D01* +X88339398Y-131656988D01* +X88373198Y-131641002D01* +X88408402Y-131628406D01* +X88444671Y-131619321D01* +X88481655Y-131613835D01* +X88519000Y-131612000D01* +X89281000Y-131612000D01* +X89318345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X86778345Y-131613835D02* +G01* +X86815329Y-131619321D01* +X86851598Y-131628406D01* +X86886802Y-131641002D01* +X86920602Y-131656988D01* +X86952672Y-131676210D01* +X86982704Y-131698483D01* +X87010408Y-131723592D01* +X87035517Y-131751296D01* +X87057790Y-131781328D01* +X87077012Y-131813398D01* +X87092998Y-131847198D01* +X87105594Y-131882402D01* +X87114679Y-131918671D01* +X87120165Y-131955655D01* +X87122000Y-131993000D01* +X87122000Y-138571000D01* +X87120165Y-138608345D01* +X87114679Y-138645329D01* +X87105594Y-138681598D01* +X87092998Y-138716802D01* +X87077012Y-138750602D01* +X87057790Y-138782672D01* +X87035517Y-138812704D01* +X87010408Y-138840408D01* +X86982704Y-138865517D01* +X86952672Y-138887790D01* +X86920602Y-138907012D01* +X86886802Y-138922998D01* +X86851598Y-138935594D01* +X86815329Y-138944679D01* +X86778345Y-138950165D01* +X86741000Y-138952000D01* +X85979000Y-138952000D01* +X85941655Y-138950165D01* +X85904671Y-138944679D01* +X85868402Y-138935594D01* +X85833198Y-138922998D01* +X85799398Y-138907012D01* +X85767328Y-138887790D01* +X85737296Y-138865517D01* +X85709592Y-138840408D01* +X85684483Y-138812704D01* +X85662210Y-138782672D01* +X85642988Y-138750602D01* +X85627002Y-138716802D01* +X85614406Y-138681598D01* +X85605321Y-138645329D01* +X85599835Y-138608345D01* +X85598000Y-138571000D01* +X85598000Y-131993000D01* +X85599835Y-131955655D01* +X85605321Y-131918671D01* +X85614406Y-131882402D01* +X85627002Y-131847198D01* +X85642988Y-131813398D01* +X85662210Y-131781328D01* +X85684483Y-131751296D01* +X85709592Y-131723592D01* +X85737296Y-131698483D01* +X85767328Y-131676210D01* +X85799398Y-131656988D01* +X85833198Y-131641002D01* +X85868402Y-131628406D01* +X85904671Y-131619321D01* +X85941655Y-131613835D01* +X85979000Y-131612000D01* +X86741000Y-131612000D01* +X86778345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X84238345Y-131613835D02* +G01* +X84275329Y-131619321D01* +X84311598Y-131628406D01* +X84346802Y-131641002D01* +X84380602Y-131656988D01* +X84412672Y-131676210D01* +X84442704Y-131698483D01* +X84470408Y-131723592D01* +X84495517Y-131751296D01* +X84517790Y-131781328D01* +X84537012Y-131813398D01* +X84552998Y-131847198D01* +X84565594Y-131882402D01* +X84574679Y-131918671D01* +X84580165Y-131955655D01* +X84582000Y-131993000D01* +X84582000Y-138571000D01* +X84580165Y-138608345D01* +X84574679Y-138645329D01* +X84565594Y-138681598D01* +X84552998Y-138716802D01* +X84537012Y-138750602D01* +X84517790Y-138782672D01* +X84495517Y-138812704D01* +X84470408Y-138840408D01* +X84442704Y-138865517D01* +X84412672Y-138887790D01* +X84380602Y-138907012D01* +X84346802Y-138922998D01* +X84311598Y-138935594D01* +X84275329Y-138944679D01* +X84238345Y-138950165D01* +X84201000Y-138952000D01* +X83439000Y-138952000D01* +X83401655Y-138950165D01* +X83364671Y-138944679D01* +X83328402Y-138935594D01* +X83293198Y-138922998D01* +X83259398Y-138907012D01* +X83227328Y-138887790D01* +X83197296Y-138865517D01* +X83169592Y-138840408D01* +X83144483Y-138812704D01* +X83122210Y-138782672D01* +X83102988Y-138750602D01* +X83087002Y-138716802D01* +X83074406Y-138681598D01* +X83065321Y-138645329D01* +X83059835Y-138608345D01* +X83058000Y-138571000D01* +X83058000Y-131993000D01* +X83059835Y-131955655D01* +X83065321Y-131918671D01* +X83074406Y-131882402D01* +X83087002Y-131847198D01* +X83102988Y-131813398D01* +X83122210Y-131781328D01* +X83144483Y-131751296D01* +X83169592Y-131723592D01* +X83197296Y-131698483D01* +X83227328Y-131676210D01* +X83259398Y-131656988D01* +X83293198Y-131641002D01* +X83328402Y-131628406D01* +X83364671Y-131619321D01* +X83401655Y-131613835D01* +X83439000Y-131612000D01* +X84201000Y-131612000D01* +X84238345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X81698345Y-131613835D02* +G01* +X81735329Y-131619321D01* +X81771598Y-131628406D01* +X81806802Y-131641002D01* +X81840602Y-131656988D01* +X81872672Y-131676210D01* +X81902704Y-131698483D01* +X81930408Y-131723592D01* +X81955517Y-131751296D01* +X81977790Y-131781328D01* +X81997012Y-131813398D01* +X82012998Y-131847198D01* +X82025594Y-131882402D01* +X82034679Y-131918671D01* +X82040165Y-131955655D01* +X82042000Y-131993000D01* +X82042000Y-138571000D01* +X82040165Y-138608345D01* +X82034679Y-138645329D01* +X82025594Y-138681598D01* +X82012998Y-138716802D01* +X81997012Y-138750602D01* +X81977790Y-138782672D01* +X81955517Y-138812704D01* +X81930408Y-138840408D01* +X81902704Y-138865517D01* +X81872672Y-138887790D01* +X81840602Y-138907012D01* +X81806802Y-138922998D01* +X81771598Y-138935594D01* +X81735329Y-138944679D01* +X81698345Y-138950165D01* +X81661000Y-138952000D01* +X80899000Y-138952000D01* +X80861655Y-138950165D01* +X80824671Y-138944679D01* +X80788402Y-138935594D01* +X80753198Y-138922998D01* +X80719398Y-138907012D01* +X80687328Y-138887790D01* +X80657296Y-138865517D01* +X80629592Y-138840408D01* +X80604483Y-138812704D01* +X80582210Y-138782672D01* +X80562988Y-138750602D01* +X80547002Y-138716802D01* +X80534406Y-138681598D01* +X80525321Y-138645329D01* +X80519835Y-138608345D01* +X80518000Y-138571000D01* +X80518000Y-131993000D01* +X80519835Y-131955655D01* +X80525321Y-131918671D01* +X80534406Y-131882402D01* +X80547002Y-131847198D01* +X80562988Y-131813398D01* +X80582210Y-131781328D01* +X80604483Y-131751296D01* +X80629592Y-131723592D01* +X80657296Y-131698483D01* +X80687328Y-131676210D01* +X80719398Y-131656988D01* +X80753198Y-131641002D01* +X80788402Y-131628406D01* +X80824671Y-131619321D01* +X80861655Y-131613835D01* +X80899000Y-131612000D01* +X81661000Y-131612000D01* +X81698345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X79158345Y-131613835D02* +G01* +X79195329Y-131619321D01* +X79231598Y-131628406D01* +X79266802Y-131641002D01* +X79300602Y-131656988D01* +X79332672Y-131676210D01* +X79362704Y-131698483D01* +X79390408Y-131723592D01* +X79415517Y-131751296D01* +X79437790Y-131781328D01* +X79457012Y-131813398D01* +X79472998Y-131847198D01* +X79485594Y-131882402D01* +X79494679Y-131918671D01* +X79500165Y-131955655D01* +X79502000Y-131993000D01* +X79502000Y-138571000D01* +X79500165Y-138608345D01* +X79494679Y-138645329D01* +X79485594Y-138681598D01* +X79472998Y-138716802D01* +X79457012Y-138750602D01* +X79437790Y-138782672D01* +X79415517Y-138812704D01* +X79390408Y-138840408D01* +X79362704Y-138865517D01* +X79332672Y-138887790D01* +X79300602Y-138907012D01* +X79266802Y-138922998D01* +X79231598Y-138935594D01* +X79195329Y-138944679D01* +X79158345Y-138950165D01* +X79121000Y-138952000D01* +X78359000Y-138952000D01* +X78321655Y-138950165D01* +X78284671Y-138944679D01* +X78248402Y-138935594D01* +X78213198Y-138922998D01* +X78179398Y-138907012D01* +X78147328Y-138887790D01* +X78117296Y-138865517D01* +X78089592Y-138840408D01* +X78064483Y-138812704D01* +X78042210Y-138782672D01* +X78022988Y-138750602D01* +X78007002Y-138716802D01* +X77994406Y-138681598D01* +X77985321Y-138645329D01* +X77979835Y-138608345D01* +X77978000Y-138571000D01* +X77978000Y-131993000D01* +X77979835Y-131955655D01* +X77985321Y-131918671D01* +X77994406Y-131882402D01* +X78007002Y-131847198D01* +X78022988Y-131813398D01* +X78042210Y-131781328D01* +X78064483Y-131751296D01* +X78089592Y-131723592D01* +X78117296Y-131698483D01* +X78147328Y-131676210D01* +X78179398Y-131656988D01* +X78213198Y-131641002D01* +X78248402Y-131628406D01* +X78284671Y-131619321D01* +X78321655Y-131613835D01* +X78359000Y-131612000D01* +X79121000Y-131612000D01* +X79158345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X76618345Y-131613835D02* +G01* +X76655329Y-131619321D01* +X76691598Y-131628406D01* +X76726802Y-131641002D01* +X76760602Y-131656988D01* +X76792672Y-131676210D01* +X76822704Y-131698483D01* +X76850408Y-131723592D01* +X76875517Y-131751296D01* +X76897790Y-131781328D01* +X76917012Y-131813398D01* +X76932998Y-131847198D01* +X76945594Y-131882402D01* +X76954679Y-131918671D01* +X76960165Y-131955655D01* +X76962000Y-131993000D01* +X76962000Y-138571000D01* +X76960165Y-138608345D01* +X76954679Y-138645329D01* +X76945594Y-138681598D01* +X76932998Y-138716802D01* +X76917012Y-138750602D01* +X76897790Y-138782672D01* +X76875517Y-138812704D01* +X76850408Y-138840408D01* +X76822704Y-138865517D01* +X76792672Y-138887790D01* +X76760602Y-138907012D01* +X76726802Y-138922998D01* +X76691598Y-138935594D01* +X76655329Y-138944679D01* +X76618345Y-138950165D01* +X76581000Y-138952000D01* +X75819000Y-138952000D01* +X75781655Y-138950165D01* +X75744671Y-138944679D01* +X75708402Y-138935594D01* +X75673198Y-138922998D01* +X75639398Y-138907012D01* +X75607328Y-138887790D01* +X75577296Y-138865517D01* +X75549592Y-138840408D01* +X75524483Y-138812704D01* +X75502210Y-138782672D01* +X75482988Y-138750602D01* +X75467002Y-138716802D01* +X75454406Y-138681598D01* +X75445321Y-138645329D01* +X75439835Y-138608345D01* +X75438000Y-138571000D01* +X75438000Y-131993000D01* +X75439835Y-131955655D01* +X75445321Y-131918671D01* +X75454406Y-131882402D01* +X75467002Y-131847198D01* +X75482988Y-131813398D01* +X75502210Y-131781328D01* +X75524483Y-131751296D01* +X75549592Y-131723592D01* +X75577296Y-131698483D01* +X75607328Y-131676210D01* +X75639398Y-131656988D01* +X75673198Y-131641002D01* +X75708402Y-131628406D01* +X75744671Y-131619321D01* +X75781655Y-131613835D01* +X75819000Y-131612000D01* +X76581000Y-131612000D01* +X76618345Y-131613835D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X78188229Y-127190264D02* +G01* +X78213711Y-127194044D01* +X78238700Y-127200303D01* +X78262954Y-127208982D01* +X78286242Y-127219996D01* +X78308337Y-127233239D01* +X78329028Y-127248585D01* +X78348116Y-127265884D01* +X78365415Y-127284972D01* +X78380761Y-127305663D01* +X78394004Y-127327758D01* +X78405018Y-127351046D01* +X78413697Y-127375300D01* +X78419956Y-127400289D01* +X78423736Y-127425771D01* +X78425000Y-127451500D01* +X78425000Y-128326500D01* +X78423736Y-128352229D01* +X78419956Y-128377711D01* +X78413697Y-128402700D01* +X78405018Y-128426954D01* +X78394004Y-128450242D01* +X78380761Y-128472337D01* +X78365415Y-128493028D01* +X78348116Y-128512116D01* +X78329028Y-128529415D01* +X78308337Y-128544761D01* +X78286242Y-128558004D01* +X78262954Y-128569018D01* +X78238700Y-128577697D01* +X78213711Y-128583956D01* +X78188229Y-128587736D01* +X78162500Y-128589000D01* +X77637500Y-128589000D01* +X77611771Y-128587736D01* +X77586289Y-128583956D01* +X77561300Y-128577697D01* +X77537046Y-128569018D01* +X77513758Y-128558004D01* +X77491663Y-128544761D01* +X77470972Y-128529415D01* +X77451884Y-128512116D01* +X77434585Y-128493028D01* +X77419239Y-128472337D01* +X77405996Y-128450242D01* +X77394982Y-128426954D01* +X77386303Y-128402700D01* +X77380044Y-128377711D01* +X77376264Y-128352229D01* +X77375000Y-128326500D01* +X77375000Y-127451500D01* +X77376264Y-127425771D01* +X77380044Y-127400289D01* +X77386303Y-127375300D01* +X77394982Y-127351046D01* +X77405996Y-127327758D01* +X77419239Y-127305663D01* +X77434585Y-127284972D01* +X77451884Y-127265884D01* +X77470972Y-127248585D01* +X77491663Y-127233239D01* +X77513758Y-127219996D01* +X77537046Y-127208982D01* +X77561300Y-127200303D01* +X77586289Y-127194044D01* +X77611771Y-127190264D01* +X77637500Y-127189000D01* +X78162500Y-127189000D01* +X78188229Y-127190264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X76488229Y-127190264D02* +G01* +X76513711Y-127194044D01* +X76538700Y-127200303D01* +X76562954Y-127208982D01* +X76586242Y-127219996D01* +X76608337Y-127233239D01* +X76629028Y-127248585D01* +X76648116Y-127265884D01* +X76665415Y-127284972D01* +X76680761Y-127305663D01* +X76694004Y-127327758D01* +X76705018Y-127351046D01* +X76713697Y-127375300D01* +X76719956Y-127400289D01* +X76723736Y-127425771D01* +X76725000Y-127451500D01* +X76725000Y-128326500D01* +X76723736Y-128352229D01* +X76719956Y-128377711D01* +X76713697Y-128402700D01* +X76705018Y-128426954D01* +X76694004Y-128450242D01* +X76680761Y-128472337D01* +X76665415Y-128493028D01* +X76648116Y-128512116D01* +X76629028Y-128529415D01* +X76608337Y-128544761D01* +X76586242Y-128558004D01* +X76562954Y-128569018D01* +X76538700Y-128577697D01* +X76513711Y-128583956D01* +X76488229Y-128587736D01* +X76462500Y-128589000D01* +X75937500Y-128589000D01* +X75911771Y-128587736D01* +X75886289Y-128583956D01* +X75861300Y-128577697D01* +X75837046Y-128569018D01* +X75813758Y-128558004D01* +X75791663Y-128544761D01* +X75770972Y-128529415D01* +X75751884Y-128512116D01* +X75734585Y-128493028D01* +X75719239Y-128472337D01* +X75705996Y-128450242D01* +X75694982Y-128426954D01* +X75686303Y-128402700D01* +X75680044Y-128377711D01* +X75676264Y-128352229D01* +X75675000Y-128326500D01* +X75675000Y-127451500D01* +X75676264Y-127425771D01* +X75680044Y-127400289D01* +X75686303Y-127375300D01* +X75694982Y-127351046D01* +X75705996Y-127327758D01* +X75719239Y-127305663D01* +X75734585Y-127284972D01* +X75751884Y-127265884D01* +X75770972Y-127248585D01* +X75791663Y-127233239D01* +X75813758Y-127219996D01* +X75837046Y-127208982D01* +X75861300Y-127200303D01* +X75886289Y-127194044D01* +X75911771Y-127190264D01* +X75937500Y-127189000D01* +X76462500Y-127189000D01* +X76488229Y-127190264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X119925703Y-112440722D02* +G01* +X119940264Y-112442882D01* +X119954543Y-112446459D01* +X119968403Y-112451418D01* +X119981710Y-112457712D01* +X119994336Y-112465280D01* +X120006159Y-112474048D01* +X120017066Y-112483934D01* +X120026952Y-112494841D01* +X120035720Y-112506664D01* +X120043288Y-112519290D01* +X120049582Y-112532597D01* +X120054541Y-112546457D01* +X120058118Y-112560736D01* +X120060278Y-112575297D01* +X120061000Y-112590000D01* +X120061000Y-113765000D01* +X120060278Y-113779703D01* +X120058118Y-113794264D01* +X120054541Y-113808543D01* +X120049582Y-113822403D01* +X120043288Y-113835710D01* +X120035720Y-113848336D01* +X120026952Y-113860159D01* +X120017066Y-113871066D01* +X120006159Y-113880952D01* +X119994336Y-113889720D01* +X119981710Y-113897288D01* +X119968403Y-113903582D01* +X119954543Y-113908541D01* +X119940264Y-113912118D01* +X119925703Y-113914278D01* +X119911000Y-113915000D01* +X119611000Y-113915000D01* +X119596297Y-113914278D01* +X119581736Y-113912118D01* +X119567457Y-113908541D01* +X119553597Y-113903582D01* +X119540290Y-113897288D01* +X119527664Y-113889720D01* +X119515841Y-113880952D01* +X119504934Y-113871066D01* +X119495048Y-113860159D01* +X119486280Y-113848336D01* +X119478712Y-113835710D01* +X119472418Y-113822403D01* +X119467459Y-113808543D01* +X119463882Y-113794264D01* +X119461722Y-113779703D01* +X119461000Y-113765000D01* +X119461000Y-112590000D01* +X119461722Y-112575297D01* +X119463882Y-112560736D01* +X119467459Y-112546457D01* +X119472418Y-112532597D01* +X119478712Y-112519290D01* +X119486280Y-112506664D01* +X119495048Y-112494841D01* +X119504934Y-112483934D01* +X119515841Y-112474048D01* +X119527664Y-112465280D01* +X119540290Y-112457712D01* +X119553597Y-112451418D01* +X119567457Y-112446459D01* +X119581736Y-112442882D01* +X119596297Y-112440722D01* +X119611000Y-112440000D01* +X119911000Y-112440000D01* +X119925703Y-112440722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X118655703Y-112440722D02* +G01* +X118670264Y-112442882D01* +X118684543Y-112446459D01* +X118698403Y-112451418D01* +X118711710Y-112457712D01* +X118724336Y-112465280D01* +X118736159Y-112474048D01* +X118747066Y-112483934D01* +X118756952Y-112494841D01* +X118765720Y-112506664D01* +X118773288Y-112519290D01* +X118779582Y-112532597D01* +X118784541Y-112546457D01* +X118788118Y-112560736D01* +X118790278Y-112575297D01* +X118791000Y-112590000D01* +X118791000Y-113765000D01* +X118790278Y-113779703D01* +X118788118Y-113794264D01* +X118784541Y-113808543D01* +X118779582Y-113822403D01* +X118773288Y-113835710D01* +X118765720Y-113848336D01* +X118756952Y-113860159D01* +X118747066Y-113871066D01* +X118736159Y-113880952D01* +X118724336Y-113889720D01* +X118711710Y-113897288D01* +X118698403Y-113903582D01* +X118684543Y-113908541D01* +X118670264Y-113912118D01* +X118655703Y-113914278D01* +X118641000Y-113915000D01* +X118341000Y-113915000D01* +X118326297Y-113914278D01* +X118311736Y-113912118D01* +X118297457Y-113908541D01* +X118283597Y-113903582D01* +X118270290Y-113897288D01* +X118257664Y-113889720D01* +X118245841Y-113880952D01* +X118234934Y-113871066D01* +X118225048Y-113860159D01* +X118216280Y-113848336D01* +X118208712Y-113835710D01* +X118202418Y-113822403D01* +X118197459Y-113808543D01* +X118193882Y-113794264D01* +X118191722Y-113779703D01* +X118191000Y-113765000D01* +X118191000Y-112590000D01* +X118191722Y-112575297D01* +X118193882Y-112560736D01* +X118197459Y-112546457D01* +X118202418Y-112532597D01* +X118208712Y-112519290D01* +X118216280Y-112506664D01* +X118225048Y-112494841D01* +X118234934Y-112483934D01* +X118245841Y-112474048D01* +X118257664Y-112465280D01* +X118270290Y-112457712D01* +X118283597Y-112451418D01* +X118297457Y-112446459D01* +X118311736Y-112442882D01* +X118326297Y-112440722D01* +X118341000Y-112440000D01* +X118641000Y-112440000D01* +X118655703Y-112440722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X117385703Y-112440722D02* +G01* +X117400264Y-112442882D01* +X117414543Y-112446459D01* +X117428403Y-112451418D01* +X117441710Y-112457712D01* +X117454336Y-112465280D01* +X117466159Y-112474048D01* +X117477066Y-112483934D01* +X117486952Y-112494841D01* +X117495720Y-112506664D01* +X117503288Y-112519290D01* +X117509582Y-112532597D01* +X117514541Y-112546457D01* +X117518118Y-112560736D01* +X117520278Y-112575297D01* +X117521000Y-112590000D01* +X117521000Y-113765000D01* +X117520278Y-113779703D01* +X117518118Y-113794264D01* +X117514541Y-113808543D01* +X117509582Y-113822403D01* +X117503288Y-113835710D01* +X117495720Y-113848336D01* +X117486952Y-113860159D01* +X117477066Y-113871066D01* +X117466159Y-113880952D01* +X117454336Y-113889720D01* +X117441710Y-113897288D01* +X117428403Y-113903582D01* +X117414543Y-113908541D01* +X117400264Y-113912118D01* +X117385703Y-113914278D01* +X117371000Y-113915000D01* +X117071000Y-113915000D01* +X117056297Y-113914278D01* +X117041736Y-113912118D01* +X117027457Y-113908541D01* +X117013597Y-113903582D01* +X117000290Y-113897288D01* +X116987664Y-113889720D01* +X116975841Y-113880952D01* +X116964934Y-113871066D01* +X116955048Y-113860159D01* +X116946280Y-113848336D01* +X116938712Y-113835710D01* +X116932418Y-113822403D01* +X116927459Y-113808543D01* +X116923882Y-113794264D01* +X116921722Y-113779703D01* +X116921000Y-113765000D01* +X116921000Y-112590000D01* +X116921722Y-112575297D01* +X116923882Y-112560736D01* +X116927459Y-112546457D01* +X116932418Y-112532597D01* +X116938712Y-112519290D01* +X116946280Y-112506664D01* +X116955048Y-112494841D01* +X116964934Y-112483934D01* +X116975841Y-112474048D01* +X116987664Y-112465280D01* +X117000290Y-112457712D01* +X117013597Y-112451418D01* +X117027457Y-112446459D01* +X117041736Y-112442882D01* +X117056297Y-112440722D01* +X117071000Y-112440000D01* +X117371000Y-112440000D01* +X117385703Y-112440722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X116115703Y-112440722D02* +G01* +X116130264Y-112442882D01* +X116144543Y-112446459D01* +X116158403Y-112451418D01* +X116171710Y-112457712D01* +X116184336Y-112465280D01* +X116196159Y-112474048D01* +X116207066Y-112483934D01* +X116216952Y-112494841D01* +X116225720Y-112506664D01* +X116233288Y-112519290D01* +X116239582Y-112532597D01* +X116244541Y-112546457D01* +X116248118Y-112560736D01* +X116250278Y-112575297D01* +X116251000Y-112590000D01* +X116251000Y-113765000D01* +X116250278Y-113779703D01* +X116248118Y-113794264D01* +X116244541Y-113808543D01* +X116239582Y-113822403D01* +X116233288Y-113835710D01* +X116225720Y-113848336D01* +X116216952Y-113860159D01* +X116207066Y-113871066D01* +X116196159Y-113880952D01* +X116184336Y-113889720D01* +X116171710Y-113897288D01* +X116158403Y-113903582D01* +X116144543Y-113908541D01* +X116130264Y-113912118D01* +X116115703Y-113914278D01* +X116101000Y-113915000D01* +X115801000Y-113915000D01* +X115786297Y-113914278D01* +X115771736Y-113912118D01* +X115757457Y-113908541D01* +X115743597Y-113903582D01* +X115730290Y-113897288D01* +X115717664Y-113889720D01* +X115705841Y-113880952D01* +X115694934Y-113871066D01* +X115685048Y-113860159D01* +X115676280Y-113848336D01* +X115668712Y-113835710D01* +X115662418Y-113822403D01* +X115657459Y-113808543D01* +X115653882Y-113794264D01* +X115651722Y-113779703D01* +X115651000Y-113765000D01* +X115651000Y-112590000D01* +X115651722Y-112575297D01* +X115653882Y-112560736D01* +X115657459Y-112546457D01* +X115662418Y-112532597D01* +X115668712Y-112519290D01* +X115676280Y-112506664D01* +X115685048Y-112494841D01* +X115694934Y-112483934D01* +X115705841Y-112474048D01* +X115717664Y-112465280D01* +X115730290Y-112457712D01* +X115743597Y-112451418D01* +X115757457Y-112446459D01* +X115771736Y-112442882D01* +X115786297Y-112440722D01* +X115801000Y-112440000D01* +X116101000Y-112440000D01* +X116115703Y-112440722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114800703Y-114635722D02* +G01* +X114815264Y-114637882D01* +X114829543Y-114641459D01* +X114843403Y-114646418D01* +X114856710Y-114652712D01* +X114869336Y-114660280D01* +X114881159Y-114669048D01* +X114892066Y-114678934D01* +X114901952Y-114689841D01* +X114910720Y-114701664D01* +X114918288Y-114714290D01* +X114924582Y-114727597D01* +X114929541Y-114741457D01* +X114933118Y-114755736D01* +X114935278Y-114770297D01* +X114936000Y-114785000D01* +X114936000Y-115085000D01* +X114935278Y-115099703D01* +X114933118Y-115114264D01* +X114929541Y-115128543D01* +X114924582Y-115142403D01* +X114918288Y-115155710D01* +X114910720Y-115168336D01* +X114901952Y-115180159D01* +X114892066Y-115191066D01* +X114881159Y-115200952D01* +X114869336Y-115209720D01* +X114856710Y-115217288D01* +X114843403Y-115223582D01* +X114829543Y-115228541D01* +X114815264Y-115232118D01* +X114800703Y-115234278D01* +X114786000Y-115235000D01* +X113611000Y-115235000D01* +X113596297Y-115234278D01* +X113581736Y-115232118D01* +X113567457Y-115228541D01* +X113553597Y-115223582D01* +X113540290Y-115217288D01* +X113527664Y-115209720D01* +X113515841Y-115200952D01* +X113504934Y-115191066D01* +X113495048Y-115180159D01* +X113486280Y-115168336D01* +X113478712Y-115155710D01* +X113472418Y-115142403D01* +X113467459Y-115128543D01* +X113463882Y-115114264D01* +X113461722Y-115099703D01* +X113461000Y-115085000D01* +X113461000Y-114785000D01* +X113461722Y-114770297D01* +X113463882Y-114755736D01* +X113467459Y-114741457D01* +X113472418Y-114727597D01* +X113478712Y-114714290D01* +X113486280Y-114701664D01* +X113495048Y-114689841D01* +X113504934Y-114678934D01* +X113515841Y-114669048D01* +X113527664Y-114660280D01* +X113540290Y-114652712D01* +X113553597Y-114646418D01* +X113567457Y-114641459D01* +X113581736Y-114637882D01* +X113596297Y-114635722D01* +X113611000Y-114635000D01* +X114786000Y-114635000D01* +X114800703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114800703Y-115905722D02* +G01* +X114815264Y-115907882D01* +X114829543Y-115911459D01* +X114843403Y-115916418D01* +X114856710Y-115922712D01* +X114869336Y-115930280D01* +X114881159Y-115939048D01* +X114892066Y-115948934D01* +X114901952Y-115959841D01* +X114910720Y-115971664D01* +X114918288Y-115984290D01* +X114924582Y-115997597D01* +X114929541Y-116011457D01* +X114933118Y-116025736D01* +X114935278Y-116040297D01* +X114936000Y-116055000D01* +X114936000Y-116355000D01* +X114935278Y-116369703D01* +X114933118Y-116384264D01* +X114929541Y-116398543D01* +X114924582Y-116412403D01* +X114918288Y-116425710D01* +X114910720Y-116438336D01* +X114901952Y-116450159D01* +X114892066Y-116461066D01* +X114881159Y-116470952D01* +X114869336Y-116479720D01* +X114856710Y-116487288D01* +X114843403Y-116493582D01* +X114829543Y-116498541D01* +X114815264Y-116502118D01* +X114800703Y-116504278D01* +X114786000Y-116505000D01* +X113611000Y-116505000D01* +X113596297Y-116504278D01* +X113581736Y-116502118D01* +X113567457Y-116498541D01* +X113553597Y-116493582D01* +X113540290Y-116487288D01* +X113527664Y-116479720D01* +X113515841Y-116470952D01* +X113504934Y-116461066D01* +X113495048Y-116450159D01* +X113486280Y-116438336D01* +X113478712Y-116425710D01* +X113472418Y-116412403D01* +X113467459Y-116398543D01* +X113463882Y-116384264D01* +X113461722Y-116369703D01* +X113461000Y-116355000D01* +X113461000Y-116055000D01* +X113461722Y-116040297D01* +X113463882Y-116025736D01* +X113467459Y-116011457D01* +X113472418Y-115997597D01* +X113478712Y-115984290D01* +X113486280Y-115971664D01* +X113495048Y-115959841D01* +X113504934Y-115948934D01* +X113515841Y-115939048D01* +X113527664Y-115930280D01* +X113540290Y-115922712D01* +X113553597Y-115916418D01* +X113567457Y-115911459D01* +X113581736Y-115907882D01* +X113596297Y-115905722D01* +X113611000Y-115905000D01* +X114786000Y-115905000D01* +X114800703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114800703Y-117175722D02* +G01* +X114815264Y-117177882D01* +X114829543Y-117181459D01* +X114843403Y-117186418D01* +X114856710Y-117192712D01* +X114869336Y-117200280D01* +X114881159Y-117209048D01* +X114892066Y-117218934D01* +X114901952Y-117229841D01* +X114910720Y-117241664D01* +X114918288Y-117254290D01* +X114924582Y-117267597D01* +X114929541Y-117281457D01* +X114933118Y-117295736D01* +X114935278Y-117310297D01* +X114936000Y-117325000D01* +X114936000Y-117625000D01* +X114935278Y-117639703D01* +X114933118Y-117654264D01* +X114929541Y-117668543D01* +X114924582Y-117682403D01* +X114918288Y-117695710D01* +X114910720Y-117708336D01* +X114901952Y-117720159D01* +X114892066Y-117731066D01* +X114881159Y-117740952D01* +X114869336Y-117749720D01* +X114856710Y-117757288D01* +X114843403Y-117763582D01* +X114829543Y-117768541D01* +X114815264Y-117772118D01* +X114800703Y-117774278D01* +X114786000Y-117775000D01* +X113611000Y-117775000D01* +X113596297Y-117774278D01* +X113581736Y-117772118D01* +X113567457Y-117768541D01* +X113553597Y-117763582D01* +X113540290Y-117757288D01* +X113527664Y-117749720D01* +X113515841Y-117740952D01* +X113504934Y-117731066D01* +X113495048Y-117720159D01* +X113486280Y-117708336D01* +X113478712Y-117695710D01* +X113472418Y-117682403D01* +X113467459Y-117668543D01* +X113463882Y-117654264D01* +X113461722Y-117639703D01* +X113461000Y-117625000D01* +X113461000Y-117325000D01* +X113461722Y-117310297D01* +X113463882Y-117295736D01* +X113467459Y-117281457D01* +X113472418Y-117267597D01* +X113478712Y-117254290D01* +X113486280Y-117241664D01* +X113495048Y-117229841D01* +X113504934Y-117218934D01* +X113515841Y-117209048D01* +X113527664Y-117200280D01* +X113540290Y-117192712D01* +X113553597Y-117186418D01* +X113567457Y-117181459D01* +X113581736Y-117177882D01* +X113596297Y-117175722D01* +X113611000Y-117175000D01* +X114786000Y-117175000D01* +X114800703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114800703Y-118445722D02* +G01* +X114815264Y-118447882D01* +X114829543Y-118451459D01* +X114843403Y-118456418D01* +X114856710Y-118462712D01* +X114869336Y-118470280D01* +X114881159Y-118479048D01* +X114892066Y-118488934D01* +X114901952Y-118499841D01* +X114910720Y-118511664D01* +X114918288Y-118524290D01* +X114924582Y-118537597D01* +X114929541Y-118551457D01* +X114933118Y-118565736D01* +X114935278Y-118580297D01* +X114936000Y-118595000D01* +X114936000Y-118895000D01* +X114935278Y-118909703D01* +X114933118Y-118924264D01* +X114929541Y-118938543D01* +X114924582Y-118952403D01* +X114918288Y-118965710D01* +X114910720Y-118978336D01* +X114901952Y-118990159D01* +X114892066Y-119001066D01* +X114881159Y-119010952D01* +X114869336Y-119019720D01* +X114856710Y-119027288D01* +X114843403Y-119033582D01* +X114829543Y-119038541D01* +X114815264Y-119042118D01* +X114800703Y-119044278D01* +X114786000Y-119045000D01* +X113611000Y-119045000D01* +X113596297Y-119044278D01* +X113581736Y-119042118D01* +X113567457Y-119038541D01* +X113553597Y-119033582D01* +X113540290Y-119027288D01* +X113527664Y-119019720D01* +X113515841Y-119010952D01* +X113504934Y-119001066D01* +X113495048Y-118990159D01* +X113486280Y-118978336D01* +X113478712Y-118965710D01* +X113472418Y-118952403D01* +X113467459Y-118938543D01* +X113463882Y-118924264D01* +X113461722Y-118909703D01* +X113461000Y-118895000D01* +X113461000Y-118595000D01* +X113461722Y-118580297D01* +X113463882Y-118565736D01* +X113467459Y-118551457D01* +X113472418Y-118537597D01* +X113478712Y-118524290D01* +X113486280Y-118511664D01* +X113495048Y-118499841D01* +X113504934Y-118488934D01* +X113515841Y-118479048D01* +X113527664Y-118470280D01* +X113540290Y-118462712D01* +X113553597Y-118456418D01* +X113567457Y-118451459D01* +X113581736Y-118447882D01* +X113596297Y-118445722D01* +X113611000Y-118445000D01* +X114786000Y-118445000D01* +X114800703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114800703Y-119715722D02* +G01* +X114815264Y-119717882D01* +X114829543Y-119721459D01* +X114843403Y-119726418D01* +X114856710Y-119732712D01* +X114869336Y-119740280D01* +X114881159Y-119749048D01* +X114892066Y-119758934D01* +X114901952Y-119769841D01* +X114910720Y-119781664D01* +X114918288Y-119794290D01* +X114924582Y-119807597D01* +X114929541Y-119821457D01* +X114933118Y-119835736D01* +X114935278Y-119850297D01* +X114936000Y-119865000D01* +X114936000Y-120165000D01* +X114935278Y-120179703D01* +X114933118Y-120194264D01* +X114929541Y-120208543D01* +X114924582Y-120222403D01* +X114918288Y-120235710D01* +X114910720Y-120248336D01* +X114901952Y-120260159D01* +X114892066Y-120271066D01* +X114881159Y-120280952D01* +X114869336Y-120289720D01* +X114856710Y-120297288D01* +X114843403Y-120303582D01* +X114829543Y-120308541D01* +X114815264Y-120312118D01* +X114800703Y-120314278D01* +X114786000Y-120315000D01* +X113611000Y-120315000D01* +X113596297Y-120314278D01* +X113581736Y-120312118D01* +X113567457Y-120308541D01* +X113553597Y-120303582D01* +X113540290Y-120297288D01* +X113527664Y-120289720D01* +X113515841Y-120280952D01* +X113504934Y-120271066D01* +X113495048Y-120260159D01* +X113486280Y-120248336D01* +X113478712Y-120235710D01* +X113472418Y-120222403D01* +X113467459Y-120208543D01* +X113463882Y-120194264D01* +X113461722Y-120179703D01* +X113461000Y-120165000D01* +X113461000Y-119865000D01* +X113461722Y-119850297D01* +X113463882Y-119835736D01* +X113467459Y-119821457D01* +X113472418Y-119807597D01* +X113478712Y-119794290D01* +X113486280Y-119781664D01* +X113495048Y-119769841D01* +X113504934Y-119758934D01* +X113515841Y-119749048D01* +X113527664Y-119740280D01* +X113540290Y-119732712D01* +X113553597Y-119726418D01* +X113567457Y-119721459D01* +X113581736Y-119717882D01* +X113596297Y-119715722D01* +X113611000Y-119715000D01* +X114786000Y-119715000D01* +X114800703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114800703Y-120985722D02* +G01* +X114815264Y-120987882D01* +X114829543Y-120991459D01* +X114843403Y-120996418D01* +X114856710Y-121002712D01* +X114869336Y-121010280D01* +X114881159Y-121019048D01* +X114892066Y-121028934D01* +X114901952Y-121039841D01* +X114910720Y-121051664D01* +X114918288Y-121064290D01* +X114924582Y-121077597D01* +X114929541Y-121091457D01* +X114933118Y-121105736D01* +X114935278Y-121120297D01* +X114936000Y-121135000D01* +X114936000Y-121435000D01* +X114935278Y-121449703D01* +X114933118Y-121464264D01* +X114929541Y-121478543D01* +X114924582Y-121492403D01* +X114918288Y-121505710D01* +X114910720Y-121518336D01* +X114901952Y-121530159D01* +X114892066Y-121541066D01* +X114881159Y-121550952D01* +X114869336Y-121559720D01* +X114856710Y-121567288D01* +X114843403Y-121573582D01* +X114829543Y-121578541D01* +X114815264Y-121582118D01* +X114800703Y-121584278D01* +X114786000Y-121585000D01* +X113611000Y-121585000D01* +X113596297Y-121584278D01* +X113581736Y-121582118D01* +X113567457Y-121578541D01* +X113553597Y-121573582D01* +X113540290Y-121567288D01* +X113527664Y-121559720D01* +X113515841Y-121550952D01* +X113504934Y-121541066D01* +X113495048Y-121530159D01* +X113486280Y-121518336D01* +X113478712Y-121505710D01* +X113472418Y-121492403D01* +X113467459Y-121478543D01* +X113463882Y-121464264D01* +X113461722Y-121449703D01* +X113461000Y-121435000D01* +X113461000Y-121135000D01* +X113461722Y-121120297D01* +X113463882Y-121105736D01* +X113467459Y-121091457D01* +X113472418Y-121077597D01* +X113478712Y-121064290D01* +X113486280Y-121051664D01* +X113495048Y-121039841D01* +X113504934Y-121028934D01* +X113515841Y-121019048D01* +X113527664Y-121010280D01* +X113540290Y-121002712D01* +X113553597Y-120996418D01* +X113567457Y-120991459D01* +X113581736Y-120987882D01* +X113596297Y-120985722D01* +X113611000Y-120985000D01* +X114786000Y-120985000D01* +X114800703Y-120985722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X114800703Y-122255722D02* +G01* +X114815264Y-122257882D01* +X114829543Y-122261459D01* +X114843403Y-122266418D01* +X114856710Y-122272712D01* +X114869336Y-122280280D01* +X114881159Y-122289048D01* +X114892066Y-122298934D01* +X114901952Y-122309841D01* +X114910720Y-122321664D01* +X114918288Y-122334290D01* +X114924582Y-122347597D01* +X114929541Y-122361457D01* +X114933118Y-122375736D01* +X114935278Y-122390297D01* +X114936000Y-122405000D01* +X114936000Y-122705000D01* +X114935278Y-122719703D01* +X114933118Y-122734264D01* +X114929541Y-122748543D01* +X114924582Y-122762403D01* +X114918288Y-122775710D01* +X114910720Y-122788336D01* +X114901952Y-122800159D01* +X114892066Y-122811066D01* +X114881159Y-122820952D01* +X114869336Y-122829720D01* +X114856710Y-122837288D01* +X114843403Y-122843582D01* +X114829543Y-122848541D01* +X114815264Y-122852118D01* +X114800703Y-122854278D01* +X114786000Y-122855000D01* +X113611000Y-122855000D01* +X113596297Y-122854278D01* +X113581736Y-122852118D01* +X113567457Y-122848541D01* +X113553597Y-122843582D01* +X113540290Y-122837288D01* +X113527664Y-122829720D01* +X113515841Y-122820952D01* +X113504934Y-122811066D01* +X113495048Y-122800159D01* +X113486280Y-122788336D01* +X113478712Y-122775710D01* +X113472418Y-122762403D01* +X113467459Y-122748543D01* +X113463882Y-122734264D01* +X113461722Y-122719703D01* +X113461000Y-122705000D01* +X113461000Y-122405000D01* +X113461722Y-122390297D01* +X113463882Y-122375736D01* +X113467459Y-122361457D01* +X113472418Y-122347597D01* +X113478712Y-122334290D01* +X113486280Y-122321664D01* +X113495048Y-122309841D01* +X113504934Y-122298934D01* +X113515841Y-122289048D01* +X113527664Y-122280280D01* +X113540290Y-122272712D01* +X113553597Y-122266418D01* +X113567457Y-122261459D01* +X113581736Y-122257882D01* +X113596297Y-122255722D01* +X113611000Y-122255000D01* +X114786000Y-122255000D01* +X114800703Y-122255722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X116115703Y-123575722D02* +G01* +X116130264Y-123577882D01* +X116144543Y-123581459D01* +X116158403Y-123586418D01* +X116171710Y-123592712D01* +X116184336Y-123600280D01* +X116196159Y-123609048D01* +X116207066Y-123618934D01* +X116216952Y-123629841D01* +X116225720Y-123641664D01* +X116233288Y-123654290D01* +X116239582Y-123667597D01* +X116244541Y-123681457D01* +X116248118Y-123695736D01* +X116250278Y-123710297D01* +X116251000Y-123725000D01* +X116251000Y-124900000D01* +X116250278Y-124914703D01* +X116248118Y-124929264D01* +X116244541Y-124943543D01* +X116239582Y-124957403D01* +X116233288Y-124970710D01* +X116225720Y-124983336D01* +X116216952Y-124995159D01* +X116207066Y-125006066D01* +X116196159Y-125015952D01* +X116184336Y-125024720D01* +X116171710Y-125032288D01* +X116158403Y-125038582D01* +X116144543Y-125043541D01* +X116130264Y-125047118D01* +X116115703Y-125049278D01* +X116101000Y-125050000D01* +X115801000Y-125050000D01* +X115786297Y-125049278D01* +X115771736Y-125047118D01* +X115757457Y-125043541D01* +X115743597Y-125038582D01* +X115730290Y-125032288D01* +X115717664Y-125024720D01* +X115705841Y-125015952D01* +X115694934Y-125006066D01* +X115685048Y-124995159D01* +X115676280Y-124983336D01* +X115668712Y-124970710D01* +X115662418Y-124957403D01* +X115657459Y-124943543D01* +X115653882Y-124929264D01* +X115651722Y-124914703D01* +X115651000Y-124900000D01* +X115651000Y-123725000D01* +X115651722Y-123710297D01* +X115653882Y-123695736D01* +X115657459Y-123681457D01* +X115662418Y-123667597D01* +X115668712Y-123654290D01* +X115676280Y-123641664D01* +X115685048Y-123629841D01* +X115694934Y-123618934D01* +X115705841Y-123609048D01* +X115717664Y-123600280D01* +X115730290Y-123592712D01* +X115743597Y-123586418D01* +X115757457Y-123581459D01* +X115771736Y-123577882D01* +X115786297Y-123575722D01* +X115801000Y-123575000D01* +X116101000Y-123575000D01* +X116115703Y-123575722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X117385703Y-123575722D02* +G01* +X117400264Y-123577882D01* +X117414543Y-123581459D01* +X117428403Y-123586418D01* +X117441710Y-123592712D01* +X117454336Y-123600280D01* +X117466159Y-123609048D01* +X117477066Y-123618934D01* +X117486952Y-123629841D01* +X117495720Y-123641664D01* +X117503288Y-123654290D01* +X117509582Y-123667597D01* +X117514541Y-123681457D01* +X117518118Y-123695736D01* +X117520278Y-123710297D01* +X117521000Y-123725000D01* +X117521000Y-124900000D01* +X117520278Y-124914703D01* +X117518118Y-124929264D01* +X117514541Y-124943543D01* +X117509582Y-124957403D01* +X117503288Y-124970710D01* +X117495720Y-124983336D01* +X117486952Y-124995159D01* +X117477066Y-125006066D01* +X117466159Y-125015952D01* +X117454336Y-125024720D01* +X117441710Y-125032288D01* +X117428403Y-125038582D01* +X117414543Y-125043541D01* +X117400264Y-125047118D01* +X117385703Y-125049278D01* +X117371000Y-125050000D01* +X117071000Y-125050000D01* +X117056297Y-125049278D01* +X117041736Y-125047118D01* +X117027457Y-125043541D01* +X117013597Y-125038582D01* +X117000290Y-125032288D01* +X116987664Y-125024720D01* +X116975841Y-125015952D01* +X116964934Y-125006066D01* +X116955048Y-124995159D01* +X116946280Y-124983336D01* +X116938712Y-124970710D01* +X116932418Y-124957403D01* +X116927459Y-124943543D01* +X116923882Y-124929264D01* +X116921722Y-124914703D01* +X116921000Y-124900000D01* +X116921000Y-123725000D01* +X116921722Y-123710297D01* +X116923882Y-123695736D01* +X116927459Y-123681457D01* +X116932418Y-123667597D01* +X116938712Y-123654290D01* +X116946280Y-123641664D01* +X116955048Y-123629841D01* +X116964934Y-123618934D01* +X116975841Y-123609048D01* +X116987664Y-123600280D01* +X117000290Y-123592712D01* +X117013597Y-123586418D01* +X117027457Y-123581459D01* +X117041736Y-123577882D01* +X117056297Y-123575722D01* +X117071000Y-123575000D01* +X117371000Y-123575000D01* +X117385703Y-123575722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X118655703Y-123575722D02* +G01* +X118670264Y-123577882D01* +X118684543Y-123581459D01* +X118698403Y-123586418D01* +X118711710Y-123592712D01* +X118724336Y-123600280D01* +X118736159Y-123609048D01* +X118747066Y-123618934D01* +X118756952Y-123629841D01* +X118765720Y-123641664D01* +X118773288Y-123654290D01* +X118779582Y-123667597D01* +X118784541Y-123681457D01* +X118788118Y-123695736D01* +X118790278Y-123710297D01* +X118791000Y-123725000D01* +X118791000Y-124900000D01* +X118790278Y-124914703D01* +X118788118Y-124929264D01* +X118784541Y-124943543D01* +X118779582Y-124957403D01* +X118773288Y-124970710D01* +X118765720Y-124983336D01* +X118756952Y-124995159D01* +X118747066Y-125006066D01* +X118736159Y-125015952D01* +X118724336Y-125024720D01* +X118711710Y-125032288D01* +X118698403Y-125038582D01* +X118684543Y-125043541D01* +X118670264Y-125047118D01* +X118655703Y-125049278D01* +X118641000Y-125050000D01* +X118341000Y-125050000D01* +X118326297Y-125049278D01* +X118311736Y-125047118D01* +X118297457Y-125043541D01* +X118283597Y-125038582D01* +X118270290Y-125032288D01* +X118257664Y-125024720D01* +X118245841Y-125015952D01* +X118234934Y-125006066D01* +X118225048Y-124995159D01* +X118216280Y-124983336D01* +X118208712Y-124970710D01* +X118202418Y-124957403D01* +X118197459Y-124943543D01* +X118193882Y-124929264D01* +X118191722Y-124914703D01* +X118191000Y-124900000D01* +X118191000Y-123725000D01* +X118191722Y-123710297D01* +X118193882Y-123695736D01* +X118197459Y-123681457D01* +X118202418Y-123667597D01* +X118208712Y-123654290D01* +X118216280Y-123641664D01* +X118225048Y-123629841D01* +X118234934Y-123618934D01* +X118245841Y-123609048D01* +X118257664Y-123600280D01* +X118270290Y-123592712D01* +X118283597Y-123586418D01* +X118297457Y-123581459D01* +X118311736Y-123577882D01* +X118326297Y-123575722D01* +X118341000Y-123575000D01* +X118641000Y-123575000D01* +X118655703Y-123575722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X119925703Y-123575722D02* +G01* +X119940264Y-123577882D01* +X119954543Y-123581459D01* +X119968403Y-123586418D01* +X119981710Y-123592712D01* +X119994336Y-123600280D01* +X120006159Y-123609048D01* +X120017066Y-123618934D01* +X120026952Y-123629841D01* +X120035720Y-123641664D01* +X120043288Y-123654290D01* +X120049582Y-123667597D01* +X120054541Y-123681457D01* +X120058118Y-123695736D01* +X120060278Y-123710297D01* +X120061000Y-123725000D01* +X120061000Y-124900000D01* +X120060278Y-124914703D01* +X120058118Y-124929264D01* +X120054541Y-124943543D01* +X120049582Y-124957403D01* +X120043288Y-124970710D01* +X120035720Y-124983336D01* +X120026952Y-124995159D01* +X120017066Y-125006066D01* +X120006159Y-125015952D01* +X119994336Y-125024720D01* +X119981710Y-125032288D01* +X119968403Y-125038582D01* +X119954543Y-125043541D01* +X119940264Y-125047118D01* +X119925703Y-125049278D01* +X119911000Y-125050000D01* +X119611000Y-125050000D01* +X119596297Y-125049278D01* +X119581736Y-125047118D01* +X119567457Y-125043541D01* +X119553597Y-125038582D01* +X119540290Y-125032288D01* +X119527664Y-125024720D01* +X119515841Y-125015952D01* +X119504934Y-125006066D01* +X119495048Y-124995159D01* +X119486280Y-124983336D01* +X119478712Y-124970710D01* +X119472418Y-124957403D01* +X119467459Y-124943543D01* +X119463882Y-124929264D01* +X119461722Y-124914703D01* +X119461000Y-124900000D01* +X119461000Y-123725000D01* +X119461722Y-123710297D01* +X119463882Y-123695736D01* +X119467459Y-123681457D01* +X119472418Y-123667597D01* +X119478712Y-123654290D01* +X119486280Y-123641664D01* +X119495048Y-123629841D01* +X119504934Y-123618934D01* +X119515841Y-123609048D01* +X119527664Y-123600280D01* +X119540290Y-123592712D01* +X119553597Y-123586418D01* +X119567457Y-123581459D01* +X119581736Y-123577882D01* +X119596297Y-123575722D01* +X119611000Y-123575000D01* +X119911000Y-123575000D01* +X119925703Y-123575722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X121195703Y-123575722D02* +G01* +X121210264Y-123577882D01* +X121224543Y-123581459D01* +X121238403Y-123586418D01* +X121251710Y-123592712D01* +X121264336Y-123600280D01* +X121276159Y-123609048D01* +X121287066Y-123618934D01* +X121296952Y-123629841D01* +X121305720Y-123641664D01* +X121313288Y-123654290D01* +X121319582Y-123667597D01* +X121324541Y-123681457D01* +X121328118Y-123695736D01* +X121330278Y-123710297D01* +X121331000Y-123725000D01* +X121331000Y-124900000D01* +X121330278Y-124914703D01* +X121328118Y-124929264D01* +X121324541Y-124943543D01* +X121319582Y-124957403D01* +X121313288Y-124970710D01* +X121305720Y-124983336D01* +X121296952Y-124995159D01* +X121287066Y-125006066D01* +X121276159Y-125015952D01* +X121264336Y-125024720D01* +X121251710Y-125032288D01* +X121238403Y-125038582D01* +X121224543Y-125043541D01* +X121210264Y-125047118D01* +X121195703Y-125049278D01* +X121181000Y-125050000D01* +X120881000Y-125050000D01* +X120866297Y-125049278D01* +X120851736Y-125047118D01* +X120837457Y-125043541D01* +X120823597Y-125038582D01* +X120810290Y-125032288D01* +X120797664Y-125024720D01* +X120785841Y-125015952D01* +X120774934Y-125006066D01* +X120765048Y-124995159D01* +X120756280Y-124983336D01* +X120748712Y-124970710D01* +X120742418Y-124957403D01* +X120737459Y-124943543D01* +X120733882Y-124929264D01* +X120731722Y-124914703D01* +X120731000Y-124900000D01* +X120731000Y-123725000D01* +X120731722Y-123710297D01* +X120733882Y-123695736D01* +X120737459Y-123681457D01* +X120742418Y-123667597D01* +X120748712Y-123654290D01* +X120756280Y-123641664D01* +X120765048Y-123629841D01* +X120774934Y-123618934D01* +X120785841Y-123609048D01* +X120797664Y-123600280D01* +X120810290Y-123592712D01* +X120823597Y-123586418D01* +X120837457Y-123581459D01* +X120851736Y-123577882D01* +X120866297Y-123575722D01* +X120881000Y-123575000D01* +X121181000Y-123575000D01* +X121195703Y-123575722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X122465703Y-123575722D02* +G01* +X122480264Y-123577882D01* +X122494543Y-123581459D01* +X122508403Y-123586418D01* +X122521710Y-123592712D01* +X122534336Y-123600280D01* +X122546159Y-123609048D01* +X122557066Y-123618934D01* +X122566952Y-123629841D01* +X122575720Y-123641664D01* +X122583288Y-123654290D01* +X122589582Y-123667597D01* +X122594541Y-123681457D01* +X122598118Y-123695736D01* +X122600278Y-123710297D01* +X122601000Y-123725000D01* +X122601000Y-124900000D01* +X122600278Y-124914703D01* +X122598118Y-124929264D01* +X122594541Y-124943543D01* +X122589582Y-124957403D01* +X122583288Y-124970710D01* +X122575720Y-124983336D01* +X122566952Y-124995159D01* +X122557066Y-125006066D01* +X122546159Y-125015952D01* +X122534336Y-125024720D01* +X122521710Y-125032288D01* +X122508403Y-125038582D01* +X122494543Y-125043541D01* +X122480264Y-125047118D01* +X122465703Y-125049278D01* +X122451000Y-125050000D01* +X122151000Y-125050000D01* +X122136297Y-125049278D01* +X122121736Y-125047118D01* +X122107457Y-125043541D01* +X122093597Y-125038582D01* +X122080290Y-125032288D01* +X122067664Y-125024720D01* +X122055841Y-125015952D01* +X122044934Y-125006066D01* +X122035048Y-124995159D01* +X122026280Y-124983336D01* +X122018712Y-124970710D01* +X122012418Y-124957403D01* +X122007459Y-124943543D01* +X122003882Y-124929264D01* +X122001722Y-124914703D01* +X122001000Y-124900000D01* +X122001000Y-123725000D01* +X122001722Y-123710297D01* +X122003882Y-123695736D01* +X122007459Y-123681457D01* +X122012418Y-123667597D01* +X122018712Y-123654290D01* +X122026280Y-123641664D01* +X122035048Y-123629841D01* +X122044934Y-123618934D01* +X122055841Y-123609048D01* +X122067664Y-123600280D01* +X122080290Y-123592712D01* +X122093597Y-123586418D01* +X122107457Y-123581459D01* +X122121736Y-123577882D01* +X122136297Y-123575722D01* +X122151000Y-123575000D01* +X122451000Y-123575000D01* +X122465703Y-123575722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X123735703Y-123575722D02* +G01* +X123750264Y-123577882D01* +X123764543Y-123581459D01* +X123778403Y-123586418D01* +X123791710Y-123592712D01* +X123804336Y-123600280D01* +X123816159Y-123609048D01* +X123827066Y-123618934D01* +X123836952Y-123629841D01* +X123845720Y-123641664D01* +X123853288Y-123654290D01* +X123859582Y-123667597D01* +X123864541Y-123681457D01* +X123868118Y-123695736D01* +X123870278Y-123710297D01* +X123871000Y-123725000D01* +X123871000Y-124900000D01* +X123870278Y-124914703D01* +X123868118Y-124929264D01* +X123864541Y-124943543D01* +X123859582Y-124957403D01* +X123853288Y-124970710D01* +X123845720Y-124983336D01* +X123836952Y-124995159D01* +X123827066Y-125006066D01* +X123816159Y-125015952D01* +X123804336Y-125024720D01* +X123791710Y-125032288D01* +X123778403Y-125038582D01* +X123764543Y-125043541D01* +X123750264Y-125047118D01* +X123735703Y-125049278D01* +X123721000Y-125050000D01* +X123421000Y-125050000D01* +X123406297Y-125049278D01* +X123391736Y-125047118D01* +X123377457Y-125043541D01* +X123363597Y-125038582D01* +X123350290Y-125032288D01* +X123337664Y-125024720D01* +X123325841Y-125015952D01* +X123314934Y-125006066D01* +X123305048Y-124995159D01* +X123296280Y-124983336D01* +X123288712Y-124970710D01* +X123282418Y-124957403D01* +X123277459Y-124943543D01* +X123273882Y-124929264D01* +X123271722Y-124914703D01* +X123271000Y-124900000D01* +X123271000Y-123725000D01* +X123271722Y-123710297D01* +X123273882Y-123695736D01* +X123277459Y-123681457D01* +X123282418Y-123667597D01* +X123288712Y-123654290D01* +X123296280Y-123641664D01* +X123305048Y-123629841D01* +X123314934Y-123618934D01* +X123325841Y-123609048D01* +X123337664Y-123600280D01* +X123350290Y-123592712D01* +X123363597Y-123586418D01* +X123377457Y-123581459D01* +X123391736Y-123577882D01* +X123406297Y-123575722D01* +X123421000Y-123575000D01* +X123721000Y-123575000D01* +X123735703Y-123575722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X125925703Y-122255722D02* +G01* +X125940264Y-122257882D01* +X125954543Y-122261459D01* +X125968403Y-122266418D01* +X125981710Y-122272712D01* +X125994336Y-122280280D01* +X126006159Y-122289048D01* +X126017066Y-122298934D01* +X126026952Y-122309841D01* +X126035720Y-122321664D01* +X126043288Y-122334290D01* +X126049582Y-122347597D01* +X126054541Y-122361457D01* +X126058118Y-122375736D01* +X126060278Y-122390297D01* +X126061000Y-122405000D01* +X126061000Y-122705000D01* +X126060278Y-122719703D01* +X126058118Y-122734264D01* +X126054541Y-122748543D01* +X126049582Y-122762403D01* +X126043288Y-122775710D01* +X126035720Y-122788336D01* +X126026952Y-122800159D01* +X126017066Y-122811066D01* +X126006159Y-122820952D01* +X125994336Y-122829720D01* +X125981710Y-122837288D01* +X125968403Y-122843582D01* +X125954543Y-122848541D01* +X125940264Y-122852118D01* +X125925703Y-122854278D01* +X125911000Y-122855000D01* +X124736000Y-122855000D01* +X124721297Y-122854278D01* +X124706736Y-122852118D01* +X124692457Y-122848541D01* +X124678597Y-122843582D01* +X124665290Y-122837288D01* +X124652664Y-122829720D01* +X124640841Y-122820952D01* +X124629934Y-122811066D01* +X124620048Y-122800159D01* +X124611280Y-122788336D01* +X124603712Y-122775710D01* +X124597418Y-122762403D01* +X124592459Y-122748543D01* +X124588882Y-122734264D01* +X124586722Y-122719703D01* +X124586000Y-122705000D01* +X124586000Y-122405000D01* +X124586722Y-122390297D01* +X124588882Y-122375736D01* +X124592459Y-122361457D01* +X124597418Y-122347597D01* +X124603712Y-122334290D01* +X124611280Y-122321664D01* +X124620048Y-122309841D01* +X124629934Y-122298934D01* +X124640841Y-122289048D01* +X124652664Y-122280280D01* +X124665290Y-122272712D01* +X124678597Y-122266418D01* +X124692457Y-122261459D01* +X124706736Y-122257882D01* +X124721297Y-122255722D01* +X124736000Y-122255000D01* +X125911000Y-122255000D01* +X125925703Y-122255722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X125925703Y-120985722D02* +G01* +X125940264Y-120987882D01* +X125954543Y-120991459D01* +X125968403Y-120996418D01* +X125981710Y-121002712D01* +X125994336Y-121010280D01* +X126006159Y-121019048D01* +X126017066Y-121028934D01* +X126026952Y-121039841D01* +X126035720Y-121051664D01* +X126043288Y-121064290D01* +X126049582Y-121077597D01* +X126054541Y-121091457D01* +X126058118Y-121105736D01* +X126060278Y-121120297D01* +X126061000Y-121135000D01* +X126061000Y-121435000D01* +X126060278Y-121449703D01* +X126058118Y-121464264D01* +X126054541Y-121478543D01* +X126049582Y-121492403D01* +X126043288Y-121505710D01* +X126035720Y-121518336D01* +X126026952Y-121530159D01* +X126017066Y-121541066D01* +X126006159Y-121550952D01* +X125994336Y-121559720D01* +X125981710Y-121567288D01* +X125968403Y-121573582D01* +X125954543Y-121578541D01* +X125940264Y-121582118D01* +X125925703Y-121584278D01* +X125911000Y-121585000D01* +X124736000Y-121585000D01* +X124721297Y-121584278D01* +X124706736Y-121582118D01* +X124692457Y-121578541D01* +X124678597Y-121573582D01* +X124665290Y-121567288D01* +X124652664Y-121559720D01* +X124640841Y-121550952D01* +X124629934Y-121541066D01* +X124620048Y-121530159D01* +X124611280Y-121518336D01* +X124603712Y-121505710D01* +X124597418Y-121492403D01* +X124592459Y-121478543D01* +X124588882Y-121464264D01* +X124586722Y-121449703D01* +X124586000Y-121435000D01* +X124586000Y-121135000D01* +X124586722Y-121120297D01* +X124588882Y-121105736D01* +X124592459Y-121091457D01* +X124597418Y-121077597D01* +X124603712Y-121064290D01* +X124611280Y-121051664D01* +X124620048Y-121039841D01* +X124629934Y-121028934D01* +X124640841Y-121019048D01* +X124652664Y-121010280D01* +X124665290Y-121002712D01* +X124678597Y-120996418D01* +X124692457Y-120991459D01* +X124706736Y-120987882D01* +X124721297Y-120985722D01* +X124736000Y-120985000D01* +X125911000Y-120985000D01* +X125925703Y-120985722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X125925703Y-119715722D02* +G01* +X125940264Y-119717882D01* +X125954543Y-119721459D01* +X125968403Y-119726418D01* +X125981710Y-119732712D01* +X125994336Y-119740280D01* +X126006159Y-119749048D01* +X126017066Y-119758934D01* +X126026952Y-119769841D01* +X126035720Y-119781664D01* +X126043288Y-119794290D01* +X126049582Y-119807597D01* +X126054541Y-119821457D01* +X126058118Y-119835736D01* +X126060278Y-119850297D01* +X126061000Y-119865000D01* +X126061000Y-120165000D01* +X126060278Y-120179703D01* +X126058118Y-120194264D01* +X126054541Y-120208543D01* +X126049582Y-120222403D01* +X126043288Y-120235710D01* +X126035720Y-120248336D01* +X126026952Y-120260159D01* +X126017066Y-120271066D01* +X126006159Y-120280952D01* +X125994336Y-120289720D01* +X125981710Y-120297288D01* +X125968403Y-120303582D01* +X125954543Y-120308541D01* +X125940264Y-120312118D01* +X125925703Y-120314278D01* +X125911000Y-120315000D01* +X124736000Y-120315000D01* +X124721297Y-120314278D01* +X124706736Y-120312118D01* +X124692457Y-120308541D01* +X124678597Y-120303582D01* +X124665290Y-120297288D01* +X124652664Y-120289720D01* +X124640841Y-120280952D01* +X124629934Y-120271066D01* +X124620048Y-120260159D01* +X124611280Y-120248336D01* +X124603712Y-120235710D01* +X124597418Y-120222403D01* +X124592459Y-120208543D01* +X124588882Y-120194264D01* +X124586722Y-120179703D01* +X124586000Y-120165000D01* +X124586000Y-119865000D01* +X124586722Y-119850297D01* +X124588882Y-119835736D01* +X124592459Y-119821457D01* +X124597418Y-119807597D01* +X124603712Y-119794290D01* +X124611280Y-119781664D01* +X124620048Y-119769841D01* +X124629934Y-119758934D01* +X124640841Y-119749048D01* +X124652664Y-119740280D01* +X124665290Y-119732712D01* +X124678597Y-119726418D01* +X124692457Y-119721459D01* +X124706736Y-119717882D01* +X124721297Y-119715722D01* +X124736000Y-119715000D01* +X125911000Y-119715000D01* +X125925703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X125925703Y-118445722D02* +G01* +X125940264Y-118447882D01* +X125954543Y-118451459D01* +X125968403Y-118456418D01* +X125981710Y-118462712D01* +X125994336Y-118470280D01* +X126006159Y-118479048D01* +X126017066Y-118488934D01* +X126026952Y-118499841D01* +X126035720Y-118511664D01* +X126043288Y-118524290D01* +X126049582Y-118537597D01* +X126054541Y-118551457D01* +X126058118Y-118565736D01* +X126060278Y-118580297D01* +X126061000Y-118595000D01* +X126061000Y-118895000D01* +X126060278Y-118909703D01* +X126058118Y-118924264D01* +X126054541Y-118938543D01* +X126049582Y-118952403D01* +X126043288Y-118965710D01* +X126035720Y-118978336D01* +X126026952Y-118990159D01* +X126017066Y-119001066D01* +X126006159Y-119010952D01* +X125994336Y-119019720D01* +X125981710Y-119027288D01* +X125968403Y-119033582D01* +X125954543Y-119038541D01* +X125940264Y-119042118D01* +X125925703Y-119044278D01* +X125911000Y-119045000D01* +X124736000Y-119045000D01* +X124721297Y-119044278D01* +X124706736Y-119042118D01* +X124692457Y-119038541D01* +X124678597Y-119033582D01* +X124665290Y-119027288D01* +X124652664Y-119019720D01* +X124640841Y-119010952D01* +X124629934Y-119001066D01* +X124620048Y-118990159D01* +X124611280Y-118978336D01* +X124603712Y-118965710D01* +X124597418Y-118952403D01* +X124592459Y-118938543D01* +X124588882Y-118924264D01* +X124586722Y-118909703D01* +X124586000Y-118895000D01* +X124586000Y-118595000D01* +X124586722Y-118580297D01* +X124588882Y-118565736D01* +X124592459Y-118551457D01* +X124597418Y-118537597D01* +X124603712Y-118524290D01* +X124611280Y-118511664D01* +X124620048Y-118499841D01* +X124629934Y-118488934D01* +X124640841Y-118479048D01* +X124652664Y-118470280D01* +X124665290Y-118462712D01* +X124678597Y-118456418D01* +X124692457Y-118451459D01* +X124706736Y-118447882D01* +X124721297Y-118445722D01* +X124736000Y-118445000D01* +X125911000Y-118445000D01* +X125925703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X125925703Y-117175722D02* +G01* +X125940264Y-117177882D01* +X125954543Y-117181459D01* +X125968403Y-117186418D01* +X125981710Y-117192712D01* +X125994336Y-117200280D01* +X126006159Y-117209048D01* +X126017066Y-117218934D01* +X126026952Y-117229841D01* +X126035720Y-117241664D01* +X126043288Y-117254290D01* +X126049582Y-117267597D01* +X126054541Y-117281457D01* +X126058118Y-117295736D01* +X126060278Y-117310297D01* +X126061000Y-117325000D01* +X126061000Y-117625000D01* +X126060278Y-117639703D01* +X126058118Y-117654264D01* +X126054541Y-117668543D01* +X126049582Y-117682403D01* +X126043288Y-117695710D01* +X126035720Y-117708336D01* +X126026952Y-117720159D01* +X126017066Y-117731066D01* +X126006159Y-117740952D01* +X125994336Y-117749720D01* +X125981710Y-117757288D01* +X125968403Y-117763582D01* +X125954543Y-117768541D01* +X125940264Y-117772118D01* +X125925703Y-117774278D01* +X125911000Y-117775000D01* +X124736000Y-117775000D01* +X124721297Y-117774278D01* +X124706736Y-117772118D01* +X124692457Y-117768541D01* +X124678597Y-117763582D01* +X124665290Y-117757288D01* +X124652664Y-117749720D01* +X124640841Y-117740952D01* +X124629934Y-117731066D01* +X124620048Y-117720159D01* +X124611280Y-117708336D01* +X124603712Y-117695710D01* +X124597418Y-117682403D01* +X124592459Y-117668543D01* +X124588882Y-117654264D01* +X124586722Y-117639703D01* +X124586000Y-117625000D01* +X124586000Y-117325000D01* +X124586722Y-117310297D01* +X124588882Y-117295736D01* +X124592459Y-117281457D01* +X124597418Y-117267597D01* +X124603712Y-117254290D01* +X124611280Y-117241664D01* +X124620048Y-117229841D01* +X124629934Y-117218934D01* +X124640841Y-117209048D01* +X124652664Y-117200280D01* +X124665290Y-117192712D01* +X124678597Y-117186418D01* +X124692457Y-117181459D01* +X124706736Y-117177882D01* +X124721297Y-117175722D01* +X124736000Y-117175000D01* +X125911000Y-117175000D01* +X125925703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X125925703Y-115905722D02* +G01* +X125940264Y-115907882D01* +X125954543Y-115911459D01* +X125968403Y-115916418D01* +X125981710Y-115922712D01* +X125994336Y-115930280D01* +X126006159Y-115939048D01* +X126017066Y-115948934D01* +X126026952Y-115959841D01* +X126035720Y-115971664D01* +X126043288Y-115984290D01* +X126049582Y-115997597D01* +X126054541Y-116011457D01* +X126058118Y-116025736D01* +X126060278Y-116040297D01* +X126061000Y-116055000D01* +X126061000Y-116355000D01* +X126060278Y-116369703D01* +X126058118Y-116384264D01* +X126054541Y-116398543D01* +X126049582Y-116412403D01* +X126043288Y-116425710D01* +X126035720Y-116438336D01* +X126026952Y-116450159D01* +X126017066Y-116461066D01* +X126006159Y-116470952D01* +X125994336Y-116479720D01* +X125981710Y-116487288D01* +X125968403Y-116493582D01* +X125954543Y-116498541D01* +X125940264Y-116502118D01* +X125925703Y-116504278D01* +X125911000Y-116505000D01* +X124736000Y-116505000D01* +X124721297Y-116504278D01* +X124706736Y-116502118D01* +X124692457Y-116498541D01* +X124678597Y-116493582D01* +X124665290Y-116487288D01* +X124652664Y-116479720D01* +X124640841Y-116470952D01* +X124629934Y-116461066D01* +X124620048Y-116450159D01* +X124611280Y-116438336D01* +X124603712Y-116425710D01* +X124597418Y-116412403D01* +X124592459Y-116398543D01* +X124588882Y-116384264D01* +X124586722Y-116369703D01* +X124586000Y-116355000D01* +X124586000Y-116055000D01* +X124586722Y-116040297D01* +X124588882Y-116025736D01* +X124592459Y-116011457D01* +X124597418Y-115997597D01* +X124603712Y-115984290D01* +X124611280Y-115971664D01* +X124620048Y-115959841D01* +X124629934Y-115948934D01* +X124640841Y-115939048D01* +X124652664Y-115930280D01* +X124665290Y-115922712D01* +X124678597Y-115916418D01* +X124692457Y-115911459D01* +X124706736Y-115907882D01* +X124721297Y-115905722D01* +X124736000Y-115905000D01* +X125911000Y-115905000D01* +X125925703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X125925703Y-114635722D02* +G01* +X125940264Y-114637882D01* +X125954543Y-114641459D01* +X125968403Y-114646418D01* +X125981710Y-114652712D01* +X125994336Y-114660280D01* +X126006159Y-114669048D01* +X126017066Y-114678934D01* +X126026952Y-114689841D01* +X126035720Y-114701664D01* +X126043288Y-114714290D01* +X126049582Y-114727597D01* +X126054541Y-114741457D01* +X126058118Y-114755736D01* +X126060278Y-114770297D01* +X126061000Y-114785000D01* +X126061000Y-115085000D01* +X126060278Y-115099703D01* +X126058118Y-115114264D01* +X126054541Y-115128543D01* +X126049582Y-115142403D01* +X126043288Y-115155710D01* +X126035720Y-115168336D01* +X126026952Y-115180159D01* +X126017066Y-115191066D01* +X126006159Y-115200952D01* +X125994336Y-115209720D01* +X125981710Y-115217288D01* +X125968403Y-115223582D01* +X125954543Y-115228541D01* +X125940264Y-115232118D01* +X125925703Y-115234278D01* +X125911000Y-115235000D01* +X124736000Y-115235000D01* +X124721297Y-115234278D01* +X124706736Y-115232118D01* +X124692457Y-115228541D01* +X124678597Y-115223582D01* +X124665290Y-115217288D01* +X124652664Y-115209720D01* +X124640841Y-115200952D01* +X124629934Y-115191066D01* +X124620048Y-115180159D01* +X124611280Y-115168336D01* +X124603712Y-115155710D01* +X124597418Y-115142403D01* +X124592459Y-115128543D01* +X124588882Y-115114264D01* +X124586722Y-115099703D01* +X124586000Y-115085000D01* +X124586000Y-114785000D01* +X124586722Y-114770297D01* +X124588882Y-114755736D01* +X124592459Y-114741457D01* +X124597418Y-114727597D01* +X124603712Y-114714290D01* +X124611280Y-114701664D01* +X124620048Y-114689841D01* +X124629934Y-114678934D01* +X124640841Y-114669048D01* +X124652664Y-114660280D01* +X124665290Y-114652712D01* +X124678597Y-114646418D01* +X124692457Y-114641459D01* +X124706736Y-114637882D01* +X124721297Y-114635722D01* +X124736000Y-114635000D01* +X125911000Y-114635000D01* +X125925703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X123735703Y-112440722D02* +G01* +X123750264Y-112442882D01* +X123764543Y-112446459D01* +X123778403Y-112451418D01* +X123791710Y-112457712D01* +X123804336Y-112465280D01* +X123816159Y-112474048D01* +X123827066Y-112483934D01* +X123836952Y-112494841D01* +X123845720Y-112506664D01* +X123853288Y-112519290D01* +X123859582Y-112532597D01* +X123864541Y-112546457D01* +X123868118Y-112560736D01* +X123870278Y-112575297D01* +X123871000Y-112590000D01* +X123871000Y-113765000D01* +X123870278Y-113779703D01* +X123868118Y-113794264D01* +X123864541Y-113808543D01* +X123859582Y-113822403D01* +X123853288Y-113835710D01* +X123845720Y-113848336D01* +X123836952Y-113860159D01* +X123827066Y-113871066D01* +X123816159Y-113880952D01* +X123804336Y-113889720D01* +X123791710Y-113897288D01* +X123778403Y-113903582D01* +X123764543Y-113908541D01* +X123750264Y-113912118D01* +X123735703Y-113914278D01* +X123721000Y-113915000D01* +X123421000Y-113915000D01* +X123406297Y-113914278D01* +X123391736Y-113912118D01* +X123377457Y-113908541D01* +X123363597Y-113903582D01* +X123350290Y-113897288D01* +X123337664Y-113889720D01* +X123325841Y-113880952D01* +X123314934Y-113871066D01* +X123305048Y-113860159D01* +X123296280Y-113848336D01* +X123288712Y-113835710D01* +X123282418Y-113822403D01* +X123277459Y-113808543D01* +X123273882Y-113794264D01* +X123271722Y-113779703D01* +X123271000Y-113765000D01* +X123271000Y-112590000D01* +X123271722Y-112575297D01* +X123273882Y-112560736D01* +X123277459Y-112546457D01* +X123282418Y-112532597D01* +X123288712Y-112519290D01* +X123296280Y-112506664D01* +X123305048Y-112494841D01* +X123314934Y-112483934D01* +X123325841Y-112474048D01* +X123337664Y-112465280D01* +X123350290Y-112457712D01* +X123363597Y-112451418D01* +X123377457Y-112446459D01* +X123391736Y-112442882D01* +X123406297Y-112440722D01* +X123421000Y-112440000D01* +X123721000Y-112440000D01* +X123735703Y-112440722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X122465703Y-112440722D02* +G01* +X122480264Y-112442882D01* +X122494543Y-112446459D01* +X122508403Y-112451418D01* +X122521710Y-112457712D01* +X122534336Y-112465280D01* +X122546159Y-112474048D01* +X122557066Y-112483934D01* +X122566952Y-112494841D01* +X122575720Y-112506664D01* +X122583288Y-112519290D01* +X122589582Y-112532597D01* +X122594541Y-112546457D01* +X122598118Y-112560736D01* +X122600278Y-112575297D01* +X122601000Y-112590000D01* +X122601000Y-113765000D01* +X122600278Y-113779703D01* +X122598118Y-113794264D01* +X122594541Y-113808543D01* +X122589582Y-113822403D01* +X122583288Y-113835710D01* +X122575720Y-113848336D01* +X122566952Y-113860159D01* +X122557066Y-113871066D01* +X122546159Y-113880952D01* +X122534336Y-113889720D01* +X122521710Y-113897288D01* +X122508403Y-113903582D01* +X122494543Y-113908541D01* +X122480264Y-113912118D01* +X122465703Y-113914278D01* +X122451000Y-113915000D01* +X122151000Y-113915000D01* +X122136297Y-113914278D01* +X122121736Y-113912118D01* +X122107457Y-113908541D01* +X122093597Y-113903582D01* +X122080290Y-113897288D01* +X122067664Y-113889720D01* +X122055841Y-113880952D01* +X122044934Y-113871066D01* +X122035048Y-113860159D01* +X122026280Y-113848336D01* +X122018712Y-113835710D01* +X122012418Y-113822403D01* +X122007459Y-113808543D01* +X122003882Y-113794264D01* +X122001722Y-113779703D01* +X122001000Y-113765000D01* +X122001000Y-112590000D01* +X122001722Y-112575297D01* +X122003882Y-112560736D01* +X122007459Y-112546457D01* +X122012418Y-112532597D01* +X122018712Y-112519290D01* +X122026280Y-112506664D01* +X122035048Y-112494841D01* +X122044934Y-112483934D01* +X122055841Y-112474048D01* +X122067664Y-112465280D01* +X122080290Y-112457712D01* +X122093597Y-112451418D01* +X122107457Y-112446459D01* +X122121736Y-112442882D01* +X122136297Y-112440722D01* +X122151000Y-112440000D01* +X122451000Y-112440000D01* +X122465703Y-112440722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X121195703Y-112440722D02* +G01* +X121210264Y-112442882D01* +X121224543Y-112446459D01* +X121238403Y-112451418D01* +X121251710Y-112457712D01* +X121264336Y-112465280D01* +X121276159Y-112474048D01* +X121287066Y-112483934D01* +X121296952Y-112494841D01* +X121305720Y-112506664D01* +X121313288Y-112519290D01* +X121319582Y-112532597D01* +X121324541Y-112546457D01* +X121328118Y-112560736D01* +X121330278Y-112575297D01* +X121331000Y-112590000D01* +X121331000Y-113765000D01* +X121330278Y-113779703D01* +X121328118Y-113794264D01* +X121324541Y-113808543D01* +X121319582Y-113822403D01* +X121313288Y-113835710D01* +X121305720Y-113848336D01* +X121296952Y-113860159D01* +X121287066Y-113871066D01* +X121276159Y-113880952D01* +X121264336Y-113889720D01* +X121251710Y-113897288D01* +X121238403Y-113903582D01* +X121224543Y-113908541D01* +X121210264Y-113912118D01* +X121195703Y-113914278D01* +X121181000Y-113915000D01* +X120881000Y-113915000D01* +X120866297Y-113914278D01* +X120851736Y-113912118D01* +X120837457Y-113908541D01* +X120823597Y-113903582D01* +X120810290Y-113897288D01* +X120797664Y-113889720D01* +X120785841Y-113880952D01* +X120774934Y-113871066D01* +X120765048Y-113860159D01* +X120756280Y-113848336D01* +X120748712Y-113835710D01* +X120742418Y-113822403D01* +X120737459Y-113808543D01* +X120733882Y-113794264D01* +X120731722Y-113779703D01* +X120731000Y-113765000D01* +X120731000Y-112590000D01* +X120731722Y-112575297D01* +X120733882Y-112560736D01* +X120737459Y-112546457D01* +X120742418Y-112532597D01* +X120748712Y-112519290D01* +X120756280Y-112506664D01* +X120765048Y-112494841D01* +X120774934Y-112483934D01* +X120785841Y-112474048D01* +X120797664Y-112465280D01* +X120810290Y-112457712D01* +X120823597Y-112451418D01* +X120837457Y-112446459D01* +X120851736Y-112442882D01* +X120866297Y-112440722D01* +X120881000Y-112440000D01* +X121181000Y-112440000D01* +X121195703Y-112440722D01* +G37* +G04 #@! TD.AperFunction* +D11* +X78994000Y-106553000D03* +D12* +X81534000Y-106553000D03* +D11* +X70358000Y-115189000D03* +X136906000Y-106553000D03* +X70358000Y-129540000D03* +X136906000Y-124841000D03* +D12* +X70358000Y-117729000D03* +X134366000Y-106553000D03* +X133350000Y-129540000D03* +X72898000Y-129540000D03* +G04 #@! TA.AperFunction,SMDPad,CuDef* +D10* +G36* +X119619229Y-109410264D02* +G01* +X119644711Y-109414044D01* +X119669700Y-109420303D01* +X119693954Y-109428982D01* +X119717242Y-109439996D01* +X119739337Y-109453239D01* +X119760028Y-109468585D01* +X119779116Y-109485884D01* +X119796415Y-109504972D01* +X119811761Y-109525663D01* +X119825004Y-109547758D01* +X119836018Y-109571046D01* +X119844697Y-109595300D01* +X119850956Y-109620289D01* +X119854736Y-109645771D01* +X119856000Y-109671500D01* +X119856000Y-110546500D01* +X119854736Y-110572229D01* +X119850956Y-110597711D01* +X119844697Y-110622700D01* +X119836018Y-110646954D01* +X119825004Y-110670242D01* +X119811761Y-110692337D01* +X119796415Y-110713028D01* +X119779116Y-110732116D01* +X119760028Y-110749415D01* +X119739337Y-110764761D01* +X119717242Y-110778004D01* +X119693954Y-110789018D01* +X119669700Y-110797697D01* +X119644711Y-110803956D01* +X119619229Y-110807736D01* +X119593500Y-110809000D01* +X119068500Y-110809000D01* +X119042771Y-110807736D01* +X119017289Y-110803956D01* +X118992300Y-110797697D01* +X118968046Y-110789018D01* +X118944758Y-110778004D01* +X118922663Y-110764761D01* +X118901972Y-110749415D01* +X118882884Y-110732116D01* +X118865585Y-110713028D01* +X118850239Y-110692337D01* +X118836996Y-110670242D01* +X118825982Y-110646954D01* +X118817303Y-110622700D01* +X118811044Y-110597711D01* +X118807264Y-110572229D01* +X118806000Y-110546500D01* +X118806000Y-109671500D01* +X118807264Y-109645771D01* +X118811044Y-109620289D01* +X118817303Y-109595300D01* +X118825982Y-109571046D01* +X118836996Y-109547758D01* +X118850239Y-109525663D01* +X118865585Y-109504972D01* +X118882884Y-109485884D01* +X118901972Y-109468585D01* +X118922663Y-109453239D01* +X118944758Y-109439996D01* +X118968046Y-109428982D01* +X118992300Y-109420303D01* +X119017289Y-109414044D01* +X119042771Y-109410264D01* +X119068500Y-109409000D01* +X119593500Y-109409000D01* +X119619229Y-109410264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X121319229Y-109410264D02* +G01* +X121344711Y-109414044D01* +X121369700Y-109420303D01* +X121393954Y-109428982D01* +X121417242Y-109439996D01* +X121439337Y-109453239D01* +X121460028Y-109468585D01* +X121479116Y-109485884D01* +X121496415Y-109504972D01* +X121511761Y-109525663D01* +X121525004Y-109547758D01* +X121536018Y-109571046D01* +X121544697Y-109595300D01* +X121550956Y-109620289D01* +X121554736Y-109645771D01* +X121556000Y-109671500D01* +X121556000Y-110546500D01* +X121554736Y-110572229D01* +X121550956Y-110597711D01* +X121544697Y-110622700D01* +X121536018Y-110646954D01* +X121525004Y-110670242D01* +X121511761Y-110692337D01* +X121496415Y-110713028D01* +X121479116Y-110732116D01* +X121460028Y-110749415D01* +X121439337Y-110764761D01* +X121417242Y-110778004D01* +X121393954Y-110789018D01* +X121369700Y-110797697D01* +X121344711Y-110803956D01* +X121319229Y-110807736D01* +X121293500Y-110809000D01* +X120768500Y-110809000D01* +X120742771Y-110807736D01* +X120717289Y-110803956D01* +X120692300Y-110797697D01* +X120668046Y-110789018D01* +X120644758Y-110778004D01* +X120622663Y-110764761D01* +X120601972Y-110749415D01* +X120582884Y-110732116D01* +X120565585Y-110713028D01* +X120550239Y-110692337D01* +X120536996Y-110670242D01* +X120525982Y-110646954D01* +X120517303Y-110622700D01* +X120511044Y-110597711D01* +X120507264Y-110572229D01* +X120506000Y-110546500D01* +X120506000Y-109671500D01* +X120507264Y-109645771D01* +X120511044Y-109620289D01* +X120517303Y-109595300D01* +X120525982Y-109571046D01* +X120536996Y-109547758D01* +X120550239Y-109525663D01* +X120565585Y-109504972D01* +X120582884Y-109485884D01* +X120601972Y-109468585D01* +X120622663Y-109453239D01* +X120644758Y-109439996D01* +X120668046Y-109428982D01* +X120692300Y-109420303D01* +X120717289Y-109414044D01* +X120742771Y-109410264D01* +X120768500Y-109409000D01* +X121293500Y-109409000D01* +X121319229Y-109410264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X137448229Y-127190264D02* +G01* +X137473711Y-127194044D01* +X137498700Y-127200303D01* +X137522954Y-127208982D01* +X137546242Y-127219996D01* +X137568337Y-127233239D01* +X137589028Y-127248585D01* +X137608116Y-127265884D01* +X137625415Y-127284972D01* +X137640761Y-127305663D01* +X137654004Y-127327758D01* +X137665018Y-127351046D01* +X137673697Y-127375300D01* +X137679956Y-127400289D01* +X137683736Y-127425771D01* +X137685000Y-127451500D01* +X137685000Y-128326500D01* +X137683736Y-128352229D01* +X137679956Y-128377711D01* +X137673697Y-128402700D01* +X137665018Y-128426954D01* +X137654004Y-128450242D01* +X137640761Y-128472337D01* +X137625415Y-128493028D01* +X137608116Y-128512116D01* +X137589028Y-128529415D01* +X137568337Y-128544761D01* +X137546242Y-128558004D01* +X137522954Y-128569018D01* +X137498700Y-128577697D01* +X137473711Y-128583956D01* +X137448229Y-128587736D01* +X137422500Y-128589000D01* +X136897500Y-128589000D01* +X136871771Y-128587736D01* +X136846289Y-128583956D01* +X136821300Y-128577697D01* +X136797046Y-128569018D01* +X136773758Y-128558004D01* +X136751663Y-128544761D01* +X136730972Y-128529415D01* +X136711884Y-128512116D01* +X136694585Y-128493028D01* +X136679239Y-128472337D01* +X136665996Y-128450242D01* +X136654982Y-128426954D01* +X136646303Y-128402700D01* +X136640044Y-128377711D01* +X136636264Y-128352229D01* +X136635000Y-128326500D01* +X136635000Y-127451500D01* +X136636264Y-127425771D01* +X136640044Y-127400289D01* +X136646303Y-127375300D01* +X136654982Y-127351046D01* +X136665996Y-127327758D01* +X136679239Y-127305663D01* +X136694585Y-127284972D01* +X136711884Y-127265884D01* +X136730972Y-127248585D01* +X136751663Y-127233239D01* +X136773758Y-127219996D01* +X136797046Y-127208982D01* +X136821300Y-127200303D01* +X136846289Y-127194044D01* +X136871771Y-127190264D01* +X136897500Y-127189000D01* +X137422500Y-127189000D01* +X137448229Y-127190264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X135748229Y-127190264D02* +G01* +X135773711Y-127194044D01* +X135798700Y-127200303D01* +X135822954Y-127208982D01* +X135846242Y-127219996D01* +X135868337Y-127233239D01* +X135889028Y-127248585D01* +X135908116Y-127265884D01* +X135925415Y-127284972D01* +X135940761Y-127305663D01* +X135954004Y-127327758D01* +X135965018Y-127351046D01* +X135973697Y-127375300D01* +X135979956Y-127400289D01* +X135983736Y-127425771D01* +X135985000Y-127451500D01* +X135985000Y-128326500D01* +X135983736Y-128352229D01* +X135979956Y-128377711D01* +X135973697Y-128402700D01* +X135965018Y-128426954D01* +X135954004Y-128450242D01* +X135940761Y-128472337D01* +X135925415Y-128493028D01* +X135908116Y-128512116D01* +X135889028Y-128529415D01* +X135868337Y-128544761D01* +X135846242Y-128558004D01* +X135822954Y-128569018D01* +X135798700Y-128577697D01* +X135773711Y-128583956D01* +X135748229Y-128587736D01* +X135722500Y-128589000D01* +X135197500Y-128589000D01* +X135171771Y-128587736D01* +X135146289Y-128583956D01* +X135121300Y-128577697D01* +X135097046Y-128569018D01* +X135073758Y-128558004D01* +X135051663Y-128544761D01* +X135030972Y-128529415D01* +X135011884Y-128512116D01* +X134994585Y-128493028D01* +X134979239Y-128472337D01* +X134965996Y-128450242D01* +X134954982Y-128426954D01* +X134946303Y-128402700D01* +X134940044Y-128377711D01* +X134936264Y-128352229D01* +X134935000Y-128326500D01* +X134935000Y-127451500D01* +X134936264Y-127425771D01* +X134940044Y-127400289D01* +X134946303Y-127375300D01* +X134954982Y-127351046D01* +X134965996Y-127327758D01* +X134979239Y-127305663D01* +X134994585Y-127284972D01* +X135011884Y-127265884D01* +X135030972Y-127248585D01* +X135051663Y-127233239D01* +X135073758Y-127219996D01* +X135097046Y-127208982D01* +X135121300Y-127200303D01* +X135146289Y-127194044D01* +X135171771Y-127190264D01* +X135197500Y-127189000D01* +X135722500Y-127189000D01* +X135748229Y-127190264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X93427229Y-127111264D02* +G01* +X93452711Y-127115044D01* +X93477700Y-127121303D01* +X93501954Y-127129982D01* +X93525242Y-127140996D01* +X93547337Y-127154239D01* +X93568028Y-127169585D01* +X93587116Y-127186884D01* +X93604415Y-127205972D01* +X93619761Y-127226663D01* +X93633004Y-127248758D01* +X93644018Y-127272046D01* +X93652697Y-127296300D01* +X93658956Y-127321289D01* +X93662736Y-127346771D01* +X93664000Y-127372500D01* +X93664000Y-127897500D01* +X93662736Y-127923229D01* +X93658956Y-127948711D01* +X93652697Y-127973700D01* +X93644018Y-127997954D01* +X93633004Y-128021242D01* +X93619761Y-128043337D01* +X93604415Y-128064028D01* +X93587116Y-128083116D01* +X93568028Y-128100415D01* +X93547337Y-128115761D01* +X93525242Y-128129004D01* +X93501954Y-128140018D01* +X93477700Y-128148697D01* +X93452711Y-128154956D01* +X93427229Y-128158736D01* +X93401500Y-128160000D01* +X92526500Y-128160000D01* +X92500771Y-128158736D01* +X92475289Y-128154956D01* +X92450300Y-128148697D01* +X92426046Y-128140018D01* +X92402758Y-128129004D01* +X92380663Y-128115761D01* +X92359972Y-128100415D01* +X92340884Y-128083116D01* +X92323585Y-128064028D01* +X92308239Y-128043337D01* +X92294996Y-128021242D01* +X92283982Y-127997954D01* +X92275303Y-127973700D01* +X92269044Y-127948711D01* +X92265264Y-127923229D01* +X92264000Y-127897500D01* +X92264000Y-127372500D01* +X92265264Y-127346771D01* +X92269044Y-127321289D01* +X92275303Y-127296300D01* +X92283982Y-127272046D01* +X92294996Y-127248758D01* +X92308239Y-127226663D01* +X92323585Y-127205972D01* +X92340884Y-127186884D01* +X92359972Y-127169585D01* +X92380663Y-127154239D01* +X92402758Y-127140996D01* +X92426046Y-127129982D01* +X92450300Y-127121303D01* +X92475289Y-127115044D01* +X92500771Y-127111264D01* +X92526500Y-127110000D01* +X93401500Y-127110000D01* +X93427229Y-127111264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X93427229Y-125411264D02* +G01* +X93452711Y-125415044D01* +X93477700Y-125421303D01* +X93501954Y-125429982D01* +X93525242Y-125440996D01* +X93547337Y-125454239D01* +X93568028Y-125469585D01* +X93587116Y-125486884D01* +X93604415Y-125505972D01* +X93619761Y-125526663D01* +X93633004Y-125548758D01* +X93644018Y-125572046D01* +X93652697Y-125596300D01* +X93658956Y-125621289D01* +X93662736Y-125646771D01* +X93664000Y-125672500D01* +X93664000Y-126197500D01* +X93662736Y-126223229D01* +X93658956Y-126248711D01* +X93652697Y-126273700D01* +X93644018Y-126297954D01* +X93633004Y-126321242D01* +X93619761Y-126343337D01* +X93604415Y-126364028D01* +X93587116Y-126383116D01* +X93568028Y-126400415D01* +X93547337Y-126415761D01* +X93525242Y-126429004D01* +X93501954Y-126440018D01* +X93477700Y-126448697D01* +X93452711Y-126454956D01* +X93427229Y-126458736D01* +X93401500Y-126460000D01* +X92526500Y-126460000D01* +X92500771Y-126458736D01* +X92475289Y-126454956D01* +X92450300Y-126448697D01* +X92426046Y-126440018D01* +X92402758Y-126429004D01* +X92380663Y-126415761D01* +X92359972Y-126400415D01* +X92340884Y-126383116D01* +X92323585Y-126364028D01* +X92308239Y-126343337D01* +X92294996Y-126321242D01* +X92283982Y-126297954D01* +X92275303Y-126273700D01* +X92269044Y-126248711D01* +X92265264Y-126223229D01* +X92264000Y-126197500D01* +X92264000Y-125672500D01* +X92265264Y-125646771D01* +X92269044Y-125621289D01* +X92275303Y-125596300D01* +X92283982Y-125572046D01* +X92294996Y-125548758D01* +X92308239Y-125526663D01* +X92323585Y-125505972D01* +X92340884Y-125486884D01* +X92359972Y-125469585D01* +X92380663Y-125454239D01* +X92402758Y-125440996D01* +X92426046Y-125429982D01* +X92450300Y-125421303D01* +X92475289Y-125415044D01* +X92500771Y-125411264D01* +X92526500Y-125410000D01* +X93401500Y-125410000D01* +X93427229Y-125411264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-119715722D02* +G01* +X92041264Y-119717882D01* +X92055543Y-119721459D01* +X92069403Y-119726418D01* +X92082710Y-119732712D01* +X92095336Y-119740280D01* +X92107159Y-119749048D01* +X92118066Y-119758934D01* +X92127952Y-119769841D01* +X92136720Y-119781664D01* +X92144288Y-119794290D01* +X92150582Y-119807597D01* +X92155541Y-119821457D01* +X92159118Y-119835736D01* +X92161278Y-119850297D01* +X92162000Y-119865000D01* +X92162000Y-120165000D01* +X92161278Y-120179703D01* +X92159118Y-120194264D01* +X92155541Y-120208543D01* +X92150582Y-120222403D01* +X92144288Y-120235710D01* +X92136720Y-120248336D01* +X92127952Y-120260159D01* +X92118066Y-120271066D01* +X92107159Y-120280952D01* +X92095336Y-120289720D01* +X92082710Y-120297288D01* +X92069403Y-120303582D01* +X92055543Y-120308541D01* +X92041264Y-120312118D01* +X92026703Y-120314278D01* +X92012000Y-120315000D01* +X90262000Y-120315000D01* +X90247297Y-120314278D01* +X90232736Y-120312118D01* +X90218457Y-120308541D01* +X90204597Y-120303582D01* +X90191290Y-120297288D01* +X90178664Y-120289720D01* +X90166841Y-120280952D01* +X90155934Y-120271066D01* +X90146048Y-120260159D01* +X90137280Y-120248336D01* +X90129712Y-120235710D01* +X90123418Y-120222403D01* +X90118459Y-120208543D01* +X90114882Y-120194264D01* +X90112722Y-120179703D01* +X90112000Y-120165000D01* +X90112000Y-119865000D01* +X90112722Y-119850297D01* +X90114882Y-119835736D01* +X90118459Y-119821457D01* +X90123418Y-119807597D01* +X90129712Y-119794290D01* +X90137280Y-119781664D01* +X90146048Y-119769841D01* +X90155934Y-119758934D01* +X90166841Y-119749048D01* +X90178664Y-119740280D01* +X90191290Y-119732712D01* +X90204597Y-119726418D01* +X90218457Y-119721459D01* +X90232736Y-119717882D01* +X90247297Y-119715722D01* +X90262000Y-119715000D01* +X92012000Y-119715000D01* +X92026703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-118445722D02* +G01* +X92041264Y-118447882D01* +X92055543Y-118451459D01* +X92069403Y-118456418D01* +X92082710Y-118462712D01* +X92095336Y-118470280D01* +X92107159Y-118479048D01* +X92118066Y-118488934D01* +X92127952Y-118499841D01* +X92136720Y-118511664D01* +X92144288Y-118524290D01* +X92150582Y-118537597D01* +X92155541Y-118551457D01* +X92159118Y-118565736D01* +X92161278Y-118580297D01* +X92162000Y-118595000D01* +X92162000Y-118895000D01* +X92161278Y-118909703D01* +X92159118Y-118924264D01* +X92155541Y-118938543D01* +X92150582Y-118952403D01* +X92144288Y-118965710D01* +X92136720Y-118978336D01* +X92127952Y-118990159D01* +X92118066Y-119001066D01* +X92107159Y-119010952D01* +X92095336Y-119019720D01* +X92082710Y-119027288D01* +X92069403Y-119033582D01* +X92055543Y-119038541D01* +X92041264Y-119042118D01* +X92026703Y-119044278D01* +X92012000Y-119045000D01* +X90262000Y-119045000D01* +X90247297Y-119044278D01* +X90232736Y-119042118D01* +X90218457Y-119038541D01* +X90204597Y-119033582D01* +X90191290Y-119027288D01* +X90178664Y-119019720D01* +X90166841Y-119010952D01* +X90155934Y-119001066D01* +X90146048Y-118990159D01* +X90137280Y-118978336D01* +X90129712Y-118965710D01* +X90123418Y-118952403D01* +X90118459Y-118938543D01* +X90114882Y-118924264D01* +X90112722Y-118909703D01* +X90112000Y-118895000D01* +X90112000Y-118595000D01* +X90112722Y-118580297D01* +X90114882Y-118565736D01* +X90118459Y-118551457D01* +X90123418Y-118537597D01* +X90129712Y-118524290D01* +X90137280Y-118511664D01* +X90146048Y-118499841D01* +X90155934Y-118488934D01* +X90166841Y-118479048D01* +X90178664Y-118470280D01* +X90191290Y-118462712D01* +X90204597Y-118456418D01* +X90218457Y-118451459D01* +X90232736Y-118447882D01* +X90247297Y-118445722D01* +X90262000Y-118445000D01* +X92012000Y-118445000D01* +X92026703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-117175722D02* +G01* +X92041264Y-117177882D01* +X92055543Y-117181459D01* +X92069403Y-117186418D01* +X92082710Y-117192712D01* +X92095336Y-117200280D01* +X92107159Y-117209048D01* +X92118066Y-117218934D01* +X92127952Y-117229841D01* +X92136720Y-117241664D01* +X92144288Y-117254290D01* +X92150582Y-117267597D01* +X92155541Y-117281457D01* +X92159118Y-117295736D01* +X92161278Y-117310297D01* +X92162000Y-117325000D01* +X92162000Y-117625000D01* +X92161278Y-117639703D01* +X92159118Y-117654264D01* +X92155541Y-117668543D01* +X92150582Y-117682403D01* +X92144288Y-117695710D01* +X92136720Y-117708336D01* +X92127952Y-117720159D01* +X92118066Y-117731066D01* +X92107159Y-117740952D01* +X92095336Y-117749720D01* +X92082710Y-117757288D01* +X92069403Y-117763582D01* +X92055543Y-117768541D01* +X92041264Y-117772118D01* +X92026703Y-117774278D01* +X92012000Y-117775000D01* +X90262000Y-117775000D01* +X90247297Y-117774278D01* +X90232736Y-117772118D01* +X90218457Y-117768541D01* +X90204597Y-117763582D01* +X90191290Y-117757288D01* +X90178664Y-117749720D01* +X90166841Y-117740952D01* +X90155934Y-117731066D01* +X90146048Y-117720159D01* +X90137280Y-117708336D01* +X90129712Y-117695710D01* +X90123418Y-117682403D01* +X90118459Y-117668543D01* +X90114882Y-117654264D01* +X90112722Y-117639703D01* +X90112000Y-117625000D01* +X90112000Y-117325000D01* +X90112722Y-117310297D01* +X90114882Y-117295736D01* +X90118459Y-117281457D01* +X90123418Y-117267597D01* +X90129712Y-117254290D01* +X90137280Y-117241664D01* +X90146048Y-117229841D01* +X90155934Y-117218934D01* +X90166841Y-117209048D01* +X90178664Y-117200280D01* +X90191290Y-117192712D01* +X90204597Y-117186418D01* +X90218457Y-117181459D01* +X90232736Y-117177882D01* +X90247297Y-117175722D01* +X90262000Y-117175000D01* +X92012000Y-117175000D01* +X92026703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-115905722D02* +G01* +X92041264Y-115907882D01* +X92055543Y-115911459D01* +X92069403Y-115916418D01* +X92082710Y-115922712D01* +X92095336Y-115930280D01* +X92107159Y-115939048D01* +X92118066Y-115948934D01* +X92127952Y-115959841D01* +X92136720Y-115971664D01* +X92144288Y-115984290D01* +X92150582Y-115997597D01* +X92155541Y-116011457D01* +X92159118Y-116025736D01* +X92161278Y-116040297D01* +X92162000Y-116055000D01* +X92162000Y-116355000D01* +X92161278Y-116369703D01* +X92159118Y-116384264D01* +X92155541Y-116398543D01* +X92150582Y-116412403D01* +X92144288Y-116425710D01* +X92136720Y-116438336D01* +X92127952Y-116450159D01* +X92118066Y-116461066D01* +X92107159Y-116470952D01* +X92095336Y-116479720D01* +X92082710Y-116487288D01* +X92069403Y-116493582D01* +X92055543Y-116498541D01* +X92041264Y-116502118D01* +X92026703Y-116504278D01* +X92012000Y-116505000D01* +X90262000Y-116505000D01* +X90247297Y-116504278D01* +X90232736Y-116502118D01* +X90218457Y-116498541D01* +X90204597Y-116493582D01* +X90191290Y-116487288D01* +X90178664Y-116479720D01* +X90166841Y-116470952D01* +X90155934Y-116461066D01* +X90146048Y-116450159D01* +X90137280Y-116438336D01* +X90129712Y-116425710D01* +X90123418Y-116412403D01* +X90118459Y-116398543D01* +X90114882Y-116384264D01* +X90112722Y-116369703D01* +X90112000Y-116355000D01* +X90112000Y-116055000D01* +X90112722Y-116040297D01* +X90114882Y-116025736D01* +X90118459Y-116011457D01* +X90123418Y-115997597D01* +X90129712Y-115984290D01* +X90137280Y-115971664D01* +X90146048Y-115959841D01* +X90155934Y-115948934D01* +X90166841Y-115939048D01* +X90178664Y-115930280D01* +X90191290Y-115922712D01* +X90204597Y-115916418D01* +X90218457Y-115911459D01* +X90232736Y-115907882D01* +X90247297Y-115905722D01* +X90262000Y-115905000D01* +X92012000Y-115905000D01* +X92026703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-114635722D02* +G01* +X92041264Y-114637882D01* +X92055543Y-114641459D01* +X92069403Y-114646418D01* +X92082710Y-114652712D01* +X92095336Y-114660280D01* +X92107159Y-114669048D01* +X92118066Y-114678934D01* +X92127952Y-114689841D01* +X92136720Y-114701664D01* +X92144288Y-114714290D01* +X92150582Y-114727597D01* +X92155541Y-114741457D01* +X92159118Y-114755736D01* +X92161278Y-114770297D01* +X92162000Y-114785000D01* +X92162000Y-115085000D01* +X92161278Y-115099703D01* +X92159118Y-115114264D01* +X92155541Y-115128543D01* +X92150582Y-115142403D01* +X92144288Y-115155710D01* +X92136720Y-115168336D01* +X92127952Y-115180159D01* +X92118066Y-115191066D01* +X92107159Y-115200952D01* +X92095336Y-115209720D01* +X92082710Y-115217288D01* +X92069403Y-115223582D01* +X92055543Y-115228541D01* +X92041264Y-115232118D01* +X92026703Y-115234278D01* +X92012000Y-115235000D01* +X90262000Y-115235000D01* +X90247297Y-115234278D01* +X90232736Y-115232118D01* +X90218457Y-115228541D01* +X90204597Y-115223582D01* +X90191290Y-115217288D01* +X90178664Y-115209720D01* +X90166841Y-115200952D01* +X90155934Y-115191066D01* +X90146048Y-115180159D01* +X90137280Y-115168336D01* +X90129712Y-115155710D01* +X90123418Y-115142403D01* +X90118459Y-115128543D01* +X90114882Y-115114264D01* +X90112722Y-115099703D01* +X90112000Y-115085000D01* +X90112000Y-114785000D01* +X90112722Y-114770297D01* +X90114882Y-114755736D01* +X90118459Y-114741457D01* +X90123418Y-114727597D01* +X90129712Y-114714290D01* +X90137280Y-114701664D01* +X90146048Y-114689841D01* +X90155934Y-114678934D01* +X90166841Y-114669048D01* +X90178664Y-114660280D01* +X90191290Y-114652712D01* +X90204597Y-114646418D01* +X90218457Y-114641459D01* +X90232736Y-114637882D01* +X90247297Y-114635722D01* +X90262000Y-114635000D01* +X92012000Y-114635000D01* +X92026703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-113365722D02* +G01* +X92041264Y-113367882D01* +X92055543Y-113371459D01* +X92069403Y-113376418D01* +X92082710Y-113382712D01* +X92095336Y-113390280D01* +X92107159Y-113399048D01* +X92118066Y-113408934D01* +X92127952Y-113419841D01* +X92136720Y-113431664D01* +X92144288Y-113444290D01* +X92150582Y-113457597D01* +X92155541Y-113471457D01* +X92159118Y-113485736D01* +X92161278Y-113500297D01* +X92162000Y-113515000D01* +X92162000Y-113815000D01* +X92161278Y-113829703D01* +X92159118Y-113844264D01* +X92155541Y-113858543D01* +X92150582Y-113872403D01* +X92144288Y-113885710D01* +X92136720Y-113898336D01* +X92127952Y-113910159D01* +X92118066Y-113921066D01* +X92107159Y-113930952D01* +X92095336Y-113939720D01* +X92082710Y-113947288D01* +X92069403Y-113953582D01* +X92055543Y-113958541D01* +X92041264Y-113962118D01* +X92026703Y-113964278D01* +X92012000Y-113965000D01* +X90262000Y-113965000D01* +X90247297Y-113964278D01* +X90232736Y-113962118D01* +X90218457Y-113958541D01* +X90204597Y-113953582D01* +X90191290Y-113947288D01* +X90178664Y-113939720D01* +X90166841Y-113930952D01* +X90155934Y-113921066D01* +X90146048Y-113910159D01* +X90137280Y-113898336D01* +X90129712Y-113885710D01* +X90123418Y-113872403D01* +X90118459Y-113858543D01* +X90114882Y-113844264D01* +X90112722Y-113829703D01* +X90112000Y-113815000D01* +X90112000Y-113515000D01* +X90112722Y-113500297D01* +X90114882Y-113485736D01* +X90118459Y-113471457D01* +X90123418Y-113457597D01* +X90129712Y-113444290D01* +X90137280Y-113431664D01* +X90146048Y-113419841D01* +X90155934Y-113408934D01* +X90166841Y-113399048D01* +X90178664Y-113390280D01* +X90191290Y-113382712D01* +X90204597Y-113376418D01* +X90218457Y-113371459D01* +X90232736Y-113367882D01* +X90247297Y-113365722D01* +X90262000Y-113365000D01* +X92012000Y-113365000D01* +X92026703Y-113365722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-112095722D02* +G01* +X92041264Y-112097882D01* +X92055543Y-112101459D01* +X92069403Y-112106418D01* +X92082710Y-112112712D01* +X92095336Y-112120280D01* +X92107159Y-112129048D01* +X92118066Y-112138934D01* +X92127952Y-112149841D01* +X92136720Y-112161664D01* +X92144288Y-112174290D01* +X92150582Y-112187597D01* +X92155541Y-112201457D01* +X92159118Y-112215736D01* +X92161278Y-112230297D01* +X92162000Y-112245000D01* +X92162000Y-112545000D01* +X92161278Y-112559703D01* +X92159118Y-112574264D01* +X92155541Y-112588543D01* +X92150582Y-112602403D01* +X92144288Y-112615710D01* +X92136720Y-112628336D01* +X92127952Y-112640159D01* +X92118066Y-112651066D01* +X92107159Y-112660952D01* +X92095336Y-112669720D01* +X92082710Y-112677288D01* +X92069403Y-112683582D01* +X92055543Y-112688541D01* +X92041264Y-112692118D01* +X92026703Y-112694278D01* +X92012000Y-112695000D01* +X90262000Y-112695000D01* +X90247297Y-112694278D01* +X90232736Y-112692118D01* +X90218457Y-112688541D01* +X90204597Y-112683582D01* +X90191290Y-112677288D01* +X90178664Y-112669720D01* +X90166841Y-112660952D01* +X90155934Y-112651066D01* +X90146048Y-112640159D01* +X90137280Y-112628336D01* +X90129712Y-112615710D01* +X90123418Y-112602403D01* +X90118459Y-112588543D01* +X90114882Y-112574264D01* +X90112722Y-112559703D01* +X90112000Y-112545000D01* +X90112000Y-112245000D01* +X90112722Y-112230297D01* +X90114882Y-112215736D01* +X90118459Y-112201457D01* +X90123418Y-112187597D01* +X90129712Y-112174290D01* +X90137280Y-112161664D01* +X90146048Y-112149841D01* +X90155934Y-112138934D01* +X90166841Y-112129048D01* +X90178664Y-112120280D01* +X90191290Y-112112712D01* +X90204597Y-112106418D01* +X90218457Y-112101459D01* +X90232736Y-112097882D01* +X90247297Y-112095722D01* +X90262000Y-112095000D01* +X92012000Y-112095000D01* +X92026703Y-112095722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-110825722D02* +G01* +X92041264Y-110827882D01* +X92055543Y-110831459D01* +X92069403Y-110836418D01* +X92082710Y-110842712D01* +X92095336Y-110850280D01* +X92107159Y-110859048D01* +X92118066Y-110868934D01* +X92127952Y-110879841D01* +X92136720Y-110891664D01* +X92144288Y-110904290D01* +X92150582Y-110917597D01* +X92155541Y-110931457D01* +X92159118Y-110945736D01* +X92161278Y-110960297D01* +X92162000Y-110975000D01* +X92162000Y-111275000D01* +X92161278Y-111289703D01* +X92159118Y-111304264D01* +X92155541Y-111318543D01* +X92150582Y-111332403D01* +X92144288Y-111345710D01* +X92136720Y-111358336D01* +X92127952Y-111370159D01* +X92118066Y-111381066D01* +X92107159Y-111390952D01* +X92095336Y-111399720D01* +X92082710Y-111407288D01* +X92069403Y-111413582D01* +X92055543Y-111418541D01* +X92041264Y-111422118D01* +X92026703Y-111424278D01* +X92012000Y-111425000D01* +X90262000Y-111425000D01* +X90247297Y-111424278D01* +X90232736Y-111422118D01* +X90218457Y-111418541D01* +X90204597Y-111413582D01* +X90191290Y-111407288D01* +X90178664Y-111399720D01* +X90166841Y-111390952D01* +X90155934Y-111381066D01* +X90146048Y-111370159D01* +X90137280Y-111358336D01* +X90129712Y-111345710D01* +X90123418Y-111332403D01* +X90118459Y-111318543D01* +X90114882Y-111304264D01* +X90112722Y-111289703D01* +X90112000Y-111275000D01* +X90112000Y-110975000D01* +X90112722Y-110960297D01* +X90114882Y-110945736D01* +X90118459Y-110931457D01* +X90123418Y-110917597D01* +X90129712Y-110904290D01* +X90137280Y-110891664D01* +X90146048Y-110879841D01* +X90155934Y-110868934D01* +X90166841Y-110859048D01* +X90178664Y-110850280D01* +X90191290Y-110842712D01* +X90204597Y-110836418D01* +X90218457Y-110831459D01* +X90232736Y-110827882D01* +X90247297Y-110825722D01* +X90262000Y-110825000D01* +X92012000Y-110825000D01* +X92026703Y-110825722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-109555722D02* +G01* +X92041264Y-109557882D01* +X92055543Y-109561459D01* +X92069403Y-109566418D01* +X92082710Y-109572712D01* +X92095336Y-109580280D01* +X92107159Y-109589048D01* +X92118066Y-109598934D01* +X92127952Y-109609841D01* +X92136720Y-109621664D01* +X92144288Y-109634290D01* +X92150582Y-109647597D01* +X92155541Y-109661457D01* +X92159118Y-109675736D01* +X92161278Y-109690297D01* +X92162000Y-109705000D01* +X92162000Y-110005000D01* +X92161278Y-110019703D01* +X92159118Y-110034264D01* +X92155541Y-110048543D01* +X92150582Y-110062403D01* +X92144288Y-110075710D01* +X92136720Y-110088336D01* +X92127952Y-110100159D01* +X92118066Y-110111066D01* +X92107159Y-110120952D01* +X92095336Y-110129720D01* +X92082710Y-110137288D01* +X92069403Y-110143582D01* +X92055543Y-110148541D01* +X92041264Y-110152118D01* +X92026703Y-110154278D01* +X92012000Y-110155000D01* +X90262000Y-110155000D01* +X90247297Y-110154278D01* +X90232736Y-110152118D01* +X90218457Y-110148541D01* +X90204597Y-110143582D01* +X90191290Y-110137288D01* +X90178664Y-110129720D01* +X90166841Y-110120952D01* +X90155934Y-110111066D01* +X90146048Y-110100159D01* +X90137280Y-110088336D01* +X90129712Y-110075710D01* +X90123418Y-110062403D01* +X90118459Y-110048543D01* +X90114882Y-110034264D01* +X90112722Y-110019703D01* +X90112000Y-110005000D01* +X90112000Y-109705000D01* +X90112722Y-109690297D01* +X90114882Y-109675736D01* +X90118459Y-109661457D01* +X90123418Y-109647597D01* +X90129712Y-109634290D01* +X90137280Y-109621664D01* +X90146048Y-109609841D01* +X90155934Y-109598934D01* +X90166841Y-109589048D01* +X90178664Y-109580280D01* +X90191290Y-109572712D01* +X90204597Y-109566418D01* +X90218457Y-109561459D01* +X90232736Y-109557882D01* +X90247297Y-109555722D01* +X90262000Y-109555000D01* +X92012000Y-109555000D01* +X92026703Y-109555722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X92026703Y-108285722D02* +G01* +X92041264Y-108287882D01* +X92055543Y-108291459D01* +X92069403Y-108296418D01* +X92082710Y-108302712D01* +X92095336Y-108310280D01* +X92107159Y-108319048D01* +X92118066Y-108328934D01* +X92127952Y-108339841D01* +X92136720Y-108351664D01* +X92144288Y-108364290D01* +X92150582Y-108377597D01* +X92155541Y-108391457D01* +X92159118Y-108405736D01* +X92161278Y-108420297D01* +X92162000Y-108435000D01* +X92162000Y-108735000D01* +X92161278Y-108749703D01* +X92159118Y-108764264D01* +X92155541Y-108778543D01* +X92150582Y-108792403D01* +X92144288Y-108805710D01* +X92136720Y-108818336D01* +X92127952Y-108830159D01* +X92118066Y-108841066D01* +X92107159Y-108850952D01* +X92095336Y-108859720D01* +X92082710Y-108867288D01* +X92069403Y-108873582D01* +X92055543Y-108878541D01* +X92041264Y-108882118D01* +X92026703Y-108884278D01* +X92012000Y-108885000D01* +X90262000Y-108885000D01* +X90247297Y-108884278D01* +X90232736Y-108882118D01* +X90218457Y-108878541D01* +X90204597Y-108873582D01* +X90191290Y-108867288D01* +X90178664Y-108859720D01* +X90166841Y-108850952D01* +X90155934Y-108841066D01* +X90146048Y-108830159D01* +X90137280Y-108818336D01* +X90129712Y-108805710D01* +X90123418Y-108792403D01* +X90118459Y-108778543D01* +X90114882Y-108764264D01* +X90112722Y-108749703D01* +X90112000Y-108735000D01* +X90112000Y-108435000D01* +X90112722Y-108420297D01* +X90114882Y-108405736D01* +X90118459Y-108391457D01* +X90123418Y-108377597D01* +X90129712Y-108364290D01* +X90137280Y-108351664D01* +X90146048Y-108339841D01* +X90155934Y-108328934D01* +X90166841Y-108319048D01* +X90178664Y-108310280D01* +X90191290Y-108302712D01* +X90204597Y-108296418D01* +X90218457Y-108291459D01* +X90232736Y-108287882D01* +X90247297Y-108285722D01* +X90262000Y-108285000D01* +X92012000Y-108285000D01* +X92026703Y-108285722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-108285722D02* +G01* +X82741264Y-108287882D01* +X82755543Y-108291459D01* +X82769403Y-108296418D01* +X82782710Y-108302712D01* +X82795336Y-108310280D01* +X82807159Y-108319048D01* +X82818066Y-108328934D01* +X82827952Y-108339841D01* +X82836720Y-108351664D01* +X82844288Y-108364290D01* +X82850582Y-108377597D01* +X82855541Y-108391457D01* +X82859118Y-108405736D01* +X82861278Y-108420297D01* +X82862000Y-108435000D01* +X82862000Y-108735000D01* +X82861278Y-108749703D01* +X82859118Y-108764264D01* +X82855541Y-108778543D01* +X82850582Y-108792403D01* +X82844288Y-108805710D01* +X82836720Y-108818336D01* +X82827952Y-108830159D01* +X82818066Y-108841066D01* +X82807159Y-108850952D01* +X82795336Y-108859720D01* +X82782710Y-108867288D01* +X82769403Y-108873582D01* +X82755543Y-108878541D01* +X82741264Y-108882118D01* +X82726703Y-108884278D01* +X82712000Y-108885000D01* +X80962000Y-108885000D01* +X80947297Y-108884278D01* +X80932736Y-108882118D01* +X80918457Y-108878541D01* +X80904597Y-108873582D01* +X80891290Y-108867288D01* +X80878664Y-108859720D01* +X80866841Y-108850952D01* +X80855934Y-108841066D01* +X80846048Y-108830159D01* +X80837280Y-108818336D01* +X80829712Y-108805710D01* +X80823418Y-108792403D01* +X80818459Y-108778543D01* +X80814882Y-108764264D01* +X80812722Y-108749703D01* +X80812000Y-108735000D01* +X80812000Y-108435000D01* +X80812722Y-108420297D01* +X80814882Y-108405736D01* +X80818459Y-108391457D01* +X80823418Y-108377597D01* +X80829712Y-108364290D01* +X80837280Y-108351664D01* +X80846048Y-108339841D01* +X80855934Y-108328934D01* +X80866841Y-108319048D01* +X80878664Y-108310280D01* +X80891290Y-108302712D01* +X80904597Y-108296418D01* +X80918457Y-108291459D01* +X80932736Y-108287882D01* +X80947297Y-108285722D01* +X80962000Y-108285000D01* +X82712000Y-108285000D01* +X82726703Y-108285722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-109555722D02* +G01* +X82741264Y-109557882D01* +X82755543Y-109561459D01* +X82769403Y-109566418D01* +X82782710Y-109572712D01* +X82795336Y-109580280D01* +X82807159Y-109589048D01* +X82818066Y-109598934D01* +X82827952Y-109609841D01* +X82836720Y-109621664D01* +X82844288Y-109634290D01* +X82850582Y-109647597D01* +X82855541Y-109661457D01* +X82859118Y-109675736D01* +X82861278Y-109690297D01* +X82862000Y-109705000D01* +X82862000Y-110005000D01* +X82861278Y-110019703D01* +X82859118Y-110034264D01* +X82855541Y-110048543D01* +X82850582Y-110062403D01* +X82844288Y-110075710D01* +X82836720Y-110088336D01* +X82827952Y-110100159D01* +X82818066Y-110111066D01* +X82807159Y-110120952D01* +X82795336Y-110129720D01* +X82782710Y-110137288D01* +X82769403Y-110143582D01* +X82755543Y-110148541D01* +X82741264Y-110152118D01* +X82726703Y-110154278D01* +X82712000Y-110155000D01* +X80962000Y-110155000D01* +X80947297Y-110154278D01* +X80932736Y-110152118D01* +X80918457Y-110148541D01* +X80904597Y-110143582D01* +X80891290Y-110137288D01* +X80878664Y-110129720D01* +X80866841Y-110120952D01* +X80855934Y-110111066D01* +X80846048Y-110100159D01* +X80837280Y-110088336D01* +X80829712Y-110075710D01* +X80823418Y-110062403D01* +X80818459Y-110048543D01* +X80814882Y-110034264D01* +X80812722Y-110019703D01* +X80812000Y-110005000D01* +X80812000Y-109705000D01* +X80812722Y-109690297D01* +X80814882Y-109675736D01* +X80818459Y-109661457D01* +X80823418Y-109647597D01* +X80829712Y-109634290D01* +X80837280Y-109621664D01* +X80846048Y-109609841D01* +X80855934Y-109598934D01* +X80866841Y-109589048D01* +X80878664Y-109580280D01* +X80891290Y-109572712D01* +X80904597Y-109566418D01* +X80918457Y-109561459D01* +X80932736Y-109557882D01* +X80947297Y-109555722D01* +X80962000Y-109555000D01* +X82712000Y-109555000D01* +X82726703Y-109555722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-110825722D02* +G01* +X82741264Y-110827882D01* +X82755543Y-110831459D01* +X82769403Y-110836418D01* +X82782710Y-110842712D01* +X82795336Y-110850280D01* +X82807159Y-110859048D01* +X82818066Y-110868934D01* +X82827952Y-110879841D01* +X82836720Y-110891664D01* +X82844288Y-110904290D01* +X82850582Y-110917597D01* +X82855541Y-110931457D01* +X82859118Y-110945736D01* +X82861278Y-110960297D01* +X82862000Y-110975000D01* +X82862000Y-111275000D01* +X82861278Y-111289703D01* +X82859118Y-111304264D01* +X82855541Y-111318543D01* +X82850582Y-111332403D01* +X82844288Y-111345710D01* +X82836720Y-111358336D01* +X82827952Y-111370159D01* +X82818066Y-111381066D01* +X82807159Y-111390952D01* +X82795336Y-111399720D01* +X82782710Y-111407288D01* +X82769403Y-111413582D01* +X82755543Y-111418541D01* +X82741264Y-111422118D01* +X82726703Y-111424278D01* +X82712000Y-111425000D01* +X80962000Y-111425000D01* +X80947297Y-111424278D01* +X80932736Y-111422118D01* +X80918457Y-111418541D01* +X80904597Y-111413582D01* +X80891290Y-111407288D01* +X80878664Y-111399720D01* +X80866841Y-111390952D01* +X80855934Y-111381066D01* +X80846048Y-111370159D01* +X80837280Y-111358336D01* +X80829712Y-111345710D01* +X80823418Y-111332403D01* +X80818459Y-111318543D01* +X80814882Y-111304264D01* +X80812722Y-111289703D01* +X80812000Y-111275000D01* +X80812000Y-110975000D01* +X80812722Y-110960297D01* +X80814882Y-110945736D01* +X80818459Y-110931457D01* +X80823418Y-110917597D01* +X80829712Y-110904290D01* +X80837280Y-110891664D01* +X80846048Y-110879841D01* +X80855934Y-110868934D01* +X80866841Y-110859048D01* +X80878664Y-110850280D01* +X80891290Y-110842712D01* +X80904597Y-110836418D01* +X80918457Y-110831459D01* +X80932736Y-110827882D01* +X80947297Y-110825722D01* +X80962000Y-110825000D01* +X82712000Y-110825000D01* +X82726703Y-110825722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-112095722D02* +G01* +X82741264Y-112097882D01* +X82755543Y-112101459D01* +X82769403Y-112106418D01* +X82782710Y-112112712D01* +X82795336Y-112120280D01* +X82807159Y-112129048D01* +X82818066Y-112138934D01* +X82827952Y-112149841D01* +X82836720Y-112161664D01* +X82844288Y-112174290D01* +X82850582Y-112187597D01* +X82855541Y-112201457D01* +X82859118Y-112215736D01* +X82861278Y-112230297D01* +X82862000Y-112245000D01* +X82862000Y-112545000D01* +X82861278Y-112559703D01* +X82859118Y-112574264D01* +X82855541Y-112588543D01* +X82850582Y-112602403D01* +X82844288Y-112615710D01* +X82836720Y-112628336D01* +X82827952Y-112640159D01* +X82818066Y-112651066D01* +X82807159Y-112660952D01* +X82795336Y-112669720D01* +X82782710Y-112677288D01* +X82769403Y-112683582D01* +X82755543Y-112688541D01* +X82741264Y-112692118D01* +X82726703Y-112694278D01* +X82712000Y-112695000D01* +X80962000Y-112695000D01* +X80947297Y-112694278D01* +X80932736Y-112692118D01* +X80918457Y-112688541D01* +X80904597Y-112683582D01* +X80891290Y-112677288D01* +X80878664Y-112669720D01* +X80866841Y-112660952D01* +X80855934Y-112651066D01* +X80846048Y-112640159D01* +X80837280Y-112628336D01* +X80829712Y-112615710D01* +X80823418Y-112602403D01* +X80818459Y-112588543D01* +X80814882Y-112574264D01* +X80812722Y-112559703D01* +X80812000Y-112545000D01* +X80812000Y-112245000D01* +X80812722Y-112230297D01* +X80814882Y-112215736D01* +X80818459Y-112201457D01* +X80823418Y-112187597D01* +X80829712Y-112174290D01* +X80837280Y-112161664D01* +X80846048Y-112149841D01* +X80855934Y-112138934D01* +X80866841Y-112129048D01* +X80878664Y-112120280D01* +X80891290Y-112112712D01* +X80904597Y-112106418D01* +X80918457Y-112101459D01* +X80932736Y-112097882D01* +X80947297Y-112095722D01* +X80962000Y-112095000D01* +X82712000Y-112095000D01* +X82726703Y-112095722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-113365722D02* +G01* +X82741264Y-113367882D01* +X82755543Y-113371459D01* +X82769403Y-113376418D01* +X82782710Y-113382712D01* +X82795336Y-113390280D01* +X82807159Y-113399048D01* +X82818066Y-113408934D01* +X82827952Y-113419841D01* +X82836720Y-113431664D01* +X82844288Y-113444290D01* +X82850582Y-113457597D01* +X82855541Y-113471457D01* +X82859118Y-113485736D01* +X82861278Y-113500297D01* +X82862000Y-113515000D01* +X82862000Y-113815000D01* +X82861278Y-113829703D01* +X82859118Y-113844264D01* +X82855541Y-113858543D01* +X82850582Y-113872403D01* +X82844288Y-113885710D01* +X82836720Y-113898336D01* +X82827952Y-113910159D01* +X82818066Y-113921066D01* +X82807159Y-113930952D01* +X82795336Y-113939720D01* +X82782710Y-113947288D01* +X82769403Y-113953582D01* +X82755543Y-113958541D01* +X82741264Y-113962118D01* +X82726703Y-113964278D01* +X82712000Y-113965000D01* +X80962000Y-113965000D01* +X80947297Y-113964278D01* +X80932736Y-113962118D01* +X80918457Y-113958541D01* +X80904597Y-113953582D01* +X80891290Y-113947288D01* +X80878664Y-113939720D01* +X80866841Y-113930952D01* +X80855934Y-113921066D01* +X80846048Y-113910159D01* +X80837280Y-113898336D01* +X80829712Y-113885710D01* +X80823418Y-113872403D01* +X80818459Y-113858543D01* +X80814882Y-113844264D01* +X80812722Y-113829703D01* +X80812000Y-113815000D01* +X80812000Y-113515000D01* +X80812722Y-113500297D01* +X80814882Y-113485736D01* +X80818459Y-113471457D01* +X80823418Y-113457597D01* +X80829712Y-113444290D01* +X80837280Y-113431664D01* +X80846048Y-113419841D01* +X80855934Y-113408934D01* +X80866841Y-113399048D01* +X80878664Y-113390280D01* +X80891290Y-113382712D01* +X80904597Y-113376418D01* +X80918457Y-113371459D01* +X80932736Y-113367882D01* +X80947297Y-113365722D01* +X80962000Y-113365000D01* +X82712000Y-113365000D01* +X82726703Y-113365722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-114635722D02* +G01* +X82741264Y-114637882D01* +X82755543Y-114641459D01* +X82769403Y-114646418D01* +X82782710Y-114652712D01* +X82795336Y-114660280D01* +X82807159Y-114669048D01* +X82818066Y-114678934D01* +X82827952Y-114689841D01* +X82836720Y-114701664D01* +X82844288Y-114714290D01* +X82850582Y-114727597D01* +X82855541Y-114741457D01* +X82859118Y-114755736D01* +X82861278Y-114770297D01* +X82862000Y-114785000D01* +X82862000Y-115085000D01* +X82861278Y-115099703D01* +X82859118Y-115114264D01* +X82855541Y-115128543D01* +X82850582Y-115142403D01* +X82844288Y-115155710D01* +X82836720Y-115168336D01* +X82827952Y-115180159D01* +X82818066Y-115191066D01* +X82807159Y-115200952D01* +X82795336Y-115209720D01* +X82782710Y-115217288D01* +X82769403Y-115223582D01* +X82755543Y-115228541D01* +X82741264Y-115232118D01* +X82726703Y-115234278D01* +X82712000Y-115235000D01* +X80962000Y-115235000D01* +X80947297Y-115234278D01* +X80932736Y-115232118D01* +X80918457Y-115228541D01* +X80904597Y-115223582D01* +X80891290Y-115217288D01* +X80878664Y-115209720D01* +X80866841Y-115200952D01* +X80855934Y-115191066D01* +X80846048Y-115180159D01* +X80837280Y-115168336D01* +X80829712Y-115155710D01* +X80823418Y-115142403D01* +X80818459Y-115128543D01* +X80814882Y-115114264D01* +X80812722Y-115099703D01* +X80812000Y-115085000D01* +X80812000Y-114785000D01* +X80812722Y-114770297D01* +X80814882Y-114755736D01* +X80818459Y-114741457D01* +X80823418Y-114727597D01* +X80829712Y-114714290D01* +X80837280Y-114701664D01* +X80846048Y-114689841D01* +X80855934Y-114678934D01* +X80866841Y-114669048D01* +X80878664Y-114660280D01* +X80891290Y-114652712D01* +X80904597Y-114646418D01* +X80918457Y-114641459D01* +X80932736Y-114637882D01* +X80947297Y-114635722D01* +X80962000Y-114635000D01* +X82712000Y-114635000D01* +X82726703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-115905722D02* +G01* +X82741264Y-115907882D01* +X82755543Y-115911459D01* +X82769403Y-115916418D01* +X82782710Y-115922712D01* +X82795336Y-115930280D01* +X82807159Y-115939048D01* +X82818066Y-115948934D01* +X82827952Y-115959841D01* +X82836720Y-115971664D01* +X82844288Y-115984290D01* +X82850582Y-115997597D01* +X82855541Y-116011457D01* +X82859118Y-116025736D01* +X82861278Y-116040297D01* +X82862000Y-116055000D01* +X82862000Y-116355000D01* +X82861278Y-116369703D01* +X82859118Y-116384264D01* +X82855541Y-116398543D01* +X82850582Y-116412403D01* +X82844288Y-116425710D01* +X82836720Y-116438336D01* +X82827952Y-116450159D01* +X82818066Y-116461066D01* +X82807159Y-116470952D01* +X82795336Y-116479720D01* +X82782710Y-116487288D01* +X82769403Y-116493582D01* +X82755543Y-116498541D01* +X82741264Y-116502118D01* +X82726703Y-116504278D01* +X82712000Y-116505000D01* +X80962000Y-116505000D01* +X80947297Y-116504278D01* +X80932736Y-116502118D01* +X80918457Y-116498541D01* +X80904597Y-116493582D01* +X80891290Y-116487288D01* +X80878664Y-116479720D01* +X80866841Y-116470952D01* +X80855934Y-116461066D01* +X80846048Y-116450159D01* +X80837280Y-116438336D01* +X80829712Y-116425710D01* +X80823418Y-116412403D01* +X80818459Y-116398543D01* +X80814882Y-116384264D01* +X80812722Y-116369703D01* +X80812000Y-116355000D01* +X80812000Y-116055000D01* +X80812722Y-116040297D01* +X80814882Y-116025736D01* +X80818459Y-116011457D01* +X80823418Y-115997597D01* +X80829712Y-115984290D01* +X80837280Y-115971664D01* +X80846048Y-115959841D01* +X80855934Y-115948934D01* +X80866841Y-115939048D01* +X80878664Y-115930280D01* +X80891290Y-115922712D01* +X80904597Y-115916418D01* +X80918457Y-115911459D01* +X80932736Y-115907882D01* +X80947297Y-115905722D01* +X80962000Y-115905000D01* +X82712000Y-115905000D01* +X82726703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-117175722D02* +G01* +X82741264Y-117177882D01* +X82755543Y-117181459D01* +X82769403Y-117186418D01* +X82782710Y-117192712D01* +X82795336Y-117200280D01* +X82807159Y-117209048D01* +X82818066Y-117218934D01* +X82827952Y-117229841D01* +X82836720Y-117241664D01* +X82844288Y-117254290D01* +X82850582Y-117267597D01* +X82855541Y-117281457D01* +X82859118Y-117295736D01* +X82861278Y-117310297D01* +X82862000Y-117325000D01* +X82862000Y-117625000D01* +X82861278Y-117639703D01* +X82859118Y-117654264D01* +X82855541Y-117668543D01* +X82850582Y-117682403D01* +X82844288Y-117695710D01* +X82836720Y-117708336D01* +X82827952Y-117720159D01* +X82818066Y-117731066D01* +X82807159Y-117740952D01* +X82795336Y-117749720D01* +X82782710Y-117757288D01* +X82769403Y-117763582D01* +X82755543Y-117768541D01* +X82741264Y-117772118D01* +X82726703Y-117774278D01* +X82712000Y-117775000D01* +X80962000Y-117775000D01* +X80947297Y-117774278D01* +X80932736Y-117772118D01* +X80918457Y-117768541D01* +X80904597Y-117763582D01* +X80891290Y-117757288D01* +X80878664Y-117749720D01* +X80866841Y-117740952D01* +X80855934Y-117731066D01* +X80846048Y-117720159D01* +X80837280Y-117708336D01* +X80829712Y-117695710D01* +X80823418Y-117682403D01* +X80818459Y-117668543D01* +X80814882Y-117654264D01* +X80812722Y-117639703D01* +X80812000Y-117625000D01* +X80812000Y-117325000D01* +X80812722Y-117310297D01* +X80814882Y-117295736D01* +X80818459Y-117281457D01* +X80823418Y-117267597D01* +X80829712Y-117254290D01* +X80837280Y-117241664D01* +X80846048Y-117229841D01* +X80855934Y-117218934D01* +X80866841Y-117209048D01* +X80878664Y-117200280D01* +X80891290Y-117192712D01* +X80904597Y-117186418D01* +X80918457Y-117181459D01* +X80932736Y-117177882D01* +X80947297Y-117175722D01* +X80962000Y-117175000D01* +X82712000Y-117175000D01* +X82726703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-118445722D02* +G01* +X82741264Y-118447882D01* +X82755543Y-118451459D01* +X82769403Y-118456418D01* +X82782710Y-118462712D01* +X82795336Y-118470280D01* +X82807159Y-118479048D01* +X82818066Y-118488934D01* +X82827952Y-118499841D01* +X82836720Y-118511664D01* +X82844288Y-118524290D01* +X82850582Y-118537597D01* +X82855541Y-118551457D01* +X82859118Y-118565736D01* +X82861278Y-118580297D01* +X82862000Y-118595000D01* +X82862000Y-118895000D01* +X82861278Y-118909703D01* +X82859118Y-118924264D01* +X82855541Y-118938543D01* +X82850582Y-118952403D01* +X82844288Y-118965710D01* +X82836720Y-118978336D01* +X82827952Y-118990159D01* +X82818066Y-119001066D01* +X82807159Y-119010952D01* +X82795336Y-119019720D01* +X82782710Y-119027288D01* +X82769403Y-119033582D01* +X82755543Y-119038541D01* +X82741264Y-119042118D01* +X82726703Y-119044278D01* +X82712000Y-119045000D01* +X80962000Y-119045000D01* +X80947297Y-119044278D01* +X80932736Y-119042118D01* +X80918457Y-119038541D01* +X80904597Y-119033582D01* +X80891290Y-119027288D01* +X80878664Y-119019720D01* +X80866841Y-119010952D01* +X80855934Y-119001066D01* +X80846048Y-118990159D01* +X80837280Y-118978336D01* +X80829712Y-118965710D01* +X80823418Y-118952403D01* +X80818459Y-118938543D01* +X80814882Y-118924264D01* +X80812722Y-118909703D01* +X80812000Y-118895000D01* +X80812000Y-118595000D01* +X80812722Y-118580297D01* +X80814882Y-118565736D01* +X80818459Y-118551457D01* +X80823418Y-118537597D01* +X80829712Y-118524290D01* +X80837280Y-118511664D01* +X80846048Y-118499841D01* +X80855934Y-118488934D01* +X80866841Y-118479048D01* +X80878664Y-118470280D01* +X80891290Y-118462712D01* +X80904597Y-118456418D01* +X80918457Y-118451459D01* +X80932736Y-118447882D01* +X80947297Y-118445722D01* +X80962000Y-118445000D01* +X82712000Y-118445000D01* +X82726703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X82726703Y-119715722D02* +G01* +X82741264Y-119717882D01* +X82755543Y-119721459D01* +X82769403Y-119726418D01* +X82782710Y-119732712D01* +X82795336Y-119740280D01* +X82807159Y-119749048D01* +X82818066Y-119758934D01* +X82827952Y-119769841D01* +X82836720Y-119781664D01* +X82844288Y-119794290D01* +X82850582Y-119807597D01* +X82855541Y-119821457D01* +X82859118Y-119835736D01* +X82861278Y-119850297D01* +X82862000Y-119865000D01* +X82862000Y-120165000D01* +X82861278Y-120179703D01* +X82859118Y-120194264D01* +X82855541Y-120208543D01* +X82850582Y-120222403D01* +X82844288Y-120235710D01* +X82836720Y-120248336D01* +X82827952Y-120260159D01* +X82818066Y-120271066D01* +X82807159Y-120280952D01* +X82795336Y-120289720D01* +X82782710Y-120297288D01* +X82769403Y-120303582D01* +X82755543Y-120308541D01* +X82741264Y-120312118D01* +X82726703Y-120314278D01* +X82712000Y-120315000D01* +X80962000Y-120315000D01* +X80947297Y-120314278D01* +X80932736Y-120312118D01* +X80918457Y-120308541D01* +X80904597Y-120303582D01* +X80891290Y-120297288D01* +X80878664Y-120289720D01* +X80866841Y-120280952D01* +X80855934Y-120271066D01* +X80846048Y-120260159D01* +X80837280Y-120248336D01* +X80829712Y-120235710D01* +X80823418Y-120222403D01* +X80818459Y-120208543D01* +X80814882Y-120194264D01* +X80812722Y-120179703D01* +X80812000Y-120165000D01* +X80812000Y-119865000D01* +X80812722Y-119850297D01* +X80814882Y-119835736D01* +X80818459Y-119821457D01* +X80823418Y-119807597D01* +X80829712Y-119794290D01* +X80837280Y-119781664D01* +X80846048Y-119769841D01* +X80855934Y-119758934D01* +X80866841Y-119749048D01* +X80878664Y-119740280D01* +X80891290Y-119732712D01* +X80904597Y-119726418D01* +X80918457Y-119721459D01* +X80932736Y-119717882D01* +X80947297Y-119715722D01* +X80962000Y-119715000D01* +X82712000Y-119715000D01* +X82726703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-127335722D02* +G01* +X109816264Y-127337882D01* +X109830543Y-127341459D01* +X109844403Y-127346418D01* +X109857710Y-127352712D01* +X109870336Y-127360280D01* +X109882159Y-127369048D01* +X109893066Y-127378934D01* +X109902952Y-127389841D01* +X109911720Y-127401664D01* +X109919288Y-127414290D01* +X109925582Y-127427597D01* +X109930541Y-127441457D01* +X109934118Y-127455736D01* +X109936278Y-127470297D01* +X109937000Y-127485000D01* +X109937000Y-127785000D01* +X109936278Y-127799703D01* +X109934118Y-127814264D01* +X109930541Y-127828543D01* +X109925582Y-127842403D01* +X109919288Y-127855710D01* +X109911720Y-127868336D01* +X109902952Y-127880159D01* +X109893066Y-127891066D01* +X109882159Y-127900952D01* +X109870336Y-127909720D01* +X109857710Y-127917288D01* +X109844403Y-127923582D01* +X109830543Y-127928541D01* +X109816264Y-127932118D01* +X109801703Y-127934278D01* +X109787000Y-127935000D01* +X108037000Y-127935000D01* +X108022297Y-127934278D01* +X108007736Y-127932118D01* +X107993457Y-127928541D01* +X107979597Y-127923582D01* +X107966290Y-127917288D01* +X107953664Y-127909720D01* +X107941841Y-127900952D01* +X107930934Y-127891066D01* +X107921048Y-127880159D01* +X107912280Y-127868336D01* +X107904712Y-127855710D01* +X107898418Y-127842403D01* +X107893459Y-127828543D01* +X107889882Y-127814264D01* +X107887722Y-127799703D01* +X107887000Y-127785000D01* +X107887000Y-127485000D01* +X107887722Y-127470297D01* +X107889882Y-127455736D01* +X107893459Y-127441457D01* +X107898418Y-127427597D01* +X107904712Y-127414290D01* +X107912280Y-127401664D01* +X107921048Y-127389841D01* +X107930934Y-127378934D01* +X107941841Y-127369048D01* +X107953664Y-127360280D01* +X107966290Y-127352712D01* +X107979597Y-127346418D01* +X107993457Y-127341459D01* +X108007736Y-127337882D01* +X108022297Y-127335722D01* +X108037000Y-127335000D01* +X109787000Y-127335000D01* +X109801703Y-127335722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-126065722D02* +G01* +X109816264Y-126067882D01* +X109830543Y-126071459D01* +X109844403Y-126076418D01* +X109857710Y-126082712D01* +X109870336Y-126090280D01* +X109882159Y-126099048D01* +X109893066Y-126108934D01* +X109902952Y-126119841D01* +X109911720Y-126131664D01* +X109919288Y-126144290D01* +X109925582Y-126157597D01* +X109930541Y-126171457D01* +X109934118Y-126185736D01* +X109936278Y-126200297D01* +X109937000Y-126215000D01* +X109937000Y-126515000D01* +X109936278Y-126529703D01* +X109934118Y-126544264D01* +X109930541Y-126558543D01* +X109925582Y-126572403D01* +X109919288Y-126585710D01* +X109911720Y-126598336D01* +X109902952Y-126610159D01* +X109893066Y-126621066D01* +X109882159Y-126630952D01* +X109870336Y-126639720D01* +X109857710Y-126647288D01* +X109844403Y-126653582D01* +X109830543Y-126658541D01* +X109816264Y-126662118D01* +X109801703Y-126664278D01* +X109787000Y-126665000D01* +X108037000Y-126665000D01* +X108022297Y-126664278D01* +X108007736Y-126662118D01* +X107993457Y-126658541D01* +X107979597Y-126653582D01* +X107966290Y-126647288D01* +X107953664Y-126639720D01* +X107941841Y-126630952D01* +X107930934Y-126621066D01* +X107921048Y-126610159D01* +X107912280Y-126598336D01* +X107904712Y-126585710D01* +X107898418Y-126572403D01* +X107893459Y-126558543D01* +X107889882Y-126544264D01* +X107887722Y-126529703D01* +X107887000Y-126515000D01* +X107887000Y-126215000D01* +X107887722Y-126200297D01* +X107889882Y-126185736D01* +X107893459Y-126171457D01* +X107898418Y-126157597D01* +X107904712Y-126144290D01* +X107912280Y-126131664D01* +X107921048Y-126119841D01* +X107930934Y-126108934D01* +X107941841Y-126099048D01* +X107953664Y-126090280D01* +X107966290Y-126082712D01* +X107979597Y-126076418D01* +X107993457Y-126071459D01* +X108007736Y-126067882D01* +X108022297Y-126065722D01* +X108037000Y-126065000D01* +X109787000Y-126065000D01* +X109801703Y-126065722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-124795722D02* +G01* +X109816264Y-124797882D01* +X109830543Y-124801459D01* +X109844403Y-124806418D01* +X109857710Y-124812712D01* +X109870336Y-124820280D01* +X109882159Y-124829048D01* +X109893066Y-124838934D01* +X109902952Y-124849841D01* +X109911720Y-124861664D01* +X109919288Y-124874290D01* +X109925582Y-124887597D01* +X109930541Y-124901457D01* +X109934118Y-124915736D01* +X109936278Y-124930297D01* +X109937000Y-124945000D01* +X109937000Y-125245000D01* +X109936278Y-125259703D01* +X109934118Y-125274264D01* +X109930541Y-125288543D01* +X109925582Y-125302403D01* +X109919288Y-125315710D01* +X109911720Y-125328336D01* +X109902952Y-125340159D01* +X109893066Y-125351066D01* +X109882159Y-125360952D01* +X109870336Y-125369720D01* +X109857710Y-125377288D01* +X109844403Y-125383582D01* +X109830543Y-125388541D01* +X109816264Y-125392118D01* +X109801703Y-125394278D01* +X109787000Y-125395000D01* +X108037000Y-125395000D01* +X108022297Y-125394278D01* +X108007736Y-125392118D01* +X107993457Y-125388541D01* +X107979597Y-125383582D01* +X107966290Y-125377288D01* +X107953664Y-125369720D01* +X107941841Y-125360952D01* +X107930934Y-125351066D01* +X107921048Y-125340159D01* +X107912280Y-125328336D01* +X107904712Y-125315710D01* +X107898418Y-125302403D01* +X107893459Y-125288543D01* +X107889882Y-125274264D01* +X107887722Y-125259703D01* +X107887000Y-125245000D01* +X107887000Y-124945000D01* +X107887722Y-124930297D01* +X107889882Y-124915736D01* +X107893459Y-124901457D01* +X107898418Y-124887597D01* +X107904712Y-124874290D01* +X107912280Y-124861664D01* +X107921048Y-124849841D01* +X107930934Y-124838934D01* +X107941841Y-124829048D01* +X107953664Y-124820280D01* +X107966290Y-124812712D01* +X107979597Y-124806418D01* +X107993457Y-124801459D01* +X108007736Y-124797882D01* +X108022297Y-124795722D01* +X108037000Y-124795000D01* +X109787000Y-124795000D01* +X109801703Y-124795722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-123525722D02* +G01* +X109816264Y-123527882D01* +X109830543Y-123531459D01* +X109844403Y-123536418D01* +X109857710Y-123542712D01* +X109870336Y-123550280D01* +X109882159Y-123559048D01* +X109893066Y-123568934D01* +X109902952Y-123579841D01* +X109911720Y-123591664D01* +X109919288Y-123604290D01* +X109925582Y-123617597D01* +X109930541Y-123631457D01* +X109934118Y-123645736D01* +X109936278Y-123660297D01* +X109937000Y-123675000D01* +X109937000Y-123975000D01* +X109936278Y-123989703D01* +X109934118Y-124004264D01* +X109930541Y-124018543D01* +X109925582Y-124032403D01* +X109919288Y-124045710D01* +X109911720Y-124058336D01* +X109902952Y-124070159D01* +X109893066Y-124081066D01* +X109882159Y-124090952D01* +X109870336Y-124099720D01* +X109857710Y-124107288D01* +X109844403Y-124113582D01* +X109830543Y-124118541D01* +X109816264Y-124122118D01* +X109801703Y-124124278D01* +X109787000Y-124125000D01* +X108037000Y-124125000D01* +X108022297Y-124124278D01* +X108007736Y-124122118D01* +X107993457Y-124118541D01* +X107979597Y-124113582D01* +X107966290Y-124107288D01* +X107953664Y-124099720D01* +X107941841Y-124090952D01* +X107930934Y-124081066D01* +X107921048Y-124070159D01* +X107912280Y-124058336D01* +X107904712Y-124045710D01* +X107898418Y-124032403D01* +X107893459Y-124018543D01* +X107889882Y-124004264D01* +X107887722Y-123989703D01* +X107887000Y-123975000D01* +X107887000Y-123675000D01* +X107887722Y-123660297D01* +X107889882Y-123645736D01* +X107893459Y-123631457D01* +X107898418Y-123617597D01* +X107904712Y-123604290D01* +X107912280Y-123591664D01* +X107921048Y-123579841D01* +X107930934Y-123568934D01* +X107941841Y-123559048D01* +X107953664Y-123550280D01* +X107966290Y-123542712D01* +X107979597Y-123536418D01* +X107993457Y-123531459D01* +X108007736Y-123527882D01* +X108022297Y-123525722D01* +X108037000Y-123525000D01* +X109787000Y-123525000D01* +X109801703Y-123525722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-122255722D02* +G01* +X109816264Y-122257882D01* +X109830543Y-122261459D01* +X109844403Y-122266418D01* +X109857710Y-122272712D01* +X109870336Y-122280280D01* +X109882159Y-122289048D01* +X109893066Y-122298934D01* +X109902952Y-122309841D01* +X109911720Y-122321664D01* +X109919288Y-122334290D01* +X109925582Y-122347597D01* +X109930541Y-122361457D01* +X109934118Y-122375736D01* +X109936278Y-122390297D01* +X109937000Y-122405000D01* +X109937000Y-122705000D01* +X109936278Y-122719703D01* +X109934118Y-122734264D01* +X109930541Y-122748543D01* +X109925582Y-122762403D01* +X109919288Y-122775710D01* +X109911720Y-122788336D01* +X109902952Y-122800159D01* +X109893066Y-122811066D01* +X109882159Y-122820952D01* +X109870336Y-122829720D01* +X109857710Y-122837288D01* +X109844403Y-122843582D01* +X109830543Y-122848541D01* +X109816264Y-122852118D01* +X109801703Y-122854278D01* +X109787000Y-122855000D01* +X108037000Y-122855000D01* +X108022297Y-122854278D01* +X108007736Y-122852118D01* +X107993457Y-122848541D01* +X107979597Y-122843582D01* +X107966290Y-122837288D01* +X107953664Y-122829720D01* +X107941841Y-122820952D01* +X107930934Y-122811066D01* +X107921048Y-122800159D01* +X107912280Y-122788336D01* +X107904712Y-122775710D01* +X107898418Y-122762403D01* +X107893459Y-122748543D01* +X107889882Y-122734264D01* +X107887722Y-122719703D01* +X107887000Y-122705000D01* +X107887000Y-122405000D01* +X107887722Y-122390297D01* +X107889882Y-122375736D01* +X107893459Y-122361457D01* +X107898418Y-122347597D01* +X107904712Y-122334290D01* +X107912280Y-122321664D01* +X107921048Y-122309841D01* +X107930934Y-122298934D01* +X107941841Y-122289048D01* +X107953664Y-122280280D01* +X107966290Y-122272712D01* +X107979597Y-122266418D01* +X107993457Y-122261459D01* +X108007736Y-122257882D01* +X108022297Y-122255722D01* +X108037000Y-122255000D01* +X109787000Y-122255000D01* +X109801703Y-122255722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-120985722D02* +G01* +X109816264Y-120987882D01* +X109830543Y-120991459D01* +X109844403Y-120996418D01* +X109857710Y-121002712D01* +X109870336Y-121010280D01* +X109882159Y-121019048D01* +X109893066Y-121028934D01* +X109902952Y-121039841D01* +X109911720Y-121051664D01* +X109919288Y-121064290D01* +X109925582Y-121077597D01* +X109930541Y-121091457D01* +X109934118Y-121105736D01* +X109936278Y-121120297D01* +X109937000Y-121135000D01* +X109937000Y-121435000D01* +X109936278Y-121449703D01* +X109934118Y-121464264D01* +X109930541Y-121478543D01* +X109925582Y-121492403D01* +X109919288Y-121505710D01* +X109911720Y-121518336D01* +X109902952Y-121530159D01* +X109893066Y-121541066D01* +X109882159Y-121550952D01* +X109870336Y-121559720D01* +X109857710Y-121567288D01* +X109844403Y-121573582D01* +X109830543Y-121578541D01* +X109816264Y-121582118D01* +X109801703Y-121584278D01* +X109787000Y-121585000D01* +X108037000Y-121585000D01* +X108022297Y-121584278D01* +X108007736Y-121582118D01* +X107993457Y-121578541D01* +X107979597Y-121573582D01* +X107966290Y-121567288D01* +X107953664Y-121559720D01* +X107941841Y-121550952D01* +X107930934Y-121541066D01* +X107921048Y-121530159D01* +X107912280Y-121518336D01* +X107904712Y-121505710D01* +X107898418Y-121492403D01* +X107893459Y-121478543D01* +X107889882Y-121464264D01* +X107887722Y-121449703D01* +X107887000Y-121435000D01* +X107887000Y-121135000D01* +X107887722Y-121120297D01* +X107889882Y-121105736D01* +X107893459Y-121091457D01* +X107898418Y-121077597D01* +X107904712Y-121064290D01* +X107912280Y-121051664D01* +X107921048Y-121039841D01* +X107930934Y-121028934D01* +X107941841Y-121019048D01* +X107953664Y-121010280D01* +X107966290Y-121002712D01* +X107979597Y-120996418D01* +X107993457Y-120991459D01* +X108007736Y-120987882D01* +X108022297Y-120985722D01* +X108037000Y-120985000D01* +X109787000Y-120985000D01* +X109801703Y-120985722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-119715722D02* +G01* +X109816264Y-119717882D01* +X109830543Y-119721459D01* +X109844403Y-119726418D01* +X109857710Y-119732712D01* +X109870336Y-119740280D01* +X109882159Y-119749048D01* +X109893066Y-119758934D01* +X109902952Y-119769841D01* +X109911720Y-119781664D01* +X109919288Y-119794290D01* +X109925582Y-119807597D01* +X109930541Y-119821457D01* +X109934118Y-119835736D01* +X109936278Y-119850297D01* +X109937000Y-119865000D01* +X109937000Y-120165000D01* +X109936278Y-120179703D01* +X109934118Y-120194264D01* +X109930541Y-120208543D01* +X109925582Y-120222403D01* +X109919288Y-120235710D01* +X109911720Y-120248336D01* +X109902952Y-120260159D01* +X109893066Y-120271066D01* +X109882159Y-120280952D01* +X109870336Y-120289720D01* +X109857710Y-120297288D01* +X109844403Y-120303582D01* +X109830543Y-120308541D01* +X109816264Y-120312118D01* +X109801703Y-120314278D01* +X109787000Y-120315000D01* +X108037000Y-120315000D01* +X108022297Y-120314278D01* +X108007736Y-120312118D01* +X107993457Y-120308541D01* +X107979597Y-120303582D01* +X107966290Y-120297288D01* +X107953664Y-120289720D01* +X107941841Y-120280952D01* +X107930934Y-120271066D01* +X107921048Y-120260159D01* +X107912280Y-120248336D01* +X107904712Y-120235710D01* +X107898418Y-120222403D01* +X107893459Y-120208543D01* +X107889882Y-120194264D01* +X107887722Y-120179703D01* +X107887000Y-120165000D01* +X107887000Y-119865000D01* +X107887722Y-119850297D01* +X107889882Y-119835736D01* +X107893459Y-119821457D01* +X107898418Y-119807597D01* +X107904712Y-119794290D01* +X107912280Y-119781664D01* +X107921048Y-119769841D01* +X107930934Y-119758934D01* +X107941841Y-119749048D01* +X107953664Y-119740280D01* +X107966290Y-119732712D01* +X107979597Y-119726418D01* +X107993457Y-119721459D01* +X108007736Y-119717882D01* +X108022297Y-119715722D01* +X108037000Y-119715000D01* +X109787000Y-119715000D01* +X109801703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-118445722D02* +G01* +X109816264Y-118447882D01* +X109830543Y-118451459D01* +X109844403Y-118456418D01* +X109857710Y-118462712D01* +X109870336Y-118470280D01* +X109882159Y-118479048D01* +X109893066Y-118488934D01* +X109902952Y-118499841D01* +X109911720Y-118511664D01* +X109919288Y-118524290D01* +X109925582Y-118537597D01* +X109930541Y-118551457D01* +X109934118Y-118565736D01* +X109936278Y-118580297D01* +X109937000Y-118595000D01* +X109937000Y-118895000D01* +X109936278Y-118909703D01* +X109934118Y-118924264D01* +X109930541Y-118938543D01* +X109925582Y-118952403D01* +X109919288Y-118965710D01* +X109911720Y-118978336D01* +X109902952Y-118990159D01* +X109893066Y-119001066D01* +X109882159Y-119010952D01* +X109870336Y-119019720D01* +X109857710Y-119027288D01* +X109844403Y-119033582D01* +X109830543Y-119038541D01* +X109816264Y-119042118D01* +X109801703Y-119044278D01* +X109787000Y-119045000D01* +X108037000Y-119045000D01* +X108022297Y-119044278D01* +X108007736Y-119042118D01* +X107993457Y-119038541D01* +X107979597Y-119033582D01* +X107966290Y-119027288D01* +X107953664Y-119019720D01* +X107941841Y-119010952D01* +X107930934Y-119001066D01* +X107921048Y-118990159D01* +X107912280Y-118978336D01* +X107904712Y-118965710D01* +X107898418Y-118952403D01* +X107893459Y-118938543D01* +X107889882Y-118924264D01* +X107887722Y-118909703D01* +X107887000Y-118895000D01* +X107887000Y-118595000D01* +X107887722Y-118580297D01* +X107889882Y-118565736D01* +X107893459Y-118551457D01* +X107898418Y-118537597D01* +X107904712Y-118524290D01* +X107912280Y-118511664D01* +X107921048Y-118499841D01* +X107930934Y-118488934D01* +X107941841Y-118479048D01* +X107953664Y-118470280D01* +X107966290Y-118462712D01* +X107979597Y-118456418D01* +X107993457Y-118451459D01* +X108007736Y-118447882D01* +X108022297Y-118445722D01* +X108037000Y-118445000D01* +X109787000Y-118445000D01* +X109801703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-117175722D02* +G01* +X109816264Y-117177882D01* +X109830543Y-117181459D01* +X109844403Y-117186418D01* +X109857710Y-117192712D01* +X109870336Y-117200280D01* +X109882159Y-117209048D01* +X109893066Y-117218934D01* +X109902952Y-117229841D01* +X109911720Y-117241664D01* +X109919288Y-117254290D01* +X109925582Y-117267597D01* +X109930541Y-117281457D01* +X109934118Y-117295736D01* +X109936278Y-117310297D01* +X109937000Y-117325000D01* +X109937000Y-117625000D01* +X109936278Y-117639703D01* +X109934118Y-117654264D01* +X109930541Y-117668543D01* +X109925582Y-117682403D01* +X109919288Y-117695710D01* +X109911720Y-117708336D01* +X109902952Y-117720159D01* +X109893066Y-117731066D01* +X109882159Y-117740952D01* +X109870336Y-117749720D01* +X109857710Y-117757288D01* +X109844403Y-117763582D01* +X109830543Y-117768541D01* +X109816264Y-117772118D01* +X109801703Y-117774278D01* +X109787000Y-117775000D01* +X108037000Y-117775000D01* +X108022297Y-117774278D01* +X108007736Y-117772118D01* +X107993457Y-117768541D01* +X107979597Y-117763582D01* +X107966290Y-117757288D01* +X107953664Y-117749720D01* +X107941841Y-117740952D01* +X107930934Y-117731066D01* +X107921048Y-117720159D01* +X107912280Y-117708336D01* +X107904712Y-117695710D01* +X107898418Y-117682403D01* +X107893459Y-117668543D01* +X107889882Y-117654264D01* +X107887722Y-117639703D01* +X107887000Y-117625000D01* +X107887000Y-117325000D01* +X107887722Y-117310297D01* +X107889882Y-117295736D01* +X107893459Y-117281457D01* +X107898418Y-117267597D01* +X107904712Y-117254290D01* +X107912280Y-117241664D01* +X107921048Y-117229841D01* +X107930934Y-117218934D01* +X107941841Y-117209048D01* +X107953664Y-117200280D01* +X107966290Y-117192712D01* +X107979597Y-117186418D01* +X107993457Y-117181459D01* +X108007736Y-117177882D01* +X108022297Y-117175722D01* +X108037000Y-117175000D01* +X109787000Y-117175000D01* +X109801703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-115905722D02* +G01* +X109816264Y-115907882D01* +X109830543Y-115911459D01* +X109844403Y-115916418D01* +X109857710Y-115922712D01* +X109870336Y-115930280D01* +X109882159Y-115939048D01* +X109893066Y-115948934D01* +X109902952Y-115959841D01* +X109911720Y-115971664D01* +X109919288Y-115984290D01* +X109925582Y-115997597D01* +X109930541Y-116011457D01* +X109934118Y-116025736D01* +X109936278Y-116040297D01* +X109937000Y-116055000D01* +X109937000Y-116355000D01* +X109936278Y-116369703D01* +X109934118Y-116384264D01* +X109930541Y-116398543D01* +X109925582Y-116412403D01* +X109919288Y-116425710D01* +X109911720Y-116438336D01* +X109902952Y-116450159D01* +X109893066Y-116461066D01* +X109882159Y-116470952D01* +X109870336Y-116479720D01* +X109857710Y-116487288D01* +X109844403Y-116493582D01* +X109830543Y-116498541D01* +X109816264Y-116502118D01* +X109801703Y-116504278D01* +X109787000Y-116505000D01* +X108037000Y-116505000D01* +X108022297Y-116504278D01* +X108007736Y-116502118D01* +X107993457Y-116498541D01* +X107979597Y-116493582D01* +X107966290Y-116487288D01* +X107953664Y-116479720D01* +X107941841Y-116470952D01* +X107930934Y-116461066D01* +X107921048Y-116450159D01* +X107912280Y-116438336D01* +X107904712Y-116425710D01* +X107898418Y-116412403D01* +X107893459Y-116398543D01* +X107889882Y-116384264D01* +X107887722Y-116369703D01* +X107887000Y-116355000D01* +X107887000Y-116055000D01* +X107887722Y-116040297D01* +X107889882Y-116025736D01* +X107893459Y-116011457D01* +X107898418Y-115997597D01* +X107904712Y-115984290D01* +X107912280Y-115971664D01* +X107921048Y-115959841D01* +X107930934Y-115948934D01* +X107941841Y-115939048D01* +X107953664Y-115930280D01* +X107966290Y-115922712D01* +X107979597Y-115916418D01* +X107993457Y-115911459D01* +X108007736Y-115907882D01* +X108022297Y-115905722D01* +X108037000Y-115905000D01* +X109787000Y-115905000D01* +X109801703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-114635722D02* +G01* +X109816264Y-114637882D01* +X109830543Y-114641459D01* +X109844403Y-114646418D01* +X109857710Y-114652712D01* +X109870336Y-114660280D01* +X109882159Y-114669048D01* +X109893066Y-114678934D01* +X109902952Y-114689841D01* +X109911720Y-114701664D01* +X109919288Y-114714290D01* +X109925582Y-114727597D01* +X109930541Y-114741457D01* +X109934118Y-114755736D01* +X109936278Y-114770297D01* +X109937000Y-114785000D01* +X109937000Y-115085000D01* +X109936278Y-115099703D01* +X109934118Y-115114264D01* +X109930541Y-115128543D01* +X109925582Y-115142403D01* +X109919288Y-115155710D01* +X109911720Y-115168336D01* +X109902952Y-115180159D01* +X109893066Y-115191066D01* +X109882159Y-115200952D01* +X109870336Y-115209720D01* +X109857710Y-115217288D01* +X109844403Y-115223582D01* +X109830543Y-115228541D01* +X109816264Y-115232118D01* +X109801703Y-115234278D01* +X109787000Y-115235000D01* +X108037000Y-115235000D01* +X108022297Y-115234278D01* +X108007736Y-115232118D01* +X107993457Y-115228541D01* +X107979597Y-115223582D01* +X107966290Y-115217288D01* +X107953664Y-115209720D01* +X107941841Y-115200952D01* +X107930934Y-115191066D01* +X107921048Y-115180159D01* +X107912280Y-115168336D01* +X107904712Y-115155710D01* +X107898418Y-115142403D01* +X107893459Y-115128543D01* +X107889882Y-115114264D01* +X107887722Y-115099703D01* +X107887000Y-115085000D01* +X107887000Y-114785000D01* +X107887722Y-114770297D01* +X107889882Y-114755736D01* +X107893459Y-114741457D01* +X107898418Y-114727597D01* +X107904712Y-114714290D01* +X107912280Y-114701664D01* +X107921048Y-114689841D01* +X107930934Y-114678934D01* +X107941841Y-114669048D01* +X107953664Y-114660280D01* +X107966290Y-114652712D01* +X107979597Y-114646418D01* +X107993457Y-114641459D01* +X108007736Y-114637882D01* +X108022297Y-114635722D01* +X108037000Y-114635000D01* +X109787000Y-114635000D01* +X109801703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-113365722D02* +G01* +X109816264Y-113367882D01* +X109830543Y-113371459D01* +X109844403Y-113376418D01* +X109857710Y-113382712D01* +X109870336Y-113390280D01* +X109882159Y-113399048D01* +X109893066Y-113408934D01* +X109902952Y-113419841D01* +X109911720Y-113431664D01* +X109919288Y-113444290D01* +X109925582Y-113457597D01* +X109930541Y-113471457D01* +X109934118Y-113485736D01* +X109936278Y-113500297D01* +X109937000Y-113515000D01* +X109937000Y-113815000D01* +X109936278Y-113829703D01* +X109934118Y-113844264D01* +X109930541Y-113858543D01* +X109925582Y-113872403D01* +X109919288Y-113885710D01* +X109911720Y-113898336D01* +X109902952Y-113910159D01* +X109893066Y-113921066D01* +X109882159Y-113930952D01* +X109870336Y-113939720D01* +X109857710Y-113947288D01* +X109844403Y-113953582D01* +X109830543Y-113958541D01* +X109816264Y-113962118D01* +X109801703Y-113964278D01* +X109787000Y-113965000D01* +X108037000Y-113965000D01* +X108022297Y-113964278D01* +X108007736Y-113962118D01* +X107993457Y-113958541D01* +X107979597Y-113953582D01* +X107966290Y-113947288D01* +X107953664Y-113939720D01* +X107941841Y-113930952D01* +X107930934Y-113921066D01* +X107921048Y-113910159D01* +X107912280Y-113898336D01* +X107904712Y-113885710D01* +X107898418Y-113872403D01* +X107893459Y-113858543D01* +X107889882Y-113844264D01* +X107887722Y-113829703D01* +X107887000Y-113815000D01* +X107887000Y-113515000D01* +X107887722Y-113500297D01* +X107889882Y-113485736D01* +X107893459Y-113471457D01* +X107898418Y-113457597D01* +X107904712Y-113444290D01* +X107912280Y-113431664D01* +X107921048Y-113419841D01* +X107930934Y-113408934D01* +X107941841Y-113399048D01* +X107953664Y-113390280D01* +X107966290Y-113382712D01* +X107979597Y-113376418D01* +X107993457Y-113371459D01* +X108007736Y-113367882D01* +X108022297Y-113365722D01* +X108037000Y-113365000D01* +X109787000Y-113365000D01* +X109801703Y-113365722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-112095722D02* +G01* +X109816264Y-112097882D01* +X109830543Y-112101459D01* +X109844403Y-112106418D01* +X109857710Y-112112712D01* +X109870336Y-112120280D01* +X109882159Y-112129048D01* +X109893066Y-112138934D01* +X109902952Y-112149841D01* +X109911720Y-112161664D01* +X109919288Y-112174290D01* +X109925582Y-112187597D01* +X109930541Y-112201457D01* +X109934118Y-112215736D01* +X109936278Y-112230297D01* +X109937000Y-112245000D01* +X109937000Y-112545000D01* +X109936278Y-112559703D01* +X109934118Y-112574264D01* +X109930541Y-112588543D01* +X109925582Y-112602403D01* +X109919288Y-112615710D01* +X109911720Y-112628336D01* +X109902952Y-112640159D01* +X109893066Y-112651066D01* +X109882159Y-112660952D01* +X109870336Y-112669720D01* +X109857710Y-112677288D01* +X109844403Y-112683582D01* +X109830543Y-112688541D01* +X109816264Y-112692118D01* +X109801703Y-112694278D01* +X109787000Y-112695000D01* +X108037000Y-112695000D01* +X108022297Y-112694278D01* +X108007736Y-112692118D01* +X107993457Y-112688541D01* +X107979597Y-112683582D01* +X107966290Y-112677288D01* +X107953664Y-112669720D01* +X107941841Y-112660952D01* +X107930934Y-112651066D01* +X107921048Y-112640159D01* +X107912280Y-112628336D01* +X107904712Y-112615710D01* +X107898418Y-112602403D01* +X107893459Y-112588543D01* +X107889882Y-112574264D01* +X107887722Y-112559703D01* +X107887000Y-112545000D01* +X107887000Y-112245000D01* +X107887722Y-112230297D01* +X107889882Y-112215736D01* +X107893459Y-112201457D01* +X107898418Y-112187597D01* +X107904712Y-112174290D01* +X107912280Y-112161664D01* +X107921048Y-112149841D01* +X107930934Y-112138934D01* +X107941841Y-112129048D01* +X107953664Y-112120280D01* +X107966290Y-112112712D01* +X107979597Y-112106418D01* +X107993457Y-112101459D01* +X108007736Y-112097882D01* +X108022297Y-112095722D01* +X108037000Y-112095000D01* +X109787000Y-112095000D01* +X109801703Y-112095722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-110825722D02* +G01* +X109816264Y-110827882D01* +X109830543Y-110831459D01* +X109844403Y-110836418D01* +X109857710Y-110842712D01* +X109870336Y-110850280D01* +X109882159Y-110859048D01* +X109893066Y-110868934D01* +X109902952Y-110879841D01* +X109911720Y-110891664D01* +X109919288Y-110904290D01* +X109925582Y-110917597D01* +X109930541Y-110931457D01* +X109934118Y-110945736D01* +X109936278Y-110960297D01* +X109937000Y-110975000D01* +X109937000Y-111275000D01* +X109936278Y-111289703D01* +X109934118Y-111304264D01* +X109930541Y-111318543D01* +X109925582Y-111332403D01* +X109919288Y-111345710D01* +X109911720Y-111358336D01* +X109902952Y-111370159D01* +X109893066Y-111381066D01* +X109882159Y-111390952D01* +X109870336Y-111399720D01* +X109857710Y-111407288D01* +X109844403Y-111413582D01* +X109830543Y-111418541D01* +X109816264Y-111422118D01* +X109801703Y-111424278D01* +X109787000Y-111425000D01* +X108037000Y-111425000D01* +X108022297Y-111424278D01* +X108007736Y-111422118D01* +X107993457Y-111418541D01* +X107979597Y-111413582D01* +X107966290Y-111407288D01* +X107953664Y-111399720D01* +X107941841Y-111390952D01* +X107930934Y-111381066D01* +X107921048Y-111370159D01* +X107912280Y-111358336D01* +X107904712Y-111345710D01* +X107898418Y-111332403D01* +X107893459Y-111318543D01* +X107889882Y-111304264D01* +X107887722Y-111289703D01* +X107887000Y-111275000D01* +X107887000Y-110975000D01* +X107887722Y-110960297D01* +X107889882Y-110945736D01* +X107893459Y-110931457D01* +X107898418Y-110917597D01* +X107904712Y-110904290D01* +X107912280Y-110891664D01* +X107921048Y-110879841D01* +X107930934Y-110868934D01* +X107941841Y-110859048D01* +X107953664Y-110850280D01* +X107966290Y-110842712D01* +X107979597Y-110836418D01* +X107993457Y-110831459D01* +X108007736Y-110827882D01* +X108022297Y-110825722D01* +X108037000Y-110825000D01* +X109787000Y-110825000D01* +X109801703Y-110825722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-109555722D02* +G01* +X109816264Y-109557882D01* +X109830543Y-109561459D01* +X109844403Y-109566418D01* +X109857710Y-109572712D01* +X109870336Y-109580280D01* +X109882159Y-109589048D01* +X109893066Y-109598934D01* +X109902952Y-109609841D01* +X109911720Y-109621664D01* +X109919288Y-109634290D01* +X109925582Y-109647597D01* +X109930541Y-109661457D01* +X109934118Y-109675736D01* +X109936278Y-109690297D01* +X109937000Y-109705000D01* +X109937000Y-110005000D01* +X109936278Y-110019703D01* +X109934118Y-110034264D01* +X109930541Y-110048543D01* +X109925582Y-110062403D01* +X109919288Y-110075710D01* +X109911720Y-110088336D01* +X109902952Y-110100159D01* +X109893066Y-110111066D01* +X109882159Y-110120952D01* +X109870336Y-110129720D01* +X109857710Y-110137288D01* +X109844403Y-110143582D01* +X109830543Y-110148541D01* +X109816264Y-110152118D01* +X109801703Y-110154278D01* +X109787000Y-110155000D01* +X108037000Y-110155000D01* +X108022297Y-110154278D01* +X108007736Y-110152118D01* +X107993457Y-110148541D01* +X107979597Y-110143582D01* +X107966290Y-110137288D01* +X107953664Y-110129720D01* +X107941841Y-110120952D01* +X107930934Y-110111066D01* +X107921048Y-110100159D01* +X107912280Y-110088336D01* +X107904712Y-110075710D01* +X107898418Y-110062403D01* +X107893459Y-110048543D01* +X107889882Y-110034264D01* +X107887722Y-110019703D01* +X107887000Y-110005000D01* +X107887000Y-109705000D01* +X107887722Y-109690297D01* +X107889882Y-109675736D01* +X107893459Y-109661457D01* +X107898418Y-109647597D01* +X107904712Y-109634290D01* +X107912280Y-109621664D01* +X107921048Y-109609841D01* +X107930934Y-109598934D01* +X107941841Y-109589048D01* +X107953664Y-109580280D01* +X107966290Y-109572712D01* +X107979597Y-109566418D01* +X107993457Y-109561459D01* +X108007736Y-109557882D01* +X108022297Y-109555722D01* +X108037000Y-109555000D01* +X109787000Y-109555000D01* +X109801703Y-109555722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X109801703Y-108285722D02* +G01* +X109816264Y-108287882D01* +X109830543Y-108291459D01* +X109844403Y-108296418D01* +X109857710Y-108302712D01* +X109870336Y-108310280D01* +X109882159Y-108319048D01* +X109893066Y-108328934D01* +X109902952Y-108339841D01* +X109911720Y-108351664D01* +X109919288Y-108364290D01* +X109925582Y-108377597D01* +X109930541Y-108391457D01* +X109934118Y-108405736D01* +X109936278Y-108420297D01* +X109937000Y-108435000D01* +X109937000Y-108735000D01* +X109936278Y-108749703D01* +X109934118Y-108764264D01* +X109930541Y-108778543D01* +X109925582Y-108792403D01* +X109919288Y-108805710D01* +X109911720Y-108818336D01* +X109902952Y-108830159D01* +X109893066Y-108841066D01* +X109882159Y-108850952D01* +X109870336Y-108859720D01* +X109857710Y-108867288D01* +X109844403Y-108873582D01* +X109830543Y-108878541D01* +X109816264Y-108882118D01* +X109801703Y-108884278D01* +X109787000Y-108885000D01* +X108037000Y-108885000D01* +X108022297Y-108884278D01* +X108007736Y-108882118D01* +X107993457Y-108878541D01* +X107979597Y-108873582D01* +X107966290Y-108867288D01* +X107953664Y-108859720D01* +X107941841Y-108850952D01* +X107930934Y-108841066D01* +X107921048Y-108830159D01* +X107912280Y-108818336D01* +X107904712Y-108805710D01* +X107898418Y-108792403D01* +X107893459Y-108778543D01* +X107889882Y-108764264D01* +X107887722Y-108749703D01* +X107887000Y-108735000D01* +X107887000Y-108435000D01* +X107887722Y-108420297D01* +X107889882Y-108405736D01* +X107893459Y-108391457D01* +X107898418Y-108377597D01* +X107904712Y-108364290D01* +X107912280Y-108351664D01* +X107921048Y-108339841D01* +X107930934Y-108328934D01* +X107941841Y-108319048D01* +X107953664Y-108310280D01* +X107966290Y-108302712D01* +X107979597Y-108296418D01* +X107993457Y-108291459D01* +X108007736Y-108287882D01* +X108022297Y-108285722D01* +X108037000Y-108285000D01* +X109787000Y-108285000D01* +X109801703Y-108285722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-108285722D02* +G01* +X96716264Y-108287882D01* +X96730543Y-108291459D01* +X96744403Y-108296418D01* +X96757710Y-108302712D01* +X96770336Y-108310280D01* +X96782159Y-108319048D01* +X96793066Y-108328934D01* +X96802952Y-108339841D01* +X96811720Y-108351664D01* +X96819288Y-108364290D01* +X96825582Y-108377597D01* +X96830541Y-108391457D01* +X96834118Y-108405736D01* +X96836278Y-108420297D01* +X96837000Y-108435000D01* +X96837000Y-108735000D01* +X96836278Y-108749703D01* +X96834118Y-108764264D01* +X96830541Y-108778543D01* +X96825582Y-108792403D01* +X96819288Y-108805710D01* +X96811720Y-108818336D01* +X96802952Y-108830159D01* +X96793066Y-108841066D01* +X96782159Y-108850952D01* +X96770336Y-108859720D01* +X96757710Y-108867288D01* +X96744403Y-108873582D01* +X96730543Y-108878541D01* +X96716264Y-108882118D01* +X96701703Y-108884278D01* +X96687000Y-108885000D01* +X94937000Y-108885000D01* +X94922297Y-108884278D01* +X94907736Y-108882118D01* +X94893457Y-108878541D01* +X94879597Y-108873582D01* +X94866290Y-108867288D01* +X94853664Y-108859720D01* +X94841841Y-108850952D01* +X94830934Y-108841066D01* +X94821048Y-108830159D01* +X94812280Y-108818336D01* +X94804712Y-108805710D01* +X94798418Y-108792403D01* +X94793459Y-108778543D01* +X94789882Y-108764264D01* +X94787722Y-108749703D01* +X94787000Y-108735000D01* +X94787000Y-108435000D01* +X94787722Y-108420297D01* +X94789882Y-108405736D01* +X94793459Y-108391457D01* +X94798418Y-108377597D01* +X94804712Y-108364290D01* +X94812280Y-108351664D01* +X94821048Y-108339841D01* +X94830934Y-108328934D01* +X94841841Y-108319048D01* +X94853664Y-108310280D01* +X94866290Y-108302712D01* +X94879597Y-108296418D01* +X94893457Y-108291459D01* +X94907736Y-108287882D01* +X94922297Y-108285722D01* +X94937000Y-108285000D01* +X96687000Y-108285000D01* +X96701703Y-108285722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-109555722D02* +G01* +X96716264Y-109557882D01* +X96730543Y-109561459D01* +X96744403Y-109566418D01* +X96757710Y-109572712D01* +X96770336Y-109580280D01* +X96782159Y-109589048D01* +X96793066Y-109598934D01* +X96802952Y-109609841D01* +X96811720Y-109621664D01* +X96819288Y-109634290D01* +X96825582Y-109647597D01* +X96830541Y-109661457D01* +X96834118Y-109675736D01* +X96836278Y-109690297D01* +X96837000Y-109705000D01* +X96837000Y-110005000D01* +X96836278Y-110019703D01* +X96834118Y-110034264D01* +X96830541Y-110048543D01* +X96825582Y-110062403D01* +X96819288Y-110075710D01* +X96811720Y-110088336D01* +X96802952Y-110100159D01* +X96793066Y-110111066D01* +X96782159Y-110120952D01* +X96770336Y-110129720D01* +X96757710Y-110137288D01* +X96744403Y-110143582D01* +X96730543Y-110148541D01* +X96716264Y-110152118D01* +X96701703Y-110154278D01* +X96687000Y-110155000D01* +X94937000Y-110155000D01* +X94922297Y-110154278D01* +X94907736Y-110152118D01* +X94893457Y-110148541D01* +X94879597Y-110143582D01* +X94866290Y-110137288D01* +X94853664Y-110129720D01* +X94841841Y-110120952D01* +X94830934Y-110111066D01* +X94821048Y-110100159D01* +X94812280Y-110088336D01* +X94804712Y-110075710D01* +X94798418Y-110062403D01* +X94793459Y-110048543D01* +X94789882Y-110034264D01* +X94787722Y-110019703D01* +X94787000Y-110005000D01* +X94787000Y-109705000D01* +X94787722Y-109690297D01* +X94789882Y-109675736D01* +X94793459Y-109661457D01* +X94798418Y-109647597D01* +X94804712Y-109634290D01* +X94812280Y-109621664D01* +X94821048Y-109609841D01* +X94830934Y-109598934D01* +X94841841Y-109589048D01* +X94853664Y-109580280D01* +X94866290Y-109572712D01* +X94879597Y-109566418D01* +X94893457Y-109561459D01* +X94907736Y-109557882D01* +X94922297Y-109555722D01* +X94937000Y-109555000D01* +X96687000Y-109555000D01* +X96701703Y-109555722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-110825722D02* +G01* +X96716264Y-110827882D01* +X96730543Y-110831459D01* +X96744403Y-110836418D01* +X96757710Y-110842712D01* +X96770336Y-110850280D01* +X96782159Y-110859048D01* +X96793066Y-110868934D01* +X96802952Y-110879841D01* +X96811720Y-110891664D01* +X96819288Y-110904290D01* +X96825582Y-110917597D01* +X96830541Y-110931457D01* +X96834118Y-110945736D01* +X96836278Y-110960297D01* +X96837000Y-110975000D01* +X96837000Y-111275000D01* +X96836278Y-111289703D01* +X96834118Y-111304264D01* +X96830541Y-111318543D01* +X96825582Y-111332403D01* +X96819288Y-111345710D01* +X96811720Y-111358336D01* +X96802952Y-111370159D01* +X96793066Y-111381066D01* +X96782159Y-111390952D01* +X96770336Y-111399720D01* +X96757710Y-111407288D01* +X96744403Y-111413582D01* +X96730543Y-111418541D01* +X96716264Y-111422118D01* +X96701703Y-111424278D01* +X96687000Y-111425000D01* +X94937000Y-111425000D01* +X94922297Y-111424278D01* +X94907736Y-111422118D01* +X94893457Y-111418541D01* +X94879597Y-111413582D01* +X94866290Y-111407288D01* +X94853664Y-111399720D01* +X94841841Y-111390952D01* +X94830934Y-111381066D01* +X94821048Y-111370159D01* +X94812280Y-111358336D01* +X94804712Y-111345710D01* +X94798418Y-111332403D01* +X94793459Y-111318543D01* +X94789882Y-111304264D01* +X94787722Y-111289703D01* +X94787000Y-111275000D01* +X94787000Y-110975000D01* +X94787722Y-110960297D01* +X94789882Y-110945736D01* +X94793459Y-110931457D01* +X94798418Y-110917597D01* +X94804712Y-110904290D01* +X94812280Y-110891664D01* +X94821048Y-110879841D01* +X94830934Y-110868934D01* +X94841841Y-110859048D01* +X94853664Y-110850280D01* +X94866290Y-110842712D01* +X94879597Y-110836418D01* +X94893457Y-110831459D01* +X94907736Y-110827882D01* +X94922297Y-110825722D01* +X94937000Y-110825000D01* +X96687000Y-110825000D01* +X96701703Y-110825722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-112095722D02* +G01* +X96716264Y-112097882D01* +X96730543Y-112101459D01* +X96744403Y-112106418D01* +X96757710Y-112112712D01* +X96770336Y-112120280D01* +X96782159Y-112129048D01* +X96793066Y-112138934D01* +X96802952Y-112149841D01* +X96811720Y-112161664D01* +X96819288Y-112174290D01* +X96825582Y-112187597D01* +X96830541Y-112201457D01* +X96834118Y-112215736D01* +X96836278Y-112230297D01* +X96837000Y-112245000D01* +X96837000Y-112545000D01* +X96836278Y-112559703D01* +X96834118Y-112574264D01* +X96830541Y-112588543D01* +X96825582Y-112602403D01* +X96819288Y-112615710D01* +X96811720Y-112628336D01* +X96802952Y-112640159D01* +X96793066Y-112651066D01* +X96782159Y-112660952D01* +X96770336Y-112669720D01* +X96757710Y-112677288D01* +X96744403Y-112683582D01* +X96730543Y-112688541D01* +X96716264Y-112692118D01* +X96701703Y-112694278D01* +X96687000Y-112695000D01* +X94937000Y-112695000D01* +X94922297Y-112694278D01* +X94907736Y-112692118D01* +X94893457Y-112688541D01* +X94879597Y-112683582D01* +X94866290Y-112677288D01* +X94853664Y-112669720D01* +X94841841Y-112660952D01* +X94830934Y-112651066D01* +X94821048Y-112640159D01* +X94812280Y-112628336D01* +X94804712Y-112615710D01* +X94798418Y-112602403D01* +X94793459Y-112588543D01* +X94789882Y-112574264D01* +X94787722Y-112559703D01* +X94787000Y-112545000D01* +X94787000Y-112245000D01* +X94787722Y-112230297D01* +X94789882Y-112215736D01* +X94793459Y-112201457D01* +X94798418Y-112187597D01* +X94804712Y-112174290D01* +X94812280Y-112161664D01* +X94821048Y-112149841D01* +X94830934Y-112138934D01* +X94841841Y-112129048D01* +X94853664Y-112120280D01* +X94866290Y-112112712D01* +X94879597Y-112106418D01* +X94893457Y-112101459D01* +X94907736Y-112097882D01* +X94922297Y-112095722D01* +X94937000Y-112095000D01* +X96687000Y-112095000D01* +X96701703Y-112095722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-113365722D02* +G01* +X96716264Y-113367882D01* +X96730543Y-113371459D01* +X96744403Y-113376418D01* +X96757710Y-113382712D01* +X96770336Y-113390280D01* +X96782159Y-113399048D01* +X96793066Y-113408934D01* +X96802952Y-113419841D01* +X96811720Y-113431664D01* +X96819288Y-113444290D01* +X96825582Y-113457597D01* +X96830541Y-113471457D01* +X96834118Y-113485736D01* +X96836278Y-113500297D01* +X96837000Y-113515000D01* +X96837000Y-113815000D01* +X96836278Y-113829703D01* +X96834118Y-113844264D01* +X96830541Y-113858543D01* +X96825582Y-113872403D01* +X96819288Y-113885710D01* +X96811720Y-113898336D01* +X96802952Y-113910159D01* +X96793066Y-113921066D01* +X96782159Y-113930952D01* +X96770336Y-113939720D01* +X96757710Y-113947288D01* +X96744403Y-113953582D01* +X96730543Y-113958541D01* +X96716264Y-113962118D01* +X96701703Y-113964278D01* +X96687000Y-113965000D01* +X94937000Y-113965000D01* +X94922297Y-113964278D01* +X94907736Y-113962118D01* +X94893457Y-113958541D01* +X94879597Y-113953582D01* +X94866290Y-113947288D01* +X94853664Y-113939720D01* +X94841841Y-113930952D01* +X94830934Y-113921066D01* +X94821048Y-113910159D01* +X94812280Y-113898336D01* +X94804712Y-113885710D01* +X94798418Y-113872403D01* +X94793459Y-113858543D01* +X94789882Y-113844264D01* +X94787722Y-113829703D01* +X94787000Y-113815000D01* +X94787000Y-113515000D01* +X94787722Y-113500297D01* +X94789882Y-113485736D01* +X94793459Y-113471457D01* +X94798418Y-113457597D01* +X94804712Y-113444290D01* +X94812280Y-113431664D01* +X94821048Y-113419841D01* +X94830934Y-113408934D01* +X94841841Y-113399048D01* +X94853664Y-113390280D01* +X94866290Y-113382712D01* +X94879597Y-113376418D01* +X94893457Y-113371459D01* +X94907736Y-113367882D01* +X94922297Y-113365722D01* +X94937000Y-113365000D01* +X96687000Y-113365000D01* +X96701703Y-113365722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-114635722D02* +G01* +X96716264Y-114637882D01* +X96730543Y-114641459D01* +X96744403Y-114646418D01* +X96757710Y-114652712D01* +X96770336Y-114660280D01* +X96782159Y-114669048D01* +X96793066Y-114678934D01* +X96802952Y-114689841D01* +X96811720Y-114701664D01* +X96819288Y-114714290D01* +X96825582Y-114727597D01* +X96830541Y-114741457D01* +X96834118Y-114755736D01* +X96836278Y-114770297D01* +X96837000Y-114785000D01* +X96837000Y-115085000D01* +X96836278Y-115099703D01* +X96834118Y-115114264D01* +X96830541Y-115128543D01* +X96825582Y-115142403D01* +X96819288Y-115155710D01* +X96811720Y-115168336D01* +X96802952Y-115180159D01* +X96793066Y-115191066D01* +X96782159Y-115200952D01* +X96770336Y-115209720D01* +X96757710Y-115217288D01* +X96744403Y-115223582D01* +X96730543Y-115228541D01* +X96716264Y-115232118D01* +X96701703Y-115234278D01* +X96687000Y-115235000D01* +X94937000Y-115235000D01* +X94922297Y-115234278D01* +X94907736Y-115232118D01* +X94893457Y-115228541D01* +X94879597Y-115223582D01* +X94866290Y-115217288D01* +X94853664Y-115209720D01* +X94841841Y-115200952D01* +X94830934Y-115191066D01* +X94821048Y-115180159D01* +X94812280Y-115168336D01* +X94804712Y-115155710D01* +X94798418Y-115142403D01* +X94793459Y-115128543D01* +X94789882Y-115114264D01* +X94787722Y-115099703D01* +X94787000Y-115085000D01* +X94787000Y-114785000D01* +X94787722Y-114770297D01* +X94789882Y-114755736D01* +X94793459Y-114741457D01* +X94798418Y-114727597D01* +X94804712Y-114714290D01* +X94812280Y-114701664D01* +X94821048Y-114689841D01* +X94830934Y-114678934D01* +X94841841Y-114669048D01* +X94853664Y-114660280D01* +X94866290Y-114652712D01* +X94879597Y-114646418D01* +X94893457Y-114641459D01* +X94907736Y-114637882D01* +X94922297Y-114635722D01* +X94937000Y-114635000D01* +X96687000Y-114635000D01* +X96701703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-115905722D02* +G01* +X96716264Y-115907882D01* +X96730543Y-115911459D01* +X96744403Y-115916418D01* +X96757710Y-115922712D01* +X96770336Y-115930280D01* +X96782159Y-115939048D01* +X96793066Y-115948934D01* +X96802952Y-115959841D01* +X96811720Y-115971664D01* +X96819288Y-115984290D01* +X96825582Y-115997597D01* +X96830541Y-116011457D01* +X96834118Y-116025736D01* +X96836278Y-116040297D01* +X96837000Y-116055000D01* +X96837000Y-116355000D01* +X96836278Y-116369703D01* +X96834118Y-116384264D01* +X96830541Y-116398543D01* +X96825582Y-116412403D01* +X96819288Y-116425710D01* +X96811720Y-116438336D01* +X96802952Y-116450159D01* +X96793066Y-116461066D01* +X96782159Y-116470952D01* +X96770336Y-116479720D01* +X96757710Y-116487288D01* +X96744403Y-116493582D01* +X96730543Y-116498541D01* +X96716264Y-116502118D01* +X96701703Y-116504278D01* +X96687000Y-116505000D01* +X94937000Y-116505000D01* +X94922297Y-116504278D01* +X94907736Y-116502118D01* +X94893457Y-116498541D01* +X94879597Y-116493582D01* +X94866290Y-116487288D01* +X94853664Y-116479720D01* +X94841841Y-116470952D01* +X94830934Y-116461066D01* +X94821048Y-116450159D01* +X94812280Y-116438336D01* +X94804712Y-116425710D01* +X94798418Y-116412403D01* +X94793459Y-116398543D01* +X94789882Y-116384264D01* +X94787722Y-116369703D01* +X94787000Y-116355000D01* +X94787000Y-116055000D01* +X94787722Y-116040297D01* +X94789882Y-116025736D01* +X94793459Y-116011457D01* +X94798418Y-115997597D01* +X94804712Y-115984290D01* +X94812280Y-115971664D01* +X94821048Y-115959841D01* +X94830934Y-115948934D01* +X94841841Y-115939048D01* +X94853664Y-115930280D01* +X94866290Y-115922712D01* +X94879597Y-115916418D01* +X94893457Y-115911459D01* +X94907736Y-115907882D01* +X94922297Y-115905722D01* +X94937000Y-115905000D01* +X96687000Y-115905000D01* +X96701703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-117175722D02* +G01* +X96716264Y-117177882D01* +X96730543Y-117181459D01* +X96744403Y-117186418D01* +X96757710Y-117192712D01* +X96770336Y-117200280D01* +X96782159Y-117209048D01* +X96793066Y-117218934D01* +X96802952Y-117229841D01* +X96811720Y-117241664D01* +X96819288Y-117254290D01* +X96825582Y-117267597D01* +X96830541Y-117281457D01* +X96834118Y-117295736D01* +X96836278Y-117310297D01* +X96837000Y-117325000D01* +X96837000Y-117625000D01* +X96836278Y-117639703D01* +X96834118Y-117654264D01* +X96830541Y-117668543D01* +X96825582Y-117682403D01* +X96819288Y-117695710D01* +X96811720Y-117708336D01* +X96802952Y-117720159D01* +X96793066Y-117731066D01* +X96782159Y-117740952D01* +X96770336Y-117749720D01* +X96757710Y-117757288D01* +X96744403Y-117763582D01* +X96730543Y-117768541D01* +X96716264Y-117772118D01* +X96701703Y-117774278D01* +X96687000Y-117775000D01* +X94937000Y-117775000D01* +X94922297Y-117774278D01* +X94907736Y-117772118D01* +X94893457Y-117768541D01* +X94879597Y-117763582D01* +X94866290Y-117757288D01* +X94853664Y-117749720D01* +X94841841Y-117740952D01* +X94830934Y-117731066D01* +X94821048Y-117720159D01* +X94812280Y-117708336D01* +X94804712Y-117695710D01* +X94798418Y-117682403D01* +X94793459Y-117668543D01* +X94789882Y-117654264D01* +X94787722Y-117639703D01* +X94787000Y-117625000D01* +X94787000Y-117325000D01* +X94787722Y-117310297D01* +X94789882Y-117295736D01* +X94793459Y-117281457D01* +X94798418Y-117267597D01* +X94804712Y-117254290D01* +X94812280Y-117241664D01* +X94821048Y-117229841D01* +X94830934Y-117218934D01* +X94841841Y-117209048D01* +X94853664Y-117200280D01* +X94866290Y-117192712D01* +X94879597Y-117186418D01* +X94893457Y-117181459D01* +X94907736Y-117177882D01* +X94922297Y-117175722D01* +X94937000Y-117175000D01* +X96687000Y-117175000D01* +X96701703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-118445722D02* +G01* +X96716264Y-118447882D01* +X96730543Y-118451459D01* +X96744403Y-118456418D01* +X96757710Y-118462712D01* +X96770336Y-118470280D01* +X96782159Y-118479048D01* +X96793066Y-118488934D01* +X96802952Y-118499841D01* +X96811720Y-118511664D01* +X96819288Y-118524290D01* +X96825582Y-118537597D01* +X96830541Y-118551457D01* +X96834118Y-118565736D01* +X96836278Y-118580297D01* +X96837000Y-118595000D01* +X96837000Y-118895000D01* +X96836278Y-118909703D01* +X96834118Y-118924264D01* +X96830541Y-118938543D01* +X96825582Y-118952403D01* +X96819288Y-118965710D01* +X96811720Y-118978336D01* +X96802952Y-118990159D01* +X96793066Y-119001066D01* +X96782159Y-119010952D01* +X96770336Y-119019720D01* +X96757710Y-119027288D01* +X96744403Y-119033582D01* +X96730543Y-119038541D01* +X96716264Y-119042118D01* +X96701703Y-119044278D01* +X96687000Y-119045000D01* +X94937000Y-119045000D01* +X94922297Y-119044278D01* +X94907736Y-119042118D01* +X94893457Y-119038541D01* +X94879597Y-119033582D01* +X94866290Y-119027288D01* +X94853664Y-119019720D01* +X94841841Y-119010952D01* +X94830934Y-119001066D01* +X94821048Y-118990159D01* +X94812280Y-118978336D01* +X94804712Y-118965710D01* +X94798418Y-118952403D01* +X94793459Y-118938543D01* +X94789882Y-118924264D01* +X94787722Y-118909703D01* +X94787000Y-118895000D01* +X94787000Y-118595000D01* +X94787722Y-118580297D01* +X94789882Y-118565736D01* +X94793459Y-118551457D01* +X94798418Y-118537597D01* +X94804712Y-118524290D01* +X94812280Y-118511664D01* +X94821048Y-118499841D01* +X94830934Y-118488934D01* +X94841841Y-118479048D01* +X94853664Y-118470280D01* +X94866290Y-118462712D01* +X94879597Y-118456418D01* +X94893457Y-118451459D01* +X94907736Y-118447882D01* +X94922297Y-118445722D01* +X94937000Y-118445000D01* +X96687000Y-118445000D01* +X96701703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-119715722D02* +G01* +X96716264Y-119717882D01* +X96730543Y-119721459D01* +X96744403Y-119726418D01* +X96757710Y-119732712D01* +X96770336Y-119740280D01* +X96782159Y-119749048D01* +X96793066Y-119758934D01* +X96802952Y-119769841D01* +X96811720Y-119781664D01* +X96819288Y-119794290D01* +X96825582Y-119807597D01* +X96830541Y-119821457D01* +X96834118Y-119835736D01* +X96836278Y-119850297D01* +X96837000Y-119865000D01* +X96837000Y-120165000D01* +X96836278Y-120179703D01* +X96834118Y-120194264D01* +X96830541Y-120208543D01* +X96825582Y-120222403D01* +X96819288Y-120235710D01* +X96811720Y-120248336D01* +X96802952Y-120260159D01* +X96793066Y-120271066D01* +X96782159Y-120280952D01* +X96770336Y-120289720D01* +X96757710Y-120297288D01* +X96744403Y-120303582D01* +X96730543Y-120308541D01* +X96716264Y-120312118D01* +X96701703Y-120314278D01* +X96687000Y-120315000D01* +X94937000Y-120315000D01* +X94922297Y-120314278D01* +X94907736Y-120312118D01* +X94893457Y-120308541D01* +X94879597Y-120303582D01* +X94866290Y-120297288D01* +X94853664Y-120289720D01* +X94841841Y-120280952D01* +X94830934Y-120271066D01* +X94821048Y-120260159D01* +X94812280Y-120248336D01* +X94804712Y-120235710D01* +X94798418Y-120222403D01* +X94793459Y-120208543D01* +X94789882Y-120194264D01* +X94787722Y-120179703D01* +X94787000Y-120165000D01* +X94787000Y-119865000D01* +X94787722Y-119850297D01* +X94789882Y-119835736D01* +X94793459Y-119821457D01* +X94798418Y-119807597D01* +X94804712Y-119794290D01* +X94812280Y-119781664D01* +X94821048Y-119769841D01* +X94830934Y-119758934D01* +X94841841Y-119749048D01* +X94853664Y-119740280D01* +X94866290Y-119732712D01* +X94879597Y-119726418D01* +X94893457Y-119721459D01* +X94907736Y-119717882D01* +X94922297Y-119715722D01* +X94937000Y-119715000D01* +X96687000Y-119715000D01* +X96701703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-120985722D02* +G01* +X96716264Y-120987882D01* +X96730543Y-120991459D01* +X96744403Y-120996418D01* +X96757710Y-121002712D01* +X96770336Y-121010280D01* +X96782159Y-121019048D01* +X96793066Y-121028934D01* +X96802952Y-121039841D01* +X96811720Y-121051664D01* +X96819288Y-121064290D01* +X96825582Y-121077597D01* +X96830541Y-121091457D01* +X96834118Y-121105736D01* +X96836278Y-121120297D01* +X96837000Y-121135000D01* +X96837000Y-121435000D01* +X96836278Y-121449703D01* +X96834118Y-121464264D01* +X96830541Y-121478543D01* +X96825582Y-121492403D01* +X96819288Y-121505710D01* +X96811720Y-121518336D01* +X96802952Y-121530159D01* +X96793066Y-121541066D01* +X96782159Y-121550952D01* +X96770336Y-121559720D01* +X96757710Y-121567288D01* +X96744403Y-121573582D01* +X96730543Y-121578541D01* +X96716264Y-121582118D01* +X96701703Y-121584278D01* +X96687000Y-121585000D01* +X94937000Y-121585000D01* +X94922297Y-121584278D01* +X94907736Y-121582118D01* +X94893457Y-121578541D01* +X94879597Y-121573582D01* +X94866290Y-121567288D01* +X94853664Y-121559720D01* +X94841841Y-121550952D01* +X94830934Y-121541066D01* +X94821048Y-121530159D01* +X94812280Y-121518336D01* +X94804712Y-121505710D01* +X94798418Y-121492403D01* +X94793459Y-121478543D01* +X94789882Y-121464264D01* +X94787722Y-121449703D01* +X94787000Y-121435000D01* +X94787000Y-121135000D01* +X94787722Y-121120297D01* +X94789882Y-121105736D01* +X94793459Y-121091457D01* +X94798418Y-121077597D01* +X94804712Y-121064290D01* +X94812280Y-121051664D01* +X94821048Y-121039841D01* +X94830934Y-121028934D01* +X94841841Y-121019048D01* +X94853664Y-121010280D01* +X94866290Y-121002712D01* +X94879597Y-120996418D01* +X94893457Y-120991459D01* +X94907736Y-120987882D01* +X94922297Y-120985722D01* +X94937000Y-120985000D01* +X96687000Y-120985000D01* +X96701703Y-120985722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-122255722D02* +G01* +X96716264Y-122257882D01* +X96730543Y-122261459D01* +X96744403Y-122266418D01* +X96757710Y-122272712D01* +X96770336Y-122280280D01* +X96782159Y-122289048D01* +X96793066Y-122298934D01* +X96802952Y-122309841D01* +X96811720Y-122321664D01* +X96819288Y-122334290D01* +X96825582Y-122347597D01* +X96830541Y-122361457D01* +X96834118Y-122375736D01* +X96836278Y-122390297D01* +X96837000Y-122405000D01* +X96837000Y-122705000D01* +X96836278Y-122719703D01* +X96834118Y-122734264D01* +X96830541Y-122748543D01* +X96825582Y-122762403D01* +X96819288Y-122775710D01* +X96811720Y-122788336D01* +X96802952Y-122800159D01* +X96793066Y-122811066D01* +X96782159Y-122820952D01* +X96770336Y-122829720D01* +X96757710Y-122837288D01* +X96744403Y-122843582D01* +X96730543Y-122848541D01* +X96716264Y-122852118D01* +X96701703Y-122854278D01* +X96687000Y-122855000D01* +X94937000Y-122855000D01* +X94922297Y-122854278D01* +X94907736Y-122852118D01* +X94893457Y-122848541D01* +X94879597Y-122843582D01* +X94866290Y-122837288D01* +X94853664Y-122829720D01* +X94841841Y-122820952D01* +X94830934Y-122811066D01* +X94821048Y-122800159D01* +X94812280Y-122788336D01* +X94804712Y-122775710D01* +X94798418Y-122762403D01* +X94793459Y-122748543D01* +X94789882Y-122734264D01* +X94787722Y-122719703D01* +X94787000Y-122705000D01* +X94787000Y-122405000D01* +X94787722Y-122390297D01* +X94789882Y-122375736D01* +X94793459Y-122361457D01* +X94798418Y-122347597D01* +X94804712Y-122334290D01* +X94812280Y-122321664D01* +X94821048Y-122309841D01* +X94830934Y-122298934D01* +X94841841Y-122289048D01* +X94853664Y-122280280D01* +X94866290Y-122272712D01* +X94879597Y-122266418D01* +X94893457Y-122261459D01* +X94907736Y-122257882D01* +X94922297Y-122255722D01* +X94937000Y-122255000D01* +X96687000Y-122255000D01* +X96701703Y-122255722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-123525722D02* +G01* +X96716264Y-123527882D01* +X96730543Y-123531459D01* +X96744403Y-123536418D01* +X96757710Y-123542712D01* +X96770336Y-123550280D01* +X96782159Y-123559048D01* +X96793066Y-123568934D01* +X96802952Y-123579841D01* +X96811720Y-123591664D01* +X96819288Y-123604290D01* +X96825582Y-123617597D01* +X96830541Y-123631457D01* +X96834118Y-123645736D01* +X96836278Y-123660297D01* +X96837000Y-123675000D01* +X96837000Y-123975000D01* +X96836278Y-123989703D01* +X96834118Y-124004264D01* +X96830541Y-124018543D01* +X96825582Y-124032403D01* +X96819288Y-124045710D01* +X96811720Y-124058336D01* +X96802952Y-124070159D01* +X96793066Y-124081066D01* +X96782159Y-124090952D01* +X96770336Y-124099720D01* +X96757710Y-124107288D01* +X96744403Y-124113582D01* +X96730543Y-124118541D01* +X96716264Y-124122118D01* +X96701703Y-124124278D01* +X96687000Y-124125000D01* +X94937000Y-124125000D01* +X94922297Y-124124278D01* +X94907736Y-124122118D01* +X94893457Y-124118541D01* +X94879597Y-124113582D01* +X94866290Y-124107288D01* +X94853664Y-124099720D01* +X94841841Y-124090952D01* +X94830934Y-124081066D01* +X94821048Y-124070159D01* +X94812280Y-124058336D01* +X94804712Y-124045710D01* +X94798418Y-124032403D01* +X94793459Y-124018543D01* +X94789882Y-124004264D01* +X94787722Y-123989703D01* +X94787000Y-123975000D01* +X94787000Y-123675000D01* +X94787722Y-123660297D01* +X94789882Y-123645736D01* +X94793459Y-123631457D01* +X94798418Y-123617597D01* +X94804712Y-123604290D01* +X94812280Y-123591664D01* +X94821048Y-123579841D01* +X94830934Y-123568934D01* +X94841841Y-123559048D01* +X94853664Y-123550280D01* +X94866290Y-123542712D01* +X94879597Y-123536418D01* +X94893457Y-123531459D01* +X94907736Y-123527882D01* +X94922297Y-123525722D01* +X94937000Y-123525000D01* +X96687000Y-123525000D01* +X96701703Y-123525722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-124795722D02* +G01* +X96716264Y-124797882D01* +X96730543Y-124801459D01* +X96744403Y-124806418D01* +X96757710Y-124812712D01* +X96770336Y-124820280D01* +X96782159Y-124829048D01* +X96793066Y-124838934D01* +X96802952Y-124849841D01* +X96811720Y-124861664D01* +X96819288Y-124874290D01* +X96825582Y-124887597D01* +X96830541Y-124901457D01* +X96834118Y-124915736D01* +X96836278Y-124930297D01* +X96837000Y-124945000D01* +X96837000Y-125245000D01* +X96836278Y-125259703D01* +X96834118Y-125274264D01* +X96830541Y-125288543D01* +X96825582Y-125302403D01* +X96819288Y-125315710D01* +X96811720Y-125328336D01* +X96802952Y-125340159D01* +X96793066Y-125351066D01* +X96782159Y-125360952D01* +X96770336Y-125369720D01* +X96757710Y-125377288D01* +X96744403Y-125383582D01* +X96730543Y-125388541D01* +X96716264Y-125392118D01* +X96701703Y-125394278D01* +X96687000Y-125395000D01* +X94937000Y-125395000D01* +X94922297Y-125394278D01* +X94907736Y-125392118D01* +X94893457Y-125388541D01* +X94879597Y-125383582D01* +X94866290Y-125377288D01* +X94853664Y-125369720D01* +X94841841Y-125360952D01* +X94830934Y-125351066D01* +X94821048Y-125340159D01* +X94812280Y-125328336D01* +X94804712Y-125315710D01* +X94798418Y-125302403D01* +X94793459Y-125288543D01* +X94789882Y-125274264D01* +X94787722Y-125259703D01* +X94787000Y-125245000D01* +X94787000Y-124945000D01* +X94787722Y-124930297D01* +X94789882Y-124915736D01* +X94793459Y-124901457D01* +X94798418Y-124887597D01* +X94804712Y-124874290D01* +X94812280Y-124861664D01* +X94821048Y-124849841D01* +X94830934Y-124838934D01* +X94841841Y-124829048D01* +X94853664Y-124820280D01* +X94866290Y-124812712D01* +X94879597Y-124806418D01* +X94893457Y-124801459D01* +X94907736Y-124797882D01* +X94922297Y-124795722D01* +X94937000Y-124795000D01* +X96687000Y-124795000D01* +X96701703Y-124795722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-126065722D02* +G01* +X96716264Y-126067882D01* +X96730543Y-126071459D01* +X96744403Y-126076418D01* +X96757710Y-126082712D01* +X96770336Y-126090280D01* +X96782159Y-126099048D01* +X96793066Y-126108934D01* +X96802952Y-126119841D01* +X96811720Y-126131664D01* +X96819288Y-126144290D01* +X96825582Y-126157597D01* +X96830541Y-126171457D01* +X96834118Y-126185736D01* +X96836278Y-126200297D01* +X96837000Y-126215000D01* +X96837000Y-126515000D01* +X96836278Y-126529703D01* +X96834118Y-126544264D01* +X96830541Y-126558543D01* +X96825582Y-126572403D01* +X96819288Y-126585710D01* +X96811720Y-126598336D01* +X96802952Y-126610159D01* +X96793066Y-126621066D01* +X96782159Y-126630952D01* +X96770336Y-126639720D01* +X96757710Y-126647288D01* +X96744403Y-126653582D01* +X96730543Y-126658541D01* +X96716264Y-126662118D01* +X96701703Y-126664278D01* +X96687000Y-126665000D01* +X94937000Y-126665000D01* +X94922297Y-126664278D01* +X94907736Y-126662118D01* +X94893457Y-126658541D01* +X94879597Y-126653582D01* +X94866290Y-126647288D01* +X94853664Y-126639720D01* +X94841841Y-126630952D01* +X94830934Y-126621066D01* +X94821048Y-126610159D01* +X94812280Y-126598336D01* +X94804712Y-126585710D01* +X94798418Y-126572403D01* +X94793459Y-126558543D01* +X94789882Y-126544264D01* +X94787722Y-126529703D01* +X94787000Y-126515000D01* +X94787000Y-126215000D01* +X94787722Y-126200297D01* +X94789882Y-126185736D01* +X94793459Y-126171457D01* +X94798418Y-126157597D01* +X94804712Y-126144290D01* +X94812280Y-126131664D01* +X94821048Y-126119841D01* +X94830934Y-126108934D01* +X94841841Y-126099048D01* +X94853664Y-126090280D01* +X94866290Y-126082712D01* +X94879597Y-126076418D01* +X94893457Y-126071459D01* +X94907736Y-126067882D01* +X94922297Y-126065722D01* +X94937000Y-126065000D01* +X96687000Y-126065000D01* +X96701703Y-126065722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X96701703Y-127335722D02* +G01* +X96716264Y-127337882D01* +X96730543Y-127341459D01* +X96744403Y-127346418D01* +X96757710Y-127352712D01* +X96770336Y-127360280D01* +X96782159Y-127369048D01* +X96793066Y-127378934D01* +X96802952Y-127389841D01* +X96811720Y-127401664D01* +X96819288Y-127414290D01* +X96825582Y-127427597D01* +X96830541Y-127441457D01* +X96834118Y-127455736D01* +X96836278Y-127470297D01* +X96837000Y-127485000D01* +X96837000Y-127785000D01* +X96836278Y-127799703D01* +X96834118Y-127814264D01* +X96830541Y-127828543D01* +X96825582Y-127842403D01* +X96819288Y-127855710D01* +X96811720Y-127868336D01* +X96802952Y-127880159D01* +X96793066Y-127891066D01* +X96782159Y-127900952D01* +X96770336Y-127909720D01* +X96757710Y-127917288D01* +X96744403Y-127923582D01* +X96730543Y-127928541D01* +X96716264Y-127932118D01* +X96701703Y-127934278D01* +X96687000Y-127935000D01* +X94937000Y-127935000D01* +X94922297Y-127934278D01* +X94907736Y-127932118D01* +X94893457Y-127928541D01* +X94879597Y-127923582D01* +X94866290Y-127917288D01* +X94853664Y-127909720D01* +X94841841Y-127900952D01* +X94830934Y-127891066D01* +X94821048Y-127880159D01* +X94812280Y-127868336D01* +X94804712Y-127855710D01* +X94798418Y-127842403D01* +X94793459Y-127828543D01* +X94789882Y-127814264D01* +X94787722Y-127799703D01* +X94787000Y-127785000D01* +X94787000Y-127485000D01* +X94787722Y-127470297D01* +X94789882Y-127455736D01* +X94793459Y-127441457D01* +X94798418Y-127427597D01* +X94804712Y-127414290D01* +X94812280Y-127401664D01* +X94821048Y-127389841D01* +X94830934Y-127378934D01* +X94841841Y-127369048D01* +X94853664Y-127360280D01* +X94866290Y-127352712D01* +X94879597Y-127346418D01* +X94893457Y-127341459D01* +X94907736Y-127337882D01* +X94922297Y-127335722D01* +X94937000Y-127335000D01* +X96687000Y-127335000D01* +X96701703Y-127335722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X135860729Y-112077264D02* +G01* +X135886211Y-112081044D01* +X135911200Y-112087303D01* +X135935454Y-112095982D01* +X135958742Y-112106996D01* +X135980837Y-112120239D01* +X136001528Y-112135585D01* +X136020616Y-112152884D01* +X136037915Y-112171972D01* +X136053261Y-112192663D01* +X136066504Y-112214758D01* +X136077518Y-112238046D01* +X136086197Y-112262300D01* +X136092456Y-112287289D01* +X136096236Y-112312771D01* +X136097500Y-112338500D01* +X136097500Y-113213500D01* +X136096236Y-113239229D01* +X136092456Y-113264711D01* +X136086197Y-113289700D01* +X136077518Y-113313954D01* +X136066504Y-113337242D01* +X136053261Y-113359337D01* +X136037915Y-113380028D01* +X136020616Y-113399116D01* +X136001528Y-113416415D01* +X135980837Y-113431761D01* +X135958742Y-113445004D01* +X135935454Y-113456018D01* +X135911200Y-113464697D01* +X135886211Y-113470956D01* +X135860729Y-113474736D01* +X135835000Y-113476000D01* +X135310000Y-113476000D01* +X135284271Y-113474736D01* +X135258789Y-113470956D01* +X135233800Y-113464697D01* +X135209546Y-113456018D01* +X135186258Y-113445004D01* +X135164163Y-113431761D01* +X135143472Y-113416415D01* +X135124384Y-113399116D01* +X135107085Y-113380028D01* +X135091739Y-113359337D01* +X135078496Y-113337242D01* +X135067482Y-113313954D01* +X135058803Y-113289700D01* +X135052544Y-113264711D01* +X135048764Y-113239229D01* +X135047500Y-113213500D01* +X135047500Y-112338500D01* +X135048764Y-112312771D01* +X135052544Y-112287289D01* +X135058803Y-112262300D01* +X135067482Y-112238046D01* +X135078496Y-112214758D01* +X135091739Y-112192663D01* +X135107085Y-112171972D01* +X135124384Y-112152884D01* +X135143472Y-112135585D01* +X135164163Y-112120239D01* +X135186258Y-112106996D01* +X135209546Y-112095982D01* +X135233800Y-112087303D01* +X135258789Y-112081044D01* +X135284271Y-112077264D01* +X135310000Y-112076000D01* +X135835000Y-112076000D01* +X135860729Y-112077264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X134160729Y-112077264D02* +G01* +X134186211Y-112081044D01* +X134211200Y-112087303D01* +X134235454Y-112095982D01* +X134258742Y-112106996D01* +X134280837Y-112120239D01* +X134301528Y-112135585D01* +X134320616Y-112152884D01* +X134337915Y-112171972D01* +X134353261Y-112192663D01* +X134366504Y-112214758D01* +X134377518Y-112238046D01* +X134386197Y-112262300D01* +X134392456Y-112287289D01* +X134396236Y-112312771D01* +X134397500Y-112338500D01* +X134397500Y-113213500D01* +X134396236Y-113239229D01* +X134392456Y-113264711D01* +X134386197Y-113289700D01* +X134377518Y-113313954D01* +X134366504Y-113337242D01* +X134353261Y-113359337D01* +X134337915Y-113380028D01* +X134320616Y-113399116D01* +X134301528Y-113416415D01* +X134280837Y-113431761D01* +X134258742Y-113445004D01* +X134235454Y-113456018D01* +X134211200Y-113464697D01* +X134186211Y-113470956D01* +X134160729Y-113474736D01* +X134135000Y-113476000D01* +X133610000Y-113476000D01* +X133584271Y-113474736D01* +X133558789Y-113470956D01* +X133533800Y-113464697D01* +X133509546Y-113456018D01* +X133486258Y-113445004D01* +X133464163Y-113431761D01* +X133443472Y-113416415D01* +X133424384Y-113399116D01* +X133407085Y-113380028D01* +X133391739Y-113359337D01* +X133378496Y-113337242D01* +X133367482Y-113313954D01* +X133358803Y-113289700D01* +X133352544Y-113264711D01* +X133348764Y-113239229D01* +X133347500Y-113213500D01* +X133347500Y-112338500D01* +X133348764Y-112312771D01* +X133352544Y-112287289D01* +X133358803Y-112262300D01* +X133367482Y-112238046D01* +X133378496Y-112214758D01* +X133391739Y-112192663D01* +X133407085Y-112171972D01* +X133424384Y-112152884D01* +X133443472Y-112135585D01* +X133464163Y-112120239D01* +X133486258Y-112106996D01* +X133509546Y-112095982D01* +X133533800Y-112087303D01* +X133558789Y-112081044D01* +X133584271Y-112077264D01* +X133610000Y-112076000D01* +X134135000Y-112076000D01* +X134160729Y-112077264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X136410703Y-114635722D02* +G01* +X136425264Y-114637882D01* +X136439543Y-114641459D01* +X136453403Y-114646418D01* +X136466710Y-114652712D01* +X136479336Y-114660280D01* +X136491159Y-114669048D01* +X136502066Y-114678934D01* +X136511952Y-114689841D01* +X136520720Y-114701664D01* +X136528288Y-114714290D01* +X136534582Y-114727597D01* +X136539541Y-114741457D01* +X136543118Y-114755736D01* +X136545278Y-114770297D01* +X136546000Y-114785000D01* +X136546000Y-115085000D01* +X136545278Y-115099703D01* +X136543118Y-115114264D01* +X136539541Y-115128543D01* +X136534582Y-115142403D01* +X136528288Y-115155710D01* +X136520720Y-115168336D01* +X136511952Y-115180159D01* +X136502066Y-115191066D01* +X136491159Y-115200952D01* +X136479336Y-115209720D01* +X136466710Y-115217288D01* +X136453403Y-115223582D01* +X136439543Y-115228541D01* +X136425264Y-115232118D01* +X136410703Y-115234278D01* +X136396000Y-115235000D01* +X134746000Y-115235000D01* +X134731297Y-115234278D01* +X134716736Y-115232118D01* +X134702457Y-115228541D01* +X134688597Y-115223582D01* +X134675290Y-115217288D01* +X134662664Y-115209720D01* +X134650841Y-115200952D01* +X134639934Y-115191066D01* +X134630048Y-115180159D01* +X134621280Y-115168336D01* +X134613712Y-115155710D01* +X134607418Y-115142403D01* +X134602459Y-115128543D01* +X134598882Y-115114264D01* +X134596722Y-115099703D01* +X134596000Y-115085000D01* +X134596000Y-114785000D01* +X134596722Y-114770297D01* +X134598882Y-114755736D01* +X134602459Y-114741457D01* +X134607418Y-114727597D01* +X134613712Y-114714290D01* +X134621280Y-114701664D01* +X134630048Y-114689841D01* +X134639934Y-114678934D01* +X134650841Y-114669048D01* +X134662664Y-114660280D01* +X134675290Y-114652712D01* +X134688597Y-114646418D01* +X134702457Y-114641459D01* +X134716736Y-114637882D01* +X134731297Y-114635722D01* +X134746000Y-114635000D01* +X136396000Y-114635000D01* +X136410703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X131460703Y-122255722D02* +G01* +X131475264Y-122257882D01* +X131489543Y-122261459D01* +X131503403Y-122266418D01* +X131516710Y-122272712D01* +X131529336Y-122280280D01* +X131541159Y-122289048D01* +X131552066Y-122298934D01* +X131561952Y-122309841D01* +X131570720Y-122321664D01* +X131578288Y-122334290D01* +X131584582Y-122347597D01* +X131589541Y-122361457D01* +X131593118Y-122375736D01* +X131595278Y-122390297D01* +X131596000Y-122405000D01* +X131596000Y-122705000D01* +X131595278Y-122719703D01* +X131593118Y-122734264D01* +X131589541Y-122748543D01* +X131584582Y-122762403D01* +X131578288Y-122775710D01* +X131570720Y-122788336D01* +X131561952Y-122800159D01* +X131552066Y-122811066D01* +X131541159Y-122820952D01* +X131529336Y-122829720D01* +X131516710Y-122837288D01* +X131503403Y-122843582D01* +X131489543Y-122848541D01* +X131475264Y-122852118D01* +X131460703Y-122854278D01* +X131446000Y-122855000D01* +X129796000Y-122855000D01* +X129781297Y-122854278D01* +X129766736Y-122852118D01* +X129752457Y-122848541D01* +X129738597Y-122843582D01* +X129725290Y-122837288D01* +X129712664Y-122829720D01* +X129700841Y-122820952D01* +X129689934Y-122811066D01* +X129680048Y-122800159D01* +X129671280Y-122788336D01* +X129663712Y-122775710D01* +X129657418Y-122762403D01* +X129652459Y-122748543D01* +X129648882Y-122734264D01* +X129646722Y-122719703D01* +X129646000Y-122705000D01* +X129646000Y-122405000D01* +X129646722Y-122390297D01* +X129648882Y-122375736D01* +X129652459Y-122361457D01* +X129657418Y-122347597D01* +X129663712Y-122334290D01* +X129671280Y-122321664D01* +X129680048Y-122309841D01* +X129689934Y-122298934D01* +X129700841Y-122289048D01* +X129712664Y-122280280D01* +X129725290Y-122272712D01* +X129738597Y-122266418D01* +X129752457Y-122261459D01* +X129766736Y-122257882D01* +X129781297Y-122255722D01* +X129796000Y-122255000D01* +X131446000Y-122255000D01* +X131460703Y-122255722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X136410703Y-117175722D02* +G01* +X136425264Y-117177882D01* +X136439543Y-117181459D01* +X136453403Y-117186418D01* +X136466710Y-117192712D01* +X136479336Y-117200280D01* +X136491159Y-117209048D01* +X136502066Y-117218934D01* +X136511952Y-117229841D01* +X136520720Y-117241664D01* +X136528288Y-117254290D01* +X136534582Y-117267597D01* +X136539541Y-117281457D01* +X136543118Y-117295736D01* +X136545278Y-117310297D01* +X136546000Y-117325000D01* +X136546000Y-117625000D01* +X136545278Y-117639703D01* +X136543118Y-117654264D01* +X136539541Y-117668543D01* +X136534582Y-117682403D01* +X136528288Y-117695710D01* +X136520720Y-117708336D01* +X136511952Y-117720159D01* +X136502066Y-117731066D01* +X136491159Y-117740952D01* +X136479336Y-117749720D01* +X136466710Y-117757288D01* +X136453403Y-117763582D01* +X136439543Y-117768541D01* +X136425264Y-117772118D01* +X136410703Y-117774278D01* +X136396000Y-117775000D01* +X134746000Y-117775000D01* +X134731297Y-117774278D01* +X134716736Y-117772118D01* +X134702457Y-117768541D01* +X134688597Y-117763582D01* +X134675290Y-117757288D01* +X134662664Y-117749720D01* +X134650841Y-117740952D01* +X134639934Y-117731066D01* +X134630048Y-117720159D01* +X134621280Y-117708336D01* +X134613712Y-117695710D01* +X134607418Y-117682403D01* +X134602459Y-117668543D01* +X134598882Y-117654264D01* +X134596722Y-117639703D01* +X134596000Y-117625000D01* +X134596000Y-117325000D01* +X134596722Y-117310297D01* +X134598882Y-117295736D01* +X134602459Y-117281457D01* +X134607418Y-117267597D01* +X134613712Y-117254290D01* +X134621280Y-117241664D01* +X134630048Y-117229841D01* +X134639934Y-117218934D01* +X134650841Y-117209048D01* +X134662664Y-117200280D01* +X134675290Y-117192712D01* +X134688597Y-117186418D01* +X134702457Y-117181459D01* +X134716736Y-117177882D01* +X134731297Y-117175722D01* +X134746000Y-117175000D01* +X136396000Y-117175000D01* +X136410703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X136410703Y-122255722D02* +G01* +X136425264Y-122257882D01* +X136439543Y-122261459D01* +X136453403Y-122266418D01* +X136466710Y-122272712D01* +X136479336Y-122280280D01* +X136491159Y-122289048D01* +X136502066Y-122298934D01* +X136511952Y-122309841D01* +X136520720Y-122321664D01* +X136528288Y-122334290D01* +X136534582Y-122347597D01* +X136539541Y-122361457D01* +X136543118Y-122375736D01* +X136545278Y-122390297D01* +X136546000Y-122405000D01* +X136546000Y-122705000D01* +X136545278Y-122719703D01* +X136543118Y-122734264D01* +X136539541Y-122748543D01* +X136534582Y-122762403D01* +X136528288Y-122775710D01* +X136520720Y-122788336D01* +X136511952Y-122800159D01* +X136502066Y-122811066D01* +X136491159Y-122820952D01* +X136479336Y-122829720D01* +X136466710Y-122837288D01* +X136453403Y-122843582D01* +X136439543Y-122848541D01* +X136425264Y-122852118D01* +X136410703Y-122854278D01* +X136396000Y-122855000D01* +X134746000Y-122855000D01* +X134731297Y-122854278D01* +X134716736Y-122852118D01* +X134702457Y-122848541D01* +X134688597Y-122843582D01* +X134675290Y-122837288D01* +X134662664Y-122829720D01* +X134650841Y-122820952D01* +X134639934Y-122811066D01* +X134630048Y-122800159D01* +X134621280Y-122788336D01* +X134613712Y-122775710D01* +X134607418Y-122762403D01* +X134602459Y-122748543D01* +X134598882Y-122734264D01* +X134596722Y-122719703D01* +X134596000Y-122705000D01* +X134596000Y-122405000D01* +X134596722Y-122390297D01* +X134598882Y-122375736D01* +X134602459Y-122361457D01* +X134607418Y-122347597D01* +X134613712Y-122334290D01* +X134621280Y-122321664D01* +X134630048Y-122309841D01* +X134639934Y-122298934D01* +X134650841Y-122289048D01* +X134662664Y-122280280D01* +X134675290Y-122272712D01* +X134688597Y-122266418D01* +X134702457Y-122261459D01* +X134716736Y-122257882D01* +X134731297Y-122255722D01* +X134746000Y-122255000D01* +X136396000Y-122255000D01* +X136410703Y-122255722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X136410703Y-115905722D02* +G01* +X136425264Y-115907882D01* +X136439543Y-115911459D01* +X136453403Y-115916418D01* +X136466710Y-115922712D01* +X136479336Y-115930280D01* +X136491159Y-115939048D01* +X136502066Y-115948934D01* +X136511952Y-115959841D01* +X136520720Y-115971664D01* +X136528288Y-115984290D01* +X136534582Y-115997597D01* +X136539541Y-116011457D01* +X136543118Y-116025736D01* +X136545278Y-116040297D01* +X136546000Y-116055000D01* +X136546000Y-116355000D01* +X136545278Y-116369703D01* +X136543118Y-116384264D01* +X136539541Y-116398543D01* +X136534582Y-116412403D01* +X136528288Y-116425710D01* +X136520720Y-116438336D01* +X136511952Y-116450159D01* +X136502066Y-116461066D01* +X136491159Y-116470952D01* +X136479336Y-116479720D01* +X136466710Y-116487288D01* +X136453403Y-116493582D01* +X136439543Y-116498541D01* +X136425264Y-116502118D01* +X136410703Y-116504278D01* +X136396000Y-116505000D01* +X134746000Y-116505000D01* +X134731297Y-116504278D01* +X134716736Y-116502118D01* +X134702457Y-116498541D01* +X134688597Y-116493582D01* +X134675290Y-116487288D01* +X134662664Y-116479720D01* +X134650841Y-116470952D01* +X134639934Y-116461066D01* +X134630048Y-116450159D01* +X134621280Y-116438336D01* +X134613712Y-116425710D01* +X134607418Y-116412403D01* +X134602459Y-116398543D01* +X134598882Y-116384264D01* +X134596722Y-116369703D01* +X134596000Y-116355000D01* +X134596000Y-116055000D01* +X134596722Y-116040297D01* +X134598882Y-116025736D01* +X134602459Y-116011457D01* +X134607418Y-115997597D01* +X134613712Y-115984290D01* +X134621280Y-115971664D01* +X134630048Y-115959841D01* +X134639934Y-115948934D01* +X134650841Y-115939048D01* +X134662664Y-115930280D01* +X134675290Y-115922712D01* +X134688597Y-115916418D01* +X134702457Y-115911459D01* +X134716736Y-115907882D01* +X134731297Y-115905722D01* +X134746000Y-115905000D01* +X136396000Y-115905000D01* +X136410703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X131460703Y-120985722D02* +G01* +X131475264Y-120987882D01* +X131489543Y-120991459D01* +X131503403Y-120996418D01* +X131516710Y-121002712D01* +X131529336Y-121010280D01* +X131541159Y-121019048D01* +X131552066Y-121028934D01* +X131561952Y-121039841D01* +X131570720Y-121051664D01* +X131578288Y-121064290D01* +X131584582Y-121077597D01* +X131589541Y-121091457D01* +X131593118Y-121105736D01* +X131595278Y-121120297D01* +X131596000Y-121135000D01* +X131596000Y-121435000D01* +X131595278Y-121449703D01* +X131593118Y-121464264D01* +X131589541Y-121478543D01* +X131584582Y-121492403D01* +X131578288Y-121505710D01* +X131570720Y-121518336D01* +X131561952Y-121530159D01* +X131552066Y-121541066D01* +X131541159Y-121550952D01* +X131529336Y-121559720D01* +X131516710Y-121567288D01* +X131503403Y-121573582D01* +X131489543Y-121578541D01* +X131475264Y-121582118D01* +X131460703Y-121584278D01* +X131446000Y-121585000D01* +X129796000Y-121585000D01* +X129781297Y-121584278D01* +X129766736Y-121582118D01* +X129752457Y-121578541D01* +X129738597Y-121573582D01* +X129725290Y-121567288D01* +X129712664Y-121559720D01* +X129700841Y-121550952D01* +X129689934Y-121541066D01* +X129680048Y-121530159D01* +X129671280Y-121518336D01* +X129663712Y-121505710D01* +X129657418Y-121492403D01* +X129652459Y-121478543D01* +X129648882Y-121464264D01* +X129646722Y-121449703D01* +X129646000Y-121435000D01* +X129646000Y-121135000D01* +X129646722Y-121120297D01* +X129648882Y-121105736D01* +X129652459Y-121091457D01* +X129657418Y-121077597D01* +X129663712Y-121064290D01* +X129671280Y-121051664D01* +X129680048Y-121039841D01* +X129689934Y-121028934D01* +X129700841Y-121019048D01* +X129712664Y-121010280D01* +X129725290Y-121002712D01* +X129738597Y-120996418D01* +X129752457Y-120991459D01* +X129766736Y-120987882D01* +X129781297Y-120985722D01* +X129796000Y-120985000D01* +X131446000Y-120985000D01* +X131460703Y-120985722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X136410703Y-120985722D02* +G01* +X136425264Y-120987882D01* +X136439543Y-120991459D01* +X136453403Y-120996418D01* +X136466710Y-121002712D01* +X136479336Y-121010280D01* +X136491159Y-121019048D01* +X136502066Y-121028934D01* +X136511952Y-121039841D01* +X136520720Y-121051664D01* +X136528288Y-121064290D01* +X136534582Y-121077597D01* +X136539541Y-121091457D01* +X136543118Y-121105736D01* +X136545278Y-121120297D01* +X136546000Y-121135000D01* +X136546000Y-121435000D01* +X136545278Y-121449703D01* +X136543118Y-121464264D01* +X136539541Y-121478543D01* +X136534582Y-121492403D01* +X136528288Y-121505710D01* +X136520720Y-121518336D01* +X136511952Y-121530159D01* +X136502066Y-121541066D01* +X136491159Y-121550952D01* +X136479336Y-121559720D01* +X136466710Y-121567288D01* +X136453403Y-121573582D01* +X136439543Y-121578541D01* +X136425264Y-121582118D01* +X136410703Y-121584278D01* +X136396000Y-121585000D01* +X134746000Y-121585000D01* +X134731297Y-121584278D01* +X134716736Y-121582118D01* +X134702457Y-121578541D01* +X134688597Y-121573582D01* +X134675290Y-121567288D01* +X134662664Y-121559720D01* +X134650841Y-121550952D01* +X134639934Y-121541066D01* +X134630048Y-121530159D01* +X134621280Y-121518336D01* +X134613712Y-121505710D01* +X134607418Y-121492403D01* +X134602459Y-121478543D01* +X134598882Y-121464264D01* +X134596722Y-121449703D01* +X134596000Y-121435000D01* +X134596000Y-121135000D01* +X134596722Y-121120297D01* +X134598882Y-121105736D01* +X134602459Y-121091457D01* +X134607418Y-121077597D01* +X134613712Y-121064290D01* +X134621280Y-121051664D01* +X134630048Y-121039841D01* +X134639934Y-121028934D01* +X134650841Y-121019048D01* +X134662664Y-121010280D01* +X134675290Y-121002712D01* +X134688597Y-120996418D01* +X134702457Y-120991459D01* +X134716736Y-120987882D01* +X134731297Y-120985722D01* +X134746000Y-120985000D01* +X136396000Y-120985000D01* +X136410703Y-120985722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X136410703Y-119715722D02* +G01* +X136425264Y-119717882D01* +X136439543Y-119721459D01* +X136453403Y-119726418D01* +X136466710Y-119732712D01* +X136479336Y-119740280D01* +X136491159Y-119749048D01* +X136502066Y-119758934D01* +X136511952Y-119769841D01* +X136520720Y-119781664D01* +X136528288Y-119794290D01* +X136534582Y-119807597D01* +X136539541Y-119821457D01* +X136543118Y-119835736D01* +X136545278Y-119850297D01* +X136546000Y-119865000D01* +X136546000Y-120165000D01* +X136545278Y-120179703D01* +X136543118Y-120194264D01* +X136539541Y-120208543D01* +X136534582Y-120222403D01* +X136528288Y-120235710D01* +X136520720Y-120248336D01* +X136511952Y-120260159D01* +X136502066Y-120271066D01* +X136491159Y-120280952D01* +X136479336Y-120289720D01* +X136466710Y-120297288D01* +X136453403Y-120303582D01* +X136439543Y-120308541D01* +X136425264Y-120312118D01* +X136410703Y-120314278D01* +X136396000Y-120315000D01* +X134746000Y-120315000D01* +X134731297Y-120314278D01* +X134716736Y-120312118D01* +X134702457Y-120308541D01* +X134688597Y-120303582D01* +X134675290Y-120297288D01* +X134662664Y-120289720D01* +X134650841Y-120280952D01* +X134639934Y-120271066D01* +X134630048Y-120260159D01* +X134621280Y-120248336D01* +X134613712Y-120235710D01* +X134607418Y-120222403D01* +X134602459Y-120208543D01* +X134598882Y-120194264D01* +X134596722Y-120179703D01* +X134596000Y-120165000D01* +X134596000Y-119865000D01* +X134596722Y-119850297D01* +X134598882Y-119835736D01* +X134602459Y-119821457D01* +X134607418Y-119807597D01* +X134613712Y-119794290D01* +X134621280Y-119781664D01* +X134630048Y-119769841D01* +X134639934Y-119758934D01* +X134650841Y-119749048D01* +X134662664Y-119740280D01* +X134675290Y-119732712D01* +X134688597Y-119726418D01* +X134702457Y-119721459D01* +X134716736Y-119717882D01* +X134731297Y-119715722D01* +X134746000Y-119715000D01* +X136396000Y-119715000D01* +X136410703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X136410703Y-118445722D02* +G01* +X136425264Y-118447882D01* +X136439543Y-118451459D01* +X136453403Y-118456418D01* +X136466710Y-118462712D01* +X136479336Y-118470280D01* +X136491159Y-118479048D01* +X136502066Y-118488934D01* +X136511952Y-118499841D01* +X136520720Y-118511664D01* +X136528288Y-118524290D01* +X136534582Y-118537597D01* +X136539541Y-118551457D01* +X136543118Y-118565736D01* +X136545278Y-118580297D01* +X136546000Y-118595000D01* +X136546000Y-118895000D01* +X136545278Y-118909703D01* +X136543118Y-118924264D01* +X136539541Y-118938543D01* +X136534582Y-118952403D01* +X136528288Y-118965710D01* +X136520720Y-118978336D01* +X136511952Y-118990159D01* +X136502066Y-119001066D01* +X136491159Y-119010952D01* +X136479336Y-119019720D01* +X136466710Y-119027288D01* +X136453403Y-119033582D01* +X136439543Y-119038541D01* +X136425264Y-119042118D01* +X136410703Y-119044278D01* +X136396000Y-119045000D01* +X134746000Y-119045000D01* +X134731297Y-119044278D01* +X134716736Y-119042118D01* +X134702457Y-119038541D01* +X134688597Y-119033582D01* +X134675290Y-119027288D01* +X134662664Y-119019720D01* +X134650841Y-119010952D01* +X134639934Y-119001066D01* +X134630048Y-118990159D01* +X134621280Y-118978336D01* +X134613712Y-118965710D01* +X134607418Y-118952403D01* +X134602459Y-118938543D01* +X134598882Y-118924264D01* +X134596722Y-118909703D01* +X134596000Y-118895000D01* +X134596000Y-118595000D01* +X134596722Y-118580297D01* +X134598882Y-118565736D01* +X134602459Y-118551457D01* +X134607418Y-118537597D01* +X134613712Y-118524290D01* +X134621280Y-118511664D01* +X134630048Y-118499841D01* +X134639934Y-118488934D01* +X134650841Y-118479048D01* +X134662664Y-118470280D01* +X134675290Y-118462712D01* +X134688597Y-118456418D01* +X134702457Y-118451459D01* +X134716736Y-118447882D01* +X134731297Y-118445722D01* +X134746000Y-118445000D01* +X136396000Y-118445000D01* +X136410703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X131460703Y-115905722D02* +G01* +X131475264Y-115907882D01* +X131489543Y-115911459D01* +X131503403Y-115916418D01* +X131516710Y-115922712D01* +X131529336Y-115930280D01* +X131541159Y-115939048D01* +X131552066Y-115948934D01* +X131561952Y-115959841D01* +X131570720Y-115971664D01* +X131578288Y-115984290D01* +X131584582Y-115997597D01* +X131589541Y-116011457D01* +X131593118Y-116025736D01* +X131595278Y-116040297D01* +X131596000Y-116055000D01* +X131596000Y-116355000D01* +X131595278Y-116369703D01* +X131593118Y-116384264D01* +X131589541Y-116398543D01* +X131584582Y-116412403D01* +X131578288Y-116425710D01* +X131570720Y-116438336D01* +X131561952Y-116450159D01* +X131552066Y-116461066D01* +X131541159Y-116470952D01* +X131529336Y-116479720D01* +X131516710Y-116487288D01* +X131503403Y-116493582D01* +X131489543Y-116498541D01* +X131475264Y-116502118D01* +X131460703Y-116504278D01* +X131446000Y-116505000D01* +X129796000Y-116505000D01* +X129781297Y-116504278D01* +X129766736Y-116502118D01* +X129752457Y-116498541D01* +X129738597Y-116493582D01* +X129725290Y-116487288D01* +X129712664Y-116479720D01* +X129700841Y-116470952D01* +X129689934Y-116461066D01* +X129680048Y-116450159D01* +X129671280Y-116438336D01* +X129663712Y-116425710D01* +X129657418Y-116412403D01* +X129652459Y-116398543D01* +X129648882Y-116384264D01* +X129646722Y-116369703D01* +X129646000Y-116355000D01* +X129646000Y-116055000D01* +X129646722Y-116040297D01* +X129648882Y-116025736D01* +X129652459Y-116011457D01* +X129657418Y-115997597D01* +X129663712Y-115984290D01* +X129671280Y-115971664D01* +X129680048Y-115959841D01* +X129689934Y-115948934D01* +X129700841Y-115939048D01* +X129712664Y-115930280D01* +X129725290Y-115922712D01* +X129738597Y-115916418D01* +X129752457Y-115911459D01* +X129766736Y-115907882D01* +X129781297Y-115905722D01* +X129796000Y-115905000D01* +X131446000Y-115905000D01* +X131460703Y-115905722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X131460703Y-114635722D02* +G01* +X131475264Y-114637882D01* +X131489543Y-114641459D01* +X131503403Y-114646418D01* +X131516710Y-114652712D01* +X131529336Y-114660280D01* +X131541159Y-114669048D01* +X131552066Y-114678934D01* +X131561952Y-114689841D01* +X131570720Y-114701664D01* +X131578288Y-114714290D01* +X131584582Y-114727597D01* +X131589541Y-114741457D01* +X131593118Y-114755736D01* +X131595278Y-114770297D01* +X131596000Y-114785000D01* +X131596000Y-115085000D01* +X131595278Y-115099703D01* +X131593118Y-115114264D01* +X131589541Y-115128543D01* +X131584582Y-115142403D01* +X131578288Y-115155710D01* +X131570720Y-115168336D01* +X131561952Y-115180159D01* +X131552066Y-115191066D01* +X131541159Y-115200952D01* +X131529336Y-115209720D01* +X131516710Y-115217288D01* +X131503403Y-115223582D01* +X131489543Y-115228541D01* +X131475264Y-115232118D01* +X131460703Y-115234278D01* +X131446000Y-115235000D01* +X129796000Y-115235000D01* +X129781297Y-115234278D01* +X129766736Y-115232118D01* +X129752457Y-115228541D01* +X129738597Y-115223582D01* +X129725290Y-115217288D01* +X129712664Y-115209720D01* +X129700841Y-115200952D01* +X129689934Y-115191066D01* +X129680048Y-115180159D01* +X129671280Y-115168336D01* +X129663712Y-115155710D01* +X129657418Y-115142403D01* +X129652459Y-115128543D01* +X129648882Y-115114264D01* +X129646722Y-115099703D01* +X129646000Y-115085000D01* +X129646000Y-114785000D01* +X129646722Y-114770297D01* +X129648882Y-114755736D01* +X129652459Y-114741457D01* +X129657418Y-114727597D01* +X129663712Y-114714290D01* +X129671280Y-114701664D01* +X129680048Y-114689841D01* +X129689934Y-114678934D01* +X129700841Y-114669048D01* +X129712664Y-114660280D01* +X129725290Y-114652712D01* +X129738597Y-114646418D01* +X129752457Y-114641459D01* +X129766736Y-114637882D01* +X129781297Y-114635722D01* +X129796000Y-114635000D01* +X131446000Y-114635000D01* +X131460703Y-114635722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X131460703Y-118445722D02* +G01* +X131475264Y-118447882D01* +X131489543Y-118451459D01* +X131503403Y-118456418D01* +X131516710Y-118462712D01* +X131529336Y-118470280D01* +X131541159Y-118479048D01* +X131552066Y-118488934D01* +X131561952Y-118499841D01* +X131570720Y-118511664D01* +X131578288Y-118524290D01* +X131584582Y-118537597D01* +X131589541Y-118551457D01* +X131593118Y-118565736D01* +X131595278Y-118580297D01* +X131596000Y-118595000D01* +X131596000Y-118895000D01* +X131595278Y-118909703D01* +X131593118Y-118924264D01* +X131589541Y-118938543D01* +X131584582Y-118952403D01* +X131578288Y-118965710D01* +X131570720Y-118978336D01* +X131561952Y-118990159D01* +X131552066Y-119001066D01* +X131541159Y-119010952D01* +X131529336Y-119019720D01* +X131516710Y-119027288D01* +X131503403Y-119033582D01* +X131489543Y-119038541D01* +X131475264Y-119042118D01* +X131460703Y-119044278D01* +X131446000Y-119045000D01* +X129796000Y-119045000D01* +X129781297Y-119044278D01* +X129766736Y-119042118D01* +X129752457Y-119038541D01* +X129738597Y-119033582D01* +X129725290Y-119027288D01* +X129712664Y-119019720D01* +X129700841Y-119010952D01* +X129689934Y-119001066D01* +X129680048Y-118990159D01* +X129671280Y-118978336D01* +X129663712Y-118965710D01* +X129657418Y-118952403D01* +X129652459Y-118938543D01* +X129648882Y-118924264D01* +X129646722Y-118909703D01* +X129646000Y-118895000D01* +X129646000Y-118595000D01* +X129646722Y-118580297D01* +X129648882Y-118565736D01* +X129652459Y-118551457D01* +X129657418Y-118537597D01* +X129663712Y-118524290D01* +X129671280Y-118511664D01* +X129680048Y-118499841D01* +X129689934Y-118488934D01* +X129700841Y-118479048D01* +X129712664Y-118470280D01* +X129725290Y-118462712D01* +X129738597Y-118456418D01* +X129752457Y-118451459D01* +X129766736Y-118447882D01* +X129781297Y-118445722D01* +X129796000Y-118445000D01* +X131446000Y-118445000D01* +X131460703Y-118445722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X131460703Y-117175722D02* +G01* +X131475264Y-117177882D01* +X131489543Y-117181459D01* +X131503403Y-117186418D01* +X131516710Y-117192712D01* +X131529336Y-117200280D01* +X131541159Y-117209048D01* +X131552066Y-117218934D01* +X131561952Y-117229841D01* +X131570720Y-117241664D01* +X131578288Y-117254290D01* +X131584582Y-117267597D01* +X131589541Y-117281457D01* +X131593118Y-117295736D01* +X131595278Y-117310297D01* +X131596000Y-117325000D01* +X131596000Y-117625000D01* +X131595278Y-117639703D01* +X131593118Y-117654264D01* +X131589541Y-117668543D01* +X131584582Y-117682403D01* +X131578288Y-117695710D01* +X131570720Y-117708336D01* +X131561952Y-117720159D01* +X131552066Y-117731066D01* +X131541159Y-117740952D01* +X131529336Y-117749720D01* +X131516710Y-117757288D01* +X131503403Y-117763582D01* +X131489543Y-117768541D01* +X131475264Y-117772118D01* +X131460703Y-117774278D01* +X131446000Y-117775000D01* +X129796000Y-117775000D01* +X129781297Y-117774278D01* +X129766736Y-117772118D01* +X129752457Y-117768541D01* +X129738597Y-117763582D01* +X129725290Y-117757288D01* +X129712664Y-117749720D01* +X129700841Y-117740952D01* +X129689934Y-117731066D01* +X129680048Y-117720159D01* +X129671280Y-117708336D01* +X129663712Y-117695710D01* +X129657418Y-117682403D01* +X129652459Y-117668543D01* +X129648882Y-117654264D01* +X129646722Y-117639703D01* +X129646000Y-117625000D01* +X129646000Y-117325000D01* +X129646722Y-117310297D01* +X129648882Y-117295736D01* +X129652459Y-117281457D01* +X129657418Y-117267597D01* +X129663712Y-117254290D01* +X129671280Y-117241664D01* +X129680048Y-117229841D01* +X129689934Y-117218934D01* +X129700841Y-117209048D01* +X129712664Y-117200280D01* +X129725290Y-117192712D01* +X129738597Y-117186418D01* +X129752457Y-117181459D01* +X129766736Y-117177882D01* +X129781297Y-117175722D01* +X129796000Y-117175000D01* +X131446000Y-117175000D01* +X131460703Y-117175722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X131460703Y-119715722D02* +G01* +X131475264Y-119717882D01* +X131489543Y-119721459D01* +X131503403Y-119726418D01* +X131516710Y-119732712D01* +X131529336Y-119740280D01* +X131541159Y-119749048D01* +X131552066Y-119758934D01* +X131561952Y-119769841D01* +X131570720Y-119781664D01* +X131578288Y-119794290D01* +X131584582Y-119807597D01* +X131589541Y-119821457D01* +X131593118Y-119835736D01* +X131595278Y-119850297D01* +X131596000Y-119865000D01* +X131596000Y-120165000D01* +X131595278Y-120179703D01* +X131593118Y-120194264D01* +X131589541Y-120208543D01* +X131584582Y-120222403D01* +X131578288Y-120235710D01* +X131570720Y-120248336D01* +X131561952Y-120260159D01* +X131552066Y-120271066D01* +X131541159Y-120280952D01* +X131529336Y-120289720D01* +X131516710Y-120297288D01* +X131503403Y-120303582D01* +X131489543Y-120308541D01* +X131475264Y-120312118D01* +X131460703Y-120314278D01* +X131446000Y-120315000D01* +X129796000Y-120315000D01* +X129781297Y-120314278D01* +X129766736Y-120312118D01* +X129752457Y-120308541D01* +X129738597Y-120303582D01* +X129725290Y-120297288D01* +X129712664Y-120289720D01* +X129700841Y-120280952D01* +X129689934Y-120271066D01* +X129680048Y-120260159D01* +X129671280Y-120248336D01* +X129663712Y-120235710D01* +X129657418Y-120222403D01* +X129652459Y-120208543D01* +X129648882Y-120194264D01* +X129646722Y-120179703D01* +X129646000Y-120165000D01* +X129646000Y-119865000D01* +X129646722Y-119850297D01* +X129648882Y-119835736D01* +X129652459Y-119821457D01* +X129657418Y-119807597D01* +X129663712Y-119794290D01* +X129671280Y-119781664D01* +X129680048Y-119769841D01* +X129689934Y-119758934D01* +X129700841Y-119749048D01* +X129712664Y-119740280D01* +X129725290Y-119732712D01* +X129738597Y-119726418D01* +X129752457Y-119721459D01* +X129766736Y-119717882D01* +X129781297Y-119715722D01* +X129796000Y-119715000D01* +X131446000Y-119715000D01* +X131460703Y-119715722D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X124053329Y-128841023D02* +G01* +X124073957Y-128844083D01* +X124094185Y-128849150D01* +X124113820Y-128856176D01* +X124132672Y-128865092D01* +X124150559Y-128875813D01* +X124167309Y-128888235D01* +X124182760Y-128902240D01* +X124196765Y-128917691D01* +X124209187Y-128934441D01* +X124219908Y-128952328D01* +X124228824Y-128971180D01* +X124235850Y-128990815D01* +X124240917Y-129011043D01* +X124243977Y-129031671D01* +X124245000Y-129052500D01* +X124245000Y-130027500D01* +X124243977Y-130048329D01* +X124240917Y-130068957D01* +X124235850Y-130089185D01* +X124228824Y-130108820D01* +X124219908Y-130127672D01* +X124209187Y-130145559D01* +X124196765Y-130162309D01* +X124182760Y-130177760D01* +X124167309Y-130191765D01* +X124150559Y-130204187D01* +X124132672Y-130214908D01* +X124113820Y-130223824D01* +X124094185Y-130230850D01* +X124073957Y-130235917D01* +X124053329Y-130238977D01* +X124032500Y-130240000D01* +X123607500Y-130240000D01* +X123586671Y-130238977D01* +X123566043Y-130235917D01* +X123545815Y-130230850D01* +X123526180Y-130223824D01* +X123507328Y-130214908D01* +X123489441Y-130204187D01* +X123472691Y-130191765D01* +X123457240Y-130177760D01* +X123443235Y-130162309D01* +X123430813Y-130145559D01* +X123420092Y-130127672D01* +X123411176Y-130108820D01* +X123404150Y-130089185D01* +X123399083Y-130068957D01* +X123396023Y-130048329D01* +X123395000Y-130027500D01* +X123395000Y-129052500D01* +X123396023Y-129031671D01* +X123399083Y-129011043D01* +X123404150Y-128990815D01* +X123411176Y-128971180D01* +X123420092Y-128952328D01* +X123430813Y-128934441D01* +X123443235Y-128917691D01* +X123457240Y-128902240D01* +X123472691Y-128888235D01* +X123489441Y-128875813D01* +X123507328Y-128865092D01* +X123526180Y-128856176D01* +X123545815Y-128849150D01* +X123566043Y-128844083D01* +X123586671Y-128841023D01* +X123607500Y-128840000D01* +X124032500Y-128840000D01* +X124053329Y-128841023D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X122153329Y-128841023D02* +G01* +X122173957Y-128844083D01* +X122194185Y-128849150D01* +X122213820Y-128856176D01* +X122232672Y-128865092D01* +X122250559Y-128875813D01* +X122267309Y-128888235D01* +X122282760Y-128902240D01* +X122296765Y-128917691D01* +X122309187Y-128934441D01* +X122319908Y-128952328D01* +X122328824Y-128971180D01* +X122335850Y-128990815D01* +X122340917Y-129011043D01* +X122343977Y-129031671D01* +X122345000Y-129052500D01* +X122345000Y-130027500D01* +X122343977Y-130048329D01* +X122340917Y-130068957D01* +X122335850Y-130089185D01* +X122328824Y-130108820D01* +X122319908Y-130127672D01* +X122309187Y-130145559D01* +X122296765Y-130162309D01* +X122282760Y-130177760D01* +X122267309Y-130191765D01* +X122250559Y-130204187D01* +X122232672Y-130214908D01* +X122213820Y-130223824D01* +X122194185Y-130230850D01* +X122173957Y-130235917D01* +X122153329Y-130238977D01* +X122132500Y-130240000D01* +X121707500Y-130240000D01* +X121686671Y-130238977D01* +X121666043Y-130235917D01* +X121645815Y-130230850D01* +X121626180Y-130223824D01* +X121607328Y-130214908D01* +X121589441Y-130204187D01* +X121572691Y-130191765D01* +X121557240Y-130177760D01* +X121543235Y-130162309D01* +X121530813Y-130145559D01* +X121520092Y-130127672D01* +X121511176Y-130108820D01* +X121504150Y-130089185D01* +X121499083Y-130068957D01* +X121496023Y-130048329D01* +X121495000Y-130027500D01* +X121495000Y-129052500D01* +X121496023Y-129031671D01* +X121499083Y-129011043D01* +X121504150Y-128990815D01* +X121511176Y-128971180D01* +X121520092Y-128952328D01* +X121530813Y-128934441D01* +X121543235Y-128917691D01* +X121557240Y-128902240D01* +X121572691Y-128888235D01* +X121589441Y-128875813D01* +X121607328Y-128865092D01* +X121626180Y-128856176D01* +X121645815Y-128849150D01* +X121666043Y-128844083D01* +X121686671Y-128841023D01* +X121707500Y-128840000D01* +X122132500Y-128840000D01* +X122153329Y-128841023D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X79330229Y-119491264D02* +G01* +X79355711Y-119495044D01* +X79380700Y-119501303D01* +X79404954Y-119509982D01* +X79428242Y-119520996D01* +X79450337Y-119534239D01* +X79471028Y-119549585D01* +X79490116Y-119566884D01* +X79507415Y-119585972D01* +X79522761Y-119606663D01* +X79536004Y-119628758D01* +X79547018Y-119652046D01* +X79555697Y-119676300D01* +X79561956Y-119701289D01* +X79565736Y-119726771D01* +X79567000Y-119752500D01* +X79567000Y-120277500D01* +X79565736Y-120303229D01* +X79561956Y-120328711D01* +X79555697Y-120353700D01* +X79547018Y-120377954D01* +X79536004Y-120401242D01* +X79522761Y-120423337D01* +X79507415Y-120444028D01* +X79490116Y-120463116D01* +X79471028Y-120480415D01* +X79450337Y-120495761D01* +X79428242Y-120509004D01* +X79404954Y-120520018D01* +X79380700Y-120528697D01* +X79355711Y-120534956D01* +X79330229Y-120538736D01* +X79304500Y-120540000D01* +X78429500Y-120540000D01* +X78403771Y-120538736D01* +X78378289Y-120534956D01* +X78353300Y-120528697D01* +X78329046Y-120520018D01* +X78305758Y-120509004D01* +X78283663Y-120495761D01* +X78262972Y-120480415D01* +X78243884Y-120463116D01* +X78226585Y-120444028D01* +X78211239Y-120423337D01* +X78197996Y-120401242D01* +X78186982Y-120377954D01* +X78178303Y-120353700D01* +X78172044Y-120328711D01* +X78168264Y-120303229D01* +X78167000Y-120277500D01* +X78167000Y-119752500D01* +X78168264Y-119726771D01* +X78172044Y-119701289D01* +X78178303Y-119676300D01* +X78186982Y-119652046D01* +X78197996Y-119628758D01* +X78211239Y-119606663D01* +X78226585Y-119585972D01* +X78243884Y-119566884D01* +X78262972Y-119549585D01* +X78283663Y-119534239D01* +X78305758Y-119520996D01* +X78329046Y-119509982D01* +X78353300Y-119501303D01* +X78378289Y-119495044D01* +X78403771Y-119491264D01* +X78429500Y-119490000D01* +X79304500Y-119490000D01* +X79330229Y-119491264D01* +G37* +G04 #@! TD.AperFunction* +G04 #@! TA.AperFunction,SMDPad,CuDef* +G36* +X79330229Y-117791264D02* +G01* +X79355711Y-117795044D01* +X79380700Y-117801303D01* +X79404954Y-117809982D01* +X79428242Y-117820996D01* +X79450337Y-117834239D01* +X79471028Y-117849585D01* +X79490116Y-117866884D01* +X79507415Y-117885972D01* +X79522761Y-117906663D01* +X79536004Y-117928758D01* +X79547018Y-117952046D01* +X79555697Y-117976300D01* +X79561956Y-118001289D01* +X79565736Y-118026771D01* +X79567000Y-118052500D01* +X79567000Y-118577500D01* +X79565736Y-118603229D01* +X79561956Y-118628711D01* +X79555697Y-118653700D01* +X79547018Y-118677954D01* +X79536004Y-118701242D01* +X79522761Y-118723337D01* +X79507415Y-118744028D01* +X79490116Y-118763116D01* +X79471028Y-118780415D01* +X79450337Y-118795761D01* +X79428242Y-118809004D01* +X79404954Y-118820018D01* +X79380700Y-118828697D01* +X79355711Y-118834956D01* +X79330229Y-118838736D01* +X79304500Y-118840000D01* +X78429500Y-118840000D01* +X78403771Y-118838736D01* +X78378289Y-118834956D01* +X78353300Y-118828697D01* +X78329046Y-118820018D01* +X78305758Y-118809004D01* +X78283663Y-118795761D01* +X78262972Y-118780415D01* +X78243884Y-118763116D01* +X78226585Y-118744028D01* +X78211239Y-118723337D01* +X78197996Y-118701242D01* +X78186982Y-118677954D01* +X78178303Y-118653700D01* +X78172044Y-118628711D01* +X78168264Y-118603229D01* +X78167000Y-118577500D01* +X78167000Y-118052500D01* +X78168264Y-118026771D01* +X78172044Y-118001289D01* +X78178303Y-117976300D01* +X78186982Y-117952046D01* +X78197996Y-117928758D01* +X78211239Y-117906663D01* +X78226585Y-117885972D01* +X78243884Y-117866884D01* +X78262972Y-117849585D01* +X78283663Y-117834239D01* +X78305758Y-117820996D01* +X78329046Y-117809982D01* +X78353300Y-117801303D01* +X78378289Y-117795044D01* +X78403771Y-117791264D01* +X78429500Y-117790000D01* +X79304500Y-117790000D01* +X79330229Y-117791264D01* +G37* +G04 #@! TD.AperFunction* +D13* +X91694000Y-127635000D03* +D14* +X97409000Y-127635000D03* +D15* +X137160000Y-129540000D03* +D14* +X137160000Y-126619000D03* +D13* +X121031000Y-111379000D03* +X121031000Y-114554000D03* +X121031000Y-108839000D03* +X122174000Y-110109000D03* +X136652000Y-112776000D03* +X135572500Y-111506000D03* +X135572500Y-114046000D03* +X133985000Y-114935000D03* +X137160000Y-114935000D03* +X94234000Y-127635000D03* +X83439000Y-120015000D03* +X81851500Y-120904000D03* +X80264000Y-120015000D03* +D14* +X125603000Y-129540000D03* +D13* +X68834000Y-114681000D03* +X68834000Y-124841000D03* +X117983000Y-105029000D03* +X112903000Y-105029000D03* +X107823000Y-105029000D03* +X102743000Y-105029000D03* +X97663000Y-105029000D03* +X92583000Y-105029000D03* +X69977000Y-131064000D03* +X68834000Y-129921000D03* +X138430000Y-106172000D03* +X137287000Y-105029000D03* +D14* +X102870000Y-131318000D03* +X105410000Y-131318000D03* +X107950000Y-131318000D03* +X110490000Y-131318000D03* +X113030000Y-131318000D03* +X115570000Y-131318000D03* +X118110000Y-131318000D03* +X100330000Y-131318000D03* +X77470000Y-131318000D03* +D13* +X138430000Y-110236000D03* +X133223000Y-105029000D03* +X128143000Y-105029000D03* +X123063000Y-105029000D03* +D14* +X120650000Y-131318000D03* +X125730000Y-131318000D03* +X128270000Y-131318000D03* +X130810000Y-131318000D03* +X133350000Y-131318000D03* +X135890000Y-131318000D03* +X123190000Y-131318000D03* +D13* +X71374000Y-127381000D03* +D14* +X138430000Y-131318000D03* +X82550000Y-131318000D03* +X80010000Y-131318000D03* +X95250000Y-131318000D03* +X97790000Y-131318000D03* +X92710000Y-131318000D03* +X90170000Y-131318000D03* +X87630000Y-131318000D03* +D13* +X78486000Y-105029000D03* +X87503000Y-105029000D03* +X91694000Y-125984000D03* +X92964000Y-124841000D03* +D14* +X94234000Y-117475000D03* +X97409000Y-117475000D03* +D13* +X135509000Y-126619000D03* +X134366000Y-127889000D03* +X135509000Y-129159000D03* +X118491000Y-125730000D03* +X118237000Y-110109000D03* +X119380000Y-108839000D03* +D14* +X74930000Y-131318000D03* +D13* +X77851000Y-129159000D03* +X77978000Y-126619000D03* +X78994000Y-127889000D03* +X107315000Y-108585000D03* +X110617000Y-108585000D03* +X82423000Y-105029000D03* +X72009000Y-111506000D03* +X75311000Y-108204000D03* +X68834000Y-119761000D03* +X138430000Y-115316000D03* +X138430000Y-120396000D03* +X138430000Y-125476000D03* +D14* +X98425000Y-112395000D03* +X98425000Y-111125000D03* +X98425000Y-109855000D03* +X115316000Y-126619000D03* +X116586000Y-128524000D03* +X102108000Y-113030000D03* +X88900000Y-118745000D03* +X111379000Y-123190000D03* +X111379000Y-121920000D03* +D13* +X133858000Y-111506000D03* +X132778500Y-112776000D03* +X129032000Y-122555000D03* +X132207000Y-122555000D03* +X130619500Y-123444000D03* +D14* +X100203000Y-113030000D03* +D13* +X108902500Y-107696000D03* +X93980000Y-109220000D03* +X92710000Y-108585000D03* +X89535000Y-108585000D03* +X91122500Y-107696000D03* +D14* +X93472000Y-114300000D03* +D13* +X76200000Y-118300500D03* +D14* +X93878400Y-118592600D03* +X93472000Y-123634500D03* +X110617000Y-113665000D03* +X110617000Y-114935000D03* +X110617000Y-116205000D03* +X110617000Y-112395000D03* +X110617000Y-111125000D03* +X110617000Y-109855000D03* +X106553000Y-113665000D03* +X89535000Y-124714000D03* +X88138000Y-126111000D03* +X89789000Y-127762000D03* +X85090000Y-128778000D03* +X80200500Y-128333500D03* +X93497400Y-129997200D03* +X103505000Y-122301000D03* +X99822000Y-119888000D03* +X101473000Y-111760000D03* +X103378000Y-111760000D03* +D13* +X72644000Y-117094000D03* +D14* +X113601500Y-126619000D03* +X112331500Y-128905000D03* +X115570000Y-109855000D03* +X114300000Y-111125000D03* +X105410000Y-125730000D03* +X123317000Y-127000000D03* +X127889000Y-127000000D03* +X89027000Y-107188000D03* +X86487000Y-118110000D03* +X84455000Y-118110000D03* +X84455000Y-119380000D03* +X83947000Y-121920000D03* +X79629000Y-123444000D03* +X93218000Y-121031000D03* +X88328500Y-128841500D03* +X102870000Y-128905000D03* +X106108500Y-120904000D03* +X105410000Y-123190000D03* +X102870000Y-124460000D03* +X102870000Y-127635000D03* +X81343500Y-129032000D03* +D13* +X129032000Y-114935000D03* +X132207000Y-114935000D03* +X130619500Y-114046000D03* +D14* +X132080000Y-118745000D03* +X134112000Y-121285000D03* +X133858000Y-116205000D03* +X129159000Y-118745000D03* +X118491000Y-123126500D03* +X123698000Y-107696000D03* +X118364000Y-107696000D03* +X102743000Y-110490000D03* +X103378000Y-113665000D03* +X103378000Y-114935000D03* +X102743000Y-116840000D03* +X98488500Y-123571000D03* +X86804500Y-114300000D03* +X80264000Y-117475000D03* +X80264000Y-116205000D03* +X97409000Y-122555000D03* +X112903000Y-117475000D03* +X97409000Y-121285000D03* +X112903000Y-116205000D03* +X112903000Y-114935000D03* +X97409000Y-120015000D03* +X115951000Y-111887000D03* +X97409000Y-118745000D03* +X80264000Y-109855000D03* +X80264000Y-114935000D03* +X80264000Y-108585000D03* +X80264000Y-111125000D03* +X80264000Y-112395000D03* +X80264000Y-113665000D03* +D15* +X76200000Y-129540000D03* +D14* +X110490000Y-122555000D03* +X112903000Y-121285000D03* +X121031000Y-125603000D03* +X121920000Y-130683000D03* +X117221000Y-111887000D03* +X118491000Y-111887000D03* +X132080000Y-131064000D03* +X134620000Y-131064000D03* +X97409000Y-123825000D03* +X117221000Y-125603000D03* +X123571000Y-125603000D03* +X110490000Y-125095000D03* +X110490000Y-126365000D03* +X122301000Y-125603000D03* +X126619000Y-122555000D03* +X110490000Y-123825000D03* +X110490000Y-121285000D03* +X126619000Y-120015000D03* +D16* +X92964000Y-127635000D02* +X91694000Y-127635000D01* +D17* +X95812000Y-127635000D02* +X97409000Y-127635000D01* +D18* +X137160000Y-135382000D02* +X137160000Y-129540000D01* +D16* +X137160000Y-127889000D02* +X137160000Y-126619000D01* +D17* +X121031000Y-113177500D02* +X121031000Y-111760000D01* +X121031000Y-113177500D02* +X121031000Y-114554000D01* +D16* +X121031000Y-110109000D02* +X121031000Y-108839000D01* +X121031000Y-110109000D02* +X122174000Y-110109000D01* +X121031000Y-110109000D02* +X121031000Y-111379000D01* +D17* +X97663000Y-127381000D02* +X97409000Y-127635000D01* +X97663000Y-125603000D02* +X97663000Y-127381000D01* +X97155000Y-125095000D02* +X97663000Y-125603000D01* +X95812000Y-125095000D02* +X97155000Y-125095000D01* +D16* +X135572500Y-112776000D02* +X136652000Y-112776000D01* +X135572500Y-112776000D02* +X135572500Y-111506000D01* +D17* +X135572500Y-114933500D02* +X135572500Y-114046000D01* +X135571000Y-114935000D02* +X133985000Y-114935000D01* +X135571000Y-114935000D02* +X137160000Y-114935000D01* +D16* +X135572500Y-114046000D02* +X135572500Y-112776000D01* +D17* +X95812000Y-127635000D02* +X94234000Y-127635000D01* +D16* +X94234000Y-127635000D02* +X92964000Y-127635000D01* +D17* +X81837000Y-120015000D02* +X83439000Y-120015000D01* +X81837000Y-120889500D02* +X81851500Y-120904000D01* +X81837000Y-120015000D02* +X81837000Y-120889500D01* +X81837000Y-120015000D02* +X80264000Y-120015000D01* +D16* +X80264000Y-120015000D02* +X78867000Y-120015000D01* +X137160000Y-127889000D02* +X137160000Y-129540000D01* +D17* +X123820000Y-129540000D02* +X125603000Y-129540000D01* +D16* +X91743000Y-125935000D02* +X91694000Y-125984000D01* +X92964000Y-125935000D02* +X91743000Y-125935000D01* +X92964000Y-125935000D02* +X92964000Y-124841000D01* +D17* +X95812000Y-117475000D02* +X94234000Y-117475000D01* +X95812000Y-117475000D02* +X97409000Y-117475000D01* +D16* +X135460000Y-126668000D02* +X135509000Y-126619000D01* +X135460000Y-127889000D02* +X135460000Y-126668000D01* +X135460000Y-127889000D02* +X134366000Y-127889000D01* +X135460000Y-129110000D02* +X135509000Y-129159000D01* +X135460000Y-127889000D02* +X135460000Y-129110000D01* +D17* +X118491000Y-124312500D02* +X118491000Y-125730000D01* +D16* +X119331000Y-110109000D02* +X118237000Y-110109000D01* +X119331000Y-108888000D02* +X119380000Y-108839000D01* +X119331000Y-110109000D02* +X119331000Y-108888000D01* +X77900000Y-129110000D02* +X77851000Y-129159000D01* +X77900000Y-127889000D02* +X77900000Y-129110000D01* +X77900000Y-126697000D02* +X77978000Y-126619000D01* +X77900000Y-127889000D02* +X77900000Y-126697000D01* +X77900000Y-127889000D02* +X78994000Y-127889000D01* +D17* +X108912000Y-108585000D02* +X107315000Y-108585000D01* +X108912000Y-108585000D02* +X110617000Y-108585000D01* +D16* +X133872500Y-112776000D02* +X133872500Y-111506000D01* +X133872500Y-112776000D02* +X132778500Y-112776000D01* +D17* +X130621000Y-122555000D02* +X129032000Y-122555000D01* +X130621000Y-122555000D02* +X132207000Y-122555000D01* +X130621000Y-123442500D02* +X130619500Y-123444000D01* +X130621000Y-122555000D02* +X130621000Y-123442500D01* +X108912000Y-107705500D02* +X108902500Y-107696000D01* +X108912000Y-108585000D02* +X108912000Y-107705500D01* +X91137000Y-108585000D02* +X92710000Y-108585000D01* +X91137000Y-108585000D02* +X89535000Y-108585000D01* +X91137000Y-107710500D02* +X91122500Y-107696000D01* +X91137000Y-108585000D02* +X91137000Y-107710500D01* +D16* +X76214500Y-118315000D02* +X78867000Y-118315000D01* +X76200000Y-118300500D02* +X76214500Y-118315000D01* +X78867000Y-118315000D02* +X78867000Y-117602000D01* +X78867000Y-118315000D02* +X79834000Y-118315000D01* +D17* +X130621000Y-114935000D02* +X129032000Y-114935000D01* +X130621000Y-114935000D02* +X132207000Y-114935000D01* +X130621000Y-114047500D02* +X130619500Y-114046000D01* +X130621000Y-114935000D02* +X130621000Y-114047500D01* +X130621000Y-118745000D02* +X132080000Y-118745000D01* +X135571000Y-121285000D02* +X134112000Y-121285000D01* +X135571000Y-116205000D02* +X133858000Y-116205000D01* +X130621000Y-118745000D02* +X129159000Y-118745000D01* +X118491000Y-124312500D02* +X118491000Y-123126500D01* +D19* +X92646500Y-124142500D02* +X88900000Y-127889000D01* +X94234000Y-125095000D02* +X93281500Y-124142500D01* +X93281500Y-124142500D02* +X92646500Y-124142500D01* +X94234000Y-125984000D02* +X94234000Y-125095000D01* +X88900000Y-127889000D02* +X88900000Y-135282000D01* +X94615000Y-126365000D02* +X94234000Y-125984000D01* +X95812000Y-126365000D02* +X94615000Y-126365000D01* +X81837000Y-117475000D02* +X80264000Y-117475000D01* +X81837000Y-116205000D02* +X80264000Y-116205000D01* +X100965000Y-129286000D02* +X99060000Y-131191000D01* +X100965000Y-122682000D02* +X100965000Y-129286000D01* +X107442000Y-116205000D02* +X100965000Y-122682000D01* +X99060000Y-131191000D02* +X99060000Y-135382000D01* +X108912000Y-116205000D02* +X107442000Y-116205000D01* +X96520000Y-131191000D02* +X96520000Y-135382000D01* +X98806000Y-130556000D02* +X97155000Y-130556000D01* +X100330000Y-122047000D02* +X100330000Y-129032000D01* +X107442000Y-114935000D02* +X100330000Y-122047000D01* +X97155000Y-130556000D02* +X96520000Y-131191000D01* +X100330000Y-129032000D02* +X98806000Y-130556000D01* +X108912000Y-114935000D02* +X107442000Y-114935000D01* +X95377000Y-129794000D02* +X93980000Y-131191000D01* +X98679000Y-129794000D02* +X95377000Y-129794000D01* +X99695000Y-121443750D02* +X99695000Y-128778000D01* +X93980000Y-131191000D02* +X93980000Y-135382000D01* +X107473750Y-113665000D02* +X99695000Y-121443750D01* +X99695000Y-128778000D02* +X98679000Y-129794000D01* +X108912000Y-113665000D02* +X107473750Y-113665000D01* +X93599000Y-129032000D02* +X91440000Y-131191000D01* +X98552000Y-129032000D02* +X93599000Y-129032000D01* +X99060000Y-128524000D02* +X98552000Y-129032000D01* +X99060000Y-118237000D02* +X99060000Y-128524000D01* +X97028000Y-116205000D02* +X99060000Y-118237000D01* +X91440000Y-131191000D02* +X91440000Y-135382000D01* +X95812000Y-116205000D02* +X97028000Y-116205000D01* +X114198500Y-117475000D02* +X112903000Y-117475000D01* +X95812000Y-122555000D02* +X97409000Y-122555000D01* +X86360000Y-131191000D02* +X86360000Y-135382000D01* +X86360000Y-129997934D02* +X86360000Y-131191000D01* +X93802934Y-122555000D02* +X86360000Y-129997934D01* +X95812000Y-122555000D02* +X93802934Y-122555000D01* +X95812000Y-121285000D02* +X97409000Y-121285000D01* +X114198500Y-116205000D02* +X112903000Y-116205000D01* +X83820000Y-131318000D02* +X83820000Y-135382000D01* +X83820000Y-131191000D02* +X83820000Y-131318000D01* +X94641868Y-121285000D02* +X85396268Y-130530600D01* +X84480400Y-130530600D02* +X83820000Y-131191000D01* +X85396268Y-130530600D02* +X84480400Y-130530600D01* +X95812000Y-121285000D02* +X94641868Y-121285000D01* +X114198500Y-114935000D02* +X112903000Y-114935000D01* +X95812000Y-120015000D02* +X97409000Y-120015000D01* +X94424500Y-120015000D02* +X95812000Y-120015000D01* +X94107000Y-120332500D02* +X94424500Y-120015000D01* +X94107000Y-121388802D02* +X94107000Y-120332500D01* +X85270002Y-130225800D02* +X94107000Y-121388802D01* +X82372200Y-130225800D02* +X85270002Y-130225800D01* +X81280000Y-131318000D02* +X82372200Y-130225800D01* +X81280000Y-135382000D02* +X81280000Y-131318000D01* +X115951000Y-113177500D02* +X115951000Y-111887000D01* +X95812000Y-118745000D02* +X97409000Y-118745000D01* +X78740000Y-131318000D02* +X78740000Y-135382000D01* +X80137000Y-129921000D02* +X78740000Y-131318000D01* +X85143736Y-129921000D02* +X80137000Y-129921000D01* +X93789500Y-121275236D02* +X85143736Y-129921000D01* +X93789500Y-119697500D02* +X93789500Y-121275236D01* +X94107000Y-119380000D02* +X93789500Y-119697500D01* +X94107000Y-119126000D02* +X94107000Y-119380000D01* +X94488000Y-118745000D02* +X94107000Y-119126000D01* +X95812000Y-118745000D02* +X94488000Y-118745000D01* +X101600000Y-123317000D02* +X107442000Y-117475000D01* +X107442000Y-117475000D02* +X108912000Y-117475000D01* +X101600000Y-135382000D02* +X101600000Y-123317000D01* +X81837000Y-109855000D02* +X80264000Y-109855000D01* +X81837000Y-114935000D02* +X80264000Y-114935000D01* +X81837000Y-108585000D02* +X80264000Y-108585000D01* +X81837000Y-111125000D02* +X80264000Y-111125000D01* +X81837000Y-112395000D02* +X80264000Y-112395000D01* +X81837000Y-113665000D02* +X80264000Y-113665000D01* +X107442000Y-118745000D02* +X108912000Y-118745000D01* +X104140000Y-122047000D02* +X107442000Y-118745000D01* +X104140000Y-135282000D02* +X104140000Y-122047000D01* +D16* +X76200000Y-127889000D02* +X76200000Y-129540000D01* +D19* +X107442000Y-120015000D02* +X108912000Y-120015000D01* +X106680000Y-120777000D02* +X107442000Y-120015000D01* +X106680000Y-135282000D02* +X106680000Y-120777000D01* +X112903000Y-120015000D02* +X112014000Y-120904000D01* +X112014000Y-120904000D02* +X112014000Y-128397000D01* +X114198500Y-120015000D02* +X112903000Y-120015000D01* +X112014000Y-128397000D02* +X109220000Y-131191000D01* +X109220000Y-131191000D02* +X109220000Y-135382000D01* +X108912000Y-122555000D02* +X110490000Y-122555000D01* +X114198500Y-121285000D02* +X112903000Y-121285000D01* +X111760000Y-131206875D02* +X112903000Y-130063875D01* +X112903000Y-130063875D02* +X112903000Y-121285000D01* +X111760000Y-135382000D02* +X111760000Y-131206875D01* +X114300000Y-122656500D02* +X114198500Y-122555000D01* +X114300000Y-135282000D02* +X114300000Y-122656500D01* +X115951000Y-130302000D02* +X115951000Y-124312500D01* +X116840000Y-131191000D02* +X115951000Y-130302000D01* +X116840000Y-135382000D02* +X116840000Y-131191000D01* +X121031000Y-125603000D02* +X121031000Y-124312500D01* +X121915000Y-130678000D02* +X121915000Y-129603500D01* +X121920000Y-130683000D02* +X121915000Y-130678000D01* +X121920000Y-128397000D02* +X121920000Y-129540000D01* +X122682000Y-127635000D02* +X121920000Y-128397000D01* +X131445000Y-127635000D02* +X122682000Y-127635000D01* +X135571000Y-123509000D02* +X131445000Y-127635000D01* +X135571000Y-122555000D02* +X135571000Y-123509000D01* +X117221000Y-113177500D02* +X117221000Y-111887000D01* +X118491000Y-113177500D02* +X118491000Y-111887000D01* +X132080000Y-131064000D02* +X132080000Y-135382000D01* +X134620000Y-131064000D02* +X134620000Y-135382000D01* +X97409000Y-123825000D02* +X95812000Y-123825000D01* +X119380000Y-131191000D02* +X119380000Y-135382000D01* +X117221000Y-129032000D02* +X119380000Y-131191000D01* +X117221000Y-125603000D02* +X117221000Y-124312500D01* +X117221000Y-125603000D02* +X117221000Y-129032000D01* +X93662500Y-118046500D02* +X93345000Y-118364000D01* +X94043500Y-118046500D02* +X93662500Y-118046500D01* +X92583000Y-120015000D02* +X91137000Y-120015000D01* +X98298000Y-118618000D02* +X97790000Y-118110000D01* +X94107000Y-118110000D02* +X94043500Y-118046500D01* +X93345000Y-119253000D02* +X92583000Y-120015000D01* +X97790000Y-118110000D02* +X94107000Y-118110000D01* +X98298000Y-122936000D02* +X98298000Y-118618000D01* +X93345000Y-118364000D02* +X93345000Y-119253000D01* +X97409000Y-123825000D02* +X98298000Y-122936000D01* +X92329000Y-119380000D02* +X88646000Y-119380000D01* +X92710000Y-116713000D02* +X92710000Y-118999000D01* +X94488000Y-114935000D02* +X92710000Y-116713000D01* +X92710000Y-118999000D02* +X92329000Y-119380000D01* +X88646000Y-119380000D02* +X88011000Y-118745000D01* +X95812000Y-114935000D02* +X94488000Y-114935000D01* +X87757000Y-118618000D02* +X87630000Y-118745000D01* +X88011000Y-118745000D02* +X87757000Y-118491000D01* +X87757000Y-118491000D02* +X87757000Y-118618000D01* +X87630000Y-118745000D02* +X81837000Y-118745000D01* +X87757000Y-118618000D02* +X87884000Y-118745000D01* +X87884000Y-118745000D02* +X87630000Y-118745000D01* +X88011000Y-118745000D02* +X87884000Y-118745000D01* +X86233000Y-110871000D02* +X86233000Y-116967000D01* +X90043000Y-107061000D02* +X86233000Y-110871000D01* +X86233000Y-116967000D02* +X87757000Y-118491000D01* +X132080000Y-117475000D02* +X132969000Y-116586000D01* +X125349000Y-107061000D02* +X90043000Y-107061000D01* +X130621000Y-117475000D02* +X132080000Y-117475000D01* +X132969000Y-114681000D02* +X125349000Y-107061000D01* +X132969000Y-116586000D02* +X132969000Y-114681000D01* +X123571000Y-124312500D02* +X123571000Y-125603000D01* +X108912000Y-125095000D02* +X110490000Y-125095000D01* +X108912000Y-126365000D02* +X110490000Y-126365000D01* +X122301000Y-124312500D02* +X122301000Y-125603000D01* +X125323500Y-122555000D02* +X126619000Y-122555000D01* +X108912000Y-123825000D02* +X110490000Y-123825000D01* +X108912000Y-121285000D02* +X110490000Y-121285000D01* +X125323500Y-120015000D02* +X126619000Y-120015000D01* +X91137000Y-109855000D02* +X95812000Y-109855000D01* +X91137000Y-111125000D02* +X95812000Y-111125000D01* +X95812000Y-112395000D02* +X91137000Y-112395000D01* +X91137000Y-113665000D02* +X95812000Y-113665000D01* +X89789000Y-114935000D02* +X91137000Y-114935000D01* +X89281000Y-114427000D02* +X89789000Y-114935000D01* +X89281000Y-113538000D02* +X89281000Y-114427000D01* +X89789000Y-113030000D02* +X89281000Y-113538000D01* +X98679000Y-113030000D02* +X89789000Y-113030000D01* +X99314000Y-112395000D02* +X98679000Y-113030000D01* +X108912000Y-112395000D02* +X99314000Y-112395000D01* +X89789000Y-116205000D02* +X91137000Y-116205000D01* +X88646000Y-115062000D02* +X89789000Y-116205000D01* +X88646000Y-112903000D02* +X88646000Y-115062000D01* +X89789000Y-111760000D02* +X88646000Y-112903000D01* +X98679000Y-111760000D02* +X89789000Y-111760000D01* +X99314000Y-111125000D02* +X98679000Y-111760000D01* +X108912000Y-111125000D02* +X99314000Y-111125000D01* +X88011000Y-115697000D02* +X89789000Y-117475000D01* +X89789000Y-117475000D02* +X91137000Y-117475000D01* +X108912000Y-109855000D02* +X99314000Y-109855000D01* +X88011000Y-112268000D02* +X88011000Y-115697000D01* +X98679000Y-110490000D02* +X89789000Y-110490000D01* +X99314000Y-109855000D02* +X98679000Y-110490000D01* +X89789000Y-110490000D02* +X88011000Y-112268000D01* +X89789000Y-118745000D02* +X91137000Y-118745000D01* +X87376000Y-116332000D02* +X89789000Y-118745000D01* +X87376000Y-111633000D02* +X87376000Y-116332000D01* +X89789000Y-109220000D02* +X87376000Y-111633000D01* +X93678375Y-108585000D02* +X93043375Y-109220000D01* +X93043375Y-109220000D02* +X89789000Y-109220000D01* +X95812000Y-108585000D02* +X93678375Y-108585000D01* +X129159000Y-120015000D02* +X130621000Y-120015000D01* +X125323500Y-117475000D02* +X126619000Y-117475000D01* +X126619000Y-117475000D02* +X129159000Y-120015000D01* +X132048250Y-121285000D02* +X130621000Y-121285000D01* +X133096000Y-120237250D02* +X132048250Y-121285000D01* +X133096000Y-118491000D02* +X133096000Y-120237250D01* +X134112000Y-117475000D02* +X133096000Y-118491000D01* +X135571000Y-117475000D02* +X134112000Y-117475000D01* +X135571000Y-118745000D02* +X135571000Y-120015000D01* +X122682000Y-111633000D02* +X122301000Y-112014000D01* +X122301000Y-112014000D02* +X122301000Y-113177500D01* +X124587000Y-111633000D02* +X122682000Y-111633000D01* +X129159000Y-116205000D02* +X124587000Y-111633000D01* +X130621000Y-116205000D02* +X129159000Y-116205000D01* +G36* +X137513112Y-104720131D02* +G01* +X138128750Y-105089514D01* +X138494376Y-105455140D01* +X138738281Y-105942951D01* +X138861800Y-106560544D01* +X138861800Y-131495800D01* +X138150600Y-131495800D01* +X138150600Y-129442434D01* +X138141060Y-129394474D01* +X138136267Y-129345808D01* +X138122072Y-129299014D01* +X138112532Y-129251053D01* +X138093818Y-129205872D01* +X138079623Y-129159080D01* +X138056572Y-129115954D01* +X138037858Y-129070775D01* +X138010691Y-129030117D01* +X137987639Y-128986989D01* +X137956616Y-128949188D01* +X137929449Y-128908529D01* +X137894870Y-128873950D01* +X137863849Y-128836151D01* +X137826050Y-128805130D01* +X137791471Y-128770551D01* +X137769600Y-128755937D01* +X137769600Y-128675315D01* +X137770542Y-128674542D01* +X137831754Y-128599955D01* +X137877239Y-128514859D01* +X137905248Y-128422525D01* +X137914706Y-128326500D01* +X137914706Y-127451500D01* +X137905248Y-127355475D01* +X137877239Y-127263141D01* +X137831754Y-127178045D01* +X137770542Y-127103458D01* +X137769600Y-127102685D01* +X137769600Y-126589059D01* +X137760779Y-126499498D01* +X137725921Y-126384588D01* +X137669316Y-126278686D01* +X137593138Y-126185862D01* +X137500314Y-126109684D01* +X137394412Y-126053079D01* +X137279502Y-126018221D01* +X137160000Y-126006451D01* +X137040499Y-126018221D01* +X136925589Y-126053079D01* +X136819687Y-126109684D01* +X136726863Y-126185862D01* +X136650685Y-126278686D01* +X136594080Y-126384588D01* +X136559222Y-126499498D01* +X136550401Y-126589059D01* +X136550401Y-127102684D01* +X136549458Y-127103458D01* +X136488246Y-127178045D01* +X136442761Y-127263141D01* +X136414752Y-127355475D01* +X136405294Y-127451500D01* +X136405294Y-128326500D01* +X136414752Y-128422525D01* +X136442761Y-128514859D01* +X136488246Y-128599955D01* +X136549458Y-128674542D01* +X136550400Y-128675315D01* +X136550401Y-128755937D01* +X136528529Y-128770551D01* +X136493945Y-128805135D01* +X136456152Y-128836151D01* +X136425136Y-128873944D01* +X136390551Y-128908529D01* +X136363379Y-128949194D01* +X136332362Y-128986989D01* +X136309314Y-129030109D01* +X136282142Y-129070775D01* +X136263425Y-129115963D01* +X136240378Y-129159080D01* +X136226186Y-129205863D01* +X136207468Y-129251053D01* +X136197926Y-129299024D01* +X136183734Y-129345808D01* +X136178942Y-129394464D01* +X136169400Y-129442434D01* +X136169400Y-129637566D01* +X136169401Y-129637571D01* +X136169401Y-131495800D01* +X135353185Y-131495800D01* +X135340290Y-131485217D01* +X135234707Y-131428781D01* +X135120143Y-131394029D01* +X135040468Y-131386181D01* +X135088440Y-131314386D01* +X135128287Y-131218187D01* +X135148600Y-131116063D01* +X135148600Y-131011937D01* +X135128287Y-130909813D01* +X135088440Y-130813614D01* +X135030591Y-130727037D01* +X134956963Y-130653409D01* +X134870386Y-130595560D01* +X134774187Y-130555713D01* +X134672063Y-130535400D01* +X134567937Y-130535400D01* +X134465813Y-130555713D01* +X134369614Y-130595560D01* +X134283037Y-130653409D01* +X134209409Y-130727037D01* +X134151560Y-130813614D01* +X134111713Y-130909813D01* +X134091400Y-131011937D01* +X134091400Y-131116063D01* +X134111713Y-131218187D01* +X134151560Y-131314386D01* +X134199532Y-131386181D01* +X134119857Y-131394029D01* +X134005293Y-131428781D01* +X133899710Y-131485217D01* +X133886815Y-131495800D01* +X132813185Y-131495800D01* +X132800290Y-131485217D01* +X132694707Y-131428781D01* +X132580143Y-131394029D01* +X132500468Y-131386181D01* +X132548440Y-131314386D01* +X132588287Y-131218187D01* +X132608600Y-131116063D01* +X132608600Y-131011937D01* +X132588287Y-130909813D01* +X132548440Y-130813614D01* +X132490591Y-130727037D01* +X132416963Y-130653409D01* +X132330386Y-130595560D01* +X132234187Y-130555713D01* +X132132063Y-130535400D01* +X132027937Y-130535400D01* +X131925813Y-130555713D01* +X131829614Y-130595560D01* +X131743037Y-130653409D01* +X131669409Y-130727037D01* +X131611560Y-130813614D01* +X131571713Y-130909813D01* +X131551400Y-131011937D01* +X131551400Y-131116063D01* +X131571713Y-131218187D01* +X131611560Y-131314386D01* +X131659532Y-131386181D01* +X131579857Y-131394029D01* +X131465293Y-131428781D01* +X131359710Y-131485217D01* +X131346815Y-131495800D01* +X130273185Y-131495800D01* +X130260290Y-131485217D01* +X130154707Y-131428781D01* +X130040143Y-131394029D01* +X129921000Y-131382294D01* +X129159000Y-131382294D01* +X129039857Y-131394029D01* +X128925293Y-131428781D01* +X128819710Y-131485217D01* +X128806815Y-131495800D01* +X127733185Y-131495800D01* +X127720290Y-131485217D01* +X127614707Y-131428781D01* +X127500143Y-131394029D01* +X127381000Y-131382294D01* +X126619000Y-131382294D01* +X126499857Y-131394029D01* +X126385293Y-131428781D01* +X126279710Y-131485217D01* +X126266815Y-131495800D01* +X125193185Y-131495800D01* +X125180290Y-131485217D01* +X125074707Y-131428781D01* +X124960143Y-131394029D01* +X124841000Y-131382294D01* +X124079000Y-131382294D01* +X123959857Y-131394029D01* +X123845293Y-131428781D01* +X123739710Y-131485217D01* +X123726815Y-131495800D01* +X122653185Y-131495800D01* +X122640290Y-131485217D01* +X122534707Y-131428781D01* +X122420143Y-131394029D01* +X122301000Y-131382294D01* +X121539000Y-131382294D01* +X121419857Y-131394029D01* +X121305293Y-131428781D01* +X121199710Y-131485217D01* +X121186815Y-131495800D01* +X120113185Y-131495800D01* +X120100290Y-131485217D01* +X119994707Y-131428781D01* +X119880143Y-131394029D01* +X119761000Y-131382294D01* +X119684800Y-131382294D01* +X119684800Y-131205957D01* +X119686273Y-131190999D01* +X119684800Y-131176041D01* +X119684800Y-131176034D01* +X119680389Y-131131249D01* +X119675783Y-131116063D01* +X119662960Y-131073794D01* +X119657373Y-131063342D01* +X119634658Y-131020843D01* +X119596568Y-130974432D01* +X119584945Y-130964893D01* +X117672552Y-129052500D01* +X121265294Y-129052500D01* +X121265294Y-130027500D01* +X121273791Y-130113770D01* +X121298955Y-130196725D01* +X121339819Y-130273176D01* +X121394813Y-130340187D01* +X121461824Y-130395181D01* +X121472691Y-130400989D01* +X121451560Y-130432614D01* +X121411713Y-130528813D01* +X121391400Y-130630937D01* +X121391400Y-130735063D01* +X121411713Y-130837187D01* +X121451560Y-130933386D01* +X121509409Y-131019963D01* +X121583037Y-131093591D01* +X121669614Y-131151440D01* +X121765813Y-131191287D01* +X121867937Y-131211600D01* +X121972063Y-131211600D01* +X122074187Y-131191287D01* +X122170386Y-131151440D01* +X122256963Y-131093591D01* +X122330591Y-131019963D01* +X122388440Y-130933386D01* +X122428287Y-130837187D01* +X122448600Y-130735063D01* +X122448600Y-130630937D01* +X122428287Y-130528813D01* +X122388440Y-130432614D01* +X122367309Y-130400989D01* +X122378176Y-130395181D01* +X122445187Y-130340187D01* +X122500181Y-130273176D01* +X122541045Y-130196725D01* +X122566209Y-130113770D01* +X122574706Y-130027500D01* +X122574706Y-129052500D01* +X123165294Y-129052500D01* +X123165294Y-130027500D01* +X123173791Y-130113770D01* +X123198955Y-130196725D01* +X123239819Y-130273176D01* +X123294813Y-130340187D01* +X123361824Y-130395181D01* +X123438275Y-130436045D01* +X123521230Y-130461209D01* +X123607500Y-130469706D01* +X124032500Y-130469706D01* +X124118770Y-130461209D01* +X124201725Y-130436045D01* +X124278176Y-130395181D01* +X124345187Y-130340187D01* +X124400181Y-130273176D01* +X124441045Y-130196725D01* +X124466209Y-130113770D01* +X124474706Y-130027500D01* +X124474706Y-130022600D01* +X125386799Y-130022600D01* +X125448813Y-130048287D01* +X125550937Y-130068600D01* +X125655063Y-130068600D01* +X125757187Y-130048287D01* +X125853386Y-130008440D01* +X125939963Y-129950591D01* +X126013591Y-129876963D01* +X126071440Y-129790386D01* +X126111287Y-129694187D01* +X126131600Y-129592063D01* +X126131600Y-129487937D01* +X126111287Y-129385813D01* +X126071440Y-129289614D01* +X126013591Y-129203037D01* +X125939963Y-129129409D01* +X125853386Y-129071560D01* +X125757187Y-129031713D01* +X125655063Y-129011400D01* +X125550937Y-129011400D01* +X125448813Y-129031713D01* +X125386799Y-129057400D01* +X124474706Y-129057400D01* +X124474706Y-129052500D01* +X124466209Y-128966230D01* +X124441045Y-128883275D01* +X124400181Y-128806824D01* +X124345187Y-128739813D01* +X124278176Y-128684819D01* +X124201725Y-128643955D01* +X124118770Y-128618791D01* +X124032500Y-128610294D01* +X123607500Y-128610294D01* +X123521230Y-128618791D01* +X123438275Y-128643955D01* +X123361824Y-128684819D01* +X123294813Y-128739813D01* +X123239819Y-128806824D01* +X123198955Y-128883275D01* +X123173791Y-128966230D01* +X123165294Y-129052500D01* +X122574706Y-129052500D01* +X122566209Y-128966230D01* +X122541045Y-128883275D01* +X122500181Y-128806824D01* +X122445187Y-128739813D01* +X122378176Y-128684819D01* +X122301725Y-128643955D01* +X122224800Y-128620620D01* +X122224800Y-128589000D01* +X134705294Y-128589000D01* +X134709708Y-128633813D01* +X134722779Y-128676905D01* +X134744006Y-128716618D01* +X134772573Y-128751427D01* +X134807382Y-128779994D01* +X134847095Y-128801221D01* +X134890187Y-128814292D01* +X134935000Y-128818706D01* +X135329050Y-128817600D01* +X135386200Y-128760450D01* +X135386200Y-127962800D01* +X135533800Y-127962800D01* +X135533800Y-128760450D01* +X135590950Y-128817600D01* +X135985000Y-128818706D01* +X136029813Y-128814292D01* +X136072905Y-128801221D01* +X136112618Y-128779994D01* +X136147427Y-128751427D01* +X136175994Y-128716618D01* +X136197221Y-128676905D01* +X136210292Y-128633813D01* +X136214706Y-128589000D01* +X136213600Y-128019950D01* +X136156450Y-127962800D01* +X135533800Y-127962800D01* +X135386200Y-127962800D01* +X134763550Y-127962800D01* +X134706400Y-128019950D01* +X134705294Y-128589000D01* +X122224800Y-128589000D01* +X122224800Y-128523251D01* +X122808252Y-127939800D01* +X131430042Y-127939800D01* +X131445000Y-127941273D01* +X131459958Y-127939800D01* +X131459966Y-127939800D01* +X131504751Y-127935389D01* +X131562206Y-127917960D01* +X131615157Y-127889658D01* +X131661568Y-127851568D01* +X131671112Y-127839939D01* +X132322051Y-127189000D01* +X134705294Y-127189000D01* +X134706400Y-127758050D01* +X134763550Y-127815200D01* +X135386200Y-127815200D01* +X135386200Y-127017550D01* +X135533800Y-127017550D01* +X135533800Y-127815200D01* +X136156450Y-127815200D01* +X136213600Y-127758050D01* +X136214706Y-127189000D01* +X136210292Y-127144187D01* +X136197221Y-127101095D01* +X136175994Y-127061382D01* +X136147427Y-127026573D01* +X136112618Y-126998006D01* +X136072905Y-126976779D01* +X136029813Y-126963708D01* +X135985000Y-126959294D01* +X135590950Y-126960400D01* +X135533800Y-127017550D01* +X135386200Y-127017550D01* +X135329050Y-126960400D01* +X134935000Y-126959294D01* +X134890187Y-126963708D01* +X134847095Y-126976779D01* +X134807382Y-126998006D01* +X134772573Y-127026573D01* +X134744006Y-127061382D01* +X134722779Y-127101095D01* +X134709708Y-127144187D01* +X134705294Y-127189000D01* +X132322051Y-127189000D01* +X134783434Y-124727617D01* +X135754800Y-124727617D01* +X135754800Y-124954383D01* +X135799040Y-125176793D01* +X135885820Y-125386298D01* +X136011805Y-125574847D01* +X136172153Y-125735195D01* +X136360702Y-125861180D01* +X136570207Y-125947960D01* +X136792617Y-125992200D01* +X137019383Y-125992200D01* +X137241793Y-125947960D01* +X137451298Y-125861180D01* +X137639847Y-125735195D01* +X137800195Y-125574847D01* +X137926180Y-125386298D01* +X138012960Y-125176793D01* +X138057200Y-124954383D01* +X138057200Y-124727617D01* +X138012960Y-124505207D01* +X137926180Y-124295702D01* +X137800195Y-124107153D01* +X137639847Y-123946805D01* +X137451298Y-123820820D01* +X137241793Y-123734040D01* +X137019383Y-123689800D01* +X136792617Y-123689800D01* +X136570207Y-123734040D01* +X136360702Y-123820820D01* +X136172153Y-123946805D01* +X136011805Y-124107153D01* +X135885820Y-124295702D01* +X135799040Y-124505207D01* +X135754800Y-124727617D01* +X134783434Y-124727617D01* +X135775944Y-123735108D01* +X135787568Y-123725568D01* +X135825658Y-123679157D01* +X135853960Y-123626206D01* +X135868070Y-123579693D01* +X135871389Y-123568752D01* +X135871945Y-123563111D01* +X135875800Y-123523966D01* +X135875800Y-123523959D01* +X135877273Y-123509001D01* +X135875800Y-123494043D01* +X135875800Y-123084706D01* +X136396000Y-123084706D01* +X136470077Y-123077410D01* +X136541307Y-123055803D01* +X136606953Y-123020714D01* +X136664493Y-122973493D01* +X136711714Y-122915953D01* +X136746803Y-122850307D01* +X136768410Y-122779077D01* +X136775706Y-122705000D01* +X136775706Y-122405000D01* +X136768410Y-122330923D01* +X136746803Y-122259693D01* +X136711714Y-122194047D01* +X136664493Y-122136507D01* +X136606953Y-122089286D01* +X136541307Y-122054197D01* +X136470077Y-122032590D01* +X136396000Y-122025294D01* +X134746000Y-122025294D01* +X134671923Y-122032590D01* +X134600693Y-122054197D01* +X134535047Y-122089286D01* +X134477507Y-122136507D01* +X134430286Y-122194047D01* +X134395197Y-122259693D01* +X134373590Y-122330923D01* +X134366294Y-122405000D01* +X134366294Y-122705000D01* +X134373590Y-122779077D01* +X134395197Y-122850307D01* +X134430286Y-122915953D01* +X134477507Y-122973493D01* +X134535047Y-123020714D01* +X134600693Y-123055803D01* +X134671923Y-123077410D01* +X134746000Y-123084706D01* +X135266201Y-123084706D01* +X135266201Y-123382747D01* +X131318749Y-127330200D01* +X122696958Y-127330200D01* +X122682000Y-127328727D01* +X122667042Y-127330200D01* +X122667034Y-127330200D01* +X122627403Y-127334103D01* +X122622248Y-127334611D01* +X122564794Y-127352040D01* +X122511843Y-127380342D01* +X122465432Y-127418432D01* +X122455892Y-127430056D01* +X121715061Y-128170888D01* +X121703432Y-128180432D01* +X121665342Y-128226844D01* +X121637040Y-128279795D01* +X121619611Y-128337250D01* +X121615200Y-128382035D01* +X121615200Y-128382042D01* +X121613727Y-128397000D01* +X121615200Y-128411958D01* +X121615200Y-128620620D01* +X121538275Y-128643955D01* +X121461824Y-128684819D01* +X121394813Y-128739813D01* +X121339819Y-128806824D01* +X121298955Y-128883275D01* +X121273791Y-128966230D01* +X121265294Y-129052500D01* +X117672552Y-129052500D01* +X117525800Y-128905749D01* +X117525800Y-126035082D01* +X117557963Y-126013591D01* +X117631591Y-125939963D01* +X117689440Y-125853386D01* +X117729287Y-125757187D01* +X117749600Y-125655063D01* +X117749600Y-125550937D01* +X117729287Y-125448813D01* +X117689440Y-125352614D01* +X117631591Y-125266037D01* +X117581507Y-125215953D01* +X117581953Y-125215714D01* +X117639493Y-125168493D01* +X117686714Y-125110953D01* +X117719294Y-125050000D01* +X117961294Y-125050000D01* +X117965708Y-125094813D01* +X117978779Y-125137905D01* +X118000006Y-125177618D01* +X118028573Y-125212427D01* +X118063382Y-125240994D01* +X118103095Y-125262221D01* +X118146187Y-125275292D01* +X118191000Y-125279706D01* +X118360050Y-125278600D01* +X118417200Y-125221450D01* +X118417200Y-124386300D01* +X118564800Y-124386300D01* +X118564800Y-125221450D01* +X118621950Y-125278600D01* +X118791000Y-125279706D01* +X118835813Y-125275292D01* +X118878905Y-125262221D01* +X118918618Y-125240994D01* +X118953427Y-125212427D01* +X118981994Y-125177618D01* +X119003221Y-125137905D01* +X119016292Y-125094813D01* +X119020706Y-125050000D01* +X119019600Y-124443450D01* +X118962450Y-124386300D01* +X118564800Y-124386300D01* +X118417200Y-124386300D01* +X118019550Y-124386300D01* +X117962400Y-124443450D01* +X117961294Y-125050000D01* +X117719294Y-125050000D01* +X117721803Y-125045307D01* +X117743410Y-124974077D01* +X117750706Y-124900000D01* +X117750706Y-123725000D01* +X117743410Y-123650923D01* +X117721803Y-123579693D01* +X117719295Y-123575000D01* +X117961294Y-123575000D01* +X117962400Y-124181550D01* +X118019550Y-124238700D01* +X118417200Y-124238700D01* +X118417200Y-123403550D01* +X118564800Y-123403550D01* +X118564800Y-124238700D01* +X118962450Y-124238700D01* +X119019600Y-124181550D01* +X119020432Y-123725000D01* +X119231294Y-123725000D01* +X119231294Y-124900000D01* +X119238590Y-124974077D01* +X119260197Y-125045307D01* +X119295286Y-125110953D01* +X119342507Y-125168493D01* +X119400047Y-125215714D01* +X119465693Y-125250803D01* +X119536923Y-125272410D01* +X119611000Y-125279706D01* +X119911000Y-125279706D01* +X119985077Y-125272410D01* +X120056307Y-125250803D01* +X120121953Y-125215714D01* +X120179493Y-125168493D01* +X120226714Y-125110953D01* +X120261803Y-125045307D01* +X120283410Y-124974077D01* +X120290706Y-124900000D01* +X120290706Y-123725000D01* +X120501294Y-123725000D01* +X120501294Y-124900000D01* +X120508590Y-124974077D01* +X120530197Y-125045307D01* +X120565286Y-125110953D01* +X120612507Y-125168493D01* +X120670047Y-125215714D01* +X120670493Y-125215953D01* +X120620409Y-125266037D01* +X120562560Y-125352614D01* +X120522713Y-125448813D01* +X120502400Y-125550937D01* +X120502400Y-125655063D01* +X120522713Y-125757187D01* +X120562560Y-125853386D01* +X120620409Y-125939963D01* +X120694037Y-126013591D01* +X120780614Y-126071440D01* +X120876813Y-126111287D01* +X120978937Y-126131600D01* +X121083063Y-126131600D01* +X121185187Y-126111287D01* +X121281386Y-126071440D01* +X121367963Y-126013591D01* +X121441591Y-125939963D01* +X121499440Y-125853386D01* +X121539287Y-125757187D01* +X121559600Y-125655063D01* +X121559600Y-125550937D01* +X121539287Y-125448813D01* +X121499440Y-125352614D01* +X121441591Y-125266037D01* +X121391507Y-125215953D01* +X121391953Y-125215714D01* +X121449493Y-125168493D01* +X121496714Y-125110953D01* +X121531803Y-125045307D01* +X121553410Y-124974077D01* +X121560706Y-124900000D01* +X121560706Y-123725000D01* +X121771294Y-123725000D01* +X121771294Y-124900000D01* +X121778590Y-124974077D01* +X121800197Y-125045307D01* +X121835286Y-125110953D01* +X121882507Y-125168493D01* +X121940047Y-125215714D01* +X121940493Y-125215953D01* +X121890409Y-125266037D01* +X121832560Y-125352614D01* +X121792713Y-125448813D01* +X121772400Y-125550937D01* +X121772400Y-125655063D01* +X121792713Y-125757187D01* +X121832560Y-125853386D01* +X121890409Y-125939963D01* +X121964037Y-126013591D01* +X122050614Y-126071440D01* +X122146813Y-126111287D01* +X122248937Y-126131600D01* +X122353063Y-126131600D01* +X122455187Y-126111287D01* +X122551386Y-126071440D01* +X122637963Y-126013591D01* +X122711591Y-125939963D01* +X122769440Y-125853386D01* +X122809287Y-125757187D01* +X122829600Y-125655063D01* +X122829600Y-125550937D01* +X122809287Y-125448813D01* +X122769440Y-125352614D01* +X122711591Y-125266037D01* +X122661507Y-125215953D01* +X122661953Y-125215714D01* +X122719493Y-125168493D01* +X122766714Y-125110953D01* +X122801803Y-125045307D01* +X122823410Y-124974077D01* +X122830706Y-124900000D01* +X122830706Y-123725000D01* +X123041294Y-123725000D01* +X123041294Y-124900000D01* +X123048590Y-124974077D01* +X123070197Y-125045307D01* +X123105286Y-125110953D01* +X123152507Y-125168493D01* +X123210047Y-125215714D01* +X123210493Y-125215953D01* +X123160409Y-125266037D01* +X123102560Y-125352614D01* +X123062713Y-125448813D01* +X123042400Y-125550937D01* +X123042400Y-125655063D01* +X123062713Y-125757187D01* +X123102560Y-125853386D01* +X123160409Y-125939963D01* +X123234037Y-126013591D01* +X123320614Y-126071440D01* +X123416813Y-126111287D01* +X123518937Y-126131600D01* +X123623063Y-126131600D01* +X123725187Y-126111287D01* +X123821386Y-126071440D01* +X123907963Y-126013591D01* +X123981591Y-125939963D01* +X124039440Y-125853386D01* +X124079287Y-125757187D01* +X124099600Y-125655063D01* +X124099600Y-125550937D01* +X124079287Y-125448813D01* +X124039440Y-125352614D01* +X123981591Y-125266037D01* +X123931507Y-125215953D01* +X123931953Y-125215714D01* +X123989493Y-125168493D01* +X124036714Y-125110953D01* +X124071803Y-125045307D01* +X124093410Y-124974077D01* +X124100706Y-124900000D01* +X124100706Y-123725000D01* +X124093410Y-123650923D01* +X124071803Y-123579693D01* +X124036714Y-123514047D01* +X123989493Y-123456507D01* +X123931953Y-123409286D01* +X123866307Y-123374197D01* +X123795077Y-123352590D01* +X123721000Y-123345294D01* +X123421000Y-123345294D01* +X123346923Y-123352590D01* +X123275693Y-123374197D01* +X123210047Y-123409286D01* +X123152507Y-123456507D01* +X123105286Y-123514047D01* +X123070197Y-123579693D01* +X123048590Y-123650923D01* +X123041294Y-123725000D01* +X122830706Y-123725000D01* +X122823410Y-123650923D01* +X122801803Y-123579693D01* +X122766714Y-123514047D01* +X122719493Y-123456507D01* +X122661953Y-123409286D01* +X122596307Y-123374197D01* +X122525077Y-123352590D01* +X122451000Y-123345294D01* +X122151000Y-123345294D01* +X122076923Y-123352590D01* +X122005693Y-123374197D01* +X121940047Y-123409286D01* +X121882507Y-123456507D01* +X121835286Y-123514047D01* +X121800197Y-123579693D01* +X121778590Y-123650923D01* +X121771294Y-123725000D01* +X121560706Y-123725000D01* +X121553410Y-123650923D01* +X121531803Y-123579693D01* +X121496714Y-123514047D01* +X121449493Y-123456507D01* +X121391953Y-123409286D01* +X121326307Y-123374197D01* +X121255077Y-123352590D01* +X121181000Y-123345294D01* +X120881000Y-123345294D01* +X120806923Y-123352590D01* +X120735693Y-123374197D01* +X120670047Y-123409286D01* +X120612507Y-123456507D01* +X120565286Y-123514047D01* +X120530197Y-123579693D01* +X120508590Y-123650923D01* +X120501294Y-123725000D01* +X120290706Y-123725000D01* +X120283410Y-123650923D01* +X120261803Y-123579693D01* +X120226714Y-123514047D01* +X120179493Y-123456507D01* +X120121953Y-123409286D01* +X120056307Y-123374197D01* +X119985077Y-123352590D01* +X119911000Y-123345294D01* +X119611000Y-123345294D01* +X119536923Y-123352590D01* +X119465693Y-123374197D01* +X119400047Y-123409286D01* +X119342507Y-123456507D01* +X119295286Y-123514047D01* +X119260197Y-123579693D01* +X119238590Y-123650923D01* +X119231294Y-123725000D01* +X119020432Y-123725000D01* +X119020706Y-123575000D01* +X119016292Y-123530187D01* +X119003221Y-123487095D01* +X118981994Y-123447382D01* +X118953427Y-123412573D01* +X118918618Y-123384006D01* +X118878905Y-123362779D01* +X118835813Y-123349708D01* +X118791000Y-123345294D01* +X118621950Y-123346400D01* +X118564800Y-123403550D01* +X118417200Y-123403550D01* +X118360050Y-123346400D01* +X118191000Y-123345294D01* +X118146187Y-123349708D01* +X118103095Y-123362779D01* +X118063382Y-123384006D01* +X118028573Y-123412573D01* +X118000006Y-123447382D01* +X117978779Y-123487095D01* +X117965708Y-123530187D01* +X117961294Y-123575000D01* +X117719295Y-123575000D01* +X117686714Y-123514047D01* +X117639493Y-123456507D01* +X117581953Y-123409286D01* +X117516307Y-123374197D01* +X117445077Y-123352590D01* +X117371000Y-123345294D01* +X117071000Y-123345294D01* +X116996923Y-123352590D01* +X116925693Y-123374197D01* +X116860047Y-123409286D01* +X116802507Y-123456507D01* +X116755286Y-123514047D01* +X116720197Y-123579693D01* +X116698590Y-123650923D01* +X116691294Y-123725000D01* +X116691294Y-124900000D01* +X116698590Y-124974077D01* +X116720197Y-125045307D01* +X116755286Y-125110953D01* +X116802507Y-125168493D01* +X116860047Y-125215714D01* +X116860493Y-125215953D01* +X116810409Y-125266037D01* +X116752560Y-125352614D01* +X116712713Y-125448813D01* +X116692400Y-125550937D01* +X116692400Y-125655063D01* +X116712713Y-125757187D01* +X116752560Y-125853386D01* +X116810409Y-125939963D01* +X116884037Y-126013591D01* +X116916200Y-126035082D01* +X116916201Y-129017032D01* +X116914727Y-129032000D01* +X116920611Y-129091751D01* +X116938040Y-129149205D01* +X116948511Y-129168795D01* +X116966343Y-129202157D01* +X117004433Y-129248568D01* +X117016057Y-129258108D01* +X119075200Y-131317252D01* +X119075200Y-131382294D01* +X118999000Y-131382294D01* +X118879857Y-131394029D01* +X118765293Y-131428781D01* +X118659710Y-131485217D01* +X118646815Y-131495800D01* +X117573185Y-131495800D01* +X117560290Y-131485217D01* +X117454707Y-131428781D01* +X117340143Y-131394029D01* +X117221000Y-131382294D01* +X117144800Y-131382294D01* +X117144800Y-131205958D01* +X117146273Y-131191000D01* +X117144800Y-131176042D01* +X117144800Y-131176034D01* +X117140389Y-131131249D01* +X117135783Y-131116063D01* +X117122960Y-131073794D01* +X117117373Y-131063342D01* +X117094658Y-131020843D01* +X117056568Y-130974432D01* +X117044944Y-130964892D01* +X116255800Y-130175749D01* +X116255800Y-125245729D01* +X116311953Y-125215714D01* +X116369493Y-125168493D01* +X116416714Y-125110953D01* +X116451803Y-125045307D01* +X116473410Y-124974077D01* +X116480706Y-124900000D01* +X116480706Y-123725000D01* +X116473410Y-123650923D01* +X116451803Y-123579693D01* +X116416714Y-123514047D01* +X116369493Y-123456507D01* +X116311953Y-123409286D01* +X116246307Y-123374197D01* +X116175077Y-123352590D01* +X116101000Y-123345294D01* +X115801000Y-123345294D01* +X115726923Y-123352590D01* +X115655693Y-123374197D01* +X115590047Y-123409286D01* +X115532507Y-123456507D01* +X115485286Y-123514047D01* +X115450197Y-123579693D01* +X115428590Y-123650923D01* +X115421294Y-123725000D01* +X115421294Y-124900000D01* +X115428590Y-124974077D01* +X115450197Y-125045307D01* +X115485286Y-125110953D01* +X115532507Y-125168493D01* +X115590047Y-125215714D01* +X115646201Y-125245729D01* +X115646200Y-130287042D01* +X115644727Y-130302000D01* +X115646200Y-130316958D01* +X115646200Y-130316965D01* +X115649142Y-130346835D01* +X115650611Y-130361751D01* +X115660752Y-130395181D01* +X115668040Y-130419205D01* +X115696342Y-130472156D01* +X115734432Y-130518568D01* +X115746061Y-130528112D01* +X116535201Y-131317253D01* +X116535201Y-131382294D01* +X116459000Y-131382294D01* +X116339857Y-131394029D01* +X116225293Y-131428781D01* +X116119710Y-131485217D01* +X116106815Y-131495800D01* +X115033185Y-131495800D01* +X115020290Y-131485217D01* +X114914707Y-131428781D01* +X114800143Y-131394029D01* +X114681000Y-131382294D01* +X114604800Y-131382294D01* +X114604800Y-123084706D01* +X114786000Y-123084706D01* +X114860077Y-123077410D01* +X114931307Y-123055803D01* +X114996953Y-123020714D01* +X115054493Y-122973493D01* +X115101714Y-122915953D01* +X115136803Y-122850307D01* +X115158410Y-122779077D01* +X115165706Y-122705000D01* +X115165706Y-122405000D01* +X124356294Y-122405000D01* +X124356294Y-122705000D01* +X124363590Y-122779077D01* +X124385197Y-122850307D01* +X124420286Y-122915953D01* +X124467507Y-122973493D01* +X124525047Y-123020714D01* +X124590693Y-123055803D01* +X124661923Y-123077410D01* +X124736000Y-123084706D01* +X125911000Y-123084706D01* +X125985077Y-123077410D01* +X126056307Y-123055803D01* +X126121953Y-123020714D01* +X126179493Y-122973493D01* +X126226714Y-122915953D01* +X126228694Y-122912248D01* +X126282037Y-122965591D01* +X126368614Y-123023440D01* +X126464813Y-123063287D01* +X126566937Y-123083600D01* +X126671063Y-123083600D01* +X126773187Y-123063287D01* +X126869386Y-123023440D01* +X126955963Y-122965591D01* +X127029591Y-122891963D01* +X127054288Y-122855000D01* +X129416294Y-122855000D01* +X129420708Y-122899813D01* +X129433779Y-122942905D01* +X129455006Y-122982618D01* +X129483573Y-123017427D01* +X129518382Y-123045994D01* +X129558095Y-123067221D01* +X129601187Y-123080292D01* +X129646000Y-123084706D01* +X130490050Y-123083600D01* +X130547200Y-123026450D01* +X130547200Y-122628800D01* +X130694800Y-122628800D01* +X130694800Y-123026450D01* +X130751950Y-123083600D01* +X131596000Y-123084706D01* +X131640813Y-123080292D01* +X131683905Y-123067221D01* +X131723618Y-123045994D01* +X131758427Y-123017427D01* +X131786994Y-122982618D01* +X131808221Y-122942905D01* +X131821292Y-122899813D01* +X131825706Y-122855000D01* +X131824600Y-122685950D01* +X131767450Y-122628800D01* +X130694800Y-122628800D01* +X130547200Y-122628800D01* +X129474550Y-122628800D01* +X129417400Y-122685950D01* +X129416294Y-122855000D01* +X127054288Y-122855000D01* +X127087440Y-122805386D01* +X127127287Y-122709187D01* +X127147600Y-122607063D01* +X127147600Y-122502937D01* +X127127287Y-122400813D01* +X127087440Y-122304614D01* +X127054289Y-122255000D01* +X129416294Y-122255000D01* +X129417400Y-122424050D01* +X129474550Y-122481200D01* +X130547200Y-122481200D01* +X130547200Y-122083550D01* +X130694800Y-122083550D01* +X130694800Y-122481200D01* +X131767450Y-122481200D01* +X131824600Y-122424050D01* +X131825706Y-122255000D01* +X131821292Y-122210187D01* +X131808221Y-122167095D01* +X131786994Y-122127382D01* +X131758427Y-122092573D01* +X131723618Y-122064006D01* +X131683905Y-122042779D01* +X131640813Y-122029708D01* +X131596000Y-122025294D01* +X130751950Y-122026400D01* +X130694800Y-122083550D01* +X130547200Y-122083550D01* +X130490050Y-122026400D01* +X129646000Y-122025294D01* +X129601187Y-122029708D01* +X129558095Y-122042779D01* +X129518382Y-122064006D01* +X129483573Y-122092573D01* +X129455006Y-122127382D01* +X129433779Y-122167095D01* +X129420708Y-122210187D01* +X129416294Y-122255000D01* +X127054289Y-122255000D01* +X127029591Y-122218037D01* +X126955963Y-122144409D01* +X126869386Y-122086560D01* +X126773187Y-122046713D01* +X126671063Y-122026400D01* +X126566937Y-122026400D01* +X126464813Y-122046713D01* +X126368614Y-122086560D01* +X126282037Y-122144409D01* +X126228694Y-122197752D01* +X126226714Y-122194047D01* +X126179493Y-122136507D01* +X126121953Y-122089286D01* +X126056307Y-122054197D01* +X125985077Y-122032590D01* +X125911000Y-122025294D01* +X124736000Y-122025294D01* +X124661923Y-122032590D01* +X124590693Y-122054197D01* +X124525047Y-122089286D01* +X124467507Y-122136507D01* +X124420286Y-122194047D01* +X124385197Y-122259693D01* +X124363590Y-122330923D01* +X124356294Y-122405000D01* +X115165706Y-122405000D01* +X115158410Y-122330923D01* +X115136803Y-122259693D01* +X115101714Y-122194047D01* +X115054493Y-122136507D01* +X114996953Y-122089286D01* +X114931307Y-122054197D01* +X114860077Y-122032590D01* +X114786000Y-122025294D01* +X113611000Y-122025294D01* +X113536923Y-122032590D01* +X113465693Y-122054197D01* +X113400047Y-122089286D01* +X113342507Y-122136507D01* +X113295286Y-122194047D01* +X113260197Y-122259693D01* +X113238590Y-122330923D01* +X113231294Y-122405000D01* +X113231294Y-122705000D01* +X113238590Y-122779077D01* +X113260197Y-122850307D01* +X113295286Y-122915953D01* +X113342507Y-122973493D01* +X113400047Y-123020714D01* +X113465693Y-123055803D01* +X113536923Y-123077410D01* +X113611000Y-123084706D01* +X113995201Y-123084706D01* +X113995200Y-131382294D01* +X113919000Y-131382294D01* +X113799857Y-131394029D01* +X113685293Y-131428781D01* +X113579710Y-131485217D01* +X113566815Y-131495800D01* +X112493185Y-131495800D01* +X112480290Y-131485217D01* +X112374707Y-131428781D01* +X112260143Y-131394029D01* +X112141000Y-131382294D01* +X112064800Y-131382294D01* +X112064800Y-131333126D01* +X113107945Y-130289982D01* +X113119568Y-130280443D01* +X113157658Y-130234032D01* +X113185960Y-130181081D01* +X113198186Y-130140779D01* +X113203389Y-130123627D01* +X113204869Y-130108595D01* +X113207800Y-130078841D01* +X113207800Y-130078834D01* +X113209273Y-130063876D01* +X113207800Y-130048918D01* +X113207800Y-121717082D01* +X113239963Y-121695591D01* +X113293306Y-121642248D01* +X113295286Y-121645953D01* +X113342507Y-121703493D01* +X113400047Y-121750714D01* +X113465693Y-121785803D01* +X113536923Y-121807410D01* +X113611000Y-121814706D01* +X114786000Y-121814706D01* +X114860077Y-121807410D01* +X114931307Y-121785803D01* +X114996953Y-121750714D01* +X115054493Y-121703493D01* +X115101714Y-121645953D01* +X115136803Y-121580307D01* +X115158410Y-121509077D01* +X115165706Y-121435000D01* +X115165706Y-121135000D01* +X124356294Y-121135000D01* +X124356294Y-121435000D01* +X124363590Y-121509077D01* +X124385197Y-121580307D01* +X124420286Y-121645953D01* +X124467507Y-121703493D01* +X124525047Y-121750714D01* +X124590693Y-121785803D01* +X124661923Y-121807410D01* +X124736000Y-121814706D01* +X125911000Y-121814706D01* +X125985077Y-121807410D01* +X126056307Y-121785803D01* +X126121953Y-121750714D01* +X126179493Y-121703493D01* +X126226714Y-121645953D01* +X126261803Y-121580307D01* +X126283410Y-121509077D01* +X126290706Y-121435000D01* +X126290706Y-121135000D01* +X129416294Y-121135000D01* +X129416294Y-121435000D01* +X129423590Y-121509077D01* +X129445197Y-121580307D01* +X129480286Y-121645953D01* +X129527507Y-121703493D01* +X129585047Y-121750714D01* +X129650693Y-121785803D01* +X129721923Y-121807410D01* +X129796000Y-121814706D01* +X131446000Y-121814706D01* +X131520077Y-121807410D01* +X131591307Y-121785803D01* +X131656953Y-121750714D01* +X131714493Y-121703493D01* +X131761714Y-121645953D01* +X131791729Y-121589800D01* +X132033292Y-121589800D01* +X132048250Y-121591273D01* +X132063208Y-121589800D01* +X132063216Y-121589800D01* +X132108001Y-121585389D01* +X132109283Y-121585000D01* +X134366294Y-121585000D01* +X134370708Y-121629813D01* +X134383779Y-121672905D01* +X134405006Y-121712618D01* +X134433573Y-121747427D01* +X134468382Y-121775994D01* +X134508095Y-121797221D01* +X134551187Y-121810292D01* +X134596000Y-121814706D01* +X135440050Y-121813600D01* +X135497200Y-121756450D01* +X135497200Y-121358800D01* +X135644800Y-121358800D01* +X135644800Y-121756450D01* +X135701950Y-121813600D01* +X136546000Y-121814706D01* +X136590813Y-121810292D01* +X136633905Y-121797221D01* +X136673618Y-121775994D01* +X136708427Y-121747427D01* +X136736994Y-121712618D01* +X136758221Y-121672905D01* +X136771292Y-121629813D01* +X136775706Y-121585000D01* +X136774600Y-121415950D01* +X136717450Y-121358800D01* +X135644800Y-121358800D01* +X135497200Y-121358800D01* +X134424550Y-121358800D01* +X134367400Y-121415950D01* +X134366294Y-121585000D01* +X132109283Y-121585000D01* +X132165456Y-121567960D01* +X132218407Y-121539658D01* +X132264818Y-121501568D01* +X132274362Y-121489939D01* +X132779301Y-120985000D01* +X134366294Y-120985000D01* +X134367400Y-121154050D01* +X134424550Y-121211200D01* +X135497200Y-121211200D01* +X135497200Y-120813550D01* +X135644800Y-120813550D01* +X135644800Y-121211200D01* +X136717450Y-121211200D01* +X136774600Y-121154050D01* +X136775706Y-120985000D01* +X136771292Y-120940187D01* +X136758221Y-120897095D01* +X136736994Y-120857382D01* +X136708427Y-120822573D01* +X136673618Y-120794006D01* +X136633905Y-120772779D01* +X136590813Y-120759708D01* +X136546000Y-120755294D01* +X135701950Y-120756400D01* +X135644800Y-120813550D01* +X135497200Y-120813550D01* +X135440050Y-120756400D01* +X134596000Y-120755294D01* +X134551187Y-120759708D01* +X134508095Y-120772779D01* +X134468382Y-120794006D01* +X134433573Y-120822573D01* +X134405006Y-120857382D01* +X134383779Y-120897095D01* +X134370708Y-120940187D01* +X134366294Y-120985000D01* +X132779301Y-120985000D01* +X133300944Y-120463358D01* +X133312568Y-120453818D01* +X133350658Y-120407407D01* +X133378960Y-120354456D01* +X133396098Y-120297960D01* +X133396389Y-120297002D01* +X133397629Y-120284415D01* +X133400800Y-120252216D01* +X133400800Y-120252209D01* +X133402273Y-120237251D01* +X133400800Y-120222293D01* +X133400800Y-118617251D01* +X133423051Y-118595000D01* +X134366294Y-118595000D01* +X134366294Y-118895000D01* +X134373590Y-118969077D01* +X134395197Y-119040307D01* +X134430286Y-119105953D01* +X134477507Y-119163493D01* +X134535047Y-119210714D01* +X134600693Y-119245803D01* +X134671923Y-119267410D01* +X134746000Y-119274706D01* +X135266200Y-119274706D01* +X135266201Y-119485294D01* +X134746000Y-119485294D01* +X134671923Y-119492590D01* +X134600693Y-119514197D01* +X134535047Y-119549286D01* +X134477507Y-119596507D01* +X134430286Y-119654047D01* +X134395197Y-119719693D01* +X134373590Y-119790923D01* +X134366294Y-119865000D01* +X134366294Y-120165000D01* +X134373590Y-120239077D01* +X134395197Y-120310307D01* +X134430286Y-120375953D01* +X134477507Y-120433493D01* +X134535047Y-120480714D01* +X134600693Y-120515803D01* +X134671923Y-120537410D01* +X134746000Y-120544706D01* +X136396000Y-120544706D01* +X136470077Y-120537410D01* +X136541307Y-120515803D01* +X136606953Y-120480714D01* +X136664493Y-120433493D01* +X136711714Y-120375953D01* +X136746803Y-120310307D01* +X136768410Y-120239077D01* +X136775706Y-120165000D01* +X136775706Y-119865000D01* +X136768410Y-119790923D01* +X136746803Y-119719693D01* +X136711714Y-119654047D01* +X136664493Y-119596507D01* +X136606953Y-119549286D01* +X136541307Y-119514197D01* +X136470077Y-119492590D01* +X136396000Y-119485294D01* +X135875800Y-119485294D01* +X135875800Y-119274706D01* +X136396000Y-119274706D01* +X136470077Y-119267410D01* +X136541307Y-119245803D01* +X136606953Y-119210714D01* +X136664493Y-119163493D01* +X136711714Y-119105953D01* +X136746803Y-119040307D01* +X136768410Y-118969077D01* +X136775706Y-118895000D01* +X136775706Y-118595000D01* +X136768410Y-118520923D01* +X136746803Y-118449693D01* +X136711714Y-118384047D01* +X136664493Y-118326507D01* +X136606953Y-118279286D01* +X136541307Y-118244197D01* +X136470077Y-118222590D01* +X136396000Y-118215294D01* +X134746000Y-118215294D01* +X134671923Y-118222590D01* +X134600693Y-118244197D01* +X134535047Y-118279286D01* +X134477507Y-118326507D01* +X134430286Y-118384047D01* +X134395197Y-118449693D01* +X134373590Y-118520923D01* +X134366294Y-118595000D01* +X133423051Y-118595000D01* +X134238252Y-117779800D01* +X134400271Y-117779800D01* +X134430286Y-117835953D01* +X134477507Y-117893493D01* +X134535047Y-117940714D01* +X134600693Y-117975803D01* +X134671923Y-117997410D01* +X134746000Y-118004706D01* +X136396000Y-118004706D01* +X136470077Y-117997410D01* +X136541307Y-117975803D01* +X136606953Y-117940714D01* +X136664493Y-117893493D01* +X136711714Y-117835953D01* +X136746803Y-117770307D01* +X136768410Y-117699077D01* +X136775706Y-117625000D01* +X136775706Y-117325000D01* +X136768410Y-117250923D01* +X136746803Y-117179693D01* +X136711714Y-117114047D01* +X136664493Y-117056507D01* +X136606953Y-117009286D01* +X136541307Y-116974197D01* +X136470077Y-116952590D01* +X136396000Y-116945294D01* +X134746000Y-116945294D01* +X134671923Y-116952590D01* +X134600693Y-116974197D01* +X134535047Y-117009286D01* +X134477507Y-117056507D01* +X134430286Y-117114047D01* +X134400271Y-117170200D01* +X134126958Y-117170200D01* +X134112000Y-117168727D01* +X134097042Y-117170200D01* +X134097034Y-117170200D01* +X134057403Y-117174103D01* +X134052248Y-117174611D01* +X134022722Y-117183568D01* +X133994794Y-117192040D01* +X133941843Y-117220342D01* +X133895432Y-117258432D01* +X133885892Y-117270056D01* +X132891061Y-118264888D01* +X132879432Y-118274432D01* +X132841342Y-118320844D01* +X132817924Y-118364657D01* +X132813040Y-118373795D01* +X132795612Y-118431248D01* +X132795611Y-118431250D01* +X132791200Y-118476035D01* +X132791200Y-118476042D01* +X132789727Y-118491000D01* +X132791200Y-118505958D01* +X132791201Y-120110997D01* +X131921999Y-120980200D01* +X131791729Y-120980200D01* +X131761714Y-120924047D01* +X131714493Y-120866507D01* +X131656953Y-120819286D01* +X131591307Y-120784197D01* +X131520077Y-120762590D01* +X131446000Y-120755294D01* +X129796000Y-120755294D01* +X129721923Y-120762590D01* +X129650693Y-120784197D01* +X129585047Y-120819286D01* +X129527507Y-120866507D01* +X129480286Y-120924047D01* +X129445197Y-120989693D01* +X129423590Y-121060923D01* +X129416294Y-121135000D01* +X126290706Y-121135000D01* +X126283410Y-121060923D01* +X126261803Y-120989693D01* +X126226714Y-120924047D01* +X126179493Y-120866507D01* +X126121953Y-120819286D01* +X126056307Y-120784197D01* +X125985077Y-120762590D01* +X125911000Y-120755294D01* +X124736000Y-120755294D01* +X124661923Y-120762590D01* +X124590693Y-120784197D01* +X124525047Y-120819286D01* +X124467507Y-120866507D01* +X124420286Y-120924047D01* +X124385197Y-120989693D01* +X124363590Y-121060923D01* +X124356294Y-121135000D01* +X115165706Y-121135000D01* +X115158410Y-121060923D01* +X115136803Y-120989693D01* +X115101714Y-120924047D01* +X115054493Y-120866507D01* +X114996953Y-120819286D01* +X114931307Y-120784197D01* +X114860077Y-120762590D01* +X114786000Y-120755294D01* +X113611000Y-120755294D01* +X113536923Y-120762590D01* +X113465693Y-120784197D01* +X113400047Y-120819286D01* +X113342507Y-120866507D01* +X113295286Y-120924047D01* +X113293306Y-120927752D01* +X113239963Y-120874409D01* +X113153386Y-120816560D01* +X113057187Y-120776713D01* +X112955063Y-120756400D01* +X112850937Y-120756400D01* +X112748813Y-120776713D01* +X112652614Y-120816560D01* +X112566037Y-120874409D01* +X112492409Y-120948037D01* +X112434560Y-121034614D01* +X112394713Y-121130813D01* +X112374400Y-121232937D01* +X112374400Y-121337063D01* +X112394713Y-121439187D01* +X112434560Y-121535386D01* +X112492409Y-121621963D01* +X112566037Y-121695591D01* +X112598201Y-121717082D01* +X112598200Y-129937623D01* +X111555057Y-130980767D01* +X111543433Y-130990307D01* +X111533893Y-131001931D01* +X111533892Y-131001932D01* +X111531990Y-131004250D01* +X111505343Y-131036718D01* +X111491113Y-131063342D01* +X111477040Y-131089670D01* +X111459611Y-131147124D01* +X111453727Y-131206875D01* +X111455201Y-131221843D01* +X111455201Y-131382294D01* +X111379000Y-131382294D01* +X111259857Y-131394029D01* +X111145293Y-131428781D01* +X111039710Y-131485217D01* +X111026815Y-131495800D01* +X109953185Y-131495800D01* +X109940290Y-131485217D01* +X109834707Y-131428781D01* +X109720143Y-131394029D01* +X109601000Y-131382294D01* +X109524800Y-131382294D01* +X109524800Y-131317251D01* +X112218944Y-128623108D01* +X112230568Y-128613568D01* +X112268658Y-128567157D01* +X112296960Y-128514206D01* +X112314389Y-128456751D01* +X112318800Y-128411966D01* +X112318800Y-128411958D01* +X112320273Y-128397000D01* +X112318800Y-128382042D01* +X112318800Y-121030251D01* +X113029252Y-120319800D01* +X113265271Y-120319800D01* +X113295286Y-120375953D01* +X113342507Y-120433493D01* +X113400047Y-120480714D01* +X113465693Y-120515803D01* +X113536923Y-120537410D01* +X113611000Y-120544706D01* +X114786000Y-120544706D01* +X114860077Y-120537410D01* +X114931307Y-120515803D01* +X114996953Y-120480714D01* +X115054493Y-120433493D01* +X115101714Y-120375953D01* +X115136803Y-120310307D01* +X115158410Y-120239077D01* +X115165706Y-120165000D01* +X115165706Y-119865000D01* +X124356294Y-119865000D01* +X124356294Y-120165000D01* +X124363590Y-120239077D01* +X124385197Y-120310307D01* +X124420286Y-120375953D01* +X124467507Y-120433493D01* +X124525047Y-120480714D01* +X124590693Y-120515803D01* +X124661923Y-120537410D01* +X124736000Y-120544706D01* +X125911000Y-120544706D01* +X125985077Y-120537410D01* +X126056307Y-120515803D01* +X126121953Y-120480714D01* +X126179493Y-120433493D01* +X126226714Y-120375953D01* +X126228694Y-120372248D01* +X126282037Y-120425591D01* +X126368614Y-120483440D01* +X126464813Y-120523287D01* +X126566937Y-120543600D01* +X126671063Y-120543600D01* +X126773187Y-120523287D01* +X126869386Y-120483440D01* +X126955963Y-120425591D01* +X127029591Y-120351963D01* +X127087440Y-120265386D01* +X127127287Y-120169187D01* +X127147600Y-120067063D01* +X127147600Y-119962937D01* +X127127287Y-119860813D01* +X127087440Y-119764614D01* +X127029591Y-119678037D01* +X126955963Y-119604409D01* +X126869386Y-119546560D01* +X126773187Y-119506713D01* +X126671063Y-119486400D01* +X126566937Y-119486400D01* +X126464813Y-119506713D01* +X126368614Y-119546560D01* +X126282037Y-119604409D01* +X126228694Y-119657752D01* +X126226714Y-119654047D01* +X126179493Y-119596507D01* +X126121953Y-119549286D01* +X126056307Y-119514197D01* +X125985077Y-119492590D01* +X125911000Y-119485294D01* +X124736000Y-119485294D01* +X124661923Y-119492590D01* +X124590693Y-119514197D01* +X124525047Y-119549286D01* +X124467507Y-119596507D01* +X124420286Y-119654047D01* +X124385197Y-119719693D01* +X124363590Y-119790923D01* +X124356294Y-119865000D01* +X115165706Y-119865000D01* +X115158410Y-119790923D01* +X115136803Y-119719693D01* +X115101714Y-119654047D01* +X115054493Y-119596507D01* +X114996953Y-119549286D01* +X114931307Y-119514197D01* +X114860077Y-119492590D01* +X114786000Y-119485294D01* +X113611000Y-119485294D01* +X113536923Y-119492590D01* +X113465693Y-119514197D01* +X113400047Y-119549286D01* +X113342507Y-119596507D01* +X113295286Y-119654047D01* +X113265271Y-119710200D01* +X112917958Y-119710200D01* +X112903000Y-119708727D01* +X112888042Y-119710200D01* +X112888034Y-119710200D01* +X112848403Y-119714103D01* +X112843248Y-119714611D01* +X112785794Y-119732040D01* +X112732843Y-119760342D01* +X112686432Y-119798432D01* +X112676892Y-119810056D01* +X111809061Y-120677888D01* +X111797432Y-120687432D01* +X111759342Y-120733844D01* +X111732429Y-120784197D01* +X111731040Y-120786795D01* +X111724185Y-120809394D01* +X111713611Y-120844250D01* +X111709200Y-120889035D01* +X111709200Y-120889042D01* +X111707727Y-120904000D01* +X111709200Y-120918958D01* +X111709201Y-128270747D01* +X109015061Y-130964888D01* +X109003432Y-130974432D01* +X108965342Y-131020844D01* +X108948867Y-131051668D01* +X108937040Y-131073795D01* +X108919612Y-131131248D01* +X108919611Y-131131250D01* +X108915200Y-131176035D01* +X108915200Y-131176042D01* +X108913727Y-131191000D01* +X108915200Y-131205958D01* +X108915200Y-131382294D01* +X108839000Y-131382294D01* +X108719857Y-131394029D01* +X108605293Y-131428781D01* +X108499710Y-131485217D01* +X108486815Y-131495800D01* +X107413185Y-131495800D01* +X107400290Y-131485217D01* +X107294707Y-131428781D01* +X107180143Y-131394029D01* +X107061000Y-131382294D01* +X106984800Y-131382294D01* +X106984800Y-127485000D01* +X107657294Y-127485000D01* +X107657294Y-127785000D01* +X107664590Y-127859077D01* +X107686197Y-127930307D01* +X107721286Y-127995953D01* +X107768507Y-128053493D01* +X107826047Y-128100714D01* +X107891693Y-128135803D01* +X107962923Y-128157410D01* +X108037000Y-128164706D01* +X109787000Y-128164706D01* +X109861077Y-128157410D01* +X109932307Y-128135803D01* +X109997953Y-128100714D01* +X110055493Y-128053493D01* +X110102714Y-127995953D01* +X110137803Y-127930307D01* +X110159410Y-127859077D01* +X110166706Y-127785000D01* +X110166706Y-127485000D01* +X110159410Y-127410923D01* +X110137803Y-127339693D01* +X110102714Y-127274047D01* +X110055493Y-127216507D01* +X109997953Y-127169286D01* +X109932307Y-127134197D01* +X109861077Y-127112590D01* +X109787000Y-127105294D01* +X108037000Y-127105294D01* +X107962923Y-127112590D01* +X107891693Y-127134197D01* +X107826047Y-127169286D01* +X107768507Y-127216507D01* +X107721286Y-127274047D01* +X107686197Y-127339693D01* +X107664590Y-127410923D01* +X107657294Y-127485000D01* +X106984800Y-127485000D01* +X106984800Y-126215000D01* +X107657294Y-126215000D01* +X107657294Y-126515000D01* +X107664590Y-126589077D01* +X107686197Y-126660307D01* +X107721286Y-126725953D01* +X107768507Y-126783493D01* +X107826047Y-126830714D01* +X107891693Y-126865803D01* +X107962923Y-126887410D01* +X108037000Y-126894706D01* +X109787000Y-126894706D01* +X109861077Y-126887410D01* +X109932307Y-126865803D01* +X109997953Y-126830714D01* +X110055493Y-126783493D01* +X110102714Y-126725953D01* +X110102953Y-126725507D01* +X110153037Y-126775591D01* +X110239614Y-126833440D01* +X110335813Y-126873287D01* +X110437937Y-126893600D01* +X110542063Y-126893600D01* +X110644187Y-126873287D01* +X110740386Y-126833440D01* +X110826963Y-126775591D01* +X110900591Y-126701963D01* +X110958440Y-126615386D01* +X110998287Y-126519187D01* +X111018600Y-126417063D01* +X111018600Y-126312937D01* +X110998287Y-126210813D01* +X110958440Y-126114614D01* +X110900591Y-126028037D01* +X110826963Y-125954409D01* +X110740386Y-125896560D01* +X110644187Y-125856713D01* +X110542063Y-125836400D01* +X110437937Y-125836400D01* +X110335813Y-125856713D01* +X110239614Y-125896560D01* +X110153037Y-125954409D01* +X110102953Y-126004493D01* +X110102714Y-126004047D01* +X110055493Y-125946507D01* +X109997953Y-125899286D01* +X109932307Y-125864197D01* +X109861077Y-125842590D01* +X109787000Y-125835294D01* +X108037000Y-125835294D01* +X107962923Y-125842590D01* +X107891693Y-125864197D01* +X107826047Y-125899286D01* +X107768507Y-125946507D01* +X107721286Y-126004047D01* +X107686197Y-126069693D01* +X107664590Y-126140923D01* +X107657294Y-126215000D01* +X106984800Y-126215000D01* +X106984800Y-124945000D01* +X107657294Y-124945000D01* +X107657294Y-125245000D01* +X107664590Y-125319077D01* +X107686197Y-125390307D01* +X107721286Y-125455953D01* +X107768507Y-125513493D01* +X107826047Y-125560714D01* +X107891693Y-125595803D01* +X107962923Y-125617410D01* +X108037000Y-125624706D01* +X109787000Y-125624706D01* +X109861077Y-125617410D01* +X109932307Y-125595803D01* +X109997953Y-125560714D01* +X110055493Y-125513493D01* +X110102714Y-125455953D01* +X110102953Y-125455507D01* +X110153037Y-125505591D01* +X110239614Y-125563440D01* +X110335813Y-125603287D01* +X110437937Y-125623600D01* +X110542063Y-125623600D01* +X110644187Y-125603287D01* +X110740386Y-125563440D01* +X110826963Y-125505591D01* +X110900591Y-125431963D01* +X110958440Y-125345386D01* +X110998287Y-125249187D01* +X111018600Y-125147063D01* +X111018600Y-125042937D01* +X110998287Y-124940813D01* +X110958440Y-124844614D01* +X110900591Y-124758037D01* +X110826963Y-124684409D01* +X110740386Y-124626560D01* +X110644187Y-124586713D01* +X110542063Y-124566400D01* +X110437937Y-124566400D01* +X110335813Y-124586713D01* +X110239614Y-124626560D01* +X110153037Y-124684409D01* +X110102953Y-124734493D01* +X110102714Y-124734047D01* +X110055493Y-124676507D01* +X109997953Y-124629286D01* +X109932307Y-124594197D01* +X109861077Y-124572590D01* +X109787000Y-124565294D01* +X108037000Y-124565294D01* +X107962923Y-124572590D01* +X107891693Y-124594197D01* +X107826047Y-124629286D01* +X107768507Y-124676507D01* +X107721286Y-124734047D01* +X107686197Y-124799693D01* +X107664590Y-124870923D01* +X107657294Y-124945000D01* +X106984800Y-124945000D01* +X106984800Y-123675000D01* +X107657294Y-123675000D01* +X107657294Y-123975000D01* +X107664590Y-124049077D01* +X107686197Y-124120307D01* +X107721286Y-124185953D01* +X107768507Y-124243493D01* +X107826047Y-124290714D01* +X107891693Y-124325803D01* +X107962923Y-124347410D01* +X108037000Y-124354706D01* +X109787000Y-124354706D01* +X109861077Y-124347410D01* +X109932307Y-124325803D01* +X109997953Y-124290714D01* +X110055493Y-124243493D01* +X110102714Y-124185953D01* +X110102953Y-124185507D01* +X110153037Y-124235591D01* +X110239614Y-124293440D01* +X110335813Y-124333287D01* +X110437937Y-124353600D01* +X110542063Y-124353600D01* +X110644187Y-124333287D01* +X110740386Y-124293440D01* +X110826963Y-124235591D01* +X110900591Y-124161963D01* +X110958440Y-124075386D01* +X110998287Y-123979187D01* +X111018600Y-123877063D01* +X111018600Y-123772937D01* +X110998287Y-123670813D01* +X110958440Y-123574614D01* +X110900591Y-123488037D01* +X110826963Y-123414409D01* +X110740386Y-123356560D01* +X110644187Y-123316713D01* +X110542063Y-123296400D01* +X110437937Y-123296400D01* +X110335813Y-123316713D01* +X110239614Y-123356560D01* +X110153037Y-123414409D01* +X110102953Y-123464493D01* +X110102714Y-123464047D01* +X110055493Y-123406507D01* +X109997953Y-123359286D01* +X109932307Y-123324197D01* +X109861077Y-123302590D01* +X109787000Y-123295294D01* +X108037000Y-123295294D01* +X107962923Y-123302590D01* +X107891693Y-123324197D01* +X107826047Y-123359286D01* +X107768507Y-123406507D01* +X107721286Y-123464047D01* +X107686197Y-123529693D01* +X107664590Y-123600923D01* +X107657294Y-123675000D01* +X106984800Y-123675000D01* +X106984800Y-122405000D01* +X107657294Y-122405000D01* +X107657294Y-122705000D01* +X107664590Y-122779077D01* +X107686197Y-122850307D01* +X107721286Y-122915953D01* +X107768507Y-122973493D01* +X107826047Y-123020714D01* +X107891693Y-123055803D01* +X107962923Y-123077410D01* +X108037000Y-123084706D01* +X109787000Y-123084706D01* +X109861077Y-123077410D01* +X109932307Y-123055803D01* +X109997953Y-123020714D01* +X110055493Y-122973493D01* +X110102714Y-122915953D01* +X110102953Y-122915507D01* +X110153037Y-122965591D01* +X110239614Y-123023440D01* +X110335813Y-123063287D01* +X110437937Y-123083600D01* +X110542063Y-123083600D01* +X110644187Y-123063287D01* +X110740386Y-123023440D01* +X110826963Y-122965591D01* +X110900591Y-122891963D01* +X110958440Y-122805386D01* +X110998287Y-122709187D01* +X111018600Y-122607063D01* +X111018600Y-122502937D01* +X110998287Y-122400813D01* +X110958440Y-122304614D01* +X110900591Y-122218037D01* +X110826963Y-122144409D01* +X110740386Y-122086560D01* +X110644187Y-122046713D01* +X110542063Y-122026400D01* +X110437937Y-122026400D01* +X110335813Y-122046713D01* +X110239614Y-122086560D01* +X110153037Y-122144409D01* +X110102953Y-122194493D01* +X110102714Y-122194047D01* +X110055493Y-122136507D01* +X109997953Y-122089286D01* +X109932307Y-122054197D01* +X109861077Y-122032590D01* +X109787000Y-122025294D01* +X108037000Y-122025294D01* +X107962923Y-122032590D01* +X107891693Y-122054197D01* +X107826047Y-122089286D01* +X107768507Y-122136507D01* +X107721286Y-122194047D01* +X107686197Y-122259693D01* +X107664590Y-122330923D01* +X107657294Y-122405000D01* +X106984800Y-122405000D01* +X106984800Y-121135000D01* +X107657294Y-121135000D01* +X107657294Y-121435000D01* +X107664590Y-121509077D01* +X107686197Y-121580307D01* +X107721286Y-121645953D01* +X107768507Y-121703493D01* +X107826047Y-121750714D01* +X107891693Y-121785803D01* +X107962923Y-121807410D01* +X108037000Y-121814706D01* +X109787000Y-121814706D01* +X109861077Y-121807410D01* +X109932307Y-121785803D01* +X109997953Y-121750714D01* +X110055493Y-121703493D01* +X110102714Y-121645953D01* +X110102953Y-121645507D01* +X110153037Y-121695591D01* +X110239614Y-121753440D01* +X110335813Y-121793287D01* +X110437937Y-121813600D01* +X110542063Y-121813600D01* +X110644187Y-121793287D01* +X110740386Y-121753440D01* +X110826963Y-121695591D01* +X110900591Y-121621963D01* +X110958440Y-121535386D01* +X110998287Y-121439187D01* +X111018600Y-121337063D01* +X111018600Y-121232937D01* +X110998287Y-121130813D01* +X110958440Y-121034614D01* +X110900591Y-120948037D01* +X110826963Y-120874409D01* +X110740386Y-120816560D01* +X110644187Y-120776713D01* +X110542063Y-120756400D01* +X110437937Y-120756400D01* +X110335813Y-120776713D01* +X110239614Y-120816560D01* +X110153037Y-120874409D01* +X110102953Y-120924493D01* +X110102714Y-120924047D01* +X110055493Y-120866507D01* +X109997953Y-120819286D01* +X109932307Y-120784197D01* +X109861077Y-120762590D01* +X109787000Y-120755294D01* +X108037000Y-120755294D01* +X107962923Y-120762590D01* +X107891693Y-120784197D01* +X107826047Y-120819286D01* +X107768507Y-120866507D01* +X107721286Y-120924047D01* +X107686197Y-120989693D01* +X107664590Y-121060923D01* +X107657294Y-121135000D01* +X106984800Y-121135000D01* +X106984800Y-120903251D01* +X107568252Y-120319800D01* +X107691271Y-120319800D01* +X107721286Y-120375953D01* +X107768507Y-120433493D01* +X107826047Y-120480714D01* +X107891693Y-120515803D01* +X107962923Y-120537410D01* +X108037000Y-120544706D01* +X109787000Y-120544706D01* +X109861077Y-120537410D01* +X109932307Y-120515803D01* +X109997953Y-120480714D01* +X110055493Y-120433493D01* +X110102714Y-120375953D01* +X110137803Y-120310307D01* +X110159410Y-120239077D01* +X110166706Y-120165000D01* +X110166706Y-119865000D01* +X110159410Y-119790923D01* +X110137803Y-119719693D01* +X110102714Y-119654047D01* +X110055493Y-119596507D01* +X109997953Y-119549286D01* +X109932307Y-119514197D01* +X109861077Y-119492590D01* +X109787000Y-119485294D01* +X108037000Y-119485294D01* +X107962923Y-119492590D01* +X107891693Y-119514197D01* +X107826047Y-119549286D01* +X107768507Y-119596507D01* +X107721286Y-119654047D01* +X107691271Y-119710200D01* +X107456958Y-119710200D01* +X107442000Y-119708727D01* +X107427042Y-119710200D01* +X107427034Y-119710200D01* +X107387403Y-119714103D01* +X107382248Y-119714611D01* +X107324794Y-119732040D01* +X107271843Y-119760342D01* +X107225432Y-119798432D01* +X107215892Y-119810056D01* +X106475057Y-120550892D01* +X106463433Y-120560432D01* +X106453893Y-120572056D01* +X106453892Y-120572057D01* +X106447772Y-120579514D01* +X106425343Y-120606843D01* +X106411422Y-120632889D01* +X106397040Y-120659795D01* +X106379611Y-120717249D01* +X106373727Y-120777000D01* +X106375201Y-120791968D01* +X106375200Y-131382294D01* +X106299000Y-131382294D01* +X106179857Y-131394029D01* +X106065293Y-131428781D01* +X105959710Y-131485217D01* +X105946815Y-131495800D01* +X104873185Y-131495800D01* +X104860290Y-131485217D01* +X104754707Y-131428781D01* +X104640143Y-131394029D01* +X104521000Y-131382294D01* +X104444800Y-131382294D01* +X104444800Y-122173251D01* +X107568252Y-119049800D01* +X107691271Y-119049800D01* +X107721286Y-119105953D01* +X107768507Y-119163493D01* +X107826047Y-119210714D01* +X107891693Y-119245803D01* +X107962923Y-119267410D01* +X108037000Y-119274706D01* +X109787000Y-119274706D01* +X109861077Y-119267410D01* +X109932307Y-119245803D01* +X109997953Y-119210714D01* +X110055493Y-119163493D01* +X110102714Y-119105953D01* +X110137803Y-119040307D01* +X110159410Y-118969077D01* +X110166706Y-118895000D01* +X110166706Y-118595000D01* +X113231294Y-118595000D01* +X113231294Y-118895000D01* +X113238590Y-118969077D01* +X113260197Y-119040307D01* +X113295286Y-119105953D01* +X113342507Y-119163493D01* +X113400047Y-119210714D01* +X113465693Y-119245803D01* +X113536923Y-119267410D01* +X113611000Y-119274706D01* +X114786000Y-119274706D01* +X114860077Y-119267410D01* +X114931307Y-119245803D01* +X114996953Y-119210714D01* +X115054493Y-119163493D01* +X115101714Y-119105953D01* +X115136803Y-119040307D01* +X115158410Y-118969077D01* +X115165706Y-118895000D01* +X115165706Y-118595000D01* +X124356294Y-118595000D01* +X124356294Y-118895000D01* +X124363590Y-118969077D01* +X124385197Y-119040307D01* +X124420286Y-119105953D01* +X124467507Y-119163493D01* +X124525047Y-119210714D01* +X124590693Y-119245803D01* +X124661923Y-119267410D01* +X124736000Y-119274706D01* +X125911000Y-119274706D01* +X125985077Y-119267410D01* +X126056307Y-119245803D01* +X126121953Y-119210714D01* +X126179493Y-119163493D01* +X126226714Y-119105953D01* +X126261803Y-119040307D01* +X126283410Y-118969077D01* +X126290706Y-118895000D01* +X126290706Y-118595000D01* +X126283410Y-118520923D01* +X126261803Y-118449693D01* +X126226714Y-118384047D01* +X126179493Y-118326507D01* +X126121953Y-118279286D01* +X126056307Y-118244197D01* +X125985077Y-118222590D01* +X125911000Y-118215294D01* +X124736000Y-118215294D01* +X124661923Y-118222590D01* +X124590693Y-118244197D01* +X124525047Y-118279286D01* +X124467507Y-118326507D01* +X124420286Y-118384047D01* +X124385197Y-118449693D01* +X124363590Y-118520923D01* +X124356294Y-118595000D01* +X115165706Y-118595000D01* +X115158410Y-118520923D01* +X115136803Y-118449693D01* +X115101714Y-118384047D01* +X115054493Y-118326507D01* +X114996953Y-118279286D01* +X114931307Y-118244197D01* +X114860077Y-118222590D01* +X114786000Y-118215294D01* +X113611000Y-118215294D01* +X113536923Y-118222590D01* +X113465693Y-118244197D01* +X113400047Y-118279286D01* +X113342507Y-118326507D01* +X113295286Y-118384047D01* +X113260197Y-118449693D01* +X113238590Y-118520923D01* +X113231294Y-118595000D01* +X110166706Y-118595000D01* +X110159410Y-118520923D01* +X110137803Y-118449693D01* +X110102714Y-118384047D01* +X110055493Y-118326507D01* +X109997953Y-118279286D01* +X109932307Y-118244197D01* +X109861077Y-118222590D01* +X109787000Y-118215294D01* +X108037000Y-118215294D01* +X107962923Y-118222590D01* +X107891693Y-118244197D01* +X107826047Y-118279286D01* +X107768507Y-118326507D01* +X107721286Y-118384047D01* +X107691271Y-118440200D01* +X107456957Y-118440200D01* +X107441999Y-118438727D01* +X107427041Y-118440200D01* +X107427034Y-118440200D01* +X107387889Y-118444055D01* +X107382248Y-118444611D01* +X107371591Y-118447844D01* +X107324794Y-118462040D01* +X107271843Y-118490342D01* +X107225432Y-118528432D01* +X107215892Y-118540056D01* +X103935057Y-121820892D01* +X103923433Y-121830432D01* +X103885343Y-121876843D01* +X103870215Y-121905146D01* +X103857040Y-121929795D01* +X103839611Y-121987249D01* +X103833727Y-122047000D01* +X103835201Y-122061968D01* +X103835200Y-131382294D01* +X103759000Y-131382294D01* +X103639857Y-131394029D01* +X103525293Y-131428781D01* +X103419710Y-131485217D01* +X103406815Y-131495800D01* +X102333185Y-131495800D01* +X102320290Y-131485217D01* +X102214707Y-131428781D01* +X102100143Y-131394029D01* +X101981000Y-131382294D01* +X101904800Y-131382294D01* +X101904800Y-123443251D01* +X107568252Y-117779800D01* +X107691271Y-117779800D01* +X107721286Y-117835953D01* +X107768507Y-117893493D01* +X107826047Y-117940714D01* +X107891693Y-117975803D01* +X107962923Y-117997410D01* +X108037000Y-118004706D01* +X109787000Y-118004706D01* +X109861077Y-117997410D01* +X109932307Y-117975803D01* +X109997953Y-117940714D01* +X110055493Y-117893493D01* +X110102714Y-117835953D01* +X110137803Y-117770307D01* +X110159410Y-117699077D01* +X110166706Y-117625000D01* +X110166706Y-117422937D01* +X112374400Y-117422937D01* +X112374400Y-117527063D01* +X112394713Y-117629187D01* +X112434560Y-117725386D01* +X112492409Y-117811963D01* +X112566037Y-117885591D01* +X112652614Y-117943440D01* +X112748813Y-117983287D01* +X112850937Y-118003600D01* +X112955063Y-118003600D01* +X113057187Y-117983287D01* +X113153386Y-117943440D01* +X113239963Y-117885591D01* +X113293306Y-117832248D01* +X113295286Y-117835953D01* +X113342507Y-117893493D01* +X113400047Y-117940714D01* +X113465693Y-117975803D01* +X113536923Y-117997410D01* +X113611000Y-118004706D01* +X114786000Y-118004706D01* +X114860077Y-117997410D01* +X114931307Y-117975803D01* +X114996953Y-117940714D01* +X115054493Y-117893493D01* +X115101714Y-117835953D01* +X115136803Y-117770307D01* +X115158410Y-117699077D01* +X115165706Y-117625000D01* +X115165706Y-117325000D01* +X124356294Y-117325000D01* +X124356294Y-117625000D01* +X124363590Y-117699077D01* +X124385197Y-117770307D01* +X124420286Y-117835953D01* +X124467507Y-117893493D01* +X124525047Y-117940714D01* +X124590693Y-117975803D01* +X124661923Y-117997410D01* +X124736000Y-118004706D01* +X125911000Y-118004706D01* +X125985077Y-117997410D01* +X126056307Y-117975803D01* +X126121953Y-117940714D01* +X126179493Y-117893493D01* +X126226714Y-117835953D01* +X126256729Y-117779800D01* +X126492749Y-117779800D01* +X128932892Y-120219944D01* +X128942432Y-120231568D01* +X128988843Y-120269658D01* +X129041794Y-120297960D01* +X129081819Y-120310102D01* +X129099248Y-120315389D01* +X129104889Y-120315945D01* +X129144034Y-120319800D01* +X129144041Y-120319800D01* +X129158999Y-120321273D01* +X129173957Y-120319800D01* +X129450271Y-120319800D01* +X129480286Y-120375953D01* +X129527507Y-120433493D01* +X129585047Y-120480714D01* +X129650693Y-120515803D01* +X129721923Y-120537410D01* +X129796000Y-120544706D01* +X131446000Y-120544706D01* +X131520077Y-120537410D01* +X131591307Y-120515803D01* +X131656953Y-120480714D01* +X131714493Y-120433493D01* +X131761714Y-120375953D01* +X131796803Y-120310307D01* +X131818410Y-120239077D01* +X131825706Y-120165000D01* +X131825706Y-119865000D01* +X131818410Y-119790923D01* +X131796803Y-119719693D01* +X131761714Y-119654047D01* +X131714493Y-119596507D01* +X131656953Y-119549286D01* +X131591307Y-119514197D01* +X131520077Y-119492590D01* +X131446000Y-119485294D01* +X129796000Y-119485294D01* +X129721923Y-119492590D01* +X129650693Y-119514197D01* +X129585047Y-119549286D01* +X129527507Y-119596507D01* +X129480286Y-119654047D01* +X129450271Y-119710200D01* +X129285252Y-119710200D01* +X128620052Y-119045000D01* +X129416294Y-119045000D01* +X129420708Y-119089813D01* +X129433779Y-119132905D01* +X129455006Y-119172618D01* +X129483573Y-119207427D01* +X129518382Y-119235994D01* +X129558095Y-119257221D01* +X129601187Y-119270292D01* +X129646000Y-119274706D01* +X130490050Y-119273600D01* +X130547200Y-119216450D01* +X130547200Y-118818800D01* +X130694800Y-118818800D01* +X130694800Y-119216450D01* +X130751950Y-119273600D01* +X131596000Y-119274706D01* +X131640813Y-119270292D01* +X131683905Y-119257221D01* +X131723618Y-119235994D01* +X131758427Y-119207427D01* +X131786994Y-119172618D01* +X131808221Y-119132905D01* +X131821292Y-119089813D01* +X131825706Y-119045000D01* +X131824600Y-118875950D01* +X131767450Y-118818800D01* +X130694800Y-118818800D01* +X130547200Y-118818800D01* +X129474550Y-118818800D01* +X129417400Y-118875950D01* +X129416294Y-119045000D01* +X128620052Y-119045000D01* +X128020052Y-118445000D01* +X129416294Y-118445000D01* +X129417400Y-118614050D01* +X129474550Y-118671200D01* +X130547200Y-118671200D01* +X130547200Y-118273550D01* +X130694800Y-118273550D01* +X130694800Y-118671200D01* +X131767450Y-118671200D01* +X131824600Y-118614050D01* +X131825706Y-118445000D01* +X131821292Y-118400187D01* +X131808221Y-118357095D01* +X131786994Y-118317382D01* +X131758427Y-118282573D01* +X131723618Y-118254006D01* +X131683905Y-118232779D01* +X131640813Y-118219708D01* +X131596000Y-118215294D01* +X130751950Y-118216400D01* +X130694800Y-118273550D01* +X130547200Y-118273550D01* +X130490050Y-118216400D01* +X129646000Y-118215294D01* +X129601187Y-118219708D01* +X129558095Y-118232779D01* +X129518382Y-118254006D01* +X129483573Y-118282573D01* +X129455006Y-118317382D01* +X129433779Y-118357095D01* +X129420708Y-118400187D01* +X129416294Y-118445000D01* +X128020052Y-118445000D01* +X126845112Y-117270061D01* +X126835568Y-117258432D01* +X126789157Y-117220342D01* +X126736206Y-117192040D01* +X126678751Y-117174611D01* +X126633966Y-117170200D01* +X126633958Y-117170200D01* +X126619000Y-117168727D01* +X126604042Y-117170200D01* +X126256729Y-117170200D01* +X126226714Y-117114047D01* +X126179493Y-117056507D01* +X126121953Y-117009286D01* +X126056307Y-116974197D01* +X125985077Y-116952590D01* +X125911000Y-116945294D01* +X124736000Y-116945294D01* +X124661923Y-116952590D01* +X124590693Y-116974197D01* +X124525047Y-117009286D01* +X124467507Y-117056507D01* +X124420286Y-117114047D01* +X124385197Y-117179693D01* +X124363590Y-117250923D01* +X124356294Y-117325000D01* +X115165706Y-117325000D01* +X115158410Y-117250923D01* +X115136803Y-117179693D01* +X115101714Y-117114047D01* +X115054493Y-117056507D01* +X114996953Y-117009286D01* +X114931307Y-116974197D01* +X114860077Y-116952590D01* +X114786000Y-116945294D01* +X113611000Y-116945294D01* +X113536923Y-116952590D01* +X113465693Y-116974197D01* +X113400047Y-117009286D01* +X113342507Y-117056507D01* +X113295286Y-117114047D01* +X113293306Y-117117752D01* +X113239963Y-117064409D01* +X113153386Y-117006560D01* +X113057187Y-116966713D01* +X112955063Y-116946400D01* +X112850937Y-116946400D01* +X112748813Y-116966713D01* +X112652614Y-117006560D01* +X112566037Y-117064409D01* +X112492409Y-117138037D01* +X112434560Y-117224614D01* +X112394713Y-117320813D01* +X112374400Y-117422937D01* +X110166706Y-117422937D01* +X110166706Y-117325000D01* +X110159410Y-117250923D01* +X110137803Y-117179693D01* +X110102714Y-117114047D01* +X110055493Y-117056507D01* +X109997953Y-117009286D01* +X109932307Y-116974197D01* +X109861077Y-116952590D01* +X109787000Y-116945294D01* +X108037000Y-116945294D01* +X107962923Y-116952590D01* +X107891693Y-116974197D01* +X107826047Y-117009286D01* +X107768507Y-117056507D01* +X107721286Y-117114047D01* +X107691271Y-117170200D01* +X107456958Y-117170200D01* +X107442000Y-117168727D01* +X107427042Y-117170200D01* +X107427034Y-117170200D01* +X107382249Y-117174611D01* +X107324794Y-117192040D01* +X107271843Y-117220342D01* +X107225432Y-117258432D01* +X107215892Y-117270056D01* +X101395057Y-123090892D01* +X101383433Y-123100432D01* +X101345343Y-123146843D01* +X101342283Y-123152568D01* +X101317040Y-123199795D01* +X101299611Y-123257249D01* +X101293727Y-123317000D01* +X101295201Y-123331968D01* +X101295200Y-131382294D01* +X101219000Y-131382294D01* +X101099857Y-131394029D01* +X100985293Y-131428781D01* +X100879710Y-131485217D01* +X100866815Y-131495800D01* +X99793185Y-131495800D01* +X99780290Y-131485217D01* +X99674707Y-131428781D01* +X99560143Y-131394029D01* +X99441000Y-131382294D01* +X99364800Y-131382294D01* +X99364800Y-131317251D01* +X101169944Y-129512108D01* +X101181568Y-129502568D01* +X101219658Y-129456157D01* +X101247960Y-129403206D01* +X101257697Y-129371107D01* +X101265389Y-129345752D01* +X101266125Y-129338274D01* +X101269800Y-129300966D01* +X101269800Y-129300958D01* +X101271273Y-129286000D01* +X101269800Y-129271042D01* +X101269800Y-122808251D01* +X107568252Y-116509800D01* +X107691271Y-116509800D01* +X107721286Y-116565953D01* +X107768507Y-116623493D01* +X107826047Y-116670714D01* +X107891693Y-116705803D01* +X107962923Y-116727410D01* +X108037000Y-116734706D01* +X109787000Y-116734706D01* +X109861077Y-116727410D01* +X109932307Y-116705803D01* +X109997953Y-116670714D01* +X110055493Y-116623493D01* +X110102714Y-116565953D01* +X110137803Y-116500307D01* +X110159410Y-116429077D01* +X110166706Y-116355000D01* +X110166706Y-116152937D01* +X112374400Y-116152937D01* +X112374400Y-116257063D01* +X112394713Y-116359187D01* +X112434560Y-116455386D01* +X112492409Y-116541963D01* +X112566037Y-116615591D01* +X112652614Y-116673440D01* +X112748813Y-116713287D01* +X112850937Y-116733600D01* +X112955063Y-116733600D01* +X113057187Y-116713287D01* +X113153386Y-116673440D01* +X113239963Y-116615591D01* +X113293306Y-116562248D01* +X113295286Y-116565953D01* +X113342507Y-116623493D01* +X113400047Y-116670714D01* +X113465693Y-116705803D01* +X113536923Y-116727410D01* +X113611000Y-116734706D01* +X114786000Y-116734706D01* +X114860077Y-116727410D01* +X114931307Y-116705803D01* +X114996953Y-116670714D01* +X115054493Y-116623493D01* +X115101714Y-116565953D01* +X115136803Y-116500307D01* +X115158410Y-116429077D01* +X115165706Y-116355000D01* +X115165706Y-116055000D01* +X124356294Y-116055000D01* +X124356294Y-116355000D01* +X124363590Y-116429077D01* +X124385197Y-116500307D01* +X124420286Y-116565953D01* +X124467507Y-116623493D01* +X124525047Y-116670714D01* +X124590693Y-116705803D01* +X124661923Y-116727410D01* +X124736000Y-116734706D01* +X125911000Y-116734706D01* +X125985077Y-116727410D01* +X126056307Y-116705803D01* +X126121953Y-116670714D01* +X126179493Y-116623493D01* +X126226714Y-116565953D01* +X126261803Y-116500307D01* +X126283410Y-116429077D01* +X126290706Y-116355000D01* +X126290706Y-116055000D01* +X126283410Y-115980923D01* +X126261803Y-115909693D01* +X126226714Y-115844047D01* +X126179493Y-115786507D01* +X126121953Y-115739286D01* +X126056307Y-115704197D01* +X125985077Y-115682590D01* +X125911000Y-115675294D01* +X124736000Y-115675294D01* +X124661923Y-115682590D01* +X124590693Y-115704197D01* +X124525047Y-115739286D01* +X124467507Y-115786507D01* +X124420286Y-115844047D01* +X124385197Y-115909693D01* +X124363590Y-115980923D01* +X124356294Y-116055000D01* +X115165706Y-116055000D01* +X115158410Y-115980923D01* +X115136803Y-115909693D01* +X115101714Y-115844047D01* +X115054493Y-115786507D01* +X114996953Y-115739286D01* +X114931307Y-115704197D01* +X114860077Y-115682590D01* +X114786000Y-115675294D01* +X113611000Y-115675294D01* +X113536923Y-115682590D01* +X113465693Y-115704197D01* +X113400047Y-115739286D01* +X113342507Y-115786507D01* +X113295286Y-115844047D01* +X113293306Y-115847752D01* +X113239963Y-115794409D01* +X113153386Y-115736560D01* +X113057187Y-115696713D01* +X112955063Y-115676400D01* +X112850937Y-115676400D01* +X112748813Y-115696713D01* +X112652614Y-115736560D01* +X112566037Y-115794409D01* +X112492409Y-115868037D01* +X112434560Y-115954614D01* +X112394713Y-116050813D01* +X112374400Y-116152937D01* +X110166706Y-116152937D01* +X110166706Y-116055000D01* +X110159410Y-115980923D01* +X110137803Y-115909693D01* +X110102714Y-115844047D01* +X110055493Y-115786507D01* +X109997953Y-115739286D01* +X109932307Y-115704197D01* +X109861077Y-115682590D01* +X109787000Y-115675294D01* +X108037000Y-115675294D01* +X107962923Y-115682590D01* +X107891693Y-115704197D01* +X107826047Y-115739286D01* +X107768507Y-115786507D01* +X107721286Y-115844047D01* +X107691271Y-115900200D01* +X107456957Y-115900200D01* +X107441999Y-115898727D01* +X107427041Y-115900200D01* +X107427034Y-115900200D01* +X107387889Y-115904055D01* +X107382248Y-115904611D01* +X107368876Y-115908668D01* +X107324794Y-115922040D01* +X107271843Y-115950342D01* +X107225432Y-115988432D01* +X107215892Y-116000056D01* +X100760061Y-122455888D01* +X100748432Y-122465432D01* +X100710342Y-122511844D01* +X100687275Y-122555001D01* +X100682040Y-122564795D01* +X100666886Y-122614752D01* +X100664611Y-122622250D01* +X100660200Y-122667035D01* +X100660200Y-122667042D01* +X100658727Y-122682000D01* +X100660200Y-122696958D01* +X100660201Y-129159747D01* +X98855061Y-130964888D01* +X98843432Y-130974432D01* +X98805342Y-131020844D01* +X98788867Y-131051668D01* +X98777040Y-131073795D01* +X98759612Y-131131248D01* +X98759611Y-131131250D01* +X98755200Y-131176035D01* +X98755200Y-131176042D01* +X98753727Y-131191000D01* +X98755200Y-131205958D01* +X98755200Y-131382294D01* +X98679000Y-131382294D01* +X98559857Y-131394029D01* +X98445293Y-131428781D01* +X98339710Y-131485217D01* +X98326815Y-131495800D01* +X97253185Y-131495800D01* +X97240290Y-131485217D01* +X97134707Y-131428781D01* +X97020143Y-131394029D01* +X96901000Y-131382294D01* +X96824800Y-131382294D01* +X96824800Y-131317251D01* +X97281252Y-130860800D01* +X98791042Y-130860800D01* +X98806000Y-130862273D01* +X98820958Y-130860800D01* +X98820966Y-130860800D01* +X98865751Y-130856389D01* +X98923206Y-130838960D01* +X98976157Y-130810658D01* +X99022568Y-130772568D01* +X99032112Y-130760939D01* +X100534944Y-129258108D01* +X100546568Y-129248568D01* +X100584658Y-129202157D01* +X100612960Y-129149206D01* +X100630389Y-129091751D01* +X100634800Y-129046966D01* +X100634800Y-129046959D01* +X100636273Y-129032001D01* +X100634800Y-129017043D01* +X100634800Y-122173251D01* +X107568252Y-115239800D01* +X107691271Y-115239800D01* +X107721286Y-115295953D01* +X107768507Y-115353493D01* +X107826047Y-115400714D01* +X107891693Y-115435803D01* +X107962923Y-115457410D01* +X108037000Y-115464706D01* +X109787000Y-115464706D01* +X109861077Y-115457410D01* +X109932307Y-115435803D01* +X109997953Y-115400714D01* +X110055493Y-115353493D01* +X110102714Y-115295953D01* +X110137803Y-115230307D01* +X110159410Y-115159077D01* +X110166706Y-115085000D01* +X110166706Y-114882937D01* +X112374400Y-114882937D01* +X112374400Y-114987063D01* +X112394713Y-115089187D01* +X112434560Y-115185386D01* +X112492409Y-115271963D01* +X112566037Y-115345591D01* +X112652614Y-115403440D01* +X112748813Y-115443287D01* +X112850937Y-115463600D01* +X112955063Y-115463600D01* +X113057187Y-115443287D01* +X113153386Y-115403440D01* +X113239963Y-115345591D01* +X113293306Y-115292248D01* +X113295286Y-115295953D01* +X113342507Y-115353493D01* +X113400047Y-115400714D01* +X113465693Y-115435803D01* +X113536923Y-115457410D01* +X113611000Y-115464706D01* +X114786000Y-115464706D01* +X114860077Y-115457410D01* +X114931307Y-115435803D01* +X114996953Y-115400714D01* +X115054493Y-115353493D01* +X115101714Y-115295953D01* +X115136803Y-115230307D01* +X115158410Y-115159077D01* +X115165706Y-115085000D01* +X115165706Y-114785000D01* +X115158410Y-114710923D01* +X115136803Y-114639693D01* +X115101714Y-114574047D01* +X115054493Y-114516507D01* +X114996953Y-114469286D01* +X114931307Y-114434197D01* +X114860077Y-114412590D01* +X114786000Y-114405294D01* +X113611000Y-114405294D01* +X113536923Y-114412590D01* +X113465693Y-114434197D01* +X113400047Y-114469286D01* +X113342507Y-114516507D01* +X113295286Y-114574047D01* +X113293306Y-114577752D01* +X113239963Y-114524409D01* +X113153386Y-114466560D01* +X113057187Y-114426713D01* +X112955063Y-114406400D01* +X112850937Y-114406400D01* +X112748813Y-114426713D01* +X112652614Y-114466560D01* +X112566037Y-114524409D01* +X112492409Y-114598037D01* +X112434560Y-114684614D01* +X112394713Y-114780813D01* +X112374400Y-114882937D01* +X110166706Y-114882937D01* +X110166706Y-114785000D01* +X110159410Y-114710923D01* +X110137803Y-114639693D01* +X110102714Y-114574047D01* +X110055493Y-114516507D01* +X109997953Y-114469286D01* +X109932307Y-114434197D01* +X109861077Y-114412590D01* +X109787000Y-114405294D01* +X108037000Y-114405294D01* +X107962923Y-114412590D01* +X107891693Y-114434197D01* +X107826047Y-114469286D01* +X107768507Y-114516507D01* +X107721286Y-114574047D01* +X107691271Y-114630200D01* +X107456958Y-114630200D01* +X107442000Y-114628727D01* +X107427042Y-114630200D01* +X107427034Y-114630200D01* +X107387403Y-114634103D01* +X107382248Y-114634611D01* +X107352722Y-114643568D01* +X107324794Y-114652040D01* +X107271843Y-114680342D01* +X107225432Y-114718432D01* +X107215892Y-114730056D01* +X100125061Y-121820888D01* +X100113432Y-121830432D01* +X100075342Y-121876844D01* +X100047040Y-121929795D01* +X100029611Y-121987250D01* +X100025200Y-122032035D01* +X100025200Y-122032042D01* +X100023727Y-122047000D01* +X100025200Y-122061958D01* +X100025201Y-128905747D01* +X98679749Y-130251200D01* +X97169958Y-130251200D01* +X97155000Y-130249727D01* +X97140042Y-130251200D01* +X97140034Y-130251200D01* +X97095249Y-130255611D01* +X97037794Y-130273040D01* +X96984843Y-130301342D01* +X96938432Y-130339432D01* +X96928892Y-130351056D01* +X96315061Y-130964888D01* +X96303432Y-130974432D01* +X96265342Y-131020844D01* +X96248867Y-131051668D01* +X96237040Y-131073795D01* +X96219612Y-131131248D01* +X96219611Y-131131250D01* +X96215200Y-131176035D01* +X96215200Y-131176042D01* +X96213727Y-131191000D01* +X96215200Y-131205958D01* +X96215200Y-131382294D01* +X96139000Y-131382294D01* +X96019857Y-131394029D01* +X95905293Y-131428781D01* +X95799710Y-131485217D01* +X95786815Y-131495800D01* +X94713185Y-131495800D01* +X94700290Y-131485217D01* +X94594707Y-131428781D01* +X94480143Y-131394029D01* +X94361000Y-131382294D01* +X94284800Y-131382294D01* +X94284800Y-131317251D01* +X95503252Y-130098800D01* +X98664042Y-130098800D01* +X98679000Y-130100273D01* +X98693958Y-130098800D01* +X98693966Y-130098800D01* +X98738751Y-130094389D01* +X98796206Y-130076960D01* +X98849157Y-130048658D01* +X98895568Y-130010568D01* +X98905112Y-129998939D01* +X99899944Y-129004108D01* +X99911568Y-128994568D01* +X99949658Y-128948157D01* +X99977960Y-128895206D01* +X99981579Y-128883275D01* +X99995389Y-128837752D01* +X99997265Y-128818706D01* +X99999800Y-128792966D01* +X99999800Y-128792958D01* +X100001273Y-128778000D01* +X99999800Y-128763042D01* +X99999800Y-121570001D01* +X107600002Y-113969800D01* +X107691271Y-113969800D01* +X107721286Y-114025953D01* +X107768507Y-114083493D01* +X107826047Y-114130714D01* +X107891693Y-114165803D01* +X107962923Y-114187410D01* +X108037000Y-114194706D01* +X109787000Y-114194706D01* +X109861077Y-114187410D01* +X109932307Y-114165803D01* +X109997953Y-114130714D01* +X110055493Y-114083493D01* +X110102714Y-114025953D01* +X110137803Y-113960307D01* +X110159410Y-113889077D01* +X110166706Y-113815000D01* +X110166706Y-113515000D01* +X110159410Y-113440923D01* +X110137803Y-113369693D01* +X110102714Y-113304047D01* +X110055493Y-113246507D01* +X109997953Y-113199286D01* +X109932307Y-113164197D01* +X109861077Y-113142590D01* +X109787000Y-113135294D01* +X108037000Y-113135294D01* +X107962923Y-113142590D01* +X107891693Y-113164197D01* +X107826047Y-113199286D01* +X107768507Y-113246507D01* +X107721286Y-113304047D01* +X107691271Y-113360200D01* +X107488708Y-113360200D01* +X107473750Y-113358727D01* +X107458792Y-113360200D01* +X107458784Y-113360200D01* +X107419153Y-113364103D01* +X107413998Y-113364611D01* +X107373887Y-113376779D01* +X107356544Y-113382040D01* +X107303593Y-113410342D01* +X107257182Y-113448432D01* +X107247642Y-113460056D01* +X99490061Y-121217638D01* +X99478432Y-121227182D01* +X99440342Y-121273594D01* +X99422376Y-121307208D01* +X99412040Y-121326545D01* +X99397513Y-121374435D01* +X99394611Y-121384000D01* +X99390200Y-121428785D01* +X99390200Y-121428792D01* +X99388727Y-121443750D01* +X99390200Y-121458708D01* +X99390201Y-128651747D01* +X98552749Y-129489200D01* +X95391957Y-129489200D01* +X95376999Y-129487727D01* +X95362041Y-129489200D01* +X95362034Y-129489200D01* +X95322889Y-129493055D01* +X95317248Y-129493611D01* +X95299819Y-129498898D01* +X95259794Y-129511040D01* +X95206843Y-129539342D01* +X95160432Y-129577432D01* +X95150892Y-129589056D01* +X93775061Y-130964888D01* +X93763432Y-130974432D01* +X93725342Y-131020844D01* +X93708867Y-131051668D01* +X93697040Y-131073795D01* +X93679612Y-131131248D01* +X93679611Y-131131250D01* +X93675200Y-131176035D01* +X93675200Y-131176042D01* +X93673727Y-131191000D01* +X93675200Y-131205958D01* +X93675200Y-131382294D01* +X93599000Y-131382294D01* +X93479857Y-131394029D01* +X93365293Y-131428781D01* +X93259710Y-131485217D01* +X93246815Y-131495800D01* +X92173185Y-131495800D01* +X92160290Y-131485217D01* +X92054707Y-131428781D01* +X91940143Y-131394029D01* +X91821000Y-131382294D01* +X91744800Y-131382294D01* +X91744800Y-131317251D01* +X93725252Y-129336800D01* +X98537042Y-129336800D01* +X98552000Y-129338273D01* +X98566958Y-129336800D01* +X98566966Y-129336800D01* +X98611751Y-129332389D01* +X98669206Y-129314960D01* +X98722157Y-129286658D01* +X98768568Y-129248568D01* +X98778112Y-129236939D01* +X99264944Y-128750108D01* +X99276568Y-128740568D01* +X99314658Y-128694157D01* +X99342960Y-128641206D01* +X99358797Y-128589000D01* +X99360389Y-128583752D01* +X99362023Y-128567156D01* +X99364800Y-128538966D01* +X99364800Y-128538959D01* +X99366273Y-128524001D01* +X99364800Y-128509043D01* +X99364800Y-118251958D01* +X99366273Y-118237000D01* +X99364800Y-118222042D01* +X99364800Y-118222034D01* +X99360389Y-118177249D01* +X99358115Y-118169751D01* +X99342960Y-118119794D01* +X99335721Y-118106251D01* +X99314658Y-118066843D01* +X99276568Y-118020432D01* +X99264945Y-118010893D01* +X97254112Y-116000061D01* +X97244568Y-115988432D01* +X97198157Y-115950342D01* +X97145206Y-115922040D01* +X97087751Y-115904611D01* +X97042966Y-115900200D01* +X97042958Y-115900200D01* +X97032160Y-115899137D01* +X97002714Y-115844047D01* +X96955493Y-115786507D01* +X96897953Y-115739286D01* +X96832307Y-115704197D01* +X96761077Y-115682590D01* +X96687000Y-115675294D01* +X94937000Y-115675294D01* +X94862923Y-115682590D01* +X94791693Y-115704197D01* +X94726047Y-115739286D01* +X94668507Y-115786507D01* +X94621286Y-115844047D01* +X94586197Y-115909693D01* +X94564590Y-115980923D01* +X94557294Y-116055000D01* +X94557294Y-116355000D01* +X94564590Y-116429077D01* +X94586197Y-116500307D01* +X94621286Y-116565953D01* +X94668507Y-116623493D01* +X94726047Y-116670714D01* +X94791693Y-116705803D01* +X94862923Y-116727410D01* +X94937000Y-116734706D01* +X96687000Y-116734706D01* +X96761077Y-116727410D01* +X96832307Y-116705803D01* +X96897953Y-116670714D01* +X96955493Y-116623493D01* +X96982515Y-116590566D01* +X98755200Y-118363252D01* +X98755201Y-128397747D01* +X98425749Y-128727200D01* +X93613957Y-128727200D01* +X93598999Y-128725727D01* +X93584041Y-128727200D01* +X93584034Y-128727200D01* +X93544889Y-128731055D01* +X93539248Y-128731611D01* +X93509722Y-128740568D01* +X93481794Y-128749040D01* +X93428843Y-128777342D01* +X93382432Y-128815432D01* +X93372892Y-128827056D01* +X91235061Y-130964888D01* +X91223432Y-130974432D01* +X91185342Y-131020844D01* +X91168867Y-131051668D01* +X91157040Y-131073795D01* +X91139612Y-131131248D01* +X91139611Y-131131250D01* +X91135200Y-131176035D01* +X91135200Y-131176042D01* +X91133727Y-131191000D01* +X91135200Y-131205958D01* +X91135200Y-131382294D01* +X91059000Y-131382294D01* +X90939857Y-131394029D01* +X90825293Y-131428781D01* +X90719710Y-131485217D01* +X90706815Y-131495800D01* +X89633185Y-131495800D01* +X89620290Y-131485217D01* +X89514707Y-131428781D01* +X89400143Y-131394029D01* +X89281000Y-131382294D01* +X89204800Y-131382294D01* +X89204800Y-128015251D01* +X89646963Y-127573088D01* +X91065400Y-127573088D01* +X91065400Y-127696912D01* +X91089556Y-127818356D01* +X91136941Y-127932754D01* +X91205734Y-128035709D01* +X91293291Y-128123266D01* +X91396246Y-128192059D01* +X91510644Y-128239444D01* +X91632088Y-128263600D01* +X91755912Y-128263600D01* +X91851434Y-128244600D01* +X92177685Y-128244600D01* +X92178458Y-128245542D01* +X92253045Y-128306754D01* +X92338141Y-128352239D01* +X92430475Y-128380248D01* +X92526500Y-128389706D01* +X93401500Y-128389706D01* +X93497525Y-128380248D01* +X93589859Y-128352239D01* +X93674955Y-128306754D01* +X93749542Y-128245542D01* +X93750315Y-128244600D01* +X94076566Y-128244600D01* +X94172088Y-128263600D01* +X94295912Y-128263600D01* +X94417356Y-128239444D01* +X94531754Y-128192059D01* +X94634709Y-128123266D01* +X94640375Y-128117600D01* +X94757638Y-128117600D01* +X94791693Y-128135803D01* +X94862923Y-128157410D01* +X94937000Y-128164706D01* +X96687000Y-128164706D01* +X96761077Y-128157410D01* +X96832307Y-128135803D01* +X96866362Y-128117600D01* +X97192799Y-128117600D01* +X97254813Y-128143287D01* +X97356937Y-128163600D01* +X97461063Y-128163600D01* +X97563187Y-128143287D01* +X97659386Y-128103440D01* +X97745963Y-128045591D01* +X97819591Y-127971963D01* +X97877440Y-127885386D01* +X97903128Y-127823371D01* +X97987477Y-127739021D01* +X98005901Y-127723901D01* +X98066209Y-127650415D01* +X98111022Y-127566577D01* +X98138617Y-127475606D01* +X98145600Y-127404707D01* +X98145600Y-127404706D01* +X98147935Y-127381001D01* +X98145600Y-127357296D01* +X98145600Y-125626696D01* +X98147934Y-125602999D01* +X98145600Y-125579302D01* +X98145600Y-125579293D01* +X98138617Y-125508394D01* +X98111022Y-125417423D01* +X98066209Y-125333585D01* +X98005901Y-125260099D01* +X97987482Y-125244983D01* +X97513017Y-124770518D01* +X97497901Y-124752099D01* +X97424415Y-124691791D01* +X97340577Y-124646978D01* +X97249606Y-124619383D01* +X97178707Y-124612400D01* +X97178705Y-124612400D01* +X97155000Y-124610065D01* +X97131295Y-124612400D01* +X96866362Y-124612400D01* +X96832307Y-124594197D01* +X96761077Y-124572590D01* +X96687000Y-124565294D01* +X94937000Y-124565294D01* +X94862923Y-124572590D01* +X94791693Y-124594197D01* +X94726047Y-124629286D01* +X94668507Y-124676507D01* +X94621286Y-124734047D01* +X94586197Y-124799693D01* +X94564590Y-124870923D01* +X94557294Y-124945000D01* +X94557294Y-125245000D01* +X94564590Y-125319077D01* +X94586197Y-125390307D01* +X94621286Y-125455953D01* +X94668507Y-125513493D01* +X94726047Y-125560714D01* +X94791693Y-125595803D01* +X94862923Y-125617410D01* +X94937000Y-125624706D01* +X96687000Y-125624706D01* +X96761077Y-125617410D01* +X96832307Y-125595803D01* +X96866362Y-125577600D01* +X96955101Y-125577600D01* +X97180400Y-125802899D01* +X97180401Y-127152400D01* +X96866362Y-127152400D01* +X96832307Y-127134197D01* +X96761077Y-127112590D01* +X96687000Y-127105294D01* +X94937000Y-127105294D01* +X94862923Y-127112590D01* +X94791693Y-127134197D01* +X94757638Y-127152400D01* +X94640375Y-127152400D01* +X94634709Y-127146734D01* +X94531754Y-127077941D01* +X94417356Y-127030556D01* +X94295912Y-127006400D01* +X94172088Y-127006400D01* +X94076566Y-127025400D01* +X93750315Y-127025400D01* +X93749542Y-127024458D01* +X93674955Y-126963246D01* +X93589859Y-126917761D01* +X93497525Y-126889752D01* +X93401500Y-126880294D01* +X92526500Y-126880294D01* +X92430475Y-126889752D01* +X92338141Y-126917761D01* +X92253045Y-126963246D01* +X92178458Y-127024458D01* +X92177685Y-127025400D01* +X91851434Y-127025400D01* +X91755912Y-127006400D01* +X91632088Y-127006400D01* +X91510644Y-127030556D01* +X91396246Y-127077941D01* +X91293291Y-127146734D01* +X91205734Y-127234291D01* +X91136941Y-127337246D01* +X91089556Y-127451644D01* +X91065400Y-127573088D01* +X89646963Y-127573088D01* +X90760051Y-126460000D01* +X92034294Y-126460000D01* +X92038708Y-126504813D01* +X92051779Y-126547905D01* +X92073006Y-126587618D01* +X92101573Y-126622427D01* +X92136382Y-126650994D01* +X92176095Y-126672221D01* +X92219187Y-126685292D01* +X92264000Y-126689706D01* +X92833050Y-126688600D01* +X92890200Y-126631450D01* +X92890200Y-126008800D01* +X93037800Y-126008800D01* +X93037800Y-126631450D01* +X93094950Y-126688600D01* +X93664000Y-126689706D01* +X93708813Y-126685292D01* +X93751905Y-126672221D01* +X93791618Y-126650994D01* +X93826427Y-126622427D01* +X93854994Y-126587618D01* +X93876221Y-126547905D01* +X93889292Y-126504813D01* +X93893706Y-126460000D01* +X93892600Y-126065950D01* +X93835450Y-126008800D01* +X93037800Y-126008800D01* +X92890200Y-126008800D01* +X92092550Y-126008800D01* +X92035400Y-126065950D01* +X92034294Y-126460000D01* +X90760051Y-126460000D01* +X91810051Y-125410000D01* +X92034294Y-125410000D01* +X92035400Y-125804050D01* +X92092550Y-125861200D01* +X92890200Y-125861200D01* +X92890200Y-125238550D01* +X93037800Y-125238550D01* +X93037800Y-125861200D01* +X93835450Y-125861200D01* +X93892600Y-125804050D01* +X93893706Y-125410000D01* +X93889292Y-125365187D01* +X93876221Y-125322095D01* +X93854994Y-125282382D01* +X93826427Y-125247573D01* +X93791618Y-125219006D01* +X93751905Y-125197779D01* +X93708813Y-125184708D01* +X93664000Y-125180294D01* +X93094950Y-125181400D01* +X93037800Y-125238550D01* +X92890200Y-125238550D01* +X92833050Y-125181400D01* +X92264000Y-125180294D01* +X92219187Y-125184708D01* +X92176095Y-125197779D01* +X92136382Y-125219006D01* +X92101573Y-125247573D01* +X92073006Y-125282382D01* +X92051779Y-125322095D01* +X92038708Y-125365187D01* +X92034294Y-125410000D01* +X91810051Y-125410000D01* +X92772752Y-124447300D01* +X93155249Y-124447300D01* +X93929201Y-125221253D01* +X93929200Y-125969042D01* +X93927727Y-125984000D01* +X93929200Y-125998958D01* +X93929200Y-125998965D01* +X93933055Y-126038110D01* +X93933611Y-126043751D01* +X93945226Y-126082040D01* +X93951040Y-126101205D01* +X93979342Y-126154156D01* +X94017432Y-126200568D01* +X94029061Y-126210112D01* +X94388892Y-126569944D01* +X94398432Y-126581568D01* +X94444843Y-126619658D01* +X94497794Y-126647960D01* +X94537819Y-126660102D01* +X94555248Y-126665389D01* +X94560889Y-126665945D01* +X94590784Y-126668889D01* +X94621286Y-126725953D01* +X94668507Y-126783493D01* +X94726047Y-126830714D01* +X94791693Y-126865803D01* +X94862923Y-126887410D01* +X94937000Y-126894706D01* +X96687000Y-126894706D01* +X96761077Y-126887410D01* +X96832307Y-126865803D01* +X96897953Y-126830714D01* +X96955493Y-126783493D01* +X97002714Y-126725953D01* +X97037803Y-126660307D01* +X97059410Y-126589077D01* +X97066706Y-126515000D01* +X97066706Y-126215000D01* +X97059410Y-126140923D01* +X97037803Y-126069693D01* +X97002714Y-126004047D01* +X96955493Y-125946507D01* +X96897953Y-125899286D01* +X96832307Y-125864197D01* +X96761077Y-125842590D01* +X96687000Y-125835294D01* +X94937000Y-125835294D01* +X94862923Y-125842590D01* +X94791693Y-125864197D01* +X94726047Y-125899286D01* +X94668507Y-125946507D01* +X94650049Y-125968998D01* +X94538800Y-125857749D01* +X94538800Y-125109957D01* +X94540273Y-125094999D01* +X94538800Y-125080041D01* +X94538800Y-125080034D01* +X94534389Y-125035249D01* +X94516960Y-124977794D01* +X94488658Y-124924843D01* +X94450568Y-124878432D01* +X94438944Y-124868892D01* +X93507612Y-123937561D01* +X93498068Y-123925932D01* +X93451657Y-123887842D01* +X93398706Y-123859540D01* +X93341251Y-123842111D01* +X93296466Y-123837700D01* +X93296458Y-123837700D01* +X93281500Y-123836227D01* +X93266542Y-123837700D01* +X92951286Y-123837700D01* +X93929186Y-122859800D01* +X94591271Y-122859800D01* +X94621286Y-122915953D01* +X94668507Y-122973493D01* +X94726047Y-123020714D01* +X94791693Y-123055803D01* +X94862923Y-123077410D01* +X94937000Y-123084706D01* +X96687000Y-123084706D01* +X96761077Y-123077410D01* +X96832307Y-123055803D01* +X96897953Y-123020714D01* +X96955493Y-122973493D01* +X97002714Y-122915953D01* +X97009571Y-122903125D01* +X97072037Y-122965591D01* +X97158614Y-123023440D01* +X97254813Y-123063287D01* +X97356937Y-123083600D01* +X97461063Y-123083600D01* +X97563187Y-123063287D01* +X97659386Y-123023440D01* +X97745963Y-122965591D01* +X97819591Y-122891963D01* +X97877440Y-122805386D01* +X97917287Y-122709187D01* +X97937600Y-122607063D01* +X97937600Y-122502937D01* +X97917287Y-122400813D01* +X97877440Y-122304614D01* +X97819591Y-122218037D01* +X97745963Y-122144409D01* +X97659386Y-122086560D01* +X97563187Y-122046713D01* +X97461063Y-122026400D01* +X97356937Y-122026400D01* +X97254813Y-122046713D01* +X97158614Y-122086560D01* +X97072037Y-122144409D01* +X97009571Y-122206875D01* +X97002714Y-122194047D01* +X96955493Y-122136507D01* +X96897953Y-122089286D01* +X96832307Y-122054197D01* +X96761077Y-122032590D01* +X96687000Y-122025294D01* +X94937000Y-122025294D01* +X94862923Y-122032590D01* +X94791693Y-122054197D01* +X94726047Y-122089286D01* +X94668507Y-122136507D01* +X94621286Y-122194047D01* +X94591271Y-122250200D01* +X94107720Y-122250200D01* +X94662160Y-121695760D01* +X94668507Y-121703493D01* +X94726047Y-121750714D01* +X94791693Y-121785803D01* +X94862923Y-121807410D01* +X94937000Y-121814706D01* +X96687000Y-121814706D01* +X96761077Y-121807410D01* +X96832307Y-121785803D01* +X96897953Y-121750714D01* +X96955493Y-121703493D01* +X97002714Y-121645953D01* +X97009571Y-121633125D01* +X97072037Y-121695591D01* +X97158614Y-121753440D01* +X97254813Y-121793287D01* +X97356937Y-121813600D01* +X97461063Y-121813600D01* +X97563187Y-121793287D01* +X97659386Y-121753440D01* +X97745963Y-121695591D01* +X97819591Y-121621963D01* +X97877440Y-121535386D01* +X97917287Y-121439187D01* +X97937600Y-121337063D01* +X97937600Y-121232937D01* +X97917287Y-121130813D01* +X97877440Y-121034614D01* +X97819591Y-120948037D01* +X97745963Y-120874409D01* +X97659386Y-120816560D01* +X97563187Y-120776713D01* +X97461063Y-120756400D01* +X97356937Y-120756400D01* +X97254813Y-120776713D01* +X97158614Y-120816560D01* +X97072037Y-120874409D01* +X97009571Y-120936875D01* +X97002714Y-120924047D01* +X96955493Y-120866507D01* +X96897953Y-120819286D01* +X96832307Y-120784197D01* +X96761077Y-120762590D01* +X96687000Y-120755294D01* +X94937000Y-120755294D01* +X94862923Y-120762590D01* +X94791693Y-120784197D01* +X94726047Y-120819286D01* +X94668507Y-120866507D01* +X94621286Y-120924047D01* +X94589291Y-120983904D01* +X94587271Y-120984103D01* +X94582116Y-120984611D01* +X94556849Y-120992276D01* +X94524662Y-121002040D01* +X94471711Y-121030342D01* +X94425300Y-121068432D01* +X94415760Y-121080056D01* +X94411800Y-121084016D01* +X94411800Y-120458751D01* +X94550752Y-120319800D01* +X94591271Y-120319800D01* +X94621286Y-120375953D01* +X94668507Y-120433493D01* +X94726047Y-120480714D01* +X94791693Y-120515803D01* +X94862923Y-120537410D01* +X94937000Y-120544706D01* +X96687000Y-120544706D01* +X96761077Y-120537410D01* +X96832307Y-120515803D01* +X96897953Y-120480714D01* +X96955493Y-120433493D01* +X97002714Y-120375953D01* +X97009571Y-120363125D01* +X97072037Y-120425591D01* +X97158614Y-120483440D01* +X97254813Y-120523287D01* +X97356937Y-120543600D01* +X97461063Y-120543600D01* +X97563187Y-120523287D01* +X97659386Y-120483440D01* +X97745963Y-120425591D01* +X97819591Y-120351963D01* +X97877440Y-120265386D01* +X97917287Y-120169187D01* +X97937600Y-120067063D01* +X97937600Y-119962937D01* +X97917287Y-119860813D01* +X97877440Y-119764614D01* +X97819591Y-119678037D01* +X97745963Y-119604409D01* +X97659386Y-119546560D01* +X97563187Y-119506713D01* +X97461063Y-119486400D01* +X97356937Y-119486400D01* +X97254813Y-119506713D01* +X97158614Y-119546560D01* +X97072037Y-119604409D01* +X97009571Y-119666875D01* +X97002714Y-119654047D01* +X96955493Y-119596507D01* +X96897953Y-119549286D01* +X96832307Y-119514197D01* +X96761077Y-119492590D01* +X96687000Y-119485294D01* +X94937000Y-119485294D01* +X94862923Y-119492590D01* +X94791693Y-119514197D01* +X94726047Y-119549286D01* +X94668507Y-119596507D01* +X94621286Y-119654047D01* +X94591271Y-119710200D01* +X94439458Y-119710200D01* +X94424500Y-119708727D01* +X94409542Y-119710200D01* +X94409534Y-119710200D01* +X94369903Y-119714103D01* +X94364748Y-119714611D01* +X94307294Y-119732040D01* +X94254343Y-119760342D01* +X94207932Y-119798432D01* +X94198392Y-119810056D01* +X94094300Y-119914148D01* +X94094300Y-119823751D01* +X94311944Y-119606108D01* +X94323568Y-119596568D01* +X94361658Y-119550157D01* +X94389960Y-119497206D01* +X94401871Y-119457941D01* +X94407389Y-119439752D01* +X94407897Y-119434597D01* +X94411800Y-119394966D01* +X94411800Y-119394958D01* +X94413273Y-119380000D01* +X94411800Y-119365042D01* +X94411800Y-119252251D01* +X94599276Y-119064776D01* +X94621286Y-119105953D01* +X94668507Y-119163493D01* +X94726047Y-119210714D01* +X94791693Y-119245803D01* +X94862923Y-119267410D01* +X94937000Y-119274706D01* +X96687000Y-119274706D01* +X96761077Y-119267410D01* +X96832307Y-119245803D01* +X96897953Y-119210714D01* +X96955493Y-119163493D01* +X97002714Y-119105953D01* +X97009571Y-119093125D01* +X97072037Y-119155591D01* +X97158614Y-119213440D01* +X97254813Y-119253287D01* +X97356937Y-119273600D01* +X97461063Y-119273600D01* +X97563187Y-119253287D01* +X97659386Y-119213440D01* +X97745963Y-119155591D01* +X97819591Y-119081963D01* +X97877440Y-118995386D01* +X97917287Y-118899187D01* +X97937600Y-118797063D01* +X97937600Y-118692937D01* +X97936536Y-118687588D01* +X97993201Y-118744253D01* +X97993200Y-122809748D01* +X97499002Y-123303946D01* +X97461063Y-123296400D01* +X97356937Y-123296400D01* +X97254813Y-123316713D01* +X97158614Y-123356560D01* +X97072037Y-123414409D01* +X97009571Y-123476875D01* +X97002714Y-123464047D01* +X96955493Y-123406507D01* +X96897953Y-123359286D01* +X96832307Y-123324197D01* +X96761077Y-123302590D01* +X96687000Y-123295294D01* +X94937000Y-123295294D01* +X94862923Y-123302590D01* +X94791693Y-123324197D01* +X94726047Y-123359286D01* +X94668507Y-123406507D01* +X94621286Y-123464047D01* +X94586197Y-123529693D01* +X94564590Y-123600923D01* +X94557294Y-123675000D01* +X94557294Y-123975000D01* +X94564590Y-124049077D01* +X94586197Y-124120307D01* +X94621286Y-124185953D01* +X94668507Y-124243493D01* +X94726047Y-124290714D01* +X94791693Y-124325803D01* +X94862923Y-124347410D01* +X94937000Y-124354706D01* +X96687000Y-124354706D01* +X96761077Y-124347410D01* +X96832307Y-124325803D01* +X96897953Y-124290714D01* +X96955493Y-124243493D01* +X97002714Y-124185953D01* +X97009571Y-124173125D01* +X97072037Y-124235591D01* +X97158614Y-124293440D01* +X97254813Y-124333287D01* +X97356937Y-124353600D01* +X97461063Y-124353600D01* +X97563187Y-124333287D01* +X97659386Y-124293440D01* +X97745963Y-124235591D01* +X97819591Y-124161963D01* +X97877440Y-124075386D01* +X97917287Y-123979187D01* +X97937600Y-123877063D01* +X97937600Y-123772937D01* +X97930054Y-123734998D01* +X98502945Y-123162107D01* +X98514568Y-123152568D01* +X98552658Y-123106157D01* +X98580960Y-123053206D01* +X98586774Y-123034040D01* +X98598389Y-122995752D01* +X98598897Y-122990597D01* +X98602800Y-122950966D01* +X98602800Y-122950958D01* +X98604273Y-122936000D01* +X98602800Y-122921042D01* +X98602800Y-118632957D01* +X98604273Y-118617999D01* +X98602800Y-118603041D01* +X98602800Y-118603034D01* +X98598389Y-118558249D01* +X98596115Y-118550751D01* +X98580960Y-118500795D01* +X98580960Y-118500794D01* +X98552658Y-118447843D01* +X98514568Y-118401432D01* +X98502944Y-118391892D01* +X98016112Y-117905061D01* +X98006568Y-117893432D01* +X97960157Y-117855342D01* +X97907206Y-117827040D01* +X97849751Y-117809611D01* +X97804966Y-117805200D01* +X97804958Y-117805200D01* +X97790000Y-117803727D01* +X97775042Y-117805200D01* +X97063731Y-117805200D01* +X97066706Y-117775000D01* +X97065600Y-117605950D01* +X97008450Y-117548800D01* +X95885800Y-117548800D01* +X95885800Y-117568800D01* +X95738200Y-117568800D01* +X95738200Y-117548800D01* +X94615550Y-117548800D01* +X94558400Y-117605950D01* +X94557294Y-117775000D01* +X94560269Y-117805200D01* +X94229933Y-117805200D01* +X94213657Y-117791842D01* +X94160706Y-117763540D01* +X94103251Y-117746111D01* +X94058466Y-117741700D01* +X94058458Y-117741700D01* +X94043500Y-117740227D01* +X94028542Y-117741700D01* +X93677458Y-117741700D01* +X93662500Y-117740227D01* +X93647542Y-117741700D01* +X93647534Y-117741700D01* +X93607903Y-117745603D01* +X93602748Y-117746111D01* +X93545294Y-117763540D01* +X93492343Y-117791842D01* +X93445932Y-117829932D01* +X93436392Y-117841556D01* +X93140061Y-118137888D01* +X93128432Y-118147432D01* +X93090342Y-118193844D01* +X93063429Y-118244197D01* +X93062040Y-118246795D01* +X93051920Y-118280157D01* +X93044611Y-118304250D01* +X93040200Y-118349035D01* +X93040200Y-118349042D01* +X93038727Y-118364000D01* +X93040200Y-118378958D01* +X93040201Y-119126747D01* +X92456749Y-119710200D01* +X92357729Y-119710200D01* +X92344143Y-119684783D01* +X92388751Y-119680389D01* +X92446206Y-119662960D01* +X92499157Y-119634658D01* +X92545568Y-119596568D01* +X92555112Y-119584939D01* +X92914944Y-119225108D01* +X92926568Y-119215568D01* +X92964658Y-119169157D01* +X92992960Y-119116206D01* +X93008114Y-119066250D01* +X93010389Y-119058752D01* +X93011032Y-119052221D01* +X93014800Y-119013966D01* +X93014800Y-119013959D01* +X93016273Y-118999001D01* +X93014800Y-118984043D01* +X93014800Y-117175000D01* +X94557294Y-117175000D01* +X94558400Y-117344050D01* +X94615550Y-117401200D01* +X95738200Y-117401200D01* +X95738200Y-117003550D01* +X95885800Y-117003550D01* +X95885800Y-117401200D01* +X97008450Y-117401200D01* +X97065600Y-117344050D01* +X97066706Y-117175000D01* +X97062292Y-117130187D01* +X97049221Y-117087095D01* +X97027994Y-117047382D01* +X96999427Y-117012573D01* +X96964618Y-116984006D01* +X96924905Y-116962779D01* +X96881813Y-116949708D01* +X96837000Y-116945294D01* +X95942950Y-116946400D01* +X95885800Y-117003550D01* +X95738200Y-117003550D01* +X95681050Y-116946400D01* +X94787000Y-116945294D01* +X94742187Y-116949708D01* +X94699095Y-116962779D01* +X94659382Y-116984006D01* +X94624573Y-117012573D01* +X94596006Y-117047382D01* +X94574779Y-117087095D01* +X94561708Y-117130187D01* +X94557294Y-117175000D01* +X93014800Y-117175000D01* +X93014800Y-116839251D01* +X94599276Y-115254776D01* +X94621286Y-115295953D01* +X94668507Y-115353493D01* +X94726047Y-115400714D01* +X94791693Y-115435803D01* +X94862923Y-115457410D01* +X94937000Y-115464706D01* +X96687000Y-115464706D01* +X96761077Y-115457410D01* +X96832307Y-115435803D01* +X96897953Y-115400714D01* +X96955493Y-115353493D01* +X97002714Y-115295953D01* +X97037803Y-115230307D01* +X97059410Y-115159077D01* +X97066706Y-115085000D01* +X97066706Y-114785000D01* +X97059410Y-114710923D01* +X97037803Y-114639693D01* +X97002714Y-114574047D01* +X96955493Y-114516507D01* +X96897953Y-114469286D01* +X96832307Y-114434197D01* +X96761077Y-114412590D01* +X96687000Y-114405294D01* +X94937000Y-114405294D01* +X94862923Y-114412590D01* +X94791693Y-114434197D01* +X94726047Y-114469286D01* +X94668507Y-114516507D01* +X94621286Y-114574047D01* +X94591271Y-114630200D01* +X94502958Y-114630200D01* +X94488000Y-114628727D01* +X94473042Y-114630200D01* +X94473034Y-114630200D01* +X94433403Y-114634103D01* +X94428248Y-114634611D01* +X94398722Y-114643568D01* +X94370794Y-114652040D01* +X94317843Y-114680342D01* +X94271432Y-114718432D01* +X94261892Y-114730056D01* +X92505061Y-116486888D01* +X92493432Y-116496432D01* +X92455342Y-116542844D01* +X92431924Y-116586658D01* +X92427040Y-116595795D01* +X92411886Y-116645752D01* +X92409611Y-116653250D01* +X92405200Y-116698035D01* +X92405200Y-116698042D01* +X92403727Y-116713000D01* +X92405200Y-116727958D01* +X92405201Y-118872747D01* +X92391706Y-118886242D01* +X92391706Y-118595000D01* +X92384410Y-118520923D01* +X92362803Y-118449693D01* +X92327714Y-118384047D01* +X92280493Y-118326507D01* +X92222953Y-118279286D01* +X92157307Y-118244197D01* +X92086077Y-118222590D01* +X92012000Y-118215294D01* +X90262000Y-118215294D01* +X90187923Y-118222590D01* +X90116693Y-118244197D01* +X90051047Y-118279286D01* +X89993507Y-118326507D01* +X89946286Y-118384047D01* +X89916271Y-118440200D01* +X89915252Y-118440200D01* +X87680800Y-116205749D01* +X87680800Y-111759251D01* +X89915252Y-109524800D01* +X89929848Y-109524800D01* +X89911197Y-109559693D01* +X89889590Y-109630923D01* +X89882294Y-109705000D01* +X89882294Y-110005000D01* +X89889590Y-110079077D01* +X89911197Y-110150307D01* +X89929848Y-110185200D01* +X89803958Y-110185200D01* +X89789000Y-110183727D01* +X89774042Y-110185200D01* +X89774034Y-110185200D01* +X89734403Y-110189103D01* +X89729248Y-110189611D01* +X89671794Y-110207040D01* +X89618843Y-110235342D01* +X89572432Y-110273432D01* +X89562892Y-110285056D01* +X87806061Y-112041888D01* +X87794432Y-112051432D01* +X87756342Y-112097844D01* +X87728040Y-112150795D01* +X87710611Y-112208250D01* +X87706200Y-112253035D01* +X87706200Y-112253042D01* +X87704727Y-112268000D01* +X87706200Y-112282958D01* +X87706201Y-115682032D01* +X87704727Y-115697000D01* +X87710611Y-115756751D01* +X87728040Y-115814205D01* +X87728041Y-115814206D01* +X87756343Y-115867157D01* +X87794433Y-115913568D01* +X87806057Y-115923108D01* +X89562891Y-117679943D01* +X89572432Y-117691568D01* +X89618843Y-117729658D01* +X89671794Y-117757960D01* +X89729248Y-117775389D01* +X89734403Y-117775897D01* +X89774034Y-117779800D01* +X89774042Y-117779800D01* +X89789000Y-117781273D01* +X89803958Y-117779800D01* +X89916271Y-117779800D01* +X89946286Y-117835953D01* +X89993507Y-117893493D01* +X90051047Y-117940714D01* +X90116693Y-117975803D01* +X90187923Y-117997410D01* +X90262000Y-118004706D01* +X92012000Y-118004706D01* +X92086077Y-117997410D01* +X92157307Y-117975803D01* +X92222953Y-117940714D01* +X92280493Y-117893493D01* +X92327714Y-117835953D01* +X92362803Y-117770307D01* +X92384410Y-117699077D01* +X92391706Y-117625000D01* +X92391706Y-117325000D01* +X92384410Y-117250923D01* +X92362803Y-117179693D01* +X92327714Y-117114047D01* +X92280493Y-117056507D01* +X92222953Y-117009286D01* +X92157307Y-116974197D01* +X92086077Y-116952590D01* +X92012000Y-116945294D01* +X90262000Y-116945294D01* +X90187923Y-116952590D01* +X90116693Y-116974197D01* +X90051047Y-117009286D01* +X89993507Y-117056507D01* +X89946286Y-117114047D01* +X89916271Y-117170200D01* +X89915252Y-117170200D01* +X88315800Y-115570749D01* +X88315800Y-112394251D01* +X89915252Y-110794800D01* +X89929848Y-110794800D01* +X89911197Y-110829693D01* +X89889590Y-110900923D01* +X89882294Y-110975000D01* +X89882294Y-111275000D01* +X89889590Y-111349077D01* +X89911197Y-111420307D01* +X89929848Y-111455200D01* +X89803957Y-111455200D01* +X89788999Y-111453727D01* +X89774041Y-111455200D01* +X89774034Y-111455200D01* +X89734889Y-111459055D01* +X89729248Y-111459611D01* +X89718591Y-111462844D01* +X89671794Y-111477040D01* +X89618843Y-111505342D01* +X89572432Y-111543432D01* +X89562892Y-111555056D01* +X88441061Y-112676888D01* +X88429432Y-112686432D01* +X88391342Y-112732844D01* +X88363040Y-112785795D01* +X88345611Y-112843250D01* +X88341200Y-112888035D01* +X88341200Y-112888042D01* +X88339727Y-112903000D01* +X88341200Y-112917958D01* +X88341201Y-115047032D01* +X88339727Y-115062000D01* +X88345611Y-115121751D01* +X88363040Y-115179205D01* +X88375713Y-115202915D01* +X88391343Y-115232157D01* +X88429433Y-115278568D01* +X88441057Y-115288108D01* +X89562891Y-116409943D01* +X89572432Y-116421568D01* +X89618843Y-116459658D01* +X89671792Y-116487959D01* +X89671794Y-116487960D01* +X89729248Y-116505389D01* +X89734889Y-116505945D01* +X89774034Y-116509800D01* +X89774041Y-116509800D01* +X89788999Y-116511273D01* +X89803957Y-116509800D01* +X89916271Y-116509800D01* +X89946286Y-116565953D01* +X89993507Y-116623493D01* +X90051047Y-116670714D01* +X90116693Y-116705803D01* +X90187923Y-116727410D01* +X90262000Y-116734706D01* +X92012000Y-116734706D01* +X92086077Y-116727410D01* +X92157307Y-116705803D01* +X92222953Y-116670714D01* +X92280493Y-116623493D01* +X92327714Y-116565953D01* +X92362803Y-116500307D01* +X92384410Y-116429077D01* +X92391706Y-116355000D01* +X92391706Y-116055000D01* +X92384410Y-115980923D01* +X92362803Y-115909693D01* +X92327714Y-115844047D01* +X92280493Y-115786507D01* +X92222953Y-115739286D01* +X92157307Y-115704197D01* +X92086077Y-115682590D01* +X92012000Y-115675294D01* +X90262000Y-115675294D01* +X90187923Y-115682590D01* +X90116693Y-115704197D01* +X90051047Y-115739286D01* +X89993507Y-115786507D01* +X89946286Y-115844047D01* +X89916271Y-115900200D01* +X89915252Y-115900200D01* +X88950800Y-114935749D01* +X88950800Y-113029251D01* +X89915252Y-112064800D01* +X89929848Y-112064800D01* +X89911197Y-112099693D01* +X89889590Y-112170923D01* +X89882294Y-112245000D01* +X89882294Y-112545000D01* +X89889590Y-112619077D01* +X89911197Y-112690307D01* +X89929848Y-112725200D01* +X89803957Y-112725200D01* +X89788999Y-112723727D01* +X89774041Y-112725200D01* +X89774034Y-112725200D01* +X89734889Y-112729055D01* +X89729248Y-112729611D01* +X89718591Y-112732844D01* +X89671794Y-112747040D01* +X89618843Y-112775342D01* +X89572432Y-112813432D01* +X89562892Y-112825056D01* +X89076061Y-113311888D01* +X89064432Y-113321432D01* +X89026342Y-113367844D01* +X89006696Y-113404600D01* +X88998040Y-113420795D01* +X88980721Y-113477889D01* +X88980611Y-113478250D01* +X88976200Y-113523035D01* +X88976200Y-113523042D01* +X88974727Y-113538000D01* +X88976200Y-113552958D01* +X88976201Y-114412032D01* +X88974727Y-114427000D01* +X88980611Y-114486751D01* +X88998040Y-114544205D01* +X89003979Y-114555316D01* +X89026343Y-114597157D01* +X89064433Y-114643568D01* +X89076056Y-114653107D01* +X89562892Y-115139944D01* +X89572432Y-115151568D01* +X89618843Y-115189658D01* +X89671794Y-115217960D01* +X89711819Y-115230102D01* +X89729248Y-115235389D01* +X89734889Y-115235945D01* +X89774034Y-115239800D01* +X89774041Y-115239800D01* +X89788999Y-115241273D01* +X89803957Y-115239800D01* +X89916271Y-115239800D01* +X89946286Y-115295953D01* +X89993507Y-115353493D01* +X90051047Y-115400714D01* +X90116693Y-115435803D01* +X90187923Y-115457410D01* +X90262000Y-115464706D01* +X92012000Y-115464706D01* +X92086077Y-115457410D01* +X92157307Y-115435803D01* +X92222953Y-115400714D01* +X92280493Y-115353493D01* +X92327714Y-115295953D01* +X92362803Y-115230307D01* +X92384410Y-115159077D01* +X92391706Y-115085000D01* +X92391706Y-114785000D01* +X92384410Y-114710923D01* +X92362803Y-114639693D01* +X92327714Y-114574047D01* +X92280493Y-114516507D01* +X92222953Y-114469286D01* +X92157307Y-114434197D01* +X92086077Y-114412590D01* +X92012000Y-114405294D01* +X90262000Y-114405294D01* +X90187923Y-114412590D01* +X90116693Y-114434197D01* +X90051047Y-114469286D01* +X89993507Y-114516507D01* +X89946286Y-114574047D01* +X89916271Y-114630200D01* +X89915252Y-114630200D01* +X89585800Y-114300749D01* +X89585800Y-113664251D01* +X89915252Y-113334800D01* +X89929848Y-113334800D01* +X89911197Y-113369693D01* +X89889590Y-113440923D01* +X89882294Y-113515000D01* +X89882294Y-113815000D01* +X89889590Y-113889077D01* +X89911197Y-113960307D01* +X89946286Y-114025953D01* +X89993507Y-114083493D01* +X90051047Y-114130714D01* +X90116693Y-114165803D01* +X90187923Y-114187410D01* +X90262000Y-114194706D01* +X92012000Y-114194706D01* +X92086077Y-114187410D01* +X92157307Y-114165803D01* +X92222953Y-114130714D01* +X92280493Y-114083493D01* +X92327714Y-114025953D01* +X92357729Y-113969800D01* +X94591271Y-113969800D01* +X94621286Y-114025953D01* +X94668507Y-114083493D01* +X94726047Y-114130714D01* +X94791693Y-114165803D01* +X94862923Y-114187410D01* +X94937000Y-114194706D01* +X96687000Y-114194706D01* +X96761077Y-114187410D01* +X96832307Y-114165803D01* +X96897953Y-114130714D01* +X96955493Y-114083493D01* +X97002714Y-114025953D01* +X97037803Y-113960307D01* +X97059410Y-113889077D01* +X97066706Y-113815000D01* +X97066706Y-113515000D01* +X97059410Y-113440923D01* +X97037803Y-113369693D01* +X97019152Y-113334800D01* +X98664042Y-113334800D01* +X98679000Y-113336273D01* +X98693958Y-113334800D01* +X98693966Y-113334800D01* +X98738751Y-113330389D01* +X98796206Y-113312960D01* +X98849157Y-113284658D01* +X98895568Y-113246568D01* +X98905112Y-113234939D01* +X99440252Y-112699800D01* +X107691271Y-112699800D01* +X107721286Y-112755953D01* +X107768507Y-112813493D01* +X107826047Y-112860714D01* +X107891693Y-112895803D01* +X107962923Y-112917410D01* +X108037000Y-112924706D01* +X109787000Y-112924706D01* +X109861077Y-112917410D01* +X109932307Y-112895803D01* +X109997953Y-112860714D01* +X110055493Y-112813493D01* +X110102714Y-112755953D01* +X110137803Y-112690307D01* +X110159410Y-112619077D01* +X110162273Y-112590000D01* +X115421294Y-112590000D01* +X115421294Y-113765000D01* +X115428590Y-113839077D01* +X115450197Y-113910307D01* +X115485286Y-113975953D01* +X115532507Y-114033493D01* +X115590047Y-114080714D01* +X115655693Y-114115803D01* +X115726923Y-114137410D01* +X115801000Y-114144706D01* +X116101000Y-114144706D01* +X116175077Y-114137410D01* +X116246307Y-114115803D01* +X116311953Y-114080714D01* +X116369493Y-114033493D01* +X116416714Y-113975953D01* +X116451803Y-113910307D01* +X116473410Y-113839077D01* +X116480706Y-113765000D01* +X116480706Y-112590000D01* +X116691294Y-112590000D01* +X116691294Y-113765000D01* +X116698590Y-113839077D01* +X116720197Y-113910307D01* +X116755286Y-113975953D01* +X116802507Y-114033493D01* +X116860047Y-114080714D01* +X116925693Y-114115803D01* +X116996923Y-114137410D01* +X117071000Y-114144706D01* +X117371000Y-114144706D01* +X117445077Y-114137410D01* +X117516307Y-114115803D01* +X117581953Y-114080714D01* +X117639493Y-114033493D01* +X117686714Y-113975953D01* +X117721803Y-113910307D01* +X117743410Y-113839077D01* +X117750706Y-113765000D01* +X117750706Y-112590000D01* +X117961294Y-112590000D01* +X117961294Y-113765000D01* +X117968590Y-113839077D01* +X117990197Y-113910307D01* +X118025286Y-113975953D01* +X118072507Y-114033493D01* +X118130047Y-114080714D01* +X118195693Y-114115803D01* +X118266923Y-114137410D01* +X118341000Y-114144706D01* +X118641000Y-114144706D01* +X118715077Y-114137410D01* +X118786307Y-114115803D01* +X118851953Y-114080714D01* +X118909493Y-114033493D01* +X118956714Y-113975953D01* +X118991803Y-113910307D01* +X119013410Y-113839077D01* +X119020706Y-113765000D01* +X119020706Y-112590000D01* +X119231294Y-112590000D01* +X119231294Y-113765000D01* +X119238590Y-113839077D01* +X119260197Y-113910307D01* +X119295286Y-113975953D01* +X119342507Y-114033493D01* +X119400047Y-114080714D01* +X119465693Y-114115803D01* +X119536923Y-114137410D01* +X119611000Y-114144706D01* +X119911000Y-114144706D01* +X119985077Y-114137410D01* +X120056307Y-114115803D01* +X120121953Y-114080714D01* +X120179493Y-114033493D01* +X120226714Y-113975953D01* +X120261803Y-113910307D01* +X120283410Y-113839077D01* +X120290706Y-113765000D01* +X120290706Y-112590000D01* +X120283410Y-112515923D01* +X120261803Y-112444693D01* +X120226714Y-112379047D01* +X120179493Y-112321507D01* +X120121953Y-112274286D01* +X120056307Y-112239197D01* +X119985077Y-112217590D01* +X119911000Y-112210294D01* +X119611000Y-112210294D01* +X119536923Y-112217590D01* +X119465693Y-112239197D01* +X119400047Y-112274286D01* +X119342507Y-112321507D01* +X119295286Y-112379047D01* +X119260197Y-112444693D01* +X119238590Y-112515923D01* +X119231294Y-112590000D01* +X119020706Y-112590000D01* +X119013410Y-112515923D01* +X118991803Y-112444693D01* +X118956714Y-112379047D01* +X118909493Y-112321507D01* +X118851953Y-112274286D01* +X118851507Y-112274047D01* +X118901591Y-112223963D01* +X118959440Y-112137386D01* +X118999287Y-112041187D01* +X119019600Y-111939063D01* +X119019600Y-111834937D01* +X118999287Y-111732813D01* +X118959440Y-111636614D01* +X118901591Y-111550037D01* +X118827963Y-111476409D01* +X118741386Y-111418560D01* +X118645187Y-111378713D01* +X118543063Y-111358400D01* +X118438937Y-111358400D01* +X118336813Y-111378713D01* +X118240614Y-111418560D01* +X118154037Y-111476409D01* +X118080409Y-111550037D01* +X118022560Y-111636614D01* +X117982713Y-111732813D01* +X117962400Y-111834937D01* +X117962400Y-111939063D01* +X117982713Y-112041187D01* +X118022560Y-112137386D01* +X118080409Y-112223963D01* +X118130493Y-112274047D01* +X118130047Y-112274286D01* +X118072507Y-112321507D01* +X118025286Y-112379047D01* +X117990197Y-112444693D01* +X117968590Y-112515923D01* +X117961294Y-112590000D01* +X117750706Y-112590000D01* +X117743410Y-112515923D01* +X117721803Y-112444693D01* +X117686714Y-112379047D01* +X117639493Y-112321507D01* +X117581953Y-112274286D01* +X117581507Y-112274047D01* +X117631591Y-112223963D01* +X117689440Y-112137386D01* +X117729287Y-112041187D01* +X117749600Y-111939063D01* +X117749600Y-111834937D01* +X117729287Y-111732813D01* +X117689440Y-111636614D01* +X117631591Y-111550037D01* +X117557963Y-111476409D01* +X117471386Y-111418560D01* +X117375187Y-111378713D01* +X117273063Y-111358400D01* +X117168937Y-111358400D01* +X117066813Y-111378713D01* +X116970614Y-111418560D01* +X116884037Y-111476409D01* +X116810409Y-111550037D01* +X116752560Y-111636614D01* +X116712713Y-111732813D01* +X116692400Y-111834937D01* +X116692400Y-111939063D01* +X116712713Y-112041187D01* +X116752560Y-112137386D01* +X116810409Y-112223963D01* +X116860493Y-112274047D01* +X116860047Y-112274286D01* +X116802507Y-112321507D01* +X116755286Y-112379047D01* +X116720197Y-112444693D01* +X116698590Y-112515923D01* +X116691294Y-112590000D01* +X116480706Y-112590000D01* +X116473410Y-112515923D01* +X116451803Y-112444693D01* +X116416714Y-112379047D01* +X116369493Y-112321507D01* +X116311953Y-112274286D01* +X116311507Y-112274047D01* +X116361591Y-112223963D01* +X116419440Y-112137386D01* +X116459287Y-112041187D01* +X116479600Y-111939063D01* +X116479600Y-111834937D01* +X116459287Y-111732813D01* +X116419440Y-111636614D01* +X116361591Y-111550037D01* +X116287963Y-111476409D01* +X116201386Y-111418560D01* +X116105187Y-111378713D01* +X116003063Y-111358400D01* +X115898937Y-111358400D01* +X115796813Y-111378713D01* +X115700614Y-111418560D01* +X115614037Y-111476409D01* +X115540409Y-111550037D01* +X115482560Y-111636614D01* +X115442713Y-111732813D01* +X115422400Y-111834937D01* +X115422400Y-111939063D01* +X115442713Y-112041187D01* +X115482560Y-112137386D01* +X115540409Y-112223963D01* +X115590493Y-112274047D01* +X115590047Y-112274286D01* +X115532507Y-112321507D01* +X115485286Y-112379047D01* +X115450197Y-112444693D01* +X115428590Y-112515923D01* +X115421294Y-112590000D01* +X110162273Y-112590000D01* +X110166706Y-112545000D01* +X110166706Y-112245000D01* +X110159410Y-112170923D01* +X110137803Y-112099693D01* +X110102714Y-112034047D01* +X110055493Y-111976507D01* +X109997953Y-111929286D01* +X109932307Y-111894197D01* +X109861077Y-111872590D01* +X109787000Y-111865294D01* +X108037000Y-111865294D01* +X107962923Y-111872590D01* +X107891693Y-111894197D01* +X107826047Y-111929286D01* +X107768507Y-111976507D01* +X107721286Y-112034047D01* +X107691271Y-112090200D01* +X99328958Y-112090200D01* +X99314000Y-112088727D01* +X99299042Y-112090200D01* +X99299034Y-112090200D01* +X99254249Y-112094611D01* +X99196794Y-112112040D01* +X99143843Y-112140342D01* +X99097432Y-112178432D01* +X99087892Y-112190056D01* +X98552749Y-112725200D01* +X97019152Y-112725200D01* +X97037803Y-112690307D01* +X97059410Y-112619077D01* +X97066706Y-112545000D01* +X97066706Y-112245000D01* +X97059410Y-112170923D01* +X97037803Y-112099693D01* +X97019152Y-112064800D01* +X98664042Y-112064800D01* +X98679000Y-112066273D01* +X98693958Y-112064800D01* +X98693966Y-112064800D01* +X98738751Y-112060389D01* +X98796206Y-112042960D01* +X98849157Y-112014658D01* +X98895568Y-111976568D01* +X98905112Y-111964939D01* +X99440252Y-111429800D01* +X107691271Y-111429800D01* +X107721286Y-111485953D01* +X107768507Y-111543493D01* +X107826047Y-111590714D01* +X107891693Y-111625803D01* +X107962923Y-111647410D01* +X108037000Y-111654706D01* +X109787000Y-111654706D01* +X109861077Y-111647410D01* +X109932307Y-111625803D01* +X109997953Y-111590714D01* +X110055493Y-111543493D01* +X110102714Y-111485953D01* +X110137803Y-111420307D01* +X110159410Y-111349077D01* +X110166706Y-111275000D01* +X110166706Y-110975000D01* +X110159410Y-110900923D01* +X110137803Y-110829693D01* +X110126743Y-110809000D01* +X118576294Y-110809000D01* +X118580708Y-110853813D01* +X118593779Y-110896905D01* +X118615006Y-110936618D01* +X118643573Y-110971427D01* +X118678382Y-110999994D01* +X118718095Y-111021221D01* +X118761187Y-111034292D01* +X118806000Y-111038706D01* +X119200050Y-111037600D01* +X119257200Y-110980450D01* +X119257200Y-110182800D01* +X119404800Y-110182800D01* +X119404800Y-110980450D01* +X119461950Y-111037600D01* +X119856000Y-111038706D01* +X119900813Y-111034292D01* +X119943905Y-111021221D01* +X119983618Y-110999994D01* +X120018427Y-110971427D01* +X120046994Y-110936618D01* +X120068221Y-110896905D01* +X120081292Y-110853813D01* +X120085706Y-110809000D01* +X120084600Y-110239950D01* +X120027450Y-110182800D01* +X119404800Y-110182800D01* +X119257200Y-110182800D01* +X118634550Y-110182800D01* +X118577400Y-110239950D01* +X118576294Y-110809000D01* +X110126743Y-110809000D01* +X110102714Y-110764047D01* +X110055493Y-110706507D01* +X109997953Y-110659286D01* +X109932307Y-110624197D01* +X109861077Y-110602590D01* +X109787000Y-110595294D01* +X108037000Y-110595294D01* +X107962923Y-110602590D01* +X107891693Y-110624197D01* +X107826047Y-110659286D01* +X107768507Y-110706507D01* +X107721286Y-110764047D01* +X107691271Y-110820200D01* +X99328958Y-110820200D01* +X99314000Y-110818727D01* +X99299042Y-110820200D01* +X99299034Y-110820200D01* +X99254249Y-110824611D01* +X99196794Y-110842040D01* +X99143843Y-110870342D01* +X99097432Y-110908432D01* +X99087892Y-110920056D01* +X98552749Y-111455200D01* +X97019152Y-111455200D01* +X97037803Y-111420307D01* +X97059410Y-111349077D01* +X97066706Y-111275000D01* +X97066706Y-110975000D01* +X97059410Y-110900923D01* +X97037803Y-110829693D01* +X97019152Y-110794800D01* +X98664042Y-110794800D01* +X98679000Y-110796273D01* +X98693958Y-110794800D01* +X98693966Y-110794800D01* +X98738751Y-110790389D01* +X98796206Y-110772960D01* +X98849157Y-110744658D01* +X98895568Y-110706568D01* +X98905112Y-110694939D01* +X99440252Y-110159800D01* +X107691271Y-110159800D01* +X107721286Y-110215953D01* +X107768507Y-110273493D01* +X107826047Y-110320714D01* +X107891693Y-110355803D01* +X107962923Y-110377410D01* +X108037000Y-110384706D01* +X109787000Y-110384706D01* +X109861077Y-110377410D01* +X109932307Y-110355803D01* +X109997953Y-110320714D01* +X110055493Y-110273493D01* +X110102714Y-110215953D01* +X110137803Y-110150307D01* +X110159410Y-110079077D01* +X110166706Y-110005000D01* +X110166706Y-109705000D01* +X110159410Y-109630923D01* +X110137803Y-109559693D01* +X110102714Y-109494047D01* +X110055493Y-109436507D01* +X110021976Y-109409000D01* +X118576294Y-109409000D01* +X118577400Y-109978050D01* +X118634550Y-110035200D01* +X119257200Y-110035200D01* +X119257200Y-109237550D01* +X119404800Y-109237550D01* +X119404800Y-110035200D01* +X120027450Y-110035200D01* +X120084600Y-109978050D01* +X120085195Y-109671500D01* +X120276294Y-109671500D01* +X120276294Y-110546500D01* +X120285752Y-110642525D01* +X120313761Y-110734859D01* +X120359246Y-110819955D01* +X120420458Y-110894542D01* +X120421401Y-110895316D01* +X120421401Y-111221561D01* +X120402400Y-111317088D01* +X120402400Y-111440912D01* +X120426556Y-111562356D01* +X120473941Y-111676754D01* +X120542734Y-111779709D01* +X120548401Y-111785376D01* +X120548401Y-112410637D01* +X120530197Y-112444693D01* +X120508590Y-112515923D01* +X120501294Y-112590000D01* +X120501294Y-113765000D01* +X120508590Y-113839077D01* +X120530197Y-113910307D01* +X120548401Y-113944363D01* +X120548401Y-114147624D01* +X120542734Y-114153291D01* +X120473941Y-114256246D01* +X120426556Y-114370644D01* +X120402400Y-114492088D01* +X120402400Y-114615912D01* +X120426556Y-114737356D01* +X120473941Y-114851754D01* +X120542734Y-114954709D01* +X120630291Y-115042266D01* +X120733246Y-115111059D01* +X120847644Y-115158444D01* +X120969088Y-115182600D01* +X121092912Y-115182600D01* +X121214356Y-115158444D01* +X121328754Y-115111059D01* +X121431709Y-115042266D01* +X121519266Y-114954709D01* +X121588059Y-114851754D01* +X121615709Y-114785000D01* +X124356294Y-114785000D01* +X124356294Y-115085000D01* +X124363590Y-115159077D01* +X124385197Y-115230307D01* +X124420286Y-115295953D01* +X124467507Y-115353493D01* +X124525047Y-115400714D01* +X124590693Y-115435803D01* +X124661923Y-115457410D01* +X124736000Y-115464706D01* +X125911000Y-115464706D01* +X125985077Y-115457410D01* +X126056307Y-115435803D01* +X126121953Y-115400714D01* +X126179493Y-115353493D01* +X126226714Y-115295953D01* +X126261803Y-115230307D01* +X126283410Y-115159077D01* +X126290706Y-115085000D01* +X126290706Y-114785000D01* +X126283410Y-114710923D01* +X126261803Y-114639693D01* +X126226714Y-114574047D01* +X126179493Y-114516507D01* +X126121953Y-114469286D01* +X126056307Y-114434197D01* +X125985077Y-114412590D01* +X125911000Y-114405294D01* +X124736000Y-114405294D01* +X124661923Y-114412590D01* +X124590693Y-114434197D01* +X124525047Y-114469286D01* +X124467507Y-114516507D01* +X124420286Y-114574047D01* +X124385197Y-114639693D01* +X124363590Y-114710923D01* +X124356294Y-114785000D01* +X121615709Y-114785000D01* +X121635444Y-114737356D01* +X121659600Y-114615912D01* +X121659600Y-114492088D01* +X121635444Y-114370644D01* +X121588059Y-114256246D01* +X121519266Y-114153291D01* +X121513600Y-114147625D01* +X121513600Y-113944362D01* +X121531803Y-113910307D01* +X121553410Y-113839077D01* +X121560706Y-113765000D01* +X121560706Y-112590000D01* +X121771294Y-112590000D01* +X121771294Y-113765000D01* +X121778590Y-113839077D01* +X121800197Y-113910307D01* +X121835286Y-113975953D01* +X121882507Y-114033493D01* +X121940047Y-114080714D01* +X122005693Y-114115803D01* +X122076923Y-114137410D01* +X122151000Y-114144706D01* +X122451000Y-114144706D01* +X122525077Y-114137410D01* +X122596307Y-114115803D01* +X122661953Y-114080714D01* +X122719493Y-114033493D01* +X122766714Y-113975953D01* +X122801803Y-113910307D01* +X122823410Y-113839077D01* +X122830706Y-113765000D01* +X122830706Y-112590000D01* +X123041294Y-112590000D01* +X123041294Y-113765000D01* +X123048590Y-113839077D01* +X123070197Y-113910307D01* +X123105286Y-113975953D01* +X123152507Y-114033493D01* +X123210047Y-114080714D01* +X123275693Y-114115803D01* +X123346923Y-114137410D01* +X123421000Y-114144706D01* +X123721000Y-114144706D01* +X123795077Y-114137410D01* +X123866307Y-114115803D01* +X123931953Y-114080714D01* +X123989493Y-114033493D01* +X124036714Y-113975953D01* +X124071803Y-113910307D01* +X124093410Y-113839077D01* +X124100706Y-113765000D01* +X124100706Y-112590000D01* +X124093410Y-112515923D01* +X124071803Y-112444693D01* +X124036714Y-112379047D01* +X123989493Y-112321507D01* +X123931953Y-112274286D01* +X123866307Y-112239197D01* +X123795077Y-112217590D01* +X123721000Y-112210294D01* +X123421000Y-112210294D01* +X123346923Y-112217590D01* +X123275693Y-112239197D01* +X123210047Y-112274286D01* +X123152507Y-112321507D01* +X123105286Y-112379047D01* +X123070197Y-112444693D01* +X123048590Y-112515923D01* +X123041294Y-112590000D01* +X122830706Y-112590000D01* +X122823410Y-112515923D01* +X122801803Y-112444693D01* +X122766714Y-112379047D01* +X122719493Y-112321507D01* +X122661953Y-112274286D01* +X122605800Y-112244271D01* +X122605800Y-112140251D01* +X122808252Y-111937800D01* +X124460749Y-111937800D01* +X128932891Y-116409943D01* +X128942432Y-116421568D01* +X128988843Y-116459658D01* +X129041794Y-116487960D01* +X129081819Y-116500102D01* +X129099248Y-116505389D01* +X129104889Y-116505945D01* +X129144034Y-116509800D01* +X129144041Y-116509800D01* +X129158999Y-116511273D01* +X129173957Y-116509800D01* +X129450271Y-116509800D01* +X129480286Y-116565953D01* +X129527507Y-116623493D01* +X129585047Y-116670714D01* +X129650693Y-116705803D01* +X129721923Y-116727410D01* +X129796000Y-116734706D01* +X131446000Y-116734706D01* +X131520077Y-116727410D01* +X131591307Y-116705803D01* +X131656953Y-116670714D01* +X131714493Y-116623493D01* +X131761714Y-116565953D01* +X131796803Y-116500307D01* +X131818410Y-116429077D01* +X131825706Y-116355000D01* +X131825706Y-116055000D01* +X131818410Y-115980923D01* +X131796803Y-115909693D01* +X131761714Y-115844047D01* +X131714493Y-115786507D01* +X131656953Y-115739286D01* +X131591307Y-115704197D01* +X131520077Y-115682590D01* +X131446000Y-115675294D01* +X129796000Y-115675294D01* +X129721923Y-115682590D01* +X129650693Y-115704197D01* +X129585047Y-115739286D01* +X129527507Y-115786507D01* +X129480286Y-115844047D01* +X129450271Y-115900200D01* +X129285252Y-115900200D01* +X128620052Y-115235000D01* +X129416294Y-115235000D01* +X129420708Y-115279813D01* +X129433779Y-115322905D01* +X129455006Y-115362618D01* +X129483573Y-115397427D01* +X129518382Y-115425994D01* +X129558095Y-115447221D01* +X129601187Y-115460292D01* +X129646000Y-115464706D01* +X130490050Y-115463600D01* +X130547200Y-115406450D01* +X130547200Y-115008800D01* +X130694800Y-115008800D01* +X130694800Y-115406450D01* +X130751950Y-115463600D01* +X131596000Y-115464706D01* +X131640813Y-115460292D01* +X131683905Y-115447221D01* +X131723618Y-115425994D01* +X131758427Y-115397427D01* +X131786994Y-115362618D01* +X131808221Y-115322905D01* +X131821292Y-115279813D01* +X131825706Y-115235000D01* +X131824600Y-115065950D01* +X131767450Y-115008800D01* +X130694800Y-115008800D01* +X130547200Y-115008800D01* +X129474550Y-115008800D01* +X129417400Y-115065950D01* +X129416294Y-115235000D01* +X128620052Y-115235000D01* +X128020052Y-114635000D01* +X129416294Y-114635000D01* +X129417400Y-114804050D01* +X129474550Y-114861200D01* +X130547200Y-114861200D01* +X130547200Y-114463550D01* +X130694800Y-114463550D01* +X130694800Y-114861200D01* +X131767450Y-114861200D01* +X131824600Y-114804050D01* +X131825706Y-114635000D01* +X131821292Y-114590187D01* +X131808221Y-114547095D01* +X131786994Y-114507382D01* +X131758427Y-114472573D01* +X131723618Y-114444006D01* +X131683905Y-114422779D01* +X131640813Y-114409708D01* +X131596000Y-114405294D01* +X130751950Y-114406400D01* +X130694800Y-114463550D01* +X130547200Y-114463550D01* +X130490050Y-114406400D01* +X129646000Y-114405294D01* +X129601187Y-114409708D01* +X129558095Y-114422779D01* +X129518382Y-114444006D01* +X129483573Y-114472573D01* +X129455006Y-114507382D01* +X129433779Y-114547095D01* +X129420708Y-114590187D01* +X129416294Y-114635000D01* +X128020052Y-114635000D01* +X124813112Y-111428061D01* +X124803568Y-111416432D01* +X124757157Y-111378342D01* +X124704206Y-111350040D01* +X124646751Y-111332611D01* +X124601966Y-111328200D01* +X124601958Y-111328200D01* +X124587000Y-111326727D01* +X124572042Y-111328200D01* +X122696957Y-111328200D01* +X122681999Y-111326727D01* +X122667041Y-111328200D01* +X122667034Y-111328200D01* +X122627889Y-111332055D01* +X122622248Y-111332611D01* +X122604819Y-111337898D01* +X122564794Y-111350040D01* +X122511843Y-111378342D01* +X122465432Y-111416432D01* +X122455892Y-111428056D01* +X122096061Y-111787888D01* +X122084432Y-111797432D01* +X122046342Y-111843844D01* +X122025007Y-111883761D01* +X122018040Y-111896795D01* +X122007920Y-111930157D01* +X122000611Y-111954250D01* +X121996200Y-111999035D01* +X121996200Y-111999042D01* +X121994727Y-112014000D01* +X121996200Y-112028958D01* +X121996200Y-112244271D01* +X121940047Y-112274286D01* +X121882507Y-112321507D01* +X121835286Y-112379047D01* +X121800197Y-112444693D01* +X121778590Y-112515923D01* +X121771294Y-112590000D01* +X121560706Y-112590000D01* +X121553410Y-112515923D01* +X121531803Y-112444693D01* +X121513600Y-112410638D01* +X121513600Y-111785375D01* +X121519266Y-111779709D01* +X121588059Y-111676754D01* +X121635444Y-111562356D01* +X121659600Y-111440912D01* +X121659600Y-111317088D01* +X121640600Y-111221566D01* +X121640600Y-110895315D01* +X121641542Y-110894542D01* +X121702754Y-110819955D01* +X121748239Y-110734859D01* +X121753171Y-110718600D01* +X122016566Y-110718600D01* +X122112088Y-110737600D01* +X122235912Y-110737600D01* +X122357356Y-110713444D01* +X122471754Y-110666059D01* +X122574709Y-110597266D01* +X122662266Y-110509709D01* +X122731059Y-110406754D01* +X122778444Y-110292356D01* +X122802600Y-110170912D01* +X122802600Y-110047088D01* +X122778444Y-109925644D01* +X122731059Y-109811246D01* +X122662266Y-109708291D01* +X122574709Y-109620734D01* +X122471754Y-109551941D01* +X122357356Y-109504556D01* +X122235912Y-109480400D01* +X122112088Y-109480400D01* +X122016566Y-109499400D01* +X121753171Y-109499400D01* +X121748239Y-109483141D01* +X121702754Y-109398045D01* +X121641542Y-109323458D01* +X121640600Y-109322685D01* +X121640600Y-108996434D01* +X121659600Y-108900912D01* +X121659600Y-108777088D01* +X121635444Y-108655644D01* +X121588059Y-108541246D01* +X121519266Y-108438291D01* +X121431709Y-108350734D01* +X121328754Y-108281941D01* +X121214356Y-108234556D01* +X121092912Y-108210400D01* +X120969088Y-108210400D01* +X120847644Y-108234556D01* +X120733246Y-108281941D01* +X120630291Y-108350734D01* +X120542734Y-108438291D01* +X120473941Y-108541246D01* +X120426556Y-108655644D01* +X120402400Y-108777088D01* +X120402400Y-108900912D01* +X120421401Y-108996439D01* +X120421401Y-109322684D01* +X120420458Y-109323458D01* +X120359246Y-109398045D01* +X120313761Y-109483141D01* +X120285752Y-109575475D01* +X120276294Y-109671500D01* +X120085195Y-109671500D01* +X120085706Y-109409000D01* +X120081292Y-109364187D01* +X120068221Y-109321095D01* +X120046994Y-109281382D01* +X120018427Y-109246573D01* +X119983618Y-109218006D01* +X119943905Y-109196779D01* +X119900813Y-109183708D01* +X119856000Y-109179294D01* +X119461950Y-109180400D01* +X119404800Y-109237550D01* +X119257200Y-109237550D01* +X119200050Y-109180400D01* +X118806000Y-109179294D01* +X118761187Y-109183708D01* +X118718095Y-109196779D01* +X118678382Y-109218006D01* +X118643573Y-109246573D01* +X118615006Y-109281382D01* +X118593779Y-109321095D01* +X118580708Y-109364187D01* +X118576294Y-109409000D01* +X110021976Y-109409000D01* +X109997953Y-109389286D01* +X109932307Y-109354197D01* +X109861077Y-109332590D01* +X109787000Y-109325294D01* +X108037000Y-109325294D01* +X107962923Y-109332590D01* +X107891693Y-109354197D01* +X107826047Y-109389286D01* +X107768507Y-109436507D01* +X107721286Y-109494047D01* +X107691271Y-109550200D01* +X99328958Y-109550200D01* +X99314000Y-109548727D01* +X99299042Y-109550200D01* +X99299034Y-109550200D01* +X99254249Y-109554611D01* +X99196794Y-109572040D01* +X99143843Y-109600342D01* +X99097432Y-109638432D01* +X99087892Y-109650056D01* +X98552749Y-110185200D01* +X97019152Y-110185200D01* +X97037803Y-110150307D01* +X97059410Y-110079077D01* +X97066706Y-110005000D01* +X97066706Y-109705000D01* +X97059410Y-109630923D01* +X97037803Y-109559693D01* +X97002714Y-109494047D01* +X96955493Y-109436507D01* +X96897953Y-109389286D01* +X96832307Y-109354197D01* +X96761077Y-109332590D01* +X96687000Y-109325294D01* +X94937000Y-109325294D01* +X94862923Y-109332590D01* +X94791693Y-109354197D01* +X94726047Y-109389286D01* +X94668507Y-109436507D01* +X94621286Y-109494047D01* +X94591271Y-109550200D01* +X92357729Y-109550200D01* +X92344152Y-109524800D01* +X93028417Y-109524800D01* +X93043375Y-109526273D01* +X93058333Y-109524800D01* +X93058341Y-109524800D01* +X93103126Y-109520389D01* +X93160581Y-109502960D01* +X93213532Y-109474658D01* +X93259943Y-109436568D01* +X93269487Y-109424939D01* +X93804627Y-108889800D01* +X94591271Y-108889800D01* +X94621286Y-108945953D01* +X94668507Y-109003493D01* +X94726047Y-109050714D01* +X94791693Y-109085803D01* +X94862923Y-109107410D01* +X94937000Y-109114706D01* +X96687000Y-109114706D01* +X96761077Y-109107410D01* +X96832307Y-109085803D01* +X96897953Y-109050714D01* +X96955493Y-109003493D01* +X97002714Y-108945953D01* +X97035294Y-108885000D01* +X107657294Y-108885000D01* +X107661708Y-108929813D01* +X107674779Y-108972905D01* +X107696006Y-109012618D01* +X107724573Y-109047427D01* +X107759382Y-109075994D01* +X107799095Y-109097221D01* +X107842187Y-109110292D01* +X107887000Y-109114706D01* +X108781050Y-109113600D01* +X108838200Y-109056450D01* +X108838200Y-108658800D01* +X108985800Y-108658800D01* +X108985800Y-109056450D01* +X109042950Y-109113600D01* +X109937000Y-109114706D01* +X109981813Y-109110292D01* +X110024905Y-109097221D01* +X110064618Y-109075994D01* +X110099427Y-109047427D01* +X110127994Y-109012618D01* +X110149221Y-108972905D01* +X110162292Y-108929813D01* +X110166706Y-108885000D01* +X110165600Y-108715950D01* +X110108450Y-108658800D01* +X108985800Y-108658800D01* +X108838200Y-108658800D01* +X107715550Y-108658800D01* +X107658400Y-108715950D01* +X107657294Y-108885000D01* +X97035294Y-108885000D01* +X97037803Y-108880307D01* +X97059410Y-108809077D01* +X97066706Y-108735000D01* +X97066706Y-108435000D01* +X97059410Y-108360923D01* +X97037803Y-108289693D01* +X97035295Y-108285000D01* +X107657294Y-108285000D01* +X107658400Y-108454050D01* +X107715550Y-108511200D01* +X108838200Y-108511200D01* +X108838200Y-108113550D01* +X108985800Y-108113550D01* +X108985800Y-108511200D01* +X110108450Y-108511200D01* +X110165600Y-108454050D01* +X110166706Y-108285000D01* +X110162292Y-108240187D01* +X110149221Y-108197095D01* +X110127994Y-108157382D01* +X110099427Y-108122573D01* +X110064618Y-108094006D01* +X110024905Y-108072779D01* +X109981813Y-108059708D01* +X109937000Y-108055294D01* +X109042950Y-108056400D01* +X108985800Y-108113550D01* +X108838200Y-108113550D01* +X108781050Y-108056400D01* +X107887000Y-108055294D01* +X107842187Y-108059708D01* +X107799095Y-108072779D01* +X107759382Y-108094006D01* +X107724573Y-108122573D01* +X107696006Y-108157382D01* +X107674779Y-108197095D01* +X107661708Y-108240187D01* +X107657294Y-108285000D01* +X97035295Y-108285000D01* +X97002714Y-108224047D01* +X96955493Y-108166507D01* +X96897953Y-108119286D01* +X96832307Y-108084197D01* +X96761077Y-108062590D01* +X96687000Y-108055294D01* +X94937000Y-108055294D01* +X94862923Y-108062590D01* +X94791693Y-108084197D01* +X94726047Y-108119286D01* +X94668507Y-108166507D01* +X94621286Y-108224047D01* +X94591271Y-108280200D01* +X93693333Y-108280200D01* +X93678375Y-108278727D01* +X93663417Y-108280200D01* +X93663409Y-108280200D01* +X93618624Y-108284611D01* +X93561169Y-108302040D01* +X93508218Y-108330342D01* +X93461807Y-108368432D01* +X93452267Y-108380056D01* +X92917124Y-108915200D01* +X92388731Y-108915200D01* +X92391706Y-108885000D01* +X92390600Y-108715950D01* +X92333450Y-108658800D01* +X91210800Y-108658800D01* +X91210800Y-108678800D01* +X91063200Y-108678800D01* +X91063200Y-108658800D01* +X89940550Y-108658800D01* +X89883400Y-108715950D01* +X89882294Y-108885000D01* +X89885269Y-108915200D01* +X89803957Y-108915200D01* +X89788999Y-108913727D01* +X89774041Y-108915200D01* +X89774034Y-108915200D01* +X89734889Y-108919055D01* +X89729248Y-108919611D01* +X89711819Y-108924898D01* +X89671794Y-108937040D01* +X89618843Y-108965342D01* +X89572432Y-109003432D01* +X89562892Y-109015056D01* +X87171061Y-111406888D01* +X87159432Y-111416432D01* +X87121342Y-111462844D01* +X87106515Y-111490585D01* +X87093040Y-111515795D01* +X87078916Y-111562356D01* +X87075611Y-111573250D01* +X87071200Y-111618035D01* +X87071200Y-111618042D01* +X87069727Y-111633000D01* +X87071200Y-111647958D01* +X87071201Y-116317032D01* +X87069727Y-116332000D01* +X87075611Y-116391751D01* +X87093040Y-116449205D01* +X87103511Y-116468795D01* +X87121343Y-116502157D01* +X87159433Y-116548568D01* +X87171057Y-116558108D01* +X89562891Y-118949943D01* +X89572432Y-118961568D01* +X89618843Y-118999658D01* +X89671794Y-119027960D01* +X89711819Y-119040102D01* +X89729248Y-119045389D01* +X89734889Y-119045945D01* +X89774034Y-119049800D01* +X89774041Y-119049800D01* +X89788999Y-119051273D01* +X89803957Y-119049800D01* +X89916271Y-119049800D01* +X89929848Y-119075200D01* +X88772252Y-119075200D01* +X88237112Y-118540061D01* +X88227568Y-118528432D01* +X88215944Y-118518892D01* +X87983112Y-118286061D01* +X87973568Y-118274432D01* +X87961944Y-118264892D01* +X86537800Y-116840749D01* +X86537800Y-110997251D01* +X89250051Y-108285000D01* +X89882294Y-108285000D01* +X89883400Y-108454050D01* +X89940550Y-108511200D01* +X91063200Y-108511200D01* +X91063200Y-108113550D01* +X91210800Y-108113550D01* +X91210800Y-108511200D01* +X92333450Y-108511200D01* +X92390600Y-108454050D01* +X92391706Y-108285000D01* +X92387292Y-108240187D01* +X92374221Y-108197095D01* +X92352994Y-108157382D01* +X92324427Y-108122573D01* +X92289618Y-108094006D01* +X92249905Y-108072779D01* +X92206813Y-108059708D01* +X92162000Y-108055294D01* +X91267950Y-108056400D01* +X91210800Y-108113550D01* +X91063200Y-108113550D01* +X91006050Y-108056400D01* +X90112000Y-108055294D01* +X90067187Y-108059708D01* +X90024095Y-108072779D01* +X89984382Y-108094006D01* +X89949573Y-108122573D01* +X89921006Y-108157382D01* +X89899779Y-108197095D01* +X89886708Y-108240187D01* +X89882294Y-108285000D01* +X89250051Y-108285000D01* +X90169252Y-107365800D01* +X125222749Y-107365800D01* +X132664201Y-114807253D01* +X132664200Y-116459748D01* +X131953749Y-117170200D01* +X131791729Y-117170200D01* +X131761714Y-117114047D01* +X131714493Y-117056507D01* +X131656953Y-117009286D01* +X131591307Y-116974197D01* +X131520077Y-116952590D01* +X131446000Y-116945294D01* +X129796000Y-116945294D01* +X129721923Y-116952590D01* +X129650693Y-116974197D01* +X129585047Y-117009286D01* +X129527507Y-117056507D01* +X129480286Y-117114047D01* +X129445197Y-117179693D01* +X129423590Y-117250923D01* +X129416294Y-117325000D01* +X129416294Y-117625000D01* +X129423590Y-117699077D01* +X129445197Y-117770307D01* +X129480286Y-117835953D01* +X129527507Y-117893493D01* +X129585047Y-117940714D01* +X129650693Y-117975803D01* +X129721923Y-117997410D01* +X129796000Y-118004706D01* +X131446000Y-118004706D01* +X131520077Y-117997410D01* +X131591307Y-117975803D01* +X131656953Y-117940714D01* +X131714493Y-117893493D01* +X131761714Y-117835953D01* +X131791729Y-117779800D01* +X132065042Y-117779800D01* +X132080000Y-117781273D01* +X132094958Y-117779800D01* +X132094966Y-117779800D01* +X132139751Y-117775389D01* +X132197206Y-117757960D01* +X132250157Y-117729658D01* +X132296568Y-117691568D01* +X132306112Y-117679939D01* +X133173945Y-116812107D01* +X133185568Y-116802568D01* +X133223658Y-116756157D01* +X133251960Y-116703206D01* +X133257774Y-116684040D01* +X133269389Y-116645752D01* +X133270125Y-116638274D01* +X133273800Y-116600966D01* +X133273800Y-116600958D01* +X133275273Y-116586000D01* +X133273800Y-116571042D01* +X133273800Y-116505000D01* +X134366294Y-116505000D01* +X134370708Y-116549813D01* +X134383779Y-116592905D01* +X134405006Y-116632618D01* +X134433573Y-116667427D01* +X134468382Y-116695994D01* +X134508095Y-116717221D01* +X134551187Y-116730292D01* +X134596000Y-116734706D01* +X135440050Y-116733600D01* +X135497200Y-116676450D01* +X135497200Y-116278800D01* +X135644800Y-116278800D01* +X135644800Y-116676450D01* +X135701950Y-116733600D01* +X136546000Y-116734706D01* +X136590813Y-116730292D01* +X136633905Y-116717221D01* +X136673618Y-116695994D01* +X136708427Y-116667427D01* +X136736994Y-116632618D01* +X136758221Y-116592905D01* +X136771292Y-116549813D01* +X136775706Y-116505000D01* +X136774600Y-116335950D01* +X136717450Y-116278800D01* +X135644800Y-116278800D01* +X135497200Y-116278800D01* +X134424550Y-116278800D01* +X134367400Y-116335950D01* +X134366294Y-116505000D01* +X133273800Y-116505000D01* +X133273800Y-115905000D01* +X134366294Y-115905000D01* +X134367400Y-116074050D01* +X134424550Y-116131200D01* +X135497200Y-116131200D01* +X135497200Y-115733550D01* +X135644800Y-115733550D01* +X135644800Y-116131200D01* +X136717450Y-116131200D01* +X136774600Y-116074050D01* +X136775706Y-115905000D01* +X136771292Y-115860187D01* +X136758221Y-115817095D01* +X136736994Y-115777382D01* +X136708427Y-115742573D01* +X136673618Y-115714006D01* +X136633905Y-115692779D01* +X136590813Y-115679708D01* +X136546000Y-115675294D01* +X135701950Y-115676400D01* +X135644800Y-115733550D01* +X135497200Y-115733550D01* +X135440050Y-115676400D01* +X134596000Y-115675294D01* +X134551187Y-115679708D01* +X134508095Y-115692779D01* +X134468382Y-115714006D01* +X134433573Y-115742573D01* +X134405006Y-115777382D01* +X134383779Y-115817095D01* +X134370708Y-115860187D01* +X134366294Y-115905000D01* +X133273800Y-115905000D01* +X133273800Y-114873088D01* +X133356400Y-114873088D01* +X133356400Y-114996912D01* +X133380556Y-115118356D01* +X133427941Y-115232754D01* +X133496734Y-115335709D01* +X133584291Y-115423266D01* +X133687246Y-115492059D01* +X133801644Y-115539444D01* +X133923088Y-115563600D01* +X134046912Y-115563600D01* +X134168356Y-115539444D01* +X134282754Y-115492059D01* +X134385709Y-115423266D01* +X134391375Y-115417600D01* +X134566638Y-115417600D01* +X134600693Y-115435803D01* +X134671923Y-115457410D01* +X134746000Y-115464706D01* +X136396000Y-115464706D01* +X136470077Y-115457410D01* +X136541307Y-115435803D01* +X136575362Y-115417600D01* +X136753625Y-115417600D01* +X136759291Y-115423266D01* +X136862246Y-115492059D01* +X136976644Y-115539444D01* +X137098088Y-115563600D01* +X137221912Y-115563600D01* +X137343356Y-115539444D01* +X137457754Y-115492059D01* +X137560709Y-115423266D01* +X137648266Y-115335709D01* +X137717059Y-115232754D01* +X137764444Y-115118356D01* +X137788600Y-114996912D01* +X137788600Y-114873088D01* +X137764444Y-114751644D01* +X137717059Y-114637246D01* +X137648266Y-114534291D01* +X137560709Y-114446734D01* +X137457754Y-114377941D01* +X137343356Y-114330556D01* +X137221912Y-114306400D01* +X137098088Y-114306400D01* +X136976644Y-114330556D01* +X136862246Y-114377941D01* +X136759291Y-114446734D01* +X136753625Y-114452400D01* +X136575362Y-114452400D01* +X136541307Y-114434197D01* +X136470077Y-114412590D01* +X136396000Y-114405294D01* +X136088439Y-114405294D01* +X136129559Y-114343754D01* +X136176944Y-114229356D01* +X136201100Y-114107912D01* +X136201100Y-113984088D01* +X136182100Y-113888566D01* +X136182100Y-113562315D01* +X136183042Y-113561542D01* +X136244254Y-113486955D01* +X136289739Y-113401859D01* +X136294671Y-113385600D01* +X136494566Y-113385600D01* +X136590088Y-113404600D01* +X136713912Y-113404600D01* +X136835356Y-113380444D01* +X136949754Y-113333059D01* +X137052709Y-113264266D01* +X137140266Y-113176709D01* +X137209059Y-113073754D01* +X137256444Y-112959356D01* +X137280600Y-112837912D01* +X137280600Y-112714088D01* +X137256444Y-112592644D01* +X137209059Y-112478246D01* +X137140266Y-112375291D01* +X137052709Y-112287734D01* +X136949754Y-112218941D01* +X136835356Y-112171556D01* +X136713912Y-112147400D01* +X136590088Y-112147400D01* +X136494566Y-112166400D01* +X136294671Y-112166400D01* +X136289739Y-112150141D01* +X136244254Y-112065045D01* +X136183042Y-111990458D01* +X136182100Y-111989685D01* +X136182100Y-111663434D01* +X136201100Y-111567912D01* +X136201100Y-111444088D01* +X136176944Y-111322644D01* +X136129559Y-111208246D01* +X136060766Y-111105291D01* +X135973209Y-111017734D01* +X135870254Y-110948941D01* +X135755856Y-110901556D01* +X135634412Y-110877400D01* +X135510588Y-110877400D01* +X135389144Y-110901556D01* +X135274746Y-110948941D01* +X135171791Y-111017734D01* +X135084234Y-111105291D01* +X135015441Y-111208246D01* +X134968056Y-111322644D01* +X134943900Y-111444088D01* +X134943900Y-111567912D01* +X134962901Y-111663439D01* +X134962901Y-111989684D01* +X134961958Y-111990458D01* +X134900746Y-112065045D01* +X134855261Y-112150141D01* +X134827252Y-112242475D01* +X134817794Y-112338500D01* +X134817794Y-113213500D01* +X134827252Y-113309525D01* +X134855261Y-113401859D01* +X134900746Y-113486955D01* +X134961958Y-113561542D01* +X134962900Y-113562315D01* +X134962900Y-113888565D01* +X134943900Y-113984088D01* +X134943900Y-114107912D01* +X134968056Y-114229356D01* +X135015441Y-114343754D01* +X135056561Y-114405294D01* +X134746000Y-114405294D01* +X134671923Y-114412590D01* +X134600693Y-114434197D01* +X134566638Y-114452400D01* +X134391375Y-114452400D01* +X134385709Y-114446734D01* +X134282754Y-114377941D01* +X134168356Y-114330556D01* +X134046912Y-114306400D01* +X133923088Y-114306400D01* +X133801644Y-114330556D01* +X133687246Y-114377941D01* +X133584291Y-114446734D01* +X133496734Y-114534291D01* +X133427941Y-114637246D01* +X133380556Y-114751644D01* +X133356400Y-114873088D01* +X133273800Y-114873088D01* +X133273800Y-114695957D01* +X133275273Y-114680999D01* +X133273800Y-114666041D01* +X133273800Y-114666034D01* +X133269389Y-114621249D01* +X133251960Y-114563794D01* +X133223658Y-114510843D01* +X133185568Y-114464432D01* +X133173944Y-114454892D01* +X132195052Y-113476000D01* +X133117794Y-113476000D01* +X133122208Y-113520813D01* +X133135279Y-113563905D01* +X133156506Y-113603618D01* +X133185073Y-113638427D01* +X133219882Y-113666994D01* +X133259595Y-113688221D01* +X133302687Y-113701292D01* +X133347500Y-113705706D01* +X133741550Y-113704600D01* +X133798700Y-113647450D01* +X133798700Y-112849800D01* +X133946300Y-112849800D01* +X133946300Y-113647450D01* +X134003450Y-113704600D01* +X134397500Y-113705706D01* +X134442313Y-113701292D01* +X134485405Y-113688221D01* +X134525118Y-113666994D01* +X134559927Y-113638427D01* +X134588494Y-113603618D01* +X134609721Y-113563905D01* +X134622792Y-113520813D01* +X134627206Y-113476000D01* +X134626100Y-112906950D01* +X134568950Y-112849800D01* +X133946300Y-112849800D01* +X133798700Y-112849800D01* +X133176050Y-112849800D01* +X133118900Y-112906950D01* +X133117794Y-113476000D01* +X132195052Y-113476000D01* +X130795052Y-112076000D01* +X133117794Y-112076000D01* +X133118900Y-112645050D01* +X133176050Y-112702200D01* +X133798700Y-112702200D01* +X133798700Y-111904550D01* +X133946300Y-111904550D01* +X133946300Y-112702200D01* +X134568950Y-112702200D01* +X134626100Y-112645050D01* +X134627206Y-112076000D01* +X134622792Y-112031187D01* +X134609721Y-111988095D01* +X134588494Y-111948382D01* +X134559927Y-111913573D01* +X134525118Y-111885006D01* +X134485405Y-111863779D01* +X134442313Y-111850708D01* +X134397500Y-111846294D01* +X134003450Y-111847400D01* +X133946300Y-111904550D01* +X133798700Y-111904550D01* +X133741550Y-111847400D01* +X133347500Y-111846294D01* +X133302687Y-111850708D01* +X133259595Y-111863779D01* +X133219882Y-111885006D01* +X133185073Y-111913573D01* +X133156506Y-111948382D01* +X133135279Y-111988095D01* +X133122208Y-112031187D01* +X133117794Y-112076000D01* +X130795052Y-112076000D01* +X125575112Y-106856061D01* +X125565568Y-106844432D01* +X125519157Y-106806342D01* +X125466206Y-106778040D01* +X125408751Y-106760611D01* +X125363966Y-106756200D01* +X125363958Y-106756200D01* +X125349000Y-106754727D01* +X125334042Y-106756200D01* +X90057958Y-106756200D01* +X90043000Y-106754727D01* +X90028042Y-106756200D01* +X90028034Y-106756200D01* +X89983249Y-106760611D01* +X89925794Y-106778040D01* +X89872843Y-106806342D01* +X89826432Y-106844432D01* +X89816892Y-106856056D01* +X86028061Y-110644888D01* +X86016432Y-110654432D01* +X85978342Y-110700844D01* +X85958696Y-110737600D01* +X85950040Y-110753795D01* +X85933294Y-110809000D01* +X85932611Y-110811250D01* +X85928200Y-110856035D01* +X85928200Y-110856042D01* +X85926727Y-110871000D01* +X85928200Y-110885958D01* +X85928201Y-116952032D01* +X85926727Y-116967000D01* +X85932611Y-117026751D01* +X85950040Y-117084205D01* +X85950041Y-117084206D01* +X85978343Y-117137157D01* +X86016433Y-117183568D01* +X86028057Y-117193108D01* +X87275148Y-118440200D01* +X83057729Y-118440200D01* +X83027714Y-118384047D01* +X82980493Y-118326507D01* +X82922953Y-118279286D01* +X82857307Y-118244197D01* +X82786077Y-118222590D01* +X82712000Y-118215294D01* +X80962000Y-118215294D01* +X80887923Y-118222590D01* +X80816693Y-118244197D01* +X80751047Y-118279286D01* +X80693507Y-118326507D01* +X80646286Y-118384047D01* +X80611197Y-118449693D01* +X80589590Y-118520923D01* +X80582294Y-118595000D01* +X80582294Y-118895000D01* +X80589590Y-118969077D01* +X80611197Y-119040307D01* +X80646286Y-119105953D01* +X80693507Y-119163493D01* +X80751047Y-119210714D01* +X80816693Y-119245803D01* +X80887923Y-119267410D01* +X80962000Y-119274706D01* +X82712000Y-119274706D01* +X82786077Y-119267410D01* +X82857307Y-119245803D01* +X82922953Y-119210714D01* +X82980493Y-119163493D01* +X83027714Y-119105953D01* +X83057729Y-119049800D01* +X87615042Y-119049800D01* +X87630000Y-119051273D01* +X87644958Y-119049800D01* +X87869041Y-119049800D01* +X87883999Y-119051273D01* +X87886023Y-119051074D01* +X88419892Y-119584944D01* +X88429432Y-119596568D01* +X88475843Y-119634658D01* +X88528794Y-119662960D01* +X88586249Y-119680389D01* +X88631034Y-119684800D01* +X88631042Y-119684800D01* +X88646000Y-119686273D01* +X88660958Y-119684800D01* +X89929848Y-119684800D01* +X89911197Y-119719693D01* +X89889590Y-119790923D01* +X89882294Y-119865000D01* +X89882294Y-120165000D01* +X89889590Y-120239077D01* +X89911197Y-120310307D01* +X89946286Y-120375953D01* +X89993507Y-120433493D01* +X90051047Y-120480714D01* +X90116693Y-120515803D01* +X90187923Y-120537410D01* +X90262000Y-120544706D01* +X92012000Y-120544706D01* +X92086077Y-120537410D01* +X92157307Y-120515803D01* +X92222953Y-120480714D01* +X92280493Y-120433493D01* +X92327714Y-120375953D01* +X92357729Y-120319800D01* +X92568042Y-120319800D01* +X92583000Y-120321273D01* +X92597958Y-120319800D01* +X92597966Y-120319800D01* +X92642751Y-120315389D01* +X92700206Y-120297960D01* +X92753157Y-120269658D01* +X92799568Y-120231568D01* +X92809112Y-120219939D01* +X93549944Y-119479108D01* +X93561568Y-119469568D01* +X93599658Y-119423157D01* +X93627960Y-119370206D01* +X93636344Y-119342568D01* +X93645389Y-119312752D01* +X93647023Y-119296156D01* +X93649800Y-119267966D01* +X93649800Y-119267958D01* +X93651273Y-119253000D01* +X93649800Y-119238042D01* +X93649800Y-118490251D01* +X93788752Y-118351300D01* +X93920567Y-118351300D01* +X93936843Y-118364658D01* +X93989794Y-118392960D01* +X94047249Y-118410389D01* +X94092034Y-118414800D01* +X94092042Y-118414800D01* +X94107000Y-118416273D01* +X94121958Y-118414800D01* +X94604848Y-118414800D01* +X94591271Y-118440200D01* +X94502957Y-118440200D01* +X94487999Y-118438727D01* +X94473041Y-118440200D01* +X94473034Y-118440200D01* +X94433889Y-118444055D01* +X94428248Y-118444611D01* +X94417591Y-118447844D01* +X94370794Y-118462040D01* +X94317843Y-118490342D01* +X94271432Y-118528432D01* +X94261892Y-118540056D01* +X93902061Y-118899888D01* +X93890432Y-118909432D01* +X93852342Y-118955844D01* +X93831207Y-118995386D01* +X93824040Y-119008795D01* +X93806611Y-119066249D01* +X93806271Y-119069706D01* +X93802200Y-119111035D01* +X93802200Y-119111042D01* +X93800727Y-119126000D01* +X93802200Y-119140958D01* +X93802200Y-119253748D01* +X93584561Y-119471388D01* +X93572932Y-119480932D01* +X93534842Y-119527344D01* +X93513658Y-119566978D01* +X93506540Y-119580295D01* +X93498710Y-119606108D01* +X93489111Y-119637750D01* +X93484700Y-119682535D01* +X93484700Y-119682542D01* +X93483227Y-119697500D01* +X93484700Y-119712458D01* +X93484701Y-121148983D01* +X85017485Y-129616200D01* +X80151957Y-129616200D01* +X80136999Y-129614727D01* +X80122041Y-129616200D01* +X80122034Y-129616200D01* +X80085331Y-129619815D01* +X80077248Y-129620611D01* +X80066591Y-129623844D01* +X80019794Y-129638040D01* +X79966843Y-129666342D01* +X79920432Y-129704432D01* +X79910892Y-129716056D01* +X78535061Y-131091888D01* +X78523432Y-131101432D01* +X78485342Y-131147844D01* +X78457041Y-131200794D01* +X78457040Y-131200795D01* +X78441813Y-131250992D01* +X78439611Y-131258250D01* +X78435200Y-131303035D01* +X78435200Y-131303042D01* +X78433727Y-131318000D01* +X78435200Y-131332958D01* +X78435200Y-131382294D01* +X78359000Y-131382294D01* +X78239857Y-131394029D01* +X78125293Y-131428781D01* +X78019710Y-131485217D01* +X78006815Y-131495800D01* +X76933185Y-131495800D01* +X76920290Y-131485217D01* +X76814707Y-131428781D01* +X76700143Y-131394029D01* +X76581000Y-131382294D01* +X75819000Y-131382294D01* +X75699857Y-131394029D01* +X75585293Y-131428781D01* +X75479710Y-131485217D01* +X75466815Y-131495800D01* +X70365544Y-131495800D01* +X69747951Y-131372281D01* +X69260140Y-131128376D01* +X68769624Y-130637860D01* +X68525719Y-130150049D01* +X68402200Y-129532456D01* +X68402200Y-129426617D01* +X69206800Y-129426617D01* +X69206800Y-129653383D01* +X69251040Y-129875793D01* +X69337820Y-130085298D01* +X69463805Y-130273847D01* +X69624153Y-130434195D01* +X69812702Y-130560180D01* +X70022207Y-130646960D01* +X70244617Y-130691200D01* +X70471383Y-130691200D01* +X70693793Y-130646960D01* +X70903298Y-130560180D01* +X71091847Y-130434195D01* +X71252195Y-130273847D01* +X71378180Y-130085298D01* +X71464960Y-129875793D01* +X71509200Y-129653383D01* +X71509200Y-129442434D01* +X75209400Y-129442434D01* +X75209400Y-129637566D01* +X75247468Y-129828947D01* +X75322142Y-130009225D01* +X75430551Y-130171471D01* +X75568529Y-130309449D01* +X75730775Y-130417858D01* +X75911053Y-130492532D01* +X76102434Y-130530600D01* +X76297566Y-130530600D01* +X76488947Y-130492532D01* +X76669225Y-130417858D01* +X76831471Y-130309449D01* +X76969449Y-130171471D01* +X77077858Y-130009225D01* +X77152532Y-129828947D01* +X77190600Y-129637566D01* +X77190600Y-129442434D01* +X77152532Y-129251053D01* +X77077858Y-129070775D01* +X76969449Y-128908529D01* +X76831471Y-128770551D01* +X76809600Y-128755937D01* +X76809600Y-128675315D01* +X76810542Y-128674542D01* +X76871754Y-128599955D01* +X76877609Y-128589000D01* +X77145294Y-128589000D01* +X77149708Y-128633813D01* +X77162779Y-128676905D01* +X77184006Y-128716618D01* +X77212573Y-128751427D01* +X77247382Y-128779994D01* +X77287095Y-128801221D01* +X77330187Y-128814292D01* +X77375000Y-128818706D01* +X77769050Y-128817600D01* +X77826200Y-128760450D01* +X77826200Y-127962800D01* +X77973800Y-127962800D01* +X77973800Y-128760450D01* +X78030950Y-128817600D01* +X78425000Y-128818706D01* +X78469813Y-128814292D01* +X78512905Y-128801221D01* +X78552618Y-128779994D01* +X78587427Y-128751427D01* +X78615994Y-128716618D01* +X78637221Y-128676905D01* +X78650292Y-128633813D01* +X78654706Y-128589000D01* +X78653600Y-128019950D01* +X78596450Y-127962800D01* +X77973800Y-127962800D01* +X77826200Y-127962800D01* +X77203550Y-127962800D01* +X77146400Y-128019950D01* +X77145294Y-128589000D01* +X76877609Y-128589000D01* +X76917239Y-128514859D01* +X76945248Y-128422525D01* +X76954706Y-128326500D01* +X76954706Y-127451500D01* +X76945248Y-127355475D01* +X76917239Y-127263141D01* +X76877610Y-127189000D01* +X77145294Y-127189000D01* +X77146400Y-127758050D01* +X77203550Y-127815200D01* +X77826200Y-127815200D01* +X77826200Y-127017550D01* +X77973800Y-127017550D01* +X77973800Y-127815200D01* +X78596450Y-127815200D01* +X78653600Y-127758050D01* +X78654706Y-127189000D01* +X78650292Y-127144187D01* +X78637221Y-127101095D01* +X78615994Y-127061382D01* +X78587427Y-127026573D01* +X78552618Y-126998006D01* +X78512905Y-126976779D01* +X78469813Y-126963708D01* +X78425000Y-126959294D01* +X78030950Y-126960400D01* +X77973800Y-127017550D01* +X77826200Y-127017550D01* +X77769050Y-126960400D01* +X77375000Y-126959294D01* +X77330187Y-126963708D01* +X77287095Y-126976779D01* +X77247382Y-126998006D01* +X77212573Y-127026573D01* +X77184006Y-127061382D01* +X77162779Y-127101095D01* +X77149708Y-127144187D01* +X77145294Y-127189000D01* +X76877610Y-127189000D01* +X76871754Y-127178045D01* +X76810542Y-127103458D01* +X76735955Y-127042246D01* +X76650859Y-126996761D01* +X76558525Y-126968752D01* +X76462500Y-126959294D01* +X75937500Y-126959294D01* +X75841475Y-126968752D01* +X75749141Y-126996761D01* +X75664045Y-127042246D01* +X75589458Y-127103458D01* +X75528246Y-127178045D01* +X75482761Y-127263141D01* +X75454752Y-127355475D01* +X75445294Y-127451500D01* +X75445294Y-128326500D01* +X75454752Y-128422525D01* +X75482761Y-128514859D01* +X75528246Y-128599955D01* +X75589458Y-128674542D01* +X75590400Y-128675315D01* +X75590401Y-128755937D01* +X75568529Y-128770551D01* +X75430551Y-128908529D01* +X75322142Y-129070775D01* +X75247468Y-129251053D01* +X75209400Y-129442434D01* +X71509200Y-129442434D01* +X71509200Y-129426617D01* +X71464960Y-129204207D01* +X71378180Y-128994702D01* +X71252195Y-128806153D01* +X71091847Y-128645805D01* +X70903298Y-128519820D01* +X70693793Y-128433040D01* +X70471383Y-128388800D01* +X70244617Y-128388800D01* +X70022207Y-128433040D01* +X69812702Y-128519820D01* +X69624153Y-128645805D01* +X69463805Y-128806153D01* +X69337820Y-128994702D01* +X69251040Y-129204207D01* +X69206800Y-129426617D01* +X68402200Y-129426617D01* +X68402200Y-119752500D01* +X77937294Y-119752500D01* +X77937294Y-120277500D01* +X77946752Y-120373525D01* +X77974761Y-120465859D01* +X78020246Y-120550955D01* +X78081458Y-120625542D01* +X78156045Y-120686754D01* +X78241141Y-120732239D01* +X78333475Y-120760248D01* +X78429500Y-120769706D01* +X79304500Y-120769706D01* +X79400525Y-120760248D01* +X79492859Y-120732239D01* +X79577955Y-120686754D01* +X79652542Y-120625542D01* +X79653315Y-120624600D01* +X80106566Y-120624600D01* +X80202088Y-120643600D01* +X80325912Y-120643600D01* +X80447356Y-120619444D01* +X80561754Y-120572059D01* +X80664709Y-120503266D01* +X80670375Y-120497600D01* +X80782638Y-120497600D01* +X80816693Y-120515803D01* +X80887923Y-120537410D01* +X80962000Y-120544706D01* +X81335561Y-120544706D01* +X81294441Y-120606246D01* +X81247056Y-120720644D01* +X81222900Y-120842088D01* +X81222900Y-120965912D01* +X81247056Y-121087356D01* +X81294441Y-121201754D01* +X81363234Y-121304709D01* +X81450791Y-121392266D01* +X81553746Y-121461059D01* +X81668144Y-121508444D01* +X81789588Y-121532600D01* +X81913412Y-121532600D01* +X82034856Y-121508444D01* +X82149254Y-121461059D01* +X82252209Y-121392266D01* +X82339766Y-121304709D01* +X82408559Y-121201754D01* +X82455944Y-121087356D01* +X82480100Y-120965912D01* +X82480100Y-120842088D01* +X82455944Y-120720644D01* +X82408559Y-120606246D01* +X82367439Y-120544706D01* +X82712000Y-120544706D01* +X82786077Y-120537410D01* +X82857307Y-120515803D01* +X82891362Y-120497600D01* +X83032625Y-120497600D01* +X83038291Y-120503266D01* +X83141246Y-120572059D01* +X83255644Y-120619444D01* +X83377088Y-120643600D01* +X83500912Y-120643600D01* +X83622356Y-120619444D01* +X83736754Y-120572059D01* +X83839709Y-120503266D01* +X83927266Y-120415709D01* +X83996059Y-120312754D01* +X84043444Y-120198356D01* +X84067600Y-120076912D01* +X84067600Y-119953088D01* +X84043444Y-119831644D01* +X83996059Y-119717246D01* +X83927266Y-119614291D01* +X83839709Y-119526734D01* +X83736754Y-119457941D01* +X83622356Y-119410556D01* +X83500912Y-119386400D01* +X83377088Y-119386400D01* +X83255644Y-119410556D01* +X83141246Y-119457941D01* +X83038291Y-119526734D01* +X83032625Y-119532400D01* +X82891362Y-119532400D01* +X82857307Y-119514197D01* +X82786077Y-119492590D01* +X82712000Y-119485294D01* +X80962000Y-119485294D01* +X80887923Y-119492590D01* +X80816693Y-119514197D01* +X80782638Y-119532400D01* +X80670375Y-119532400D01* +X80664709Y-119526734D01* +X80561754Y-119457941D01* +X80447356Y-119410556D01* +X80325912Y-119386400D01* +X80202088Y-119386400D01* +X80106566Y-119405400D01* +X79653315Y-119405400D01* +X79652542Y-119404458D01* +X79577955Y-119343246D01* +X79492859Y-119297761D01* +X79400525Y-119269752D01* +X79304500Y-119260294D01* +X78429500Y-119260294D01* +X78333475Y-119269752D01* +X78241141Y-119297761D01* +X78156045Y-119343246D01* +X78081458Y-119404458D01* +X78020246Y-119479045D01* +X77974761Y-119564141D01* +X77946752Y-119656475D01* +X77937294Y-119752500D01* +X68402200Y-119752500D01* +X68402200Y-118840000D01* +X77937294Y-118840000D01* +X77941708Y-118884813D01* +X77954779Y-118927905D01* +X77976006Y-118967618D01* +X78004573Y-119002427D01* +X78039382Y-119030994D01* +X78079095Y-119052221D01* +X78122187Y-119065292D01* +X78167000Y-119069706D01* +X78736050Y-119068600D01* +X78793200Y-119011450D01* +X78793200Y-118388800D01* +X78940800Y-118388800D01* +X78940800Y-119011450D01* +X78997950Y-119068600D01* +X79567000Y-119069706D01* +X79611813Y-119065292D01* +X79654905Y-119052221D01* +X79694618Y-119030994D01* +X79729427Y-119002427D01* +X79757994Y-118967618D01* +X79779221Y-118927905D01* +X79792292Y-118884813D01* +X79796706Y-118840000D01* +X79795600Y-118445950D01* +X79738450Y-118388800D01* +X78940800Y-118388800D01* +X78793200Y-118388800D01* +X77995550Y-118388800D01* +X77938400Y-118445950D01* +X77937294Y-118840000D01* +X68402200Y-118840000D01* +X68402200Y-117790000D01* +X77937294Y-117790000D01* +X77938400Y-118184050D01* +X77995550Y-118241200D01* +X78793200Y-118241200D01* +X78793200Y-117618550D01* +X78940800Y-117618550D01* +X78940800Y-118241200D01* +X79738450Y-118241200D01* +X79795600Y-118184050D01* +X79796706Y-117790000D01* +X79792292Y-117745187D01* +X79779221Y-117702095D01* +X79757994Y-117662382D01* +X79729427Y-117627573D01* +X79694618Y-117599006D01* +X79654905Y-117577779D01* +X79611813Y-117564708D01* +X79567000Y-117560294D01* +X78997950Y-117561400D01* +X78940800Y-117618550D01* +X78793200Y-117618550D01* +X78736050Y-117561400D01* +X78167000Y-117560294D01* +X78122187Y-117564708D01* +X78079095Y-117577779D01* +X78039382Y-117599006D01* +X78004573Y-117627573D01* +X77976006Y-117662382D01* +X77954779Y-117702095D01* +X77941708Y-117745187D01* +X77937294Y-117790000D01* +X68402200Y-117790000D01* +X68402200Y-117422937D01* +X79735400Y-117422937D01* +X79735400Y-117527063D01* +X79755713Y-117629187D01* +X79795560Y-117725386D01* +X79853409Y-117811963D01* +X79927037Y-117885591D01* +X80013614Y-117943440D01* +X80109813Y-117983287D01* +X80211937Y-118003600D01* +X80316063Y-118003600D01* +X80418187Y-117983287D01* +X80514386Y-117943440D01* +X80600963Y-117885591D01* +X80648231Y-117838323D01* +X80693507Y-117893493D01* +X80751047Y-117940714D01* +X80816693Y-117975803D01* +X80887923Y-117997410D01* +X80962000Y-118004706D01* +X82712000Y-118004706D01* +X82786077Y-117997410D01* +X82857307Y-117975803D01* +X82922953Y-117940714D01* +X82980493Y-117893493D01* +X83027714Y-117835953D01* +X83062803Y-117770307D01* +X83084410Y-117699077D01* +X83091706Y-117625000D01* +X83091706Y-117325000D01* +X83084410Y-117250923D01* +X83062803Y-117179693D01* +X83027714Y-117114047D01* +X82980493Y-117056507D01* +X82922953Y-117009286D01* +X82857307Y-116974197D01* +X82786077Y-116952590D01* +X82712000Y-116945294D01* +X80962000Y-116945294D01* +X80887923Y-116952590D01* +X80816693Y-116974197D01* +X80751047Y-117009286D01* +X80693507Y-117056507D01* +X80648231Y-117111677D01* +X80600963Y-117064409D01* +X80514386Y-117006560D01* +X80418187Y-116966713D01* +X80316063Y-116946400D01* +X80211937Y-116946400D01* +X80109813Y-116966713D01* +X80013614Y-117006560D01* +X79927037Y-117064409D01* +X79853409Y-117138037D01* +X79795560Y-117224614D01* +X79755713Y-117320813D01* +X79735400Y-117422937D01* +X68402200Y-117422937D01* +X68402200Y-115196544D01* +X68426385Y-115075617D01* +X69206800Y-115075617D01* +X69206800Y-115302383D01* +X69251040Y-115524793D01* +X69337820Y-115734298D01* +X69463805Y-115922847D01* +X69624153Y-116083195D01* +X69812702Y-116209180D01* +X70022207Y-116295960D01* +X70244617Y-116340200D01* +X70471383Y-116340200D01* +X70693793Y-116295960D01* +X70903298Y-116209180D01* +X70987471Y-116152937D01* +X79735400Y-116152937D01* +X79735400Y-116257063D01* +X79755713Y-116359187D01* +X79795560Y-116455386D01* +X79853409Y-116541963D01* +X79927037Y-116615591D01* +X80013614Y-116673440D01* +X80109813Y-116713287D01* +X80211937Y-116733600D01* +X80316063Y-116733600D01* +X80418187Y-116713287D01* +X80514386Y-116673440D01* +X80600963Y-116615591D01* +X80648231Y-116568323D01* +X80693507Y-116623493D01* +X80751047Y-116670714D01* +X80816693Y-116705803D01* +X80887923Y-116727410D01* +X80962000Y-116734706D01* +X82712000Y-116734706D01* +X82786077Y-116727410D01* +X82857307Y-116705803D01* +X82922953Y-116670714D01* +X82980493Y-116623493D01* +X83027714Y-116565953D01* +X83062803Y-116500307D01* +X83084410Y-116429077D01* +X83091706Y-116355000D01* +X83091706Y-116055000D01* +X83084410Y-115980923D01* +X83062803Y-115909693D01* +X83027714Y-115844047D01* +X82980493Y-115786507D01* +X82922953Y-115739286D01* +X82857307Y-115704197D01* +X82786077Y-115682590D01* +X82712000Y-115675294D01* +X80962000Y-115675294D01* +X80887923Y-115682590D01* +X80816693Y-115704197D01* +X80751047Y-115739286D01* +X80693507Y-115786507D01* +X80648231Y-115841677D01* +X80600963Y-115794409D01* +X80514386Y-115736560D01* +X80418187Y-115696713D01* +X80316063Y-115676400D01* +X80211937Y-115676400D01* +X80109813Y-115696713D01* +X80013614Y-115736560D01* +X79927037Y-115794409D01* +X79853409Y-115868037D01* +X79795560Y-115954614D01* +X79755713Y-116050813D01* +X79735400Y-116152937D01* +X70987471Y-116152937D01* +X71091847Y-116083195D01* +X71252195Y-115922847D01* +X71378180Y-115734298D01* +X71464960Y-115524793D01* +X71509200Y-115302383D01* +X71509200Y-115075617D01* +X71470874Y-114882937D01* +X79735400Y-114882937D01* +X79735400Y-114987063D01* +X79755713Y-115089187D01* +X79795560Y-115185386D01* +X79853409Y-115271963D01* +X79927037Y-115345591D01* +X80013614Y-115403440D01* +X80109813Y-115443287D01* +X80211937Y-115463600D01* +X80316063Y-115463600D01* +X80418187Y-115443287D01* +X80514386Y-115403440D01* +X80600963Y-115345591D01* +X80648231Y-115298323D01* +X80693507Y-115353493D01* +X80751047Y-115400714D01* +X80816693Y-115435803D01* +X80887923Y-115457410D01* +X80962000Y-115464706D01* +X82712000Y-115464706D01* +X82786077Y-115457410D01* +X82857307Y-115435803D01* +X82922953Y-115400714D01* +X82980493Y-115353493D01* +X83027714Y-115295953D01* +X83062803Y-115230307D01* +X83084410Y-115159077D01* +X83091706Y-115085000D01* +X83091706Y-114785000D01* +X83084410Y-114710923D01* +X83062803Y-114639693D01* +X83027714Y-114574047D01* +X82980493Y-114516507D01* +X82922953Y-114469286D01* +X82857307Y-114434197D01* +X82786077Y-114412590D01* +X82712000Y-114405294D01* +X80962000Y-114405294D01* +X80887923Y-114412590D01* +X80816693Y-114434197D01* +X80751047Y-114469286D01* +X80693507Y-114516507D01* +X80648231Y-114571677D01* +X80600963Y-114524409D01* +X80514386Y-114466560D01* +X80418187Y-114426713D01* +X80316063Y-114406400D01* +X80211937Y-114406400D01* +X80109813Y-114426713D01* +X80013614Y-114466560D01* +X79927037Y-114524409D01* +X79853409Y-114598037D01* +X79795560Y-114684614D01* +X79755713Y-114780813D01* +X79735400Y-114882937D01* +X71470874Y-114882937D01* +X71464960Y-114853207D01* +X71378180Y-114643702D01* +X71252195Y-114455153D01* +X71091847Y-114294805D01* +X70903298Y-114168820D01* +X70693793Y-114082040D01* +X70471383Y-114037800D01* +X70244617Y-114037800D01* +X70022207Y-114082040D01* +X69812702Y-114168820D01* +X69624153Y-114294805D01* +X69463805Y-114455153D01* +X69337820Y-114643702D01* +X69251040Y-114853207D01* +X69206800Y-115075617D01* +X68426385Y-115075617D01* +X68525719Y-114578951D01* +X68769624Y-114091140D01* +X69247827Y-113612937D01* +X79735400Y-113612937D01* +X79735400Y-113717063D01* +X79755713Y-113819187D01* +X79795560Y-113915386D01* +X79853409Y-114001963D01* +X79927037Y-114075591D01* +X80013614Y-114133440D01* +X80109813Y-114173287D01* +X80211937Y-114193600D01* +X80316063Y-114193600D01* +X80418187Y-114173287D01* +X80514386Y-114133440D01* +X80600963Y-114075591D01* +X80648231Y-114028323D01* +X80693507Y-114083493D01* +X80751047Y-114130714D01* +X80816693Y-114165803D01* +X80887923Y-114187410D01* +X80962000Y-114194706D01* +X82712000Y-114194706D01* +X82786077Y-114187410D01* +X82857307Y-114165803D01* +X82922953Y-114130714D01* +X82980493Y-114083493D01* +X83027714Y-114025953D01* +X83062803Y-113960307D01* +X83084410Y-113889077D01* +X83091706Y-113815000D01* +X83091706Y-113515000D01* +X83084410Y-113440923D01* +X83062803Y-113369693D01* +X83027714Y-113304047D01* +X82980493Y-113246507D01* +X82922953Y-113199286D01* +X82857307Y-113164197D01* +X82786077Y-113142590D01* +X82712000Y-113135294D01* +X80962000Y-113135294D01* +X80887923Y-113142590D01* +X80816693Y-113164197D01* +X80751047Y-113199286D01* +X80693507Y-113246507D01* +X80648231Y-113301677D01* +X80600963Y-113254409D01* +X80514386Y-113196560D01* +X80418187Y-113156713D01* +X80316063Y-113136400D01* +X80211937Y-113136400D01* +X80109813Y-113156713D01* +X80013614Y-113196560D01* +X79927037Y-113254409D01* +X79853409Y-113328037D01* +X79795560Y-113414614D01* +X79755713Y-113510813D01* +X79735400Y-113612937D01* +X69247827Y-113612937D01* +X70517827Y-112342937D01* +X79735400Y-112342937D01* +X79735400Y-112447063D01* +X79755713Y-112549187D01* +X79795560Y-112645386D01* +X79853409Y-112731963D01* +X79927037Y-112805591D01* +X80013614Y-112863440D01* +X80109813Y-112903287D01* +X80211937Y-112923600D01* +X80316063Y-112923600D01* +X80418187Y-112903287D01* +X80514386Y-112863440D01* +X80600963Y-112805591D01* +X80648231Y-112758323D01* +X80693507Y-112813493D01* +X80751047Y-112860714D01* +X80816693Y-112895803D01* +X80887923Y-112917410D01* +X80962000Y-112924706D01* +X82712000Y-112924706D01* +X82786077Y-112917410D01* +X82857307Y-112895803D01* +X82922953Y-112860714D01* +X82980493Y-112813493D01* +X83027714Y-112755953D01* +X83062803Y-112690307D01* +X83084410Y-112619077D01* +X83091706Y-112545000D01* +X83091706Y-112245000D01* +X83084410Y-112170923D01* +X83062803Y-112099693D01* +X83027714Y-112034047D01* +X82980493Y-111976507D01* +X82922953Y-111929286D01* +X82857307Y-111894197D01* +X82786077Y-111872590D01* +X82712000Y-111865294D01* +X80962000Y-111865294D01* +X80887923Y-111872590D01* +X80816693Y-111894197D01* +X80751047Y-111929286D01* +X80693507Y-111976507D01* +X80648231Y-112031677D01* +X80600963Y-111984409D01* +X80514386Y-111926560D01* +X80418187Y-111886713D01* +X80316063Y-111866400D01* +X80211937Y-111866400D01* +X80109813Y-111886713D01* +X80013614Y-111926560D01* +X79927037Y-111984409D01* +X79853409Y-112058037D01* +X79795560Y-112144614D01* +X79755713Y-112240813D01* +X79735400Y-112342937D01* +X70517827Y-112342937D01* +X71787827Y-111072937D01* +X79735400Y-111072937D01* +X79735400Y-111177063D01* +X79755713Y-111279187D01* +X79795560Y-111375386D01* +X79853409Y-111461963D01* +X79927037Y-111535591D01* +X80013614Y-111593440D01* +X80109813Y-111633287D01* +X80211937Y-111653600D01* +X80316063Y-111653600D01* +X80418187Y-111633287D01* +X80514386Y-111593440D01* +X80600963Y-111535591D01* +X80648231Y-111488323D01* +X80693507Y-111543493D01* +X80751047Y-111590714D01* +X80816693Y-111625803D01* +X80887923Y-111647410D01* +X80962000Y-111654706D01* +X82712000Y-111654706D01* +X82786077Y-111647410D01* +X82857307Y-111625803D01* +X82922953Y-111590714D01* +X82980493Y-111543493D01* +X83027714Y-111485953D01* +X83062803Y-111420307D01* +X83084410Y-111349077D01* +X83091706Y-111275000D01* +X83091706Y-110975000D01* +X83084410Y-110900923D01* +X83062803Y-110829693D01* +X83027714Y-110764047D01* +X82980493Y-110706507D01* +X82922953Y-110659286D01* +X82857307Y-110624197D01* +X82786077Y-110602590D01* +X82712000Y-110595294D01* +X80962000Y-110595294D01* +X80887923Y-110602590D01* +X80816693Y-110624197D01* +X80751047Y-110659286D01* +X80693507Y-110706507D01* +X80648231Y-110761677D01* +X80600963Y-110714409D01* +X80514386Y-110656560D01* +X80418187Y-110616713D01* +X80316063Y-110596400D01* +X80211937Y-110596400D01* +X80109813Y-110616713D01* +X80013614Y-110656560D01* +X79927037Y-110714409D01* +X79853409Y-110788037D01* +X79795560Y-110874614D01* +X79755713Y-110970813D01* +X79735400Y-111072937D01* +X71787827Y-111072937D01* +X73057827Y-109802937D01* +X79735400Y-109802937D01* +X79735400Y-109907063D01* +X79755713Y-110009187D01* +X79795560Y-110105386D01* +X79853409Y-110191963D01* +X79927037Y-110265591D01* +X80013614Y-110323440D01* +X80109813Y-110363287D01* +X80211937Y-110383600D01* +X80316063Y-110383600D01* +X80418187Y-110363287D01* +X80514386Y-110323440D01* +X80600963Y-110265591D01* +X80648231Y-110218323D01* +X80693507Y-110273493D01* +X80751047Y-110320714D01* +X80816693Y-110355803D01* +X80887923Y-110377410D01* +X80962000Y-110384706D01* +X82712000Y-110384706D01* +X82786077Y-110377410D01* +X82857307Y-110355803D01* +X82922953Y-110320714D01* +X82980493Y-110273493D01* +X83027714Y-110215953D01* +X83062803Y-110150307D01* +X83084410Y-110079077D01* +X83091706Y-110005000D01* +X83091706Y-109705000D01* +X83084410Y-109630923D01* +X83062803Y-109559693D01* +X83027714Y-109494047D01* +X82980493Y-109436507D01* +X82922953Y-109389286D01* +X82857307Y-109354197D01* +X82786077Y-109332590D01* +X82712000Y-109325294D01* +X80962000Y-109325294D01* +X80887923Y-109332590D01* +X80816693Y-109354197D01* +X80751047Y-109389286D01* +X80693507Y-109436507D01* +X80648231Y-109491677D01* +X80600963Y-109444409D01* +X80514386Y-109386560D01* +X80418187Y-109346713D01* +X80316063Y-109326400D01* +X80211937Y-109326400D01* +X80109813Y-109346713D01* +X80013614Y-109386560D01* +X79927037Y-109444409D01* +X79853409Y-109518037D01* +X79795560Y-109604614D01* +X79755713Y-109700813D01* +X79735400Y-109802937D01* +X73057827Y-109802937D01* +X74327827Y-108532937D01* +X79735400Y-108532937D01* +X79735400Y-108637063D01* +X79755713Y-108739187D01* +X79795560Y-108835386D01* +X79853409Y-108921963D01* +X79927037Y-108995591D01* +X80013614Y-109053440D01* +X80109813Y-109093287D01* +X80211937Y-109113600D01* +X80316063Y-109113600D01* +X80418187Y-109093287D01* +X80514386Y-109053440D01* +X80600963Y-108995591D01* +X80648231Y-108948323D01* +X80693507Y-109003493D01* +X80751047Y-109050714D01* +X80816693Y-109085803D01* +X80887923Y-109107410D01* +X80962000Y-109114706D01* +X82712000Y-109114706D01* +X82786077Y-109107410D01* +X82857307Y-109085803D01* +X82922953Y-109050714D01* +X82980493Y-109003493D01* +X83027714Y-108945953D01* +X83062803Y-108880307D01* +X83084410Y-108809077D01* +X83091706Y-108735000D01* +X83091706Y-108435000D01* +X83084410Y-108360923D01* +X83062803Y-108289693D01* +X83027714Y-108224047D01* +X82980493Y-108166507D01* +X82922953Y-108119286D01* +X82857307Y-108084197D01* +X82786077Y-108062590D01* +X82712000Y-108055294D01* +X80962000Y-108055294D01* +X80887923Y-108062590D01* +X80816693Y-108084197D01* +X80751047Y-108119286D01* +X80693507Y-108166507D01* +X80648231Y-108221677D01* +X80600963Y-108174409D01* +X80514386Y-108116560D01* +X80418187Y-108076713D01* +X80316063Y-108056400D01* +X80211937Y-108056400D01* +X80109813Y-108076713D01* +X80013614Y-108116560D01* +X79927037Y-108174409D01* +X79853409Y-108248037D01* +X79795560Y-108334614D01* +X79755713Y-108430813D01* +X79735400Y-108532937D01* +X74327827Y-108532937D01* +X76421147Y-106439617D01* +X77842800Y-106439617D01* +X77842800Y-106666383D01* +X77887040Y-106888793D01* +X77973820Y-107098298D01* +X78099805Y-107286847D01* +X78260153Y-107447195D01* +X78448702Y-107573180D01* +X78658207Y-107659960D01* +X78880617Y-107704200D01* +X79107383Y-107704200D01* +X79329793Y-107659960D01* +X79539298Y-107573180D01* +X79727847Y-107447195D01* +X79888195Y-107286847D01* +X80014180Y-107098298D01* +X80100960Y-106888793D01* +X80145200Y-106666383D01* +X80145200Y-106439617D01* +X135754800Y-106439617D01* +X135754800Y-106666383D01* +X135799040Y-106888793D01* +X135885820Y-107098298D01* +X136011805Y-107286847D01* +X136172153Y-107447195D01* +X136360702Y-107573180D01* +X136570207Y-107659960D01* +X136792617Y-107704200D01* +X137019383Y-107704200D01* +X137241793Y-107659960D01* +X137451298Y-107573180D01* +X137639847Y-107447195D01* +X137800195Y-107286847D01* +X137926180Y-107098298D01* +X138012960Y-106888793D01* +X138057200Y-106666383D01* +X138057200Y-106439617D01* +X138012960Y-106217207D01* +X137926180Y-106007702D01* +X137800195Y-105819153D01* +X137639847Y-105658805D01* +X137451298Y-105532820D01* +X137241793Y-105446040D01* +X137019383Y-105401800D01* +X136792617Y-105401800D01* +X136570207Y-105446040D01* +X136360702Y-105532820D01* +X136172153Y-105658805D01* +X136011805Y-105819153D01* +X135885820Y-106007702D01* +X135799040Y-106217207D01* +X135754800Y-106439617D01* +X80145200Y-106439617D01* +X80100960Y-106217207D01* +X80014180Y-106007702D01* +X79888195Y-105819153D01* +X79727847Y-105658805D01* +X79539298Y-105532820D01* +X79329793Y-105446040D01* +X79107383Y-105401800D01* +X78880617Y-105401800D01* +X78658207Y-105446040D01* +X78448702Y-105532820D01* +X78260153Y-105658805D01* +X78099805Y-105819153D01* +X77973820Y-106007702D01* +X77887040Y-106217207D01* +X77842800Y-106439617D01* +X76421147Y-106439617D01* +X77896140Y-104964624D01* +X78383951Y-104720719D01* +X79001544Y-104597200D01* +X136898456Y-104597200D01* +X137513112Y-104720131D01* +G37* +X137513112Y-104720131D02* +X138128750Y-105089514D01* +X138494376Y-105455140D01* +X138738281Y-105942951D01* +X138861800Y-106560544D01* +X138861800Y-131495800D01* +X138150600Y-131495800D01* +X138150600Y-129442434D01* +X138141060Y-129394474D01* +X138136267Y-129345808D01* +X138122072Y-129299014D01* +X138112532Y-129251053D01* +X138093818Y-129205872D01* +X138079623Y-129159080D01* +X138056572Y-129115954D01* +X138037858Y-129070775D01* +X138010691Y-129030117D01* +X137987639Y-128986989D01* +X137956616Y-128949188D01* +X137929449Y-128908529D01* +X137894870Y-128873950D01* +X137863849Y-128836151D01* +X137826050Y-128805130D01* +X137791471Y-128770551D01* +X137769600Y-128755937D01* +X137769600Y-128675315D01* +X137770542Y-128674542D01* +X137831754Y-128599955D01* +X137877239Y-128514859D01* +X137905248Y-128422525D01* +X137914706Y-128326500D01* +X137914706Y-127451500D01* +X137905248Y-127355475D01* +X137877239Y-127263141D01* +X137831754Y-127178045D01* +X137770542Y-127103458D01* +X137769600Y-127102685D01* +X137769600Y-126589059D01* +X137760779Y-126499498D01* +X137725921Y-126384588D01* +X137669316Y-126278686D01* +X137593138Y-126185862D01* +X137500314Y-126109684D01* +X137394412Y-126053079D01* +X137279502Y-126018221D01* +X137160000Y-126006451D01* +X137040499Y-126018221D01* +X136925589Y-126053079D01* +X136819687Y-126109684D01* +X136726863Y-126185862D01* +X136650685Y-126278686D01* +X136594080Y-126384588D01* +X136559222Y-126499498D01* +X136550401Y-126589059D01* +X136550401Y-127102684D01* +X136549458Y-127103458D01* +X136488246Y-127178045D01* +X136442761Y-127263141D01* +X136414752Y-127355475D01* +X136405294Y-127451500D01* +X136405294Y-128326500D01* +X136414752Y-128422525D01* +X136442761Y-128514859D01* +X136488246Y-128599955D01* +X136549458Y-128674542D01* +X136550400Y-128675315D01* +X136550401Y-128755937D01* +X136528529Y-128770551D01* +X136493945Y-128805135D01* +X136456152Y-128836151D01* +X136425136Y-128873944D01* +X136390551Y-128908529D01* +X136363379Y-128949194D01* +X136332362Y-128986989D01* +X136309314Y-129030109D01* +X136282142Y-129070775D01* +X136263425Y-129115963D01* +X136240378Y-129159080D01* +X136226186Y-129205863D01* +X136207468Y-129251053D01* +X136197926Y-129299024D01* +X136183734Y-129345808D01* +X136178942Y-129394464D01* +X136169400Y-129442434D01* +X136169400Y-129637566D01* +X136169401Y-129637571D01* +X136169401Y-131495800D01* +X135353185Y-131495800D01* +X135340290Y-131485217D01* +X135234707Y-131428781D01* +X135120143Y-131394029D01* +X135040468Y-131386181D01* +X135088440Y-131314386D01* +X135128287Y-131218187D01* +X135148600Y-131116063D01* +X135148600Y-131011937D01* +X135128287Y-130909813D01* +X135088440Y-130813614D01* +X135030591Y-130727037D01* +X134956963Y-130653409D01* +X134870386Y-130595560D01* +X134774187Y-130555713D01* +X134672063Y-130535400D01* +X134567937Y-130535400D01* +X134465813Y-130555713D01* +X134369614Y-130595560D01* +X134283037Y-130653409D01* +X134209409Y-130727037D01* +X134151560Y-130813614D01* +X134111713Y-130909813D01* +X134091400Y-131011937D01* +X134091400Y-131116063D01* +X134111713Y-131218187D01* +X134151560Y-131314386D01* +X134199532Y-131386181D01* +X134119857Y-131394029D01* +X134005293Y-131428781D01* +X133899710Y-131485217D01* +X133886815Y-131495800D01* +X132813185Y-131495800D01* +X132800290Y-131485217D01* +X132694707Y-131428781D01* +X132580143Y-131394029D01* +X132500468Y-131386181D01* +X132548440Y-131314386D01* +X132588287Y-131218187D01* +X132608600Y-131116063D01* +X132608600Y-131011937D01* +X132588287Y-130909813D01* +X132548440Y-130813614D01* +X132490591Y-130727037D01* +X132416963Y-130653409D01* +X132330386Y-130595560D01* +X132234187Y-130555713D01* +X132132063Y-130535400D01* +X132027937Y-130535400D01* +X131925813Y-130555713D01* +X131829614Y-130595560D01* +X131743037Y-130653409D01* +X131669409Y-130727037D01* +X131611560Y-130813614D01* +X131571713Y-130909813D01* +X131551400Y-131011937D01* +X131551400Y-131116063D01* +X131571713Y-131218187D01* +X131611560Y-131314386D01* +X131659532Y-131386181D01* +X131579857Y-131394029D01* +X131465293Y-131428781D01* +X131359710Y-131485217D01* +X131346815Y-131495800D01* +X130273185Y-131495800D01* +X130260290Y-131485217D01* +X130154707Y-131428781D01* +X130040143Y-131394029D01* +X129921000Y-131382294D01* +X129159000Y-131382294D01* +X129039857Y-131394029D01* +X128925293Y-131428781D01* +X128819710Y-131485217D01* +X128806815Y-131495800D01* +X127733185Y-131495800D01* +X127720290Y-131485217D01* +X127614707Y-131428781D01* +X127500143Y-131394029D01* +X127381000Y-131382294D01* +X126619000Y-131382294D01* +X126499857Y-131394029D01* +X126385293Y-131428781D01* +X126279710Y-131485217D01* +X126266815Y-131495800D01* +X125193185Y-131495800D01* +X125180290Y-131485217D01* +X125074707Y-131428781D01* +X124960143Y-131394029D01* +X124841000Y-131382294D01* +X124079000Y-131382294D01* +X123959857Y-131394029D01* +X123845293Y-131428781D01* +X123739710Y-131485217D01* +X123726815Y-131495800D01* +X122653185Y-131495800D01* +X122640290Y-131485217D01* +X122534707Y-131428781D01* +X122420143Y-131394029D01* +X122301000Y-131382294D01* +X121539000Y-131382294D01* +X121419857Y-131394029D01* +X121305293Y-131428781D01* +X121199710Y-131485217D01* +X121186815Y-131495800D01* +X120113185Y-131495800D01* +X120100290Y-131485217D01* +X119994707Y-131428781D01* +X119880143Y-131394029D01* +X119761000Y-131382294D01* +X119684800Y-131382294D01* +X119684800Y-131205957D01* +X119686273Y-131190999D01* +X119684800Y-131176041D01* +X119684800Y-131176034D01* +X119680389Y-131131249D01* +X119675783Y-131116063D01* +X119662960Y-131073794D01* +X119657373Y-131063342D01* +X119634658Y-131020843D01* +X119596568Y-130974432D01* +X119584945Y-130964893D01* +X117672552Y-129052500D01* +X121265294Y-129052500D01* +X121265294Y-130027500D01* +X121273791Y-130113770D01* +X121298955Y-130196725D01* +X121339819Y-130273176D01* +X121394813Y-130340187D01* +X121461824Y-130395181D01* +X121472691Y-130400989D01* +X121451560Y-130432614D01* +X121411713Y-130528813D01* +X121391400Y-130630937D01* +X121391400Y-130735063D01* +X121411713Y-130837187D01* +X121451560Y-130933386D01* +X121509409Y-131019963D01* +X121583037Y-131093591D01* +X121669614Y-131151440D01* +X121765813Y-131191287D01* +X121867937Y-131211600D01* +X121972063Y-131211600D01* +X122074187Y-131191287D01* +X122170386Y-131151440D01* +X122256963Y-131093591D01* +X122330591Y-131019963D01* +X122388440Y-130933386D01* +X122428287Y-130837187D01* +X122448600Y-130735063D01* +X122448600Y-130630937D01* +X122428287Y-130528813D01* +X122388440Y-130432614D01* +X122367309Y-130400989D01* +X122378176Y-130395181D01* +X122445187Y-130340187D01* +X122500181Y-130273176D01* +X122541045Y-130196725D01* +X122566209Y-130113770D01* +X122574706Y-130027500D01* +X122574706Y-129052500D01* +X123165294Y-129052500D01* +X123165294Y-130027500D01* +X123173791Y-130113770D01* +X123198955Y-130196725D01* +X123239819Y-130273176D01* +X123294813Y-130340187D01* +X123361824Y-130395181D01* +X123438275Y-130436045D01* +X123521230Y-130461209D01* +X123607500Y-130469706D01* +X124032500Y-130469706D01* +X124118770Y-130461209D01* +X124201725Y-130436045D01* +X124278176Y-130395181D01* +X124345187Y-130340187D01* +X124400181Y-130273176D01* +X124441045Y-130196725D01* +X124466209Y-130113770D01* +X124474706Y-130027500D01* +X124474706Y-130022600D01* +X125386799Y-130022600D01* +X125448813Y-130048287D01* +X125550937Y-130068600D01* +X125655063Y-130068600D01* +X125757187Y-130048287D01* +X125853386Y-130008440D01* +X125939963Y-129950591D01* +X126013591Y-129876963D01* +X126071440Y-129790386D01* +X126111287Y-129694187D01* +X126131600Y-129592063D01* +X126131600Y-129487937D01* +X126111287Y-129385813D01* +X126071440Y-129289614D01* +X126013591Y-129203037D01* +X125939963Y-129129409D01* +X125853386Y-129071560D01* +X125757187Y-129031713D01* +X125655063Y-129011400D01* +X125550937Y-129011400D01* +X125448813Y-129031713D01* +X125386799Y-129057400D01* +X124474706Y-129057400D01* +X124474706Y-129052500D01* +X124466209Y-128966230D01* +X124441045Y-128883275D01* +X124400181Y-128806824D01* +X124345187Y-128739813D01* +X124278176Y-128684819D01* +X124201725Y-128643955D01* +X124118770Y-128618791D01* +X124032500Y-128610294D01* +X123607500Y-128610294D01* +X123521230Y-128618791D01* +X123438275Y-128643955D01* +X123361824Y-128684819D01* +X123294813Y-128739813D01* +X123239819Y-128806824D01* +X123198955Y-128883275D01* +X123173791Y-128966230D01* +X123165294Y-129052500D01* +X122574706Y-129052500D01* +X122566209Y-128966230D01* +X122541045Y-128883275D01* +X122500181Y-128806824D01* +X122445187Y-128739813D01* +X122378176Y-128684819D01* +X122301725Y-128643955D01* +X122224800Y-128620620D01* +X122224800Y-128589000D01* +X134705294Y-128589000D01* +X134709708Y-128633813D01* +X134722779Y-128676905D01* +X134744006Y-128716618D01* +X134772573Y-128751427D01* +X134807382Y-128779994D01* +X134847095Y-128801221D01* +X134890187Y-128814292D01* +X134935000Y-128818706D01* +X135329050Y-128817600D01* +X135386200Y-128760450D01* +X135386200Y-127962800D01* +X135533800Y-127962800D01* +X135533800Y-128760450D01* +X135590950Y-128817600D01* +X135985000Y-128818706D01* +X136029813Y-128814292D01* +X136072905Y-128801221D01* +X136112618Y-128779994D01* +X136147427Y-128751427D01* +X136175994Y-128716618D01* +X136197221Y-128676905D01* +X136210292Y-128633813D01* +X136214706Y-128589000D01* +X136213600Y-128019950D01* +X136156450Y-127962800D01* +X135533800Y-127962800D01* +X135386200Y-127962800D01* +X134763550Y-127962800D01* +X134706400Y-128019950D01* +X134705294Y-128589000D01* +X122224800Y-128589000D01* +X122224800Y-128523251D01* +X122808252Y-127939800D01* +X131430042Y-127939800D01* +X131445000Y-127941273D01* +X131459958Y-127939800D01* +X131459966Y-127939800D01* +X131504751Y-127935389D01* +X131562206Y-127917960D01* +X131615157Y-127889658D01* +X131661568Y-127851568D01* +X131671112Y-127839939D01* +X132322051Y-127189000D01* +X134705294Y-127189000D01* +X134706400Y-127758050D01* +X134763550Y-127815200D01* +X135386200Y-127815200D01* +X135386200Y-127017550D01* +X135533800Y-127017550D01* +X135533800Y-127815200D01* +X136156450Y-127815200D01* +X136213600Y-127758050D01* +X136214706Y-127189000D01* +X136210292Y-127144187D01* +X136197221Y-127101095D01* +X136175994Y-127061382D01* +X136147427Y-127026573D01* +X136112618Y-126998006D01* +X136072905Y-126976779D01* +X136029813Y-126963708D01* +X135985000Y-126959294D01* +X135590950Y-126960400D01* +X135533800Y-127017550D01* +X135386200Y-127017550D01* +X135329050Y-126960400D01* +X134935000Y-126959294D01* +X134890187Y-126963708D01* +X134847095Y-126976779D01* +X134807382Y-126998006D01* +X134772573Y-127026573D01* +X134744006Y-127061382D01* +X134722779Y-127101095D01* +X134709708Y-127144187D01* +X134705294Y-127189000D01* +X132322051Y-127189000D01* +X134783434Y-124727617D01* +X135754800Y-124727617D01* +X135754800Y-124954383D01* +X135799040Y-125176793D01* +X135885820Y-125386298D01* +X136011805Y-125574847D01* +X136172153Y-125735195D01* +X136360702Y-125861180D01* +X136570207Y-125947960D01* +X136792617Y-125992200D01* +X137019383Y-125992200D01* +X137241793Y-125947960D01* +X137451298Y-125861180D01* +X137639847Y-125735195D01* +X137800195Y-125574847D01* +X137926180Y-125386298D01* +X138012960Y-125176793D01* +X138057200Y-124954383D01* +X138057200Y-124727617D01* +X138012960Y-124505207D01* +X137926180Y-124295702D01* +X137800195Y-124107153D01* +X137639847Y-123946805D01* +X137451298Y-123820820D01* +X137241793Y-123734040D01* +X137019383Y-123689800D01* +X136792617Y-123689800D01* +X136570207Y-123734040D01* +X136360702Y-123820820D01* +X136172153Y-123946805D01* +X136011805Y-124107153D01* +X135885820Y-124295702D01* +X135799040Y-124505207D01* +X135754800Y-124727617D01* +X134783434Y-124727617D01* +X135775944Y-123735108D01* +X135787568Y-123725568D01* +X135825658Y-123679157D01* +X135853960Y-123626206D01* +X135868070Y-123579693D01* +X135871389Y-123568752D01* +X135871945Y-123563111D01* +X135875800Y-123523966D01* +X135875800Y-123523959D01* +X135877273Y-123509001D01* +X135875800Y-123494043D01* +X135875800Y-123084706D01* +X136396000Y-123084706D01* +X136470077Y-123077410D01* +X136541307Y-123055803D01* +X136606953Y-123020714D01* +X136664493Y-122973493D01* +X136711714Y-122915953D01* +X136746803Y-122850307D01* +X136768410Y-122779077D01* +X136775706Y-122705000D01* +X136775706Y-122405000D01* +X136768410Y-122330923D01* +X136746803Y-122259693D01* +X136711714Y-122194047D01* +X136664493Y-122136507D01* +X136606953Y-122089286D01* +X136541307Y-122054197D01* +X136470077Y-122032590D01* +X136396000Y-122025294D01* +X134746000Y-122025294D01* +X134671923Y-122032590D01* +X134600693Y-122054197D01* +X134535047Y-122089286D01* +X134477507Y-122136507D01* +X134430286Y-122194047D01* +X134395197Y-122259693D01* +X134373590Y-122330923D01* +X134366294Y-122405000D01* +X134366294Y-122705000D01* +X134373590Y-122779077D01* +X134395197Y-122850307D01* +X134430286Y-122915953D01* +X134477507Y-122973493D01* +X134535047Y-123020714D01* +X134600693Y-123055803D01* +X134671923Y-123077410D01* +X134746000Y-123084706D01* +X135266201Y-123084706D01* +X135266201Y-123382747D01* +X131318749Y-127330200D01* +X122696958Y-127330200D01* +X122682000Y-127328727D01* +X122667042Y-127330200D01* +X122667034Y-127330200D01* +X122627403Y-127334103D01* +X122622248Y-127334611D01* +X122564794Y-127352040D01* +X122511843Y-127380342D01* +X122465432Y-127418432D01* +X122455892Y-127430056D01* +X121715061Y-128170888D01* +X121703432Y-128180432D01* +X121665342Y-128226844D01* +X121637040Y-128279795D01* +X121619611Y-128337250D01* +X121615200Y-128382035D01* +X121615200Y-128382042D01* +X121613727Y-128397000D01* +X121615200Y-128411958D01* +X121615200Y-128620620D01* +X121538275Y-128643955D01* +X121461824Y-128684819D01* +X121394813Y-128739813D01* +X121339819Y-128806824D01* +X121298955Y-128883275D01* +X121273791Y-128966230D01* +X121265294Y-129052500D01* +X117672552Y-129052500D01* +X117525800Y-128905749D01* +X117525800Y-126035082D01* +X117557963Y-126013591D01* +X117631591Y-125939963D01* +X117689440Y-125853386D01* +X117729287Y-125757187D01* +X117749600Y-125655063D01* +X117749600Y-125550937D01* +X117729287Y-125448813D01* +X117689440Y-125352614D01* +X117631591Y-125266037D01* +X117581507Y-125215953D01* +X117581953Y-125215714D01* +X117639493Y-125168493D01* +X117686714Y-125110953D01* +X117719294Y-125050000D01* +X117961294Y-125050000D01* +X117965708Y-125094813D01* +X117978779Y-125137905D01* +X118000006Y-125177618D01* +X118028573Y-125212427D01* +X118063382Y-125240994D01* +X118103095Y-125262221D01* +X118146187Y-125275292D01* +X118191000Y-125279706D01* +X118360050Y-125278600D01* +X118417200Y-125221450D01* +X118417200Y-124386300D01* +X118564800Y-124386300D01* +X118564800Y-125221450D01* +X118621950Y-125278600D01* +X118791000Y-125279706D01* +X118835813Y-125275292D01* +X118878905Y-125262221D01* +X118918618Y-125240994D01* +X118953427Y-125212427D01* +X118981994Y-125177618D01* +X119003221Y-125137905D01* +X119016292Y-125094813D01* +X119020706Y-125050000D01* +X119019600Y-124443450D01* +X118962450Y-124386300D01* +X118564800Y-124386300D01* +X118417200Y-124386300D01* +X118019550Y-124386300D01* +X117962400Y-124443450D01* +X117961294Y-125050000D01* +X117719294Y-125050000D01* +X117721803Y-125045307D01* +X117743410Y-124974077D01* +X117750706Y-124900000D01* +X117750706Y-123725000D01* +X117743410Y-123650923D01* +X117721803Y-123579693D01* +X117719295Y-123575000D01* +X117961294Y-123575000D01* +X117962400Y-124181550D01* +X118019550Y-124238700D01* +X118417200Y-124238700D01* +X118417200Y-123403550D01* +X118564800Y-123403550D01* +X118564800Y-124238700D01* +X118962450Y-124238700D01* +X119019600Y-124181550D01* +X119020432Y-123725000D01* +X119231294Y-123725000D01* +X119231294Y-124900000D01* +X119238590Y-124974077D01* +X119260197Y-125045307D01* +X119295286Y-125110953D01* +X119342507Y-125168493D01* +X119400047Y-125215714D01* +X119465693Y-125250803D01* +X119536923Y-125272410D01* +X119611000Y-125279706D01* +X119911000Y-125279706D01* +X119985077Y-125272410D01* +X120056307Y-125250803D01* +X120121953Y-125215714D01* +X120179493Y-125168493D01* +X120226714Y-125110953D01* +X120261803Y-125045307D01* +X120283410Y-124974077D01* +X120290706Y-124900000D01* +X120290706Y-123725000D01* +X120501294Y-123725000D01* +X120501294Y-124900000D01* +X120508590Y-124974077D01* +X120530197Y-125045307D01* +X120565286Y-125110953D01* +X120612507Y-125168493D01* +X120670047Y-125215714D01* +X120670493Y-125215953D01* +X120620409Y-125266037D01* +X120562560Y-125352614D01* +X120522713Y-125448813D01* +X120502400Y-125550937D01* +X120502400Y-125655063D01* +X120522713Y-125757187D01* +X120562560Y-125853386D01* +X120620409Y-125939963D01* +X120694037Y-126013591D01* +X120780614Y-126071440D01* +X120876813Y-126111287D01* +X120978937Y-126131600D01* +X121083063Y-126131600D01* +X121185187Y-126111287D01* +X121281386Y-126071440D01* +X121367963Y-126013591D01* +X121441591Y-125939963D01* +X121499440Y-125853386D01* +X121539287Y-125757187D01* +X121559600Y-125655063D01* +X121559600Y-125550937D01* +X121539287Y-125448813D01* +X121499440Y-125352614D01* +X121441591Y-125266037D01* +X121391507Y-125215953D01* +X121391953Y-125215714D01* +X121449493Y-125168493D01* +X121496714Y-125110953D01* +X121531803Y-125045307D01* +X121553410Y-124974077D01* +X121560706Y-124900000D01* +X121560706Y-123725000D01* +X121771294Y-123725000D01* +X121771294Y-124900000D01* +X121778590Y-124974077D01* +X121800197Y-125045307D01* +X121835286Y-125110953D01* +X121882507Y-125168493D01* +X121940047Y-125215714D01* +X121940493Y-125215953D01* +X121890409Y-125266037D01* +X121832560Y-125352614D01* +X121792713Y-125448813D01* +X121772400Y-125550937D01* +X121772400Y-125655063D01* +X121792713Y-125757187D01* +X121832560Y-125853386D01* +X121890409Y-125939963D01* +X121964037Y-126013591D01* +X122050614Y-126071440D01* +X122146813Y-126111287D01* +X122248937Y-126131600D01* +X122353063Y-126131600D01* +X122455187Y-126111287D01* +X122551386Y-126071440D01* +X122637963Y-126013591D01* +X122711591Y-125939963D01* +X122769440Y-125853386D01* +X122809287Y-125757187D01* +X122829600Y-125655063D01* +X122829600Y-125550937D01* +X122809287Y-125448813D01* +X122769440Y-125352614D01* +X122711591Y-125266037D01* +X122661507Y-125215953D01* +X122661953Y-125215714D01* +X122719493Y-125168493D01* +X122766714Y-125110953D01* +X122801803Y-125045307D01* +X122823410Y-124974077D01* +X122830706Y-124900000D01* +X122830706Y-123725000D01* +X123041294Y-123725000D01* +X123041294Y-124900000D01* +X123048590Y-124974077D01* +X123070197Y-125045307D01* +X123105286Y-125110953D01* +X123152507Y-125168493D01* +X123210047Y-125215714D01* +X123210493Y-125215953D01* +X123160409Y-125266037D01* +X123102560Y-125352614D01* +X123062713Y-125448813D01* +X123042400Y-125550937D01* +X123042400Y-125655063D01* +X123062713Y-125757187D01* +X123102560Y-125853386D01* +X123160409Y-125939963D01* +X123234037Y-126013591D01* +X123320614Y-126071440D01* +X123416813Y-126111287D01* +X123518937Y-126131600D01* +X123623063Y-126131600D01* +X123725187Y-126111287D01* +X123821386Y-126071440D01* +X123907963Y-126013591D01* +X123981591Y-125939963D01* +X124039440Y-125853386D01* +X124079287Y-125757187D01* +X124099600Y-125655063D01* +X124099600Y-125550937D01* +X124079287Y-125448813D01* +X124039440Y-125352614D01* +X123981591Y-125266037D01* +X123931507Y-125215953D01* +X123931953Y-125215714D01* +X123989493Y-125168493D01* +X124036714Y-125110953D01* +X124071803Y-125045307D01* +X124093410Y-124974077D01* +X124100706Y-124900000D01* +X124100706Y-123725000D01* +X124093410Y-123650923D01* +X124071803Y-123579693D01* +X124036714Y-123514047D01* +X123989493Y-123456507D01* +X123931953Y-123409286D01* +X123866307Y-123374197D01* +X123795077Y-123352590D01* +X123721000Y-123345294D01* +X123421000Y-123345294D01* +X123346923Y-123352590D01* +X123275693Y-123374197D01* +X123210047Y-123409286D01* +X123152507Y-123456507D01* +X123105286Y-123514047D01* +X123070197Y-123579693D01* +X123048590Y-123650923D01* +X123041294Y-123725000D01* +X122830706Y-123725000D01* +X122823410Y-123650923D01* +X122801803Y-123579693D01* +X122766714Y-123514047D01* +X122719493Y-123456507D01* +X122661953Y-123409286D01* +X122596307Y-123374197D01* +X122525077Y-123352590D01* +X122451000Y-123345294D01* +X122151000Y-123345294D01* +X122076923Y-123352590D01* +X122005693Y-123374197D01* +X121940047Y-123409286D01* +X121882507Y-123456507D01* +X121835286Y-123514047D01* +X121800197Y-123579693D01* +X121778590Y-123650923D01* +X121771294Y-123725000D01* +X121560706Y-123725000D01* +X121553410Y-123650923D01* +X121531803Y-123579693D01* +X121496714Y-123514047D01* +X121449493Y-123456507D01* +X121391953Y-123409286D01* +X121326307Y-123374197D01* +X121255077Y-123352590D01* +X121181000Y-123345294D01* +X120881000Y-123345294D01* +X120806923Y-123352590D01* +X120735693Y-123374197D01* +X120670047Y-123409286D01* +X120612507Y-123456507D01* +X120565286Y-123514047D01* +X120530197Y-123579693D01* +X120508590Y-123650923D01* +X120501294Y-123725000D01* +X120290706Y-123725000D01* +X120283410Y-123650923D01* +X120261803Y-123579693D01* +X120226714Y-123514047D01* +X120179493Y-123456507D01* +X120121953Y-123409286D01* +X120056307Y-123374197D01* +X119985077Y-123352590D01* +X119911000Y-123345294D01* +X119611000Y-123345294D01* +X119536923Y-123352590D01* +X119465693Y-123374197D01* +X119400047Y-123409286D01* +X119342507Y-123456507D01* +X119295286Y-123514047D01* +X119260197Y-123579693D01* +X119238590Y-123650923D01* +X119231294Y-123725000D01* +X119020432Y-123725000D01* +X119020706Y-123575000D01* +X119016292Y-123530187D01* +X119003221Y-123487095D01* +X118981994Y-123447382D01* +X118953427Y-123412573D01* +X118918618Y-123384006D01* +X118878905Y-123362779D01* +X118835813Y-123349708D01* +X118791000Y-123345294D01* +X118621950Y-123346400D01* +X118564800Y-123403550D01* +X118417200Y-123403550D01* +X118360050Y-123346400D01* +X118191000Y-123345294D01* +X118146187Y-123349708D01* +X118103095Y-123362779D01* +X118063382Y-123384006D01* +X118028573Y-123412573D01* +X118000006Y-123447382D01* +X117978779Y-123487095D01* +X117965708Y-123530187D01* +X117961294Y-123575000D01* +X117719295Y-123575000D01* +X117686714Y-123514047D01* +X117639493Y-123456507D01* +X117581953Y-123409286D01* +X117516307Y-123374197D01* +X117445077Y-123352590D01* +X117371000Y-123345294D01* +X117071000Y-123345294D01* +X116996923Y-123352590D01* +X116925693Y-123374197D01* +X116860047Y-123409286D01* +X116802507Y-123456507D01* +X116755286Y-123514047D01* +X116720197Y-123579693D01* +X116698590Y-123650923D01* +X116691294Y-123725000D01* +X116691294Y-124900000D01* +X116698590Y-124974077D01* +X116720197Y-125045307D01* +X116755286Y-125110953D01* +X116802507Y-125168493D01* +X116860047Y-125215714D01* +X116860493Y-125215953D01* +X116810409Y-125266037D01* +X116752560Y-125352614D01* +X116712713Y-125448813D01* +X116692400Y-125550937D01* +X116692400Y-125655063D01* +X116712713Y-125757187D01* +X116752560Y-125853386D01* +X116810409Y-125939963D01* +X116884037Y-126013591D01* +X116916200Y-126035082D01* +X116916201Y-129017032D01* +X116914727Y-129032000D01* +X116920611Y-129091751D01* +X116938040Y-129149205D01* +X116948511Y-129168795D01* +X116966343Y-129202157D01* +X117004433Y-129248568D01* +X117016057Y-129258108D01* +X119075200Y-131317252D01* +X119075200Y-131382294D01* +X118999000Y-131382294D01* +X118879857Y-131394029D01* +X118765293Y-131428781D01* +X118659710Y-131485217D01* +X118646815Y-131495800D01* +X117573185Y-131495800D01* +X117560290Y-131485217D01* +X117454707Y-131428781D01* +X117340143Y-131394029D01* +X117221000Y-131382294D01* +X117144800Y-131382294D01* +X117144800Y-131205958D01* +X117146273Y-131191000D01* +X117144800Y-131176042D01* +X117144800Y-131176034D01* +X117140389Y-131131249D01* +X117135783Y-131116063D01* +X117122960Y-131073794D01* +X117117373Y-131063342D01* +X117094658Y-131020843D01* +X117056568Y-130974432D01* +X117044944Y-130964892D01* +X116255800Y-130175749D01* +X116255800Y-125245729D01* +X116311953Y-125215714D01* +X116369493Y-125168493D01* +X116416714Y-125110953D01* +X116451803Y-125045307D01* +X116473410Y-124974077D01* +X116480706Y-124900000D01* +X116480706Y-123725000D01* +X116473410Y-123650923D01* +X116451803Y-123579693D01* +X116416714Y-123514047D01* +X116369493Y-123456507D01* +X116311953Y-123409286D01* +X116246307Y-123374197D01* +X116175077Y-123352590D01* +X116101000Y-123345294D01* +X115801000Y-123345294D01* +X115726923Y-123352590D01* +X115655693Y-123374197D01* +X115590047Y-123409286D01* +X115532507Y-123456507D01* +X115485286Y-123514047D01* +X115450197Y-123579693D01* +X115428590Y-123650923D01* +X115421294Y-123725000D01* +X115421294Y-124900000D01* +X115428590Y-124974077D01* +X115450197Y-125045307D01* +X115485286Y-125110953D01* +X115532507Y-125168493D01* +X115590047Y-125215714D01* +X115646201Y-125245729D01* +X115646200Y-130287042D01* +X115644727Y-130302000D01* +X115646200Y-130316958D01* +X115646200Y-130316965D01* +X115649142Y-130346835D01* +X115650611Y-130361751D01* +X115660752Y-130395181D01* +X115668040Y-130419205D01* +X115696342Y-130472156D01* +X115734432Y-130518568D01* +X115746061Y-130528112D01* +X116535201Y-131317253D01* +X116535201Y-131382294D01* +X116459000Y-131382294D01* +X116339857Y-131394029D01* +X116225293Y-131428781D01* +X116119710Y-131485217D01* +X116106815Y-131495800D01* +X115033185Y-131495800D01* +X115020290Y-131485217D01* +X114914707Y-131428781D01* +X114800143Y-131394029D01* +X114681000Y-131382294D01* +X114604800Y-131382294D01* +X114604800Y-123084706D01* +X114786000Y-123084706D01* +X114860077Y-123077410D01* +X114931307Y-123055803D01* +X114996953Y-123020714D01* +X115054493Y-122973493D01* +X115101714Y-122915953D01* +X115136803Y-122850307D01* +X115158410Y-122779077D01* +X115165706Y-122705000D01* +X115165706Y-122405000D01* +X124356294Y-122405000D01* +X124356294Y-122705000D01* +X124363590Y-122779077D01* +X124385197Y-122850307D01* +X124420286Y-122915953D01* +X124467507Y-122973493D01* +X124525047Y-123020714D01* +X124590693Y-123055803D01* +X124661923Y-123077410D01* +X124736000Y-123084706D01* +X125911000Y-123084706D01* +X125985077Y-123077410D01* +X126056307Y-123055803D01* +X126121953Y-123020714D01* +X126179493Y-122973493D01* +X126226714Y-122915953D01* +X126228694Y-122912248D01* +X126282037Y-122965591D01* +X126368614Y-123023440D01* +X126464813Y-123063287D01* +X126566937Y-123083600D01* +X126671063Y-123083600D01* +X126773187Y-123063287D01* +X126869386Y-123023440D01* +X126955963Y-122965591D01* +X127029591Y-122891963D01* +X127054288Y-122855000D01* +X129416294Y-122855000D01* +X129420708Y-122899813D01* +X129433779Y-122942905D01* +X129455006Y-122982618D01* +X129483573Y-123017427D01* +X129518382Y-123045994D01* +X129558095Y-123067221D01* +X129601187Y-123080292D01* +X129646000Y-123084706D01* +X130490050Y-123083600D01* +X130547200Y-123026450D01* +X130547200Y-122628800D01* +X130694800Y-122628800D01* +X130694800Y-123026450D01* +X130751950Y-123083600D01* +X131596000Y-123084706D01* +X131640813Y-123080292D01* +X131683905Y-123067221D01* +X131723618Y-123045994D01* +X131758427Y-123017427D01* +X131786994Y-122982618D01* +X131808221Y-122942905D01* +X131821292Y-122899813D01* +X131825706Y-122855000D01* +X131824600Y-122685950D01* +X131767450Y-122628800D01* +X130694800Y-122628800D01* +X130547200Y-122628800D01* +X129474550Y-122628800D01* +X129417400Y-122685950D01* +X129416294Y-122855000D01* +X127054288Y-122855000D01* +X127087440Y-122805386D01* +X127127287Y-122709187D01* +X127147600Y-122607063D01* +X127147600Y-122502937D01* +X127127287Y-122400813D01* +X127087440Y-122304614D01* +X127054289Y-122255000D01* +X129416294Y-122255000D01* +X129417400Y-122424050D01* +X129474550Y-122481200D01* +X130547200Y-122481200D01* +X130547200Y-122083550D01* +X130694800Y-122083550D01* +X130694800Y-122481200D01* +X131767450Y-122481200D01* +X131824600Y-122424050D01* +X131825706Y-122255000D01* +X131821292Y-122210187D01* +X131808221Y-122167095D01* +X131786994Y-122127382D01* +X131758427Y-122092573D01* +X131723618Y-122064006D01* +X131683905Y-122042779D01* +X131640813Y-122029708D01* +X131596000Y-122025294D01* +X130751950Y-122026400D01* +X130694800Y-122083550D01* +X130547200Y-122083550D01* +X130490050Y-122026400D01* +X129646000Y-122025294D01* +X129601187Y-122029708D01* +X129558095Y-122042779D01* +X129518382Y-122064006D01* +X129483573Y-122092573D01* +X129455006Y-122127382D01* +X129433779Y-122167095D01* +X129420708Y-122210187D01* +X129416294Y-122255000D01* +X127054289Y-122255000D01* +X127029591Y-122218037D01* +X126955963Y-122144409D01* +X126869386Y-122086560D01* +X126773187Y-122046713D01* +X126671063Y-122026400D01* +X126566937Y-122026400D01* +X126464813Y-122046713D01* +X126368614Y-122086560D01* +X126282037Y-122144409D01* +X126228694Y-122197752D01* +X126226714Y-122194047D01* +X126179493Y-122136507D01* +X126121953Y-122089286D01* +X126056307Y-122054197D01* +X125985077Y-122032590D01* +X125911000Y-122025294D01* +X124736000Y-122025294D01* +X124661923Y-122032590D01* +X124590693Y-122054197D01* +X124525047Y-122089286D01* +X124467507Y-122136507D01* +X124420286Y-122194047D01* +X124385197Y-122259693D01* +X124363590Y-122330923D01* +X124356294Y-122405000D01* +X115165706Y-122405000D01* +X115158410Y-122330923D01* +X115136803Y-122259693D01* +X115101714Y-122194047D01* +X115054493Y-122136507D01* +X114996953Y-122089286D01* +X114931307Y-122054197D01* +X114860077Y-122032590D01* +X114786000Y-122025294D01* +X113611000Y-122025294D01* +X113536923Y-122032590D01* +X113465693Y-122054197D01* +X113400047Y-122089286D01* +X113342507Y-122136507D01* +X113295286Y-122194047D01* +X113260197Y-122259693D01* +X113238590Y-122330923D01* +X113231294Y-122405000D01* +X113231294Y-122705000D01* +X113238590Y-122779077D01* +X113260197Y-122850307D01* +X113295286Y-122915953D01* +X113342507Y-122973493D01* +X113400047Y-123020714D01* +X113465693Y-123055803D01* +X113536923Y-123077410D01* +X113611000Y-123084706D01* +X113995201Y-123084706D01* +X113995200Y-131382294D01* +X113919000Y-131382294D01* +X113799857Y-131394029D01* +X113685293Y-131428781D01* +X113579710Y-131485217D01* +X113566815Y-131495800D01* +X112493185Y-131495800D01* +X112480290Y-131485217D01* +X112374707Y-131428781D01* +X112260143Y-131394029D01* +X112141000Y-131382294D01* +X112064800Y-131382294D01* +X112064800Y-131333126D01* +X113107945Y-130289982D01* +X113119568Y-130280443D01* +X113157658Y-130234032D01* +X113185960Y-130181081D01* +X113198186Y-130140779D01* +X113203389Y-130123627D01* +X113204869Y-130108595D01* +X113207800Y-130078841D01* +X113207800Y-130078834D01* +X113209273Y-130063876D01* +X113207800Y-130048918D01* +X113207800Y-121717082D01* +X113239963Y-121695591D01* +X113293306Y-121642248D01* +X113295286Y-121645953D01* +X113342507Y-121703493D01* +X113400047Y-121750714D01* +X113465693Y-121785803D01* +X113536923Y-121807410D01* +X113611000Y-121814706D01* +X114786000Y-121814706D01* +X114860077Y-121807410D01* +X114931307Y-121785803D01* +X114996953Y-121750714D01* +X115054493Y-121703493D01* +X115101714Y-121645953D01* +X115136803Y-121580307D01* +X115158410Y-121509077D01* +X115165706Y-121435000D01* +X115165706Y-121135000D01* +X124356294Y-121135000D01* +X124356294Y-121435000D01* +X124363590Y-121509077D01* +X124385197Y-121580307D01* +X124420286Y-121645953D01* +X124467507Y-121703493D01* +X124525047Y-121750714D01* +X124590693Y-121785803D01* +X124661923Y-121807410D01* +X124736000Y-121814706D01* +X125911000Y-121814706D01* +X125985077Y-121807410D01* +X126056307Y-121785803D01* +X126121953Y-121750714D01* +X126179493Y-121703493D01* +X126226714Y-121645953D01* +X126261803Y-121580307D01* +X126283410Y-121509077D01* +X126290706Y-121435000D01* +X126290706Y-121135000D01* +X129416294Y-121135000D01* +X129416294Y-121435000D01* +X129423590Y-121509077D01* +X129445197Y-121580307D01* +X129480286Y-121645953D01* +X129527507Y-121703493D01* +X129585047Y-121750714D01* +X129650693Y-121785803D01* +X129721923Y-121807410D01* +X129796000Y-121814706D01* +X131446000Y-121814706D01* +X131520077Y-121807410D01* +X131591307Y-121785803D01* +X131656953Y-121750714D01* +X131714493Y-121703493D01* +X131761714Y-121645953D01* +X131791729Y-121589800D01* +X132033292Y-121589800D01* +X132048250Y-121591273D01* +X132063208Y-121589800D01* +X132063216Y-121589800D01* +X132108001Y-121585389D01* +X132109283Y-121585000D01* +X134366294Y-121585000D01* +X134370708Y-121629813D01* +X134383779Y-121672905D01* +X134405006Y-121712618D01* +X134433573Y-121747427D01* +X134468382Y-121775994D01* +X134508095Y-121797221D01* +X134551187Y-121810292D01* +X134596000Y-121814706D01* +X135440050Y-121813600D01* +X135497200Y-121756450D01* +X135497200Y-121358800D01* +X135644800Y-121358800D01* +X135644800Y-121756450D01* +X135701950Y-121813600D01* +X136546000Y-121814706D01* +X136590813Y-121810292D01* +X136633905Y-121797221D01* +X136673618Y-121775994D01* +X136708427Y-121747427D01* +X136736994Y-121712618D01* +X136758221Y-121672905D01* +X136771292Y-121629813D01* +X136775706Y-121585000D01* +X136774600Y-121415950D01* +X136717450Y-121358800D01* +X135644800Y-121358800D01* +X135497200Y-121358800D01* +X134424550Y-121358800D01* +X134367400Y-121415950D01* +X134366294Y-121585000D01* +X132109283Y-121585000D01* +X132165456Y-121567960D01* +X132218407Y-121539658D01* +X132264818Y-121501568D01* +X132274362Y-121489939D01* +X132779301Y-120985000D01* +X134366294Y-120985000D01* +X134367400Y-121154050D01* +X134424550Y-121211200D01* +X135497200Y-121211200D01* +X135497200Y-120813550D01* +X135644800Y-120813550D01* +X135644800Y-121211200D01* +X136717450Y-121211200D01* +X136774600Y-121154050D01* +X136775706Y-120985000D01* +X136771292Y-120940187D01* +X136758221Y-120897095D01* +X136736994Y-120857382D01* +X136708427Y-120822573D01* +X136673618Y-120794006D01* +X136633905Y-120772779D01* +X136590813Y-120759708D01* +X136546000Y-120755294D01* +X135701950Y-120756400D01* +X135644800Y-120813550D01* +X135497200Y-120813550D01* +X135440050Y-120756400D01* +X134596000Y-120755294D01* +X134551187Y-120759708D01* +X134508095Y-120772779D01* +X134468382Y-120794006D01* +X134433573Y-120822573D01* +X134405006Y-120857382D01* +X134383779Y-120897095D01* +X134370708Y-120940187D01* +X134366294Y-120985000D01* +X132779301Y-120985000D01* +X133300944Y-120463358D01* +X133312568Y-120453818D01* +X133350658Y-120407407D01* +X133378960Y-120354456D01* +X133396098Y-120297960D01* +X133396389Y-120297002D01* +X133397629Y-120284415D01* +X133400800Y-120252216D01* +X133400800Y-120252209D01* +X133402273Y-120237251D01* +X133400800Y-120222293D01* +X133400800Y-118617251D01* +X133423051Y-118595000D01* +X134366294Y-118595000D01* +X134366294Y-118895000D01* +X134373590Y-118969077D01* +X134395197Y-119040307D01* +X134430286Y-119105953D01* +X134477507Y-119163493D01* +X134535047Y-119210714D01* +X134600693Y-119245803D01* +X134671923Y-119267410D01* +X134746000Y-119274706D01* +X135266200Y-119274706D01* +X135266201Y-119485294D01* +X134746000Y-119485294D01* +X134671923Y-119492590D01* +X134600693Y-119514197D01* +X134535047Y-119549286D01* +X134477507Y-119596507D01* +X134430286Y-119654047D01* +X134395197Y-119719693D01* +X134373590Y-119790923D01* +X134366294Y-119865000D01* +X134366294Y-120165000D01* +X134373590Y-120239077D01* +X134395197Y-120310307D01* +X134430286Y-120375953D01* +X134477507Y-120433493D01* +X134535047Y-120480714D01* +X134600693Y-120515803D01* +X134671923Y-120537410D01* +X134746000Y-120544706D01* +X136396000Y-120544706D01* +X136470077Y-120537410D01* +X136541307Y-120515803D01* +X136606953Y-120480714D01* +X136664493Y-120433493D01* +X136711714Y-120375953D01* +X136746803Y-120310307D01* +X136768410Y-120239077D01* +X136775706Y-120165000D01* +X136775706Y-119865000D01* +X136768410Y-119790923D01* +X136746803Y-119719693D01* +X136711714Y-119654047D01* +X136664493Y-119596507D01* +X136606953Y-119549286D01* +X136541307Y-119514197D01* +X136470077Y-119492590D01* +X136396000Y-119485294D01* +X135875800Y-119485294D01* +X135875800Y-119274706D01* +X136396000Y-119274706D01* +X136470077Y-119267410D01* +X136541307Y-119245803D01* +X136606953Y-119210714D01* +X136664493Y-119163493D01* +X136711714Y-119105953D01* +X136746803Y-119040307D01* +X136768410Y-118969077D01* +X136775706Y-118895000D01* +X136775706Y-118595000D01* +X136768410Y-118520923D01* +X136746803Y-118449693D01* +X136711714Y-118384047D01* +X136664493Y-118326507D01* +X136606953Y-118279286D01* +X136541307Y-118244197D01* +X136470077Y-118222590D01* +X136396000Y-118215294D01* +X134746000Y-118215294D01* +X134671923Y-118222590D01* +X134600693Y-118244197D01* +X134535047Y-118279286D01* +X134477507Y-118326507D01* +X134430286Y-118384047D01* +X134395197Y-118449693D01* +X134373590Y-118520923D01* +X134366294Y-118595000D01* +X133423051Y-118595000D01* +X134238252Y-117779800D01* +X134400271Y-117779800D01* +X134430286Y-117835953D01* +X134477507Y-117893493D01* +X134535047Y-117940714D01* +X134600693Y-117975803D01* +X134671923Y-117997410D01* +X134746000Y-118004706D01* +X136396000Y-118004706D01* +X136470077Y-117997410D01* +X136541307Y-117975803D01* +X136606953Y-117940714D01* +X136664493Y-117893493D01* +X136711714Y-117835953D01* +X136746803Y-117770307D01* +X136768410Y-117699077D01* +X136775706Y-117625000D01* +X136775706Y-117325000D01* +X136768410Y-117250923D01* +X136746803Y-117179693D01* +X136711714Y-117114047D01* +X136664493Y-117056507D01* +X136606953Y-117009286D01* +X136541307Y-116974197D01* +X136470077Y-116952590D01* +X136396000Y-116945294D01* +X134746000Y-116945294D01* +X134671923Y-116952590D01* +X134600693Y-116974197D01* +X134535047Y-117009286D01* +X134477507Y-117056507D01* +X134430286Y-117114047D01* +X134400271Y-117170200D01* +X134126958Y-117170200D01* +X134112000Y-117168727D01* +X134097042Y-117170200D01* +X134097034Y-117170200D01* +X134057403Y-117174103D01* +X134052248Y-117174611D01* +X134022722Y-117183568D01* +X133994794Y-117192040D01* +X133941843Y-117220342D01* +X133895432Y-117258432D01* +X133885892Y-117270056D01* +X132891061Y-118264888D01* +X132879432Y-118274432D01* +X132841342Y-118320844D01* +X132817924Y-118364657D01* +X132813040Y-118373795D01* +X132795612Y-118431248D01* +X132795611Y-118431250D01* +X132791200Y-118476035D01* +X132791200Y-118476042D01* +X132789727Y-118491000D01* +X132791200Y-118505958D01* +X132791201Y-120110997D01* +X131921999Y-120980200D01* +X131791729Y-120980200D01* +X131761714Y-120924047D01* +X131714493Y-120866507D01* +X131656953Y-120819286D01* +X131591307Y-120784197D01* +X131520077Y-120762590D01* +X131446000Y-120755294D01* +X129796000Y-120755294D01* +X129721923Y-120762590D01* +X129650693Y-120784197D01* +X129585047Y-120819286D01* +X129527507Y-120866507D01* +X129480286Y-120924047D01* +X129445197Y-120989693D01* +X129423590Y-121060923D01* +X129416294Y-121135000D01* +X126290706Y-121135000D01* +X126283410Y-121060923D01* +X126261803Y-120989693D01* +X126226714Y-120924047D01* +X126179493Y-120866507D01* +X126121953Y-120819286D01* +X126056307Y-120784197D01* +X125985077Y-120762590D01* +X125911000Y-120755294D01* +X124736000Y-120755294D01* +X124661923Y-120762590D01* +X124590693Y-120784197D01* +X124525047Y-120819286D01* +X124467507Y-120866507D01* +X124420286Y-120924047D01* +X124385197Y-120989693D01* +X124363590Y-121060923D01* +X124356294Y-121135000D01* +X115165706Y-121135000D01* +X115158410Y-121060923D01* +X115136803Y-120989693D01* +X115101714Y-120924047D01* +X115054493Y-120866507D01* +X114996953Y-120819286D01* +X114931307Y-120784197D01* +X114860077Y-120762590D01* +X114786000Y-120755294D01* +X113611000Y-120755294D01* +X113536923Y-120762590D01* +X113465693Y-120784197D01* +X113400047Y-120819286D01* +X113342507Y-120866507D01* +X113295286Y-120924047D01* +X113293306Y-120927752D01* +X113239963Y-120874409D01* +X113153386Y-120816560D01* +X113057187Y-120776713D01* +X112955063Y-120756400D01* +X112850937Y-120756400D01* +X112748813Y-120776713D01* +X112652614Y-120816560D01* +X112566037Y-120874409D01* +X112492409Y-120948037D01* +X112434560Y-121034614D01* +X112394713Y-121130813D01* +X112374400Y-121232937D01* +X112374400Y-121337063D01* +X112394713Y-121439187D01* +X112434560Y-121535386D01* +X112492409Y-121621963D01* +X112566037Y-121695591D01* +X112598201Y-121717082D01* +X112598200Y-129937623D01* +X111555057Y-130980767D01* +X111543433Y-130990307D01* +X111533893Y-131001931D01* +X111533892Y-131001932D01* +X111531990Y-131004250D01* +X111505343Y-131036718D01* +X111491113Y-131063342D01* +X111477040Y-131089670D01* +X111459611Y-131147124D01* +X111453727Y-131206875D01* +X111455201Y-131221843D01* +X111455201Y-131382294D01* +X111379000Y-131382294D01* +X111259857Y-131394029D01* +X111145293Y-131428781D01* +X111039710Y-131485217D01* +X111026815Y-131495800D01* +X109953185Y-131495800D01* +X109940290Y-131485217D01* +X109834707Y-131428781D01* +X109720143Y-131394029D01* +X109601000Y-131382294D01* +X109524800Y-131382294D01* +X109524800Y-131317251D01* +X112218944Y-128623108D01* +X112230568Y-128613568D01* +X112268658Y-128567157D01* +X112296960Y-128514206D01* +X112314389Y-128456751D01* +X112318800Y-128411966D01* +X112318800Y-128411958D01* +X112320273Y-128397000D01* +X112318800Y-128382042D01* +X112318800Y-121030251D01* +X113029252Y-120319800D01* +X113265271Y-120319800D01* +X113295286Y-120375953D01* +X113342507Y-120433493D01* +X113400047Y-120480714D01* +X113465693Y-120515803D01* +X113536923Y-120537410D01* +X113611000Y-120544706D01* +X114786000Y-120544706D01* +X114860077Y-120537410D01* +X114931307Y-120515803D01* +X114996953Y-120480714D01* +X115054493Y-120433493D01* +X115101714Y-120375953D01* +X115136803Y-120310307D01* +X115158410Y-120239077D01* +X115165706Y-120165000D01* +X115165706Y-119865000D01* +X124356294Y-119865000D01* +X124356294Y-120165000D01* +X124363590Y-120239077D01* +X124385197Y-120310307D01* +X124420286Y-120375953D01* +X124467507Y-120433493D01* +X124525047Y-120480714D01* +X124590693Y-120515803D01* +X124661923Y-120537410D01* +X124736000Y-120544706D01* +X125911000Y-120544706D01* +X125985077Y-120537410D01* +X126056307Y-120515803D01* +X126121953Y-120480714D01* +X126179493Y-120433493D01* +X126226714Y-120375953D01* +X126228694Y-120372248D01* +X126282037Y-120425591D01* +X126368614Y-120483440D01* +X126464813Y-120523287D01* +X126566937Y-120543600D01* +X126671063Y-120543600D01* +X126773187Y-120523287D01* +X126869386Y-120483440D01* +X126955963Y-120425591D01* +X127029591Y-120351963D01* +X127087440Y-120265386D01* +X127127287Y-120169187D01* +X127147600Y-120067063D01* +X127147600Y-119962937D01* +X127127287Y-119860813D01* +X127087440Y-119764614D01* +X127029591Y-119678037D01* +X126955963Y-119604409D01* +X126869386Y-119546560D01* +X126773187Y-119506713D01* +X126671063Y-119486400D01* +X126566937Y-119486400D01* +X126464813Y-119506713D01* +X126368614Y-119546560D01* +X126282037Y-119604409D01* +X126228694Y-119657752D01* +X126226714Y-119654047D01* +X126179493Y-119596507D01* +X126121953Y-119549286D01* +X126056307Y-119514197D01* +X125985077Y-119492590D01* +X125911000Y-119485294D01* +X124736000Y-119485294D01* +X124661923Y-119492590D01* +X124590693Y-119514197D01* +X124525047Y-119549286D01* +X124467507Y-119596507D01* +X124420286Y-119654047D01* +X124385197Y-119719693D01* +X124363590Y-119790923D01* +X124356294Y-119865000D01* +X115165706Y-119865000D01* +X115158410Y-119790923D01* +X115136803Y-119719693D01* +X115101714Y-119654047D01* +X115054493Y-119596507D01* +X114996953Y-119549286D01* +X114931307Y-119514197D01* +X114860077Y-119492590D01* +X114786000Y-119485294D01* +X113611000Y-119485294D01* +X113536923Y-119492590D01* +X113465693Y-119514197D01* +X113400047Y-119549286D01* +X113342507Y-119596507D01* +X113295286Y-119654047D01* +X113265271Y-119710200D01* +X112917958Y-119710200D01* +X112903000Y-119708727D01* +X112888042Y-119710200D01* +X112888034Y-119710200D01* +X112848403Y-119714103D01* +X112843248Y-119714611D01* +X112785794Y-119732040D01* +X112732843Y-119760342D01* +X112686432Y-119798432D01* +X112676892Y-119810056D01* +X111809061Y-120677888D01* +X111797432Y-120687432D01* +X111759342Y-120733844D01* +X111732429Y-120784197D01* +X111731040Y-120786795D01* +X111724185Y-120809394D01* +X111713611Y-120844250D01* +X111709200Y-120889035D01* +X111709200Y-120889042D01* +X111707727Y-120904000D01* +X111709200Y-120918958D01* +X111709201Y-128270747D01* +X109015061Y-130964888D01* +X109003432Y-130974432D01* +X108965342Y-131020844D01* +X108948867Y-131051668D01* +X108937040Y-131073795D01* +X108919612Y-131131248D01* +X108919611Y-131131250D01* +X108915200Y-131176035D01* +X108915200Y-131176042D01* +X108913727Y-131191000D01* +X108915200Y-131205958D01* +X108915200Y-131382294D01* +X108839000Y-131382294D01* +X108719857Y-131394029D01* +X108605293Y-131428781D01* +X108499710Y-131485217D01* +X108486815Y-131495800D01* +X107413185Y-131495800D01* +X107400290Y-131485217D01* +X107294707Y-131428781D01* +X107180143Y-131394029D01* +X107061000Y-131382294D01* +X106984800Y-131382294D01* +X106984800Y-127485000D01* +X107657294Y-127485000D01* +X107657294Y-127785000D01* +X107664590Y-127859077D01* +X107686197Y-127930307D01* +X107721286Y-127995953D01* +X107768507Y-128053493D01* +X107826047Y-128100714D01* +X107891693Y-128135803D01* +X107962923Y-128157410D01* +X108037000Y-128164706D01* +X109787000Y-128164706D01* +X109861077Y-128157410D01* +X109932307Y-128135803D01* +X109997953Y-128100714D01* +X110055493Y-128053493D01* +X110102714Y-127995953D01* +X110137803Y-127930307D01* +X110159410Y-127859077D01* +X110166706Y-127785000D01* +X110166706Y-127485000D01* +X110159410Y-127410923D01* +X110137803Y-127339693D01* +X110102714Y-127274047D01* +X110055493Y-127216507D01* +X109997953Y-127169286D01* +X109932307Y-127134197D01* +X109861077Y-127112590D01* +X109787000Y-127105294D01* +X108037000Y-127105294D01* +X107962923Y-127112590D01* +X107891693Y-127134197D01* +X107826047Y-127169286D01* +X107768507Y-127216507D01* +X107721286Y-127274047D01* +X107686197Y-127339693D01* +X107664590Y-127410923D01* +X107657294Y-127485000D01* +X106984800Y-127485000D01* +X106984800Y-126215000D01* +X107657294Y-126215000D01* +X107657294Y-126515000D01* +X107664590Y-126589077D01* +X107686197Y-126660307D01* +X107721286Y-126725953D01* +X107768507Y-126783493D01* +X107826047Y-126830714D01* +X107891693Y-126865803D01* +X107962923Y-126887410D01* +X108037000Y-126894706D01* +X109787000Y-126894706D01* +X109861077Y-126887410D01* +X109932307Y-126865803D01* +X109997953Y-126830714D01* +X110055493Y-126783493D01* +X110102714Y-126725953D01* +X110102953Y-126725507D01* +X110153037Y-126775591D01* +X110239614Y-126833440D01* +X110335813Y-126873287D01* +X110437937Y-126893600D01* +X110542063Y-126893600D01* +X110644187Y-126873287D01* +X110740386Y-126833440D01* +X110826963Y-126775591D01* +X110900591Y-126701963D01* +X110958440Y-126615386D01* +X110998287Y-126519187D01* +X111018600Y-126417063D01* +X111018600Y-126312937D01* +X110998287Y-126210813D01* +X110958440Y-126114614D01* +X110900591Y-126028037D01* +X110826963Y-125954409D01* +X110740386Y-125896560D01* +X110644187Y-125856713D01* +X110542063Y-125836400D01* +X110437937Y-125836400D01* +X110335813Y-125856713D01* +X110239614Y-125896560D01* +X110153037Y-125954409D01* +X110102953Y-126004493D01* +X110102714Y-126004047D01* +X110055493Y-125946507D01* +X109997953Y-125899286D01* +X109932307Y-125864197D01* +X109861077Y-125842590D01* +X109787000Y-125835294D01* +X108037000Y-125835294D01* +X107962923Y-125842590D01* +X107891693Y-125864197D01* +X107826047Y-125899286D01* +X107768507Y-125946507D01* +X107721286Y-126004047D01* +X107686197Y-126069693D01* +X107664590Y-126140923D01* +X107657294Y-126215000D01* +X106984800Y-126215000D01* +X106984800Y-124945000D01* +X107657294Y-124945000D01* +X107657294Y-125245000D01* +X107664590Y-125319077D01* +X107686197Y-125390307D01* +X107721286Y-125455953D01* +X107768507Y-125513493D01* +X107826047Y-125560714D01* +X107891693Y-125595803D01* +X107962923Y-125617410D01* +X108037000Y-125624706D01* +X109787000Y-125624706D01* +X109861077Y-125617410D01* +X109932307Y-125595803D01* +X109997953Y-125560714D01* +X110055493Y-125513493D01* +X110102714Y-125455953D01* +X110102953Y-125455507D01* +X110153037Y-125505591D01* +X110239614Y-125563440D01* +X110335813Y-125603287D01* +X110437937Y-125623600D01* +X110542063Y-125623600D01* +X110644187Y-125603287D01* +X110740386Y-125563440D01* +X110826963Y-125505591D01* +X110900591Y-125431963D01* +X110958440Y-125345386D01* +X110998287Y-125249187D01* +X111018600Y-125147063D01* +X111018600Y-125042937D01* +X110998287Y-124940813D01* +X110958440Y-124844614D01* +X110900591Y-124758037D01* +X110826963Y-124684409D01* +X110740386Y-124626560D01* +X110644187Y-124586713D01* +X110542063Y-124566400D01* +X110437937Y-124566400D01* +X110335813Y-124586713D01* +X110239614Y-124626560D01* +X110153037Y-124684409D01* +X110102953Y-124734493D01* +X110102714Y-124734047D01* +X110055493Y-124676507D01* +X109997953Y-124629286D01* +X109932307Y-124594197D01* +X109861077Y-124572590D01* +X109787000Y-124565294D01* +X108037000Y-124565294D01* +X107962923Y-124572590D01* +X107891693Y-124594197D01* +X107826047Y-124629286D01* +X107768507Y-124676507D01* +X107721286Y-124734047D01* +X107686197Y-124799693D01* +X107664590Y-124870923D01* +X107657294Y-124945000D01* +X106984800Y-124945000D01* +X106984800Y-123675000D01* +X107657294Y-123675000D01* +X107657294Y-123975000D01* +X107664590Y-124049077D01* +X107686197Y-124120307D01* +X107721286Y-124185953D01* +X107768507Y-124243493D01* +X107826047Y-124290714D01* +X107891693Y-124325803D01* +X107962923Y-124347410D01* +X108037000Y-124354706D01* +X109787000Y-124354706D01* +X109861077Y-124347410D01* +X109932307Y-124325803D01* +X109997953Y-124290714D01* +X110055493Y-124243493D01* +X110102714Y-124185953D01* +X110102953Y-124185507D01* +X110153037Y-124235591D01* +X110239614Y-124293440D01* +X110335813Y-124333287D01* +X110437937Y-124353600D01* +X110542063Y-124353600D01* +X110644187Y-124333287D01* +X110740386Y-124293440D01* +X110826963Y-124235591D01* +X110900591Y-124161963D01* +X110958440Y-124075386D01* +X110998287Y-123979187D01* +X111018600Y-123877063D01* +X111018600Y-123772937D01* +X110998287Y-123670813D01* +X110958440Y-123574614D01* +X110900591Y-123488037D01* +X110826963Y-123414409D01* +X110740386Y-123356560D01* +X110644187Y-123316713D01* +X110542063Y-123296400D01* +X110437937Y-123296400D01* +X110335813Y-123316713D01* +X110239614Y-123356560D01* +X110153037Y-123414409D01* +X110102953Y-123464493D01* +X110102714Y-123464047D01* +X110055493Y-123406507D01* +X109997953Y-123359286D01* +X109932307Y-123324197D01* +X109861077Y-123302590D01* +X109787000Y-123295294D01* +X108037000Y-123295294D01* +X107962923Y-123302590D01* +X107891693Y-123324197D01* +X107826047Y-123359286D01* +X107768507Y-123406507D01* +X107721286Y-123464047D01* +X107686197Y-123529693D01* +X107664590Y-123600923D01* +X107657294Y-123675000D01* +X106984800Y-123675000D01* +X106984800Y-122405000D01* +X107657294Y-122405000D01* +X107657294Y-122705000D01* +X107664590Y-122779077D01* +X107686197Y-122850307D01* +X107721286Y-122915953D01* +X107768507Y-122973493D01* +X107826047Y-123020714D01* +X107891693Y-123055803D01* +X107962923Y-123077410D01* +X108037000Y-123084706D01* +X109787000Y-123084706D01* +X109861077Y-123077410D01* +X109932307Y-123055803D01* +X109997953Y-123020714D01* +X110055493Y-122973493D01* +X110102714Y-122915953D01* +X110102953Y-122915507D01* +X110153037Y-122965591D01* +X110239614Y-123023440D01* +X110335813Y-123063287D01* +X110437937Y-123083600D01* +X110542063Y-123083600D01* +X110644187Y-123063287D01* +X110740386Y-123023440D01* +X110826963Y-122965591D01* +X110900591Y-122891963D01* +X110958440Y-122805386D01* +X110998287Y-122709187D01* +X111018600Y-122607063D01* +X111018600Y-122502937D01* +X110998287Y-122400813D01* +X110958440Y-122304614D01* +X110900591Y-122218037D01* +X110826963Y-122144409D01* +X110740386Y-122086560D01* +X110644187Y-122046713D01* +X110542063Y-122026400D01* +X110437937Y-122026400D01* +X110335813Y-122046713D01* +X110239614Y-122086560D01* +X110153037Y-122144409D01* +X110102953Y-122194493D01* +X110102714Y-122194047D01* +X110055493Y-122136507D01* +X109997953Y-122089286D01* +X109932307Y-122054197D01* +X109861077Y-122032590D01* +X109787000Y-122025294D01* +X108037000Y-122025294D01* +X107962923Y-122032590D01* +X107891693Y-122054197D01* +X107826047Y-122089286D01* +X107768507Y-122136507D01* +X107721286Y-122194047D01* +X107686197Y-122259693D01* +X107664590Y-122330923D01* +X107657294Y-122405000D01* +X106984800Y-122405000D01* +X106984800Y-121135000D01* +X107657294Y-121135000D01* +X107657294Y-121435000D01* +X107664590Y-121509077D01* +X107686197Y-121580307D01* +X107721286Y-121645953D01* +X107768507Y-121703493D01* +X107826047Y-121750714D01* +X107891693Y-121785803D01* +X107962923Y-121807410D01* +X108037000Y-121814706D01* +X109787000Y-121814706D01* +X109861077Y-121807410D01* +X109932307Y-121785803D01* +X109997953Y-121750714D01* +X110055493Y-121703493D01* +X110102714Y-121645953D01* +X110102953Y-121645507D01* +X110153037Y-121695591D01* +X110239614Y-121753440D01* +X110335813Y-121793287D01* +X110437937Y-121813600D01* +X110542063Y-121813600D01* +X110644187Y-121793287D01* +X110740386Y-121753440D01* +X110826963Y-121695591D01* +X110900591Y-121621963D01* +X110958440Y-121535386D01* +X110998287Y-121439187D01* +X111018600Y-121337063D01* +X111018600Y-121232937D01* +X110998287Y-121130813D01* +X110958440Y-121034614D01* +X110900591Y-120948037D01* +X110826963Y-120874409D01* +X110740386Y-120816560D01* +X110644187Y-120776713D01* +X110542063Y-120756400D01* +X110437937Y-120756400D01* +X110335813Y-120776713D01* +X110239614Y-120816560D01* +X110153037Y-120874409D01* +X110102953Y-120924493D01* +X110102714Y-120924047D01* +X110055493Y-120866507D01* +X109997953Y-120819286D01* +X109932307Y-120784197D01* +X109861077Y-120762590D01* +X109787000Y-120755294D01* +X108037000Y-120755294D01* +X107962923Y-120762590D01* +X107891693Y-120784197D01* +X107826047Y-120819286D01* +X107768507Y-120866507D01* +X107721286Y-120924047D01* +X107686197Y-120989693D01* +X107664590Y-121060923D01* +X107657294Y-121135000D01* +X106984800Y-121135000D01* +X106984800Y-120903251D01* +X107568252Y-120319800D01* +X107691271Y-120319800D01* +X107721286Y-120375953D01* +X107768507Y-120433493D01* +X107826047Y-120480714D01* +X107891693Y-120515803D01* +X107962923Y-120537410D01* +X108037000Y-120544706D01* +X109787000Y-120544706D01* +X109861077Y-120537410D01* +X109932307Y-120515803D01* +X109997953Y-120480714D01* +X110055493Y-120433493D01* +X110102714Y-120375953D01* +X110137803Y-120310307D01* +X110159410Y-120239077D01* +X110166706Y-120165000D01* +X110166706Y-119865000D01* +X110159410Y-119790923D01* +X110137803Y-119719693D01* +X110102714Y-119654047D01* +X110055493Y-119596507D01* +X109997953Y-119549286D01* +X109932307Y-119514197D01* +X109861077Y-119492590D01* +X109787000Y-119485294D01* +X108037000Y-119485294D01* +X107962923Y-119492590D01* +X107891693Y-119514197D01* +X107826047Y-119549286D01* +X107768507Y-119596507D01* +X107721286Y-119654047D01* +X107691271Y-119710200D01* +X107456958Y-119710200D01* +X107442000Y-119708727D01* +X107427042Y-119710200D01* +X107427034Y-119710200D01* +X107387403Y-119714103D01* +X107382248Y-119714611D01* +X107324794Y-119732040D01* +X107271843Y-119760342D01* +X107225432Y-119798432D01* +X107215892Y-119810056D01* +X106475057Y-120550892D01* +X106463433Y-120560432D01* +X106453893Y-120572056D01* +X106453892Y-120572057D01* +X106447772Y-120579514D01* +X106425343Y-120606843D01* +X106411422Y-120632889D01* +X106397040Y-120659795D01* +X106379611Y-120717249D01* +X106373727Y-120777000D01* +X106375201Y-120791968D01* +X106375200Y-131382294D01* +X106299000Y-131382294D01* +X106179857Y-131394029D01* +X106065293Y-131428781D01* +X105959710Y-131485217D01* +X105946815Y-131495800D01* +X104873185Y-131495800D01* +X104860290Y-131485217D01* +X104754707Y-131428781D01* +X104640143Y-131394029D01* +X104521000Y-131382294D01* +X104444800Y-131382294D01* +X104444800Y-122173251D01* +X107568252Y-119049800D01* +X107691271Y-119049800D01* +X107721286Y-119105953D01* +X107768507Y-119163493D01* +X107826047Y-119210714D01* +X107891693Y-119245803D01* +X107962923Y-119267410D01* +X108037000Y-119274706D01* +X109787000Y-119274706D01* +X109861077Y-119267410D01* +X109932307Y-119245803D01* +X109997953Y-119210714D01* +X110055493Y-119163493D01* +X110102714Y-119105953D01* +X110137803Y-119040307D01* +X110159410Y-118969077D01* +X110166706Y-118895000D01* +X110166706Y-118595000D01* +X113231294Y-118595000D01* +X113231294Y-118895000D01* +X113238590Y-118969077D01* +X113260197Y-119040307D01* +X113295286Y-119105953D01* +X113342507Y-119163493D01* +X113400047Y-119210714D01* +X113465693Y-119245803D01* +X113536923Y-119267410D01* +X113611000Y-119274706D01* +X114786000Y-119274706D01* +X114860077Y-119267410D01* +X114931307Y-119245803D01* +X114996953Y-119210714D01* +X115054493Y-119163493D01* +X115101714Y-119105953D01* +X115136803Y-119040307D01* +X115158410Y-118969077D01* +X115165706Y-118895000D01* +X115165706Y-118595000D01* +X124356294Y-118595000D01* +X124356294Y-118895000D01* +X124363590Y-118969077D01* +X124385197Y-119040307D01* +X124420286Y-119105953D01* +X124467507Y-119163493D01* +X124525047Y-119210714D01* +X124590693Y-119245803D01* +X124661923Y-119267410D01* +X124736000Y-119274706D01* +X125911000Y-119274706D01* +X125985077Y-119267410D01* +X126056307Y-119245803D01* +X126121953Y-119210714D01* +X126179493Y-119163493D01* +X126226714Y-119105953D01* +X126261803Y-119040307D01* +X126283410Y-118969077D01* +X126290706Y-118895000D01* +X126290706Y-118595000D01* +X126283410Y-118520923D01* +X126261803Y-118449693D01* +X126226714Y-118384047D01* +X126179493Y-118326507D01* +X126121953Y-118279286D01* +X126056307Y-118244197D01* +X125985077Y-118222590D01* +X125911000Y-118215294D01* +X124736000Y-118215294D01* +X124661923Y-118222590D01* +X124590693Y-118244197D01* +X124525047Y-118279286D01* +X124467507Y-118326507D01* +X124420286Y-118384047D01* +X124385197Y-118449693D01* +X124363590Y-118520923D01* +X124356294Y-118595000D01* +X115165706Y-118595000D01* +X115158410Y-118520923D01* +X115136803Y-118449693D01* +X115101714Y-118384047D01* +X115054493Y-118326507D01* +X114996953Y-118279286D01* +X114931307Y-118244197D01* +X114860077Y-118222590D01* +X114786000Y-118215294D01* +X113611000Y-118215294D01* +X113536923Y-118222590D01* +X113465693Y-118244197D01* +X113400047Y-118279286D01* +X113342507Y-118326507D01* +X113295286Y-118384047D01* +X113260197Y-118449693D01* +X113238590Y-118520923D01* +X113231294Y-118595000D01* +X110166706Y-118595000D01* +X110159410Y-118520923D01* +X110137803Y-118449693D01* +X110102714Y-118384047D01* +X110055493Y-118326507D01* +X109997953Y-118279286D01* +X109932307Y-118244197D01* +X109861077Y-118222590D01* +X109787000Y-118215294D01* +X108037000Y-118215294D01* +X107962923Y-118222590D01* +X107891693Y-118244197D01* +X107826047Y-118279286D01* +X107768507Y-118326507D01* +X107721286Y-118384047D01* +X107691271Y-118440200D01* +X107456957Y-118440200D01* +X107441999Y-118438727D01* +X107427041Y-118440200D01* +X107427034Y-118440200D01* +X107387889Y-118444055D01* +X107382248Y-118444611D01* +X107371591Y-118447844D01* +X107324794Y-118462040D01* +X107271843Y-118490342D01* +X107225432Y-118528432D01* +X107215892Y-118540056D01* +X103935057Y-121820892D01* +X103923433Y-121830432D01* +X103885343Y-121876843D01* +X103870215Y-121905146D01* +X103857040Y-121929795D01* +X103839611Y-121987249D01* +X103833727Y-122047000D01* +X103835201Y-122061968D01* +X103835200Y-131382294D01* +X103759000Y-131382294D01* +X103639857Y-131394029D01* +X103525293Y-131428781D01* +X103419710Y-131485217D01* +X103406815Y-131495800D01* +X102333185Y-131495800D01* +X102320290Y-131485217D01* +X102214707Y-131428781D01* +X102100143Y-131394029D01* +X101981000Y-131382294D01* +X101904800Y-131382294D01* +X101904800Y-123443251D01* +X107568252Y-117779800D01* +X107691271Y-117779800D01* +X107721286Y-117835953D01* +X107768507Y-117893493D01* +X107826047Y-117940714D01* +X107891693Y-117975803D01* +X107962923Y-117997410D01* +X108037000Y-118004706D01* +X109787000Y-118004706D01* +X109861077Y-117997410D01* +X109932307Y-117975803D01* +X109997953Y-117940714D01* +X110055493Y-117893493D01* +X110102714Y-117835953D01* +X110137803Y-117770307D01* +X110159410Y-117699077D01* +X110166706Y-117625000D01* +X110166706Y-117422937D01* +X112374400Y-117422937D01* +X112374400Y-117527063D01* +X112394713Y-117629187D01* +X112434560Y-117725386D01* +X112492409Y-117811963D01* +X112566037Y-117885591D01* +X112652614Y-117943440D01* +X112748813Y-117983287D01* +X112850937Y-118003600D01* +X112955063Y-118003600D01* +X113057187Y-117983287D01* +X113153386Y-117943440D01* +X113239963Y-117885591D01* +X113293306Y-117832248D01* +X113295286Y-117835953D01* +X113342507Y-117893493D01* +X113400047Y-117940714D01* +X113465693Y-117975803D01* +X113536923Y-117997410D01* +X113611000Y-118004706D01* +X114786000Y-118004706D01* +X114860077Y-117997410D01* +X114931307Y-117975803D01* +X114996953Y-117940714D01* +X115054493Y-117893493D01* +X115101714Y-117835953D01* +X115136803Y-117770307D01* +X115158410Y-117699077D01* +X115165706Y-117625000D01* +X115165706Y-117325000D01* +X124356294Y-117325000D01* +X124356294Y-117625000D01* +X124363590Y-117699077D01* +X124385197Y-117770307D01* +X124420286Y-117835953D01* +X124467507Y-117893493D01* +X124525047Y-117940714D01* +X124590693Y-117975803D01* +X124661923Y-117997410D01* +X124736000Y-118004706D01* +X125911000Y-118004706D01* +X125985077Y-117997410D01* +X126056307Y-117975803D01* +X126121953Y-117940714D01* +X126179493Y-117893493D01* +X126226714Y-117835953D01* +X126256729Y-117779800D01* +X126492749Y-117779800D01* +X128932892Y-120219944D01* +X128942432Y-120231568D01* +X128988843Y-120269658D01* +X129041794Y-120297960D01* +X129081819Y-120310102D01* +X129099248Y-120315389D01* +X129104889Y-120315945D01* +X129144034Y-120319800D01* +X129144041Y-120319800D01* +X129158999Y-120321273D01* +X129173957Y-120319800D01* +X129450271Y-120319800D01* +X129480286Y-120375953D01* +X129527507Y-120433493D01* +X129585047Y-120480714D01* +X129650693Y-120515803D01* +X129721923Y-120537410D01* +X129796000Y-120544706D01* +X131446000Y-120544706D01* +X131520077Y-120537410D01* +X131591307Y-120515803D01* +X131656953Y-120480714D01* +X131714493Y-120433493D01* +X131761714Y-120375953D01* +X131796803Y-120310307D01* +X131818410Y-120239077D01* +X131825706Y-120165000D01* +X131825706Y-119865000D01* +X131818410Y-119790923D01* +X131796803Y-119719693D01* +X131761714Y-119654047D01* +X131714493Y-119596507D01* +X131656953Y-119549286D01* +X131591307Y-119514197D01* +X131520077Y-119492590D01* +X131446000Y-119485294D01* +X129796000Y-119485294D01* +X129721923Y-119492590D01* +X129650693Y-119514197D01* +X129585047Y-119549286D01* +X129527507Y-119596507D01* +X129480286Y-119654047D01* +X129450271Y-119710200D01* +X129285252Y-119710200D01* +X128620052Y-119045000D01* +X129416294Y-119045000D01* +X129420708Y-119089813D01* +X129433779Y-119132905D01* +X129455006Y-119172618D01* +X129483573Y-119207427D01* +X129518382Y-119235994D01* +X129558095Y-119257221D01* +X129601187Y-119270292D01* +X129646000Y-119274706D01* +X130490050Y-119273600D01* +X130547200Y-119216450D01* +X130547200Y-118818800D01* +X130694800Y-118818800D01* +X130694800Y-119216450D01* +X130751950Y-119273600D01* +X131596000Y-119274706D01* +X131640813Y-119270292D01* +X131683905Y-119257221D01* +X131723618Y-119235994D01* +X131758427Y-119207427D01* +X131786994Y-119172618D01* +X131808221Y-119132905D01* +X131821292Y-119089813D01* +X131825706Y-119045000D01* +X131824600Y-118875950D01* +X131767450Y-118818800D01* +X130694800Y-118818800D01* +X130547200Y-118818800D01* +X129474550Y-118818800D01* +X129417400Y-118875950D01* +X129416294Y-119045000D01* +X128620052Y-119045000D01* +X128020052Y-118445000D01* +X129416294Y-118445000D01* +X129417400Y-118614050D01* +X129474550Y-118671200D01* +X130547200Y-118671200D01* +X130547200Y-118273550D01* +X130694800Y-118273550D01* +X130694800Y-118671200D01* +X131767450Y-118671200D01* +X131824600Y-118614050D01* +X131825706Y-118445000D01* +X131821292Y-118400187D01* +X131808221Y-118357095D01* +X131786994Y-118317382D01* +X131758427Y-118282573D01* +X131723618Y-118254006D01* +X131683905Y-118232779D01* +X131640813Y-118219708D01* +X131596000Y-118215294D01* +X130751950Y-118216400D01* +X130694800Y-118273550D01* +X130547200Y-118273550D01* +X130490050Y-118216400D01* +X129646000Y-118215294D01* +X129601187Y-118219708D01* +X129558095Y-118232779D01* +X129518382Y-118254006D01* +X129483573Y-118282573D01* +X129455006Y-118317382D01* +X129433779Y-118357095D01* +X129420708Y-118400187D01* +X129416294Y-118445000D01* +X128020052Y-118445000D01* +X126845112Y-117270061D01* +X126835568Y-117258432D01* +X126789157Y-117220342D01* +X126736206Y-117192040D01* +X126678751Y-117174611D01* +X126633966Y-117170200D01* +X126633958Y-117170200D01* +X126619000Y-117168727D01* +X126604042Y-117170200D01* +X126256729Y-117170200D01* +X126226714Y-117114047D01* +X126179493Y-117056507D01* +X126121953Y-117009286D01* +X126056307Y-116974197D01* +X125985077Y-116952590D01* +X125911000Y-116945294D01* +X124736000Y-116945294D01* +X124661923Y-116952590D01* +X124590693Y-116974197D01* +X124525047Y-117009286D01* +X124467507Y-117056507D01* +X124420286Y-117114047D01* +X124385197Y-117179693D01* +X124363590Y-117250923D01* +X124356294Y-117325000D01* +X115165706Y-117325000D01* +X115158410Y-117250923D01* +X115136803Y-117179693D01* +X115101714Y-117114047D01* +X115054493Y-117056507D01* +X114996953Y-117009286D01* +X114931307Y-116974197D01* +X114860077Y-116952590D01* +X114786000Y-116945294D01* +X113611000Y-116945294D01* +X113536923Y-116952590D01* +X113465693Y-116974197D01* +X113400047Y-117009286D01* +X113342507Y-117056507D01* +X113295286Y-117114047D01* +X113293306Y-117117752D01* +X113239963Y-117064409D01* +X113153386Y-117006560D01* +X113057187Y-116966713D01* +X112955063Y-116946400D01* +X112850937Y-116946400D01* +X112748813Y-116966713D01* +X112652614Y-117006560D01* +X112566037Y-117064409D01* +X112492409Y-117138037D01* +X112434560Y-117224614D01* +X112394713Y-117320813D01* +X112374400Y-117422937D01* +X110166706Y-117422937D01* +X110166706Y-117325000D01* +X110159410Y-117250923D01* +X110137803Y-117179693D01* +X110102714Y-117114047D01* +X110055493Y-117056507D01* +X109997953Y-117009286D01* +X109932307Y-116974197D01* +X109861077Y-116952590D01* +X109787000Y-116945294D01* +X108037000Y-116945294D01* +X107962923Y-116952590D01* +X107891693Y-116974197D01* +X107826047Y-117009286D01* +X107768507Y-117056507D01* +X107721286Y-117114047D01* +X107691271Y-117170200D01* +X107456958Y-117170200D01* +X107442000Y-117168727D01* +X107427042Y-117170200D01* +X107427034Y-117170200D01* +X107382249Y-117174611D01* +X107324794Y-117192040D01* +X107271843Y-117220342D01* +X107225432Y-117258432D01* +X107215892Y-117270056D01* +X101395057Y-123090892D01* +X101383433Y-123100432D01* +X101345343Y-123146843D01* +X101342283Y-123152568D01* +X101317040Y-123199795D01* +X101299611Y-123257249D01* +X101293727Y-123317000D01* +X101295201Y-123331968D01* +X101295200Y-131382294D01* +X101219000Y-131382294D01* +X101099857Y-131394029D01* +X100985293Y-131428781D01* +X100879710Y-131485217D01* +X100866815Y-131495800D01* +X99793185Y-131495800D01* +X99780290Y-131485217D01* +X99674707Y-131428781D01* +X99560143Y-131394029D01* +X99441000Y-131382294D01* +X99364800Y-131382294D01* +X99364800Y-131317251D01* +X101169944Y-129512108D01* +X101181568Y-129502568D01* +X101219658Y-129456157D01* +X101247960Y-129403206D01* +X101257697Y-129371107D01* +X101265389Y-129345752D01* +X101266125Y-129338274D01* +X101269800Y-129300966D01* +X101269800Y-129300958D01* +X101271273Y-129286000D01* +X101269800Y-129271042D01* +X101269800Y-122808251D01* +X107568252Y-116509800D01* +X107691271Y-116509800D01* +X107721286Y-116565953D01* +X107768507Y-116623493D01* +X107826047Y-116670714D01* +X107891693Y-116705803D01* +X107962923Y-116727410D01* +X108037000Y-116734706D01* +X109787000Y-116734706D01* +X109861077Y-116727410D01* +X109932307Y-116705803D01* +X109997953Y-116670714D01* +X110055493Y-116623493D01* +X110102714Y-116565953D01* +X110137803Y-116500307D01* +X110159410Y-116429077D01* +X110166706Y-116355000D01* +X110166706Y-116152937D01* +X112374400Y-116152937D01* +X112374400Y-116257063D01* +X112394713Y-116359187D01* +X112434560Y-116455386D01* +X112492409Y-116541963D01* +X112566037Y-116615591D01* +X112652614Y-116673440D01* +X112748813Y-116713287D01* +X112850937Y-116733600D01* +X112955063Y-116733600D01* +X113057187Y-116713287D01* +X113153386Y-116673440D01* +X113239963Y-116615591D01* +X113293306Y-116562248D01* +X113295286Y-116565953D01* +X113342507Y-116623493D01* +X113400047Y-116670714D01* +X113465693Y-116705803D01* +X113536923Y-116727410D01* +X113611000Y-116734706D01* +X114786000Y-116734706D01* +X114860077Y-116727410D01* +X114931307Y-116705803D01* +X114996953Y-116670714D01* +X115054493Y-116623493D01* +X115101714Y-116565953D01* +X115136803Y-116500307D01* +X115158410Y-116429077D01* +X115165706Y-116355000D01* +X115165706Y-116055000D01* +X124356294Y-116055000D01* +X124356294Y-116355000D01* +X124363590Y-116429077D01* +X124385197Y-116500307D01* +X124420286Y-116565953D01* +X124467507Y-116623493D01* +X124525047Y-116670714D01* +X124590693Y-116705803D01* +X124661923Y-116727410D01* +X124736000Y-116734706D01* +X125911000Y-116734706D01* +X125985077Y-116727410D01* +X126056307Y-116705803D01* +X126121953Y-116670714D01* +X126179493Y-116623493D01* +X126226714Y-116565953D01* +X126261803Y-116500307D01* +X126283410Y-116429077D01* +X126290706Y-116355000D01* +X126290706Y-116055000D01* +X126283410Y-115980923D01* +X126261803Y-115909693D01* +X126226714Y-115844047D01* +X126179493Y-115786507D01* +X126121953Y-115739286D01* +X126056307Y-115704197D01* +X125985077Y-115682590D01* +X125911000Y-115675294D01* +X124736000Y-115675294D01* +X124661923Y-115682590D01* +X124590693Y-115704197D01* +X124525047Y-115739286D01* +X124467507Y-115786507D01* +X124420286Y-115844047D01* +X124385197Y-115909693D01* +X124363590Y-115980923D01* +X124356294Y-116055000D01* +X115165706Y-116055000D01* +X115158410Y-115980923D01* +X115136803Y-115909693D01* +X115101714Y-115844047D01* +X115054493Y-115786507D01* +X114996953Y-115739286D01* +X114931307Y-115704197D01* +X114860077Y-115682590D01* +X114786000Y-115675294D01* +X113611000Y-115675294D01* +X113536923Y-115682590D01* +X113465693Y-115704197D01* +X113400047Y-115739286D01* +X113342507Y-115786507D01* +X113295286Y-115844047D01* +X113293306Y-115847752D01* +X113239963Y-115794409D01* +X113153386Y-115736560D01* +X113057187Y-115696713D01* +X112955063Y-115676400D01* +X112850937Y-115676400D01* +X112748813Y-115696713D01* +X112652614Y-115736560D01* +X112566037Y-115794409D01* +X112492409Y-115868037D01* +X112434560Y-115954614D01* +X112394713Y-116050813D01* +X112374400Y-116152937D01* +X110166706Y-116152937D01* +X110166706Y-116055000D01* +X110159410Y-115980923D01* +X110137803Y-115909693D01* +X110102714Y-115844047D01* +X110055493Y-115786507D01* +X109997953Y-115739286D01* +X109932307Y-115704197D01* +X109861077Y-115682590D01* +X109787000Y-115675294D01* +X108037000Y-115675294D01* +X107962923Y-115682590D01* +X107891693Y-115704197D01* +X107826047Y-115739286D01* +X107768507Y-115786507D01* +X107721286Y-115844047D01* +X107691271Y-115900200D01* +X107456957Y-115900200D01* +X107441999Y-115898727D01* +X107427041Y-115900200D01* +X107427034Y-115900200D01* +X107387889Y-115904055D01* +X107382248Y-115904611D01* +X107368876Y-115908668D01* +X107324794Y-115922040D01* +X107271843Y-115950342D01* +X107225432Y-115988432D01* +X107215892Y-116000056D01* +X100760061Y-122455888D01* +X100748432Y-122465432D01* +X100710342Y-122511844D01* +X100687275Y-122555001D01* +X100682040Y-122564795D01* +X100666886Y-122614752D01* +X100664611Y-122622250D01* +X100660200Y-122667035D01* +X100660200Y-122667042D01* +X100658727Y-122682000D01* +X100660200Y-122696958D01* +X100660201Y-129159747D01* +X98855061Y-130964888D01* +X98843432Y-130974432D01* +X98805342Y-131020844D01* +X98788867Y-131051668D01* +X98777040Y-131073795D01* +X98759612Y-131131248D01* +X98759611Y-131131250D01* +X98755200Y-131176035D01* +X98755200Y-131176042D01* +X98753727Y-131191000D01* +X98755200Y-131205958D01* +X98755200Y-131382294D01* +X98679000Y-131382294D01* +X98559857Y-131394029D01* +X98445293Y-131428781D01* +X98339710Y-131485217D01* +X98326815Y-131495800D01* +X97253185Y-131495800D01* +X97240290Y-131485217D01* +X97134707Y-131428781D01* +X97020143Y-131394029D01* +X96901000Y-131382294D01* +X96824800Y-131382294D01* +X96824800Y-131317251D01* +X97281252Y-130860800D01* +X98791042Y-130860800D01* +X98806000Y-130862273D01* +X98820958Y-130860800D01* +X98820966Y-130860800D01* +X98865751Y-130856389D01* +X98923206Y-130838960D01* +X98976157Y-130810658D01* +X99022568Y-130772568D01* +X99032112Y-130760939D01* +X100534944Y-129258108D01* +X100546568Y-129248568D01* +X100584658Y-129202157D01* +X100612960Y-129149206D01* +X100630389Y-129091751D01* +X100634800Y-129046966D01* +X100634800Y-129046959D01* +X100636273Y-129032001D01* +X100634800Y-129017043D01* +X100634800Y-122173251D01* +X107568252Y-115239800D01* +X107691271Y-115239800D01* +X107721286Y-115295953D01* +X107768507Y-115353493D01* +X107826047Y-115400714D01* +X107891693Y-115435803D01* +X107962923Y-115457410D01* +X108037000Y-115464706D01* +X109787000Y-115464706D01* +X109861077Y-115457410D01* +X109932307Y-115435803D01* +X109997953Y-115400714D01* +X110055493Y-115353493D01* +X110102714Y-115295953D01* +X110137803Y-115230307D01* +X110159410Y-115159077D01* +X110166706Y-115085000D01* +X110166706Y-114882937D01* +X112374400Y-114882937D01* +X112374400Y-114987063D01* +X112394713Y-115089187D01* +X112434560Y-115185386D01* +X112492409Y-115271963D01* +X112566037Y-115345591D01* +X112652614Y-115403440D01* +X112748813Y-115443287D01* +X112850937Y-115463600D01* +X112955063Y-115463600D01* +X113057187Y-115443287D01* +X113153386Y-115403440D01* +X113239963Y-115345591D01* +X113293306Y-115292248D01* +X113295286Y-115295953D01* +X113342507Y-115353493D01* +X113400047Y-115400714D01* +X113465693Y-115435803D01* +X113536923Y-115457410D01* +X113611000Y-115464706D01* +X114786000Y-115464706D01* +X114860077Y-115457410D01* +X114931307Y-115435803D01* +X114996953Y-115400714D01* +X115054493Y-115353493D01* +X115101714Y-115295953D01* +X115136803Y-115230307D01* +X115158410Y-115159077D01* +X115165706Y-115085000D01* +X115165706Y-114785000D01* +X115158410Y-114710923D01* +X115136803Y-114639693D01* +X115101714Y-114574047D01* +X115054493Y-114516507D01* +X114996953Y-114469286D01* +X114931307Y-114434197D01* +X114860077Y-114412590D01* +X114786000Y-114405294D01* +X113611000Y-114405294D01* +X113536923Y-114412590D01* +X113465693Y-114434197D01* +X113400047Y-114469286D01* +X113342507Y-114516507D01* +X113295286Y-114574047D01* +X113293306Y-114577752D01* +X113239963Y-114524409D01* +X113153386Y-114466560D01* +X113057187Y-114426713D01* +X112955063Y-114406400D01* +X112850937Y-114406400D01* +X112748813Y-114426713D01* +X112652614Y-114466560D01* +X112566037Y-114524409D01* +X112492409Y-114598037D01* +X112434560Y-114684614D01* +X112394713Y-114780813D01* +X112374400Y-114882937D01* +X110166706Y-114882937D01* +X110166706Y-114785000D01* +X110159410Y-114710923D01* +X110137803Y-114639693D01* +X110102714Y-114574047D01* +X110055493Y-114516507D01* +X109997953Y-114469286D01* +X109932307Y-114434197D01* +X109861077Y-114412590D01* +X109787000Y-114405294D01* +X108037000Y-114405294D01* +X107962923Y-114412590D01* +X107891693Y-114434197D01* +X107826047Y-114469286D01* +X107768507Y-114516507D01* +X107721286Y-114574047D01* +X107691271Y-114630200D01* +X107456958Y-114630200D01* +X107442000Y-114628727D01* +X107427042Y-114630200D01* +X107427034Y-114630200D01* +X107387403Y-114634103D01* +X107382248Y-114634611D01* +X107352722Y-114643568D01* +X107324794Y-114652040D01* +X107271843Y-114680342D01* +X107225432Y-114718432D01* +X107215892Y-114730056D01* +X100125061Y-121820888D01* +X100113432Y-121830432D01* +X100075342Y-121876844D01* +X100047040Y-121929795D01* +X100029611Y-121987250D01* +X100025200Y-122032035D01* +X100025200Y-122032042D01* +X100023727Y-122047000D01* +X100025200Y-122061958D01* +X100025201Y-128905747D01* +X98679749Y-130251200D01* +X97169958Y-130251200D01* +X97155000Y-130249727D01* +X97140042Y-130251200D01* +X97140034Y-130251200D01* +X97095249Y-130255611D01* +X97037794Y-130273040D01* +X96984843Y-130301342D01* +X96938432Y-130339432D01* +X96928892Y-130351056D01* +X96315061Y-130964888D01* +X96303432Y-130974432D01* +X96265342Y-131020844D01* +X96248867Y-131051668D01* +X96237040Y-131073795D01* +X96219612Y-131131248D01* +X96219611Y-131131250D01* +X96215200Y-131176035D01* +X96215200Y-131176042D01* +X96213727Y-131191000D01* +X96215200Y-131205958D01* +X96215200Y-131382294D01* +X96139000Y-131382294D01* +X96019857Y-131394029D01* +X95905293Y-131428781D01* +X95799710Y-131485217D01* +X95786815Y-131495800D01* +X94713185Y-131495800D01* +X94700290Y-131485217D01* +X94594707Y-131428781D01* +X94480143Y-131394029D01* +X94361000Y-131382294D01* +X94284800Y-131382294D01* +X94284800Y-131317251D01* +X95503252Y-130098800D01* +X98664042Y-130098800D01* +X98679000Y-130100273D01* +X98693958Y-130098800D01* +X98693966Y-130098800D01* +X98738751Y-130094389D01* +X98796206Y-130076960D01* +X98849157Y-130048658D01* +X98895568Y-130010568D01* +X98905112Y-129998939D01* +X99899944Y-129004108D01* +X99911568Y-128994568D01* +X99949658Y-128948157D01* +X99977960Y-128895206D01* +X99981579Y-128883275D01* +X99995389Y-128837752D01* +X99997265Y-128818706D01* +X99999800Y-128792966D01* +X99999800Y-128792958D01* +X100001273Y-128778000D01* +X99999800Y-128763042D01* +X99999800Y-121570001D01* +X107600002Y-113969800D01* +X107691271Y-113969800D01* +X107721286Y-114025953D01* +X107768507Y-114083493D01* +X107826047Y-114130714D01* +X107891693Y-114165803D01* +X107962923Y-114187410D01* +X108037000Y-114194706D01* +X109787000Y-114194706D01* +X109861077Y-114187410D01* +X109932307Y-114165803D01* +X109997953Y-114130714D01* +X110055493Y-114083493D01* +X110102714Y-114025953D01* +X110137803Y-113960307D01* +X110159410Y-113889077D01* +X110166706Y-113815000D01* +X110166706Y-113515000D01* +X110159410Y-113440923D01* +X110137803Y-113369693D01* +X110102714Y-113304047D01* +X110055493Y-113246507D01* +X109997953Y-113199286D01* +X109932307Y-113164197D01* +X109861077Y-113142590D01* +X109787000Y-113135294D01* +X108037000Y-113135294D01* +X107962923Y-113142590D01* +X107891693Y-113164197D01* +X107826047Y-113199286D01* +X107768507Y-113246507D01* +X107721286Y-113304047D01* +X107691271Y-113360200D01* +X107488708Y-113360200D01* +X107473750Y-113358727D01* +X107458792Y-113360200D01* +X107458784Y-113360200D01* +X107419153Y-113364103D01* +X107413998Y-113364611D01* +X107373887Y-113376779D01* +X107356544Y-113382040D01* +X107303593Y-113410342D01* +X107257182Y-113448432D01* +X107247642Y-113460056D01* +X99490061Y-121217638D01* +X99478432Y-121227182D01* +X99440342Y-121273594D01* +X99422376Y-121307208D01* +X99412040Y-121326545D01* +X99397513Y-121374435D01* +X99394611Y-121384000D01* +X99390200Y-121428785D01* +X99390200Y-121428792D01* +X99388727Y-121443750D01* +X99390200Y-121458708D01* +X99390201Y-128651747D01* +X98552749Y-129489200D01* +X95391957Y-129489200D01* +X95376999Y-129487727D01* +X95362041Y-129489200D01* +X95362034Y-129489200D01* +X95322889Y-129493055D01* +X95317248Y-129493611D01* +X95299819Y-129498898D01* +X95259794Y-129511040D01* +X95206843Y-129539342D01* +X95160432Y-129577432D01* +X95150892Y-129589056D01* +X93775061Y-130964888D01* +X93763432Y-130974432D01* +X93725342Y-131020844D01* +X93708867Y-131051668D01* +X93697040Y-131073795D01* +X93679612Y-131131248D01* +X93679611Y-131131250D01* +X93675200Y-131176035D01* +X93675200Y-131176042D01* +X93673727Y-131191000D01* +X93675200Y-131205958D01* +X93675200Y-131382294D01* +X93599000Y-131382294D01* +X93479857Y-131394029D01* +X93365293Y-131428781D01* +X93259710Y-131485217D01* +X93246815Y-131495800D01* +X92173185Y-131495800D01* +X92160290Y-131485217D01* +X92054707Y-131428781D01* +X91940143Y-131394029D01* +X91821000Y-131382294D01* +X91744800Y-131382294D01* +X91744800Y-131317251D01* +X93725252Y-129336800D01* +X98537042Y-129336800D01* +X98552000Y-129338273D01* +X98566958Y-129336800D01* +X98566966Y-129336800D01* +X98611751Y-129332389D01* +X98669206Y-129314960D01* +X98722157Y-129286658D01* +X98768568Y-129248568D01* +X98778112Y-129236939D01* +X99264944Y-128750108D01* +X99276568Y-128740568D01* +X99314658Y-128694157D01* +X99342960Y-128641206D01* +X99358797Y-128589000D01* +X99360389Y-128583752D01* +X99362023Y-128567156D01* +X99364800Y-128538966D01* +X99364800Y-128538959D01* +X99366273Y-128524001D01* +X99364800Y-128509043D01* +X99364800Y-118251958D01* +X99366273Y-118237000D01* +X99364800Y-118222042D01* +X99364800Y-118222034D01* +X99360389Y-118177249D01* +X99358115Y-118169751D01* +X99342960Y-118119794D01* +X99335721Y-118106251D01* +X99314658Y-118066843D01* +X99276568Y-118020432D01* +X99264945Y-118010893D01* +X97254112Y-116000061D01* +X97244568Y-115988432D01* +X97198157Y-115950342D01* +X97145206Y-115922040D01* +X97087751Y-115904611D01* +X97042966Y-115900200D01* +X97042958Y-115900200D01* +X97032160Y-115899137D01* +X97002714Y-115844047D01* +X96955493Y-115786507D01* +X96897953Y-115739286D01* +X96832307Y-115704197D01* +X96761077Y-115682590D01* +X96687000Y-115675294D01* +X94937000Y-115675294D01* +X94862923Y-115682590D01* +X94791693Y-115704197D01* +X94726047Y-115739286D01* +X94668507Y-115786507D01* +X94621286Y-115844047D01* +X94586197Y-115909693D01* +X94564590Y-115980923D01* +X94557294Y-116055000D01* +X94557294Y-116355000D01* +X94564590Y-116429077D01* +X94586197Y-116500307D01* +X94621286Y-116565953D01* +X94668507Y-116623493D01* +X94726047Y-116670714D01* +X94791693Y-116705803D01* +X94862923Y-116727410D01* +X94937000Y-116734706D01* +X96687000Y-116734706D01* +X96761077Y-116727410D01* +X96832307Y-116705803D01* +X96897953Y-116670714D01* +X96955493Y-116623493D01* +X96982515Y-116590566D01* +X98755200Y-118363252D01* +X98755201Y-128397747D01* +X98425749Y-128727200D01* +X93613957Y-128727200D01* +X93598999Y-128725727D01* +X93584041Y-128727200D01* +X93584034Y-128727200D01* +X93544889Y-128731055D01* +X93539248Y-128731611D01* +X93509722Y-128740568D01* +X93481794Y-128749040D01* +X93428843Y-128777342D01* +X93382432Y-128815432D01* +X93372892Y-128827056D01* +X91235061Y-130964888D01* +X91223432Y-130974432D01* +X91185342Y-131020844D01* +X91168867Y-131051668D01* +X91157040Y-131073795D01* +X91139612Y-131131248D01* +X91139611Y-131131250D01* +X91135200Y-131176035D01* +X91135200Y-131176042D01* +X91133727Y-131191000D01* +X91135200Y-131205958D01* +X91135200Y-131382294D01* +X91059000Y-131382294D01* +X90939857Y-131394029D01* +X90825293Y-131428781D01* +X90719710Y-131485217D01* +X90706815Y-131495800D01* +X89633185Y-131495800D01* +X89620290Y-131485217D01* +X89514707Y-131428781D01* +X89400143Y-131394029D01* +X89281000Y-131382294D01* +X89204800Y-131382294D01* +X89204800Y-128015251D01* +X89646963Y-127573088D01* +X91065400Y-127573088D01* +X91065400Y-127696912D01* +X91089556Y-127818356D01* +X91136941Y-127932754D01* +X91205734Y-128035709D01* +X91293291Y-128123266D01* +X91396246Y-128192059D01* +X91510644Y-128239444D01* +X91632088Y-128263600D01* +X91755912Y-128263600D01* +X91851434Y-128244600D01* +X92177685Y-128244600D01* +X92178458Y-128245542D01* +X92253045Y-128306754D01* +X92338141Y-128352239D01* +X92430475Y-128380248D01* +X92526500Y-128389706D01* +X93401500Y-128389706D01* +X93497525Y-128380248D01* +X93589859Y-128352239D01* +X93674955Y-128306754D01* +X93749542Y-128245542D01* +X93750315Y-128244600D01* +X94076566Y-128244600D01* +X94172088Y-128263600D01* +X94295912Y-128263600D01* +X94417356Y-128239444D01* +X94531754Y-128192059D01* +X94634709Y-128123266D01* +X94640375Y-128117600D01* +X94757638Y-128117600D01* +X94791693Y-128135803D01* +X94862923Y-128157410D01* +X94937000Y-128164706D01* +X96687000Y-128164706D01* +X96761077Y-128157410D01* +X96832307Y-128135803D01* +X96866362Y-128117600D01* +X97192799Y-128117600D01* +X97254813Y-128143287D01* +X97356937Y-128163600D01* +X97461063Y-128163600D01* +X97563187Y-128143287D01* +X97659386Y-128103440D01* +X97745963Y-128045591D01* +X97819591Y-127971963D01* +X97877440Y-127885386D01* +X97903128Y-127823371D01* +X97987477Y-127739021D01* +X98005901Y-127723901D01* +X98066209Y-127650415D01* +X98111022Y-127566577D01* +X98138617Y-127475606D01* +X98145600Y-127404707D01* +X98145600Y-127404706D01* +X98147935Y-127381001D01* +X98145600Y-127357296D01* +X98145600Y-125626696D01* +X98147934Y-125602999D01* +X98145600Y-125579302D01* +X98145600Y-125579293D01* +X98138617Y-125508394D01* +X98111022Y-125417423D01* +X98066209Y-125333585D01* +X98005901Y-125260099D01* +X97987482Y-125244983D01* +X97513017Y-124770518D01* +X97497901Y-124752099D01* +X97424415Y-124691791D01* +X97340577Y-124646978D01* +X97249606Y-124619383D01* +X97178707Y-124612400D01* +X97178705Y-124612400D01* +X97155000Y-124610065D01* +X97131295Y-124612400D01* +X96866362Y-124612400D01* +X96832307Y-124594197D01* +X96761077Y-124572590D01* +X96687000Y-124565294D01* +X94937000Y-124565294D01* +X94862923Y-124572590D01* +X94791693Y-124594197D01* +X94726047Y-124629286D01* +X94668507Y-124676507D01* +X94621286Y-124734047D01* +X94586197Y-124799693D01* +X94564590Y-124870923D01* +X94557294Y-124945000D01* +X94557294Y-125245000D01* +X94564590Y-125319077D01* +X94586197Y-125390307D01* +X94621286Y-125455953D01* +X94668507Y-125513493D01* +X94726047Y-125560714D01* +X94791693Y-125595803D01* +X94862923Y-125617410D01* +X94937000Y-125624706D01* +X96687000Y-125624706D01* +X96761077Y-125617410D01* +X96832307Y-125595803D01* +X96866362Y-125577600D01* +X96955101Y-125577600D01* +X97180400Y-125802899D01* +X97180401Y-127152400D01* +X96866362Y-127152400D01* +X96832307Y-127134197D01* +X96761077Y-127112590D01* +X96687000Y-127105294D01* +X94937000Y-127105294D01* +X94862923Y-127112590D01* +X94791693Y-127134197D01* +X94757638Y-127152400D01* +X94640375Y-127152400D01* +X94634709Y-127146734D01* +X94531754Y-127077941D01* +X94417356Y-127030556D01* +X94295912Y-127006400D01* +X94172088Y-127006400D01* +X94076566Y-127025400D01* +X93750315Y-127025400D01* +X93749542Y-127024458D01* +X93674955Y-126963246D01* +X93589859Y-126917761D01* +X93497525Y-126889752D01* +X93401500Y-126880294D01* +X92526500Y-126880294D01* +X92430475Y-126889752D01* +X92338141Y-126917761D01* +X92253045Y-126963246D01* +X92178458Y-127024458D01* +X92177685Y-127025400D01* +X91851434Y-127025400D01* +X91755912Y-127006400D01* +X91632088Y-127006400D01* +X91510644Y-127030556D01* +X91396246Y-127077941D01* +X91293291Y-127146734D01* +X91205734Y-127234291D01* +X91136941Y-127337246D01* +X91089556Y-127451644D01* +X91065400Y-127573088D01* +X89646963Y-127573088D01* +X90760051Y-126460000D01* +X92034294Y-126460000D01* +X92038708Y-126504813D01* +X92051779Y-126547905D01* +X92073006Y-126587618D01* +X92101573Y-126622427D01* +X92136382Y-126650994D01* +X92176095Y-126672221D01* +X92219187Y-126685292D01* +X92264000Y-126689706D01* +X92833050Y-126688600D01* +X92890200Y-126631450D01* +X92890200Y-126008800D01* +X93037800Y-126008800D01* +X93037800Y-126631450D01* +X93094950Y-126688600D01* +X93664000Y-126689706D01* +X93708813Y-126685292D01* +X93751905Y-126672221D01* +X93791618Y-126650994D01* +X93826427Y-126622427D01* +X93854994Y-126587618D01* +X93876221Y-126547905D01* +X93889292Y-126504813D01* +X93893706Y-126460000D01* +X93892600Y-126065950D01* +X93835450Y-126008800D01* +X93037800Y-126008800D01* +X92890200Y-126008800D01* +X92092550Y-126008800D01* +X92035400Y-126065950D01* +X92034294Y-126460000D01* +X90760051Y-126460000D01* +X91810051Y-125410000D01* +X92034294Y-125410000D01* +X92035400Y-125804050D01* +X92092550Y-125861200D01* +X92890200Y-125861200D01* +X92890200Y-125238550D01* +X93037800Y-125238550D01* +X93037800Y-125861200D01* +X93835450Y-125861200D01* +X93892600Y-125804050D01* +X93893706Y-125410000D01* +X93889292Y-125365187D01* +X93876221Y-125322095D01* +X93854994Y-125282382D01* +X93826427Y-125247573D01* +X93791618Y-125219006D01* +X93751905Y-125197779D01* +X93708813Y-125184708D01* +X93664000Y-125180294D01* +X93094950Y-125181400D01* +X93037800Y-125238550D01* +X92890200Y-125238550D01* +X92833050Y-125181400D01* +X92264000Y-125180294D01* +X92219187Y-125184708D01* +X92176095Y-125197779D01* +X92136382Y-125219006D01* +X92101573Y-125247573D01* +X92073006Y-125282382D01* +X92051779Y-125322095D01* +X92038708Y-125365187D01* +X92034294Y-125410000D01* +X91810051Y-125410000D01* +X92772752Y-124447300D01* +X93155249Y-124447300D01* +X93929201Y-125221253D01* +X93929200Y-125969042D01* +X93927727Y-125984000D01* +X93929200Y-125998958D01* +X93929200Y-125998965D01* +X93933055Y-126038110D01* +X93933611Y-126043751D01* +X93945226Y-126082040D01* +X93951040Y-126101205D01* +X93979342Y-126154156D01* +X94017432Y-126200568D01* +X94029061Y-126210112D01* +X94388892Y-126569944D01* +X94398432Y-126581568D01* +X94444843Y-126619658D01* +X94497794Y-126647960D01* +X94537819Y-126660102D01* +X94555248Y-126665389D01* +X94560889Y-126665945D01* +X94590784Y-126668889D01* +X94621286Y-126725953D01* +X94668507Y-126783493D01* +X94726047Y-126830714D01* +X94791693Y-126865803D01* +X94862923Y-126887410D01* +X94937000Y-126894706D01* +X96687000Y-126894706D01* +X96761077Y-126887410D01* +X96832307Y-126865803D01* +X96897953Y-126830714D01* +X96955493Y-126783493D01* +X97002714Y-126725953D01* +X97037803Y-126660307D01* +X97059410Y-126589077D01* +X97066706Y-126515000D01* +X97066706Y-126215000D01* +X97059410Y-126140923D01* +X97037803Y-126069693D01* +X97002714Y-126004047D01* +X96955493Y-125946507D01* +X96897953Y-125899286D01* +X96832307Y-125864197D01* +X96761077Y-125842590D01* +X96687000Y-125835294D01* +X94937000Y-125835294D01* +X94862923Y-125842590D01* +X94791693Y-125864197D01* +X94726047Y-125899286D01* +X94668507Y-125946507D01* +X94650049Y-125968998D01* +X94538800Y-125857749D01* +X94538800Y-125109957D01* +X94540273Y-125094999D01* +X94538800Y-125080041D01* +X94538800Y-125080034D01* +X94534389Y-125035249D01* +X94516960Y-124977794D01* +X94488658Y-124924843D01* +X94450568Y-124878432D01* +X94438944Y-124868892D01* +X93507612Y-123937561D01* +X93498068Y-123925932D01* +X93451657Y-123887842D01* +X93398706Y-123859540D01* +X93341251Y-123842111D01* +X93296466Y-123837700D01* +X93296458Y-123837700D01* +X93281500Y-123836227D01* +X93266542Y-123837700D01* +X92951286Y-123837700D01* +X93929186Y-122859800D01* +X94591271Y-122859800D01* +X94621286Y-122915953D01* +X94668507Y-122973493D01* +X94726047Y-123020714D01* +X94791693Y-123055803D01* +X94862923Y-123077410D01* +X94937000Y-123084706D01* +X96687000Y-123084706D01* +X96761077Y-123077410D01* +X96832307Y-123055803D01* +X96897953Y-123020714D01* +X96955493Y-122973493D01* +X97002714Y-122915953D01* +X97009571Y-122903125D01* +X97072037Y-122965591D01* +X97158614Y-123023440D01* +X97254813Y-123063287D01* +X97356937Y-123083600D01* +X97461063Y-123083600D01* +X97563187Y-123063287D01* +X97659386Y-123023440D01* +X97745963Y-122965591D01* +X97819591Y-122891963D01* +X97877440Y-122805386D01* +X97917287Y-122709187D01* +X97937600Y-122607063D01* +X97937600Y-122502937D01* +X97917287Y-122400813D01* +X97877440Y-122304614D01* +X97819591Y-122218037D01* +X97745963Y-122144409D01* +X97659386Y-122086560D01* +X97563187Y-122046713D01* +X97461063Y-122026400D01* +X97356937Y-122026400D01* +X97254813Y-122046713D01* +X97158614Y-122086560D01* +X97072037Y-122144409D01* +X97009571Y-122206875D01* +X97002714Y-122194047D01* +X96955493Y-122136507D01* +X96897953Y-122089286D01* +X96832307Y-122054197D01* +X96761077Y-122032590D01* +X96687000Y-122025294D01* +X94937000Y-122025294D01* +X94862923Y-122032590D01* +X94791693Y-122054197D01* +X94726047Y-122089286D01* +X94668507Y-122136507D01* +X94621286Y-122194047D01* +X94591271Y-122250200D01* +X94107720Y-122250200D01* +X94662160Y-121695760D01* +X94668507Y-121703493D01* +X94726047Y-121750714D01* +X94791693Y-121785803D01* +X94862923Y-121807410D01* +X94937000Y-121814706D01* +X96687000Y-121814706D01* +X96761077Y-121807410D01* +X96832307Y-121785803D01* +X96897953Y-121750714D01* +X96955493Y-121703493D01* +X97002714Y-121645953D01* +X97009571Y-121633125D01* +X97072037Y-121695591D01* +X97158614Y-121753440D01* +X97254813Y-121793287D01* +X97356937Y-121813600D01* +X97461063Y-121813600D01* +X97563187Y-121793287D01* +X97659386Y-121753440D01* +X97745963Y-121695591D01* +X97819591Y-121621963D01* +X97877440Y-121535386D01* +X97917287Y-121439187D01* +X97937600Y-121337063D01* +X97937600Y-121232937D01* +X97917287Y-121130813D01* +X97877440Y-121034614D01* +X97819591Y-120948037D01* +X97745963Y-120874409D01* +X97659386Y-120816560D01* +X97563187Y-120776713D01* +X97461063Y-120756400D01* +X97356937Y-120756400D01* +X97254813Y-120776713D01* +X97158614Y-120816560D01* +X97072037Y-120874409D01* +X97009571Y-120936875D01* +X97002714Y-120924047D01* +X96955493Y-120866507D01* +X96897953Y-120819286D01* +X96832307Y-120784197D01* +X96761077Y-120762590D01* +X96687000Y-120755294D01* +X94937000Y-120755294D01* +X94862923Y-120762590D01* +X94791693Y-120784197D01* +X94726047Y-120819286D01* +X94668507Y-120866507D01* +X94621286Y-120924047D01* +X94589291Y-120983904D01* +X94587271Y-120984103D01* +X94582116Y-120984611D01* +X94556849Y-120992276D01* +X94524662Y-121002040D01* +X94471711Y-121030342D01* +X94425300Y-121068432D01* +X94415760Y-121080056D01* +X94411800Y-121084016D01* +X94411800Y-120458751D01* +X94550752Y-120319800D01* +X94591271Y-120319800D01* +X94621286Y-120375953D01* +X94668507Y-120433493D01* +X94726047Y-120480714D01* +X94791693Y-120515803D01* +X94862923Y-120537410D01* +X94937000Y-120544706D01* +X96687000Y-120544706D01* +X96761077Y-120537410D01* +X96832307Y-120515803D01* +X96897953Y-120480714D01* +X96955493Y-120433493D01* +X97002714Y-120375953D01* +X97009571Y-120363125D01* +X97072037Y-120425591D01* +X97158614Y-120483440D01* +X97254813Y-120523287D01* +X97356937Y-120543600D01* +X97461063Y-120543600D01* +X97563187Y-120523287D01* +X97659386Y-120483440D01* +X97745963Y-120425591D01* +X97819591Y-120351963D01* +X97877440Y-120265386D01* +X97917287Y-120169187D01* +X97937600Y-120067063D01* +X97937600Y-119962937D01* +X97917287Y-119860813D01* +X97877440Y-119764614D01* +X97819591Y-119678037D01* +X97745963Y-119604409D01* +X97659386Y-119546560D01* +X97563187Y-119506713D01* +X97461063Y-119486400D01* +X97356937Y-119486400D01* +X97254813Y-119506713D01* +X97158614Y-119546560D01* +X97072037Y-119604409D01* +X97009571Y-119666875D01* +X97002714Y-119654047D01* +X96955493Y-119596507D01* +X96897953Y-119549286D01* +X96832307Y-119514197D01* +X96761077Y-119492590D01* +X96687000Y-119485294D01* +X94937000Y-119485294D01* +X94862923Y-119492590D01* +X94791693Y-119514197D01* +X94726047Y-119549286D01* +X94668507Y-119596507D01* +X94621286Y-119654047D01* +X94591271Y-119710200D01* +X94439458Y-119710200D01* +X94424500Y-119708727D01* +X94409542Y-119710200D01* +X94409534Y-119710200D01* +X94369903Y-119714103D01* +X94364748Y-119714611D01* +X94307294Y-119732040D01* +X94254343Y-119760342D01* +X94207932Y-119798432D01* +X94198392Y-119810056D01* +X94094300Y-119914148D01* +X94094300Y-119823751D01* +X94311944Y-119606108D01* +X94323568Y-119596568D01* +X94361658Y-119550157D01* +X94389960Y-119497206D01* +X94401871Y-119457941D01* +X94407389Y-119439752D01* +X94407897Y-119434597D01* +X94411800Y-119394966D01* +X94411800Y-119394958D01* +X94413273Y-119380000D01* +X94411800Y-119365042D01* +X94411800Y-119252251D01* +X94599276Y-119064776D01* +X94621286Y-119105953D01* +X94668507Y-119163493D01* +X94726047Y-119210714D01* +X94791693Y-119245803D01* +X94862923Y-119267410D01* +X94937000Y-119274706D01* +X96687000Y-119274706D01* +X96761077Y-119267410D01* +X96832307Y-119245803D01* +X96897953Y-119210714D01* +X96955493Y-119163493D01* +X97002714Y-119105953D01* +X97009571Y-119093125D01* +X97072037Y-119155591D01* +X97158614Y-119213440D01* +X97254813Y-119253287D01* +X97356937Y-119273600D01* +X97461063Y-119273600D01* +X97563187Y-119253287D01* +X97659386Y-119213440D01* +X97745963Y-119155591D01* +X97819591Y-119081963D01* +X97877440Y-118995386D01* +X97917287Y-118899187D01* +X97937600Y-118797063D01* +X97937600Y-118692937D01* +X97936536Y-118687588D01* +X97993201Y-118744253D01* +X97993200Y-122809748D01* +X97499002Y-123303946D01* +X97461063Y-123296400D01* +X97356937Y-123296400D01* +X97254813Y-123316713D01* +X97158614Y-123356560D01* +X97072037Y-123414409D01* +X97009571Y-123476875D01* +X97002714Y-123464047D01* +X96955493Y-123406507D01* +X96897953Y-123359286D01* +X96832307Y-123324197D01* +X96761077Y-123302590D01* +X96687000Y-123295294D01* +X94937000Y-123295294D01* +X94862923Y-123302590D01* +X94791693Y-123324197D01* +X94726047Y-123359286D01* +X94668507Y-123406507D01* +X94621286Y-123464047D01* +X94586197Y-123529693D01* +X94564590Y-123600923D01* +X94557294Y-123675000D01* +X94557294Y-123975000D01* +X94564590Y-124049077D01* +X94586197Y-124120307D01* +X94621286Y-124185953D01* +X94668507Y-124243493D01* +X94726047Y-124290714D01* +X94791693Y-124325803D01* +X94862923Y-124347410D01* +X94937000Y-124354706D01* +X96687000Y-124354706D01* +X96761077Y-124347410D01* +X96832307Y-124325803D01* +X96897953Y-124290714D01* +X96955493Y-124243493D01* +X97002714Y-124185953D01* +X97009571Y-124173125D01* +X97072037Y-124235591D01* +X97158614Y-124293440D01* +X97254813Y-124333287D01* +X97356937Y-124353600D01* +X97461063Y-124353600D01* +X97563187Y-124333287D01* +X97659386Y-124293440D01* +X97745963Y-124235591D01* +X97819591Y-124161963D01* +X97877440Y-124075386D01* +X97917287Y-123979187D01* +X97937600Y-123877063D01* +X97937600Y-123772937D01* +X97930054Y-123734998D01* +X98502945Y-123162107D01* +X98514568Y-123152568D01* +X98552658Y-123106157D01* +X98580960Y-123053206D01* +X98586774Y-123034040D01* +X98598389Y-122995752D01* +X98598897Y-122990597D01* +X98602800Y-122950966D01* +X98602800Y-122950958D01* +X98604273Y-122936000D01* +X98602800Y-122921042D01* +X98602800Y-118632957D01* +X98604273Y-118617999D01* +X98602800Y-118603041D01* +X98602800Y-118603034D01* +X98598389Y-118558249D01* +X98596115Y-118550751D01* +X98580960Y-118500795D01* +X98580960Y-118500794D01* +X98552658Y-118447843D01* +X98514568Y-118401432D01* +X98502944Y-118391892D01* +X98016112Y-117905061D01* +X98006568Y-117893432D01* +X97960157Y-117855342D01* +X97907206Y-117827040D01* +X97849751Y-117809611D01* +X97804966Y-117805200D01* +X97804958Y-117805200D01* +X97790000Y-117803727D01* +X97775042Y-117805200D01* +X97063731Y-117805200D01* +X97066706Y-117775000D01* +X97065600Y-117605950D01* +X97008450Y-117548800D01* +X95885800Y-117548800D01* +X95885800Y-117568800D01* +X95738200Y-117568800D01* +X95738200Y-117548800D01* +X94615550Y-117548800D01* +X94558400Y-117605950D01* +X94557294Y-117775000D01* +X94560269Y-117805200D01* +X94229933Y-117805200D01* +X94213657Y-117791842D01* +X94160706Y-117763540D01* +X94103251Y-117746111D01* +X94058466Y-117741700D01* +X94058458Y-117741700D01* +X94043500Y-117740227D01* +X94028542Y-117741700D01* +X93677458Y-117741700D01* +X93662500Y-117740227D01* +X93647542Y-117741700D01* +X93647534Y-117741700D01* +X93607903Y-117745603D01* +X93602748Y-117746111D01* +X93545294Y-117763540D01* +X93492343Y-117791842D01* +X93445932Y-117829932D01* +X93436392Y-117841556D01* +X93140061Y-118137888D01* +X93128432Y-118147432D01* +X93090342Y-118193844D01* +X93063429Y-118244197D01* +X93062040Y-118246795D01* +X93051920Y-118280157D01* +X93044611Y-118304250D01* +X93040200Y-118349035D01* +X93040200Y-118349042D01* +X93038727Y-118364000D01* +X93040200Y-118378958D01* +X93040201Y-119126747D01* +X92456749Y-119710200D01* +X92357729Y-119710200D01* +X92344143Y-119684783D01* +X92388751Y-119680389D01* +X92446206Y-119662960D01* +X92499157Y-119634658D01* +X92545568Y-119596568D01* +X92555112Y-119584939D01* +X92914944Y-119225108D01* +X92926568Y-119215568D01* +X92964658Y-119169157D01* +X92992960Y-119116206D01* +X93008114Y-119066250D01* +X93010389Y-119058752D01* +X93011032Y-119052221D01* +X93014800Y-119013966D01* +X93014800Y-119013959D01* +X93016273Y-118999001D01* +X93014800Y-118984043D01* +X93014800Y-117175000D01* +X94557294Y-117175000D01* +X94558400Y-117344050D01* +X94615550Y-117401200D01* +X95738200Y-117401200D01* +X95738200Y-117003550D01* +X95885800Y-117003550D01* +X95885800Y-117401200D01* +X97008450Y-117401200D01* +X97065600Y-117344050D01* +X97066706Y-117175000D01* +X97062292Y-117130187D01* +X97049221Y-117087095D01* +X97027994Y-117047382D01* +X96999427Y-117012573D01* +X96964618Y-116984006D01* +X96924905Y-116962779D01* +X96881813Y-116949708D01* +X96837000Y-116945294D01* +X95942950Y-116946400D01* +X95885800Y-117003550D01* +X95738200Y-117003550D01* +X95681050Y-116946400D01* +X94787000Y-116945294D01* +X94742187Y-116949708D01* +X94699095Y-116962779D01* +X94659382Y-116984006D01* +X94624573Y-117012573D01* +X94596006Y-117047382D01* +X94574779Y-117087095D01* +X94561708Y-117130187D01* +X94557294Y-117175000D01* +X93014800Y-117175000D01* +X93014800Y-116839251D01* +X94599276Y-115254776D01* +X94621286Y-115295953D01* +X94668507Y-115353493D01* +X94726047Y-115400714D01* +X94791693Y-115435803D01* +X94862923Y-115457410D01* +X94937000Y-115464706D01* +X96687000Y-115464706D01* +X96761077Y-115457410D01* +X96832307Y-115435803D01* +X96897953Y-115400714D01* +X96955493Y-115353493D01* +X97002714Y-115295953D01* +X97037803Y-115230307D01* +X97059410Y-115159077D01* +X97066706Y-115085000D01* +X97066706Y-114785000D01* +X97059410Y-114710923D01* +X97037803Y-114639693D01* +X97002714Y-114574047D01* +X96955493Y-114516507D01* +X96897953Y-114469286D01* +X96832307Y-114434197D01* +X96761077Y-114412590D01* +X96687000Y-114405294D01* +X94937000Y-114405294D01* +X94862923Y-114412590D01* +X94791693Y-114434197D01* +X94726047Y-114469286D01* +X94668507Y-114516507D01* +X94621286Y-114574047D01* +X94591271Y-114630200D01* +X94502958Y-114630200D01* +X94488000Y-114628727D01* +X94473042Y-114630200D01* +X94473034Y-114630200D01* +X94433403Y-114634103D01* +X94428248Y-114634611D01* +X94398722Y-114643568D01* +X94370794Y-114652040D01* +X94317843Y-114680342D01* +X94271432Y-114718432D01* +X94261892Y-114730056D01* +X92505061Y-116486888D01* +X92493432Y-116496432D01* +X92455342Y-116542844D01* +X92431924Y-116586658D01* +X92427040Y-116595795D01* +X92411886Y-116645752D01* +X92409611Y-116653250D01* +X92405200Y-116698035D01* +X92405200Y-116698042D01* +X92403727Y-116713000D01* +X92405200Y-116727958D01* +X92405201Y-118872747D01* +X92391706Y-118886242D01* +X92391706Y-118595000D01* +X92384410Y-118520923D01* +X92362803Y-118449693D01* +X92327714Y-118384047D01* +X92280493Y-118326507D01* +X92222953Y-118279286D01* +X92157307Y-118244197D01* +X92086077Y-118222590D01* +X92012000Y-118215294D01* +X90262000Y-118215294D01* +X90187923Y-118222590D01* +X90116693Y-118244197D01* +X90051047Y-118279286D01* +X89993507Y-118326507D01* +X89946286Y-118384047D01* +X89916271Y-118440200D01* +X89915252Y-118440200D01* +X87680800Y-116205749D01* +X87680800Y-111759251D01* +X89915252Y-109524800D01* +X89929848Y-109524800D01* +X89911197Y-109559693D01* +X89889590Y-109630923D01* +X89882294Y-109705000D01* +X89882294Y-110005000D01* +X89889590Y-110079077D01* +X89911197Y-110150307D01* +X89929848Y-110185200D01* +X89803958Y-110185200D01* +X89789000Y-110183727D01* +X89774042Y-110185200D01* +X89774034Y-110185200D01* +X89734403Y-110189103D01* +X89729248Y-110189611D01* +X89671794Y-110207040D01* +X89618843Y-110235342D01* +X89572432Y-110273432D01* +X89562892Y-110285056D01* +X87806061Y-112041888D01* +X87794432Y-112051432D01* +X87756342Y-112097844D01* +X87728040Y-112150795D01* +X87710611Y-112208250D01* +X87706200Y-112253035D01* +X87706200Y-112253042D01* +X87704727Y-112268000D01* +X87706200Y-112282958D01* +X87706201Y-115682032D01* +X87704727Y-115697000D01* +X87710611Y-115756751D01* +X87728040Y-115814205D01* +X87728041Y-115814206D01* +X87756343Y-115867157D01* +X87794433Y-115913568D01* +X87806057Y-115923108D01* +X89562891Y-117679943D01* +X89572432Y-117691568D01* +X89618843Y-117729658D01* +X89671794Y-117757960D01* +X89729248Y-117775389D01* +X89734403Y-117775897D01* +X89774034Y-117779800D01* +X89774042Y-117779800D01* +X89789000Y-117781273D01* +X89803958Y-117779800D01* +X89916271Y-117779800D01* +X89946286Y-117835953D01* +X89993507Y-117893493D01* +X90051047Y-117940714D01* +X90116693Y-117975803D01* +X90187923Y-117997410D01* +X90262000Y-118004706D01* +X92012000Y-118004706D01* +X92086077Y-117997410D01* +X92157307Y-117975803D01* +X92222953Y-117940714D01* +X92280493Y-117893493D01* +X92327714Y-117835953D01* +X92362803Y-117770307D01* +X92384410Y-117699077D01* +X92391706Y-117625000D01* +X92391706Y-117325000D01* +X92384410Y-117250923D01* +X92362803Y-117179693D01* +X92327714Y-117114047D01* +X92280493Y-117056507D01* +X92222953Y-117009286D01* +X92157307Y-116974197D01* +X92086077Y-116952590D01* +X92012000Y-116945294D01* +X90262000Y-116945294D01* +X90187923Y-116952590D01* +X90116693Y-116974197D01* +X90051047Y-117009286D01* +X89993507Y-117056507D01* +X89946286Y-117114047D01* +X89916271Y-117170200D01* +X89915252Y-117170200D01* +X88315800Y-115570749D01* +X88315800Y-112394251D01* +X89915252Y-110794800D01* +X89929848Y-110794800D01* +X89911197Y-110829693D01* +X89889590Y-110900923D01* +X89882294Y-110975000D01* +X89882294Y-111275000D01* +X89889590Y-111349077D01* +X89911197Y-111420307D01* +X89929848Y-111455200D01* +X89803957Y-111455200D01* +X89788999Y-111453727D01* +X89774041Y-111455200D01* +X89774034Y-111455200D01* +X89734889Y-111459055D01* +X89729248Y-111459611D01* +X89718591Y-111462844D01* +X89671794Y-111477040D01* +X89618843Y-111505342D01* +X89572432Y-111543432D01* +X89562892Y-111555056D01* +X88441061Y-112676888D01* +X88429432Y-112686432D01* +X88391342Y-112732844D01* +X88363040Y-112785795D01* +X88345611Y-112843250D01* +X88341200Y-112888035D01* +X88341200Y-112888042D01* +X88339727Y-112903000D01* +X88341200Y-112917958D01* +X88341201Y-115047032D01* +X88339727Y-115062000D01* +X88345611Y-115121751D01* +X88363040Y-115179205D01* +X88375713Y-115202915D01* +X88391343Y-115232157D01* +X88429433Y-115278568D01* +X88441057Y-115288108D01* +X89562891Y-116409943D01* +X89572432Y-116421568D01* +X89618843Y-116459658D01* +X89671792Y-116487959D01* +X89671794Y-116487960D01* +X89729248Y-116505389D01* +X89734889Y-116505945D01* +X89774034Y-116509800D01* +X89774041Y-116509800D01* +X89788999Y-116511273D01* +X89803957Y-116509800D01* +X89916271Y-116509800D01* +X89946286Y-116565953D01* +X89993507Y-116623493D01* +X90051047Y-116670714D01* +X90116693Y-116705803D01* +X90187923Y-116727410D01* +X90262000Y-116734706D01* +X92012000Y-116734706D01* +X92086077Y-116727410D01* +X92157307Y-116705803D01* +X92222953Y-116670714D01* +X92280493Y-116623493D01* +X92327714Y-116565953D01* +X92362803Y-116500307D01* +X92384410Y-116429077D01* +X92391706Y-116355000D01* +X92391706Y-116055000D01* +X92384410Y-115980923D01* +X92362803Y-115909693D01* +X92327714Y-115844047D01* +X92280493Y-115786507D01* +X92222953Y-115739286D01* +X92157307Y-115704197D01* +X92086077Y-115682590D01* +X92012000Y-115675294D01* +X90262000Y-115675294D01* +X90187923Y-115682590D01* +X90116693Y-115704197D01* +X90051047Y-115739286D01* +X89993507Y-115786507D01* +X89946286Y-115844047D01* +X89916271Y-115900200D01* +X89915252Y-115900200D01* +X88950800Y-114935749D01* +X88950800Y-113029251D01* +X89915252Y-112064800D01* +X89929848Y-112064800D01* +X89911197Y-112099693D01* +X89889590Y-112170923D01* +X89882294Y-112245000D01* +X89882294Y-112545000D01* +X89889590Y-112619077D01* +X89911197Y-112690307D01* +X89929848Y-112725200D01* +X89803957Y-112725200D01* +X89788999Y-112723727D01* +X89774041Y-112725200D01* +X89774034Y-112725200D01* +X89734889Y-112729055D01* +X89729248Y-112729611D01* +X89718591Y-112732844D01* +X89671794Y-112747040D01* +X89618843Y-112775342D01* +X89572432Y-112813432D01* +X89562892Y-112825056D01* +X89076061Y-113311888D01* +X89064432Y-113321432D01* +X89026342Y-113367844D01* +X89006696Y-113404600D01* +X88998040Y-113420795D01* +X88980721Y-113477889D01* +X88980611Y-113478250D01* +X88976200Y-113523035D01* +X88976200Y-113523042D01* +X88974727Y-113538000D01* +X88976200Y-113552958D01* +X88976201Y-114412032D01* +X88974727Y-114427000D01* +X88980611Y-114486751D01* +X88998040Y-114544205D01* +X89003979Y-114555316D01* +X89026343Y-114597157D01* +X89064433Y-114643568D01* +X89076056Y-114653107D01* +X89562892Y-115139944D01* +X89572432Y-115151568D01* +X89618843Y-115189658D01* +X89671794Y-115217960D01* +X89711819Y-115230102D01* +X89729248Y-115235389D01* +X89734889Y-115235945D01* +X89774034Y-115239800D01* +X89774041Y-115239800D01* +X89788999Y-115241273D01* +X89803957Y-115239800D01* +X89916271Y-115239800D01* +X89946286Y-115295953D01* +X89993507Y-115353493D01* +X90051047Y-115400714D01* +X90116693Y-115435803D01* +X90187923Y-115457410D01* +X90262000Y-115464706D01* +X92012000Y-115464706D01* +X92086077Y-115457410D01* +X92157307Y-115435803D01* +X92222953Y-115400714D01* +X92280493Y-115353493D01* +X92327714Y-115295953D01* +X92362803Y-115230307D01* +X92384410Y-115159077D01* +X92391706Y-115085000D01* +X92391706Y-114785000D01* +X92384410Y-114710923D01* +X92362803Y-114639693D01* +X92327714Y-114574047D01* +X92280493Y-114516507D01* +X92222953Y-114469286D01* +X92157307Y-114434197D01* +X92086077Y-114412590D01* +X92012000Y-114405294D01* +X90262000Y-114405294D01* +X90187923Y-114412590D01* +X90116693Y-114434197D01* +X90051047Y-114469286D01* +X89993507Y-114516507D01* +X89946286Y-114574047D01* +X89916271Y-114630200D01* +X89915252Y-114630200D01* +X89585800Y-114300749D01* +X89585800Y-113664251D01* +X89915252Y-113334800D01* +X89929848Y-113334800D01* +X89911197Y-113369693D01* +X89889590Y-113440923D01* +X89882294Y-113515000D01* +X89882294Y-113815000D01* +X89889590Y-113889077D01* +X89911197Y-113960307D01* +X89946286Y-114025953D01* +X89993507Y-114083493D01* +X90051047Y-114130714D01* +X90116693Y-114165803D01* +X90187923Y-114187410D01* +X90262000Y-114194706D01* +X92012000Y-114194706D01* +X92086077Y-114187410D01* +X92157307Y-114165803D01* +X92222953Y-114130714D01* +X92280493Y-114083493D01* +X92327714Y-114025953D01* +X92357729Y-113969800D01* +X94591271Y-113969800D01* +X94621286Y-114025953D01* +X94668507Y-114083493D01* +X94726047Y-114130714D01* +X94791693Y-114165803D01* +X94862923Y-114187410D01* +X94937000Y-114194706D01* +X96687000Y-114194706D01* +X96761077Y-114187410D01* +X96832307Y-114165803D01* +X96897953Y-114130714D01* +X96955493Y-114083493D01* +X97002714Y-114025953D01* +X97037803Y-113960307D01* +X97059410Y-113889077D01* +X97066706Y-113815000D01* +X97066706Y-113515000D01* +X97059410Y-113440923D01* +X97037803Y-113369693D01* +X97019152Y-113334800D01* +X98664042Y-113334800D01* +X98679000Y-113336273D01* +X98693958Y-113334800D01* +X98693966Y-113334800D01* +X98738751Y-113330389D01* +X98796206Y-113312960D01* +X98849157Y-113284658D01* +X98895568Y-113246568D01* +X98905112Y-113234939D01* +X99440252Y-112699800D01* +X107691271Y-112699800D01* +X107721286Y-112755953D01* +X107768507Y-112813493D01* +X107826047Y-112860714D01* +X107891693Y-112895803D01* +X107962923Y-112917410D01* +X108037000Y-112924706D01* +X109787000Y-112924706D01* +X109861077Y-112917410D01* +X109932307Y-112895803D01* +X109997953Y-112860714D01* +X110055493Y-112813493D01* +X110102714Y-112755953D01* +X110137803Y-112690307D01* +X110159410Y-112619077D01* +X110162273Y-112590000D01* +X115421294Y-112590000D01* +X115421294Y-113765000D01* +X115428590Y-113839077D01* +X115450197Y-113910307D01* +X115485286Y-113975953D01* +X115532507Y-114033493D01* +X115590047Y-114080714D01* +X115655693Y-114115803D01* +X115726923Y-114137410D01* +X115801000Y-114144706D01* +X116101000Y-114144706D01* +X116175077Y-114137410D01* +X116246307Y-114115803D01* +X116311953Y-114080714D01* +X116369493Y-114033493D01* +X116416714Y-113975953D01* +X116451803Y-113910307D01* +X116473410Y-113839077D01* +X116480706Y-113765000D01* +X116480706Y-112590000D01* +X116691294Y-112590000D01* +X116691294Y-113765000D01* +X116698590Y-113839077D01* +X116720197Y-113910307D01* +X116755286Y-113975953D01* +X116802507Y-114033493D01* +X116860047Y-114080714D01* +X116925693Y-114115803D01* +X116996923Y-114137410D01* +X117071000Y-114144706D01* +X117371000Y-114144706D01* +X117445077Y-114137410D01* +X117516307Y-114115803D01* +X117581953Y-114080714D01* +X117639493Y-114033493D01* +X117686714Y-113975953D01* +X117721803Y-113910307D01* +X117743410Y-113839077D01* +X117750706Y-113765000D01* +X117750706Y-112590000D01* +X117961294Y-112590000D01* +X117961294Y-113765000D01* +X117968590Y-113839077D01* +X117990197Y-113910307D01* +X118025286Y-113975953D01* +X118072507Y-114033493D01* +X118130047Y-114080714D01* +X118195693Y-114115803D01* +X118266923Y-114137410D01* +X118341000Y-114144706D01* +X118641000Y-114144706D01* +X118715077Y-114137410D01* +X118786307Y-114115803D01* +X118851953Y-114080714D01* +X118909493Y-114033493D01* +X118956714Y-113975953D01* +X118991803Y-113910307D01* +X119013410Y-113839077D01* +X119020706Y-113765000D01* +X119020706Y-112590000D01* +X119231294Y-112590000D01* +X119231294Y-113765000D01* +X119238590Y-113839077D01* +X119260197Y-113910307D01* +X119295286Y-113975953D01* +X119342507Y-114033493D01* +X119400047Y-114080714D01* +X119465693Y-114115803D01* +X119536923Y-114137410D01* +X119611000Y-114144706D01* +X119911000Y-114144706D01* +X119985077Y-114137410D01* +X120056307Y-114115803D01* +X120121953Y-114080714D01* +X120179493Y-114033493D01* +X120226714Y-113975953D01* +X120261803Y-113910307D01* +X120283410Y-113839077D01* +X120290706Y-113765000D01* +X120290706Y-112590000D01* +X120283410Y-112515923D01* +X120261803Y-112444693D01* +X120226714Y-112379047D01* +X120179493Y-112321507D01* +X120121953Y-112274286D01* +X120056307Y-112239197D01* +X119985077Y-112217590D01* +X119911000Y-112210294D01* +X119611000Y-112210294D01* +X119536923Y-112217590D01* +X119465693Y-112239197D01* +X119400047Y-112274286D01* +X119342507Y-112321507D01* +X119295286Y-112379047D01* +X119260197Y-112444693D01* +X119238590Y-112515923D01* +X119231294Y-112590000D01* +X119020706Y-112590000D01* +X119013410Y-112515923D01* +X118991803Y-112444693D01* +X118956714Y-112379047D01* +X118909493Y-112321507D01* +X118851953Y-112274286D01* +X118851507Y-112274047D01* +X118901591Y-112223963D01* +X118959440Y-112137386D01* +X118999287Y-112041187D01* +X119019600Y-111939063D01* +X119019600Y-111834937D01* +X118999287Y-111732813D01* +X118959440Y-111636614D01* +X118901591Y-111550037D01* +X118827963Y-111476409D01* +X118741386Y-111418560D01* +X118645187Y-111378713D01* +X118543063Y-111358400D01* +X118438937Y-111358400D01* +X118336813Y-111378713D01* +X118240614Y-111418560D01* +X118154037Y-111476409D01* +X118080409Y-111550037D01* +X118022560Y-111636614D01* +X117982713Y-111732813D01* +X117962400Y-111834937D01* +X117962400Y-111939063D01* +X117982713Y-112041187D01* +X118022560Y-112137386D01* +X118080409Y-112223963D01* +X118130493Y-112274047D01* +X118130047Y-112274286D01* +X118072507Y-112321507D01* +X118025286Y-112379047D01* +X117990197Y-112444693D01* +X117968590Y-112515923D01* +X117961294Y-112590000D01* +X117750706Y-112590000D01* +X117743410Y-112515923D01* +X117721803Y-112444693D01* +X117686714Y-112379047D01* +X117639493Y-112321507D01* +X117581953Y-112274286D01* +X117581507Y-112274047D01* +X117631591Y-112223963D01* +X117689440Y-112137386D01* +X117729287Y-112041187D01* +X117749600Y-111939063D01* +X117749600Y-111834937D01* +X117729287Y-111732813D01* +X117689440Y-111636614D01* +X117631591Y-111550037D01* +X117557963Y-111476409D01* +X117471386Y-111418560D01* +X117375187Y-111378713D01* +X117273063Y-111358400D01* +X117168937Y-111358400D01* +X117066813Y-111378713D01* +X116970614Y-111418560D01* +X116884037Y-111476409D01* +X116810409Y-111550037D01* +X116752560Y-111636614D01* +X116712713Y-111732813D01* +X116692400Y-111834937D01* +X116692400Y-111939063D01* +X116712713Y-112041187D01* +X116752560Y-112137386D01* +X116810409Y-112223963D01* +X116860493Y-112274047D01* +X116860047Y-112274286D01* +X116802507Y-112321507D01* +X116755286Y-112379047D01* +X116720197Y-112444693D01* +X116698590Y-112515923D01* +X116691294Y-112590000D01* +X116480706Y-112590000D01* +X116473410Y-112515923D01* +X116451803Y-112444693D01* +X116416714Y-112379047D01* +X116369493Y-112321507D01* +X116311953Y-112274286D01* +X116311507Y-112274047D01* +X116361591Y-112223963D01* +X116419440Y-112137386D01* +X116459287Y-112041187D01* +X116479600Y-111939063D01* +X116479600Y-111834937D01* +X116459287Y-111732813D01* +X116419440Y-111636614D01* +X116361591Y-111550037D01* +X116287963Y-111476409D01* +X116201386Y-111418560D01* +X116105187Y-111378713D01* +X116003063Y-111358400D01* +X115898937Y-111358400D01* +X115796813Y-111378713D01* +X115700614Y-111418560D01* +X115614037Y-111476409D01* +X115540409Y-111550037D01* +X115482560Y-111636614D01* +X115442713Y-111732813D01* +X115422400Y-111834937D01* +X115422400Y-111939063D01* +X115442713Y-112041187D01* +X115482560Y-112137386D01* +X115540409Y-112223963D01* +X115590493Y-112274047D01* +X115590047Y-112274286D01* +X115532507Y-112321507D01* +X115485286Y-112379047D01* +X115450197Y-112444693D01* +X115428590Y-112515923D01* +X115421294Y-112590000D01* +X110162273Y-112590000D01* +X110166706Y-112545000D01* +X110166706Y-112245000D01* +X110159410Y-112170923D01* +X110137803Y-112099693D01* +X110102714Y-112034047D01* +X110055493Y-111976507D01* +X109997953Y-111929286D01* +X109932307Y-111894197D01* +X109861077Y-111872590D01* +X109787000Y-111865294D01* +X108037000Y-111865294D01* +X107962923Y-111872590D01* +X107891693Y-111894197D01* +X107826047Y-111929286D01* +X107768507Y-111976507D01* +X107721286Y-112034047D01* +X107691271Y-112090200D01* +X99328958Y-112090200D01* +X99314000Y-112088727D01* +X99299042Y-112090200D01* +X99299034Y-112090200D01* +X99254249Y-112094611D01* +X99196794Y-112112040D01* +X99143843Y-112140342D01* +X99097432Y-112178432D01* +X99087892Y-112190056D01* +X98552749Y-112725200D01* +X97019152Y-112725200D01* +X97037803Y-112690307D01* +X97059410Y-112619077D01* +X97066706Y-112545000D01* +X97066706Y-112245000D01* +X97059410Y-112170923D01* +X97037803Y-112099693D01* +X97019152Y-112064800D01* +X98664042Y-112064800D01* +X98679000Y-112066273D01* +X98693958Y-112064800D01* +X98693966Y-112064800D01* +X98738751Y-112060389D01* +X98796206Y-112042960D01* +X98849157Y-112014658D01* +X98895568Y-111976568D01* +X98905112Y-111964939D01* +X99440252Y-111429800D01* +X107691271Y-111429800D01* +X107721286Y-111485953D01* +X107768507Y-111543493D01* +X107826047Y-111590714D01* +X107891693Y-111625803D01* +X107962923Y-111647410D01* +X108037000Y-111654706D01* +X109787000Y-111654706D01* +X109861077Y-111647410D01* +X109932307Y-111625803D01* +X109997953Y-111590714D01* +X110055493Y-111543493D01* +X110102714Y-111485953D01* +X110137803Y-111420307D01* +X110159410Y-111349077D01* +X110166706Y-111275000D01* +X110166706Y-110975000D01* +X110159410Y-110900923D01* +X110137803Y-110829693D01* +X110126743Y-110809000D01* +X118576294Y-110809000D01* +X118580708Y-110853813D01* +X118593779Y-110896905D01* +X118615006Y-110936618D01* +X118643573Y-110971427D01* +X118678382Y-110999994D01* +X118718095Y-111021221D01* +X118761187Y-111034292D01* +X118806000Y-111038706D01* +X119200050Y-111037600D01* +X119257200Y-110980450D01* +X119257200Y-110182800D01* +X119404800Y-110182800D01* +X119404800Y-110980450D01* +X119461950Y-111037600D01* +X119856000Y-111038706D01* +X119900813Y-111034292D01* +X119943905Y-111021221D01* +X119983618Y-110999994D01* +X120018427Y-110971427D01* +X120046994Y-110936618D01* +X120068221Y-110896905D01* +X120081292Y-110853813D01* +X120085706Y-110809000D01* +X120084600Y-110239950D01* +X120027450Y-110182800D01* +X119404800Y-110182800D01* +X119257200Y-110182800D01* +X118634550Y-110182800D01* +X118577400Y-110239950D01* +X118576294Y-110809000D01* +X110126743Y-110809000D01* +X110102714Y-110764047D01* +X110055493Y-110706507D01* +X109997953Y-110659286D01* +X109932307Y-110624197D01* +X109861077Y-110602590D01* +X109787000Y-110595294D01* +X108037000Y-110595294D01* +X107962923Y-110602590D01* +X107891693Y-110624197D01* +X107826047Y-110659286D01* +X107768507Y-110706507D01* +X107721286Y-110764047D01* +X107691271Y-110820200D01* +X99328958Y-110820200D01* +X99314000Y-110818727D01* +X99299042Y-110820200D01* +X99299034Y-110820200D01* +X99254249Y-110824611D01* +X99196794Y-110842040D01* +X99143843Y-110870342D01* +X99097432Y-110908432D01* +X99087892Y-110920056D01* +X98552749Y-111455200D01* +X97019152Y-111455200D01* +X97037803Y-111420307D01* +X97059410Y-111349077D01* +X97066706Y-111275000D01* +X97066706Y-110975000D01* +X97059410Y-110900923D01* +X97037803Y-110829693D01* +X97019152Y-110794800D01* +X98664042Y-110794800D01* +X98679000Y-110796273D01* +X98693958Y-110794800D01* +X98693966Y-110794800D01* +X98738751Y-110790389D01* +X98796206Y-110772960D01* +X98849157Y-110744658D01* +X98895568Y-110706568D01* +X98905112Y-110694939D01* +X99440252Y-110159800D01* +X107691271Y-110159800D01* +X107721286Y-110215953D01* +X107768507Y-110273493D01* +X107826047Y-110320714D01* +X107891693Y-110355803D01* +X107962923Y-110377410D01* +X108037000Y-110384706D01* +X109787000Y-110384706D01* +X109861077Y-110377410D01* +X109932307Y-110355803D01* +X109997953Y-110320714D01* +X110055493Y-110273493D01* +X110102714Y-110215953D01* +X110137803Y-110150307D01* +X110159410Y-110079077D01* +X110166706Y-110005000D01* +X110166706Y-109705000D01* +X110159410Y-109630923D01* +X110137803Y-109559693D01* +X110102714Y-109494047D01* +X110055493Y-109436507D01* +X110021976Y-109409000D01* +X118576294Y-109409000D01* +X118577400Y-109978050D01* +X118634550Y-110035200D01* +X119257200Y-110035200D01* +X119257200Y-109237550D01* +X119404800Y-109237550D01* +X119404800Y-110035200D01* +X120027450Y-110035200D01* +X120084600Y-109978050D01* +X120085195Y-109671500D01* +X120276294Y-109671500D01* +X120276294Y-110546500D01* +X120285752Y-110642525D01* +X120313761Y-110734859D01* +X120359246Y-110819955D01* +X120420458Y-110894542D01* +X120421401Y-110895316D01* +X120421401Y-111221561D01* +X120402400Y-111317088D01* +X120402400Y-111440912D01* +X120426556Y-111562356D01* +X120473941Y-111676754D01* +X120542734Y-111779709D01* +X120548401Y-111785376D01* +X120548401Y-112410637D01* +X120530197Y-112444693D01* +X120508590Y-112515923D01* +X120501294Y-112590000D01* +X120501294Y-113765000D01* +X120508590Y-113839077D01* +X120530197Y-113910307D01* +X120548401Y-113944363D01* +X120548401Y-114147624D01* +X120542734Y-114153291D01* +X120473941Y-114256246D01* +X120426556Y-114370644D01* +X120402400Y-114492088D01* +X120402400Y-114615912D01* +X120426556Y-114737356D01* +X120473941Y-114851754D01* +X120542734Y-114954709D01* +X120630291Y-115042266D01* +X120733246Y-115111059D01* +X120847644Y-115158444D01* +X120969088Y-115182600D01* +X121092912Y-115182600D01* +X121214356Y-115158444D01* +X121328754Y-115111059D01* +X121431709Y-115042266D01* +X121519266Y-114954709D01* +X121588059Y-114851754D01* +X121615709Y-114785000D01* +X124356294Y-114785000D01* +X124356294Y-115085000D01* +X124363590Y-115159077D01* +X124385197Y-115230307D01* +X124420286Y-115295953D01* +X124467507Y-115353493D01* +X124525047Y-115400714D01* +X124590693Y-115435803D01* +X124661923Y-115457410D01* +X124736000Y-115464706D01* +X125911000Y-115464706D01* +X125985077Y-115457410D01* +X126056307Y-115435803D01* +X126121953Y-115400714D01* +X126179493Y-115353493D01* +X126226714Y-115295953D01* +X126261803Y-115230307D01* +X126283410Y-115159077D01* +X126290706Y-115085000D01* +X126290706Y-114785000D01* +X126283410Y-114710923D01* +X126261803Y-114639693D01* +X126226714Y-114574047D01* +X126179493Y-114516507D01* +X126121953Y-114469286D01* +X126056307Y-114434197D01* +X125985077Y-114412590D01* +X125911000Y-114405294D01* +X124736000Y-114405294D01* +X124661923Y-114412590D01* +X124590693Y-114434197D01* +X124525047Y-114469286D01* +X124467507Y-114516507D01* +X124420286Y-114574047D01* +X124385197Y-114639693D01* +X124363590Y-114710923D01* +X124356294Y-114785000D01* +X121615709Y-114785000D01* +X121635444Y-114737356D01* +X121659600Y-114615912D01* +X121659600Y-114492088D01* +X121635444Y-114370644D01* +X121588059Y-114256246D01* +X121519266Y-114153291D01* +X121513600Y-114147625D01* +X121513600Y-113944362D01* +X121531803Y-113910307D01* +X121553410Y-113839077D01* +X121560706Y-113765000D01* +X121560706Y-112590000D01* +X121771294Y-112590000D01* +X121771294Y-113765000D01* +X121778590Y-113839077D01* +X121800197Y-113910307D01* +X121835286Y-113975953D01* +X121882507Y-114033493D01* +X121940047Y-114080714D01* +X122005693Y-114115803D01* +X122076923Y-114137410D01* +X122151000Y-114144706D01* +X122451000Y-114144706D01* +X122525077Y-114137410D01* +X122596307Y-114115803D01* +X122661953Y-114080714D01* +X122719493Y-114033493D01* +X122766714Y-113975953D01* +X122801803Y-113910307D01* +X122823410Y-113839077D01* +X122830706Y-113765000D01* +X122830706Y-112590000D01* +X123041294Y-112590000D01* +X123041294Y-113765000D01* +X123048590Y-113839077D01* +X123070197Y-113910307D01* +X123105286Y-113975953D01* +X123152507Y-114033493D01* +X123210047Y-114080714D01* +X123275693Y-114115803D01* +X123346923Y-114137410D01* +X123421000Y-114144706D01* +X123721000Y-114144706D01* +X123795077Y-114137410D01* +X123866307Y-114115803D01* +X123931953Y-114080714D01* +X123989493Y-114033493D01* +X124036714Y-113975953D01* +X124071803Y-113910307D01* +X124093410Y-113839077D01* +X124100706Y-113765000D01* +X124100706Y-112590000D01* +X124093410Y-112515923D01* +X124071803Y-112444693D01* +X124036714Y-112379047D01* +X123989493Y-112321507D01* +X123931953Y-112274286D01* +X123866307Y-112239197D01* +X123795077Y-112217590D01* +X123721000Y-112210294D01* +X123421000Y-112210294D01* +X123346923Y-112217590D01* +X123275693Y-112239197D01* +X123210047Y-112274286D01* +X123152507Y-112321507D01* +X123105286Y-112379047D01* +X123070197Y-112444693D01* +X123048590Y-112515923D01* +X123041294Y-112590000D01* +X122830706Y-112590000D01* +X122823410Y-112515923D01* +X122801803Y-112444693D01* +X122766714Y-112379047D01* +X122719493Y-112321507D01* +X122661953Y-112274286D01* +X122605800Y-112244271D01* +X122605800Y-112140251D01* +X122808252Y-111937800D01* +X124460749Y-111937800D01* +X128932891Y-116409943D01* +X128942432Y-116421568D01* +X128988843Y-116459658D01* +X129041794Y-116487960D01* +X129081819Y-116500102D01* +X129099248Y-116505389D01* +X129104889Y-116505945D01* +X129144034Y-116509800D01* +X129144041Y-116509800D01* +X129158999Y-116511273D01* +X129173957Y-116509800D01* +X129450271Y-116509800D01* +X129480286Y-116565953D01* +X129527507Y-116623493D01* +X129585047Y-116670714D01* +X129650693Y-116705803D01* +X129721923Y-116727410D01* +X129796000Y-116734706D01* +X131446000Y-116734706D01* +X131520077Y-116727410D01* +X131591307Y-116705803D01* +X131656953Y-116670714D01* +X131714493Y-116623493D01* +X131761714Y-116565953D01* +X131796803Y-116500307D01* +X131818410Y-116429077D01* +X131825706Y-116355000D01* +X131825706Y-116055000D01* +X131818410Y-115980923D01* +X131796803Y-115909693D01* +X131761714Y-115844047D01* +X131714493Y-115786507D01* +X131656953Y-115739286D01* +X131591307Y-115704197D01* +X131520077Y-115682590D01* +X131446000Y-115675294D01* +X129796000Y-115675294D01* +X129721923Y-115682590D01* +X129650693Y-115704197D01* +X129585047Y-115739286D01* +X129527507Y-115786507D01* +X129480286Y-115844047D01* +X129450271Y-115900200D01* +X129285252Y-115900200D01* +X128620052Y-115235000D01* +X129416294Y-115235000D01* +X129420708Y-115279813D01* +X129433779Y-115322905D01* +X129455006Y-115362618D01* +X129483573Y-115397427D01* +X129518382Y-115425994D01* +X129558095Y-115447221D01* +X129601187Y-115460292D01* +X129646000Y-115464706D01* +X130490050Y-115463600D01* +X130547200Y-115406450D01* +X130547200Y-115008800D01* +X130694800Y-115008800D01* +X130694800Y-115406450D01* +X130751950Y-115463600D01* +X131596000Y-115464706D01* +X131640813Y-115460292D01* +X131683905Y-115447221D01* +X131723618Y-115425994D01* +X131758427Y-115397427D01* +X131786994Y-115362618D01* +X131808221Y-115322905D01* +X131821292Y-115279813D01* +X131825706Y-115235000D01* +X131824600Y-115065950D01* +X131767450Y-115008800D01* +X130694800Y-115008800D01* +X130547200Y-115008800D01* +X129474550Y-115008800D01* +X129417400Y-115065950D01* +X129416294Y-115235000D01* +X128620052Y-115235000D01* +X128020052Y-114635000D01* +X129416294Y-114635000D01* +X129417400Y-114804050D01* +X129474550Y-114861200D01* +X130547200Y-114861200D01* +X130547200Y-114463550D01* +X130694800Y-114463550D01* +X130694800Y-114861200D01* +X131767450Y-114861200D01* +X131824600Y-114804050D01* +X131825706Y-114635000D01* +X131821292Y-114590187D01* +X131808221Y-114547095D01* +X131786994Y-114507382D01* +X131758427Y-114472573D01* +X131723618Y-114444006D01* +X131683905Y-114422779D01* +X131640813Y-114409708D01* +X131596000Y-114405294D01* +X130751950Y-114406400D01* +X130694800Y-114463550D01* +X130547200Y-114463550D01* +X130490050Y-114406400D01* +X129646000Y-114405294D01* +X129601187Y-114409708D01* +X129558095Y-114422779D01* +X129518382Y-114444006D01* +X129483573Y-114472573D01* +X129455006Y-114507382D01* +X129433779Y-114547095D01* +X129420708Y-114590187D01* +X129416294Y-114635000D01* +X128020052Y-114635000D01* +X124813112Y-111428061D01* +X124803568Y-111416432D01* +X124757157Y-111378342D01* +X124704206Y-111350040D01* +X124646751Y-111332611D01* +X124601966Y-111328200D01* +X124601958Y-111328200D01* +X124587000Y-111326727D01* +X124572042Y-111328200D01* +X122696957Y-111328200D01* +X122681999Y-111326727D01* +X122667041Y-111328200D01* +X122667034Y-111328200D01* +X122627889Y-111332055D01* +X122622248Y-111332611D01* +X122604819Y-111337898D01* +X122564794Y-111350040D01* +X122511843Y-111378342D01* +X122465432Y-111416432D01* +X122455892Y-111428056D01* +X122096061Y-111787888D01* +X122084432Y-111797432D01* +X122046342Y-111843844D01* +X122025007Y-111883761D01* +X122018040Y-111896795D01* +X122007920Y-111930157D01* +X122000611Y-111954250D01* +X121996200Y-111999035D01* +X121996200Y-111999042D01* +X121994727Y-112014000D01* +X121996200Y-112028958D01* +X121996200Y-112244271D01* +X121940047Y-112274286D01* +X121882507Y-112321507D01* +X121835286Y-112379047D01* +X121800197Y-112444693D01* +X121778590Y-112515923D01* +X121771294Y-112590000D01* +X121560706Y-112590000D01* +X121553410Y-112515923D01* +X121531803Y-112444693D01* +X121513600Y-112410638D01* +X121513600Y-111785375D01* +X121519266Y-111779709D01* +X121588059Y-111676754D01* +X121635444Y-111562356D01* +X121659600Y-111440912D01* +X121659600Y-111317088D01* +X121640600Y-111221566D01* +X121640600Y-110895315D01* +X121641542Y-110894542D01* +X121702754Y-110819955D01* +X121748239Y-110734859D01* +X121753171Y-110718600D01* +X122016566Y-110718600D01* +X122112088Y-110737600D01* +X122235912Y-110737600D01* +X122357356Y-110713444D01* +X122471754Y-110666059D01* +X122574709Y-110597266D01* +X122662266Y-110509709D01* +X122731059Y-110406754D01* +X122778444Y-110292356D01* +X122802600Y-110170912D01* +X122802600Y-110047088D01* +X122778444Y-109925644D01* +X122731059Y-109811246D01* +X122662266Y-109708291D01* +X122574709Y-109620734D01* +X122471754Y-109551941D01* +X122357356Y-109504556D01* +X122235912Y-109480400D01* +X122112088Y-109480400D01* +X122016566Y-109499400D01* +X121753171Y-109499400D01* +X121748239Y-109483141D01* +X121702754Y-109398045D01* +X121641542Y-109323458D01* +X121640600Y-109322685D01* +X121640600Y-108996434D01* +X121659600Y-108900912D01* +X121659600Y-108777088D01* +X121635444Y-108655644D01* +X121588059Y-108541246D01* +X121519266Y-108438291D01* +X121431709Y-108350734D01* +X121328754Y-108281941D01* +X121214356Y-108234556D01* +X121092912Y-108210400D01* +X120969088Y-108210400D01* +X120847644Y-108234556D01* +X120733246Y-108281941D01* +X120630291Y-108350734D01* +X120542734Y-108438291D01* +X120473941Y-108541246D01* +X120426556Y-108655644D01* +X120402400Y-108777088D01* +X120402400Y-108900912D01* +X120421401Y-108996439D01* +X120421401Y-109322684D01* +X120420458Y-109323458D01* +X120359246Y-109398045D01* +X120313761Y-109483141D01* +X120285752Y-109575475D01* +X120276294Y-109671500D01* +X120085195Y-109671500D01* +X120085706Y-109409000D01* +X120081292Y-109364187D01* +X120068221Y-109321095D01* +X120046994Y-109281382D01* +X120018427Y-109246573D01* +X119983618Y-109218006D01* +X119943905Y-109196779D01* +X119900813Y-109183708D01* +X119856000Y-109179294D01* +X119461950Y-109180400D01* +X119404800Y-109237550D01* +X119257200Y-109237550D01* +X119200050Y-109180400D01* +X118806000Y-109179294D01* +X118761187Y-109183708D01* +X118718095Y-109196779D01* +X118678382Y-109218006D01* +X118643573Y-109246573D01* +X118615006Y-109281382D01* +X118593779Y-109321095D01* +X118580708Y-109364187D01* +X118576294Y-109409000D01* +X110021976Y-109409000D01* +X109997953Y-109389286D01* +X109932307Y-109354197D01* +X109861077Y-109332590D01* +X109787000Y-109325294D01* +X108037000Y-109325294D01* +X107962923Y-109332590D01* +X107891693Y-109354197D01* +X107826047Y-109389286D01* +X107768507Y-109436507D01* +X107721286Y-109494047D01* +X107691271Y-109550200D01* +X99328958Y-109550200D01* +X99314000Y-109548727D01* +X99299042Y-109550200D01* +X99299034Y-109550200D01* +X99254249Y-109554611D01* +X99196794Y-109572040D01* +X99143843Y-109600342D01* +X99097432Y-109638432D01* +X99087892Y-109650056D01* +X98552749Y-110185200D01* +X97019152Y-110185200D01* +X97037803Y-110150307D01* +X97059410Y-110079077D01* +X97066706Y-110005000D01* +X97066706Y-109705000D01* +X97059410Y-109630923D01* +X97037803Y-109559693D01* +X97002714Y-109494047D01* +X96955493Y-109436507D01* +X96897953Y-109389286D01* +X96832307Y-109354197D01* +X96761077Y-109332590D01* +X96687000Y-109325294D01* +X94937000Y-109325294D01* +X94862923Y-109332590D01* +X94791693Y-109354197D01* +X94726047Y-109389286D01* +X94668507Y-109436507D01* +X94621286Y-109494047D01* +X94591271Y-109550200D01* +X92357729Y-109550200D01* +X92344152Y-109524800D01* +X93028417Y-109524800D01* +X93043375Y-109526273D01* +X93058333Y-109524800D01* +X93058341Y-109524800D01* +X93103126Y-109520389D01* +X93160581Y-109502960D01* +X93213532Y-109474658D01* +X93259943Y-109436568D01* +X93269487Y-109424939D01* +X93804627Y-108889800D01* +X94591271Y-108889800D01* +X94621286Y-108945953D01* +X94668507Y-109003493D01* +X94726047Y-109050714D01* +X94791693Y-109085803D01* +X94862923Y-109107410D01* +X94937000Y-109114706D01* +X96687000Y-109114706D01* +X96761077Y-109107410D01* +X96832307Y-109085803D01* +X96897953Y-109050714D01* +X96955493Y-109003493D01* +X97002714Y-108945953D01* +X97035294Y-108885000D01* +X107657294Y-108885000D01* +X107661708Y-108929813D01* +X107674779Y-108972905D01* +X107696006Y-109012618D01* +X107724573Y-109047427D01* +X107759382Y-109075994D01* +X107799095Y-109097221D01* +X107842187Y-109110292D01* +X107887000Y-109114706D01* +X108781050Y-109113600D01* +X108838200Y-109056450D01* +X108838200Y-108658800D01* +X108985800Y-108658800D01* +X108985800Y-109056450D01* +X109042950Y-109113600D01* +X109937000Y-109114706D01* +X109981813Y-109110292D01* +X110024905Y-109097221D01* +X110064618Y-109075994D01* +X110099427Y-109047427D01* +X110127994Y-109012618D01* +X110149221Y-108972905D01* +X110162292Y-108929813D01* +X110166706Y-108885000D01* +X110165600Y-108715950D01* +X110108450Y-108658800D01* +X108985800Y-108658800D01* +X108838200Y-108658800D01* +X107715550Y-108658800D01* +X107658400Y-108715950D01* +X107657294Y-108885000D01* +X97035294Y-108885000D01* +X97037803Y-108880307D01* +X97059410Y-108809077D01* +X97066706Y-108735000D01* +X97066706Y-108435000D01* +X97059410Y-108360923D01* +X97037803Y-108289693D01* +X97035295Y-108285000D01* +X107657294Y-108285000D01* +X107658400Y-108454050D01* +X107715550Y-108511200D01* +X108838200Y-108511200D01* +X108838200Y-108113550D01* +X108985800Y-108113550D01* +X108985800Y-108511200D01* +X110108450Y-108511200D01* +X110165600Y-108454050D01* +X110166706Y-108285000D01* +X110162292Y-108240187D01* +X110149221Y-108197095D01* +X110127994Y-108157382D01* +X110099427Y-108122573D01* +X110064618Y-108094006D01* +X110024905Y-108072779D01* +X109981813Y-108059708D01* +X109937000Y-108055294D01* +X109042950Y-108056400D01* +X108985800Y-108113550D01* +X108838200Y-108113550D01* +X108781050Y-108056400D01* +X107887000Y-108055294D01* +X107842187Y-108059708D01* +X107799095Y-108072779D01* +X107759382Y-108094006D01* +X107724573Y-108122573D01* +X107696006Y-108157382D01* +X107674779Y-108197095D01* +X107661708Y-108240187D01* +X107657294Y-108285000D01* +X97035295Y-108285000D01* +X97002714Y-108224047D01* +X96955493Y-108166507D01* +X96897953Y-108119286D01* +X96832307Y-108084197D01* +X96761077Y-108062590D01* +X96687000Y-108055294D01* +X94937000Y-108055294D01* +X94862923Y-108062590D01* +X94791693Y-108084197D01* +X94726047Y-108119286D01* +X94668507Y-108166507D01* +X94621286Y-108224047D01* +X94591271Y-108280200D01* +X93693333Y-108280200D01* +X93678375Y-108278727D01* +X93663417Y-108280200D01* +X93663409Y-108280200D01* +X93618624Y-108284611D01* +X93561169Y-108302040D01* +X93508218Y-108330342D01* +X93461807Y-108368432D01* +X93452267Y-108380056D01* +X92917124Y-108915200D01* +X92388731Y-108915200D01* +X92391706Y-108885000D01* +X92390600Y-108715950D01* +X92333450Y-108658800D01* +X91210800Y-108658800D01* +X91210800Y-108678800D01* +X91063200Y-108678800D01* +X91063200Y-108658800D01* +X89940550Y-108658800D01* +X89883400Y-108715950D01* +X89882294Y-108885000D01* +X89885269Y-108915200D01* +X89803957Y-108915200D01* +X89788999Y-108913727D01* +X89774041Y-108915200D01* +X89774034Y-108915200D01* +X89734889Y-108919055D01* +X89729248Y-108919611D01* +X89711819Y-108924898D01* +X89671794Y-108937040D01* +X89618843Y-108965342D01* +X89572432Y-109003432D01* +X89562892Y-109015056D01* +X87171061Y-111406888D01* +X87159432Y-111416432D01* +X87121342Y-111462844D01* +X87106515Y-111490585D01* +X87093040Y-111515795D01* +X87078916Y-111562356D01* +X87075611Y-111573250D01* +X87071200Y-111618035D01* +X87071200Y-111618042D01* +X87069727Y-111633000D01* +X87071200Y-111647958D01* +X87071201Y-116317032D01* +X87069727Y-116332000D01* +X87075611Y-116391751D01* +X87093040Y-116449205D01* +X87103511Y-116468795D01* +X87121343Y-116502157D01* +X87159433Y-116548568D01* +X87171057Y-116558108D01* +X89562891Y-118949943D01* +X89572432Y-118961568D01* +X89618843Y-118999658D01* +X89671794Y-119027960D01* +X89711819Y-119040102D01* +X89729248Y-119045389D01* +X89734889Y-119045945D01* +X89774034Y-119049800D01* +X89774041Y-119049800D01* +X89788999Y-119051273D01* +X89803957Y-119049800D01* +X89916271Y-119049800D01* +X89929848Y-119075200D01* +X88772252Y-119075200D01* +X88237112Y-118540061D01* +X88227568Y-118528432D01* +X88215944Y-118518892D01* +X87983112Y-118286061D01* +X87973568Y-118274432D01* +X87961944Y-118264892D01* +X86537800Y-116840749D01* +X86537800Y-110997251D01* +X89250051Y-108285000D01* +X89882294Y-108285000D01* +X89883400Y-108454050D01* +X89940550Y-108511200D01* +X91063200Y-108511200D01* +X91063200Y-108113550D01* +X91210800Y-108113550D01* +X91210800Y-108511200D01* +X92333450Y-108511200D01* +X92390600Y-108454050D01* +X92391706Y-108285000D01* +X92387292Y-108240187D01* +X92374221Y-108197095D01* +X92352994Y-108157382D01* +X92324427Y-108122573D01* +X92289618Y-108094006D01* +X92249905Y-108072779D01* +X92206813Y-108059708D01* +X92162000Y-108055294D01* +X91267950Y-108056400D01* +X91210800Y-108113550D01* +X91063200Y-108113550D01* +X91006050Y-108056400D01* +X90112000Y-108055294D01* +X90067187Y-108059708D01* +X90024095Y-108072779D01* +X89984382Y-108094006D01* +X89949573Y-108122573D01* +X89921006Y-108157382D01* +X89899779Y-108197095D01* +X89886708Y-108240187D01* +X89882294Y-108285000D01* +X89250051Y-108285000D01* +X90169252Y-107365800D01* +X125222749Y-107365800D01* +X132664201Y-114807253D01* +X132664200Y-116459748D01* +X131953749Y-117170200D01* +X131791729Y-117170200D01* +X131761714Y-117114047D01* +X131714493Y-117056507D01* +X131656953Y-117009286D01* +X131591307Y-116974197D01* +X131520077Y-116952590D01* +X131446000Y-116945294D01* +X129796000Y-116945294D01* +X129721923Y-116952590D01* +X129650693Y-116974197D01* +X129585047Y-117009286D01* +X129527507Y-117056507D01* +X129480286Y-117114047D01* +X129445197Y-117179693D01* +X129423590Y-117250923D01* +X129416294Y-117325000D01* +X129416294Y-117625000D01* +X129423590Y-117699077D01* +X129445197Y-117770307D01* +X129480286Y-117835953D01* +X129527507Y-117893493D01* +X129585047Y-117940714D01* +X129650693Y-117975803D01* +X129721923Y-117997410D01* +X129796000Y-118004706D01* +X131446000Y-118004706D01* +X131520077Y-117997410D01* +X131591307Y-117975803D01* +X131656953Y-117940714D01* +X131714493Y-117893493D01* +X131761714Y-117835953D01* +X131791729Y-117779800D01* +X132065042Y-117779800D01* +X132080000Y-117781273D01* +X132094958Y-117779800D01* +X132094966Y-117779800D01* +X132139751Y-117775389D01* +X132197206Y-117757960D01* +X132250157Y-117729658D01* +X132296568Y-117691568D01* +X132306112Y-117679939D01* +X133173945Y-116812107D01* +X133185568Y-116802568D01* +X133223658Y-116756157D01* +X133251960Y-116703206D01* +X133257774Y-116684040D01* +X133269389Y-116645752D01* +X133270125Y-116638274D01* +X133273800Y-116600966D01* +X133273800Y-116600958D01* +X133275273Y-116586000D01* +X133273800Y-116571042D01* +X133273800Y-116505000D01* +X134366294Y-116505000D01* +X134370708Y-116549813D01* +X134383779Y-116592905D01* +X134405006Y-116632618D01* +X134433573Y-116667427D01* +X134468382Y-116695994D01* +X134508095Y-116717221D01* +X134551187Y-116730292D01* +X134596000Y-116734706D01* +X135440050Y-116733600D01* +X135497200Y-116676450D01* +X135497200Y-116278800D01* +X135644800Y-116278800D01* +X135644800Y-116676450D01* +X135701950Y-116733600D01* +X136546000Y-116734706D01* +X136590813Y-116730292D01* +X136633905Y-116717221D01* +X136673618Y-116695994D01* +X136708427Y-116667427D01* +X136736994Y-116632618D01* +X136758221Y-116592905D01* +X136771292Y-116549813D01* +X136775706Y-116505000D01* +X136774600Y-116335950D01* +X136717450Y-116278800D01* +X135644800Y-116278800D01* +X135497200Y-116278800D01* +X134424550Y-116278800D01* +X134367400Y-116335950D01* +X134366294Y-116505000D01* +X133273800Y-116505000D01* +X133273800Y-115905000D01* +X134366294Y-115905000D01* +X134367400Y-116074050D01* +X134424550Y-116131200D01* +X135497200Y-116131200D01* +X135497200Y-115733550D01* +X135644800Y-115733550D01* +X135644800Y-116131200D01* +X136717450Y-116131200D01* +X136774600Y-116074050D01* +X136775706Y-115905000D01* +X136771292Y-115860187D01* +X136758221Y-115817095D01* +X136736994Y-115777382D01* +X136708427Y-115742573D01* +X136673618Y-115714006D01* +X136633905Y-115692779D01* +X136590813Y-115679708D01* +X136546000Y-115675294D01* +X135701950Y-115676400D01* +X135644800Y-115733550D01* +X135497200Y-115733550D01* +X135440050Y-115676400D01* +X134596000Y-115675294D01* +X134551187Y-115679708D01* +X134508095Y-115692779D01* +X134468382Y-115714006D01* +X134433573Y-115742573D01* +X134405006Y-115777382D01* +X134383779Y-115817095D01* +X134370708Y-115860187D01* +X134366294Y-115905000D01* +X133273800Y-115905000D01* +X133273800Y-114873088D01* +X133356400Y-114873088D01* +X133356400Y-114996912D01* +X133380556Y-115118356D01* +X133427941Y-115232754D01* +X133496734Y-115335709D01* +X133584291Y-115423266D01* +X133687246Y-115492059D01* +X133801644Y-115539444D01* +X133923088Y-115563600D01* +X134046912Y-115563600D01* +X134168356Y-115539444D01* +X134282754Y-115492059D01* +X134385709Y-115423266D01* +X134391375Y-115417600D01* +X134566638Y-115417600D01* +X134600693Y-115435803D01* +X134671923Y-115457410D01* +X134746000Y-115464706D01* +X136396000Y-115464706D01* +X136470077Y-115457410D01* +X136541307Y-115435803D01* +X136575362Y-115417600D01* +X136753625Y-115417600D01* +X136759291Y-115423266D01* +X136862246Y-115492059D01* +X136976644Y-115539444D01* +X137098088Y-115563600D01* +X137221912Y-115563600D01* +X137343356Y-115539444D01* +X137457754Y-115492059D01* +X137560709Y-115423266D01* +X137648266Y-115335709D01* +X137717059Y-115232754D01* +X137764444Y-115118356D01* +X137788600Y-114996912D01* +X137788600Y-114873088D01* +X137764444Y-114751644D01* +X137717059Y-114637246D01* +X137648266Y-114534291D01* +X137560709Y-114446734D01* +X137457754Y-114377941D01* +X137343356Y-114330556D01* +X137221912Y-114306400D01* +X137098088Y-114306400D01* +X136976644Y-114330556D01* +X136862246Y-114377941D01* +X136759291Y-114446734D01* +X136753625Y-114452400D01* +X136575362Y-114452400D01* +X136541307Y-114434197D01* +X136470077Y-114412590D01* +X136396000Y-114405294D01* +X136088439Y-114405294D01* +X136129559Y-114343754D01* +X136176944Y-114229356D01* +X136201100Y-114107912D01* +X136201100Y-113984088D01* +X136182100Y-113888566D01* +X136182100Y-113562315D01* +X136183042Y-113561542D01* +X136244254Y-113486955D01* +X136289739Y-113401859D01* +X136294671Y-113385600D01* +X136494566Y-113385600D01* +X136590088Y-113404600D01* +X136713912Y-113404600D01* +X136835356Y-113380444D01* +X136949754Y-113333059D01* +X137052709Y-113264266D01* +X137140266Y-113176709D01* +X137209059Y-113073754D01* +X137256444Y-112959356D01* +X137280600Y-112837912D01* +X137280600Y-112714088D01* +X137256444Y-112592644D01* +X137209059Y-112478246D01* +X137140266Y-112375291D01* +X137052709Y-112287734D01* +X136949754Y-112218941D01* +X136835356Y-112171556D01* +X136713912Y-112147400D01* +X136590088Y-112147400D01* +X136494566Y-112166400D01* +X136294671Y-112166400D01* +X136289739Y-112150141D01* +X136244254Y-112065045D01* +X136183042Y-111990458D01* +X136182100Y-111989685D01* +X136182100Y-111663434D01* +X136201100Y-111567912D01* +X136201100Y-111444088D01* +X136176944Y-111322644D01* +X136129559Y-111208246D01* +X136060766Y-111105291D01* +X135973209Y-111017734D01* +X135870254Y-110948941D01* +X135755856Y-110901556D01* +X135634412Y-110877400D01* +X135510588Y-110877400D01* +X135389144Y-110901556D01* +X135274746Y-110948941D01* +X135171791Y-111017734D01* +X135084234Y-111105291D01* +X135015441Y-111208246D01* +X134968056Y-111322644D01* +X134943900Y-111444088D01* +X134943900Y-111567912D01* +X134962901Y-111663439D01* +X134962901Y-111989684D01* +X134961958Y-111990458D01* +X134900746Y-112065045D01* +X134855261Y-112150141D01* +X134827252Y-112242475D01* +X134817794Y-112338500D01* +X134817794Y-113213500D01* +X134827252Y-113309525D01* +X134855261Y-113401859D01* +X134900746Y-113486955D01* +X134961958Y-113561542D01* +X134962900Y-113562315D01* +X134962900Y-113888565D01* +X134943900Y-113984088D01* +X134943900Y-114107912D01* +X134968056Y-114229356D01* +X135015441Y-114343754D01* +X135056561Y-114405294D01* +X134746000Y-114405294D01* +X134671923Y-114412590D01* +X134600693Y-114434197D01* +X134566638Y-114452400D01* +X134391375Y-114452400D01* +X134385709Y-114446734D01* +X134282754Y-114377941D01* +X134168356Y-114330556D01* +X134046912Y-114306400D01* +X133923088Y-114306400D01* +X133801644Y-114330556D01* +X133687246Y-114377941D01* +X133584291Y-114446734D01* +X133496734Y-114534291D01* +X133427941Y-114637246D01* +X133380556Y-114751644D01* +X133356400Y-114873088D01* +X133273800Y-114873088D01* +X133273800Y-114695957D01* +X133275273Y-114680999D01* +X133273800Y-114666041D01* +X133273800Y-114666034D01* +X133269389Y-114621249D01* +X133251960Y-114563794D01* +X133223658Y-114510843D01* +X133185568Y-114464432D01* +X133173944Y-114454892D01* +X132195052Y-113476000D01* +X133117794Y-113476000D01* +X133122208Y-113520813D01* +X133135279Y-113563905D01* +X133156506Y-113603618D01* +X133185073Y-113638427D01* +X133219882Y-113666994D01* +X133259595Y-113688221D01* +X133302687Y-113701292D01* +X133347500Y-113705706D01* +X133741550Y-113704600D01* +X133798700Y-113647450D01* +X133798700Y-112849800D01* +X133946300Y-112849800D01* +X133946300Y-113647450D01* +X134003450Y-113704600D01* +X134397500Y-113705706D01* +X134442313Y-113701292D01* +X134485405Y-113688221D01* +X134525118Y-113666994D01* +X134559927Y-113638427D01* +X134588494Y-113603618D01* +X134609721Y-113563905D01* +X134622792Y-113520813D01* +X134627206Y-113476000D01* +X134626100Y-112906950D01* +X134568950Y-112849800D01* +X133946300Y-112849800D01* +X133798700Y-112849800D01* +X133176050Y-112849800D01* +X133118900Y-112906950D01* +X133117794Y-113476000D01* +X132195052Y-113476000D01* +X130795052Y-112076000D01* +X133117794Y-112076000D01* +X133118900Y-112645050D01* +X133176050Y-112702200D01* +X133798700Y-112702200D01* +X133798700Y-111904550D01* +X133946300Y-111904550D01* +X133946300Y-112702200D01* +X134568950Y-112702200D01* +X134626100Y-112645050D01* +X134627206Y-112076000D01* +X134622792Y-112031187D01* +X134609721Y-111988095D01* +X134588494Y-111948382D01* +X134559927Y-111913573D01* +X134525118Y-111885006D01* +X134485405Y-111863779D01* +X134442313Y-111850708D01* +X134397500Y-111846294D01* +X134003450Y-111847400D01* +X133946300Y-111904550D01* +X133798700Y-111904550D01* +X133741550Y-111847400D01* +X133347500Y-111846294D01* +X133302687Y-111850708D01* +X133259595Y-111863779D01* +X133219882Y-111885006D01* +X133185073Y-111913573D01* +X133156506Y-111948382D01* +X133135279Y-111988095D01* +X133122208Y-112031187D01* +X133117794Y-112076000D01* +X130795052Y-112076000D01* +X125575112Y-106856061D01* +X125565568Y-106844432D01* +X125519157Y-106806342D01* +X125466206Y-106778040D01* +X125408751Y-106760611D01* +X125363966Y-106756200D01* +X125363958Y-106756200D01* +X125349000Y-106754727D01* +X125334042Y-106756200D01* +X90057958Y-106756200D01* +X90043000Y-106754727D01* +X90028042Y-106756200D01* +X90028034Y-106756200D01* +X89983249Y-106760611D01* +X89925794Y-106778040D01* +X89872843Y-106806342D01* +X89826432Y-106844432D01* +X89816892Y-106856056D01* +X86028061Y-110644888D01* +X86016432Y-110654432D01* +X85978342Y-110700844D01* +X85958696Y-110737600D01* +X85950040Y-110753795D01* +X85933294Y-110809000D01* +X85932611Y-110811250D01* +X85928200Y-110856035D01* +X85928200Y-110856042D01* +X85926727Y-110871000D01* +X85928200Y-110885958D01* +X85928201Y-116952032D01* +X85926727Y-116967000D01* +X85932611Y-117026751D01* +X85950040Y-117084205D01* +X85950041Y-117084206D01* +X85978343Y-117137157D01* +X86016433Y-117183568D01* +X86028057Y-117193108D01* +X87275148Y-118440200D01* +X83057729Y-118440200D01* +X83027714Y-118384047D01* +X82980493Y-118326507D01* +X82922953Y-118279286D01* +X82857307Y-118244197D01* +X82786077Y-118222590D01* +X82712000Y-118215294D01* +X80962000Y-118215294D01* +X80887923Y-118222590D01* +X80816693Y-118244197D01* +X80751047Y-118279286D01* +X80693507Y-118326507D01* +X80646286Y-118384047D01* +X80611197Y-118449693D01* +X80589590Y-118520923D01* +X80582294Y-118595000D01* +X80582294Y-118895000D01* +X80589590Y-118969077D01* +X80611197Y-119040307D01* +X80646286Y-119105953D01* +X80693507Y-119163493D01* +X80751047Y-119210714D01* +X80816693Y-119245803D01* +X80887923Y-119267410D01* +X80962000Y-119274706D01* +X82712000Y-119274706D01* +X82786077Y-119267410D01* +X82857307Y-119245803D01* +X82922953Y-119210714D01* +X82980493Y-119163493D01* +X83027714Y-119105953D01* +X83057729Y-119049800D01* +X87615042Y-119049800D01* +X87630000Y-119051273D01* +X87644958Y-119049800D01* +X87869041Y-119049800D01* +X87883999Y-119051273D01* +X87886023Y-119051074D01* +X88419892Y-119584944D01* +X88429432Y-119596568D01* +X88475843Y-119634658D01* +X88528794Y-119662960D01* +X88586249Y-119680389D01* +X88631034Y-119684800D01* +X88631042Y-119684800D01* +X88646000Y-119686273D01* +X88660958Y-119684800D01* +X89929848Y-119684800D01* +X89911197Y-119719693D01* +X89889590Y-119790923D01* +X89882294Y-119865000D01* +X89882294Y-120165000D01* +X89889590Y-120239077D01* +X89911197Y-120310307D01* +X89946286Y-120375953D01* +X89993507Y-120433493D01* +X90051047Y-120480714D01* +X90116693Y-120515803D01* +X90187923Y-120537410D01* +X90262000Y-120544706D01* +X92012000Y-120544706D01* +X92086077Y-120537410D01* +X92157307Y-120515803D01* +X92222953Y-120480714D01* +X92280493Y-120433493D01* +X92327714Y-120375953D01* +X92357729Y-120319800D01* +X92568042Y-120319800D01* +X92583000Y-120321273D01* +X92597958Y-120319800D01* +X92597966Y-120319800D01* +X92642751Y-120315389D01* +X92700206Y-120297960D01* +X92753157Y-120269658D01* +X92799568Y-120231568D01* +X92809112Y-120219939D01* +X93549944Y-119479108D01* +X93561568Y-119469568D01* +X93599658Y-119423157D01* +X93627960Y-119370206D01* +X93636344Y-119342568D01* +X93645389Y-119312752D01* +X93647023Y-119296156D01* +X93649800Y-119267966D01* +X93649800Y-119267958D01* +X93651273Y-119253000D01* +X93649800Y-119238042D01* +X93649800Y-118490251D01* +X93788752Y-118351300D01* +X93920567Y-118351300D01* +X93936843Y-118364658D01* +X93989794Y-118392960D01* +X94047249Y-118410389D01* +X94092034Y-118414800D01* +X94092042Y-118414800D01* +X94107000Y-118416273D01* +X94121958Y-118414800D01* +X94604848Y-118414800D01* +X94591271Y-118440200D01* +X94502957Y-118440200D01* +X94487999Y-118438727D01* +X94473041Y-118440200D01* +X94473034Y-118440200D01* +X94433889Y-118444055D01* +X94428248Y-118444611D01* +X94417591Y-118447844D01* +X94370794Y-118462040D01* +X94317843Y-118490342D01* +X94271432Y-118528432D01* +X94261892Y-118540056D01* +X93902061Y-118899888D01* +X93890432Y-118909432D01* +X93852342Y-118955844D01* +X93831207Y-118995386D01* +X93824040Y-119008795D01* +X93806611Y-119066249D01* +X93806271Y-119069706D01* +X93802200Y-119111035D01* +X93802200Y-119111042D01* +X93800727Y-119126000D01* +X93802200Y-119140958D01* +X93802200Y-119253748D01* +X93584561Y-119471388D01* +X93572932Y-119480932D01* +X93534842Y-119527344D01* +X93513658Y-119566978D01* +X93506540Y-119580295D01* +X93498710Y-119606108D01* +X93489111Y-119637750D01* +X93484700Y-119682535D01* +X93484700Y-119682542D01* +X93483227Y-119697500D01* +X93484700Y-119712458D01* +X93484701Y-121148983D01* +X85017485Y-129616200D01* +X80151957Y-129616200D01* +X80136999Y-129614727D01* +X80122041Y-129616200D01* +X80122034Y-129616200D01* +X80085331Y-129619815D01* +X80077248Y-129620611D01* +X80066591Y-129623844D01* +X80019794Y-129638040D01* +X79966843Y-129666342D01* +X79920432Y-129704432D01* +X79910892Y-129716056D01* +X78535061Y-131091888D01* +X78523432Y-131101432D01* +X78485342Y-131147844D01* +X78457041Y-131200794D01* +X78457040Y-131200795D01* +X78441813Y-131250992D01* +X78439611Y-131258250D01* +X78435200Y-131303035D01* +X78435200Y-131303042D01* +X78433727Y-131318000D01* +X78435200Y-131332958D01* +X78435200Y-131382294D01* +X78359000Y-131382294D01* +X78239857Y-131394029D01* +X78125293Y-131428781D01* +X78019710Y-131485217D01* +X78006815Y-131495800D01* +X76933185Y-131495800D01* +X76920290Y-131485217D01* +X76814707Y-131428781D01* +X76700143Y-131394029D01* +X76581000Y-131382294D01* +X75819000Y-131382294D01* +X75699857Y-131394029D01* +X75585293Y-131428781D01* +X75479710Y-131485217D01* +X75466815Y-131495800D01* +X70365544Y-131495800D01* +X69747951Y-131372281D01* +X69260140Y-131128376D01* +X68769624Y-130637860D01* +X68525719Y-130150049D01* +X68402200Y-129532456D01* +X68402200Y-129426617D01* +X69206800Y-129426617D01* +X69206800Y-129653383D01* +X69251040Y-129875793D01* +X69337820Y-130085298D01* +X69463805Y-130273847D01* +X69624153Y-130434195D01* +X69812702Y-130560180D01* +X70022207Y-130646960D01* +X70244617Y-130691200D01* +X70471383Y-130691200D01* +X70693793Y-130646960D01* +X70903298Y-130560180D01* +X71091847Y-130434195D01* +X71252195Y-130273847D01* +X71378180Y-130085298D01* +X71464960Y-129875793D01* +X71509200Y-129653383D01* +X71509200Y-129442434D01* +X75209400Y-129442434D01* +X75209400Y-129637566D01* +X75247468Y-129828947D01* +X75322142Y-130009225D01* +X75430551Y-130171471D01* +X75568529Y-130309449D01* +X75730775Y-130417858D01* +X75911053Y-130492532D01* +X76102434Y-130530600D01* +X76297566Y-130530600D01* +X76488947Y-130492532D01* +X76669225Y-130417858D01* +X76831471Y-130309449D01* +X76969449Y-130171471D01* +X77077858Y-130009225D01* +X77152532Y-129828947D01* +X77190600Y-129637566D01* +X77190600Y-129442434D01* +X77152532Y-129251053D01* +X77077858Y-129070775D01* +X76969449Y-128908529D01* +X76831471Y-128770551D01* +X76809600Y-128755937D01* +X76809600Y-128675315D01* +X76810542Y-128674542D01* +X76871754Y-128599955D01* +X76877609Y-128589000D01* +X77145294Y-128589000D01* +X77149708Y-128633813D01* +X77162779Y-128676905D01* +X77184006Y-128716618D01* +X77212573Y-128751427D01* +X77247382Y-128779994D01* +X77287095Y-128801221D01* +X77330187Y-128814292D01* +X77375000Y-128818706D01* +X77769050Y-128817600D01* +X77826200Y-128760450D01* +X77826200Y-127962800D01* +X77973800Y-127962800D01* +X77973800Y-128760450D01* +X78030950Y-128817600D01* +X78425000Y-128818706D01* +X78469813Y-128814292D01* +X78512905Y-128801221D01* +X78552618Y-128779994D01* +X78587427Y-128751427D01* +X78615994Y-128716618D01* +X78637221Y-128676905D01* +X78650292Y-128633813D01* +X78654706Y-128589000D01* +X78653600Y-128019950D01* +X78596450Y-127962800D01* +X77973800Y-127962800D01* +X77826200Y-127962800D01* +X77203550Y-127962800D01* +X77146400Y-128019950D01* +X77145294Y-128589000D01* +X76877609Y-128589000D01* +X76917239Y-128514859D01* +X76945248Y-128422525D01* +X76954706Y-128326500D01* +X76954706Y-127451500D01* +X76945248Y-127355475D01* +X76917239Y-127263141D01* +X76877610Y-127189000D01* +X77145294Y-127189000D01* +X77146400Y-127758050D01* +X77203550Y-127815200D01* +X77826200Y-127815200D01* +X77826200Y-127017550D01* +X77973800Y-127017550D01* +X77973800Y-127815200D01* +X78596450Y-127815200D01* +X78653600Y-127758050D01* +X78654706Y-127189000D01* +X78650292Y-127144187D01* +X78637221Y-127101095D01* +X78615994Y-127061382D01* +X78587427Y-127026573D01* +X78552618Y-126998006D01* +X78512905Y-126976779D01* +X78469813Y-126963708D01* +X78425000Y-126959294D01* +X78030950Y-126960400D01* +X77973800Y-127017550D01* +X77826200Y-127017550D01* +X77769050Y-126960400D01* +X77375000Y-126959294D01* +X77330187Y-126963708D01* +X77287095Y-126976779D01* +X77247382Y-126998006D01* +X77212573Y-127026573D01* +X77184006Y-127061382D01* +X77162779Y-127101095D01* +X77149708Y-127144187D01* +X77145294Y-127189000D01* +X76877610Y-127189000D01* +X76871754Y-127178045D01* +X76810542Y-127103458D01* +X76735955Y-127042246D01* +X76650859Y-126996761D01* +X76558525Y-126968752D01* +X76462500Y-126959294D01* +X75937500Y-126959294D01* +X75841475Y-126968752D01* +X75749141Y-126996761D01* +X75664045Y-127042246D01* +X75589458Y-127103458D01* +X75528246Y-127178045D01* +X75482761Y-127263141D01* +X75454752Y-127355475D01* +X75445294Y-127451500D01* +X75445294Y-128326500D01* +X75454752Y-128422525D01* +X75482761Y-128514859D01* +X75528246Y-128599955D01* +X75589458Y-128674542D01* +X75590400Y-128675315D01* +X75590401Y-128755937D01* +X75568529Y-128770551D01* +X75430551Y-128908529D01* +X75322142Y-129070775D01* +X75247468Y-129251053D01* +X75209400Y-129442434D01* +X71509200Y-129442434D01* +X71509200Y-129426617D01* +X71464960Y-129204207D01* +X71378180Y-128994702D01* +X71252195Y-128806153D01* +X71091847Y-128645805D01* +X70903298Y-128519820D01* +X70693793Y-128433040D01* +X70471383Y-128388800D01* +X70244617Y-128388800D01* +X70022207Y-128433040D01* +X69812702Y-128519820D01* +X69624153Y-128645805D01* +X69463805Y-128806153D01* +X69337820Y-128994702D01* +X69251040Y-129204207D01* +X69206800Y-129426617D01* +X68402200Y-129426617D01* +X68402200Y-119752500D01* +X77937294Y-119752500D01* +X77937294Y-120277500D01* +X77946752Y-120373525D01* +X77974761Y-120465859D01* +X78020246Y-120550955D01* +X78081458Y-120625542D01* +X78156045Y-120686754D01* +X78241141Y-120732239D01* +X78333475Y-120760248D01* +X78429500Y-120769706D01* +X79304500Y-120769706D01* +X79400525Y-120760248D01* +X79492859Y-120732239D01* +X79577955Y-120686754D01* +X79652542Y-120625542D01* +X79653315Y-120624600D01* +X80106566Y-120624600D01* +X80202088Y-120643600D01* +X80325912Y-120643600D01* +X80447356Y-120619444D01* +X80561754Y-120572059D01* +X80664709Y-120503266D01* +X80670375Y-120497600D01* +X80782638Y-120497600D01* +X80816693Y-120515803D01* +X80887923Y-120537410D01* +X80962000Y-120544706D01* +X81335561Y-120544706D01* +X81294441Y-120606246D01* +X81247056Y-120720644D01* +X81222900Y-120842088D01* +X81222900Y-120965912D01* +X81247056Y-121087356D01* +X81294441Y-121201754D01* +X81363234Y-121304709D01* +X81450791Y-121392266D01* +X81553746Y-121461059D01* +X81668144Y-121508444D01* +X81789588Y-121532600D01* +X81913412Y-121532600D01* +X82034856Y-121508444D01* +X82149254Y-121461059D01* +X82252209Y-121392266D01* +X82339766Y-121304709D01* +X82408559Y-121201754D01* +X82455944Y-121087356D01* +X82480100Y-120965912D01* +X82480100Y-120842088D01* +X82455944Y-120720644D01* +X82408559Y-120606246D01* +X82367439Y-120544706D01* +X82712000Y-120544706D01* +X82786077Y-120537410D01* +X82857307Y-120515803D01* +X82891362Y-120497600D01* +X83032625Y-120497600D01* +X83038291Y-120503266D01* +X83141246Y-120572059D01* +X83255644Y-120619444D01* +X83377088Y-120643600D01* +X83500912Y-120643600D01* +X83622356Y-120619444D01* +X83736754Y-120572059D01* +X83839709Y-120503266D01* +X83927266Y-120415709D01* +X83996059Y-120312754D01* +X84043444Y-120198356D01* +X84067600Y-120076912D01* +X84067600Y-119953088D01* +X84043444Y-119831644D01* +X83996059Y-119717246D01* +X83927266Y-119614291D01* +X83839709Y-119526734D01* +X83736754Y-119457941D01* +X83622356Y-119410556D01* +X83500912Y-119386400D01* +X83377088Y-119386400D01* +X83255644Y-119410556D01* +X83141246Y-119457941D01* +X83038291Y-119526734D01* +X83032625Y-119532400D01* +X82891362Y-119532400D01* +X82857307Y-119514197D01* +X82786077Y-119492590D01* +X82712000Y-119485294D01* +X80962000Y-119485294D01* +X80887923Y-119492590D01* +X80816693Y-119514197D01* +X80782638Y-119532400D01* +X80670375Y-119532400D01* +X80664709Y-119526734D01* +X80561754Y-119457941D01* +X80447356Y-119410556D01* +X80325912Y-119386400D01* +X80202088Y-119386400D01* +X80106566Y-119405400D01* +X79653315Y-119405400D01* +X79652542Y-119404458D01* +X79577955Y-119343246D01* +X79492859Y-119297761D01* +X79400525Y-119269752D01* +X79304500Y-119260294D01* +X78429500Y-119260294D01* +X78333475Y-119269752D01* +X78241141Y-119297761D01* +X78156045Y-119343246D01* +X78081458Y-119404458D01* +X78020246Y-119479045D01* +X77974761Y-119564141D01* +X77946752Y-119656475D01* +X77937294Y-119752500D01* +X68402200Y-119752500D01* +X68402200Y-118840000D01* +X77937294Y-118840000D01* +X77941708Y-118884813D01* +X77954779Y-118927905D01* +X77976006Y-118967618D01* +X78004573Y-119002427D01* +X78039382Y-119030994D01* +X78079095Y-119052221D01* +X78122187Y-119065292D01* +X78167000Y-119069706D01* +X78736050Y-119068600D01* +X78793200Y-119011450D01* +X78793200Y-118388800D01* +X78940800Y-118388800D01* +X78940800Y-119011450D01* +X78997950Y-119068600D01* +X79567000Y-119069706D01* +X79611813Y-119065292D01* +X79654905Y-119052221D01* +X79694618Y-119030994D01* +X79729427Y-119002427D01* +X79757994Y-118967618D01* +X79779221Y-118927905D01* +X79792292Y-118884813D01* +X79796706Y-118840000D01* +X79795600Y-118445950D01* +X79738450Y-118388800D01* +X78940800Y-118388800D01* +X78793200Y-118388800D01* +X77995550Y-118388800D01* +X77938400Y-118445950D01* +X77937294Y-118840000D01* +X68402200Y-118840000D01* +X68402200Y-117790000D01* +X77937294Y-117790000D01* +X77938400Y-118184050D01* +X77995550Y-118241200D01* +X78793200Y-118241200D01* +X78793200Y-117618550D01* +X78940800Y-117618550D01* +X78940800Y-118241200D01* +X79738450Y-118241200D01* +X79795600Y-118184050D01* +X79796706Y-117790000D01* +X79792292Y-117745187D01* +X79779221Y-117702095D01* +X79757994Y-117662382D01* +X79729427Y-117627573D01* +X79694618Y-117599006D01* +X79654905Y-117577779D01* +X79611813Y-117564708D01* +X79567000Y-117560294D01* +X78997950Y-117561400D01* +X78940800Y-117618550D01* +X78793200Y-117618550D01* +X78736050Y-117561400D01* +X78167000Y-117560294D01* +X78122187Y-117564708D01* +X78079095Y-117577779D01* +X78039382Y-117599006D01* +X78004573Y-117627573D01* +X77976006Y-117662382D01* +X77954779Y-117702095D01* +X77941708Y-117745187D01* +X77937294Y-117790000D01* +X68402200Y-117790000D01* +X68402200Y-117422937D01* +X79735400Y-117422937D01* +X79735400Y-117527063D01* +X79755713Y-117629187D01* +X79795560Y-117725386D01* +X79853409Y-117811963D01* +X79927037Y-117885591D01* +X80013614Y-117943440D01* +X80109813Y-117983287D01* +X80211937Y-118003600D01* +X80316063Y-118003600D01* +X80418187Y-117983287D01* +X80514386Y-117943440D01* +X80600963Y-117885591D01* +X80648231Y-117838323D01* +X80693507Y-117893493D01* +X80751047Y-117940714D01* +X80816693Y-117975803D01* +X80887923Y-117997410D01* +X80962000Y-118004706D01* +X82712000Y-118004706D01* +X82786077Y-117997410D01* +X82857307Y-117975803D01* +X82922953Y-117940714D01* +X82980493Y-117893493D01* +X83027714Y-117835953D01* +X83062803Y-117770307D01* +X83084410Y-117699077D01* +X83091706Y-117625000D01* +X83091706Y-117325000D01* +X83084410Y-117250923D01* +X83062803Y-117179693D01* +X83027714Y-117114047D01* +X82980493Y-117056507D01* +X82922953Y-117009286D01* +X82857307Y-116974197D01* +X82786077Y-116952590D01* +X82712000Y-116945294D01* +X80962000Y-116945294D01* +X80887923Y-116952590D01* +X80816693Y-116974197D01* +X80751047Y-117009286D01* +X80693507Y-117056507D01* +X80648231Y-117111677D01* +X80600963Y-117064409D01* +X80514386Y-117006560D01* +X80418187Y-116966713D01* +X80316063Y-116946400D01* +X80211937Y-116946400D01* +X80109813Y-116966713D01* +X80013614Y-117006560D01* +X79927037Y-117064409D01* +X79853409Y-117138037D01* +X79795560Y-117224614D01* +X79755713Y-117320813D01* +X79735400Y-117422937D01* +X68402200Y-117422937D01* +X68402200Y-115196544D01* +X68426385Y-115075617D01* +X69206800Y-115075617D01* +X69206800Y-115302383D01* +X69251040Y-115524793D01* +X69337820Y-115734298D01* +X69463805Y-115922847D01* +X69624153Y-116083195D01* +X69812702Y-116209180D01* +X70022207Y-116295960D01* +X70244617Y-116340200D01* +X70471383Y-116340200D01* +X70693793Y-116295960D01* +X70903298Y-116209180D01* +X70987471Y-116152937D01* +X79735400Y-116152937D01* +X79735400Y-116257063D01* +X79755713Y-116359187D01* +X79795560Y-116455386D01* +X79853409Y-116541963D01* +X79927037Y-116615591D01* +X80013614Y-116673440D01* +X80109813Y-116713287D01* +X80211937Y-116733600D01* +X80316063Y-116733600D01* +X80418187Y-116713287D01* +X80514386Y-116673440D01* +X80600963Y-116615591D01* +X80648231Y-116568323D01* +X80693507Y-116623493D01* +X80751047Y-116670714D01* +X80816693Y-116705803D01* +X80887923Y-116727410D01* +X80962000Y-116734706D01* +X82712000Y-116734706D01* +X82786077Y-116727410D01* +X82857307Y-116705803D01* +X82922953Y-116670714D01* +X82980493Y-116623493D01* +X83027714Y-116565953D01* +X83062803Y-116500307D01* +X83084410Y-116429077D01* +X83091706Y-116355000D01* +X83091706Y-116055000D01* +X83084410Y-115980923D01* +X83062803Y-115909693D01* +X83027714Y-115844047D01* +X82980493Y-115786507D01* +X82922953Y-115739286D01* +X82857307Y-115704197D01* +X82786077Y-115682590D01* +X82712000Y-115675294D01* +X80962000Y-115675294D01* +X80887923Y-115682590D01* +X80816693Y-115704197D01* +X80751047Y-115739286D01* +X80693507Y-115786507D01* +X80648231Y-115841677D01* +X80600963Y-115794409D01* +X80514386Y-115736560D01* +X80418187Y-115696713D01* +X80316063Y-115676400D01* +X80211937Y-115676400D01* +X80109813Y-115696713D01* +X80013614Y-115736560D01* +X79927037Y-115794409D01* +X79853409Y-115868037D01* +X79795560Y-115954614D01* +X79755713Y-116050813D01* +X79735400Y-116152937D01* +X70987471Y-116152937D01* +X71091847Y-116083195D01* +X71252195Y-115922847D01* +X71378180Y-115734298D01* +X71464960Y-115524793D01* +X71509200Y-115302383D01* +X71509200Y-115075617D01* +X71470874Y-114882937D01* +X79735400Y-114882937D01* +X79735400Y-114987063D01* +X79755713Y-115089187D01* +X79795560Y-115185386D01* +X79853409Y-115271963D01* +X79927037Y-115345591D01* +X80013614Y-115403440D01* +X80109813Y-115443287D01* +X80211937Y-115463600D01* +X80316063Y-115463600D01* +X80418187Y-115443287D01* +X80514386Y-115403440D01* +X80600963Y-115345591D01* +X80648231Y-115298323D01* +X80693507Y-115353493D01* +X80751047Y-115400714D01* +X80816693Y-115435803D01* +X80887923Y-115457410D01* +X80962000Y-115464706D01* +X82712000Y-115464706D01* +X82786077Y-115457410D01* +X82857307Y-115435803D01* +X82922953Y-115400714D01* +X82980493Y-115353493D01* +X83027714Y-115295953D01* +X83062803Y-115230307D01* +X83084410Y-115159077D01* +X83091706Y-115085000D01* +X83091706Y-114785000D01* +X83084410Y-114710923D01* +X83062803Y-114639693D01* +X83027714Y-114574047D01* +X82980493Y-114516507D01* +X82922953Y-114469286D01* +X82857307Y-114434197D01* +X82786077Y-114412590D01* +X82712000Y-114405294D01* +X80962000Y-114405294D01* +X80887923Y-114412590D01* +X80816693Y-114434197D01* +X80751047Y-114469286D01* +X80693507Y-114516507D01* +X80648231Y-114571677D01* +X80600963Y-114524409D01* +X80514386Y-114466560D01* +X80418187Y-114426713D01* +X80316063Y-114406400D01* +X80211937Y-114406400D01* +X80109813Y-114426713D01* +X80013614Y-114466560D01* +X79927037Y-114524409D01* +X79853409Y-114598037D01* +X79795560Y-114684614D01* +X79755713Y-114780813D01* +X79735400Y-114882937D01* +X71470874Y-114882937D01* +X71464960Y-114853207D01* +X71378180Y-114643702D01* +X71252195Y-114455153D01* +X71091847Y-114294805D01* +X70903298Y-114168820D01* +X70693793Y-114082040D01* +X70471383Y-114037800D01* +X70244617Y-114037800D01* +X70022207Y-114082040D01* +X69812702Y-114168820D01* +X69624153Y-114294805D01* +X69463805Y-114455153D01* +X69337820Y-114643702D01* +X69251040Y-114853207D01* +X69206800Y-115075617D01* +X68426385Y-115075617D01* +X68525719Y-114578951D01* +X68769624Y-114091140D01* +X69247827Y-113612937D01* +X79735400Y-113612937D01* +X79735400Y-113717063D01* +X79755713Y-113819187D01* +X79795560Y-113915386D01* +X79853409Y-114001963D01* +X79927037Y-114075591D01* +X80013614Y-114133440D01* +X80109813Y-114173287D01* +X80211937Y-114193600D01* +X80316063Y-114193600D01* +X80418187Y-114173287D01* +X80514386Y-114133440D01* +X80600963Y-114075591D01* +X80648231Y-114028323D01* +X80693507Y-114083493D01* +X80751047Y-114130714D01* +X80816693Y-114165803D01* +X80887923Y-114187410D01* +X80962000Y-114194706D01* +X82712000Y-114194706D01* +X82786077Y-114187410D01* +X82857307Y-114165803D01* +X82922953Y-114130714D01* +X82980493Y-114083493D01* +X83027714Y-114025953D01* +X83062803Y-113960307D01* +X83084410Y-113889077D01* +X83091706Y-113815000D01* +X83091706Y-113515000D01* +X83084410Y-113440923D01* +X83062803Y-113369693D01* +X83027714Y-113304047D01* +X82980493Y-113246507D01* +X82922953Y-113199286D01* +X82857307Y-113164197D01* +X82786077Y-113142590D01* +X82712000Y-113135294D01* +X80962000Y-113135294D01* +X80887923Y-113142590D01* +X80816693Y-113164197D01* +X80751047Y-113199286D01* +X80693507Y-113246507D01* +X80648231Y-113301677D01* +X80600963Y-113254409D01* +X80514386Y-113196560D01* +X80418187Y-113156713D01* +X80316063Y-113136400D01* +X80211937Y-113136400D01* +X80109813Y-113156713D01* +X80013614Y-113196560D01* +X79927037Y-113254409D01* +X79853409Y-113328037D01* +X79795560Y-113414614D01* +X79755713Y-113510813D01* +X79735400Y-113612937D01* +X69247827Y-113612937D01* +X70517827Y-112342937D01* +X79735400Y-112342937D01* +X79735400Y-112447063D01* +X79755713Y-112549187D01* +X79795560Y-112645386D01* +X79853409Y-112731963D01* +X79927037Y-112805591D01* +X80013614Y-112863440D01* +X80109813Y-112903287D01* +X80211937Y-112923600D01* +X80316063Y-112923600D01* +X80418187Y-112903287D01* +X80514386Y-112863440D01* +X80600963Y-112805591D01* +X80648231Y-112758323D01* +X80693507Y-112813493D01* +X80751047Y-112860714D01* +X80816693Y-112895803D01* +X80887923Y-112917410D01* +X80962000Y-112924706D01* +X82712000Y-112924706D01* +X82786077Y-112917410D01* +X82857307Y-112895803D01* +X82922953Y-112860714D01* +X82980493Y-112813493D01* +X83027714Y-112755953D01* +X83062803Y-112690307D01* +X83084410Y-112619077D01* +X83091706Y-112545000D01* +X83091706Y-112245000D01* +X83084410Y-112170923D01* +X83062803Y-112099693D01* +X83027714Y-112034047D01* +X82980493Y-111976507D01* +X82922953Y-111929286D01* +X82857307Y-111894197D01* +X82786077Y-111872590D01* +X82712000Y-111865294D01* +X80962000Y-111865294D01* +X80887923Y-111872590D01* +X80816693Y-111894197D01* +X80751047Y-111929286D01* +X80693507Y-111976507D01* +X80648231Y-112031677D01* +X80600963Y-111984409D01* +X80514386Y-111926560D01* +X80418187Y-111886713D01* +X80316063Y-111866400D01* +X80211937Y-111866400D01* +X80109813Y-111886713D01* +X80013614Y-111926560D01* +X79927037Y-111984409D01* +X79853409Y-112058037D01* +X79795560Y-112144614D01* +X79755713Y-112240813D01* +X79735400Y-112342937D01* +X70517827Y-112342937D01* +X71787827Y-111072937D01* +X79735400Y-111072937D01* +X79735400Y-111177063D01* +X79755713Y-111279187D01* +X79795560Y-111375386D01* +X79853409Y-111461963D01* +X79927037Y-111535591D01* +X80013614Y-111593440D01* +X80109813Y-111633287D01* +X80211937Y-111653600D01* +X80316063Y-111653600D01* +X80418187Y-111633287D01* +X80514386Y-111593440D01* +X80600963Y-111535591D01* +X80648231Y-111488323D01* +X80693507Y-111543493D01* +X80751047Y-111590714D01* +X80816693Y-111625803D01* +X80887923Y-111647410D01* +X80962000Y-111654706D01* +X82712000Y-111654706D01* +X82786077Y-111647410D01* +X82857307Y-111625803D01* +X82922953Y-111590714D01* +X82980493Y-111543493D01* +X83027714Y-111485953D01* +X83062803Y-111420307D01* +X83084410Y-111349077D01* +X83091706Y-111275000D01* +X83091706Y-110975000D01* +X83084410Y-110900923D01* +X83062803Y-110829693D01* +X83027714Y-110764047D01* +X82980493Y-110706507D01* +X82922953Y-110659286D01* +X82857307Y-110624197D01* +X82786077Y-110602590D01* +X82712000Y-110595294D01* +X80962000Y-110595294D01* +X80887923Y-110602590D01* +X80816693Y-110624197D01* +X80751047Y-110659286D01* +X80693507Y-110706507D01* +X80648231Y-110761677D01* +X80600963Y-110714409D01* +X80514386Y-110656560D01* +X80418187Y-110616713D01* +X80316063Y-110596400D01* +X80211937Y-110596400D01* +X80109813Y-110616713D01* +X80013614Y-110656560D01* +X79927037Y-110714409D01* +X79853409Y-110788037D01* +X79795560Y-110874614D01* +X79755713Y-110970813D01* +X79735400Y-111072937D01* +X71787827Y-111072937D01* +X73057827Y-109802937D01* +X79735400Y-109802937D01* +X79735400Y-109907063D01* +X79755713Y-110009187D01* +X79795560Y-110105386D01* +X79853409Y-110191963D01* +X79927037Y-110265591D01* +X80013614Y-110323440D01* +X80109813Y-110363287D01* +X80211937Y-110383600D01* +X80316063Y-110383600D01* +X80418187Y-110363287D01* +X80514386Y-110323440D01* +X80600963Y-110265591D01* +X80648231Y-110218323D01* +X80693507Y-110273493D01* +X80751047Y-110320714D01* +X80816693Y-110355803D01* +X80887923Y-110377410D01* +X80962000Y-110384706D01* +X82712000Y-110384706D01* +X82786077Y-110377410D01* +X82857307Y-110355803D01* +X82922953Y-110320714D01* +X82980493Y-110273493D01* +X83027714Y-110215953D01* +X83062803Y-110150307D01* +X83084410Y-110079077D01* +X83091706Y-110005000D01* +X83091706Y-109705000D01* +X83084410Y-109630923D01* +X83062803Y-109559693D01* +X83027714Y-109494047D01* +X82980493Y-109436507D01* +X82922953Y-109389286D01* +X82857307Y-109354197D01* +X82786077Y-109332590D01* +X82712000Y-109325294D01* +X80962000Y-109325294D01* +X80887923Y-109332590D01* +X80816693Y-109354197D01* +X80751047Y-109389286D01* +X80693507Y-109436507D01* +X80648231Y-109491677D01* +X80600963Y-109444409D01* +X80514386Y-109386560D01* +X80418187Y-109346713D01* +X80316063Y-109326400D01* +X80211937Y-109326400D01* +X80109813Y-109346713D01* +X80013614Y-109386560D01* +X79927037Y-109444409D01* +X79853409Y-109518037D01* +X79795560Y-109604614D01* +X79755713Y-109700813D01* +X79735400Y-109802937D01* +X73057827Y-109802937D01* +X74327827Y-108532937D01* +X79735400Y-108532937D01* +X79735400Y-108637063D01* +X79755713Y-108739187D01* +X79795560Y-108835386D01* +X79853409Y-108921963D01* +X79927037Y-108995591D01* +X80013614Y-109053440D01* +X80109813Y-109093287D01* +X80211937Y-109113600D01* +X80316063Y-109113600D01* +X80418187Y-109093287D01* +X80514386Y-109053440D01* +X80600963Y-108995591D01* +X80648231Y-108948323D01* +X80693507Y-109003493D01* +X80751047Y-109050714D01* +X80816693Y-109085803D01* +X80887923Y-109107410D01* +X80962000Y-109114706D01* +X82712000Y-109114706D01* +X82786077Y-109107410D01* +X82857307Y-109085803D01* +X82922953Y-109050714D01* +X82980493Y-109003493D01* +X83027714Y-108945953D01* +X83062803Y-108880307D01* +X83084410Y-108809077D01* +X83091706Y-108735000D01* +X83091706Y-108435000D01* +X83084410Y-108360923D01* +X83062803Y-108289693D01* +X83027714Y-108224047D01* +X82980493Y-108166507D01* +X82922953Y-108119286D01* +X82857307Y-108084197D01* +X82786077Y-108062590D01* +X82712000Y-108055294D01* +X80962000Y-108055294D01* +X80887923Y-108062590D01* +X80816693Y-108084197D01* +X80751047Y-108119286D01* +X80693507Y-108166507D01* +X80648231Y-108221677D01* +X80600963Y-108174409D01* +X80514386Y-108116560D01* +X80418187Y-108076713D01* +X80316063Y-108056400D01* +X80211937Y-108056400D01* +X80109813Y-108076713D01* +X80013614Y-108116560D01* +X79927037Y-108174409D01* +X79853409Y-108248037D01* +X79795560Y-108334614D01* +X79755713Y-108430813D01* +X79735400Y-108532937D01* +X74327827Y-108532937D01* +X76421147Y-106439617D01* +X77842800Y-106439617D01* +X77842800Y-106666383D01* +X77887040Y-106888793D01* +X77973820Y-107098298D01* +X78099805Y-107286847D01* +X78260153Y-107447195D01* +X78448702Y-107573180D01* +X78658207Y-107659960D01* +X78880617Y-107704200D01* +X79107383Y-107704200D01* +X79329793Y-107659960D01* +X79539298Y-107573180D01* +X79727847Y-107447195D01* +X79888195Y-107286847D01* +X80014180Y-107098298D01* +X80100960Y-106888793D01* +X80145200Y-106666383D01* +X80145200Y-106439617D01* +X135754800Y-106439617D01* +X135754800Y-106666383D01* +X135799040Y-106888793D01* +X135885820Y-107098298D01* +X136011805Y-107286847D01* +X136172153Y-107447195D01* +X136360702Y-107573180D01* +X136570207Y-107659960D01* +X136792617Y-107704200D01* +X137019383Y-107704200D01* +X137241793Y-107659960D01* +X137451298Y-107573180D01* +X137639847Y-107447195D01* +X137800195Y-107286847D01* +X137926180Y-107098298D01* +X138012960Y-106888793D01* +X138057200Y-106666383D01* +X138057200Y-106439617D01* +X138012960Y-106217207D01* +X137926180Y-106007702D01* +X137800195Y-105819153D01* +X137639847Y-105658805D01* +X137451298Y-105532820D01* +X137241793Y-105446040D01* +X137019383Y-105401800D01* +X136792617Y-105401800D01* +X136570207Y-105446040D01* +X136360702Y-105532820D01* +X136172153Y-105658805D01* +X136011805Y-105819153D01* +X135885820Y-106007702D01* +X135799040Y-106217207D01* +X135754800Y-106439617D01* +X80145200Y-106439617D01* +X80100960Y-106217207D01* +X80014180Y-106007702D01* +X79888195Y-105819153D01* +X79727847Y-105658805D01* +X79539298Y-105532820D01* +X79329793Y-105446040D01* +X79107383Y-105401800D01* +X78880617Y-105401800D01* +X78658207Y-105446040D01* +X78448702Y-105532820D01* +X78260153Y-105658805D01* +X78099805Y-105819153D01* +X77973820Y-106007702D01* +X77887040Y-106217207D01* +X77842800Y-106439617D01* +X76421147Y-106439617D01* +X77896140Y-104964624D01* +X78383951Y-104720719D01* +X79001544Y-104597200D01* +X136898456Y-104597200D01* +X137513112Y-104720131D01* +G36* +X81075057Y-131091892D02* +G01* +X81063433Y-131101432D01* +X81025343Y-131147843D01* +X81023082Y-131152074D01* +X80997040Y-131200795D01* +X80979611Y-131258249D01* +X80973727Y-131318000D01* +X80975201Y-131332965D01* +X80975201Y-131382294D01* +X80899000Y-131382294D01* +X80779857Y-131394029D01* +X80665293Y-131428781D01* +X80559710Y-131485217D01* +X80546815Y-131495800D01* +X79473185Y-131495800D01* +X79460290Y-131485217D01* +X79354707Y-131428781D01* +X79240143Y-131394029D01* +X79121000Y-131382294D01* +X79106757Y-131382294D01* +X80263252Y-130225800D01* +X81941148Y-130225800D01* +X81075057Y-131091892D01* +G37* +X81075057Y-131091892D02* +X81063433Y-131101432D01* +X81025343Y-131147843D01* +X81023082Y-131152074D01* +X80997040Y-131200795D01* +X80979611Y-131258249D01* +X80973727Y-131318000D01* +X80975201Y-131332965D01* +X80975201Y-131382294D01* +X80899000Y-131382294D01* +X80779857Y-131394029D01* +X80665293Y-131428781D01* +X80559710Y-131485217D01* +X80546815Y-131495800D01* +X79473185Y-131495800D01* +X79460290Y-131485217D01* +X79354707Y-131428781D01* +X79240143Y-131394029D01* +X79121000Y-131382294D01* +X79106757Y-131382294D01* +X80263252Y-130225800D01* +X81941148Y-130225800D01* +X81075057Y-131091892D01* +G36* +X83615061Y-130964888D02* +G01* +X83603432Y-130974432D01* +X83565342Y-131020844D01* +X83548867Y-131051668D01* +X83537040Y-131073795D01* +X83519612Y-131131248D01* +X83519611Y-131131250D01* +X83515200Y-131176035D01* +X83515200Y-131176042D01* +X83513727Y-131191000D01* +X83515200Y-131205958D01* +X83515200Y-131382294D01* +X83439000Y-131382294D01* +X83319857Y-131394029D01* +X83205293Y-131428781D01* +X83099710Y-131485217D01* +X83086815Y-131495800D01* +X82013185Y-131495800D01* +X82000290Y-131485217D01* +X81894707Y-131428781D01* +X81780143Y-131394029D01* +X81661000Y-131382294D01* +X81646757Y-131382294D01* +X82498452Y-130530600D01* +X84049348Y-130530600D01* +X83615061Y-130964888D01* +G37* +X83615061Y-130964888D02* +X83603432Y-130974432D01* +X83565342Y-131020844D01* +X83548867Y-131051668D01* +X83537040Y-131073795D01* +X83519612Y-131131248D01* +X83519611Y-131131250D01* +X83515200Y-131176035D01* +X83515200Y-131176042D01* +X83513727Y-131191000D01* +X83515200Y-131205958D01* +X83515200Y-131382294D01* +X83439000Y-131382294D01* +X83319857Y-131394029D01* +X83205293Y-131428781D01* +X83099710Y-131485217D01* +X83086815Y-131495800D01* +X82013185Y-131495800D01* +X82000290Y-131485217D01* +X81894707Y-131428781D01* +X81780143Y-131394029D01* +X81661000Y-131382294D01* +X81646757Y-131382294D01* +X82498452Y-130530600D01* +X84049348Y-130530600D01* +X83615061Y-130964888D01* +G36* +X88595200Y-131382294D02* +G01* +X88519000Y-131382294D01* +X88399857Y-131394029D01* +X88285293Y-131428781D01* +X88179710Y-131485217D01* +X88166815Y-131495800D01* +X87093185Y-131495800D01* +X87080290Y-131485217D01* +X86974707Y-131428781D01* +X86860143Y-131394029D01* +X86741000Y-131382294D01* +X86664800Y-131382294D01* +X86664800Y-130124185D01* +X88595200Y-128193785D01* +X88595200Y-131382294D01* +G37* +X88595200Y-131382294D02* +X88519000Y-131382294D01* +X88399857Y-131394029D01* +X88285293Y-131428781D01* +X88179710Y-131485217D01* +X88166815Y-131495800D01* +X87093185Y-131495800D01* +X87080290Y-131485217D01* +X86974707Y-131428781D01* +X86860143Y-131394029D01* +X86741000Y-131382294D01* +X86664800Y-131382294D01* +X86664800Y-130124185D01* +X88595200Y-128193785D01* +X88595200Y-131382294D01* +M02* diff --git a/gerber/Voyager128-F_Mask.gts b/gerber/Voyager128-F_Mask.gts new file mode 100644 index 0000000..fbd84e0 --- /dev/null +++ b/gerber/Voyager128-F_Mask.gts @@ -0,0 +1,5786 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Soldermask,Top* +G04 #@! TF.FilePolarity,Negative* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +G04 APERTURE END LIST* +D10* +G36* +X139700000Y-139446000D02* +G01* +X139192000Y-139954000D01* +X74168000Y-139954000D01* +X73660000Y-139446000D01* +X73660000Y-132080000D01* +X139700000Y-132080000D01* +X139700000Y-139446000D01* +G37* +G36* +X137686355Y-131543544D02* +G01* +X137758967Y-131565570D01* +X137825881Y-131601336D01* +X137884531Y-131649469D01* +X137932664Y-131708119D01* +X137968430Y-131775033D01* +X137990456Y-131847645D01* +X137998200Y-131926267D01* +X137998200Y-138637733D01* +X137990456Y-138716355D01* +X137968430Y-138788967D01* +X137932664Y-138855881D01* +X137884531Y-138914531D01* +X137825881Y-138962664D01* +X137758967Y-138998430D01* +X137686355Y-139020456D01* +X137607733Y-139028200D01* +X136712267Y-139028200D01* +X136633645Y-139020456D01* +X136561033Y-138998430D01* +X136494119Y-138962664D01* +X136435469Y-138914531D01* +X136387336Y-138855881D01* +X136351570Y-138788967D01* +X136329544Y-138716355D01* +X136321800Y-138637733D01* +X136321800Y-131926267D01* +X136329544Y-131847645D01* +X136351570Y-131775033D01* +X136387336Y-131708119D01* +X136435469Y-131649469D01* +X136494119Y-131601336D01* +X136561033Y-131565570D01* +X136633645Y-131543544D01* +X136712267Y-131535800D01* +X137607733Y-131535800D01* +X137686355Y-131543544D01* +G37* +G36* +X135146355Y-131543544D02* +G01* +X135218967Y-131565570D01* +X135285881Y-131601336D01* +X135344531Y-131649469D01* +X135392664Y-131708119D01* +X135428430Y-131775033D01* +X135450456Y-131847645D01* +X135458200Y-131926267D01* +X135458200Y-138637733D01* +X135450456Y-138716355D01* +X135428430Y-138788967D01* +X135392664Y-138855881D01* +X135344531Y-138914531D01* +X135285881Y-138962664D01* +X135218967Y-138998430D01* +X135146355Y-139020456D01* +X135067733Y-139028200D01* +X134172267Y-139028200D01* +X134093645Y-139020456D01* +X134021033Y-138998430D01* +X133954119Y-138962664D01* +X133895469Y-138914531D01* +X133847336Y-138855881D01* +X133811570Y-138788967D01* +X133789544Y-138716355D01* +X133781800Y-138637733D01* +X133781800Y-131926267D01* +X133789544Y-131847645D01* +X133811570Y-131775033D01* +X133847336Y-131708119D01* +X133895469Y-131649469D01* +X133954119Y-131601336D01* +X134021033Y-131565570D01* +X134093645Y-131543544D01* +X134172267Y-131535800D01* +X135067733Y-131535800D01* +X135146355Y-131543544D01* +G37* +G36* +X132606355Y-131543544D02* +G01* +X132678967Y-131565570D01* +X132745881Y-131601336D01* +X132804531Y-131649469D01* +X132852664Y-131708119D01* +X132888430Y-131775033D01* +X132910456Y-131847645D01* +X132918200Y-131926267D01* +X132918200Y-138637733D01* +X132910456Y-138716355D01* +X132888430Y-138788967D01* +X132852664Y-138855881D01* +X132804531Y-138914531D01* +X132745881Y-138962664D01* +X132678967Y-138998430D01* +X132606355Y-139020456D01* +X132527733Y-139028200D01* +X131632267Y-139028200D01* +X131553645Y-139020456D01* +X131481033Y-138998430D01* +X131414119Y-138962664D01* +X131355469Y-138914531D01* +X131307336Y-138855881D01* +X131271570Y-138788967D01* +X131249544Y-138716355D01* +X131241800Y-138637733D01* +X131241800Y-131926267D01* +X131249544Y-131847645D01* +X131271570Y-131775033D01* +X131307336Y-131708119D01* +X131355469Y-131649469D01* +X131414119Y-131601336D01* +X131481033Y-131565570D01* +X131553645Y-131543544D01* +X131632267Y-131535800D01* +X132527733Y-131535800D01* +X132606355Y-131543544D01* +G37* +G36* +X130066355Y-131543544D02* +G01* +X130138967Y-131565570D01* +X130205881Y-131601336D01* +X130264531Y-131649469D01* +X130312664Y-131708119D01* +X130348430Y-131775033D01* +X130370456Y-131847645D01* +X130378200Y-131926267D01* +X130378200Y-138637733D01* +X130370456Y-138716355D01* +X130348430Y-138788967D01* +X130312664Y-138855881D01* +X130264531Y-138914531D01* +X130205881Y-138962664D01* +X130138967Y-138998430D01* +X130066355Y-139020456D01* +X129987733Y-139028200D01* +X129092267Y-139028200D01* +X129013645Y-139020456D01* +X128941033Y-138998430D01* +X128874119Y-138962664D01* +X128815469Y-138914531D01* +X128767336Y-138855881D01* +X128731570Y-138788967D01* +X128709544Y-138716355D01* +X128701800Y-138637733D01* +X128701800Y-131926267D01* +X128709544Y-131847645D01* +X128731570Y-131775033D01* +X128767336Y-131708119D01* +X128815469Y-131649469D01* +X128874119Y-131601336D01* +X128941033Y-131565570D01* +X129013645Y-131543544D01* +X129092267Y-131535800D01* +X129987733Y-131535800D01* +X130066355Y-131543544D01* +G37* +G36* +X127526355Y-131543544D02* +G01* +X127598967Y-131565570D01* +X127665881Y-131601336D01* +X127724531Y-131649469D01* +X127772664Y-131708119D01* +X127808430Y-131775033D01* +X127830456Y-131847645D01* +X127838200Y-131926267D01* +X127838200Y-138637733D01* +X127830456Y-138716355D01* +X127808430Y-138788967D01* +X127772664Y-138855881D01* +X127724531Y-138914531D01* +X127665881Y-138962664D01* +X127598967Y-138998430D01* +X127526355Y-139020456D01* +X127447733Y-139028200D01* +X126552267Y-139028200D01* +X126473645Y-139020456D01* +X126401033Y-138998430D01* +X126334119Y-138962664D01* +X126275469Y-138914531D01* +X126227336Y-138855881D01* +X126191570Y-138788967D01* +X126169544Y-138716355D01* +X126161800Y-138637733D01* +X126161800Y-131926267D01* +X126169544Y-131847645D01* +X126191570Y-131775033D01* +X126227336Y-131708119D01* +X126275469Y-131649469D01* +X126334119Y-131601336D01* +X126401033Y-131565570D01* +X126473645Y-131543544D01* +X126552267Y-131535800D01* +X127447733Y-131535800D01* +X127526355Y-131543544D01* +G37* +G36* +X124986355Y-131543544D02* +G01* +X125058967Y-131565570D01* +X125125881Y-131601336D01* +X125184531Y-131649469D01* +X125232664Y-131708119D01* +X125268430Y-131775033D01* +X125290456Y-131847645D01* +X125298200Y-131926267D01* +X125298200Y-138637733D01* +X125290456Y-138716355D01* +X125268430Y-138788967D01* +X125232664Y-138855881D01* +X125184531Y-138914531D01* +X125125881Y-138962664D01* +X125058967Y-138998430D01* +X124986355Y-139020456D01* +X124907733Y-139028200D01* +X124012267Y-139028200D01* +X123933645Y-139020456D01* +X123861033Y-138998430D01* +X123794119Y-138962664D01* +X123735469Y-138914531D01* +X123687336Y-138855881D01* +X123651570Y-138788967D01* +X123629544Y-138716355D01* +X123621800Y-138637733D01* +X123621800Y-131926267D01* +X123629544Y-131847645D01* +X123651570Y-131775033D01* +X123687336Y-131708119D01* +X123735469Y-131649469D01* +X123794119Y-131601336D01* +X123861033Y-131565570D01* +X123933645Y-131543544D01* +X124012267Y-131535800D01* +X124907733Y-131535800D01* +X124986355Y-131543544D01* +G37* +G36* +X122446355Y-131543544D02* +G01* +X122518967Y-131565570D01* +X122585881Y-131601336D01* +X122644531Y-131649469D01* +X122692664Y-131708119D01* +X122728430Y-131775033D01* +X122750456Y-131847645D01* +X122758200Y-131926267D01* +X122758200Y-138637733D01* +X122750456Y-138716355D01* +X122728430Y-138788967D01* +X122692664Y-138855881D01* +X122644531Y-138914531D01* +X122585881Y-138962664D01* +X122518967Y-138998430D01* +X122446355Y-139020456D01* +X122367733Y-139028200D01* +X121472267Y-139028200D01* +X121393645Y-139020456D01* +X121321033Y-138998430D01* +X121254119Y-138962664D01* +X121195469Y-138914531D01* +X121147336Y-138855881D01* +X121111570Y-138788967D01* +X121089544Y-138716355D01* +X121081800Y-138637733D01* +X121081800Y-131926267D01* +X121089544Y-131847645D01* +X121111570Y-131775033D01* +X121147336Y-131708119D01* +X121195469Y-131649469D01* +X121254119Y-131601336D01* +X121321033Y-131565570D01* +X121393645Y-131543544D01* +X121472267Y-131535800D01* +X122367733Y-131535800D01* +X122446355Y-131543544D01* +G37* +G36* +X119906355Y-131543544D02* +G01* +X119978967Y-131565570D01* +X120045881Y-131601336D01* +X120104531Y-131649469D01* +X120152664Y-131708119D01* +X120188430Y-131775033D01* +X120210456Y-131847645D01* +X120218200Y-131926267D01* +X120218200Y-138637733D01* +X120210456Y-138716355D01* +X120188430Y-138788967D01* +X120152664Y-138855881D01* +X120104531Y-138914531D01* +X120045881Y-138962664D01* +X119978967Y-138998430D01* +X119906355Y-139020456D01* +X119827733Y-139028200D01* +X118932267Y-139028200D01* +X118853645Y-139020456D01* +X118781033Y-138998430D01* +X118714119Y-138962664D01* +X118655469Y-138914531D01* +X118607336Y-138855881D01* +X118571570Y-138788967D01* +X118549544Y-138716355D01* +X118541800Y-138637733D01* +X118541800Y-131926267D01* +X118549544Y-131847645D01* +X118571570Y-131775033D01* +X118607336Y-131708119D01* +X118655469Y-131649469D01* +X118714119Y-131601336D01* +X118781033Y-131565570D01* +X118853645Y-131543544D01* +X118932267Y-131535800D01* +X119827733Y-131535800D01* +X119906355Y-131543544D01* +G37* +G36* +X117366355Y-131543544D02* +G01* +X117438967Y-131565570D01* +X117505881Y-131601336D01* +X117564531Y-131649469D01* +X117612664Y-131708119D01* +X117648430Y-131775033D01* +X117670456Y-131847645D01* +X117678200Y-131926267D01* +X117678200Y-138637733D01* +X117670456Y-138716355D01* +X117648430Y-138788967D01* +X117612664Y-138855881D01* +X117564531Y-138914531D01* +X117505881Y-138962664D01* +X117438967Y-138998430D01* +X117366355Y-139020456D01* +X117287733Y-139028200D01* +X116392267Y-139028200D01* +X116313645Y-139020456D01* +X116241033Y-138998430D01* +X116174119Y-138962664D01* +X116115469Y-138914531D01* +X116067336Y-138855881D01* +X116031570Y-138788967D01* +X116009544Y-138716355D01* +X116001800Y-138637733D01* +X116001800Y-131926267D01* +X116009544Y-131847645D01* +X116031570Y-131775033D01* +X116067336Y-131708119D01* +X116115469Y-131649469D01* +X116174119Y-131601336D01* +X116241033Y-131565570D01* +X116313645Y-131543544D01* +X116392267Y-131535800D01* +X117287733Y-131535800D01* +X117366355Y-131543544D01* +G37* +G36* +X114826355Y-131543544D02* +G01* +X114898967Y-131565570D01* +X114965881Y-131601336D01* +X115024531Y-131649469D01* +X115072664Y-131708119D01* +X115108430Y-131775033D01* +X115130456Y-131847645D01* +X115138200Y-131926267D01* +X115138200Y-138637733D01* +X115130456Y-138716355D01* +X115108430Y-138788967D01* +X115072664Y-138855881D01* +X115024531Y-138914531D01* +X114965881Y-138962664D01* +X114898967Y-138998430D01* +X114826355Y-139020456D01* +X114747733Y-139028200D01* +X113852267Y-139028200D01* +X113773645Y-139020456D01* +X113701033Y-138998430D01* +X113634119Y-138962664D01* +X113575469Y-138914531D01* +X113527336Y-138855881D01* +X113491570Y-138788967D01* +X113469544Y-138716355D01* +X113461800Y-138637733D01* +X113461800Y-131926267D01* +X113469544Y-131847645D01* +X113491570Y-131775033D01* +X113527336Y-131708119D01* +X113575469Y-131649469D01* +X113634119Y-131601336D01* +X113701033Y-131565570D01* +X113773645Y-131543544D01* +X113852267Y-131535800D01* +X114747733Y-131535800D01* +X114826355Y-131543544D01* +G37* +G36* +X112286355Y-131543544D02* +G01* +X112358967Y-131565570D01* +X112425881Y-131601336D01* +X112484531Y-131649469D01* +X112532664Y-131708119D01* +X112568430Y-131775033D01* +X112590456Y-131847645D01* +X112598200Y-131926267D01* +X112598200Y-138637733D01* +X112590456Y-138716355D01* +X112568430Y-138788967D01* +X112532664Y-138855881D01* +X112484531Y-138914531D01* +X112425881Y-138962664D01* +X112358967Y-138998430D01* +X112286355Y-139020456D01* +X112207733Y-139028200D01* +X111312267Y-139028200D01* +X111233645Y-139020456D01* +X111161033Y-138998430D01* +X111094119Y-138962664D01* +X111035469Y-138914531D01* +X110987336Y-138855881D01* +X110951570Y-138788967D01* +X110929544Y-138716355D01* +X110921800Y-138637733D01* +X110921800Y-131926267D01* +X110929544Y-131847645D01* +X110951570Y-131775033D01* +X110987336Y-131708119D01* +X111035469Y-131649469D01* +X111094119Y-131601336D01* +X111161033Y-131565570D01* +X111233645Y-131543544D01* +X111312267Y-131535800D01* +X112207733Y-131535800D01* +X112286355Y-131543544D01* +G37* +G36* +X109746355Y-131543544D02* +G01* +X109818967Y-131565570D01* +X109885881Y-131601336D01* +X109944531Y-131649469D01* +X109992664Y-131708119D01* +X110028430Y-131775033D01* +X110050456Y-131847645D01* +X110058200Y-131926267D01* +X110058200Y-138637733D01* +X110050456Y-138716355D01* +X110028430Y-138788967D01* +X109992664Y-138855881D01* +X109944531Y-138914531D01* +X109885881Y-138962664D01* +X109818967Y-138998430D01* +X109746355Y-139020456D01* +X109667733Y-139028200D01* +X108772267Y-139028200D01* +X108693645Y-139020456D01* +X108621033Y-138998430D01* +X108554119Y-138962664D01* +X108495469Y-138914531D01* +X108447336Y-138855881D01* +X108411570Y-138788967D01* +X108389544Y-138716355D01* +X108381800Y-138637733D01* +X108381800Y-131926267D01* +X108389544Y-131847645D01* +X108411570Y-131775033D01* +X108447336Y-131708119D01* +X108495469Y-131649469D01* +X108554119Y-131601336D01* +X108621033Y-131565570D01* +X108693645Y-131543544D01* +X108772267Y-131535800D01* +X109667733Y-131535800D01* +X109746355Y-131543544D01* +G37* +G36* +X107206355Y-131543544D02* +G01* +X107278967Y-131565570D01* +X107345881Y-131601336D01* +X107404531Y-131649469D01* +X107452664Y-131708119D01* +X107488430Y-131775033D01* +X107510456Y-131847645D01* +X107518200Y-131926267D01* +X107518200Y-138637733D01* +X107510456Y-138716355D01* +X107488430Y-138788967D01* +X107452664Y-138855881D01* +X107404531Y-138914531D01* +X107345881Y-138962664D01* +X107278967Y-138998430D01* +X107206355Y-139020456D01* +X107127733Y-139028200D01* +X106232267Y-139028200D01* +X106153645Y-139020456D01* +X106081033Y-138998430D01* +X106014119Y-138962664D01* +X105955469Y-138914531D01* +X105907336Y-138855881D01* +X105871570Y-138788967D01* +X105849544Y-138716355D01* +X105841800Y-138637733D01* +X105841800Y-131926267D01* +X105849544Y-131847645D01* +X105871570Y-131775033D01* +X105907336Y-131708119D01* +X105955469Y-131649469D01* +X106014119Y-131601336D01* +X106081033Y-131565570D01* +X106153645Y-131543544D01* +X106232267Y-131535800D01* +X107127733Y-131535800D01* +X107206355Y-131543544D01* +G37* +G36* +X104666355Y-131543544D02* +G01* +X104738967Y-131565570D01* +X104805881Y-131601336D01* +X104864531Y-131649469D01* +X104912664Y-131708119D01* +X104948430Y-131775033D01* +X104970456Y-131847645D01* +X104978200Y-131926267D01* +X104978200Y-138637733D01* +X104970456Y-138716355D01* +X104948430Y-138788967D01* +X104912664Y-138855881D01* +X104864531Y-138914531D01* +X104805881Y-138962664D01* +X104738967Y-138998430D01* +X104666355Y-139020456D01* +X104587733Y-139028200D01* +X103692267Y-139028200D01* +X103613645Y-139020456D01* +X103541033Y-138998430D01* +X103474119Y-138962664D01* +X103415469Y-138914531D01* +X103367336Y-138855881D01* +X103331570Y-138788967D01* +X103309544Y-138716355D01* +X103301800Y-138637733D01* +X103301800Y-131926267D01* +X103309544Y-131847645D01* +X103331570Y-131775033D01* +X103367336Y-131708119D01* +X103415469Y-131649469D01* +X103474119Y-131601336D01* +X103541033Y-131565570D01* +X103613645Y-131543544D01* +X103692267Y-131535800D01* +X104587733Y-131535800D01* +X104666355Y-131543544D01* +G37* +G36* +X102126355Y-131543544D02* +G01* +X102198967Y-131565570D01* +X102265881Y-131601336D01* +X102324531Y-131649469D01* +X102372664Y-131708119D01* +X102408430Y-131775033D01* +X102430456Y-131847645D01* +X102438200Y-131926267D01* +X102438200Y-138637733D01* +X102430456Y-138716355D01* +X102408430Y-138788967D01* +X102372664Y-138855881D01* +X102324531Y-138914531D01* +X102265881Y-138962664D01* +X102198967Y-138998430D01* +X102126355Y-139020456D01* +X102047733Y-139028200D01* +X101152267Y-139028200D01* +X101073645Y-139020456D01* +X101001033Y-138998430D01* +X100934119Y-138962664D01* +X100875469Y-138914531D01* +X100827336Y-138855881D01* +X100791570Y-138788967D01* +X100769544Y-138716355D01* +X100761800Y-138637733D01* +X100761800Y-131926267D01* +X100769544Y-131847645D01* +X100791570Y-131775033D01* +X100827336Y-131708119D01* +X100875469Y-131649469D01* +X100934119Y-131601336D01* +X101001033Y-131565570D01* +X101073645Y-131543544D01* +X101152267Y-131535800D01* +X102047733Y-131535800D01* +X102126355Y-131543544D01* +G37* +G36* +X99586355Y-131543544D02* +G01* +X99658967Y-131565570D01* +X99725881Y-131601336D01* +X99784531Y-131649469D01* +X99832664Y-131708119D01* +X99868430Y-131775033D01* +X99890456Y-131847645D01* +X99898200Y-131926267D01* +X99898200Y-138637733D01* +X99890456Y-138716355D01* +X99868430Y-138788967D01* +X99832664Y-138855881D01* +X99784531Y-138914531D01* +X99725881Y-138962664D01* +X99658967Y-138998430D01* +X99586355Y-139020456D01* +X99507733Y-139028200D01* +X98612267Y-139028200D01* +X98533645Y-139020456D01* +X98461033Y-138998430D01* +X98394119Y-138962664D01* +X98335469Y-138914531D01* +X98287336Y-138855881D01* +X98251570Y-138788967D01* +X98229544Y-138716355D01* +X98221800Y-138637733D01* +X98221800Y-131926267D01* +X98229544Y-131847645D01* +X98251570Y-131775033D01* +X98287336Y-131708119D01* +X98335469Y-131649469D01* +X98394119Y-131601336D01* +X98461033Y-131565570D01* +X98533645Y-131543544D01* +X98612267Y-131535800D01* +X99507733Y-131535800D01* +X99586355Y-131543544D01* +G37* +G36* +X97046355Y-131543544D02* +G01* +X97118967Y-131565570D01* +X97185881Y-131601336D01* +X97244531Y-131649469D01* +X97292664Y-131708119D01* +X97328430Y-131775033D01* +X97350456Y-131847645D01* +X97358200Y-131926267D01* +X97358200Y-138637733D01* +X97350456Y-138716355D01* +X97328430Y-138788967D01* +X97292664Y-138855881D01* +X97244531Y-138914531D01* +X97185881Y-138962664D01* +X97118967Y-138998430D01* +X97046355Y-139020456D01* +X96967733Y-139028200D01* +X96072267Y-139028200D01* +X95993645Y-139020456D01* +X95921033Y-138998430D01* +X95854119Y-138962664D01* +X95795469Y-138914531D01* +X95747336Y-138855881D01* +X95711570Y-138788967D01* +X95689544Y-138716355D01* +X95681800Y-138637733D01* +X95681800Y-131926267D01* +X95689544Y-131847645D01* +X95711570Y-131775033D01* +X95747336Y-131708119D01* +X95795469Y-131649469D01* +X95854119Y-131601336D01* +X95921033Y-131565570D01* +X95993645Y-131543544D01* +X96072267Y-131535800D01* +X96967733Y-131535800D01* +X97046355Y-131543544D01* +G37* +G36* +X94506355Y-131543544D02* +G01* +X94578967Y-131565570D01* +X94645881Y-131601336D01* +X94704531Y-131649469D01* +X94752664Y-131708119D01* +X94788430Y-131775033D01* +X94810456Y-131847645D01* +X94818200Y-131926267D01* +X94818200Y-138637733D01* +X94810456Y-138716355D01* +X94788430Y-138788967D01* +X94752664Y-138855881D01* +X94704531Y-138914531D01* +X94645881Y-138962664D01* +X94578967Y-138998430D01* +X94506355Y-139020456D01* +X94427733Y-139028200D01* +X93532267Y-139028200D01* +X93453645Y-139020456D01* +X93381033Y-138998430D01* +X93314119Y-138962664D01* +X93255469Y-138914531D01* +X93207336Y-138855881D01* +X93171570Y-138788967D01* +X93149544Y-138716355D01* +X93141800Y-138637733D01* +X93141800Y-131926267D01* +X93149544Y-131847645D01* +X93171570Y-131775033D01* +X93207336Y-131708119D01* +X93255469Y-131649469D01* +X93314119Y-131601336D01* +X93381033Y-131565570D01* +X93453645Y-131543544D01* +X93532267Y-131535800D01* +X94427733Y-131535800D01* +X94506355Y-131543544D01* +G37* +G36* +X91966355Y-131543544D02* +G01* +X92038967Y-131565570D01* +X92105881Y-131601336D01* +X92164531Y-131649469D01* +X92212664Y-131708119D01* +X92248430Y-131775033D01* +X92270456Y-131847645D01* +X92278200Y-131926267D01* +X92278200Y-138637733D01* +X92270456Y-138716355D01* +X92248430Y-138788967D01* +X92212664Y-138855881D01* +X92164531Y-138914531D01* +X92105881Y-138962664D01* +X92038967Y-138998430D01* +X91966355Y-139020456D01* +X91887733Y-139028200D01* +X90992267Y-139028200D01* +X90913645Y-139020456D01* +X90841033Y-138998430D01* +X90774119Y-138962664D01* +X90715469Y-138914531D01* +X90667336Y-138855881D01* +X90631570Y-138788967D01* +X90609544Y-138716355D01* +X90601800Y-138637733D01* +X90601800Y-131926267D01* +X90609544Y-131847645D01* +X90631570Y-131775033D01* +X90667336Y-131708119D01* +X90715469Y-131649469D01* +X90774119Y-131601336D01* +X90841033Y-131565570D01* +X90913645Y-131543544D01* +X90992267Y-131535800D01* +X91887733Y-131535800D01* +X91966355Y-131543544D01* +G37* +G36* +X89426355Y-131543544D02* +G01* +X89498967Y-131565570D01* +X89565881Y-131601336D01* +X89624531Y-131649469D01* +X89672664Y-131708119D01* +X89708430Y-131775033D01* +X89730456Y-131847645D01* +X89738200Y-131926267D01* +X89738200Y-138637733D01* +X89730456Y-138716355D01* +X89708430Y-138788967D01* +X89672664Y-138855881D01* +X89624531Y-138914531D01* +X89565881Y-138962664D01* +X89498967Y-138998430D01* +X89426355Y-139020456D01* +X89347733Y-139028200D01* +X88452267Y-139028200D01* +X88373645Y-139020456D01* +X88301033Y-138998430D01* +X88234119Y-138962664D01* +X88175469Y-138914531D01* +X88127336Y-138855881D01* +X88091570Y-138788967D01* +X88069544Y-138716355D01* +X88061800Y-138637733D01* +X88061800Y-131926267D01* +X88069544Y-131847645D01* +X88091570Y-131775033D01* +X88127336Y-131708119D01* +X88175469Y-131649469D01* +X88234119Y-131601336D01* +X88301033Y-131565570D01* +X88373645Y-131543544D01* +X88452267Y-131535800D01* +X89347733Y-131535800D01* +X89426355Y-131543544D01* +G37* +G36* +X86886355Y-131543544D02* +G01* +X86958967Y-131565570D01* +X87025881Y-131601336D01* +X87084531Y-131649469D01* +X87132664Y-131708119D01* +X87168430Y-131775033D01* +X87190456Y-131847645D01* +X87198200Y-131926267D01* +X87198200Y-138637733D01* +X87190456Y-138716355D01* +X87168430Y-138788967D01* +X87132664Y-138855881D01* +X87084531Y-138914531D01* +X87025881Y-138962664D01* +X86958967Y-138998430D01* +X86886355Y-139020456D01* +X86807733Y-139028200D01* +X85912267Y-139028200D01* +X85833645Y-139020456D01* +X85761033Y-138998430D01* +X85694119Y-138962664D01* +X85635469Y-138914531D01* +X85587336Y-138855881D01* +X85551570Y-138788967D01* +X85529544Y-138716355D01* +X85521800Y-138637733D01* +X85521800Y-131926267D01* +X85529544Y-131847645D01* +X85551570Y-131775033D01* +X85587336Y-131708119D01* +X85635469Y-131649469D01* +X85694119Y-131601336D01* +X85761033Y-131565570D01* +X85833645Y-131543544D01* +X85912267Y-131535800D01* +X86807733Y-131535800D01* +X86886355Y-131543544D01* +G37* +G36* +X84346355Y-131543544D02* +G01* +X84418967Y-131565570D01* +X84485881Y-131601336D01* +X84544531Y-131649469D01* +X84592664Y-131708119D01* +X84628430Y-131775033D01* +X84650456Y-131847645D01* +X84658200Y-131926267D01* +X84658200Y-138637733D01* +X84650456Y-138716355D01* +X84628430Y-138788967D01* +X84592664Y-138855881D01* +X84544531Y-138914531D01* +X84485881Y-138962664D01* +X84418967Y-138998430D01* +X84346355Y-139020456D01* +X84267733Y-139028200D01* +X83372267Y-139028200D01* +X83293645Y-139020456D01* +X83221033Y-138998430D01* +X83154119Y-138962664D01* +X83095469Y-138914531D01* +X83047336Y-138855881D01* +X83011570Y-138788967D01* +X82989544Y-138716355D01* +X82981800Y-138637733D01* +X82981800Y-131926267D01* +X82989544Y-131847645D01* +X83011570Y-131775033D01* +X83047336Y-131708119D01* +X83095469Y-131649469D01* +X83154119Y-131601336D01* +X83221033Y-131565570D01* +X83293645Y-131543544D01* +X83372267Y-131535800D01* +X84267733Y-131535800D01* +X84346355Y-131543544D01* +G37* +G36* +X81806355Y-131543544D02* +G01* +X81878967Y-131565570D01* +X81945881Y-131601336D01* +X82004531Y-131649469D01* +X82052664Y-131708119D01* +X82088430Y-131775033D01* +X82110456Y-131847645D01* +X82118200Y-131926267D01* +X82118200Y-138637733D01* +X82110456Y-138716355D01* +X82088430Y-138788967D01* +X82052664Y-138855881D01* +X82004531Y-138914531D01* +X81945881Y-138962664D01* +X81878967Y-138998430D01* +X81806355Y-139020456D01* +X81727733Y-139028200D01* +X80832267Y-139028200D01* +X80753645Y-139020456D01* +X80681033Y-138998430D01* +X80614119Y-138962664D01* +X80555469Y-138914531D01* +X80507336Y-138855881D01* +X80471570Y-138788967D01* +X80449544Y-138716355D01* +X80441800Y-138637733D01* +X80441800Y-131926267D01* +X80449544Y-131847645D01* +X80471570Y-131775033D01* +X80507336Y-131708119D01* +X80555469Y-131649469D01* +X80614119Y-131601336D01* +X80681033Y-131565570D01* +X80753645Y-131543544D01* +X80832267Y-131535800D01* +X81727733Y-131535800D01* +X81806355Y-131543544D01* +G37* +G36* +X79266355Y-131543544D02* +G01* +X79338967Y-131565570D01* +X79405881Y-131601336D01* +X79464531Y-131649469D01* +X79512664Y-131708119D01* +X79548430Y-131775033D01* +X79570456Y-131847645D01* +X79578200Y-131926267D01* +X79578200Y-138637733D01* +X79570456Y-138716355D01* +X79548430Y-138788967D01* +X79512664Y-138855881D01* +X79464531Y-138914531D01* +X79405881Y-138962664D01* +X79338967Y-138998430D01* +X79266355Y-139020456D01* +X79187733Y-139028200D01* +X78292267Y-139028200D01* +X78213645Y-139020456D01* +X78141033Y-138998430D01* +X78074119Y-138962664D01* +X78015469Y-138914531D01* +X77967336Y-138855881D01* +X77931570Y-138788967D01* +X77909544Y-138716355D01* +X77901800Y-138637733D01* +X77901800Y-131926267D01* +X77909544Y-131847645D01* +X77931570Y-131775033D01* +X77967336Y-131708119D01* +X78015469Y-131649469D01* +X78074119Y-131601336D01* +X78141033Y-131565570D01* +X78213645Y-131543544D01* +X78292267Y-131535800D01* +X79187733Y-131535800D01* +X79266355Y-131543544D01* +G37* +G36* +X76726355Y-131543544D02* +G01* +X76798967Y-131565570D01* +X76865881Y-131601336D01* +X76924531Y-131649469D01* +X76972664Y-131708119D01* +X77008430Y-131775033D01* +X77030456Y-131847645D01* +X77038200Y-131926267D01* +X77038200Y-138637733D01* +X77030456Y-138716355D01* +X77008430Y-138788967D01* +X76972664Y-138855881D01* +X76924531Y-138914531D01* +X76865881Y-138962664D01* +X76798967Y-138998430D01* +X76726355Y-139020456D01* +X76647733Y-139028200D01* +X75752267Y-139028200D01* +X75673645Y-139020456D01* +X75601033Y-138998430D01* +X75534119Y-138962664D01* +X75475469Y-138914531D01* +X75427336Y-138855881D01* +X75391570Y-138788967D01* +X75369544Y-138716355D01* +X75361800Y-138637733D01* +X75361800Y-131926267D01* +X75369544Y-131847645D01* +X75391570Y-131775033D01* +X75427336Y-131708119D01* +X75475469Y-131649469D01* +X75534119Y-131601336D01* +X75601033Y-131565570D01* +X75673645Y-131543544D01* +X75752267Y-131535800D01* +X76647733Y-131535800D01* +X76726355Y-131543544D01* +G37* +G36* +X133663914Y-128505157D02* +G01* +X133859777Y-128586286D01* +X134036033Y-128704057D01* +X134185943Y-128853967D01* +X134303714Y-129030223D01* +X134384843Y-129226086D01* +X134426200Y-129434004D01* +X134426200Y-129645996D01* +X134384843Y-129853914D01* +X134303714Y-130049777D01* +X134185943Y-130226033D01* +X134036033Y-130375943D01* +X133859777Y-130493714D01* +X133663914Y-130574843D01* +X133455996Y-130616200D01* +X133244004Y-130616200D01* +X133036086Y-130574843D01* +X132840223Y-130493714D01* +X132663967Y-130375943D01* +X132514057Y-130226033D01* +X132396286Y-130049777D01* +X132315157Y-129853914D01* +X132273800Y-129645996D01* +X132273800Y-129434004D01* +X132315157Y-129226086D01* +X132396286Y-129030223D01* +X132514057Y-128853967D01* +X132663967Y-128704057D01* +X132840223Y-128586286D01* +X133036086Y-128505157D01* +X133244004Y-128463800D01* +X133455996Y-128463800D01* +X133663914Y-128505157D01* +G37* +G36* +X73211914Y-128505157D02* +G01* +X73407777Y-128586286D01* +X73584033Y-128704057D01* +X73733943Y-128853967D01* +X73851714Y-129030223D01* +X73932843Y-129226086D01* +X73974200Y-129434004D01* +X73974200Y-129645996D01* +X73932843Y-129853914D01* +X73851714Y-130049777D01* +X73733943Y-130226033D01* +X73584033Y-130375943D01* +X73407777Y-130493714D01* +X73211914Y-130574843D01* +X73003996Y-130616200D01* +X72792004Y-130616200D01* +X72584086Y-130574843D01* +X72388223Y-130493714D01* +X72211967Y-130375943D01* +X72062057Y-130226033D01* +X71944286Y-130049777D01* +X71863157Y-129853914D01* +X71821800Y-129645996D01* +X71821800Y-129434004D01* +X71863157Y-129226086D01* +X71944286Y-129030223D01* +X72062057Y-128853967D01* +X72211967Y-128704057D01* +X72388223Y-128586286D01* +X72584086Y-128505157D01* +X72792004Y-128463800D01* +X73003996Y-128463800D01* +X73211914Y-128505157D01* +G37* +G36* +X70649692Y-128578429D02* +G01* +X70831679Y-128653811D01* +X70995458Y-128763245D01* +X70995460Y-128763247D01* +X70995463Y-128763249D01* +X71134751Y-128902537D01* +X71134753Y-128902540D01* +X71134755Y-128902542D01* +X71244189Y-129066321D01* +X71319571Y-129248308D01* +X71358000Y-129441509D01* +X71358000Y-129638491D01* +X71319571Y-129831692D01* +X71244189Y-130013679D01* +X71134755Y-130177458D01* +X71134753Y-130177460D01* +X71134751Y-130177463D01* +X70995463Y-130316751D01* +X70995460Y-130316753D01* +X70995458Y-130316755D01* +X70831679Y-130426189D01* +X70649692Y-130501571D01* +X70456491Y-130540000D01* +X70259509Y-130540000D01* +X70066308Y-130501571D01* +X69884321Y-130426189D01* +X69720542Y-130316755D01* +X69720540Y-130316753D01* +X69720537Y-130316751D01* +X69581249Y-130177463D01* +X69581247Y-130177460D01* +X69581245Y-130177458D01* +X69471811Y-130013679D01* +X69396429Y-129831692D01* +X69358000Y-129638491D01* +X69358000Y-129441509D01* +X69396429Y-129248308D01* +X69471811Y-129066321D01* +X69581245Y-128902542D01* +X69581247Y-128902540D01* +X69581249Y-128902537D01* +X69720537Y-128763249D01* +X69720540Y-128763247D01* +X69720542Y-128763245D01* +X69884321Y-128653811D01* +X70066308Y-128578429D01* +X70259509Y-128540000D01* +X70456491Y-128540000D01* +X70649692Y-128578429D01* +G37* +G36* +X122244986Y-128768306D02* +G01* +X122285980Y-128780742D01* +X122323767Y-128800939D01* +X122356883Y-128828117D01* +X122384061Y-128861233D01* +X122404258Y-128899020D01* +X122416694Y-128940014D01* +X122421200Y-128985767D01* +X122421200Y-130094233D01* +X122416694Y-130139986D01* +X122404258Y-130180980D01* +X122384061Y-130218767D01* +X122356883Y-130251883D01* +X122323767Y-130279061D01* +X122285980Y-130299258D01* +X122244986Y-130311694D01* +X122199233Y-130316200D01* +X121640767Y-130316200D01* +X121595014Y-130311694D01* +X121554020Y-130299258D01* +X121516233Y-130279061D01* +X121483117Y-130251883D01* +X121455939Y-130218767D01* +X121435742Y-130180980D01* +X121423306Y-130139986D01* +X121418800Y-130094233D01* +X121418800Y-128985767D01* +X121423306Y-128940014D01* +X121435742Y-128899020D01* +X121455939Y-128861233D01* +X121483117Y-128828117D01* +X121516233Y-128800939D01* +X121554020Y-128780742D01* +X121595014Y-128768306D01* +X121640767Y-128763800D01* +X122199233Y-128763800D01* +X122244986Y-128768306D01* +G37* +G36* +X124144986Y-128768306D02* +G01* +X124185980Y-128780742D01* +X124223767Y-128800939D01* +X124256883Y-128828117D01* +X124284061Y-128861233D01* +X124304258Y-128899020D01* +X124316694Y-128940014D01* +X124321200Y-128985767D01* +X124321200Y-130094233D01* +X124316694Y-130139986D01* +X124304258Y-130180980D01* +X124284061Y-130218767D01* +X124256883Y-130251883D01* +X124223767Y-130279061D01* +X124185980Y-130299258D01* +X124144986Y-130311694D01* +X124099233Y-130316200D01* +X123540767Y-130316200D01* +X123495014Y-130311694D01* +X123454020Y-130299258D01* +X123416233Y-130279061D01* +X123383117Y-130251883D01* +X123355939Y-130218767D01* +X123335742Y-130180980D01* +X123323306Y-130139986D01* +X123318800Y-130094233D01* +X123318800Y-128985767D01* +X123323306Y-128940014D01* +X123335742Y-128899020D01* +X123355939Y-128861233D01* +X123383117Y-128828117D01* +X123416233Y-128800939D01* +X123454020Y-128780742D01* +X123495014Y-128768306D01* +X123540767Y-128763800D01* +X124099233Y-128763800D01* +X124144986Y-128768306D01* +G37* +G36* +X78284737Y-127118267D02* +G01* +X78335119Y-127133550D01* +X78381546Y-127158366D01* +X78422239Y-127191761D01* +X78455634Y-127232454D01* +X78480450Y-127278881D01* +X78495733Y-127329263D01* +X78501200Y-127384767D01* +X78501200Y-128393233D01* +X78495733Y-128448737D01* +X78480450Y-128499119D01* +X78455634Y-128545546D01* +X78422239Y-128586239D01* +X78381546Y-128619634D01* +X78335119Y-128644450D01* +X78284737Y-128659733D01* +X78229233Y-128665200D01* +X77570767Y-128665200D01* +X77515263Y-128659733D01* +X77464881Y-128644450D01* +X77418454Y-128619634D01* +X77377761Y-128586239D01* +X77344366Y-128545546D01* +X77319550Y-128499119D01* +X77304267Y-128448737D01* +X77298800Y-128393233D01* +X77298800Y-127384767D01* +X77304267Y-127329263D01* +X77319550Y-127278881D01* +X77344366Y-127232454D01* +X77377761Y-127191761D01* +X77418454Y-127158366D01* +X77464881Y-127133550D01* +X77515263Y-127118267D01* +X77570767Y-127112800D01* +X78229233Y-127112800D01* +X78284737Y-127118267D01* +G37* +G36* +X135844737Y-127118267D02* +G01* +X135895119Y-127133550D01* +X135941546Y-127158366D01* +X135982239Y-127191761D01* +X136015634Y-127232454D01* +X136040450Y-127278881D01* +X136055733Y-127329263D01* +X136061200Y-127384767D01* +X136061200Y-128393233D01* +X136055733Y-128448737D01* +X136040450Y-128499119D01* +X136015634Y-128545546D01* +X135982239Y-128586239D01* +X135941546Y-128619634D01* +X135895119Y-128644450D01* +X135844737Y-128659733D01* +X135789233Y-128665200D01* +X135130767Y-128665200D01* +X135075263Y-128659733D01* +X135024881Y-128644450D01* +X134978454Y-128619634D01* +X134937761Y-128586239D01* +X134904366Y-128545546D01* +X134879550Y-128499119D01* +X134864267Y-128448737D01* +X134858800Y-128393233D01* +X134858800Y-127384767D01* +X134864267Y-127329263D01* +X134879550Y-127278881D01* +X134904366Y-127232454D01* +X134937761Y-127191761D01* +X134978454Y-127158366D01* +X135024881Y-127133550D01* +X135075263Y-127118267D01* +X135130767Y-127112800D01* +X135789233Y-127112800D01* +X135844737Y-127118267D01* +G37* +G36* +X137544737Y-127118267D02* +G01* +X137595119Y-127133550D01* +X137641546Y-127158366D01* +X137682239Y-127191761D01* +X137715634Y-127232454D01* +X137740450Y-127278881D01* +X137755733Y-127329263D01* +X137761200Y-127384767D01* +X137761200Y-128393233D01* +X137755733Y-128448737D01* +X137740450Y-128499119D01* +X137715634Y-128545546D01* +X137682239Y-128586239D01* +X137641546Y-128619634D01* +X137595119Y-128644450D01* +X137544737Y-128659733D01* +X137489233Y-128665200D01* +X136830767Y-128665200D01* +X136775263Y-128659733D01* +X136724881Y-128644450D01* +X136678454Y-128619634D01* +X136637761Y-128586239D01* +X136604366Y-128545546D01* +X136579550Y-128499119D01* +X136564267Y-128448737D01* +X136558800Y-128393233D01* +X136558800Y-127384767D01* +X136564267Y-127329263D01* +X136579550Y-127278881D01* +X136604366Y-127232454D01* +X136637761Y-127191761D01* +X136678454Y-127158366D01* +X136724881Y-127133550D01* +X136775263Y-127118267D01* +X136830767Y-127112800D01* +X137489233Y-127112800D01* +X137544737Y-127118267D01* +G37* +G36* +X76584737Y-127118267D02* +G01* +X76635119Y-127133550D01* +X76681546Y-127158366D01* +X76722239Y-127191761D01* +X76755634Y-127232454D01* +X76780450Y-127278881D01* +X76795733Y-127329263D01* +X76801200Y-127384767D01* +X76801200Y-128393233D01* +X76795733Y-128448737D01* +X76780450Y-128499119D01* +X76755634Y-128545546D01* +X76722239Y-128586239D01* +X76681546Y-128619634D01* +X76635119Y-128644450D01* +X76584737Y-128659733D01* +X76529233Y-128665200D01* +X75870767Y-128665200D01* +X75815263Y-128659733D01* +X75764881Y-128644450D01* +X75718454Y-128619634D01* +X75677761Y-128586239D01* +X75644366Y-128545546D01* +X75619550Y-128499119D01* +X75604267Y-128448737D01* +X75598800Y-128393233D01* +X75598800Y-127384767D01* +X75604267Y-127329263D01* +X75619550Y-127278881D01* +X75644366Y-127232454D01* +X75677761Y-127191761D01* +X75718454Y-127158366D01* +X75764881Y-127133550D01* +X75815263Y-127118267D01* +X75870767Y-127112800D01* +X76529233Y-127112800D01* +X76584737Y-127118267D01* +G37* +G36* +X93523737Y-127039267D02* +G01* +X93574119Y-127054550D01* +X93620546Y-127079366D01* +X93661239Y-127112761D01* +X93694634Y-127153454D01* +X93719450Y-127199881D01* +X93734733Y-127250263D01* +X93740200Y-127305767D01* +X93740200Y-127964233D01* +X93734733Y-128019737D01* +X93719450Y-128070119D01* +X93694634Y-128116546D01* +X93661239Y-128157239D01* +X93620546Y-128190634D01* +X93574119Y-128215450D01* +X93523737Y-128230733D01* +X93468233Y-128236200D01* +X92459767Y-128236200D01* +X92404263Y-128230733D01* +X92353881Y-128215450D01* +X92307454Y-128190634D01* +X92266761Y-128157239D01* +X92233366Y-128116546D01* +X92208550Y-128070119D01* +X92193267Y-128019737D01* +X92187800Y-127964233D01* +X92187800Y-127305767D01* +X92193267Y-127250263D01* +X92208550Y-127199881D01* +X92233366Y-127153454D01* +X92266761Y-127112761D01* +X92307454Y-127079366D01* +X92353881Y-127054550D01* +X92404263Y-127039267D01* +X92459767Y-127033800D01* +X93468233Y-127033800D01* +X93523737Y-127039267D01* +G37* +G36* +X96787290Y-127262105D02* +G01* +X96816568Y-127270986D01* +X96843545Y-127285406D01* +X96867190Y-127304810D01* +X96886594Y-127328455D01* +X96901014Y-127355432D01* +X96909895Y-127384710D01* +X96913200Y-127418267D01* +X96913200Y-127851733D01* +X96909895Y-127885290D01* +X96901014Y-127914568D01* +X96886594Y-127941545D01* +X96867190Y-127965190D01* +X96843545Y-127984594D01* +X96816568Y-127999014D01* +X96787290Y-128007895D01* +X96753733Y-128011200D01* +X94870267Y-128011200D01* +X94836710Y-128007895D01* +X94807432Y-127999014D01* +X94780455Y-127984594D01* +X94756810Y-127965190D01* +X94737406Y-127941545D01* +X94722986Y-127914568D01* +X94714105Y-127885290D01* +X94710800Y-127851733D01* +X94710800Y-127418267D01* +X94714105Y-127384710D01* +X94722986Y-127355432D01* +X94737406Y-127328455D01* +X94756810Y-127304810D01* +X94780455Y-127285406D01* +X94807432Y-127270986D01* +X94836710Y-127262105D01* +X94870267Y-127258800D01* +X96753733Y-127258800D01* +X96787290Y-127262105D01* +G37* +G36* +X109887290Y-127262105D02* +G01* +X109916568Y-127270986D01* +X109943545Y-127285406D01* +X109967190Y-127304810D01* +X109986594Y-127328455D01* +X110001014Y-127355432D01* +X110009895Y-127384710D01* +X110013200Y-127418267D01* +X110013200Y-127851733D01* +X110009895Y-127885290D01* +X110001014Y-127914568D01* +X109986594Y-127941545D01* +X109967190Y-127965190D01* +X109943545Y-127984594D01* +X109916568Y-127999014D01* +X109887290Y-128007895D01* +X109853733Y-128011200D01* +X107970267Y-128011200D01* +X107936710Y-128007895D01* +X107907432Y-127999014D01* +X107880455Y-127984594D01* +X107856810Y-127965190D01* +X107837406Y-127941545D01* +X107822986Y-127914568D01* +X107814105Y-127885290D01* +X107810800Y-127851733D01* +X107810800Y-127418267D01* +X107814105Y-127384710D01* +X107822986Y-127355432D01* +X107837406Y-127328455D01* +X107856810Y-127304810D01* +X107880455Y-127285406D01* +X107907432Y-127270986D01* +X107936710Y-127262105D01* +X107970267Y-127258800D01* +X109853733Y-127258800D01* +X109887290Y-127262105D01* +G37* +G36* +X96787290Y-125992105D02* +G01* +X96816568Y-126000986D01* +X96843545Y-126015406D01* +X96867190Y-126034810D01* +X96886594Y-126058455D01* +X96901014Y-126085432D01* +X96909895Y-126114710D01* +X96913200Y-126148267D01* +X96913200Y-126581733D01* +X96909895Y-126615290D01* +X96901014Y-126644568D01* +X96886594Y-126671545D01* +X96867190Y-126695190D01* +X96843545Y-126714594D01* +X96816568Y-126729014D01* +X96787290Y-126737895D01* +X96753733Y-126741200D01* +X94870267Y-126741200D01* +X94836710Y-126737895D01* +X94807432Y-126729014D01* +X94780455Y-126714594D01* +X94756810Y-126695190D01* +X94737406Y-126671545D01* +X94722986Y-126644568D01* +X94714105Y-126615290D01* +X94710800Y-126581733D01* +X94710800Y-126148267D01* +X94714105Y-126114710D01* +X94722986Y-126085432D01* +X94737406Y-126058455D01* +X94756810Y-126034810D01* +X94780455Y-126015406D01* +X94807432Y-126000986D01* +X94836710Y-125992105D01* +X94870267Y-125988800D01* +X96753733Y-125988800D01* +X96787290Y-125992105D01* +G37* +G36* +X109887290Y-125992105D02* +G01* +X109916568Y-126000986D01* +X109943545Y-126015406D01* +X109967190Y-126034810D01* +X109986594Y-126058455D01* +X110001014Y-126085432D01* +X110009895Y-126114710D01* +X110013200Y-126148267D01* +X110013200Y-126581733D01* +X110009895Y-126615290D01* +X110001014Y-126644568D01* +X109986594Y-126671545D01* +X109967190Y-126695190D01* +X109943545Y-126714594D01* +X109916568Y-126729014D01* +X109887290Y-126737895D01* +X109853733Y-126741200D01* +X107970267Y-126741200D01* +X107936710Y-126737895D01* +X107907432Y-126729014D01* +X107880455Y-126714594D01* +X107856810Y-126695190D01* +X107837406Y-126671545D01* +X107822986Y-126644568D01* +X107814105Y-126615290D01* +X107810800Y-126581733D01* +X107810800Y-126148267D01* +X107814105Y-126114710D01* +X107822986Y-126085432D01* +X107837406Y-126058455D01* +X107856810Y-126034810D01* +X107880455Y-126015406D01* +X107907432Y-126000986D01* +X107936710Y-125992105D01* +X107970267Y-125988800D01* +X109853733Y-125988800D01* +X109887290Y-125992105D01* +G37* +G36* +X93523737Y-125339267D02* +G01* +X93574119Y-125354550D01* +X93620546Y-125379366D01* +X93661239Y-125412761D01* +X93694634Y-125453454D01* +X93719450Y-125499881D01* +X93734733Y-125550263D01* +X93740200Y-125605767D01* +X93740200Y-126264233D01* +X93734733Y-126319737D01* +X93719450Y-126370119D01* +X93694634Y-126416546D01* +X93661239Y-126457239D01* +X93620546Y-126490634D01* +X93574119Y-126515450D01* +X93523737Y-126530733D01* +X93468233Y-126536200D01* +X92459767Y-126536200D01* +X92404263Y-126530733D01* +X92353881Y-126515450D01* +X92307454Y-126490634D01* +X92266761Y-126457239D01* +X92233366Y-126416546D01* +X92208550Y-126370119D01* +X92193267Y-126319737D01* +X92187800Y-126264233D01* +X92187800Y-125605767D01* +X92193267Y-125550263D01* +X92208550Y-125499881D01* +X92233366Y-125453454D01* +X92266761Y-125412761D01* +X92307454Y-125379366D01* +X92353881Y-125354550D01* +X92404263Y-125339267D01* +X92459767Y-125333800D01* +X93468233Y-125333800D01* +X93523737Y-125339267D01* +G37* +G36* +X137197692Y-123879429D02* +G01* +X137379679Y-123954811D01* +X137543458Y-124064245D01* +X137543460Y-124064247D01* +X137543463Y-124064249D01* +X137682751Y-124203537D01* +X137682753Y-124203540D01* +X137682755Y-124203542D01* +X137792189Y-124367321D01* +X137867571Y-124549308D01* +X137906000Y-124742509D01* +X137906000Y-124939491D01* +X137867571Y-125132692D01* +X137792189Y-125314679D01* +X137682755Y-125478458D01* +X137682753Y-125478460D01* +X137682751Y-125478463D01* +X137543463Y-125617751D01* +X137543460Y-125617753D01* +X137543458Y-125617755D01* +X137379679Y-125727189D01* +X137197692Y-125802571D01* +X137004491Y-125841000D01* +X136807509Y-125841000D01* +X136614308Y-125802571D01* +X136432321Y-125727189D01* +X136268542Y-125617755D01* +X136268540Y-125617753D01* +X136268537Y-125617751D01* +X136129249Y-125478463D01* +X136129247Y-125478460D01* +X136129245Y-125478458D01* +X136019811Y-125314679D01* +X135944429Y-125132692D01* +X135906000Y-124939491D01* +X135906000Y-124742509D01* +X135944429Y-124549308D01* +X136019811Y-124367321D01* +X136129245Y-124203542D01* +X136129247Y-124203540D01* +X136129249Y-124203537D01* +X136268537Y-124064249D01* +X136268540Y-124064247D01* +X136268542Y-124064245D01* +X136432321Y-123954811D01* +X136614308Y-123879429D01* +X136807509Y-123841000D01* +X137004491Y-123841000D01* +X137197692Y-123879429D01* +G37* +G36* +X96787290Y-124722105D02* +G01* +X96816568Y-124730986D01* +X96843545Y-124745406D01* +X96867190Y-124764810D01* +X96886594Y-124788455D01* +X96901014Y-124815432D01* +X96909895Y-124844710D01* +X96913200Y-124878267D01* +X96913200Y-125311733D01* +X96909895Y-125345290D01* +X96901014Y-125374568D01* +X96886594Y-125401545D01* +X96867190Y-125425190D01* +X96843545Y-125444594D01* +X96816568Y-125459014D01* +X96787290Y-125467895D01* +X96753733Y-125471200D01* +X94870267Y-125471200D01* +X94836710Y-125467895D01* +X94807432Y-125459014D01* +X94780455Y-125444594D01* +X94756810Y-125425190D01* +X94737406Y-125401545D01* +X94722986Y-125374568D01* +X94714105Y-125345290D01* +X94710800Y-125311733D01* +X94710800Y-124878267D01* +X94714105Y-124844710D01* +X94722986Y-124815432D01* +X94737406Y-124788455D01* +X94756810Y-124764810D01* +X94780455Y-124745406D01* +X94807432Y-124730986D01* +X94836710Y-124722105D01* +X94870267Y-124718800D01* +X96753733Y-124718800D01* +X96787290Y-124722105D01* +G37* +G36* +X109887290Y-124722105D02* +G01* +X109916568Y-124730986D01* +X109943545Y-124745406D01* +X109967190Y-124764810D01* +X109986594Y-124788455D01* +X110001014Y-124815432D01* +X110009895Y-124844710D01* +X110013200Y-124878267D01* +X110013200Y-125311733D01* +X110009895Y-125345290D01* +X110001014Y-125374568D01* +X109986594Y-125401545D01* +X109967190Y-125425190D01* +X109943545Y-125444594D01* +X109916568Y-125459014D01* +X109887290Y-125467895D01* +X109853733Y-125471200D01* +X107970267Y-125471200D01* +X107936710Y-125467895D01* +X107907432Y-125459014D01* +X107880455Y-125444594D01* +X107856810Y-125425190D01* +X107837406Y-125401545D01* +X107822986Y-125374568D01* +X107814105Y-125345290D01* +X107810800Y-125311733D01* +X107810800Y-124878267D01* +X107814105Y-124844710D01* +X107822986Y-124815432D01* +X107837406Y-124788455D01* +X107856810Y-124764810D01* +X107880455Y-124745406D01* +X107907432Y-124730986D01* +X107936710Y-124722105D01* +X107970267Y-124718800D01* +X109853733Y-124718800D01* +X109887290Y-124722105D01* +G37* +G36* +X122551290Y-123502105D02* +G01* +X122580568Y-123510986D01* +X122607545Y-123525406D01* +X122631190Y-123544810D01* +X122650594Y-123568455D01* +X122665014Y-123595432D01* +X122673895Y-123624710D01* +X122677200Y-123658267D01* +X122677200Y-124966733D01* +X122673895Y-125000290D01* +X122665014Y-125029568D01* +X122650594Y-125056545D01* +X122631190Y-125080190D01* +X122607545Y-125099594D01* +X122580568Y-125114014D01* +X122551290Y-125122895D01* +X122517733Y-125126200D01* +X122084267Y-125126200D01* +X122050710Y-125122895D01* +X122021432Y-125114014D01* +X121994455Y-125099594D01* +X121970810Y-125080190D01* +X121951406Y-125056545D01* +X121936986Y-125029568D01* +X121928105Y-125000290D01* +X121924800Y-124966733D01* +X121924800Y-123658267D01* +X121928105Y-123624710D01* +X121936986Y-123595432D01* +X121951406Y-123568455D01* +X121970810Y-123544810D01* +X121994455Y-123525406D01* +X122021432Y-123510986D01* +X122050710Y-123502105D01* +X122084267Y-123498800D01* +X122517733Y-123498800D01* +X122551290Y-123502105D01* +G37* +G36* +X123821290Y-123502105D02* +G01* +X123850568Y-123510986D01* +X123877545Y-123525406D01* +X123901190Y-123544810D01* +X123920594Y-123568455D01* +X123935014Y-123595432D01* +X123943895Y-123624710D01* +X123947200Y-123658267D01* +X123947200Y-124966733D01* +X123943895Y-125000290D01* +X123935014Y-125029568D01* +X123920594Y-125056545D01* +X123901190Y-125080190D01* +X123877545Y-125099594D01* +X123850568Y-125114014D01* +X123821290Y-125122895D01* +X123787733Y-125126200D01* +X123354267Y-125126200D01* +X123320710Y-125122895D01* +X123291432Y-125114014D01* +X123264455Y-125099594D01* +X123240810Y-125080190D01* +X123221406Y-125056545D01* +X123206986Y-125029568D01* +X123198105Y-125000290D01* +X123194800Y-124966733D01* +X123194800Y-123658267D01* +X123198105Y-123624710D01* +X123206986Y-123595432D01* +X123221406Y-123568455D01* +X123240810Y-123544810D01* +X123264455Y-123525406D01* +X123291432Y-123510986D01* +X123320710Y-123502105D01* +X123354267Y-123498800D01* +X123787733Y-123498800D01* +X123821290Y-123502105D01* +G37* +G36* +X116201290Y-123502105D02* +G01* +X116230568Y-123510986D01* +X116257545Y-123525406D01* +X116281190Y-123544810D01* +X116300594Y-123568455D01* +X116315014Y-123595432D01* +X116323895Y-123624710D01* +X116327200Y-123658267D01* +X116327200Y-124966733D01* +X116323895Y-125000290D01* +X116315014Y-125029568D01* +X116300594Y-125056545D01* +X116281190Y-125080190D01* +X116257545Y-125099594D01* +X116230568Y-125114014D01* +X116201290Y-125122895D01* +X116167733Y-125126200D01* +X115734267Y-125126200D01* +X115700710Y-125122895D01* +X115671432Y-125114014D01* +X115644455Y-125099594D01* +X115620810Y-125080190D01* +X115601406Y-125056545D01* +X115586986Y-125029568D01* +X115578105Y-125000290D01* +X115574800Y-124966733D01* +X115574800Y-123658267D01* +X115578105Y-123624710D01* +X115586986Y-123595432D01* +X115601406Y-123568455D01* +X115620810Y-123544810D01* +X115644455Y-123525406D01* +X115671432Y-123510986D01* +X115700710Y-123502105D01* +X115734267Y-123498800D01* +X116167733Y-123498800D01* +X116201290Y-123502105D01* +G37* +G36* +X117471290Y-123502105D02* +G01* +X117500568Y-123510986D01* +X117527545Y-123525406D01* +X117551190Y-123544810D01* +X117570594Y-123568455D01* +X117585014Y-123595432D01* +X117593895Y-123624710D01* +X117597200Y-123658267D01* +X117597200Y-124966733D01* +X117593895Y-125000290D01* +X117585014Y-125029568D01* +X117570594Y-125056545D01* +X117551190Y-125080190D01* +X117527545Y-125099594D01* +X117500568Y-125114014D01* +X117471290Y-125122895D01* +X117437733Y-125126200D01* +X117004267Y-125126200D01* +X116970710Y-125122895D01* +X116941432Y-125114014D01* +X116914455Y-125099594D01* +X116890810Y-125080190D01* +X116871406Y-125056545D01* +X116856986Y-125029568D01* +X116848105Y-125000290D01* +X116844800Y-124966733D01* +X116844800Y-123658267D01* +X116848105Y-123624710D01* +X116856986Y-123595432D01* +X116871406Y-123568455D01* +X116890810Y-123544810D01* +X116914455Y-123525406D01* +X116941432Y-123510986D01* +X116970710Y-123502105D01* +X117004267Y-123498800D01* +X117437733Y-123498800D01* +X117471290Y-123502105D01* +G37* +G36* +X118741290Y-123502105D02* +G01* +X118770568Y-123510986D01* +X118797545Y-123525406D01* +X118821190Y-123544810D01* +X118840594Y-123568455D01* +X118855014Y-123595432D01* +X118863895Y-123624710D01* +X118867200Y-123658267D01* +X118867200Y-124966733D01* +X118863895Y-125000290D01* +X118855014Y-125029568D01* +X118840594Y-125056545D01* +X118821190Y-125080190D01* +X118797545Y-125099594D01* +X118770568Y-125114014D01* +X118741290Y-125122895D01* +X118707733Y-125126200D01* +X118274267Y-125126200D01* +X118240710Y-125122895D01* +X118211432Y-125114014D01* +X118184455Y-125099594D01* +X118160810Y-125080190D01* +X118141406Y-125056545D01* +X118126986Y-125029568D01* +X118118105Y-125000290D01* +X118114800Y-124966733D01* +X118114800Y-123658267D01* +X118118105Y-123624710D01* +X118126986Y-123595432D01* +X118141406Y-123568455D01* +X118160810Y-123544810D01* +X118184455Y-123525406D01* +X118211432Y-123510986D01* +X118240710Y-123502105D01* +X118274267Y-123498800D01* +X118707733Y-123498800D01* +X118741290Y-123502105D01* +G37* +G36* +X120011290Y-123502105D02* +G01* +X120040568Y-123510986D01* +X120067545Y-123525406D01* +X120091190Y-123544810D01* +X120110594Y-123568455D01* +X120125014Y-123595432D01* +X120133895Y-123624710D01* +X120137200Y-123658267D01* +X120137200Y-124966733D01* +X120133895Y-125000290D01* +X120125014Y-125029568D01* +X120110594Y-125056545D01* +X120091190Y-125080190D01* +X120067545Y-125099594D01* +X120040568Y-125114014D01* +X120011290Y-125122895D01* +X119977733Y-125126200D01* +X119544267Y-125126200D01* +X119510710Y-125122895D01* +X119481432Y-125114014D01* +X119454455Y-125099594D01* +X119430810Y-125080190D01* +X119411406Y-125056545D01* +X119396986Y-125029568D01* +X119388105Y-125000290D01* +X119384800Y-124966733D01* +X119384800Y-123658267D01* +X119388105Y-123624710D01* +X119396986Y-123595432D01* +X119411406Y-123568455D01* +X119430810Y-123544810D01* +X119454455Y-123525406D01* +X119481432Y-123510986D01* +X119510710Y-123502105D01* +X119544267Y-123498800D01* +X119977733Y-123498800D01* +X120011290Y-123502105D01* +G37* +G36* +X121281290Y-123502105D02* +G01* +X121310568Y-123510986D01* +X121337545Y-123525406D01* +X121361190Y-123544810D01* +X121380594Y-123568455D01* +X121395014Y-123595432D01* +X121403895Y-123624710D01* +X121407200Y-123658267D01* +X121407200Y-124966733D01* +X121403895Y-125000290D01* +X121395014Y-125029568D01* +X121380594Y-125056545D01* +X121361190Y-125080190D01* +X121337545Y-125099594D01* +X121310568Y-125114014D01* +X121281290Y-125122895D01* +X121247733Y-125126200D01* +X120814267Y-125126200D01* +X120780710Y-125122895D01* +X120751432Y-125114014D01* +X120724455Y-125099594D01* +X120700810Y-125080190D01* +X120681406Y-125056545D01* +X120666986Y-125029568D01* +X120658105Y-125000290D01* +X120654800Y-124966733D01* +X120654800Y-123658267D01* +X120658105Y-123624710D01* +X120666986Y-123595432D01* +X120681406Y-123568455D01* +X120700810Y-123544810D01* +X120724455Y-123525406D01* +X120751432Y-123510986D01* +X120780710Y-123502105D01* +X120814267Y-123498800D01* +X121247733Y-123498800D01* +X121281290Y-123502105D01* +G37* +G36* +X109887290Y-123452105D02* +G01* +X109916568Y-123460986D01* +X109943545Y-123475406D01* +X109967190Y-123494810D01* +X109986594Y-123518455D01* +X110001014Y-123545432D01* +X110009895Y-123574710D01* +X110013200Y-123608267D01* +X110013200Y-124041733D01* +X110009895Y-124075290D01* +X110001014Y-124104568D01* +X109986594Y-124131545D01* +X109967190Y-124155190D01* +X109943545Y-124174594D01* +X109916568Y-124189014D01* +X109887290Y-124197895D01* +X109853733Y-124201200D01* +X107970267Y-124201200D01* +X107936710Y-124197895D01* +X107907432Y-124189014D01* +X107880455Y-124174594D01* +X107856810Y-124155190D01* +X107837406Y-124131545D01* +X107822986Y-124104568D01* +X107814105Y-124075290D01* +X107810800Y-124041733D01* +X107810800Y-123608267D01* +X107814105Y-123574710D01* +X107822986Y-123545432D01* +X107837406Y-123518455D01* +X107856810Y-123494810D01* +X107880455Y-123475406D01* +X107907432Y-123460986D01* +X107936710Y-123452105D01* +X107970267Y-123448800D01* +X109853733Y-123448800D01* +X109887290Y-123452105D01* +G37* +G36* +X96787290Y-123452105D02* +G01* +X96816568Y-123460986D01* +X96843545Y-123475406D01* +X96867190Y-123494810D01* +X96886594Y-123518455D01* +X96901014Y-123545432D01* +X96909895Y-123574710D01* +X96913200Y-123608267D01* +X96913200Y-124041733D01* +X96909895Y-124075290D01* +X96901014Y-124104568D01* +X96886594Y-124131545D01* +X96867190Y-124155190D01* +X96843545Y-124174594D01* +X96816568Y-124189014D01* +X96787290Y-124197895D01* +X96753733Y-124201200D01* +X94870267Y-124201200D01* +X94836710Y-124197895D01* +X94807432Y-124189014D01* +X94780455Y-124174594D01* +X94756810Y-124155190D01* +X94737406Y-124131545D01* +X94722986Y-124104568D01* +X94714105Y-124075290D01* +X94710800Y-124041733D01* +X94710800Y-123608267D01* +X94714105Y-123574710D01* +X94722986Y-123545432D01* +X94737406Y-123518455D01* +X94756810Y-123494810D01* +X94780455Y-123475406D01* +X94807432Y-123460986D01* +X94836710Y-123452105D01* +X94870267Y-123448800D01* +X96753733Y-123448800D01* +X96787290Y-123452105D01* +G37* +G36* +X136496290Y-122182105D02* +G01* +X136525568Y-122190986D01* +X136552545Y-122205406D01* +X136576190Y-122224810D01* +X136595594Y-122248455D01* +X136610014Y-122275432D01* +X136618895Y-122304710D01* +X136622200Y-122338267D01* +X136622200Y-122771733D01* +X136618895Y-122805290D01* +X136610014Y-122834568D01* +X136595594Y-122861545D01* +X136576190Y-122885190D01* +X136552545Y-122904594D01* +X136525568Y-122919014D01* +X136496290Y-122927895D01* +X136462733Y-122931200D01* +X134679267Y-122931200D01* +X134645710Y-122927895D01* +X134616432Y-122919014D01* +X134589455Y-122904594D01* +X134565810Y-122885190D01* +X134546406Y-122861545D01* +X134531986Y-122834568D01* +X134523105Y-122805290D01* +X134519800Y-122771733D01* +X134519800Y-122338267D01* +X134523105Y-122304710D01* +X134531986Y-122275432D01* +X134546406Y-122248455D01* +X134565810Y-122224810D01* +X134589455Y-122205406D01* +X134616432Y-122190986D01* +X134645710Y-122182105D01* +X134679267Y-122178800D01* +X136462733Y-122178800D01* +X136496290Y-122182105D01* +G37* +G36* +X114886290Y-122182105D02* +G01* +X114915568Y-122190986D01* +X114942545Y-122205406D01* +X114966190Y-122224810D01* +X114985594Y-122248455D01* +X115000014Y-122275432D01* +X115008895Y-122304710D01* +X115012200Y-122338267D01* +X115012200Y-122771733D01* +X115008895Y-122805290D01* +X115000014Y-122834568D01* +X114985594Y-122861545D01* +X114966190Y-122885190D01* +X114942545Y-122904594D01* +X114915568Y-122919014D01* +X114886290Y-122927895D01* +X114852733Y-122931200D01* +X113544267Y-122931200D01* +X113510710Y-122927895D01* +X113481432Y-122919014D01* +X113454455Y-122904594D01* +X113430810Y-122885190D01* +X113411406Y-122861545D01* +X113396986Y-122834568D01* +X113388105Y-122805290D01* +X113384800Y-122771733D01* +X113384800Y-122338267D01* +X113388105Y-122304710D01* +X113396986Y-122275432D01* +X113411406Y-122248455D01* +X113430810Y-122224810D01* +X113454455Y-122205406D01* +X113481432Y-122190986D01* +X113510710Y-122182105D01* +X113544267Y-122178800D01* +X114852733Y-122178800D01* +X114886290Y-122182105D01* +G37* +G36* +X131546290Y-122182105D02* +G01* +X131575568Y-122190986D01* +X131602545Y-122205406D01* +X131626190Y-122224810D01* +X131645594Y-122248455D01* +X131660014Y-122275432D01* +X131668895Y-122304710D01* +X131672200Y-122338267D01* +X131672200Y-122771733D01* +X131668895Y-122805290D01* +X131660014Y-122834568D01* +X131645594Y-122861545D01* +X131626190Y-122885190D01* +X131602545Y-122904594D01* +X131575568Y-122919014D01* +X131546290Y-122927895D01* +X131512733Y-122931200D01* +X129729267Y-122931200D01* +X129695710Y-122927895D01* +X129666432Y-122919014D01* +X129639455Y-122904594D01* +X129615810Y-122885190D01* +X129596406Y-122861545D01* +X129581986Y-122834568D01* +X129573105Y-122805290D01* +X129569800Y-122771733D01* +X129569800Y-122338267D01* +X129573105Y-122304710D01* +X129581986Y-122275432D01* +X129596406Y-122248455D01* +X129615810Y-122224810D01* +X129639455Y-122205406D01* +X129666432Y-122190986D01* +X129695710Y-122182105D01* +X129729267Y-122178800D01* +X131512733Y-122178800D01* +X131546290Y-122182105D01* +G37* +G36* +X126011290Y-122182105D02* +G01* +X126040568Y-122190986D01* +X126067545Y-122205406D01* +X126091190Y-122224810D01* +X126110594Y-122248455D01* +X126125014Y-122275432D01* +X126133895Y-122304710D01* +X126137200Y-122338267D01* +X126137200Y-122771733D01* +X126133895Y-122805290D01* +X126125014Y-122834568D01* +X126110594Y-122861545D01* +X126091190Y-122885190D01* +X126067545Y-122904594D01* +X126040568Y-122919014D01* +X126011290Y-122927895D01* +X125977733Y-122931200D01* +X124669267Y-122931200D01* +X124635710Y-122927895D01* +X124606432Y-122919014D01* +X124579455Y-122904594D01* +X124555810Y-122885190D01* +X124536406Y-122861545D01* +X124521986Y-122834568D01* +X124513105Y-122805290D01* +X124509800Y-122771733D01* +X124509800Y-122338267D01* +X124513105Y-122304710D01* +X124521986Y-122275432D01* +X124536406Y-122248455D01* +X124555810Y-122224810D01* +X124579455Y-122205406D01* +X124606432Y-122190986D01* +X124635710Y-122182105D01* +X124669267Y-122178800D01* +X125977733Y-122178800D01* +X126011290Y-122182105D01* +G37* +G36* +X96787290Y-122182105D02* +G01* +X96816568Y-122190986D01* +X96843545Y-122205406D01* +X96867190Y-122224810D01* +X96886594Y-122248455D01* +X96901014Y-122275432D01* +X96909895Y-122304710D01* +X96913200Y-122338267D01* +X96913200Y-122771733D01* +X96909895Y-122805290D01* +X96901014Y-122834568D01* +X96886594Y-122861545D01* +X96867190Y-122885190D01* +X96843545Y-122904594D01* +X96816568Y-122919014D01* +X96787290Y-122927895D01* +X96753733Y-122931200D01* +X94870267Y-122931200D01* +X94836710Y-122927895D01* +X94807432Y-122919014D01* +X94780455Y-122904594D01* +X94756810Y-122885190D01* +X94737406Y-122861545D01* +X94722986Y-122834568D01* +X94714105Y-122805290D01* +X94710800Y-122771733D01* +X94710800Y-122338267D01* +X94714105Y-122304710D01* +X94722986Y-122275432D01* +X94737406Y-122248455D01* +X94756810Y-122224810D01* +X94780455Y-122205406D01* +X94807432Y-122190986D01* +X94836710Y-122182105D01* +X94870267Y-122178800D01* +X96753733Y-122178800D01* +X96787290Y-122182105D01* +G37* +G36* +X109887290Y-122182105D02* +G01* +X109916568Y-122190986D01* +X109943545Y-122205406D01* +X109967190Y-122224810D01* +X109986594Y-122248455D01* +X110001014Y-122275432D01* +X110009895Y-122304710D01* +X110013200Y-122338267D01* +X110013200Y-122771733D01* +X110009895Y-122805290D01* +X110001014Y-122834568D01* +X109986594Y-122861545D01* +X109967190Y-122885190D01* +X109943545Y-122904594D01* +X109916568Y-122919014D01* +X109887290Y-122927895D01* +X109853733Y-122931200D01* +X107970267Y-122931200D01* +X107936710Y-122927895D01* +X107907432Y-122919014D01* +X107880455Y-122904594D01* +X107856810Y-122885190D01* +X107837406Y-122861545D01* +X107822986Y-122834568D01* +X107814105Y-122805290D01* +X107810800Y-122771733D01* +X107810800Y-122338267D01* +X107814105Y-122304710D01* +X107822986Y-122275432D01* +X107837406Y-122248455D01* +X107856810Y-122224810D01* +X107880455Y-122205406D01* +X107907432Y-122190986D01* +X107936710Y-122182105D01* +X107970267Y-122178800D01* +X109853733Y-122178800D01* +X109887290Y-122182105D01* +G37* +G36* +X114886290Y-120912105D02* +G01* +X114915568Y-120920986D01* +X114942545Y-120935406D01* +X114966190Y-120954810D01* +X114985594Y-120978455D01* +X115000014Y-121005432D01* +X115008895Y-121034710D01* +X115012200Y-121068267D01* +X115012200Y-121501733D01* +X115008895Y-121535290D01* +X115000014Y-121564568D01* +X114985594Y-121591545D01* +X114966190Y-121615190D01* +X114942545Y-121634594D01* +X114915568Y-121649014D01* +X114886290Y-121657895D01* +X114852733Y-121661200D01* +X113544267Y-121661200D01* +X113510710Y-121657895D01* +X113481432Y-121649014D01* +X113454455Y-121634594D01* +X113430810Y-121615190D01* +X113411406Y-121591545D01* +X113396986Y-121564568D01* +X113388105Y-121535290D01* +X113384800Y-121501733D01* +X113384800Y-121068267D01* +X113388105Y-121034710D01* +X113396986Y-121005432D01* +X113411406Y-120978455D01* +X113430810Y-120954810D01* +X113454455Y-120935406D01* +X113481432Y-120920986D01* +X113510710Y-120912105D01* +X113544267Y-120908800D01* +X114852733Y-120908800D01* +X114886290Y-120912105D01* +G37* +G36* +X96787290Y-120912105D02* +G01* +X96816568Y-120920986D01* +X96843545Y-120935406D01* +X96867190Y-120954810D01* +X96886594Y-120978455D01* +X96901014Y-121005432D01* +X96909895Y-121034710D01* +X96913200Y-121068267D01* +X96913200Y-121501733D01* +X96909895Y-121535290D01* +X96901014Y-121564568D01* +X96886594Y-121591545D01* +X96867190Y-121615190D01* +X96843545Y-121634594D01* +X96816568Y-121649014D01* +X96787290Y-121657895D01* +X96753733Y-121661200D01* +X94870267Y-121661200D01* +X94836710Y-121657895D01* +X94807432Y-121649014D01* +X94780455Y-121634594D01* +X94756810Y-121615190D01* +X94737406Y-121591545D01* +X94722986Y-121564568D01* +X94714105Y-121535290D01* +X94710800Y-121501733D01* +X94710800Y-121068267D01* +X94714105Y-121034710D01* +X94722986Y-121005432D01* +X94737406Y-120978455D01* +X94756810Y-120954810D01* +X94780455Y-120935406D01* +X94807432Y-120920986D01* +X94836710Y-120912105D01* +X94870267Y-120908800D01* +X96753733Y-120908800D01* +X96787290Y-120912105D01* +G37* +G36* +X109887290Y-120912105D02* +G01* +X109916568Y-120920986D01* +X109943545Y-120935406D01* +X109967190Y-120954810D01* +X109986594Y-120978455D01* +X110001014Y-121005432D01* +X110009895Y-121034710D01* +X110013200Y-121068267D01* +X110013200Y-121501733D01* +X110009895Y-121535290D01* +X110001014Y-121564568D01* +X109986594Y-121591545D01* +X109967190Y-121615190D01* +X109943545Y-121634594D01* +X109916568Y-121649014D01* +X109887290Y-121657895D01* +X109853733Y-121661200D01* +X107970267Y-121661200D01* +X107936710Y-121657895D01* +X107907432Y-121649014D01* +X107880455Y-121634594D01* +X107856810Y-121615190D01* +X107837406Y-121591545D01* +X107822986Y-121564568D01* +X107814105Y-121535290D01* +X107810800Y-121501733D01* +X107810800Y-121068267D01* +X107814105Y-121034710D01* +X107822986Y-121005432D01* +X107837406Y-120978455D01* +X107856810Y-120954810D01* +X107880455Y-120935406D01* +X107907432Y-120920986D01* +X107936710Y-120912105D01* +X107970267Y-120908800D01* +X109853733Y-120908800D01* +X109887290Y-120912105D01* +G37* +G36* +X136496290Y-120912105D02* +G01* +X136525568Y-120920986D01* +X136552545Y-120935406D01* +X136576190Y-120954810D01* +X136595594Y-120978455D01* +X136610014Y-121005432D01* +X136618895Y-121034710D01* +X136622200Y-121068267D01* +X136622200Y-121501733D01* +X136618895Y-121535290D01* +X136610014Y-121564568D01* +X136595594Y-121591545D01* +X136576190Y-121615190D01* +X136552545Y-121634594D01* +X136525568Y-121649014D01* +X136496290Y-121657895D01* +X136462733Y-121661200D01* +X134679267Y-121661200D01* +X134645710Y-121657895D01* +X134616432Y-121649014D01* +X134589455Y-121634594D01* +X134565810Y-121615190D01* +X134546406Y-121591545D01* +X134531986Y-121564568D01* +X134523105Y-121535290D01* +X134519800Y-121501733D01* +X134519800Y-121068267D01* +X134523105Y-121034710D01* +X134531986Y-121005432D01* +X134546406Y-120978455D01* +X134565810Y-120954810D01* +X134589455Y-120935406D01* +X134616432Y-120920986D01* +X134645710Y-120912105D01* +X134679267Y-120908800D01* +X136462733Y-120908800D01* +X136496290Y-120912105D01* +G37* +G36* +X131546290Y-120912105D02* +G01* +X131575568Y-120920986D01* +X131602545Y-120935406D01* +X131626190Y-120954810D01* +X131645594Y-120978455D01* +X131660014Y-121005432D01* +X131668895Y-121034710D01* +X131672200Y-121068267D01* +X131672200Y-121501733D01* +X131668895Y-121535290D01* +X131660014Y-121564568D01* +X131645594Y-121591545D01* +X131626190Y-121615190D01* +X131602545Y-121634594D01* +X131575568Y-121649014D01* +X131546290Y-121657895D01* +X131512733Y-121661200D01* +X129729267Y-121661200D01* +X129695710Y-121657895D01* +X129666432Y-121649014D01* +X129639455Y-121634594D01* +X129615810Y-121615190D01* +X129596406Y-121591545D01* +X129581986Y-121564568D01* +X129573105Y-121535290D01* +X129569800Y-121501733D01* +X129569800Y-121068267D01* +X129573105Y-121034710D01* +X129581986Y-121005432D01* +X129596406Y-120978455D01* +X129615810Y-120954810D01* +X129639455Y-120935406D01* +X129666432Y-120920986D01* +X129695710Y-120912105D01* +X129729267Y-120908800D01* +X131512733Y-120908800D01* +X131546290Y-120912105D01* +G37* +G36* +X126011290Y-120912105D02* +G01* +X126040568Y-120920986D01* +X126067545Y-120935406D01* +X126091190Y-120954810D01* +X126110594Y-120978455D01* +X126125014Y-121005432D01* +X126133895Y-121034710D01* +X126137200Y-121068267D01* +X126137200Y-121501733D01* +X126133895Y-121535290D01* +X126125014Y-121564568D01* +X126110594Y-121591545D01* +X126091190Y-121615190D01* +X126067545Y-121634594D01* +X126040568Y-121649014D01* +X126011290Y-121657895D01* +X125977733Y-121661200D01* +X124669267Y-121661200D01* +X124635710Y-121657895D01* +X124606432Y-121649014D01* +X124579455Y-121634594D01* +X124555810Y-121615190D01* +X124536406Y-121591545D01* +X124521986Y-121564568D01* +X124513105Y-121535290D01* +X124509800Y-121501733D01* +X124509800Y-121068267D01* +X124513105Y-121034710D01* +X124521986Y-121005432D01* +X124536406Y-120978455D01* +X124555810Y-120954810D01* +X124579455Y-120935406D01* +X124606432Y-120920986D01* +X124635710Y-120912105D01* +X124669267Y-120908800D01* +X125977733Y-120908800D01* +X126011290Y-120912105D01* +G37* +G36* +X79426737Y-119419267D02* +G01* +X79477119Y-119434550D01* +X79523546Y-119459366D01* +X79564239Y-119492761D01* +X79597634Y-119533454D01* +X79622450Y-119579881D01* +X79637733Y-119630263D01* +X79643200Y-119685767D01* +X79643200Y-120344233D01* +X79637733Y-120399737D01* +X79622450Y-120450119D01* +X79597634Y-120496546D01* +X79564239Y-120537239D01* +X79523546Y-120570634D01* +X79477119Y-120595450D01* +X79426737Y-120610733D01* +X79371233Y-120616200D01* +X78362767Y-120616200D01* +X78307263Y-120610733D01* +X78256881Y-120595450D01* +X78210454Y-120570634D01* +X78169761Y-120537239D01* +X78136366Y-120496546D01* +X78111550Y-120450119D01* +X78096267Y-120399737D01* +X78090800Y-120344233D01* +X78090800Y-119685767D01* +X78096267Y-119630263D01* +X78111550Y-119579881D01* +X78136366Y-119533454D01* +X78169761Y-119492761D01* +X78210454Y-119459366D01* +X78256881Y-119434550D01* +X78307263Y-119419267D01* +X78362767Y-119413800D01* +X79371233Y-119413800D01* +X79426737Y-119419267D01* +G37* +G36* +X109887290Y-119642105D02* +G01* +X109916568Y-119650986D01* +X109943545Y-119665406D01* +X109967190Y-119684810D01* +X109986594Y-119708455D01* +X110001014Y-119735432D01* +X110009895Y-119764710D01* +X110013200Y-119798267D01* +X110013200Y-120231733D01* +X110009895Y-120265290D01* +X110001014Y-120294568D01* +X109986594Y-120321545D01* +X109967190Y-120345190D01* +X109943545Y-120364594D01* +X109916568Y-120379014D01* +X109887290Y-120387895D01* +X109853733Y-120391200D01* +X107970267Y-120391200D01* +X107936710Y-120387895D01* +X107907432Y-120379014D01* +X107880455Y-120364594D01* +X107856810Y-120345190D01* +X107837406Y-120321545D01* +X107822986Y-120294568D01* +X107814105Y-120265290D01* +X107810800Y-120231733D01* +X107810800Y-119798267D01* +X107814105Y-119764710D01* +X107822986Y-119735432D01* +X107837406Y-119708455D01* +X107856810Y-119684810D01* +X107880455Y-119665406D01* +X107907432Y-119650986D01* +X107936710Y-119642105D01* +X107970267Y-119638800D01* +X109853733Y-119638800D01* +X109887290Y-119642105D01* +G37* +G36* +X114886290Y-119642105D02* +G01* +X114915568Y-119650986D01* +X114942545Y-119665406D01* +X114966190Y-119684810D01* +X114985594Y-119708455D01* +X115000014Y-119735432D01* +X115008895Y-119764710D01* +X115012200Y-119798267D01* +X115012200Y-120231733D01* +X115008895Y-120265290D01* +X115000014Y-120294568D01* +X114985594Y-120321545D01* +X114966190Y-120345190D01* +X114942545Y-120364594D01* +X114915568Y-120379014D01* +X114886290Y-120387895D01* +X114852733Y-120391200D01* +X113544267Y-120391200D01* +X113510710Y-120387895D01* +X113481432Y-120379014D01* +X113454455Y-120364594D01* +X113430810Y-120345190D01* +X113411406Y-120321545D01* +X113396986Y-120294568D01* +X113388105Y-120265290D01* +X113384800Y-120231733D01* +X113384800Y-119798267D01* +X113388105Y-119764710D01* +X113396986Y-119735432D01* +X113411406Y-119708455D01* +X113430810Y-119684810D01* +X113454455Y-119665406D01* +X113481432Y-119650986D01* +X113510710Y-119642105D01* +X113544267Y-119638800D01* +X114852733Y-119638800D01* +X114886290Y-119642105D01* +G37* +G36* +X82812290Y-119642105D02* +G01* +X82841568Y-119650986D01* +X82868545Y-119665406D01* +X82892190Y-119684810D01* +X82911594Y-119708455D01* +X82926014Y-119735432D01* +X82934895Y-119764710D01* +X82938200Y-119798267D01* +X82938200Y-120231733D01* +X82934895Y-120265290D01* +X82926014Y-120294568D01* +X82911594Y-120321545D01* +X82892190Y-120345190D01* +X82868545Y-120364594D01* +X82841568Y-120379014D01* +X82812290Y-120387895D01* +X82778733Y-120391200D01* +X80895267Y-120391200D01* +X80861710Y-120387895D01* +X80832432Y-120379014D01* +X80805455Y-120364594D01* +X80781810Y-120345190D01* +X80762406Y-120321545D01* +X80747986Y-120294568D01* +X80739105Y-120265290D01* +X80735800Y-120231733D01* +X80735800Y-119798267D01* +X80739105Y-119764710D01* +X80747986Y-119735432D01* +X80762406Y-119708455D01* +X80781810Y-119684810D01* +X80805455Y-119665406D01* +X80832432Y-119650986D01* +X80861710Y-119642105D01* +X80895267Y-119638800D01* +X82778733Y-119638800D01* +X82812290Y-119642105D01* +G37* +G36* +X126011290Y-119642105D02* +G01* +X126040568Y-119650986D01* +X126067545Y-119665406D01* +X126091190Y-119684810D01* +X126110594Y-119708455D01* +X126125014Y-119735432D01* +X126133895Y-119764710D01* +X126137200Y-119798267D01* +X126137200Y-120231733D01* +X126133895Y-120265290D01* +X126125014Y-120294568D01* +X126110594Y-120321545D01* +X126091190Y-120345190D01* +X126067545Y-120364594D01* +X126040568Y-120379014D01* +X126011290Y-120387895D01* +X125977733Y-120391200D01* +X124669267Y-120391200D01* +X124635710Y-120387895D01* +X124606432Y-120379014D01* +X124579455Y-120364594D01* +X124555810Y-120345190D01* +X124536406Y-120321545D01* +X124521986Y-120294568D01* +X124513105Y-120265290D01* +X124509800Y-120231733D01* +X124509800Y-119798267D01* +X124513105Y-119764710D01* +X124521986Y-119735432D01* +X124536406Y-119708455D01* +X124555810Y-119684810D01* +X124579455Y-119665406D01* +X124606432Y-119650986D01* +X124635710Y-119642105D01* +X124669267Y-119638800D01* +X125977733Y-119638800D01* +X126011290Y-119642105D01* +G37* +G36* +X96787290Y-119642105D02* +G01* +X96816568Y-119650986D01* +X96843545Y-119665406D01* +X96867190Y-119684810D01* +X96886594Y-119708455D01* +X96901014Y-119735432D01* +X96909895Y-119764710D01* +X96913200Y-119798267D01* +X96913200Y-120231733D01* +X96909895Y-120265290D01* +X96901014Y-120294568D01* +X96886594Y-120321545D01* +X96867190Y-120345190D01* +X96843545Y-120364594D01* +X96816568Y-120379014D01* +X96787290Y-120387895D01* +X96753733Y-120391200D01* +X94870267Y-120391200D01* +X94836710Y-120387895D01* +X94807432Y-120379014D01* +X94780455Y-120364594D01* +X94756810Y-120345190D01* +X94737406Y-120321545D01* +X94722986Y-120294568D01* +X94714105Y-120265290D01* +X94710800Y-120231733D01* +X94710800Y-119798267D01* +X94714105Y-119764710D01* +X94722986Y-119735432D01* +X94737406Y-119708455D01* +X94756810Y-119684810D01* +X94780455Y-119665406D01* +X94807432Y-119650986D01* +X94836710Y-119642105D01* +X94870267Y-119638800D01* +X96753733Y-119638800D01* +X96787290Y-119642105D01* +G37* +G36* +X92112290Y-119642105D02* +G01* +X92141568Y-119650986D01* +X92168545Y-119665406D01* +X92192190Y-119684810D01* +X92211594Y-119708455D01* +X92226014Y-119735432D01* +X92234895Y-119764710D01* +X92238200Y-119798267D01* +X92238200Y-120231733D01* +X92234895Y-120265290D01* +X92226014Y-120294568D01* +X92211594Y-120321545D01* +X92192190Y-120345190D01* +X92168545Y-120364594D01* +X92141568Y-120379014D01* +X92112290Y-120387895D01* +X92078733Y-120391200D01* +X90195267Y-120391200D01* +X90161710Y-120387895D01* +X90132432Y-120379014D01* +X90105455Y-120364594D01* +X90081810Y-120345190D01* +X90062406Y-120321545D01* +X90047986Y-120294568D01* +X90039105Y-120265290D01* +X90035800Y-120231733D01* +X90035800Y-119798267D01* +X90039105Y-119764710D01* +X90047986Y-119735432D01* +X90062406Y-119708455D01* +X90081810Y-119684810D01* +X90105455Y-119665406D01* +X90132432Y-119650986D01* +X90161710Y-119642105D01* +X90195267Y-119638800D01* +X92078733Y-119638800D01* +X92112290Y-119642105D01* +G37* +G36* +X131546290Y-119642105D02* +G01* +X131575568Y-119650986D01* +X131602545Y-119665406D01* +X131626190Y-119684810D01* +X131645594Y-119708455D01* +X131660014Y-119735432D01* +X131668895Y-119764710D01* +X131672200Y-119798267D01* +X131672200Y-120231733D01* +X131668895Y-120265290D01* +X131660014Y-120294568D01* +X131645594Y-120321545D01* +X131626190Y-120345190D01* +X131602545Y-120364594D01* +X131575568Y-120379014D01* +X131546290Y-120387895D01* +X131512733Y-120391200D01* +X129729267Y-120391200D01* +X129695710Y-120387895D01* +X129666432Y-120379014D01* +X129639455Y-120364594D01* +X129615810Y-120345190D01* +X129596406Y-120321545D01* +X129581986Y-120294568D01* +X129573105Y-120265290D01* +X129569800Y-120231733D01* +X129569800Y-119798267D01* +X129573105Y-119764710D01* +X129581986Y-119735432D01* +X129596406Y-119708455D01* +X129615810Y-119684810D01* +X129639455Y-119665406D01* +X129666432Y-119650986D01* +X129695710Y-119642105D01* +X129729267Y-119638800D01* +X131512733Y-119638800D01* +X131546290Y-119642105D01* +G37* +G36* +X136496290Y-119642105D02* +G01* +X136525568Y-119650986D01* +X136552545Y-119665406D01* +X136576190Y-119684810D01* +X136595594Y-119708455D01* +X136610014Y-119735432D01* +X136618895Y-119764710D01* +X136622200Y-119798267D01* +X136622200Y-120231733D01* +X136618895Y-120265290D01* +X136610014Y-120294568D01* +X136595594Y-120321545D01* +X136576190Y-120345190D01* +X136552545Y-120364594D01* +X136525568Y-120379014D01* +X136496290Y-120387895D01* +X136462733Y-120391200D01* +X134679267Y-120391200D01* +X134645710Y-120387895D01* +X134616432Y-120379014D01* +X134589455Y-120364594D01* +X134565810Y-120345190D01* +X134546406Y-120321545D01* +X134531986Y-120294568D01* +X134523105Y-120265290D01* +X134519800Y-120231733D01* +X134519800Y-119798267D01* +X134523105Y-119764710D01* +X134531986Y-119735432D01* +X134546406Y-119708455D01* +X134565810Y-119684810D01* +X134589455Y-119665406D01* +X134616432Y-119650986D01* +X134645710Y-119642105D01* +X134679267Y-119638800D01* +X136462733Y-119638800D01* +X136496290Y-119642105D01* +G37* +G36* +X136496290Y-118372105D02* +G01* +X136525568Y-118380986D01* +X136552545Y-118395406D01* +X136576190Y-118414810D01* +X136595594Y-118438455D01* +X136610014Y-118465432D01* +X136618895Y-118494710D01* +X136622200Y-118528267D01* +X136622200Y-118961733D01* +X136618895Y-118995290D01* +X136610014Y-119024568D01* +X136595594Y-119051545D01* +X136576190Y-119075190D01* +X136552545Y-119094594D01* +X136525568Y-119109014D01* +X136496290Y-119117895D01* +X136462733Y-119121200D01* +X134679267Y-119121200D01* +X134645710Y-119117895D01* +X134616432Y-119109014D01* +X134589455Y-119094594D01* +X134565810Y-119075190D01* +X134546406Y-119051545D01* +X134531986Y-119024568D01* +X134523105Y-118995290D01* +X134519800Y-118961733D01* +X134519800Y-118528267D01* +X134523105Y-118494710D01* +X134531986Y-118465432D01* +X134546406Y-118438455D01* +X134565810Y-118414810D01* +X134589455Y-118395406D01* +X134616432Y-118380986D01* +X134645710Y-118372105D01* +X134679267Y-118368800D01* +X136462733Y-118368800D01* +X136496290Y-118372105D01* +G37* +G36* +X96787290Y-118372105D02* +G01* +X96816568Y-118380986D01* +X96843545Y-118395406D01* +X96867190Y-118414810D01* +X96886594Y-118438455D01* +X96901014Y-118465432D01* +X96909895Y-118494710D01* +X96913200Y-118528267D01* +X96913200Y-118961733D01* +X96909895Y-118995290D01* +X96901014Y-119024568D01* +X96886594Y-119051545D01* +X96867190Y-119075190D01* +X96843545Y-119094594D01* +X96816568Y-119109014D01* +X96787290Y-119117895D01* +X96753733Y-119121200D01* +X94870267Y-119121200D01* +X94836710Y-119117895D01* +X94807432Y-119109014D01* +X94780455Y-119094594D01* +X94756810Y-119075190D01* +X94737406Y-119051545D01* +X94722986Y-119024568D01* +X94714105Y-118995290D01* +X94710800Y-118961733D01* +X94710800Y-118528267D01* +X94714105Y-118494710D01* +X94722986Y-118465432D01* +X94737406Y-118438455D01* +X94756810Y-118414810D01* +X94780455Y-118395406D01* +X94807432Y-118380986D01* +X94836710Y-118372105D01* +X94870267Y-118368800D01* +X96753733Y-118368800D01* +X96787290Y-118372105D01* +G37* +G36* +X131546290Y-118372105D02* +G01* +X131575568Y-118380986D01* +X131602545Y-118395406D01* +X131626190Y-118414810D01* +X131645594Y-118438455D01* +X131660014Y-118465432D01* +X131668895Y-118494710D01* +X131672200Y-118528267D01* +X131672200Y-118961733D01* +X131668895Y-118995290D01* +X131660014Y-119024568D01* +X131645594Y-119051545D01* +X131626190Y-119075190D01* +X131602545Y-119094594D01* +X131575568Y-119109014D01* +X131546290Y-119117895D01* +X131512733Y-119121200D01* +X129729267Y-119121200D01* +X129695710Y-119117895D01* +X129666432Y-119109014D01* +X129639455Y-119094594D01* +X129615810Y-119075190D01* +X129596406Y-119051545D01* +X129581986Y-119024568D01* +X129573105Y-118995290D01* +X129569800Y-118961733D01* +X129569800Y-118528267D01* +X129573105Y-118494710D01* +X129581986Y-118465432D01* +X129596406Y-118438455D01* +X129615810Y-118414810D01* +X129639455Y-118395406D01* +X129666432Y-118380986D01* +X129695710Y-118372105D01* +X129729267Y-118368800D01* +X131512733Y-118368800D01* +X131546290Y-118372105D01* +G37* +G36* +X126011290Y-118372105D02* +G01* +X126040568Y-118380986D01* +X126067545Y-118395406D01* +X126091190Y-118414810D01* +X126110594Y-118438455D01* +X126125014Y-118465432D01* +X126133895Y-118494710D01* +X126137200Y-118528267D01* +X126137200Y-118961733D01* +X126133895Y-118995290D01* +X126125014Y-119024568D01* +X126110594Y-119051545D01* +X126091190Y-119075190D01* +X126067545Y-119094594D01* +X126040568Y-119109014D01* +X126011290Y-119117895D01* +X125977733Y-119121200D01* +X124669267Y-119121200D01* +X124635710Y-119117895D01* +X124606432Y-119109014D01* +X124579455Y-119094594D01* +X124555810Y-119075190D01* +X124536406Y-119051545D01* +X124521986Y-119024568D01* +X124513105Y-118995290D01* +X124509800Y-118961733D01* +X124509800Y-118528267D01* +X124513105Y-118494710D01* +X124521986Y-118465432D01* +X124536406Y-118438455D01* +X124555810Y-118414810D01* +X124579455Y-118395406D01* +X124606432Y-118380986D01* +X124635710Y-118372105D01* +X124669267Y-118368800D01* +X125977733Y-118368800D01* +X126011290Y-118372105D01* +G37* +G36* +X92112290Y-118372105D02* +G01* +X92141568Y-118380986D01* +X92168545Y-118395406D01* +X92192190Y-118414810D01* +X92211594Y-118438455D01* +X92226014Y-118465432D01* +X92234895Y-118494710D01* +X92238200Y-118528267D01* +X92238200Y-118961733D01* +X92234895Y-118995290D01* +X92226014Y-119024568D01* +X92211594Y-119051545D01* +X92192190Y-119075190D01* +X92168545Y-119094594D01* +X92141568Y-119109014D01* +X92112290Y-119117895D01* +X92078733Y-119121200D01* +X90195267Y-119121200D01* +X90161710Y-119117895D01* +X90132432Y-119109014D01* +X90105455Y-119094594D01* +X90081810Y-119075190D01* +X90062406Y-119051545D01* +X90047986Y-119024568D01* +X90039105Y-118995290D01* +X90035800Y-118961733D01* +X90035800Y-118528267D01* +X90039105Y-118494710D01* +X90047986Y-118465432D01* +X90062406Y-118438455D01* +X90081810Y-118414810D01* +X90105455Y-118395406D01* +X90132432Y-118380986D01* +X90161710Y-118372105D01* +X90195267Y-118368800D01* +X92078733Y-118368800D01* +X92112290Y-118372105D01* +G37* +G36* +X114886290Y-118372105D02* +G01* +X114915568Y-118380986D01* +X114942545Y-118395406D01* +X114966190Y-118414810D01* +X114985594Y-118438455D01* +X115000014Y-118465432D01* +X115008895Y-118494710D01* +X115012200Y-118528267D01* +X115012200Y-118961733D01* +X115008895Y-118995290D01* +X115000014Y-119024568D01* +X114985594Y-119051545D01* +X114966190Y-119075190D01* +X114942545Y-119094594D01* +X114915568Y-119109014D01* +X114886290Y-119117895D01* +X114852733Y-119121200D01* +X113544267Y-119121200D01* +X113510710Y-119117895D01* +X113481432Y-119109014D01* +X113454455Y-119094594D01* +X113430810Y-119075190D01* +X113411406Y-119051545D01* +X113396986Y-119024568D01* +X113388105Y-118995290D01* +X113384800Y-118961733D01* +X113384800Y-118528267D01* +X113388105Y-118494710D01* +X113396986Y-118465432D01* +X113411406Y-118438455D01* +X113430810Y-118414810D01* +X113454455Y-118395406D01* +X113481432Y-118380986D01* +X113510710Y-118372105D01* +X113544267Y-118368800D01* +X114852733Y-118368800D01* +X114886290Y-118372105D01* +G37* +G36* +X109887290Y-118372105D02* +G01* +X109916568Y-118380986D01* +X109943545Y-118395406D01* +X109967190Y-118414810D01* +X109986594Y-118438455D01* +X110001014Y-118465432D01* +X110009895Y-118494710D01* +X110013200Y-118528267D01* +X110013200Y-118961733D01* +X110009895Y-118995290D01* +X110001014Y-119024568D01* +X109986594Y-119051545D01* +X109967190Y-119075190D01* +X109943545Y-119094594D01* +X109916568Y-119109014D01* +X109887290Y-119117895D01* +X109853733Y-119121200D01* +X107970267Y-119121200D01* +X107936710Y-119117895D01* +X107907432Y-119109014D01* +X107880455Y-119094594D01* +X107856810Y-119075190D01* +X107837406Y-119051545D01* +X107822986Y-119024568D01* +X107814105Y-118995290D01* +X107810800Y-118961733D01* +X107810800Y-118528267D01* +X107814105Y-118494710D01* +X107822986Y-118465432D01* +X107837406Y-118438455D01* +X107856810Y-118414810D01* +X107880455Y-118395406D01* +X107907432Y-118380986D01* +X107936710Y-118372105D01* +X107970267Y-118368800D01* +X109853733Y-118368800D01* +X109887290Y-118372105D01* +G37* +G36* +X82812290Y-118372105D02* +G01* +X82841568Y-118380986D01* +X82868545Y-118395406D01* +X82892190Y-118414810D01* +X82911594Y-118438455D01* +X82926014Y-118465432D01* +X82934895Y-118494710D01* +X82938200Y-118528267D01* +X82938200Y-118961733D01* +X82934895Y-118995290D01* +X82926014Y-119024568D01* +X82911594Y-119051545D01* +X82892190Y-119075190D01* +X82868545Y-119094594D01* +X82841568Y-119109014D01* +X82812290Y-119117895D01* +X82778733Y-119121200D01* +X80895267Y-119121200D01* +X80861710Y-119117895D01* +X80832432Y-119109014D01* +X80805455Y-119094594D01* +X80781810Y-119075190D01* +X80762406Y-119051545D01* +X80747986Y-119024568D01* +X80739105Y-118995290D01* +X80735800Y-118961733D01* +X80735800Y-118528267D01* +X80739105Y-118494710D01* +X80747986Y-118465432D01* +X80762406Y-118438455D01* +X80781810Y-118414810D01* +X80805455Y-118395406D01* +X80832432Y-118380986D01* +X80861710Y-118372105D01* +X80895267Y-118368800D01* +X82778733Y-118368800D01* +X82812290Y-118372105D01* +G37* +G36* +X79426737Y-117719267D02* +G01* +X79477119Y-117734550D01* +X79523546Y-117759366D01* +X79564239Y-117792761D01* +X79597634Y-117833454D01* +X79622450Y-117879881D01* +X79637733Y-117930263D01* +X79643200Y-117985767D01* +X79643200Y-118644233D01* +X79637733Y-118699737D01* +X79622450Y-118750119D01* +X79597634Y-118796546D01* +X79564239Y-118837239D01* +X79523546Y-118870634D01* +X79477119Y-118895450D01* +X79426737Y-118910733D01* +X79371233Y-118916200D01* +X78362767Y-118916200D01* +X78307263Y-118910733D01* +X78256881Y-118895450D01* +X78210454Y-118870634D01* +X78169761Y-118837239D01* +X78136366Y-118796546D01* +X78111550Y-118750119D01* +X78096267Y-118699737D01* +X78090800Y-118644233D01* +X78090800Y-117985767D01* +X78096267Y-117930263D01* +X78111550Y-117879881D01* +X78136366Y-117833454D01* +X78169761Y-117792761D01* +X78210454Y-117759366D01* +X78256881Y-117734550D01* +X78307263Y-117719267D01* +X78362767Y-117713800D01* +X79371233Y-117713800D01* +X79426737Y-117719267D01* +G37* +G36* +X70671914Y-116694157D02* +G01* +X70867777Y-116775286D01* +X71044033Y-116893057D01* +X71193943Y-117042967D01* +X71311714Y-117219223D01* +X71392843Y-117415086D01* +X71434200Y-117623004D01* +X71434200Y-117834996D01* +X71392843Y-118042914D01* +X71311714Y-118238777D01* +X71193943Y-118415033D01* +X71044033Y-118564943D01* +X70867777Y-118682714D01* +X70671914Y-118763843D01* +X70463996Y-118805200D01* +X70252004Y-118805200D01* +X70044086Y-118763843D01* +X69848223Y-118682714D01* +X69671967Y-118564943D01* +X69522057Y-118415033D01* +X69404286Y-118238777D01* +X69323157Y-118042914D01* +X69281800Y-117834996D01* +X69281800Y-117623004D01* +X69323157Y-117415086D01* +X69404286Y-117219223D01* +X69522057Y-117042967D01* +X69671967Y-116893057D01* +X69848223Y-116775286D01* +X70044086Y-116694157D01* +X70252004Y-116652800D01* +X70463996Y-116652800D01* +X70671914Y-116694157D01* +G37* +G36* +X136496290Y-117102105D02* +G01* +X136525568Y-117110986D01* +X136552545Y-117125406D01* +X136576190Y-117144810D01* +X136595594Y-117168455D01* +X136610014Y-117195432D01* +X136618895Y-117224710D01* +X136622200Y-117258267D01* +X136622200Y-117691733D01* +X136618895Y-117725290D01* +X136610014Y-117754568D01* +X136595594Y-117781545D01* +X136576190Y-117805190D01* +X136552545Y-117824594D01* +X136525568Y-117839014D01* +X136496290Y-117847895D01* +X136462733Y-117851200D01* +X134679267Y-117851200D01* +X134645710Y-117847895D01* +X134616432Y-117839014D01* +X134589455Y-117824594D01* +X134565810Y-117805190D01* +X134546406Y-117781545D01* +X134531986Y-117754568D01* +X134523105Y-117725290D01* +X134519800Y-117691733D01* +X134519800Y-117258267D01* +X134523105Y-117224710D01* +X134531986Y-117195432D01* +X134546406Y-117168455D01* +X134565810Y-117144810D01* +X134589455Y-117125406D01* +X134616432Y-117110986D01* +X134645710Y-117102105D01* +X134679267Y-117098800D01* +X136462733Y-117098800D01* +X136496290Y-117102105D01* +G37* +G36* +X131546290Y-117102105D02* +G01* +X131575568Y-117110986D01* +X131602545Y-117125406D01* +X131626190Y-117144810D01* +X131645594Y-117168455D01* +X131660014Y-117195432D01* +X131668895Y-117224710D01* +X131672200Y-117258267D01* +X131672200Y-117691733D01* +X131668895Y-117725290D01* +X131660014Y-117754568D01* +X131645594Y-117781545D01* +X131626190Y-117805190D01* +X131602545Y-117824594D01* +X131575568Y-117839014D01* +X131546290Y-117847895D01* +X131512733Y-117851200D01* +X129729267Y-117851200D01* +X129695710Y-117847895D01* +X129666432Y-117839014D01* +X129639455Y-117824594D01* +X129615810Y-117805190D01* +X129596406Y-117781545D01* +X129581986Y-117754568D01* +X129573105Y-117725290D01* +X129569800Y-117691733D01* +X129569800Y-117258267D01* +X129573105Y-117224710D01* +X129581986Y-117195432D01* +X129596406Y-117168455D01* +X129615810Y-117144810D01* +X129639455Y-117125406D01* +X129666432Y-117110986D01* +X129695710Y-117102105D01* +X129729267Y-117098800D01* +X131512733Y-117098800D01* +X131546290Y-117102105D01* +G37* +G36* +X126011290Y-117102105D02* +G01* +X126040568Y-117110986D01* +X126067545Y-117125406D01* +X126091190Y-117144810D01* +X126110594Y-117168455D01* +X126125014Y-117195432D01* +X126133895Y-117224710D01* +X126137200Y-117258267D01* +X126137200Y-117691733D01* +X126133895Y-117725290D01* +X126125014Y-117754568D01* +X126110594Y-117781545D01* +X126091190Y-117805190D01* +X126067545Y-117824594D01* +X126040568Y-117839014D01* +X126011290Y-117847895D01* +X125977733Y-117851200D01* +X124669267Y-117851200D01* +X124635710Y-117847895D01* +X124606432Y-117839014D01* +X124579455Y-117824594D01* +X124555810Y-117805190D01* +X124536406Y-117781545D01* +X124521986Y-117754568D01* +X124513105Y-117725290D01* +X124509800Y-117691733D01* +X124509800Y-117258267D01* +X124513105Y-117224710D01* +X124521986Y-117195432D01* +X124536406Y-117168455D01* +X124555810Y-117144810D01* +X124579455Y-117125406D01* +X124606432Y-117110986D01* +X124635710Y-117102105D01* +X124669267Y-117098800D01* +X125977733Y-117098800D01* +X126011290Y-117102105D01* +G37* +G36* +X82812290Y-117102105D02* +G01* +X82841568Y-117110986D01* +X82868545Y-117125406D01* +X82892190Y-117144810D01* +X82911594Y-117168455D01* +X82926014Y-117195432D01* +X82934895Y-117224710D01* +X82938200Y-117258267D01* +X82938200Y-117691733D01* +X82934895Y-117725290D01* +X82926014Y-117754568D01* +X82911594Y-117781545D01* +X82892190Y-117805190D01* +X82868545Y-117824594D01* +X82841568Y-117839014D01* +X82812290Y-117847895D01* +X82778733Y-117851200D01* +X80895267Y-117851200D01* +X80861710Y-117847895D01* +X80832432Y-117839014D01* +X80805455Y-117824594D01* +X80781810Y-117805190D01* +X80762406Y-117781545D01* +X80747986Y-117754568D01* +X80739105Y-117725290D01* +X80735800Y-117691733D01* +X80735800Y-117258267D01* +X80739105Y-117224710D01* +X80747986Y-117195432D01* +X80762406Y-117168455D01* +X80781810Y-117144810D01* +X80805455Y-117125406D01* +X80832432Y-117110986D01* +X80861710Y-117102105D01* +X80895267Y-117098800D01* +X82778733Y-117098800D01* +X82812290Y-117102105D01* +G37* +G36* +X114886290Y-117102105D02* +G01* +X114915568Y-117110986D01* +X114942545Y-117125406D01* +X114966190Y-117144810D01* +X114985594Y-117168455D01* +X115000014Y-117195432D01* +X115008895Y-117224710D01* +X115012200Y-117258267D01* +X115012200Y-117691733D01* +X115008895Y-117725290D01* +X115000014Y-117754568D01* +X114985594Y-117781545D01* +X114966190Y-117805190D01* +X114942545Y-117824594D01* +X114915568Y-117839014D01* +X114886290Y-117847895D01* +X114852733Y-117851200D01* +X113544267Y-117851200D01* +X113510710Y-117847895D01* +X113481432Y-117839014D01* +X113454455Y-117824594D01* +X113430810Y-117805190D01* +X113411406Y-117781545D01* +X113396986Y-117754568D01* +X113388105Y-117725290D01* +X113384800Y-117691733D01* +X113384800Y-117258267D01* +X113388105Y-117224710D01* +X113396986Y-117195432D01* +X113411406Y-117168455D01* +X113430810Y-117144810D01* +X113454455Y-117125406D01* +X113481432Y-117110986D01* +X113510710Y-117102105D01* +X113544267Y-117098800D01* +X114852733Y-117098800D01* +X114886290Y-117102105D01* +G37* +G36* +X109887290Y-117102105D02* +G01* +X109916568Y-117110986D01* +X109943545Y-117125406D01* +X109967190Y-117144810D01* +X109986594Y-117168455D01* +X110001014Y-117195432D01* +X110009895Y-117224710D01* +X110013200Y-117258267D01* +X110013200Y-117691733D01* +X110009895Y-117725290D01* +X110001014Y-117754568D01* +X109986594Y-117781545D01* +X109967190Y-117805190D01* +X109943545Y-117824594D01* +X109916568Y-117839014D01* +X109887290Y-117847895D01* +X109853733Y-117851200D01* +X107970267Y-117851200D01* +X107936710Y-117847895D01* +X107907432Y-117839014D01* +X107880455Y-117824594D01* +X107856810Y-117805190D01* +X107837406Y-117781545D01* +X107822986Y-117754568D01* +X107814105Y-117725290D01* +X107810800Y-117691733D01* +X107810800Y-117258267D01* +X107814105Y-117224710D01* +X107822986Y-117195432D01* +X107837406Y-117168455D01* +X107856810Y-117144810D01* +X107880455Y-117125406D01* +X107907432Y-117110986D01* +X107936710Y-117102105D01* +X107970267Y-117098800D01* +X109853733Y-117098800D01* +X109887290Y-117102105D01* +G37* +G36* +X96787290Y-117102105D02* +G01* +X96816568Y-117110986D01* +X96843545Y-117125406D01* +X96867190Y-117144810D01* +X96886594Y-117168455D01* +X96901014Y-117195432D01* +X96909895Y-117224710D01* +X96913200Y-117258267D01* +X96913200Y-117691733D01* +X96909895Y-117725290D01* +X96901014Y-117754568D01* +X96886594Y-117781545D01* +X96867190Y-117805190D01* +X96843545Y-117824594D01* +X96816568Y-117839014D01* +X96787290Y-117847895D01* +X96753733Y-117851200D01* +X94870267Y-117851200D01* +X94836710Y-117847895D01* +X94807432Y-117839014D01* +X94780455Y-117824594D01* +X94756810Y-117805190D01* +X94737406Y-117781545D01* +X94722986Y-117754568D01* +X94714105Y-117725290D01* +X94710800Y-117691733D01* +X94710800Y-117258267D01* +X94714105Y-117224710D01* +X94722986Y-117195432D01* +X94737406Y-117168455D01* +X94756810Y-117144810D01* +X94780455Y-117125406D01* +X94807432Y-117110986D01* +X94836710Y-117102105D01* +X94870267Y-117098800D01* +X96753733Y-117098800D01* +X96787290Y-117102105D01* +G37* +G36* +X92112290Y-117102105D02* +G01* +X92141568Y-117110986D01* +X92168545Y-117125406D01* +X92192190Y-117144810D01* +X92211594Y-117168455D01* +X92226014Y-117195432D01* +X92234895Y-117224710D01* +X92238200Y-117258267D01* +X92238200Y-117691733D01* +X92234895Y-117725290D01* +X92226014Y-117754568D01* +X92211594Y-117781545D01* +X92192190Y-117805190D01* +X92168545Y-117824594D01* +X92141568Y-117839014D01* +X92112290Y-117847895D01* +X92078733Y-117851200D01* +X90195267Y-117851200D01* +X90161710Y-117847895D01* +X90132432Y-117839014D01* +X90105455Y-117824594D01* +X90081810Y-117805190D01* +X90062406Y-117781545D01* +X90047986Y-117754568D01* +X90039105Y-117725290D01* +X90035800Y-117691733D01* +X90035800Y-117258267D01* +X90039105Y-117224710D01* +X90047986Y-117195432D01* +X90062406Y-117168455D01* +X90081810Y-117144810D01* +X90105455Y-117125406D01* +X90132432Y-117110986D01* +X90161710Y-117102105D01* +X90195267Y-117098800D01* +X92078733Y-117098800D01* +X92112290Y-117102105D01* +G37* +G36* +X114886290Y-115832105D02* +G01* +X114915568Y-115840986D01* +X114942545Y-115855406D01* +X114966190Y-115874810D01* +X114985594Y-115898455D01* +X115000014Y-115925432D01* +X115008895Y-115954710D01* +X115012200Y-115988267D01* +X115012200Y-116421733D01* +X115008895Y-116455290D01* +X115000014Y-116484568D01* +X114985594Y-116511545D01* +X114966190Y-116535190D01* +X114942545Y-116554594D01* +X114915568Y-116569014D01* +X114886290Y-116577895D01* +X114852733Y-116581200D01* +X113544267Y-116581200D01* +X113510710Y-116577895D01* +X113481432Y-116569014D01* +X113454455Y-116554594D01* +X113430810Y-116535190D01* +X113411406Y-116511545D01* +X113396986Y-116484568D01* +X113388105Y-116455290D01* +X113384800Y-116421733D01* +X113384800Y-115988267D01* +X113388105Y-115954710D01* +X113396986Y-115925432D01* +X113411406Y-115898455D01* +X113430810Y-115874810D01* +X113454455Y-115855406D01* +X113481432Y-115840986D01* +X113510710Y-115832105D01* +X113544267Y-115828800D01* +X114852733Y-115828800D01* +X114886290Y-115832105D01* +G37* +G36* +X131546290Y-115832105D02* +G01* +X131575568Y-115840986D01* +X131602545Y-115855406D01* +X131626190Y-115874810D01* +X131645594Y-115898455D01* +X131660014Y-115925432D01* +X131668895Y-115954710D01* +X131672200Y-115988267D01* +X131672200Y-116421733D01* +X131668895Y-116455290D01* +X131660014Y-116484568D01* +X131645594Y-116511545D01* +X131626190Y-116535190D01* +X131602545Y-116554594D01* +X131575568Y-116569014D01* +X131546290Y-116577895D01* +X131512733Y-116581200D01* +X129729267Y-116581200D01* +X129695710Y-116577895D01* +X129666432Y-116569014D01* +X129639455Y-116554594D01* +X129615810Y-116535190D01* +X129596406Y-116511545D01* +X129581986Y-116484568D01* +X129573105Y-116455290D01* +X129569800Y-116421733D01* +X129569800Y-115988267D01* +X129573105Y-115954710D01* +X129581986Y-115925432D01* +X129596406Y-115898455D01* +X129615810Y-115874810D01* +X129639455Y-115855406D01* +X129666432Y-115840986D01* +X129695710Y-115832105D01* +X129729267Y-115828800D01* +X131512733Y-115828800D01* +X131546290Y-115832105D01* +G37* +G36* +X136496290Y-115832105D02* +G01* +X136525568Y-115840986D01* +X136552545Y-115855406D01* +X136576190Y-115874810D01* +X136595594Y-115898455D01* +X136610014Y-115925432D01* +X136618895Y-115954710D01* +X136622200Y-115988267D01* +X136622200Y-116421733D01* +X136618895Y-116455290D01* +X136610014Y-116484568D01* +X136595594Y-116511545D01* +X136576190Y-116535190D01* +X136552545Y-116554594D01* +X136525568Y-116569014D01* +X136496290Y-116577895D01* +X136462733Y-116581200D01* +X134679267Y-116581200D01* +X134645710Y-116577895D01* +X134616432Y-116569014D01* +X134589455Y-116554594D01* +X134565810Y-116535190D01* +X134546406Y-116511545D01* +X134531986Y-116484568D01* +X134523105Y-116455290D01* +X134519800Y-116421733D01* +X134519800Y-115988267D01* +X134523105Y-115954710D01* +X134531986Y-115925432D01* +X134546406Y-115898455D01* +X134565810Y-115874810D01* +X134589455Y-115855406D01* +X134616432Y-115840986D01* +X134645710Y-115832105D01* +X134679267Y-115828800D01* +X136462733Y-115828800D01* +X136496290Y-115832105D01* +G37* +G36* +X96787290Y-115832105D02* +G01* +X96816568Y-115840986D01* +X96843545Y-115855406D01* +X96867190Y-115874810D01* +X96886594Y-115898455D01* +X96901014Y-115925432D01* +X96909895Y-115954710D01* +X96913200Y-115988267D01* +X96913200Y-116421733D01* +X96909895Y-116455290D01* +X96901014Y-116484568D01* +X96886594Y-116511545D01* +X96867190Y-116535190D01* +X96843545Y-116554594D01* +X96816568Y-116569014D01* +X96787290Y-116577895D01* +X96753733Y-116581200D01* +X94870267Y-116581200D01* +X94836710Y-116577895D01* +X94807432Y-116569014D01* +X94780455Y-116554594D01* +X94756810Y-116535190D01* +X94737406Y-116511545D01* +X94722986Y-116484568D01* +X94714105Y-116455290D01* +X94710800Y-116421733D01* +X94710800Y-115988267D01* +X94714105Y-115954710D01* +X94722986Y-115925432D01* +X94737406Y-115898455D01* +X94756810Y-115874810D01* +X94780455Y-115855406D01* +X94807432Y-115840986D01* +X94836710Y-115832105D01* +X94870267Y-115828800D01* +X96753733Y-115828800D01* +X96787290Y-115832105D01* +G37* +G36* +X109887290Y-115832105D02* +G01* +X109916568Y-115840986D01* +X109943545Y-115855406D01* +X109967190Y-115874810D01* +X109986594Y-115898455D01* +X110001014Y-115925432D01* +X110009895Y-115954710D01* +X110013200Y-115988267D01* +X110013200Y-116421733D01* +X110009895Y-116455290D01* +X110001014Y-116484568D01* +X109986594Y-116511545D01* +X109967190Y-116535190D01* +X109943545Y-116554594D01* +X109916568Y-116569014D01* +X109887290Y-116577895D01* +X109853733Y-116581200D01* +X107970267Y-116581200D01* +X107936710Y-116577895D01* +X107907432Y-116569014D01* +X107880455Y-116554594D01* +X107856810Y-116535190D01* +X107837406Y-116511545D01* +X107822986Y-116484568D01* +X107814105Y-116455290D01* +X107810800Y-116421733D01* +X107810800Y-115988267D01* +X107814105Y-115954710D01* +X107822986Y-115925432D01* +X107837406Y-115898455D01* +X107856810Y-115874810D01* +X107880455Y-115855406D01* +X107907432Y-115840986D01* +X107936710Y-115832105D01* +X107970267Y-115828800D01* +X109853733Y-115828800D01* +X109887290Y-115832105D01* +G37* +G36* +X82812290Y-115832105D02* +G01* +X82841568Y-115840986D01* +X82868545Y-115855406D01* +X82892190Y-115874810D01* +X82911594Y-115898455D01* +X82926014Y-115925432D01* +X82934895Y-115954710D01* +X82938200Y-115988267D01* +X82938200Y-116421733D01* +X82934895Y-116455290D01* +X82926014Y-116484568D01* +X82911594Y-116511545D01* +X82892190Y-116535190D01* +X82868545Y-116554594D01* +X82841568Y-116569014D01* +X82812290Y-116577895D01* +X82778733Y-116581200D01* +X80895267Y-116581200D01* +X80861710Y-116577895D01* +X80832432Y-116569014D01* +X80805455Y-116554594D01* +X80781810Y-116535190D01* +X80762406Y-116511545D01* +X80747986Y-116484568D01* +X80739105Y-116455290D01* +X80735800Y-116421733D01* +X80735800Y-115988267D01* +X80739105Y-115954710D01* +X80747986Y-115925432D01* +X80762406Y-115898455D01* +X80781810Y-115874810D01* +X80805455Y-115855406D01* +X80832432Y-115840986D01* +X80861710Y-115832105D01* +X80895267Y-115828800D01* +X82778733Y-115828800D01* +X82812290Y-115832105D01* +G37* +G36* +X92112290Y-115832105D02* +G01* +X92141568Y-115840986D01* +X92168545Y-115855406D01* +X92192190Y-115874810D01* +X92211594Y-115898455D01* +X92226014Y-115925432D01* +X92234895Y-115954710D01* +X92238200Y-115988267D01* +X92238200Y-116421733D01* +X92234895Y-116455290D01* +X92226014Y-116484568D01* +X92211594Y-116511545D01* +X92192190Y-116535190D01* +X92168545Y-116554594D01* +X92141568Y-116569014D01* +X92112290Y-116577895D01* +X92078733Y-116581200D01* +X90195267Y-116581200D01* +X90161710Y-116577895D01* +X90132432Y-116569014D01* +X90105455Y-116554594D01* +X90081810Y-116535190D01* +X90062406Y-116511545D01* +X90047986Y-116484568D01* +X90039105Y-116455290D01* +X90035800Y-116421733D01* +X90035800Y-115988267D01* +X90039105Y-115954710D01* +X90047986Y-115925432D01* +X90062406Y-115898455D01* +X90081810Y-115874810D01* +X90105455Y-115855406D01* +X90132432Y-115840986D01* +X90161710Y-115832105D01* +X90195267Y-115828800D01* +X92078733Y-115828800D01* +X92112290Y-115832105D01* +G37* +G36* +X126011290Y-115832105D02* +G01* +X126040568Y-115840986D01* +X126067545Y-115855406D01* +X126091190Y-115874810D01* +X126110594Y-115898455D01* +X126125014Y-115925432D01* +X126133895Y-115954710D01* +X126137200Y-115988267D01* +X126137200Y-116421733D01* +X126133895Y-116455290D01* +X126125014Y-116484568D01* +X126110594Y-116511545D01* +X126091190Y-116535190D01* +X126067545Y-116554594D01* +X126040568Y-116569014D01* +X126011290Y-116577895D01* +X125977733Y-116581200D01* +X124669267Y-116581200D01* +X124635710Y-116577895D01* +X124606432Y-116569014D01* +X124579455Y-116554594D01* +X124555810Y-116535190D01* +X124536406Y-116511545D01* +X124521986Y-116484568D01* +X124513105Y-116455290D01* +X124509800Y-116421733D01* +X124509800Y-115988267D01* +X124513105Y-115954710D01* +X124521986Y-115925432D01* +X124536406Y-115898455D01* +X124555810Y-115874810D01* +X124579455Y-115855406D01* +X124606432Y-115840986D01* +X124635710Y-115832105D01* +X124669267Y-115828800D01* +X125977733Y-115828800D01* +X126011290Y-115832105D01* +G37* +G36* +X70649692Y-114227429D02* +G01* +X70831679Y-114302811D01* +X70995458Y-114412245D01* +X70995460Y-114412247D01* +X70995463Y-114412249D01* +X71134751Y-114551537D01* +X71134753Y-114551540D01* +X71134755Y-114551542D01* +X71244189Y-114715321D01* +X71319571Y-114897308D01* +X71358000Y-115090509D01* +X71358000Y-115287491D01* +X71319571Y-115480692D01* +X71244189Y-115662679D01* +X71134755Y-115826458D01* +X71134753Y-115826460D01* +X71134751Y-115826463D01* +X70995463Y-115965751D01* +X70995460Y-115965753D01* +X70995458Y-115965755D01* +X70831679Y-116075189D01* +X70649692Y-116150571D01* +X70456491Y-116189000D01* +X70259509Y-116189000D01* +X70066308Y-116150571D01* +X69884321Y-116075189D01* +X69720542Y-115965755D01* +X69720540Y-115965753D01* +X69720537Y-115965751D01* +X69581249Y-115826463D01* +X69581247Y-115826460D01* +X69581245Y-115826458D01* +X69471811Y-115662679D01* +X69396429Y-115480692D01* +X69358000Y-115287491D01* +X69358000Y-115090509D01* +X69396429Y-114897308D01* +X69471811Y-114715321D01* +X69581245Y-114551542D01* +X69581247Y-114551540D01* +X69581249Y-114551537D01* +X69720537Y-114412249D01* +X69720540Y-114412247D01* +X69720542Y-114412245D01* +X69884321Y-114302811D01* +X70066308Y-114227429D01* +X70259509Y-114189000D01* +X70456491Y-114189000D01* +X70649692Y-114227429D01* +G37* +G36* +X131546290Y-114562105D02* +G01* +X131575568Y-114570986D01* +X131602545Y-114585406D01* +X131626190Y-114604810D01* +X131645594Y-114628455D01* +X131660014Y-114655432D01* +X131668895Y-114684710D01* +X131672200Y-114718267D01* +X131672200Y-115151733D01* +X131668895Y-115185290D01* +X131660014Y-115214568D01* +X131645594Y-115241545D01* +X131626190Y-115265190D01* +X131602545Y-115284594D01* +X131575568Y-115299014D01* +X131546290Y-115307895D01* +X131512733Y-115311200D01* +X129729267Y-115311200D01* +X129695710Y-115307895D01* +X129666432Y-115299014D01* +X129639455Y-115284594D01* +X129615810Y-115265190D01* +X129596406Y-115241545D01* +X129581986Y-115214568D01* +X129573105Y-115185290D01* +X129569800Y-115151733D01* +X129569800Y-114718267D01* +X129573105Y-114684710D01* +X129581986Y-114655432D01* +X129596406Y-114628455D01* +X129615810Y-114604810D01* +X129639455Y-114585406D01* +X129666432Y-114570986D01* +X129695710Y-114562105D01* +X129729267Y-114558800D01* +X131512733Y-114558800D01* +X131546290Y-114562105D01* +G37* +G36* +X96787290Y-114562105D02* +G01* +X96816568Y-114570986D01* +X96843545Y-114585406D01* +X96867190Y-114604810D01* +X96886594Y-114628455D01* +X96901014Y-114655432D01* +X96909895Y-114684710D01* +X96913200Y-114718267D01* +X96913200Y-115151733D01* +X96909895Y-115185290D01* +X96901014Y-115214568D01* +X96886594Y-115241545D01* +X96867190Y-115265190D01* +X96843545Y-115284594D01* +X96816568Y-115299014D01* +X96787290Y-115307895D01* +X96753733Y-115311200D01* +X94870267Y-115311200D01* +X94836710Y-115307895D01* +X94807432Y-115299014D01* +X94780455Y-115284594D01* +X94756810Y-115265190D01* +X94737406Y-115241545D01* +X94722986Y-115214568D01* +X94714105Y-115185290D01* +X94710800Y-115151733D01* +X94710800Y-114718267D01* +X94714105Y-114684710D01* +X94722986Y-114655432D01* +X94737406Y-114628455D01* +X94756810Y-114604810D01* +X94780455Y-114585406D01* +X94807432Y-114570986D01* +X94836710Y-114562105D01* +X94870267Y-114558800D01* +X96753733Y-114558800D01* +X96787290Y-114562105D01* +G37* +G36* +X126011290Y-114562105D02* +G01* +X126040568Y-114570986D01* +X126067545Y-114585406D01* +X126091190Y-114604810D01* +X126110594Y-114628455D01* +X126125014Y-114655432D01* +X126133895Y-114684710D01* +X126137200Y-114718267D01* +X126137200Y-115151733D01* +X126133895Y-115185290D01* +X126125014Y-115214568D01* +X126110594Y-115241545D01* +X126091190Y-115265190D01* +X126067545Y-115284594D01* +X126040568Y-115299014D01* +X126011290Y-115307895D01* +X125977733Y-115311200D01* +X124669267Y-115311200D01* +X124635710Y-115307895D01* +X124606432Y-115299014D01* +X124579455Y-115284594D01* +X124555810Y-115265190D01* +X124536406Y-115241545D01* +X124521986Y-115214568D01* +X124513105Y-115185290D01* +X124509800Y-115151733D01* +X124509800Y-114718267D01* +X124513105Y-114684710D01* +X124521986Y-114655432D01* +X124536406Y-114628455D01* +X124555810Y-114604810D01* +X124579455Y-114585406D01* +X124606432Y-114570986D01* +X124635710Y-114562105D01* +X124669267Y-114558800D01* +X125977733Y-114558800D01* +X126011290Y-114562105D01* +G37* +G36* +X114886290Y-114562105D02* +G01* +X114915568Y-114570986D01* +X114942545Y-114585406D01* +X114966190Y-114604810D01* +X114985594Y-114628455D01* +X115000014Y-114655432D01* +X115008895Y-114684710D01* +X115012200Y-114718267D01* +X115012200Y-115151733D01* +X115008895Y-115185290D01* +X115000014Y-115214568D01* +X114985594Y-115241545D01* +X114966190Y-115265190D01* +X114942545Y-115284594D01* +X114915568Y-115299014D01* +X114886290Y-115307895D01* +X114852733Y-115311200D01* +X113544267Y-115311200D01* +X113510710Y-115307895D01* +X113481432Y-115299014D01* +X113454455Y-115284594D01* +X113430810Y-115265190D01* +X113411406Y-115241545D01* +X113396986Y-115214568D01* +X113388105Y-115185290D01* +X113384800Y-115151733D01* +X113384800Y-114718267D01* +X113388105Y-114684710D01* +X113396986Y-114655432D01* +X113411406Y-114628455D01* +X113430810Y-114604810D01* +X113454455Y-114585406D01* +X113481432Y-114570986D01* +X113510710Y-114562105D01* +X113544267Y-114558800D01* +X114852733Y-114558800D01* +X114886290Y-114562105D01* +G37* +G36* +X109887290Y-114562105D02* +G01* +X109916568Y-114570986D01* +X109943545Y-114585406D01* +X109967190Y-114604810D01* +X109986594Y-114628455D01* +X110001014Y-114655432D01* +X110009895Y-114684710D01* +X110013200Y-114718267D01* +X110013200Y-115151733D01* +X110009895Y-115185290D01* +X110001014Y-115214568D01* +X109986594Y-115241545D01* +X109967190Y-115265190D01* +X109943545Y-115284594D01* +X109916568Y-115299014D01* +X109887290Y-115307895D01* +X109853733Y-115311200D01* +X107970267Y-115311200D01* +X107936710Y-115307895D01* +X107907432Y-115299014D01* +X107880455Y-115284594D01* +X107856810Y-115265190D01* +X107837406Y-115241545D01* +X107822986Y-115214568D01* +X107814105Y-115185290D01* +X107810800Y-115151733D01* +X107810800Y-114718267D01* +X107814105Y-114684710D01* +X107822986Y-114655432D01* +X107837406Y-114628455D01* +X107856810Y-114604810D01* +X107880455Y-114585406D01* +X107907432Y-114570986D01* +X107936710Y-114562105D01* +X107970267Y-114558800D01* +X109853733Y-114558800D01* +X109887290Y-114562105D01* +G37* +G36* +X136496290Y-114562105D02* +G01* +X136525568Y-114570986D01* +X136552545Y-114585406D01* +X136576190Y-114604810D01* +X136595594Y-114628455D01* +X136610014Y-114655432D01* +X136618895Y-114684710D01* +X136622200Y-114718267D01* +X136622200Y-115151733D01* +X136618895Y-115185290D01* +X136610014Y-115214568D01* +X136595594Y-115241545D01* +X136576190Y-115265190D01* +X136552545Y-115284594D01* +X136525568Y-115299014D01* +X136496290Y-115307895D01* +X136462733Y-115311200D01* +X134679267Y-115311200D01* +X134645710Y-115307895D01* +X134616432Y-115299014D01* +X134589455Y-115284594D01* +X134565810Y-115265190D01* +X134546406Y-115241545D01* +X134531986Y-115214568D01* +X134523105Y-115185290D01* +X134519800Y-115151733D01* +X134519800Y-114718267D01* +X134523105Y-114684710D01* +X134531986Y-114655432D01* +X134546406Y-114628455D01* +X134565810Y-114604810D01* +X134589455Y-114585406D01* +X134616432Y-114570986D01* +X134645710Y-114562105D01* +X134679267Y-114558800D01* +X136462733Y-114558800D01* +X136496290Y-114562105D01* +G37* +G36* +X82812290Y-114562105D02* +G01* +X82841568Y-114570986D01* +X82868545Y-114585406D01* +X82892190Y-114604810D01* +X82911594Y-114628455D01* +X82926014Y-114655432D01* +X82934895Y-114684710D01* +X82938200Y-114718267D01* +X82938200Y-115151733D01* +X82934895Y-115185290D01* +X82926014Y-115214568D01* +X82911594Y-115241545D01* +X82892190Y-115265190D01* +X82868545Y-115284594D01* +X82841568Y-115299014D01* +X82812290Y-115307895D01* +X82778733Y-115311200D01* +X80895267Y-115311200D01* +X80861710Y-115307895D01* +X80832432Y-115299014D01* +X80805455Y-115284594D01* +X80781810Y-115265190D01* +X80762406Y-115241545D01* +X80747986Y-115214568D01* +X80739105Y-115185290D01* +X80735800Y-115151733D01* +X80735800Y-114718267D01* +X80739105Y-114684710D01* +X80747986Y-114655432D01* +X80762406Y-114628455D01* +X80781810Y-114604810D01* +X80805455Y-114585406D01* +X80832432Y-114570986D01* +X80861710Y-114562105D01* +X80895267Y-114558800D01* +X82778733Y-114558800D01* +X82812290Y-114562105D01* +G37* +G36* +X92112290Y-114562105D02* +G01* +X92141568Y-114570986D01* +X92168545Y-114585406D01* +X92192190Y-114604810D01* +X92211594Y-114628455D01* +X92226014Y-114655432D01* +X92234895Y-114684710D01* +X92238200Y-114718267D01* +X92238200Y-115151733D01* +X92234895Y-115185290D01* +X92226014Y-115214568D01* +X92211594Y-115241545D01* +X92192190Y-115265190D01* +X92168545Y-115284594D01* +X92141568Y-115299014D01* +X92112290Y-115307895D01* +X92078733Y-115311200D01* +X90195267Y-115311200D01* +X90161710Y-115307895D01* +X90132432Y-115299014D01* +X90105455Y-115284594D01* +X90081810Y-115265190D01* +X90062406Y-115241545D01* +X90047986Y-115214568D01* +X90039105Y-115185290D01* +X90035800Y-115151733D01* +X90035800Y-114718267D01* +X90039105Y-114684710D01* +X90047986Y-114655432D01* +X90062406Y-114628455D01* +X90081810Y-114604810D01* +X90105455Y-114585406D01* +X90132432Y-114570986D01* +X90161710Y-114562105D01* +X90195267Y-114558800D01* +X92078733Y-114558800D01* +X92112290Y-114562105D01* +G37* +G36* +X96787290Y-113292105D02* +G01* +X96816568Y-113300986D01* +X96843545Y-113315406D01* +X96867190Y-113334810D01* +X96886594Y-113358455D01* +X96901014Y-113385432D01* +X96909895Y-113414710D01* +X96913200Y-113448267D01* +X96913200Y-113881733D01* +X96909895Y-113915290D01* +X96901014Y-113944568D01* +X96886594Y-113971545D01* +X96867190Y-113995190D01* +X96843545Y-114014594D01* +X96816568Y-114029014D01* +X96787290Y-114037895D01* +X96753733Y-114041200D01* +X94870267Y-114041200D01* +X94836710Y-114037895D01* +X94807432Y-114029014D01* +X94780455Y-114014594D01* +X94756810Y-113995190D01* +X94737406Y-113971545D01* +X94722986Y-113944568D01* +X94714105Y-113915290D01* +X94710800Y-113881733D01* +X94710800Y-113448267D01* +X94714105Y-113414710D01* +X94722986Y-113385432D01* +X94737406Y-113358455D01* +X94756810Y-113334810D01* +X94780455Y-113315406D01* +X94807432Y-113300986D01* +X94836710Y-113292105D01* +X94870267Y-113288800D01* +X96753733Y-113288800D01* +X96787290Y-113292105D01* +G37* +G36* +X109887290Y-113292105D02* +G01* +X109916568Y-113300986D01* +X109943545Y-113315406D01* +X109967190Y-113334810D01* +X109986594Y-113358455D01* +X110001014Y-113385432D01* +X110009895Y-113414710D01* +X110013200Y-113448267D01* +X110013200Y-113881733D01* +X110009895Y-113915290D01* +X110001014Y-113944568D01* +X109986594Y-113971545D01* +X109967190Y-113995190D01* +X109943545Y-114014594D01* +X109916568Y-114029014D01* +X109887290Y-114037895D01* +X109853733Y-114041200D01* +X107970267Y-114041200D01* +X107936710Y-114037895D01* +X107907432Y-114029014D01* +X107880455Y-114014594D01* +X107856810Y-113995190D01* +X107837406Y-113971545D01* +X107822986Y-113944568D01* +X107814105Y-113915290D01* +X107810800Y-113881733D01* +X107810800Y-113448267D01* +X107814105Y-113414710D01* +X107822986Y-113385432D01* +X107837406Y-113358455D01* +X107856810Y-113334810D01* +X107880455Y-113315406D01* +X107907432Y-113300986D01* +X107936710Y-113292105D01* +X107970267Y-113288800D01* +X109853733Y-113288800D01* +X109887290Y-113292105D01* +G37* +G36* +X92112290Y-113292105D02* +G01* +X92141568Y-113300986D01* +X92168545Y-113315406D01* +X92192190Y-113334810D01* +X92211594Y-113358455D01* +X92226014Y-113385432D01* +X92234895Y-113414710D01* +X92238200Y-113448267D01* +X92238200Y-113881733D01* +X92234895Y-113915290D01* +X92226014Y-113944568D01* +X92211594Y-113971545D01* +X92192190Y-113995190D01* +X92168545Y-114014594D01* +X92141568Y-114029014D01* +X92112290Y-114037895D01* +X92078733Y-114041200D01* +X90195267Y-114041200D01* +X90161710Y-114037895D01* +X90132432Y-114029014D01* +X90105455Y-114014594D01* +X90081810Y-113995190D01* +X90062406Y-113971545D01* +X90047986Y-113944568D01* +X90039105Y-113915290D01* +X90035800Y-113881733D01* +X90035800Y-113448267D01* +X90039105Y-113414710D01* +X90047986Y-113385432D01* +X90062406Y-113358455D01* +X90081810Y-113334810D01* +X90105455Y-113315406D01* +X90132432Y-113300986D01* +X90161710Y-113292105D01* +X90195267Y-113288800D01* +X92078733Y-113288800D01* +X92112290Y-113292105D01* +G37* +G36* +X82812290Y-113292105D02* +G01* +X82841568Y-113300986D01* +X82868545Y-113315406D01* +X82892190Y-113334810D01* +X82911594Y-113358455D01* +X82926014Y-113385432D01* +X82934895Y-113414710D01* +X82938200Y-113448267D01* +X82938200Y-113881733D01* +X82934895Y-113915290D01* +X82926014Y-113944568D01* +X82911594Y-113971545D01* +X82892190Y-113995190D01* +X82868545Y-114014594D01* +X82841568Y-114029014D01* +X82812290Y-114037895D01* +X82778733Y-114041200D01* +X80895267Y-114041200D01* +X80861710Y-114037895D01* +X80832432Y-114029014D01* +X80805455Y-114014594D01* +X80781810Y-113995190D01* +X80762406Y-113971545D01* +X80747986Y-113944568D01* +X80739105Y-113915290D01* +X80735800Y-113881733D01* +X80735800Y-113448267D01* +X80739105Y-113414710D01* +X80747986Y-113385432D01* +X80762406Y-113358455D01* +X80781810Y-113334810D01* +X80805455Y-113315406D01* +X80832432Y-113300986D01* +X80861710Y-113292105D01* +X80895267Y-113288800D01* +X82778733Y-113288800D01* +X82812290Y-113292105D01* +G37* +G36* +X123821290Y-112367105D02* +G01* +X123850568Y-112375986D01* +X123877545Y-112390406D01* +X123901190Y-112409810D01* +X123920594Y-112433455D01* +X123935014Y-112460432D01* +X123943895Y-112489710D01* +X123947200Y-112523267D01* +X123947200Y-113831733D01* +X123943895Y-113865290D01* +X123935014Y-113894568D01* +X123920594Y-113921545D01* +X123901190Y-113945190D01* +X123877545Y-113964594D01* +X123850568Y-113979014D01* +X123821290Y-113987895D01* +X123787733Y-113991200D01* +X123354267Y-113991200D01* +X123320710Y-113987895D01* +X123291432Y-113979014D01* +X123264455Y-113964594D01* +X123240810Y-113945190D01* +X123221406Y-113921545D01* +X123206986Y-113894568D01* +X123198105Y-113865290D01* +X123194800Y-113831733D01* +X123194800Y-112523267D01* +X123198105Y-112489710D01* +X123206986Y-112460432D01* +X123221406Y-112433455D01* +X123240810Y-112409810D01* +X123264455Y-112390406D01* +X123291432Y-112375986D01* +X123320710Y-112367105D01* +X123354267Y-112363800D01* +X123787733Y-112363800D01* +X123821290Y-112367105D01* +G37* +G36* +X116201290Y-112367105D02* +G01* +X116230568Y-112375986D01* +X116257545Y-112390406D01* +X116281190Y-112409810D01* +X116300594Y-112433455D01* +X116315014Y-112460432D01* +X116323895Y-112489710D01* +X116327200Y-112523267D01* +X116327200Y-113831733D01* +X116323895Y-113865290D01* +X116315014Y-113894568D01* +X116300594Y-113921545D01* +X116281190Y-113945190D01* +X116257545Y-113964594D01* +X116230568Y-113979014D01* +X116201290Y-113987895D01* +X116167733Y-113991200D01* +X115734267Y-113991200D01* +X115700710Y-113987895D01* +X115671432Y-113979014D01* +X115644455Y-113964594D01* +X115620810Y-113945190D01* +X115601406Y-113921545D01* +X115586986Y-113894568D01* +X115578105Y-113865290D01* +X115574800Y-113831733D01* +X115574800Y-112523267D01* +X115578105Y-112489710D01* +X115586986Y-112460432D01* +X115601406Y-112433455D01* +X115620810Y-112409810D01* +X115644455Y-112390406D01* +X115671432Y-112375986D01* +X115700710Y-112367105D01* +X115734267Y-112363800D01* +X116167733Y-112363800D01* +X116201290Y-112367105D01* +G37* +G36* +X117471290Y-112367105D02* +G01* +X117500568Y-112375986D01* +X117527545Y-112390406D01* +X117551190Y-112409810D01* +X117570594Y-112433455D01* +X117585014Y-112460432D01* +X117593895Y-112489710D01* +X117597200Y-112523267D01* +X117597200Y-113831733D01* +X117593895Y-113865290D01* +X117585014Y-113894568D01* +X117570594Y-113921545D01* +X117551190Y-113945190D01* +X117527545Y-113964594D01* +X117500568Y-113979014D01* +X117471290Y-113987895D01* +X117437733Y-113991200D01* +X117004267Y-113991200D01* +X116970710Y-113987895D01* +X116941432Y-113979014D01* +X116914455Y-113964594D01* +X116890810Y-113945190D01* +X116871406Y-113921545D01* +X116856986Y-113894568D01* +X116848105Y-113865290D01* +X116844800Y-113831733D01* +X116844800Y-112523267D01* +X116848105Y-112489710D01* +X116856986Y-112460432D01* +X116871406Y-112433455D01* +X116890810Y-112409810D01* +X116914455Y-112390406D01* +X116941432Y-112375986D01* +X116970710Y-112367105D01* +X117004267Y-112363800D01* +X117437733Y-112363800D01* +X117471290Y-112367105D01* +G37* +G36* +X118741290Y-112367105D02* +G01* +X118770568Y-112375986D01* +X118797545Y-112390406D01* +X118821190Y-112409810D01* +X118840594Y-112433455D01* +X118855014Y-112460432D01* +X118863895Y-112489710D01* +X118867200Y-112523267D01* +X118867200Y-113831733D01* +X118863895Y-113865290D01* +X118855014Y-113894568D01* +X118840594Y-113921545D01* +X118821190Y-113945190D01* +X118797545Y-113964594D01* +X118770568Y-113979014D01* +X118741290Y-113987895D01* +X118707733Y-113991200D01* +X118274267Y-113991200D01* +X118240710Y-113987895D01* +X118211432Y-113979014D01* +X118184455Y-113964594D01* +X118160810Y-113945190D01* +X118141406Y-113921545D01* +X118126986Y-113894568D01* +X118118105Y-113865290D01* +X118114800Y-113831733D01* +X118114800Y-112523267D01* +X118118105Y-112489710D01* +X118126986Y-112460432D01* +X118141406Y-112433455D01* +X118160810Y-112409810D01* +X118184455Y-112390406D01* +X118211432Y-112375986D01* +X118240710Y-112367105D01* +X118274267Y-112363800D01* +X118707733Y-112363800D01* +X118741290Y-112367105D01* +G37* +G36* +X121281290Y-112367105D02* +G01* +X121310568Y-112375986D01* +X121337545Y-112390406D01* +X121361190Y-112409810D01* +X121380594Y-112433455D01* +X121395014Y-112460432D01* +X121403895Y-112489710D01* +X121407200Y-112523267D01* +X121407200Y-113831733D01* +X121403895Y-113865290D01* +X121395014Y-113894568D01* +X121380594Y-113921545D01* +X121361190Y-113945190D01* +X121337545Y-113964594D01* +X121310568Y-113979014D01* +X121281290Y-113987895D01* +X121247733Y-113991200D01* +X120814267Y-113991200D01* +X120780710Y-113987895D01* +X120751432Y-113979014D01* +X120724455Y-113964594D01* +X120700810Y-113945190D01* +X120681406Y-113921545D01* +X120666986Y-113894568D01* +X120658105Y-113865290D01* +X120654800Y-113831733D01* +X120654800Y-112523267D01* +X120658105Y-112489710D01* +X120666986Y-112460432D01* +X120681406Y-112433455D01* +X120700810Y-112409810D01* +X120724455Y-112390406D01* +X120751432Y-112375986D01* +X120780710Y-112367105D01* +X120814267Y-112363800D01* +X121247733Y-112363800D01* +X121281290Y-112367105D01* +G37* +G36* +X120011290Y-112367105D02* +G01* +X120040568Y-112375986D01* +X120067545Y-112390406D01* +X120091190Y-112409810D01* +X120110594Y-112433455D01* +X120125014Y-112460432D01* +X120133895Y-112489710D01* +X120137200Y-112523267D01* +X120137200Y-113831733D01* +X120133895Y-113865290D01* +X120125014Y-113894568D01* +X120110594Y-113921545D01* +X120091190Y-113945190D01* +X120067545Y-113964594D01* +X120040568Y-113979014D01* +X120011290Y-113987895D01* +X119977733Y-113991200D01* +X119544267Y-113991200D01* +X119510710Y-113987895D01* +X119481432Y-113979014D01* +X119454455Y-113964594D01* +X119430810Y-113945190D01* +X119411406Y-113921545D01* +X119396986Y-113894568D01* +X119388105Y-113865290D01* +X119384800Y-113831733D01* +X119384800Y-112523267D01* +X119388105Y-112489710D01* +X119396986Y-112460432D01* +X119411406Y-112433455D01* +X119430810Y-112409810D01* +X119454455Y-112390406D01* +X119481432Y-112375986D01* +X119510710Y-112367105D01* +X119544267Y-112363800D01* +X119977733Y-112363800D01* +X120011290Y-112367105D01* +G37* +G36* +X122551290Y-112367105D02* +G01* +X122580568Y-112375986D01* +X122607545Y-112390406D01* +X122631190Y-112409810D01* +X122650594Y-112433455D01* +X122665014Y-112460432D01* +X122673895Y-112489710D01* +X122677200Y-112523267D01* +X122677200Y-113831733D01* +X122673895Y-113865290D01* +X122665014Y-113894568D01* +X122650594Y-113921545D01* +X122631190Y-113945190D01* +X122607545Y-113964594D01* +X122580568Y-113979014D01* +X122551290Y-113987895D01* +X122517733Y-113991200D01* +X122084267Y-113991200D01* +X122050710Y-113987895D01* +X122021432Y-113979014D01* +X121994455Y-113964594D01* +X121970810Y-113945190D01* +X121951406Y-113921545D01* +X121936986Y-113894568D01* +X121928105Y-113865290D01* +X121924800Y-113831733D01* +X121924800Y-112523267D01* +X121928105Y-112489710D01* +X121936986Y-112460432D01* +X121951406Y-112433455D01* +X121970810Y-112409810D01* +X121994455Y-112390406D01* +X122021432Y-112375986D01* +X122050710Y-112367105D01* +X122084267Y-112363800D01* +X122517733Y-112363800D01* +X122551290Y-112367105D01* +G37* +G36* +X135957237Y-112005267D02* +G01* +X136007619Y-112020550D01* +X136054046Y-112045366D01* +X136094739Y-112078761D01* +X136128134Y-112119454D01* +X136152950Y-112165881D01* +X136168233Y-112216263D01* +X136173700Y-112271767D01* +X136173700Y-113280233D01* +X136168233Y-113335737D01* +X136152950Y-113386119D01* +X136128134Y-113432546D01* +X136094739Y-113473239D01* +X136054046Y-113506634D01* +X136007619Y-113531450D01* +X135957237Y-113546733D01* +X135901733Y-113552200D01* +X135243267Y-113552200D01* +X135187763Y-113546733D01* +X135137381Y-113531450D01* +X135090954Y-113506634D01* +X135050261Y-113473239D01* +X135016866Y-113432546D01* +X134992050Y-113386119D01* +X134976767Y-113335737D01* +X134971300Y-113280233D01* +X134971300Y-112271767D01* +X134976767Y-112216263D01* +X134992050Y-112165881D01* +X135016866Y-112119454D01* +X135050261Y-112078761D01* +X135090954Y-112045366D01* +X135137381Y-112020550D01* +X135187763Y-112005267D01* +X135243267Y-111999800D01* +X135901733Y-111999800D01* +X135957237Y-112005267D01* +G37* +G36* +X134257237Y-112005267D02* +G01* +X134307619Y-112020550D01* +X134354046Y-112045366D01* +X134394739Y-112078761D01* +X134428134Y-112119454D01* +X134452950Y-112165881D01* +X134468233Y-112216263D01* +X134473700Y-112271767D01* +X134473700Y-113280233D01* +X134468233Y-113335737D01* +X134452950Y-113386119D01* +X134428134Y-113432546D01* +X134394739Y-113473239D01* +X134354046Y-113506634D01* +X134307619Y-113531450D01* +X134257237Y-113546733D01* +X134201733Y-113552200D01* +X133543267Y-113552200D01* +X133487763Y-113546733D01* +X133437381Y-113531450D01* +X133390954Y-113506634D01* +X133350261Y-113473239D01* +X133316866Y-113432546D01* +X133292050Y-113386119D01* +X133276767Y-113335737D01* +X133271300Y-113280233D01* +X133271300Y-112271767D01* +X133276767Y-112216263D01* +X133292050Y-112165881D01* +X133316866Y-112119454D01* +X133350261Y-112078761D01* +X133390954Y-112045366D01* +X133437381Y-112020550D01* +X133487763Y-112005267D01* +X133543267Y-111999800D01* +X134201733Y-111999800D01* +X134257237Y-112005267D01* +G37* +G36* +X82812290Y-112022105D02* +G01* +X82841568Y-112030986D01* +X82868545Y-112045406D01* +X82892190Y-112064810D01* +X82911594Y-112088455D01* +X82926014Y-112115432D01* +X82934895Y-112144710D01* +X82938200Y-112178267D01* +X82938200Y-112611733D01* +X82934895Y-112645290D01* +X82926014Y-112674568D01* +X82911594Y-112701545D01* +X82892190Y-112725190D01* +X82868545Y-112744594D01* +X82841568Y-112759014D01* +X82812290Y-112767895D01* +X82778733Y-112771200D01* +X80895267Y-112771200D01* +X80861710Y-112767895D01* +X80832432Y-112759014D01* +X80805455Y-112744594D01* +X80781810Y-112725190D01* +X80762406Y-112701545D01* +X80747986Y-112674568D01* +X80739105Y-112645290D01* +X80735800Y-112611733D01* +X80735800Y-112178267D01* +X80739105Y-112144710D01* +X80747986Y-112115432D01* +X80762406Y-112088455D01* +X80781810Y-112064810D01* +X80805455Y-112045406D01* +X80832432Y-112030986D01* +X80861710Y-112022105D01* +X80895267Y-112018800D01* +X82778733Y-112018800D01* +X82812290Y-112022105D01* +G37* +G36* +X109887290Y-112022105D02* +G01* +X109916568Y-112030986D01* +X109943545Y-112045406D01* +X109967190Y-112064810D01* +X109986594Y-112088455D01* +X110001014Y-112115432D01* +X110009895Y-112144710D01* +X110013200Y-112178267D01* +X110013200Y-112611733D01* +X110009895Y-112645290D01* +X110001014Y-112674568D01* +X109986594Y-112701545D01* +X109967190Y-112725190D01* +X109943545Y-112744594D01* +X109916568Y-112759014D01* +X109887290Y-112767895D01* +X109853733Y-112771200D01* +X107970267Y-112771200D01* +X107936710Y-112767895D01* +X107907432Y-112759014D01* +X107880455Y-112744594D01* +X107856810Y-112725190D01* +X107837406Y-112701545D01* +X107822986Y-112674568D01* +X107814105Y-112645290D01* +X107810800Y-112611733D01* +X107810800Y-112178267D01* +X107814105Y-112144710D01* +X107822986Y-112115432D01* +X107837406Y-112088455D01* +X107856810Y-112064810D01* +X107880455Y-112045406D01* +X107907432Y-112030986D01* +X107936710Y-112022105D01* +X107970267Y-112018800D01* +X109853733Y-112018800D01* +X109887290Y-112022105D01* +G37* +G36* +X96787290Y-112022105D02* +G01* +X96816568Y-112030986D01* +X96843545Y-112045406D01* +X96867190Y-112064810D01* +X96886594Y-112088455D01* +X96901014Y-112115432D01* +X96909895Y-112144710D01* +X96913200Y-112178267D01* +X96913200Y-112611733D01* +X96909895Y-112645290D01* +X96901014Y-112674568D01* +X96886594Y-112701545D01* +X96867190Y-112725190D01* +X96843545Y-112744594D01* +X96816568Y-112759014D01* +X96787290Y-112767895D01* +X96753733Y-112771200D01* +X94870267Y-112771200D01* +X94836710Y-112767895D01* +X94807432Y-112759014D01* +X94780455Y-112744594D01* +X94756810Y-112725190D01* +X94737406Y-112701545D01* +X94722986Y-112674568D01* +X94714105Y-112645290D01* +X94710800Y-112611733D01* +X94710800Y-112178267D01* +X94714105Y-112144710D01* +X94722986Y-112115432D01* +X94737406Y-112088455D01* +X94756810Y-112064810D01* +X94780455Y-112045406D01* +X94807432Y-112030986D01* +X94836710Y-112022105D01* +X94870267Y-112018800D01* +X96753733Y-112018800D01* +X96787290Y-112022105D01* +G37* +G36* +X92112290Y-112022105D02* +G01* +X92141568Y-112030986D01* +X92168545Y-112045406D01* +X92192190Y-112064810D01* +X92211594Y-112088455D01* +X92226014Y-112115432D01* +X92234895Y-112144710D01* +X92238200Y-112178267D01* +X92238200Y-112611733D01* +X92234895Y-112645290D01* +X92226014Y-112674568D01* +X92211594Y-112701545D01* +X92192190Y-112725190D01* +X92168545Y-112744594D01* +X92141568Y-112759014D01* +X92112290Y-112767895D01* +X92078733Y-112771200D01* +X90195267Y-112771200D01* +X90161710Y-112767895D01* +X90132432Y-112759014D01* +X90105455Y-112744594D01* +X90081810Y-112725190D01* +X90062406Y-112701545D01* +X90047986Y-112674568D01* +X90039105Y-112645290D01* +X90035800Y-112611733D01* +X90035800Y-112178267D01* +X90039105Y-112144710D01* +X90047986Y-112115432D01* +X90062406Y-112088455D01* +X90081810Y-112064810D01* +X90105455Y-112045406D01* +X90132432Y-112030986D01* +X90161710Y-112022105D01* +X90195267Y-112018800D01* +X92078733Y-112018800D01* +X92112290Y-112022105D01* +G37* +G36* +X92112290Y-110752105D02* +G01* +X92141568Y-110760986D01* +X92168545Y-110775406D01* +X92192190Y-110794810D01* +X92211594Y-110818455D01* +X92226014Y-110845432D01* +X92234895Y-110874710D01* +X92238200Y-110908267D01* +X92238200Y-111341733D01* +X92234895Y-111375290D01* +X92226014Y-111404568D01* +X92211594Y-111431545D01* +X92192190Y-111455190D01* +X92168545Y-111474594D01* +X92141568Y-111489014D01* +X92112290Y-111497895D01* +X92078733Y-111501200D01* +X90195267Y-111501200D01* +X90161710Y-111497895D01* +X90132432Y-111489014D01* +X90105455Y-111474594D01* +X90081810Y-111455190D01* +X90062406Y-111431545D01* +X90047986Y-111404568D01* +X90039105Y-111375290D01* +X90035800Y-111341733D01* +X90035800Y-110908267D01* +X90039105Y-110874710D01* +X90047986Y-110845432D01* +X90062406Y-110818455D01* +X90081810Y-110794810D01* +X90105455Y-110775406D01* +X90132432Y-110760986D01* +X90161710Y-110752105D01* +X90195267Y-110748800D01* +X92078733Y-110748800D01* +X92112290Y-110752105D01* +G37* +G36* +X96787290Y-110752105D02* +G01* +X96816568Y-110760986D01* +X96843545Y-110775406D01* +X96867190Y-110794810D01* +X96886594Y-110818455D01* +X96901014Y-110845432D01* +X96909895Y-110874710D01* +X96913200Y-110908267D01* +X96913200Y-111341733D01* +X96909895Y-111375290D01* +X96901014Y-111404568D01* +X96886594Y-111431545D01* +X96867190Y-111455190D01* +X96843545Y-111474594D01* +X96816568Y-111489014D01* +X96787290Y-111497895D01* +X96753733Y-111501200D01* +X94870267Y-111501200D01* +X94836710Y-111497895D01* +X94807432Y-111489014D01* +X94780455Y-111474594D01* +X94756810Y-111455190D01* +X94737406Y-111431545D01* +X94722986Y-111404568D01* +X94714105Y-111375290D01* +X94710800Y-111341733D01* +X94710800Y-110908267D01* +X94714105Y-110874710D01* +X94722986Y-110845432D01* +X94737406Y-110818455D01* +X94756810Y-110794810D01* +X94780455Y-110775406D01* +X94807432Y-110760986D01* +X94836710Y-110752105D01* +X94870267Y-110748800D01* +X96753733Y-110748800D01* +X96787290Y-110752105D01* +G37* +G36* +X109887290Y-110752105D02* +G01* +X109916568Y-110760986D01* +X109943545Y-110775406D01* +X109967190Y-110794810D01* +X109986594Y-110818455D01* +X110001014Y-110845432D01* +X110009895Y-110874710D01* +X110013200Y-110908267D01* +X110013200Y-111341733D01* +X110009895Y-111375290D01* +X110001014Y-111404568D01* +X109986594Y-111431545D01* +X109967190Y-111455190D01* +X109943545Y-111474594D01* +X109916568Y-111489014D01* +X109887290Y-111497895D01* +X109853733Y-111501200D01* +X107970267Y-111501200D01* +X107936710Y-111497895D01* +X107907432Y-111489014D01* +X107880455Y-111474594D01* +X107856810Y-111455190D01* +X107837406Y-111431545D01* +X107822986Y-111404568D01* +X107814105Y-111375290D01* +X107810800Y-111341733D01* +X107810800Y-110908267D01* +X107814105Y-110874710D01* +X107822986Y-110845432D01* +X107837406Y-110818455D01* +X107856810Y-110794810D01* +X107880455Y-110775406D01* +X107907432Y-110760986D01* +X107936710Y-110752105D01* +X107970267Y-110748800D01* +X109853733Y-110748800D01* +X109887290Y-110752105D01* +G37* +G36* +X82812290Y-110752105D02* +G01* +X82841568Y-110760986D01* +X82868545Y-110775406D01* +X82892190Y-110794810D01* +X82911594Y-110818455D01* +X82926014Y-110845432D01* +X82934895Y-110874710D01* +X82938200Y-110908267D01* +X82938200Y-111341733D01* +X82934895Y-111375290D01* +X82926014Y-111404568D01* +X82911594Y-111431545D01* +X82892190Y-111455190D01* +X82868545Y-111474594D01* +X82841568Y-111489014D01* +X82812290Y-111497895D01* +X82778733Y-111501200D01* +X80895267Y-111501200D01* +X80861710Y-111497895D01* +X80832432Y-111489014D01* +X80805455Y-111474594D01* +X80781810Y-111455190D01* +X80762406Y-111431545D01* +X80747986Y-111404568D01* +X80739105Y-111375290D01* +X80735800Y-111341733D01* +X80735800Y-110908267D01* +X80739105Y-110874710D01* +X80747986Y-110845432D01* +X80762406Y-110818455D01* +X80781810Y-110794810D01* +X80805455Y-110775406D01* +X80832432Y-110760986D01* +X80861710Y-110752105D01* +X80895267Y-110748800D01* +X82778733Y-110748800D01* +X82812290Y-110752105D01* +G37* +G36* +X121415737Y-109338267D02* +G01* +X121466119Y-109353550D01* +X121512546Y-109378366D01* +X121553239Y-109411761D01* +X121586634Y-109452454D01* +X121611450Y-109498881D01* +X121626733Y-109549263D01* +X121632200Y-109604767D01* +X121632200Y-110613233D01* +X121626733Y-110668737D01* +X121611450Y-110719119D01* +X121586634Y-110765546D01* +X121553239Y-110806239D01* +X121512546Y-110839634D01* +X121466119Y-110864450D01* +X121415737Y-110879733D01* +X121360233Y-110885200D01* +X120701767Y-110885200D01* +X120646263Y-110879733D01* +X120595881Y-110864450D01* +X120549454Y-110839634D01* +X120508761Y-110806239D01* +X120475366Y-110765546D01* +X120450550Y-110719119D01* +X120435267Y-110668737D01* +X120429800Y-110613233D01* +X120429800Y-109604767D01* +X120435267Y-109549263D01* +X120450550Y-109498881D01* +X120475366Y-109452454D01* +X120508761Y-109411761D01* +X120549454Y-109378366D01* +X120595881Y-109353550D01* +X120646263Y-109338267D01* +X120701767Y-109332800D01* +X121360233Y-109332800D01* +X121415737Y-109338267D01* +G37* +G36* +X119715737Y-109338267D02* +G01* +X119766119Y-109353550D01* +X119812546Y-109378366D01* +X119853239Y-109411761D01* +X119886634Y-109452454D01* +X119911450Y-109498881D01* +X119926733Y-109549263D01* +X119932200Y-109604767D01* +X119932200Y-110613233D01* +X119926733Y-110668737D01* +X119911450Y-110719119D01* +X119886634Y-110765546D01* +X119853239Y-110806239D01* +X119812546Y-110839634D01* +X119766119Y-110864450D01* +X119715737Y-110879733D01* +X119660233Y-110885200D01* +X119001767Y-110885200D01* +X118946263Y-110879733D01* +X118895881Y-110864450D01* +X118849454Y-110839634D01* +X118808761Y-110806239D01* +X118775366Y-110765546D01* +X118750550Y-110719119D01* +X118735267Y-110668737D01* +X118729800Y-110613233D01* +X118729800Y-109604767D01* +X118735267Y-109549263D01* +X118750550Y-109498881D01* +X118775366Y-109452454D01* +X118808761Y-109411761D01* +X118849454Y-109378366D01* +X118895881Y-109353550D01* +X118946263Y-109338267D01* +X119001767Y-109332800D01* +X119660233Y-109332800D01* +X119715737Y-109338267D01* +G37* +G36* +X96787290Y-109482105D02* +G01* +X96816568Y-109490986D01* +X96843545Y-109505406D01* +X96867190Y-109524810D01* +X96886594Y-109548455D01* +X96901014Y-109575432D01* +X96909895Y-109604710D01* +X96913200Y-109638267D01* +X96913200Y-110071733D01* +X96909895Y-110105290D01* +X96901014Y-110134568D01* +X96886594Y-110161545D01* +X96867190Y-110185190D01* +X96843545Y-110204594D01* +X96816568Y-110219014D01* +X96787290Y-110227895D01* +X96753733Y-110231200D01* +X94870267Y-110231200D01* +X94836710Y-110227895D01* +X94807432Y-110219014D01* +X94780455Y-110204594D01* +X94756810Y-110185190D01* +X94737406Y-110161545D01* +X94722986Y-110134568D01* +X94714105Y-110105290D01* +X94710800Y-110071733D01* +X94710800Y-109638267D01* +X94714105Y-109604710D01* +X94722986Y-109575432D01* +X94737406Y-109548455D01* +X94756810Y-109524810D01* +X94780455Y-109505406D01* +X94807432Y-109490986D01* +X94836710Y-109482105D01* +X94870267Y-109478800D01* +X96753733Y-109478800D01* +X96787290Y-109482105D01* +G37* +G36* +X109887290Y-109482105D02* +G01* +X109916568Y-109490986D01* +X109943545Y-109505406D01* +X109967190Y-109524810D01* +X109986594Y-109548455D01* +X110001014Y-109575432D01* +X110009895Y-109604710D01* +X110013200Y-109638267D01* +X110013200Y-110071733D01* +X110009895Y-110105290D01* +X110001014Y-110134568D01* +X109986594Y-110161545D01* +X109967190Y-110185190D01* +X109943545Y-110204594D01* +X109916568Y-110219014D01* +X109887290Y-110227895D01* +X109853733Y-110231200D01* +X107970267Y-110231200D01* +X107936710Y-110227895D01* +X107907432Y-110219014D01* +X107880455Y-110204594D01* +X107856810Y-110185190D01* +X107837406Y-110161545D01* +X107822986Y-110134568D01* +X107814105Y-110105290D01* +X107810800Y-110071733D01* +X107810800Y-109638267D01* +X107814105Y-109604710D01* +X107822986Y-109575432D01* +X107837406Y-109548455D01* +X107856810Y-109524810D01* +X107880455Y-109505406D01* +X107907432Y-109490986D01* +X107936710Y-109482105D01* +X107970267Y-109478800D01* +X109853733Y-109478800D01* +X109887290Y-109482105D01* +G37* +G36* +X92112290Y-109482105D02* +G01* +X92141568Y-109490986D01* +X92168545Y-109505406D01* +X92192190Y-109524810D01* +X92211594Y-109548455D01* +X92226014Y-109575432D01* +X92234895Y-109604710D01* +X92238200Y-109638267D01* +X92238200Y-110071733D01* +X92234895Y-110105290D01* +X92226014Y-110134568D01* +X92211594Y-110161545D01* +X92192190Y-110185190D01* +X92168545Y-110204594D01* +X92141568Y-110219014D01* +X92112290Y-110227895D01* +X92078733Y-110231200D01* +X90195267Y-110231200D01* +X90161710Y-110227895D01* +X90132432Y-110219014D01* +X90105455Y-110204594D01* +X90081810Y-110185190D01* +X90062406Y-110161545D01* +X90047986Y-110134568D01* +X90039105Y-110105290D01* +X90035800Y-110071733D01* +X90035800Y-109638267D01* +X90039105Y-109604710D01* +X90047986Y-109575432D01* +X90062406Y-109548455D01* +X90081810Y-109524810D01* +X90105455Y-109505406D01* +X90132432Y-109490986D01* +X90161710Y-109482105D01* +X90195267Y-109478800D01* +X92078733Y-109478800D01* +X92112290Y-109482105D01* +G37* +G36* +X82812290Y-109482105D02* +G01* +X82841568Y-109490986D01* +X82868545Y-109505406D01* +X82892190Y-109524810D01* +X82911594Y-109548455D01* +X82926014Y-109575432D01* +X82934895Y-109604710D01* +X82938200Y-109638267D01* +X82938200Y-110071733D01* +X82934895Y-110105290D01* +X82926014Y-110134568D01* +X82911594Y-110161545D01* +X82892190Y-110185190D01* +X82868545Y-110204594D01* +X82841568Y-110219014D01* +X82812290Y-110227895D01* +X82778733Y-110231200D01* +X80895267Y-110231200D01* +X80861710Y-110227895D01* +X80832432Y-110219014D01* +X80805455Y-110204594D01* +X80781810Y-110185190D01* +X80762406Y-110161545D01* +X80747986Y-110134568D01* +X80739105Y-110105290D01* +X80735800Y-110071733D01* +X80735800Y-109638267D01* +X80739105Y-109604710D01* +X80747986Y-109575432D01* +X80762406Y-109548455D01* +X80781810Y-109524810D01* +X80805455Y-109505406D01* +X80832432Y-109490986D01* +X80861710Y-109482105D01* +X80895267Y-109478800D01* +X82778733Y-109478800D01* +X82812290Y-109482105D01* +G37* +G36* +X96787290Y-108212105D02* +G01* +X96816568Y-108220986D01* +X96843545Y-108235406D01* +X96867190Y-108254810D01* +X96886594Y-108278455D01* +X96901014Y-108305432D01* +X96909895Y-108334710D01* +X96913200Y-108368267D01* +X96913200Y-108801733D01* +X96909895Y-108835290D01* +X96901014Y-108864568D01* +X96886594Y-108891545D01* +X96867190Y-108915190D01* +X96843545Y-108934594D01* +X96816568Y-108949014D01* +X96787290Y-108957895D01* +X96753733Y-108961200D01* +X94870267Y-108961200D01* +X94836710Y-108957895D01* +X94807432Y-108949014D01* +X94780455Y-108934594D01* +X94756810Y-108915190D01* +X94737406Y-108891545D01* +X94722986Y-108864568D01* +X94714105Y-108835290D01* +X94710800Y-108801733D01* +X94710800Y-108368267D01* +X94714105Y-108334710D01* +X94722986Y-108305432D01* +X94737406Y-108278455D01* +X94756810Y-108254810D01* +X94780455Y-108235406D01* +X94807432Y-108220986D01* +X94836710Y-108212105D01* +X94870267Y-108208800D01* +X96753733Y-108208800D01* +X96787290Y-108212105D01* +G37* +G36* +X109887290Y-108212105D02* +G01* +X109916568Y-108220986D01* +X109943545Y-108235406D01* +X109967190Y-108254810D01* +X109986594Y-108278455D01* +X110001014Y-108305432D01* +X110009895Y-108334710D01* +X110013200Y-108368267D01* +X110013200Y-108801733D01* +X110009895Y-108835290D01* +X110001014Y-108864568D01* +X109986594Y-108891545D01* +X109967190Y-108915190D01* +X109943545Y-108934594D01* +X109916568Y-108949014D01* +X109887290Y-108957895D01* +X109853733Y-108961200D01* +X107970267Y-108961200D01* +X107936710Y-108957895D01* +X107907432Y-108949014D01* +X107880455Y-108934594D01* +X107856810Y-108915190D01* +X107837406Y-108891545D01* +X107822986Y-108864568D01* +X107814105Y-108835290D01* +X107810800Y-108801733D01* +X107810800Y-108368267D01* +X107814105Y-108334710D01* +X107822986Y-108305432D01* +X107837406Y-108278455D01* +X107856810Y-108254810D01* +X107880455Y-108235406D01* +X107907432Y-108220986D01* +X107936710Y-108212105D01* +X107970267Y-108208800D01* +X109853733Y-108208800D01* +X109887290Y-108212105D01* +G37* +G36* +X82812290Y-108212105D02* +G01* +X82841568Y-108220986D01* +X82868545Y-108235406D01* +X82892190Y-108254810D01* +X82911594Y-108278455D01* +X82926014Y-108305432D01* +X82934895Y-108334710D01* +X82938200Y-108368267D01* +X82938200Y-108801733D01* +X82934895Y-108835290D01* +X82926014Y-108864568D01* +X82911594Y-108891545D01* +X82892190Y-108915190D01* +X82868545Y-108934594D01* +X82841568Y-108949014D01* +X82812290Y-108957895D01* +X82778733Y-108961200D01* +X80895267Y-108961200D01* +X80861710Y-108957895D01* +X80832432Y-108949014D01* +X80805455Y-108934594D01* +X80781810Y-108915190D01* +X80762406Y-108891545D01* +X80747986Y-108864568D01* +X80739105Y-108835290D01* +X80735800Y-108801733D01* +X80735800Y-108368267D01* +X80739105Y-108334710D01* +X80747986Y-108305432D01* +X80762406Y-108278455D01* +X80781810Y-108254810D01* +X80805455Y-108235406D01* +X80832432Y-108220986D01* +X80861710Y-108212105D01* +X80895267Y-108208800D01* +X82778733Y-108208800D01* +X82812290Y-108212105D01* +G37* +G36* +X92112290Y-108212105D02* +G01* +X92141568Y-108220986D01* +X92168545Y-108235406D01* +X92192190Y-108254810D01* +X92211594Y-108278455D01* +X92226014Y-108305432D01* +X92234895Y-108334710D01* +X92238200Y-108368267D01* +X92238200Y-108801733D01* +X92234895Y-108835290D01* +X92226014Y-108864568D01* +X92211594Y-108891545D01* +X92192190Y-108915190D01* +X92168545Y-108934594D01* +X92141568Y-108949014D01* +X92112290Y-108957895D01* +X92078733Y-108961200D01* +X90195267Y-108961200D01* +X90161710Y-108957895D01* +X90132432Y-108949014D01* +X90105455Y-108934594D01* +X90081810Y-108915190D01* +X90062406Y-108891545D01* +X90047986Y-108864568D01* +X90039105Y-108835290D01* +X90035800Y-108801733D01* +X90035800Y-108368267D01* +X90039105Y-108334710D01* +X90047986Y-108305432D01* +X90062406Y-108278455D01* +X90081810Y-108254810D01* +X90105455Y-108235406D01* +X90132432Y-108220986D01* +X90161710Y-108212105D01* +X90195267Y-108208800D01* +X92078733Y-108208800D01* +X92112290Y-108212105D01* +G37* +G36* +X81847914Y-105518157D02* +G01* +X82043777Y-105599286D01* +X82220033Y-105717057D01* +X82369943Y-105866967D01* +X82487714Y-106043223D01* +X82568843Y-106239086D01* +X82610200Y-106447004D01* +X82610200Y-106658996D01* +X82568843Y-106866914D01* +X82487714Y-107062777D01* +X82369943Y-107239033D01* +X82220033Y-107388943D01* +X82043777Y-107506714D01* +X81847914Y-107587843D01* +X81639996Y-107629200D01* +X81428004Y-107629200D01* +X81220086Y-107587843D01* +X81024223Y-107506714D01* +X80847967Y-107388943D01* +X80698057Y-107239033D01* +X80580286Y-107062777D01* +X80499157Y-106866914D01* +X80457800Y-106658996D01* +X80457800Y-106447004D01* +X80499157Y-106239086D01* +X80580286Y-106043223D01* +X80698057Y-105866967D01* +X80847967Y-105717057D01* +X81024223Y-105599286D01* +X81220086Y-105518157D01* +X81428004Y-105476800D01* +X81639996Y-105476800D01* +X81847914Y-105518157D01* +G37* +G36* +X134679914Y-105518157D02* +G01* +X134875777Y-105599286D01* +X135052033Y-105717057D01* +X135201943Y-105866967D01* +X135319714Y-106043223D01* +X135400843Y-106239086D01* +X135442200Y-106447004D01* +X135442200Y-106658996D01* +X135400843Y-106866914D01* +X135319714Y-107062777D01* +X135201943Y-107239033D01* +X135052033Y-107388943D01* +X134875777Y-107506714D01* +X134679914Y-107587843D01* +X134471996Y-107629200D01* +X134260004Y-107629200D01* +X134052086Y-107587843D01* +X133856223Y-107506714D01* +X133679967Y-107388943D01* +X133530057Y-107239033D01* +X133412286Y-107062777D01* +X133331157Y-106866914D01* +X133289800Y-106658996D01* +X133289800Y-106447004D01* +X133331157Y-106239086D01* +X133412286Y-106043223D01* +X133530057Y-105866967D01* +X133679967Y-105717057D01* +X133856223Y-105599286D01* +X134052086Y-105518157D01* +X134260004Y-105476800D01* +X134471996Y-105476800D01* +X134679914Y-105518157D01* +G37* +G36* +X137197692Y-105591429D02* +G01* +X137379679Y-105666811D01* +X137543458Y-105776245D01* +X137543460Y-105776247D01* +X137543463Y-105776249D01* +X137682751Y-105915537D01* +X137682753Y-105915540D01* +X137682755Y-105915542D01* +X137792189Y-106079321D01* +X137867571Y-106261308D01* +X137906000Y-106454509D01* +X137906000Y-106651491D01* +X137867571Y-106844692D01* +X137792189Y-107026679D01* +X137682755Y-107190458D01* +X137682753Y-107190460D01* +X137682751Y-107190463D01* +X137543463Y-107329751D01* +X137543460Y-107329753D01* +X137543458Y-107329755D01* +X137379679Y-107439189D01* +X137197692Y-107514571D01* +X137004491Y-107553000D01* +X136807509Y-107553000D01* +X136614308Y-107514571D01* +X136432321Y-107439189D01* +X136268542Y-107329755D01* +X136268540Y-107329753D01* +X136268537Y-107329751D01* +X136129249Y-107190463D01* +X136129247Y-107190460D01* +X136129245Y-107190458D01* +X136019811Y-107026679D01* +X135944429Y-106844692D01* +X135906000Y-106651491D01* +X135906000Y-106454509D01* +X135944429Y-106261308D01* +X136019811Y-106079321D01* +X136129245Y-105915542D01* +X136129247Y-105915540D01* +X136129249Y-105915537D01* +X136268537Y-105776249D01* +X136268540Y-105776247D01* +X136268542Y-105776245D01* +X136432321Y-105666811D01* +X136614308Y-105591429D01* +X136807509Y-105553000D01* +X137004491Y-105553000D01* +X137197692Y-105591429D01* +G37* +G36* +X79285692Y-105591429D02* +G01* +X79467679Y-105666811D01* +X79631458Y-105776245D01* +X79631460Y-105776247D01* +X79631463Y-105776249D01* +X79770751Y-105915537D01* +X79770753Y-105915540D01* +X79770755Y-105915542D01* +X79880189Y-106079321D01* +X79955571Y-106261308D01* +X79994000Y-106454509D01* +X79994000Y-106651491D01* +X79955571Y-106844692D01* +X79880189Y-107026679D01* +X79770755Y-107190458D01* +X79770753Y-107190460D01* +X79770751Y-107190463D01* +X79631463Y-107329751D01* +X79631460Y-107329753D01* +X79631458Y-107329755D01* +X79467679Y-107439189D01* +X79285692Y-107514571D01* +X79092491Y-107553000D01* +X78895509Y-107553000D01* +X78702308Y-107514571D01* +X78520321Y-107439189D01* +X78356542Y-107329755D01* +X78356540Y-107329753D01* +X78356537Y-107329751D01* +X78217249Y-107190463D01* +X78217247Y-107190460D01* +X78217245Y-107190458D01* +X78107811Y-107026679D01* +X78032429Y-106844692D01* +X77994000Y-106651491D01* +X77994000Y-106454509D01* +X78032429Y-106261308D01* +X78107811Y-106079321D01* +X78217245Y-105915542D01* +X78217247Y-105915540D01* +X78217249Y-105915537D01* +X78356537Y-105776249D01* +X78356540Y-105776247D01* +X78356542Y-105776245D01* +X78520321Y-105666811D01* +X78702308Y-105591429D01* +X78895509Y-105553000D01* +X79092491Y-105553000D01* +X79285692Y-105591429D01* +G37* +M02* diff --git a/gerber/Voyager128-F_Paste.gtp b/gerber/Voyager128-F_Paste.gtp new file mode 100644 index 0000000..c8c8708 --- /dev/null +++ b/gerber/Voyager128-F_Paste.gtp @@ -0,0 +1,7792 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Paste,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.100000*% +G04 APERTURE END LIST* +D10* +G36* +X78167312Y-127228272D02* +G01* +X78190945Y-127231778D01* +X78214120Y-127237583D01* +X78236614Y-127245632D01* +X78258212Y-127255846D01* +X78278704Y-127268129D01* +X78297893Y-127282361D01* +X78315595Y-127298405D01* +X78331639Y-127316107D01* +X78345871Y-127335296D01* +X78358154Y-127355788D01* +X78368368Y-127377386D01* +X78376417Y-127399880D01* +X78382222Y-127423055D01* +X78385728Y-127446688D01* +X78386900Y-127470550D01* +X78386900Y-128307450D01* +X78385728Y-128331312D01* +X78382222Y-128354945D01* +X78376417Y-128378120D01* +X78368368Y-128400614D01* +X78358154Y-128422212D01* +X78345871Y-128442704D01* +X78331639Y-128461893D01* +X78315595Y-128479595D01* +X78297893Y-128495639D01* +X78278704Y-128509871D01* +X78258212Y-128522154D01* +X78236614Y-128532368D01* +X78214120Y-128540417D01* +X78190945Y-128546222D01* +X78167312Y-128549728D01* +X78143450Y-128550900D01* +X77656550Y-128550900D01* +X77632688Y-128549728D01* +X77609055Y-128546222D01* +X77585880Y-128540417D01* +X77563386Y-128532368D01* +X77541788Y-128522154D01* +X77521296Y-128509871D01* +X77502107Y-128495639D01* +X77484405Y-128479595D01* +X77468361Y-128461893D01* +X77454129Y-128442704D01* +X77441846Y-128422212D01* +X77431632Y-128400614D01* +X77423583Y-128378120D01* +X77417778Y-128354945D01* +X77414272Y-128331312D01* +X77413100Y-128307450D01* +X77413100Y-127470550D01* +X77414272Y-127446688D01* +X77417778Y-127423055D01* +X77423583Y-127399880D01* +X77431632Y-127377386D01* +X77441846Y-127355788D01* +X77454129Y-127335296D01* +X77468361Y-127316107D01* +X77484405Y-127298405D01* +X77502107Y-127282361D01* +X77521296Y-127268129D01* +X77541788Y-127255846D01* +X77563386Y-127245632D01* +X77585880Y-127237583D01* +X77609055Y-127231778D01* +X77632688Y-127228272D01* +X77656550Y-127227100D01* +X78143450Y-127227100D01* +X78167312Y-127228272D01* +G37* +G36* +X76467312Y-127228272D02* +G01* +X76490945Y-127231778D01* +X76514120Y-127237583D01* +X76536614Y-127245632D01* +X76558212Y-127255846D01* +X76578704Y-127268129D01* +X76597893Y-127282361D01* +X76615595Y-127298405D01* +X76631639Y-127316107D01* +X76645871Y-127335296D01* +X76658154Y-127355788D01* +X76668368Y-127377386D01* +X76676417Y-127399880D01* +X76682222Y-127423055D01* +X76685728Y-127446688D01* +X76686900Y-127470550D01* +X76686900Y-128307450D01* +X76685728Y-128331312D01* +X76682222Y-128354945D01* +X76676417Y-128378120D01* +X76668368Y-128400614D01* +X76658154Y-128422212D01* +X76645871Y-128442704D01* +X76631639Y-128461893D01* +X76615595Y-128479595D01* +X76597893Y-128495639D01* +X76578704Y-128509871D01* +X76558212Y-128522154D01* +X76536614Y-128532368D01* +X76514120Y-128540417D01* +X76490945Y-128546222D01* +X76467312Y-128549728D01* +X76443450Y-128550900D01* +X75956550Y-128550900D01* +X75932688Y-128549728D01* +X75909055Y-128546222D01* +X75885880Y-128540417D01* +X75863386Y-128532368D01* +X75841788Y-128522154D01* +X75821296Y-128509871D01* +X75802107Y-128495639D01* +X75784405Y-128479595D01* +X75768361Y-128461893D01* +X75754129Y-128442704D01* +X75741846Y-128422212D01* +X75731632Y-128400614D01* +X75723583Y-128378120D01* +X75717778Y-128354945D01* +X75714272Y-128331312D01* +X75713100Y-128307450D01* +X75713100Y-127470550D01* +X75714272Y-127446688D01* +X75717778Y-127423055D01* +X75723583Y-127399880D01* +X75731632Y-127377386D01* +X75741846Y-127355788D01* +X75754129Y-127335296D01* +X75768361Y-127316107D01* +X75784405Y-127298405D01* +X75802107Y-127282361D01* +X75821296Y-127268129D01* +X75841788Y-127255846D01* +X75863386Y-127245632D01* +X75885880Y-127237583D01* +X75909055Y-127231778D01* +X75932688Y-127228272D01* +X75956550Y-127227100D01* +X76443450Y-127227100D01* +X76467312Y-127228272D01* +G37* +G36* +X119904785Y-112478731D02* +G01* +X119917497Y-112480616D01* +X119929963Y-112483739D01* +X119942062Y-112488068D01* +X119953679Y-112493562D01* +X119964702Y-112500169D01* +X119975024Y-112507824D01* +X119984546Y-112516454D01* +X119993176Y-112525976D01* +X120000831Y-112536298D01* +X120007438Y-112547321D01* +X120012932Y-112558938D01* +X120017261Y-112571037D01* +X120020384Y-112583503D01* +X120022269Y-112596215D01* +X120022900Y-112609050D01* +X120022900Y-113745950D01* +X120022269Y-113758785D01* +X120020384Y-113771497D01* +X120017261Y-113783963D01* +X120012932Y-113796062D01* +X120007438Y-113807679D01* +X120000831Y-113818702D01* +X119993176Y-113829024D01* +X119984546Y-113838546D01* +X119975024Y-113847176D01* +X119964702Y-113854831D01* +X119953679Y-113861438D01* +X119942062Y-113866932D01* +X119929963Y-113871261D01* +X119917497Y-113874384D01* +X119904785Y-113876269D01* +X119891950Y-113876900D01* +X119630050Y-113876900D01* +X119617215Y-113876269D01* +X119604503Y-113874384D01* +X119592037Y-113871261D01* +X119579938Y-113866932D01* +X119568321Y-113861438D01* +X119557298Y-113854831D01* +X119546976Y-113847176D01* +X119537454Y-113838546D01* +X119528824Y-113829024D01* +X119521169Y-113818702D01* +X119514562Y-113807679D01* +X119509068Y-113796062D01* +X119504739Y-113783963D01* +X119501616Y-113771497D01* +X119499731Y-113758785D01* +X119499100Y-113745950D01* +X119499100Y-112609050D01* +X119499731Y-112596215D01* +X119501616Y-112583503D01* +X119504739Y-112571037D01* +X119509068Y-112558938D01* +X119514562Y-112547321D01* +X119521169Y-112536298D01* +X119528824Y-112525976D01* +X119537454Y-112516454D01* +X119546976Y-112507824D01* +X119557298Y-112500169D01* +X119568321Y-112493562D01* +X119579938Y-112488068D01* +X119592037Y-112483739D01* +X119604503Y-112480616D01* +X119617215Y-112478731D01* +X119630050Y-112478100D01* +X119891950Y-112478100D01* +X119904785Y-112478731D01* +G37* +G36* +X118634785Y-112478731D02* +G01* +X118647497Y-112480616D01* +X118659963Y-112483739D01* +X118672062Y-112488068D01* +X118683679Y-112493562D01* +X118694702Y-112500169D01* +X118705024Y-112507824D01* +X118714546Y-112516454D01* +X118723176Y-112525976D01* +X118730831Y-112536298D01* +X118737438Y-112547321D01* +X118742932Y-112558938D01* +X118747261Y-112571037D01* +X118750384Y-112583503D01* +X118752269Y-112596215D01* +X118752900Y-112609050D01* +X118752900Y-113745950D01* +X118752269Y-113758785D01* +X118750384Y-113771497D01* +X118747261Y-113783963D01* +X118742932Y-113796062D01* +X118737438Y-113807679D01* +X118730831Y-113818702D01* +X118723176Y-113829024D01* +X118714546Y-113838546D01* +X118705024Y-113847176D01* +X118694702Y-113854831D01* +X118683679Y-113861438D01* +X118672062Y-113866932D01* +X118659963Y-113871261D01* +X118647497Y-113874384D01* +X118634785Y-113876269D01* +X118621950Y-113876900D01* +X118360050Y-113876900D01* +X118347215Y-113876269D01* +X118334503Y-113874384D01* +X118322037Y-113871261D01* +X118309938Y-113866932D01* +X118298321Y-113861438D01* +X118287298Y-113854831D01* +X118276976Y-113847176D01* +X118267454Y-113838546D01* +X118258824Y-113829024D01* +X118251169Y-113818702D01* +X118244562Y-113807679D01* +X118239068Y-113796062D01* +X118234739Y-113783963D01* +X118231616Y-113771497D01* +X118229731Y-113758785D01* +X118229100Y-113745950D01* +X118229100Y-112609050D01* +X118229731Y-112596215D01* +X118231616Y-112583503D01* +X118234739Y-112571037D01* +X118239068Y-112558938D01* +X118244562Y-112547321D01* +X118251169Y-112536298D01* +X118258824Y-112525976D01* +X118267454Y-112516454D01* +X118276976Y-112507824D01* +X118287298Y-112500169D01* +X118298321Y-112493562D01* +X118309938Y-112488068D01* +X118322037Y-112483739D01* +X118334503Y-112480616D01* +X118347215Y-112478731D01* +X118360050Y-112478100D01* +X118621950Y-112478100D01* +X118634785Y-112478731D01* +G37* +G36* +X117364785Y-112478731D02* +G01* +X117377497Y-112480616D01* +X117389963Y-112483739D01* +X117402062Y-112488068D01* +X117413679Y-112493562D01* +X117424702Y-112500169D01* +X117435024Y-112507824D01* +X117444546Y-112516454D01* +X117453176Y-112525976D01* +X117460831Y-112536298D01* +X117467438Y-112547321D01* +X117472932Y-112558938D01* +X117477261Y-112571037D01* +X117480384Y-112583503D01* +X117482269Y-112596215D01* +X117482900Y-112609050D01* +X117482900Y-113745950D01* +X117482269Y-113758785D01* +X117480384Y-113771497D01* +X117477261Y-113783963D01* +X117472932Y-113796062D01* +X117467438Y-113807679D01* +X117460831Y-113818702D01* +X117453176Y-113829024D01* +X117444546Y-113838546D01* +X117435024Y-113847176D01* +X117424702Y-113854831D01* +X117413679Y-113861438D01* +X117402062Y-113866932D01* +X117389963Y-113871261D01* +X117377497Y-113874384D01* +X117364785Y-113876269D01* +X117351950Y-113876900D01* +X117090050Y-113876900D01* +X117077215Y-113876269D01* +X117064503Y-113874384D01* +X117052037Y-113871261D01* +X117039938Y-113866932D01* +X117028321Y-113861438D01* +X117017298Y-113854831D01* +X117006976Y-113847176D01* +X116997454Y-113838546D01* +X116988824Y-113829024D01* +X116981169Y-113818702D01* +X116974562Y-113807679D01* +X116969068Y-113796062D01* +X116964739Y-113783963D01* +X116961616Y-113771497D01* +X116959731Y-113758785D01* +X116959100Y-113745950D01* +X116959100Y-112609050D01* +X116959731Y-112596215D01* +X116961616Y-112583503D01* +X116964739Y-112571037D01* +X116969068Y-112558938D01* +X116974562Y-112547321D01* +X116981169Y-112536298D01* +X116988824Y-112525976D01* +X116997454Y-112516454D01* +X117006976Y-112507824D01* +X117017298Y-112500169D01* +X117028321Y-112493562D01* +X117039938Y-112488068D01* +X117052037Y-112483739D01* +X117064503Y-112480616D01* +X117077215Y-112478731D01* +X117090050Y-112478100D01* +X117351950Y-112478100D01* +X117364785Y-112478731D01* +G37* +G36* +X116094785Y-112478731D02* +G01* +X116107497Y-112480616D01* +X116119963Y-112483739D01* +X116132062Y-112488068D01* +X116143679Y-112493562D01* +X116154702Y-112500169D01* +X116165024Y-112507824D01* +X116174546Y-112516454D01* +X116183176Y-112525976D01* +X116190831Y-112536298D01* +X116197438Y-112547321D01* +X116202932Y-112558938D01* +X116207261Y-112571037D01* +X116210384Y-112583503D01* +X116212269Y-112596215D01* +X116212900Y-112609050D01* +X116212900Y-113745950D01* +X116212269Y-113758785D01* +X116210384Y-113771497D01* +X116207261Y-113783963D01* +X116202932Y-113796062D01* +X116197438Y-113807679D01* +X116190831Y-113818702D01* +X116183176Y-113829024D01* +X116174546Y-113838546D01* +X116165024Y-113847176D01* +X116154702Y-113854831D01* +X116143679Y-113861438D01* +X116132062Y-113866932D01* +X116119963Y-113871261D01* +X116107497Y-113874384D01* +X116094785Y-113876269D01* +X116081950Y-113876900D01* +X115820050Y-113876900D01* +X115807215Y-113876269D01* +X115794503Y-113874384D01* +X115782037Y-113871261D01* +X115769938Y-113866932D01* +X115758321Y-113861438D01* +X115747298Y-113854831D01* +X115736976Y-113847176D01* +X115727454Y-113838546D01* +X115718824Y-113829024D01* +X115711169Y-113818702D01* +X115704562Y-113807679D01* +X115699068Y-113796062D01* +X115694739Y-113783963D01* +X115691616Y-113771497D01* +X115689731Y-113758785D01* +X115689100Y-113745950D01* +X115689100Y-112609050D01* +X115689731Y-112596215D01* +X115691616Y-112583503D01* +X115694739Y-112571037D01* +X115699068Y-112558938D01* +X115704562Y-112547321D01* +X115711169Y-112536298D01* +X115718824Y-112525976D01* +X115727454Y-112516454D01* +X115736976Y-112507824D01* +X115747298Y-112500169D01* +X115758321Y-112493562D01* +X115769938Y-112488068D01* +X115782037Y-112483739D01* +X115794503Y-112480616D01* +X115807215Y-112478731D01* +X115820050Y-112478100D01* +X116081950Y-112478100D01* +X116094785Y-112478731D01* +G37* +G36* +X114779785Y-114673731D02* +G01* +X114792497Y-114675616D01* +X114804963Y-114678739D01* +X114817062Y-114683068D01* +X114828679Y-114688562D01* +X114839702Y-114695169D01* +X114850024Y-114702824D01* +X114859546Y-114711454D01* +X114868176Y-114720976D01* +X114875831Y-114731298D01* +X114882438Y-114742321D01* +X114887932Y-114753938D01* +X114892261Y-114766037D01* +X114895384Y-114778503D01* +X114897269Y-114791215D01* +X114897900Y-114804050D01* +X114897900Y-115065950D01* +X114897269Y-115078785D01* +X114895384Y-115091497D01* +X114892261Y-115103963D01* +X114887932Y-115116062D01* +X114882438Y-115127679D01* +X114875831Y-115138702D01* +X114868176Y-115149024D01* +X114859546Y-115158546D01* +X114850024Y-115167176D01* +X114839702Y-115174831D01* +X114828679Y-115181438D01* +X114817062Y-115186932D01* +X114804963Y-115191261D01* +X114792497Y-115194384D01* +X114779785Y-115196269D01* +X114766950Y-115196900D01* +X113630050Y-115196900D01* +X113617215Y-115196269D01* +X113604503Y-115194384D01* +X113592037Y-115191261D01* +X113579938Y-115186932D01* +X113568321Y-115181438D01* +X113557298Y-115174831D01* +X113546976Y-115167176D01* +X113537454Y-115158546D01* +X113528824Y-115149024D01* +X113521169Y-115138702D01* +X113514562Y-115127679D01* +X113509068Y-115116062D01* +X113504739Y-115103963D01* +X113501616Y-115091497D01* +X113499731Y-115078785D01* +X113499100Y-115065950D01* +X113499100Y-114804050D01* +X113499731Y-114791215D01* +X113501616Y-114778503D01* +X113504739Y-114766037D01* +X113509068Y-114753938D01* +X113514562Y-114742321D01* +X113521169Y-114731298D01* +X113528824Y-114720976D01* +X113537454Y-114711454D01* +X113546976Y-114702824D01* +X113557298Y-114695169D01* +X113568321Y-114688562D01* +X113579938Y-114683068D01* +X113592037Y-114678739D01* +X113604503Y-114675616D01* +X113617215Y-114673731D01* +X113630050Y-114673100D01* +X114766950Y-114673100D01* +X114779785Y-114673731D01* +G37* +G36* +X114779785Y-115943731D02* +G01* +X114792497Y-115945616D01* +X114804963Y-115948739D01* +X114817062Y-115953068D01* +X114828679Y-115958562D01* +X114839702Y-115965169D01* +X114850024Y-115972824D01* +X114859546Y-115981454D01* +X114868176Y-115990976D01* +X114875831Y-116001298D01* +X114882438Y-116012321D01* +X114887932Y-116023938D01* +X114892261Y-116036037D01* +X114895384Y-116048503D01* +X114897269Y-116061215D01* +X114897900Y-116074050D01* +X114897900Y-116335950D01* +X114897269Y-116348785D01* +X114895384Y-116361497D01* +X114892261Y-116373963D01* +X114887932Y-116386062D01* +X114882438Y-116397679D01* +X114875831Y-116408702D01* +X114868176Y-116419024D01* +X114859546Y-116428546D01* +X114850024Y-116437176D01* +X114839702Y-116444831D01* +X114828679Y-116451438D01* +X114817062Y-116456932D01* +X114804963Y-116461261D01* +X114792497Y-116464384D01* +X114779785Y-116466269D01* +X114766950Y-116466900D01* +X113630050Y-116466900D01* +X113617215Y-116466269D01* +X113604503Y-116464384D01* +X113592037Y-116461261D01* +X113579938Y-116456932D01* +X113568321Y-116451438D01* +X113557298Y-116444831D01* +X113546976Y-116437176D01* +X113537454Y-116428546D01* +X113528824Y-116419024D01* +X113521169Y-116408702D01* +X113514562Y-116397679D01* +X113509068Y-116386062D01* +X113504739Y-116373963D01* +X113501616Y-116361497D01* +X113499731Y-116348785D01* +X113499100Y-116335950D01* +X113499100Y-116074050D01* +X113499731Y-116061215D01* +X113501616Y-116048503D01* +X113504739Y-116036037D01* +X113509068Y-116023938D01* +X113514562Y-116012321D01* +X113521169Y-116001298D01* +X113528824Y-115990976D01* +X113537454Y-115981454D01* +X113546976Y-115972824D01* +X113557298Y-115965169D01* +X113568321Y-115958562D01* +X113579938Y-115953068D01* +X113592037Y-115948739D01* +X113604503Y-115945616D01* +X113617215Y-115943731D01* +X113630050Y-115943100D01* +X114766950Y-115943100D01* +X114779785Y-115943731D01* +G37* +G36* +X114779785Y-117213731D02* +G01* +X114792497Y-117215616D01* +X114804963Y-117218739D01* +X114817062Y-117223068D01* +X114828679Y-117228562D01* +X114839702Y-117235169D01* +X114850024Y-117242824D01* +X114859546Y-117251454D01* +X114868176Y-117260976D01* +X114875831Y-117271298D01* +X114882438Y-117282321D01* +X114887932Y-117293938D01* +X114892261Y-117306037D01* +X114895384Y-117318503D01* +X114897269Y-117331215D01* +X114897900Y-117344050D01* +X114897900Y-117605950D01* +X114897269Y-117618785D01* +X114895384Y-117631497D01* +X114892261Y-117643963D01* +X114887932Y-117656062D01* +X114882438Y-117667679D01* +X114875831Y-117678702D01* +X114868176Y-117689024D01* +X114859546Y-117698546D01* +X114850024Y-117707176D01* +X114839702Y-117714831D01* +X114828679Y-117721438D01* +X114817062Y-117726932D01* +X114804963Y-117731261D01* +X114792497Y-117734384D01* +X114779785Y-117736269D01* +X114766950Y-117736900D01* +X113630050Y-117736900D01* +X113617215Y-117736269D01* +X113604503Y-117734384D01* +X113592037Y-117731261D01* +X113579938Y-117726932D01* +X113568321Y-117721438D01* +X113557298Y-117714831D01* +X113546976Y-117707176D01* +X113537454Y-117698546D01* +X113528824Y-117689024D01* +X113521169Y-117678702D01* +X113514562Y-117667679D01* +X113509068Y-117656062D01* +X113504739Y-117643963D01* +X113501616Y-117631497D01* +X113499731Y-117618785D01* +X113499100Y-117605950D01* +X113499100Y-117344050D01* +X113499731Y-117331215D01* +X113501616Y-117318503D01* +X113504739Y-117306037D01* +X113509068Y-117293938D01* +X113514562Y-117282321D01* +X113521169Y-117271298D01* +X113528824Y-117260976D01* +X113537454Y-117251454D01* +X113546976Y-117242824D01* +X113557298Y-117235169D01* +X113568321Y-117228562D01* +X113579938Y-117223068D01* +X113592037Y-117218739D01* +X113604503Y-117215616D01* +X113617215Y-117213731D01* +X113630050Y-117213100D01* +X114766950Y-117213100D01* +X114779785Y-117213731D01* +G37* +G36* +X114779785Y-118483731D02* +G01* +X114792497Y-118485616D01* +X114804963Y-118488739D01* +X114817062Y-118493068D01* +X114828679Y-118498562D01* +X114839702Y-118505169D01* +X114850024Y-118512824D01* +X114859546Y-118521454D01* +X114868176Y-118530976D01* +X114875831Y-118541298D01* +X114882438Y-118552321D01* +X114887932Y-118563938D01* +X114892261Y-118576037D01* +X114895384Y-118588503D01* +X114897269Y-118601215D01* +X114897900Y-118614050D01* +X114897900Y-118875950D01* +X114897269Y-118888785D01* +X114895384Y-118901497D01* +X114892261Y-118913963D01* +X114887932Y-118926062D01* +X114882438Y-118937679D01* +X114875831Y-118948702D01* +X114868176Y-118959024D01* +X114859546Y-118968546D01* +X114850024Y-118977176D01* +X114839702Y-118984831D01* +X114828679Y-118991438D01* +X114817062Y-118996932D01* +X114804963Y-119001261D01* +X114792497Y-119004384D01* +X114779785Y-119006269D01* +X114766950Y-119006900D01* +X113630050Y-119006900D01* +X113617215Y-119006269D01* +X113604503Y-119004384D01* +X113592037Y-119001261D01* +X113579938Y-118996932D01* +X113568321Y-118991438D01* +X113557298Y-118984831D01* +X113546976Y-118977176D01* +X113537454Y-118968546D01* +X113528824Y-118959024D01* +X113521169Y-118948702D01* +X113514562Y-118937679D01* +X113509068Y-118926062D01* +X113504739Y-118913963D01* +X113501616Y-118901497D01* +X113499731Y-118888785D01* +X113499100Y-118875950D01* +X113499100Y-118614050D01* +X113499731Y-118601215D01* +X113501616Y-118588503D01* +X113504739Y-118576037D01* +X113509068Y-118563938D01* +X113514562Y-118552321D01* +X113521169Y-118541298D01* +X113528824Y-118530976D01* +X113537454Y-118521454D01* +X113546976Y-118512824D01* +X113557298Y-118505169D01* +X113568321Y-118498562D01* +X113579938Y-118493068D01* +X113592037Y-118488739D01* +X113604503Y-118485616D01* +X113617215Y-118483731D01* +X113630050Y-118483100D01* +X114766950Y-118483100D01* +X114779785Y-118483731D01* +G37* +G36* +X114779785Y-119753731D02* +G01* +X114792497Y-119755616D01* +X114804963Y-119758739D01* +X114817062Y-119763068D01* +X114828679Y-119768562D01* +X114839702Y-119775169D01* +X114850024Y-119782824D01* +X114859546Y-119791454D01* +X114868176Y-119800976D01* +X114875831Y-119811298D01* +X114882438Y-119822321D01* +X114887932Y-119833938D01* +X114892261Y-119846037D01* +X114895384Y-119858503D01* +X114897269Y-119871215D01* +X114897900Y-119884050D01* +X114897900Y-120145950D01* +X114897269Y-120158785D01* +X114895384Y-120171497D01* +X114892261Y-120183963D01* +X114887932Y-120196062D01* +X114882438Y-120207679D01* +X114875831Y-120218702D01* +X114868176Y-120229024D01* +X114859546Y-120238546D01* +X114850024Y-120247176D01* +X114839702Y-120254831D01* +X114828679Y-120261438D01* +X114817062Y-120266932D01* +X114804963Y-120271261D01* +X114792497Y-120274384D01* +X114779785Y-120276269D01* +X114766950Y-120276900D01* +X113630050Y-120276900D01* +X113617215Y-120276269D01* +X113604503Y-120274384D01* +X113592037Y-120271261D01* +X113579938Y-120266932D01* +X113568321Y-120261438D01* +X113557298Y-120254831D01* +X113546976Y-120247176D01* +X113537454Y-120238546D01* +X113528824Y-120229024D01* +X113521169Y-120218702D01* +X113514562Y-120207679D01* +X113509068Y-120196062D01* +X113504739Y-120183963D01* +X113501616Y-120171497D01* +X113499731Y-120158785D01* +X113499100Y-120145950D01* +X113499100Y-119884050D01* +X113499731Y-119871215D01* +X113501616Y-119858503D01* +X113504739Y-119846037D01* +X113509068Y-119833938D01* +X113514562Y-119822321D01* +X113521169Y-119811298D01* +X113528824Y-119800976D01* +X113537454Y-119791454D01* +X113546976Y-119782824D01* +X113557298Y-119775169D01* +X113568321Y-119768562D01* +X113579938Y-119763068D01* +X113592037Y-119758739D01* +X113604503Y-119755616D01* +X113617215Y-119753731D01* +X113630050Y-119753100D01* +X114766950Y-119753100D01* +X114779785Y-119753731D01* +G37* +G36* +X114779785Y-121023731D02* +G01* +X114792497Y-121025616D01* +X114804963Y-121028739D01* +X114817062Y-121033068D01* +X114828679Y-121038562D01* +X114839702Y-121045169D01* +X114850024Y-121052824D01* +X114859546Y-121061454D01* +X114868176Y-121070976D01* +X114875831Y-121081298D01* +X114882438Y-121092321D01* +X114887932Y-121103938D01* +X114892261Y-121116037D01* +X114895384Y-121128503D01* +X114897269Y-121141215D01* +X114897900Y-121154050D01* +X114897900Y-121415950D01* +X114897269Y-121428785D01* +X114895384Y-121441497D01* +X114892261Y-121453963D01* +X114887932Y-121466062D01* +X114882438Y-121477679D01* +X114875831Y-121488702D01* +X114868176Y-121499024D01* +X114859546Y-121508546D01* +X114850024Y-121517176D01* +X114839702Y-121524831D01* +X114828679Y-121531438D01* +X114817062Y-121536932D01* +X114804963Y-121541261D01* +X114792497Y-121544384D01* +X114779785Y-121546269D01* +X114766950Y-121546900D01* +X113630050Y-121546900D01* +X113617215Y-121546269D01* +X113604503Y-121544384D01* +X113592037Y-121541261D01* +X113579938Y-121536932D01* +X113568321Y-121531438D01* +X113557298Y-121524831D01* +X113546976Y-121517176D01* +X113537454Y-121508546D01* +X113528824Y-121499024D01* +X113521169Y-121488702D01* +X113514562Y-121477679D01* +X113509068Y-121466062D01* +X113504739Y-121453963D01* +X113501616Y-121441497D01* +X113499731Y-121428785D01* +X113499100Y-121415950D01* +X113499100Y-121154050D01* +X113499731Y-121141215D01* +X113501616Y-121128503D01* +X113504739Y-121116037D01* +X113509068Y-121103938D01* +X113514562Y-121092321D01* +X113521169Y-121081298D01* +X113528824Y-121070976D01* +X113537454Y-121061454D01* +X113546976Y-121052824D01* +X113557298Y-121045169D01* +X113568321Y-121038562D01* +X113579938Y-121033068D01* +X113592037Y-121028739D01* +X113604503Y-121025616D01* +X113617215Y-121023731D01* +X113630050Y-121023100D01* +X114766950Y-121023100D01* +X114779785Y-121023731D01* +G37* +G36* +X114779785Y-122293731D02* +G01* +X114792497Y-122295616D01* +X114804963Y-122298739D01* +X114817062Y-122303068D01* +X114828679Y-122308562D01* +X114839702Y-122315169D01* +X114850024Y-122322824D01* +X114859546Y-122331454D01* +X114868176Y-122340976D01* +X114875831Y-122351298D01* +X114882438Y-122362321D01* +X114887932Y-122373938D01* +X114892261Y-122386037D01* +X114895384Y-122398503D01* +X114897269Y-122411215D01* +X114897900Y-122424050D01* +X114897900Y-122685950D01* +X114897269Y-122698785D01* +X114895384Y-122711497D01* +X114892261Y-122723963D01* +X114887932Y-122736062D01* +X114882438Y-122747679D01* +X114875831Y-122758702D01* +X114868176Y-122769024D01* +X114859546Y-122778546D01* +X114850024Y-122787176D01* +X114839702Y-122794831D01* +X114828679Y-122801438D01* +X114817062Y-122806932D01* +X114804963Y-122811261D01* +X114792497Y-122814384D01* +X114779785Y-122816269D01* +X114766950Y-122816900D01* +X113630050Y-122816900D01* +X113617215Y-122816269D01* +X113604503Y-122814384D01* +X113592037Y-122811261D01* +X113579938Y-122806932D01* +X113568321Y-122801438D01* +X113557298Y-122794831D01* +X113546976Y-122787176D01* +X113537454Y-122778546D01* +X113528824Y-122769024D01* +X113521169Y-122758702D01* +X113514562Y-122747679D01* +X113509068Y-122736062D01* +X113504739Y-122723963D01* +X113501616Y-122711497D01* +X113499731Y-122698785D01* +X113499100Y-122685950D01* +X113499100Y-122424050D01* +X113499731Y-122411215D01* +X113501616Y-122398503D01* +X113504739Y-122386037D01* +X113509068Y-122373938D01* +X113514562Y-122362321D01* +X113521169Y-122351298D01* +X113528824Y-122340976D01* +X113537454Y-122331454D01* +X113546976Y-122322824D01* +X113557298Y-122315169D01* +X113568321Y-122308562D01* +X113579938Y-122303068D01* +X113592037Y-122298739D01* +X113604503Y-122295616D01* +X113617215Y-122293731D01* +X113630050Y-122293100D01* +X114766950Y-122293100D01* +X114779785Y-122293731D01* +G37* +G36* +X116094785Y-123613731D02* +G01* +X116107497Y-123615616D01* +X116119963Y-123618739D01* +X116132062Y-123623068D01* +X116143679Y-123628562D01* +X116154702Y-123635169D01* +X116165024Y-123642824D01* +X116174546Y-123651454D01* +X116183176Y-123660976D01* +X116190831Y-123671298D01* +X116197438Y-123682321D01* +X116202932Y-123693938D01* +X116207261Y-123706037D01* +X116210384Y-123718503D01* +X116212269Y-123731215D01* +X116212900Y-123744050D01* +X116212900Y-124880950D01* +X116212269Y-124893785D01* +X116210384Y-124906497D01* +X116207261Y-124918963D01* +X116202932Y-124931062D01* +X116197438Y-124942679D01* +X116190831Y-124953702D01* +X116183176Y-124964024D01* +X116174546Y-124973546D01* +X116165024Y-124982176D01* +X116154702Y-124989831D01* +X116143679Y-124996438D01* +X116132062Y-125001932D01* +X116119963Y-125006261D01* +X116107497Y-125009384D01* +X116094785Y-125011269D01* +X116081950Y-125011900D01* +X115820050Y-125011900D01* +X115807215Y-125011269D01* +X115794503Y-125009384D01* +X115782037Y-125006261D01* +X115769938Y-125001932D01* +X115758321Y-124996438D01* +X115747298Y-124989831D01* +X115736976Y-124982176D01* +X115727454Y-124973546D01* +X115718824Y-124964024D01* +X115711169Y-124953702D01* +X115704562Y-124942679D01* +X115699068Y-124931062D01* +X115694739Y-124918963D01* +X115691616Y-124906497D01* +X115689731Y-124893785D01* +X115689100Y-124880950D01* +X115689100Y-123744050D01* +X115689731Y-123731215D01* +X115691616Y-123718503D01* +X115694739Y-123706037D01* +X115699068Y-123693938D01* +X115704562Y-123682321D01* +X115711169Y-123671298D01* +X115718824Y-123660976D01* +X115727454Y-123651454D01* +X115736976Y-123642824D01* +X115747298Y-123635169D01* +X115758321Y-123628562D01* +X115769938Y-123623068D01* +X115782037Y-123618739D01* +X115794503Y-123615616D01* +X115807215Y-123613731D01* +X115820050Y-123613100D01* +X116081950Y-123613100D01* +X116094785Y-123613731D01* +G37* +G36* +X117364785Y-123613731D02* +G01* +X117377497Y-123615616D01* +X117389963Y-123618739D01* +X117402062Y-123623068D01* +X117413679Y-123628562D01* +X117424702Y-123635169D01* +X117435024Y-123642824D01* +X117444546Y-123651454D01* +X117453176Y-123660976D01* +X117460831Y-123671298D01* +X117467438Y-123682321D01* +X117472932Y-123693938D01* +X117477261Y-123706037D01* +X117480384Y-123718503D01* +X117482269Y-123731215D01* +X117482900Y-123744050D01* +X117482900Y-124880950D01* +X117482269Y-124893785D01* +X117480384Y-124906497D01* +X117477261Y-124918963D01* +X117472932Y-124931062D01* +X117467438Y-124942679D01* +X117460831Y-124953702D01* +X117453176Y-124964024D01* +X117444546Y-124973546D01* +X117435024Y-124982176D01* +X117424702Y-124989831D01* +X117413679Y-124996438D01* +X117402062Y-125001932D01* +X117389963Y-125006261D01* +X117377497Y-125009384D01* +X117364785Y-125011269D01* +X117351950Y-125011900D01* +X117090050Y-125011900D01* +X117077215Y-125011269D01* +X117064503Y-125009384D01* +X117052037Y-125006261D01* +X117039938Y-125001932D01* +X117028321Y-124996438D01* +X117017298Y-124989831D01* +X117006976Y-124982176D01* +X116997454Y-124973546D01* +X116988824Y-124964024D01* +X116981169Y-124953702D01* +X116974562Y-124942679D01* +X116969068Y-124931062D01* +X116964739Y-124918963D01* +X116961616Y-124906497D01* +X116959731Y-124893785D01* +X116959100Y-124880950D01* +X116959100Y-123744050D01* +X116959731Y-123731215D01* +X116961616Y-123718503D01* +X116964739Y-123706037D01* +X116969068Y-123693938D01* +X116974562Y-123682321D01* +X116981169Y-123671298D01* +X116988824Y-123660976D01* +X116997454Y-123651454D01* +X117006976Y-123642824D01* +X117017298Y-123635169D01* +X117028321Y-123628562D01* +X117039938Y-123623068D01* +X117052037Y-123618739D01* +X117064503Y-123615616D01* +X117077215Y-123613731D01* +X117090050Y-123613100D01* +X117351950Y-123613100D01* +X117364785Y-123613731D01* +G37* +G36* +X118634785Y-123613731D02* +G01* +X118647497Y-123615616D01* +X118659963Y-123618739D01* +X118672062Y-123623068D01* +X118683679Y-123628562D01* +X118694702Y-123635169D01* +X118705024Y-123642824D01* +X118714546Y-123651454D01* +X118723176Y-123660976D01* +X118730831Y-123671298D01* +X118737438Y-123682321D01* +X118742932Y-123693938D01* +X118747261Y-123706037D01* +X118750384Y-123718503D01* +X118752269Y-123731215D01* +X118752900Y-123744050D01* +X118752900Y-124880950D01* +X118752269Y-124893785D01* +X118750384Y-124906497D01* +X118747261Y-124918963D01* +X118742932Y-124931062D01* +X118737438Y-124942679D01* +X118730831Y-124953702D01* +X118723176Y-124964024D01* +X118714546Y-124973546D01* +X118705024Y-124982176D01* +X118694702Y-124989831D01* +X118683679Y-124996438D01* +X118672062Y-125001932D01* +X118659963Y-125006261D01* +X118647497Y-125009384D01* +X118634785Y-125011269D01* +X118621950Y-125011900D01* +X118360050Y-125011900D01* +X118347215Y-125011269D01* +X118334503Y-125009384D01* +X118322037Y-125006261D01* +X118309938Y-125001932D01* +X118298321Y-124996438D01* +X118287298Y-124989831D01* +X118276976Y-124982176D01* +X118267454Y-124973546D01* +X118258824Y-124964024D01* +X118251169Y-124953702D01* +X118244562Y-124942679D01* +X118239068Y-124931062D01* +X118234739Y-124918963D01* +X118231616Y-124906497D01* +X118229731Y-124893785D01* +X118229100Y-124880950D01* +X118229100Y-123744050D01* +X118229731Y-123731215D01* +X118231616Y-123718503D01* +X118234739Y-123706037D01* +X118239068Y-123693938D01* +X118244562Y-123682321D01* +X118251169Y-123671298D01* +X118258824Y-123660976D01* +X118267454Y-123651454D01* +X118276976Y-123642824D01* +X118287298Y-123635169D01* +X118298321Y-123628562D01* +X118309938Y-123623068D01* +X118322037Y-123618739D01* +X118334503Y-123615616D01* +X118347215Y-123613731D01* +X118360050Y-123613100D01* +X118621950Y-123613100D01* +X118634785Y-123613731D01* +G37* +G36* +X119904785Y-123613731D02* +G01* +X119917497Y-123615616D01* +X119929963Y-123618739D01* +X119942062Y-123623068D01* +X119953679Y-123628562D01* +X119964702Y-123635169D01* +X119975024Y-123642824D01* +X119984546Y-123651454D01* +X119993176Y-123660976D01* +X120000831Y-123671298D01* +X120007438Y-123682321D01* +X120012932Y-123693938D01* +X120017261Y-123706037D01* +X120020384Y-123718503D01* +X120022269Y-123731215D01* +X120022900Y-123744050D01* +X120022900Y-124880950D01* +X120022269Y-124893785D01* +X120020384Y-124906497D01* +X120017261Y-124918963D01* +X120012932Y-124931062D01* +X120007438Y-124942679D01* +X120000831Y-124953702D01* +X119993176Y-124964024D01* +X119984546Y-124973546D01* +X119975024Y-124982176D01* +X119964702Y-124989831D01* +X119953679Y-124996438D01* +X119942062Y-125001932D01* +X119929963Y-125006261D01* +X119917497Y-125009384D01* +X119904785Y-125011269D01* +X119891950Y-125011900D01* +X119630050Y-125011900D01* +X119617215Y-125011269D01* +X119604503Y-125009384D01* +X119592037Y-125006261D01* +X119579938Y-125001932D01* +X119568321Y-124996438D01* +X119557298Y-124989831D01* +X119546976Y-124982176D01* +X119537454Y-124973546D01* +X119528824Y-124964024D01* +X119521169Y-124953702D01* +X119514562Y-124942679D01* +X119509068Y-124931062D01* +X119504739Y-124918963D01* +X119501616Y-124906497D01* +X119499731Y-124893785D01* +X119499100Y-124880950D01* +X119499100Y-123744050D01* +X119499731Y-123731215D01* +X119501616Y-123718503D01* +X119504739Y-123706037D01* +X119509068Y-123693938D01* +X119514562Y-123682321D01* +X119521169Y-123671298D01* +X119528824Y-123660976D01* +X119537454Y-123651454D01* +X119546976Y-123642824D01* +X119557298Y-123635169D01* +X119568321Y-123628562D01* +X119579938Y-123623068D01* +X119592037Y-123618739D01* +X119604503Y-123615616D01* +X119617215Y-123613731D01* +X119630050Y-123613100D01* +X119891950Y-123613100D01* +X119904785Y-123613731D01* +G37* +G36* +X121174785Y-123613731D02* +G01* +X121187497Y-123615616D01* +X121199963Y-123618739D01* +X121212062Y-123623068D01* +X121223679Y-123628562D01* +X121234702Y-123635169D01* +X121245024Y-123642824D01* +X121254546Y-123651454D01* +X121263176Y-123660976D01* +X121270831Y-123671298D01* +X121277438Y-123682321D01* +X121282932Y-123693938D01* +X121287261Y-123706037D01* +X121290384Y-123718503D01* +X121292269Y-123731215D01* +X121292900Y-123744050D01* +X121292900Y-124880950D01* +X121292269Y-124893785D01* +X121290384Y-124906497D01* +X121287261Y-124918963D01* +X121282932Y-124931062D01* +X121277438Y-124942679D01* +X121270831Y-124953702D01* +X121263176Y-124964024D01* +X121254546Y-124973546D01* +X121245024Y-124982176D01* +X121234702Y-124989831D01* +X121223679Y-124996438D01* +X121212062Y-125001932D01* +X121199963Y-125006261D01* +X121187497Y-125009384D01* +X121174785Y-125011269D01* +X121161950Y-125011900D01* +X120900050Y-125011900D01* +X120887215Y-125011269D01* +X120874503Y-125009384D01* +X120862037Y-125006261D01* +X120849938Y-125001932D01* +X120838321Y-124996438D01* +X120827298Y-124989831D01* +X120816976Y-124982176D01* +X120807454Y-124973546D01* +X120798824Y-124964024D01* +X120791169Y-124953702D01* +X120784562Y-124942679D01* +X120779068Y-124931062D01* +X120774739Y-124918963D01* +X120771616Y-124906497D01* +X120769731Y-124893785D01* +X120769100Y-124880950D01* +X120769100Y-123744050D01* +X120769731Y-123731215D01* +X120771616Y-123718503D01* +X120774739Y-123706037D01* +X120779068Y-123693938D01* +X120784562Y-123682321D01* +X120791169Y-123671298D01* +X120798824Y-123660976D01* +X120807454Y-123651454D01* +X120816976Y-123642824D01* +X120827298Y-123635169D01* +X120838321Y-123628562D01* +X120849938Y-123623068D01* +X120862037Y-123618739D01* +X120874503Y-123615616D01* +X120887215Y-123613731D01* +X120900050Y-123613100D01* +X121161950Y-123613100D01* +X121174785Y-123613731D01* +G37* +G36* +X122444785Y-123613731D02* +G01* +X122457497Y-123615616D01* +X122469963Y-123618739D01* +X122482062Y-123623068D01* +X122493679Y-123628562D01* +X122504702Y-123635169D01* +X122515024Y-123642824D01* +X122524546Y-123651454D01* +X122533176Y-123660976D01* +X122540831Y-123671298D01* +X122547438Y-123682321D01* +X122552932Y-123693938D01* +X122557261Y-123706037D01* +X122560384Y-123718503D01* +X122562269Y-123731215D01* +X122562900Y-123744050D01* +X122562900Y-124880950D01* +X122562269Y-124893785D01* +X122560384Y-124906497D01* +X122557261Y-124918963D01* +X122552932Y-124931062D01* +X122547438Y-124942679D01* +X122540831Y-124953702D01* +X122533176Y-124964024D01* +X122524546Y-124973546D01* +X122515024Y-124982176D01* +X122504702Y-124989831D01* +X122493679Y-124996438D01* +X122482062Y-125001932D01* +X122469963Y-125006261D01* +X122457497Y-125009384D01* +X122444785Y-125011269D01* +X122431950Y-125011900D01* +X122170050Y-125011900D01* +X122157215Y-125011269D01* +X122144503Y-125009384D01* +X122132037Y-125006261D01* +X122119938Y-125001932D01* +X122108321Y-124996438D01* +X122097298Y-124989831D01* +X122086976Y-124982176D01* +X122077454Y-124973546D01* +X122068824Y-124964024D01* +X122061169Y-124953702D01* +X122054562Y-124942679D01* +X122049068Y-124931062D01* +X122044739Y-124918963D01* +X122041616Y-124906497D01* +X122039731Y-124893785D01* +X122039100Y-124880950D01* +X122039100Y-123744050D01* +X122039731Y-123731215D01* +X122041616Y-123718503D01* +X122044739Y-123706037D01* +X122049068Y-123693938D01* +X122054562Y-123682321D01* +X122061169Y-123671298D01* +X122068824Y-123660976D01* +X122077454Y-123651454D01* +X122086976Y-123642824D01* +X122097298Y-123635169D01* +X122108321Y-123628562D01* +X122119938Y-123623068D01* +X122132037Y-123618739D01* +X122144503Y-123615616D01* +X122157215Y-123613731D01* +X122170050Y-123613100D01* +X122431950Y-123613100D01* +X122444785Y-123613731D01* +G37* +G36* +X123714785Y-123613731D02* +G01* +X123727497Y-123615616D01* +X123739963Y-123618739D01* +X123752062Y-123623068D01* +X123763679Y-123628562D01* +X123774702Y-123635169D01* +X123785024Y-123642824D01* +X123794546Y-123651454D01* +X123803176Y-123660976D01* +X123810831Y-123671298D01* +X123817438Y-123682321D01* +X123822932Y-123693938D01* +X123827261Y-123706037D01* +X123830384Y-123718503D01* +X123832269Y-123731215D01* +X123832900Y-123744050D01* +X123832900Y-124880950D01* +X123832269Y-124893785D01* +X123830384Y-124906497D01* +X123827261Y-124918963D01* +X123822932Y-124931062D01* +X123817438Y-124942679D01* +X123810831Y-124953702D01* +X123803176Y-124964024D01* +X123794546Y-124973546D01* +X123785024Y-124982176D01* +X123774702Y-124989831D01* +X123763679Y-124996438D01* +X123752062Y-125001932D01* +X123739963Y-125006261D01* +X123727497Y-125009384D01* +X123714785Y-125011269D01* +X123701950Y-125011900D01* +X123440050Y-125011900D01* +X123427215Y-125011269D01* +X123414503Y-125009384D01* +X123402037Y-125006261D01* +X123389938Y-125001932D01* +X123378321Y-124996438D01* +X123367298Y-124989831D01* +X123356976Y-124982176D01* +X123347454Y-124973546D01* +X123338824Y-124964024D01* +X123331169Y-124953702D01* +X123324562Y-124942679D01* +X123319068Y-124931062D01* +X123314739Y-124918963D01* +X123311616Y-124906497D01* +X123309731Y-124893785D01* +X123309100Y-124880950D01* +X123309100Y-123744050D01* +X123309731Y-123731215D01* +X123311616Y-123718503D01* +X123314739Y-123706037D01* +X123319068Y-123693938D01* +X123324562Y-123682321D01* +X123331169Y-123671298D01* +X123338824Y-123660976D01* +X123347454Y-123651454D01* +X123356976Y-123642824D01* +X123367298Y-123635169D01* +X123378321Y-123628562D01* +X123389938Y-123623068D01* +X123402037Y-123618739D01* +X123414503Y-123615616D01* +X123427215Y-123613731D01* +X123440050Y-123613100D01* +X123701950Y-123613100D01* +X123714785Y-123613731D01* +G37* +G36* +X125904785Y-122293731D02* +G01* +X125917497Y-122295616D01* +X125929963Y-122298739D01* +X125942062Y-122303068D01* +X125953679Y-122308562D01* +X125964702Y-122315169D01* +X125975024Y-122322824D01* +X125984546Y-122331454D01* +X125993176Y-122340976D01* +X126000831Y-122351298D01* +X126007438Y-122362321D01* +X126012932Y-122373938D01* +X126017261Y-122386037D01* +X126020384Y-122398503D01* +X126022269Y-122411215D01* +X126022900Y-122424050D01* +X126022900Y-122685950D01* +X126022269Y-122698785D01* +X126020384Y-122711497D01* +X126017261Y-122723963D01* +X126012932Y-122736062D01* +X126007438Y-122747679D01* +X126000831Y-122758702D01* +X125993176Y-122769024D01* +X125984546Y-122778546D01* +X125975024Y-122787176D01* +X125964702Y-122794831D01* +X125953679Y-122801438D01* +X125942062Y-122806932D01* +X125929963Y-122811261D01* +X125917497Y-122814384D01* +X125904785Y-122816269D01* +X125891950Y-122816900D01* +X124755050Y-122816900D01* +X124742215Y-122816269D01* +X124729503Y-122814384D01* +X124717037Y-122811261D01* +X124704938Y-122806932D01* +X124693321Y-122801438D01* +X124682298Y-122794831D01* +X124671976Y-122787176D01* +X124662454Y-122778546D01* +X124653824Y-122769024D01* +X124646169Y-122758702D01* +X124639562Y-122747679D01* +X124634068Y-122736062D01* +X124629739Y-122723963D01* +X124626616Y-122711497D01* +X124624731Y-122698785D01* +X124624100Y-122685950D01* +X124624100Y-122424050D01* +X124624731Y-122411215D01* +X124626616Y-122398503D01* +X124629739Y-122386037D01* +X124634068Y-122373938D01* +X124639562Y-122362321D01* +X124646169Y-122351298D01* +X124653824Y-122340976D01* +X124662454Y-122331454D01* +X124671976Y-122322824D01* +X124682298Y-122315169D01* +X124693321Y-122308562D01* +X124704938Y-122303068D01* +X124717037Y-122298739D01* +X124729503Y-122295616D01* +X124742215Y-122293731D01* +X124755050Y-122293100D01* +X125891950Y-122293100D01* +X125904785Y-122293731D01* +G37* +G36* +X125904785Y-121023731D02* +G01* +X125917497Y-121025616D01* +X125929963Y-121028739D01* +X125942062Y-121033068D01* +X125953679Y-121038562D01* +X125964702Y-121045169D01* +X125975024Y-121052824D01* +X125984546Y-121061454D01* +X125993176Y-121070976D01* +X126000831Y-121081298D01* +X126007438Y-121092321D01* +X126012932Y-121103938D01* +X126017261Y-121116037D01* +X126020384Y-121128503D01* +X126022269Y-121141215D01* +X126022900Y-121154050D01* +X126022900Y-121415950D01* +X126022269Y-121428785D01* +X126020384Y-121441497D01* +X126017261Y-121453963D01* +X126012932Y-121466062D01* +X126007438Y-121477679D01* +X126000831Y-121488702D01* +X125993176Y-121499024D01* +X125984546Y-121508546D01* +X125975024Y-121517176D01* +X125964702Y-121524831D01* +X125953679Y-121531438D01* +X125942062Y-121536932D01* +X125929963Y-121541261D01* +X125917497Y-121544384D01* +X125904785Y-121546269D01* +X125891950Y-121546900D01* +X124755050Y-121546900D01* +X124742215Y-121546269D01* +X124729503Y-121544384D01* +X124717037Y-121541261D01* +X124704938Y-121536932D01* +X124693321Y-121531438D01* +X124682298Y-121524831D01* +X124671976Y-121517176D01* +X124662454Y-121508546D01* +X124653824Y-121499024D01* +X124646169Y-121488702D01* +X124639562Y-121477679D01* +X124634068Y-121466062D01* +X124629739Y-121453963D01* +X124626616Y-121441497D01* +X124624731Y-121428785D01* +X124624100Y-121415950D01* +X124624100Y-121154050D01* +X124624731Y-121141215D01* +X124626616Y-121128503D01* +X124629739Y-121116037D01* +X124634068Y-121103938D01* +X124639562Y-121092321D01* +X124646169Y-121081298D01* +X124653824Y-121070976D01* +X124662454Y-121061454D01* +X124671976Y-121052824D01* +X124682298Y-121045169D01* +X124693321Y-121038562D01* +X124704938Y-121033068D01* +X124717037Y-121028739D01* +X124729503Y-121025616D01* +X124742215Y-121023731D01* +X124755050Y-121023100D01* +X125891950Y-121023100D01* +X125904785Y-121023731D01* +G37* +G36* +X125904785Y-119753731D02* +G01* +X125917497Y-119755616D01* +X125929963Y-119758739D01* +X125942062Y-119763068D01* +X125953679Y-119768562D01* +X125964702Y-119775169D01* +X125975024Y-119782824D01* +X125984546Y-119791454D01* +X125993176Y-119800976D01* +X126000831Y-119811298D01* +X126007438Y-119822321D01* +X126012932Y-119833938D01* +X126017261Y-119846037D01* +X126020384Y-119858503D01* +X126022269Y-119871215D01* +X126022900Y-119884050D01* +X126022900Y-120145950D01* +X126022269Y-120158785D01* +X126020384Y-120171497D01* +X126017261Y-120183963D01* +X126012932Y-120196062D01* +X126007438Y-120207679D01* +X126000831Y-120218702D01* +X125993176Y-120229024D01* +X125984546Y-120238546D01* +X125975024Y-120247176D01* +X125964702Y-120254831D01* +X125953679Y-120261438D01* +X125942062Y-120266932D01* +X125929963Y-120271261D01* +X125917497Y-120274384D01* +X125904785Y-120276269D01* +X125891950Y-120276900D01* +X124755050Y-120276900D01* +X124742215Y-120276269D01* +X124729503Y-120274384D01* +X124717037Y-120271261D01* +X124704938Y-120266932D01* +X124693321Y-120261438D01* +X124682298Y-120254831D01* +X124671976Y-120247176D01* +X124662454Y-120238546D01* +X124653824Y-120229024D01* +X124646169Y-120218702D01* +X124639562Y-120207679D01* +X124634068Y-120196062D01* +X124629739Y-120183963D01* +X124626616Y-120171497D01* +X124624731Y-120158785D01* +X124624100Y-120145950D01* +X124624100Y-119884050D01* +X124624731Y-119871215D01* +X124626616Y-119858503D01* +X124629739Y-119846037D01* +X124634068Y-119833938D01* +X124639562Y-119822321D01* +X124646169Y-119811298D01* +X124653824Y-119800976D01* +X124662454Y-119791454D01* +X124671976Y-119782824D01* +X124682298Y-119775169D01* +X124693321Y-119768562D01* +X124704938Y-119763068D01* +X124717037Y-119758739D01* +X124729503Y-119755616D01* +X124742215Y-119753731D01* +X124755050Y-119753100D01* +X125891950Y-119753100D01* +X125904785Y-119753731D01* +G37* +G36* +X125904785Y-118483731D02* +G01* +X125917497Y-118485616D01* +X125929963Y-118488739D01* +X125942062Y-118493068D01* +X125953679Y-118498562D01* +X125964702Y-118505169D01* +X125975024Y-118512824D01* +X125984546Y-118521454D01* +X125993176Y-118530976D01* +X126000831Y-118541298D01* +X126007438Y-118552321D01* +X126012932Y-118563938D01* +X126017261Y-118576037D01* +X126020384Y-118588503D01* +X126022269Y-118601215D01* +X126022900Y-118614050D01* +X126022900Y-118875950D01* +X126022269Y-118888785D01* +X126020384Y-118901497D01* +X126017261Y-118913963D01* +X126012932Y-118926062D01* +X126007438Y-118937679D01* +X126000831Y-118948702D01* +X125993176Y-118959024D01* +X125984546Y-118968546D01* +X125975024Y-118977176D01* +X125964702Y-118984831D01* +X125953679Y-118991438D01* +X125942062Y-118996932D01* +X125929963Y-119001261D01* +X125917497Y-119004384D01* +X125904785Y-119006269D01* +X125891950Y-119006900D01* +X124755050Y-119006900D01* +X124742215Y-119006269D01* +X124729503Y-119004384D01* +X124717037Y-119001261D01* +X124704938Y-118996932D01* +X124693321Y-118991438D01* +X124682298Y-118984831D01* +X124671976Y-118977176D01* +X124662454Y-118968546D01* +X124653824Y-118959024D01* +X124646169Y-118948702D01* +X124639562Y-118937679D01* +X124634068Y-118926062D01* +X124629739Y-118913963D01* +X124626616Y-118901497D01* +X124624731Y-118888785D01* +X124624100Y-118875950D01* +X124624100Y-118614050D01* +X124624731Y-118601215D01* +X124626616Y-118588503D01* +X124629739Y-118576037D01* +X124634068Y-118563938D01* +X124639562Y-118552321D01* +X124646169Y-118541298D01* +X124653824Y-118530976D01* +X124662454Y-118521454D01* +X124671976Y-118512824D01* +X124682298Y-118505169D01* +X124693321Y-118498562D01* +X124704938Y-118493068D01* +X124717037Y-118488739D01* +X124729503Y-118485616D01* +X124742215Y-118483731D01* +X124755050Y-118483100D01* +X125891950Y-118483100D01* +X125904785Y-118483731D01* +G37* +G36* +X125904785Y-117213731D02* +G01* +X125917497Y-117215616D01* +X125929963Y-117218739D01* +X125942062Y-117223068D01* +X125953679Y-117228562D01* +X125964702Y-117235169D01* +X125975024Y-117242824D01* +X125984546Y-117251454D01* +X125993176Y-117260976D01* +X126000831Y-117271298D01* +X126007438Y-117282321D01* +X126012932Y-117293938D01* +X126017261Y-117306037D01* +X126020384Y-117318503D01* +X126022269Y-117331215D01* +X126022900Y-117344050D01* +X126022900Y-117605950D01* +X126022269Y-117618785D01* +X126020384Y-117631497D01* +X126017261Y-117643963D01* +X126012932Y-117656062D01* +X126007438Y-117667679D01* +X126000831Y-117678702D01* +X125993176Y-117689024D01* +X125984546Y-117698546D01* +X125975024Y-117707176D01* +X125964702Y-117714831D01* +X125953679Y-117721438D01* +X125942062Y-117726932D01* +X125929963Y-117731261D01* +X125917497Y-117734384D01* +X125904785Y-117736269D01* +X125891950Y-117736900D01* +X124755050Y-117736900D01* +X124742215Y-117736269D01* +X124729503Y-117734384D01* +X124717037Y-117731261D01* +X124704938Y-117726932D01* +X124693321Y-117721438D01* +X124682298Y-117714831D01* +X124671976Y-117707176D01* +X124662454Y-117698546D01* +X124653824Y-117689024D01* +X124646169Y-117678702D01* +X124639562Y-117667679D01* +X124634068Y-117656062D01* +X124629739Y-117643963D01* +X124626616Y-117631497D01* +X124624731Y-117618785D01* +X124624100Y-117605950D01* +X124624100Y-117344050D01* +X124624731Y-117331215D01* +X124626616Y-117318503D01* +X124629739Y-117306037D01* +X124634068Y-117293938D01* +X124639562Y-117282321D01* +X124646169Y-117271298D01* +X124653824Y-117260976D01* +X124662454Y-117251454D01* +X124671976Y-117242824D01* +X124682298Y-117235169D01* +X124693321Y-117228562D01* +X124704938Y-117223068D01* +X124717037Y-117218739D01* +X124729503Y-117215616D01* +X124742215Y-117213731D01* +X124755050Y-117213100D01* +X125891950Y-117213100D01* +X125904785Y-117213731D01* +G37* +G36* +X125904785Y-115943731D02* +G01* +X125917497Y-115945616D01* +X125929963Y-115948739D01* +X125942062Y-115953068D01* +X125953679Y-115958562D01* +X125964702Y-115965169D01* +X125975024Y-115972824D01* +X125984546Y-115981454D01* +X125993176Y-115990976D01* +X126000831Y-116001298D01* +X126007438Y-116012321D01* +X126012932Y-116023938D01* +X126017261Y-116036037D01* +X126020384Y-116048503D01* +X126022269Y-116061215D01* +X126022900Y-116074050D01* +X126022900Y-116335950D01* +X126022269Y-116348785D01* +X126020384Y-116361497D01* +X126017261Y-116373963D01* +X126012932Y-116386062D01* +X126007438Y-116397679D01* +X126000831Y-116408702D01* +X125993176Y-116419024D01* +X125984546Y-116428546D01* +X125975024Y-116437176D01* +X125964702Y-116444831D01* +X125953679Y-116451438D01* +X125942062Y-116456932D01* +X125929963Y-116461261D01* +X125917497Y-116464384D01* +X125904785Y-116466269D01* +X125891950Y-116466900D01* +X124755050Y-116466900D01* +X124742215Y-116466269D01* +X124729503Y-116464384D01* +X124717037Y-116461261D01* +X124704938Y-116456932D01* +X124693321Y-116451438D01* +X124682298Y-116444831D01* +X124671976Y-116437176D01* +X124662454Y-116428546D01* +X124653824Y-116419024D01* +X124646169Y-116408702D01* +X124639562Y-116397679D01* +X124634068Y-116386062D01* +X124629739Y-116373963D01* +X124626616Y-116361497D01* +X124624731Y-116348785D01* +X124624100Y-116335950D01* +X124624100Y-116074050D01* +X124624731Y-116061215D01* +X124626616Y-116048503D01* +X124629739Y-116036037D01* +X124634068Y-116023938D01* +X124639562Y-116012321D01* +X124646169Y-116001298D01* +X124653824Y-115990976D01* +X124662454Y-115981454D01* +X124671976Y-115972824D01* +X124682298Y-115965169D01* +X124693321Y-115958562D01* +X124704938Y-115953068D01* +X124717037Y-115948739D01* +X124729503Y-115945616D01* +X124742215Y-115943731D01* +X124755050Y-115943100D01* +X125891950Y-115943100D01* +X125904785Y-115943731D01* +G37* +G36* +X125904785Y-114673731D02* +G01* +X125917497Y-114675616D01* +X125929963Y-114678739D01* +X125942062Y-114683068D01* +X125953679Y-114688562D01* +X125964702Y-114695169D01* +X125975024Y-114702824D01* +X125984546Y-114711454D01* +X125993176Y-114720976D01* +X126000831Y-114731298D01* +X126007438Y-114742321D01* +X126012932Y-114753938D01* +X126017261Y-114766037D01* +X126020384Y-114778503D01* +X126022269Y-114791215D01* +X126022900Y-114804050D01* +X126022900Y-115065950D01* +X126022269Y-115078785D01* +X126020384Y-115091497D01* +X126017261Y-115103963D01* +X126012932Y-115116062D01* +X126007438Y-115127679D01* +X126000831Y-115138702D01* +X125993176Y-115149024D01* +X125984546Y-115158546D01* +X125975024Y-115167176D01* +X125964702Y-115174831D01* +X125953679Y-115181438D01* +X125942062Y-115186932D01* +X125929963Y-115191261D01* +X125917497Y-115194384D01* +X125904785Y-115196269D01* +X125891950Y-115196900D01* +X124755050Y-115196900D01* +X124742215Y-115196269D01* +X124729503Y-115194384D01* +X124717037Y-115191261D01* +X124704938Y-115186932D01* +X124693321Y-115181438D01* +X124682298Y-115174831D01* +X124671976Y-115167176D01* +X124662454Y-115158546D01* +X124653824Y-115149024D01* +X124646169Y-115138702D01* +X124639562Y-115127679D01* +X124634068Y-115116062D01* +X124629739Y-115103963D01* +X124626616Y-115091497D01* +X124624731Y-115078785D01* +X124624100Y-115065950D01* +X124624100Y-114804050D01* +X124624731Y-114791215D01* +X124626616Y-114778503D01* +X124629739Y-114766037D01* +X124634068Y-114753938D01* +X124639562Y-114742321D01* +X124646169Y-114731298D01* +X124653824Y-114720976D01* +X124662454Y-114711454D01* +X124671976Y-114702824D01* +X124682298Y-114695169D01* +X124693321Y-114688562D01* +X124704938Y-114683068D01* +X124717037Y-114678739D01* +X124729503Y-114675616D01* +X124742215Y-114673731D01* +X124755050Y-114673100D01* +X125891950Y-114673100D01* +X125904785Y-114673731D01* +G37* +G36* +X123714785Y-112478731D02* +G01* +X123727497Y-112480616D01* +X123739963Y-112483739D01* +X123752062Y-112488068D01* +X123763679Y-112493562D01* +X123774702Y-112500169D01* +X123785024Y-112507824D01* +X123794546Y-112516454D01* +X123803176Y-112525976D01* +X123810831Y-112536298D01* +X123817438Y-112547321D01* +X123822932Y-112558938D01* +X123827261Y-112571037D01* +X123830384Y-112583503D01* +X123832269Y-112596215D01* +X123832900Y-112609050D01* +X123832900Y-113745950D01* +X123832269Y-113758785D01* +X123830384Y-113771497D01* +X123827261Y-113783963D01* +X123822932Y-113796062D01* +X123817438Y-113807679D01* +X123810831Y-113818702D01* +X123803176Y-113829024D01* +X123794546Y-113838546D01* +X123785024Y-113847176D01* +X123774702Y-113854831D01* +X123763679Y-113861438D01* +X123752062Y-113866932D01* +X123739963Y-113871261D01* +X123727497Y-113874384D01* +X123714785Y-113876269D01* +X123701950Y-113876900D01* +X123440050Y-113876900D01* +X123427215Y-113876269D01* +X123414503Y-113874384D01* +X123402037Y-113871261D01* +X123389938Y-113866932D01* +X123378321Y-113861438D01* +X123367298Y-113854831D01* +X123356976Y-113847176D01* +X123347454Y-113838546D01* +X123338824Y-113829024D01* +X123331169Y-113818702D01* +X123324562Y-113807679D01* +X123319068Y-113796062D01* +X123314739Y-113783963D01* +X123311616Y-113771497D01* +X123309731Y-113758785D01* +X123309100Y-113745950D01* +X123309100Y-112609050D01* +X123309731Y-112596215D01* +X123311616Y-112583503D01* +X123314739Y-112571037D01* +X123319068Y-112558938D01* +X123324562Y-112547321D01* +X123331169Y-112536298D01* +X123338824Y-112525976D01* +X123347454Y-112516454D01* +X123356976Y-112507824D01* +X123367298Y-112500169D01* +X123378321Y-112493562D01* +X123389938Y-112488068D01* +X123402037Y-112483739D01* +X123414503Y-112480616D01* +X123427215Y-112478731D01* +X123440050Y-112478100D01* +X123701950Y-112478100D01* +X123714785Y-112478731D01* +G37* +G36* +X122444785Y-112478731D02* +G01* +X122457497Y-112480616D01* +X122469963Y-112483739D01* +X122482062Y-112488068D01* +X122493679Y-112493562D01* +X122504702Y-112500169D01* +X122515024Y-112507824D01* +X122524546Y-112516454D01* +X122533176Y-112525976D01* +X122540831Y-112536298D01* +X122547438Y-112547321D01* +X122552932Y-112558938D01* +X122557261Y-112571037D01* +X122560384Y-112583503D01* +X122562269Y-112596215D01* +X122562900Y-112609050D01* +X122562900Y-113745950D01* +X122562269Y-113758785D01* +X122560384Y-113771497D01* +X122557261Y-113783963D01* +X122552932Y-113796062D01* +X122547438Y-113807679D01* +X122540831Y-113818702D01* +X122533176Y-113829024D01* +X122524546Y-113838546D01* +X122515024Y-113847176D01* +X122504702Y-113854831D01* +X122493679Y-113861438D01* +X122482062Y-113866932D01* +X122469963Y-113871261D01* +X122457497Y-113874384D01* +X122444785Y-113876269D01* +X122431950Y-113876900D01* +X122170050Y-113876900D01* +X122157215Y-113876269D01* +X122144503Y-113874384D01* +X122132037Y-113871261D01* +X122119938Y-113866932D01* +X122108321Y-113861438D01* +X122097298Y-113854831D01* +X122086976Y-113847176D01* +X122077454Y-113838546D01* +X122068824Y-113829024D01* +X122061169Y-113818702D01* +X122054562Y-113807679D01* +X122049068Y-113796062D01* +X122044739Y-113783963D01* +X122041616Y-113771497D01* +X122039731Y-113758785D01* +X122039100Y-113745950D01* +X122039100Y-112609050D01* +X122039731Y-112596215D01* +X122041616Y-112583503D01* +X122044739Y-112571037D01* +X122049068Y-112558938D01* +X122054562Y-112547321D01* +X122061169Y-112536298D01* +X122068824Y-112525976D01* +X122077454Y-112516454D01* +X122086976Y-112507824D01* +X122097298Y-112500169D01* +X122108321Y-112493562D01* +X122119938Y-112488068D01* +X122132037Y-112483739D01* +X122144503Y-112480616D01* +X122157215Y-112478731D01* +X122170050Y-112478100D01* +X122431950Y-112478100D01* +X122444785Y-112478731D01* +G37* +G36* +X121174785Y-112478731D02* +G01* +X121187497Y-112480616D01* +X121199963Y-112483739D01* +X121212062Y-112488068D01* +X121223679Y-112493562D01* +X121234702Y-112500169D01* +X121245024Y-112507824D01* +X121254546Y-112516454D01* +X121263176Y-112525976D01* +X121270831Y-112536298D01* +X121277438Y-112547321D01* +X121282932Y-112558938D01* +X121287261Y-112571037D01* +X121290384Y-112583503D01* +X121292269Y-112596215D01* +X121292900Y-112609050D01* +X121292900Y-113745950D01* +X121292269Y-113758785D01* +X121290384Y-113771497D01* +X121287261Y-113783963D01* +X121282932Y-113796062D01* +X121277438Y-113807679D01* +X121270831Y-113818702D01* +X121263176Y-113829024D01* +X121254546Y-113838546D01* +X121245024Y-113847176D01* +X121234702Y-113854831D01* +X121223679Y-113861438D01* +X121212062Y-113866932D01* +X121199963Y-113871261D01* +X121187497Y-113874384D01* +X121174785Y-113876269D01* +X121161950Y-113876900D01* +X120900050Y-113876900D01* +X120887215Y-113876269D01* +X120874503Y-113874384D01* +X120862037Y-113871261D01* +X120849938Y-113866932D01* +X120838321Y-113861438D01* +X120827298Y-113854831D01* +X120816976Y-113847176D01* +X120807454Y-113838546D01* +X120798824Y-113829024D01* +X120791169Y-113818702D01* +X120784562Y-113807679D01* +X120779068Y-113796062D01* +X120774739Y-113783963D01* +X120771616Y-113771497D01* +X120769731Y-113758785D01* +X120769100Y-113745950D01* +X120769100Y-112609050D01* +X120769731Y-112596215D01* +X120771616Y-112583503D01* +X120774739Y-112571037D01* +X120779068Y-112558938D01* +X120784562Y-112547321D01* +X120791169Y-112536298D01* +X120798824Y-112525976D01* +X120807454Y-112516454D01* +X120816976Y-112507824D01* +X120827298Y-112500169D01* +X120838321Y-112493562D01* +X120849938Y-112488068D01* +X120862037Y-112483739D01* +X120874503Y-112480616D01* +X120887215Y-112478731D01* +X120900050Y-112478100D01* +X121161950Y-112478100D01* +X121174785Y-112478731D01* +G37* +G36* +X119598312Y-109448272D02* +G01* +X119621945Y-109451778D01* +X119645120Y-109457583D01* +X119667614Y-109465632D01* +X119689212Y-109475846D01* +X119709704Y-109488129D01* +X119728893Y-109502361D01* +X119746595Y-109518405D01* +X119762639Y-109536107D01* +X119776871Y-109555296D01* +X119789154Y-109575788D01* +X119799368Y-109597386D01* +X119807417Y-109619880D01* +X119813222Y-109643055D01* +X119816728Y-109666688D01* +X119817900Y-109690550D01* +X119817900Y-110527450D01* +X119816728Y-110551312D01* +X119813222Y-110574945D01* +X119807417Y-110598120D01* +X119799368Y-110620614D01* +X119789154Y-110642212D01* +X119776871Y-110662704D01* +X119762639Y-110681893D01* +X119746595Y-110699595D01* +X119728893Y-110715639D01* +X119709704Y-110729871D01* +X119689212Y-110742154D01* +X119667614Y-110752368D01* +X119645120Y-110760417D01* +X119621945Y-110766222D01* +X119598312Y-110769728D01* +X119574450Y-110770900D01* +X119087550Y-110770900D01* +X119063688Y-110769728D01* +X119040055Y-110766222D01* +X119016880Y-110760417D01* +X118994386Y-110752368D01* +X118972788Y-110742154D01* +X118952296Y-110729871D01* +X118933107Y-110715639D01* +X118915405Y-110699595D01* +X118899361Y-110681893D01* +X118885129Y-110662704D01* +X118872846Y-110642212D01* +X118862632Y-110620614D01* +X118854583Y-110598120D01* +X118848778Y-110574945D01* +X118845272Y-110551312D01* +X118844100Y-110527450D01* +X118844100Y-109690550D01* +X118845272Y-109666688D01* +X118848778Y-109643055D01* +X118854583Y-109619880D01* +X118862632Y-109597386D01* +X118872846Y-109575788D01* +X118885129Y-109555296D01* +X118899361Y-109536107D01* +X118915405Y-109518405D01* +X118933107Y-109502361D01* +X118952296Y-109488129D01* +X118972788Y-109475846D01* +X118994386Y-109465632D01* +X119016880Y-109457583D01* +X119040055Y-109451778D01* +X119063688Y-109448272D01* +X119087550Y-109447100D01* +X119574450Y-109447100D01* +X119598312Y-109448272D01* +G37* +G36* +X121298312Y-109448272D02* +G01* +X121321945Y-109451778D01* +X121345120Y-109457583D01* +X121367614Y-109465632D01* +X121389212Y-109475846D01* +X121409704Y-109488129D01* +X121428893Y-109502361D01* +X121446595Y-109518405D01* +X121462639Y-109536107D01* +X121476871Y-109555296D01* +X121489154Y-109575788D01* +X121499368Y-109597386D01* +X121507417Y-109619880D01* +X121513222Y-109643055D01* +X121516728Y-109666688D01* +X121517900Y-109690550D01* +X121517900Y-110527450D01* +X121516728Y-110551312D01* +X121513222Y-110574945D01* +X121507417Y-110598120D01* +X121499368Y-110620614D01* +X121489154Y-110642212D01* +X121476871Y-110662704D01* +X121462639Y-110681893D01* +X121446595Y-110699595D01* +X121428893Y-110715639D01* +X121409704Y-110729871D01* +X121389212Y-110742154D01* +X121367614Y-110752368D01* +X121345120Y-110760417D01* +X121321945Y-110766222D01* +X121298312Y-110769728D01* +X121274450Y-110770900D01* +X120787550Y-110770900D01* +X120763688Y-110769728D01* +X120740055Y-110766222D01* +X120716880Y-110760417D01* +X120694386Y-110752368D01* +X120672788Y-110742154D01* +X120652296Y-110729871D01* +X120633107Y-110715639D01* +X120615405Y-110699595D01* +X120599361Y-110681893D01* +X120585129Y-110662704D01* +X120572846Y-110642212D01* +X120562632Y-110620614D01* +X120554583Y-110598120D01* +X120548778Y-110574945D01* +X120545272Y-110551312D01* +X120544100Y-110527450D01* +X120544100Y-109690550D01* +X120545272Y-109666688D01* +X120548778Y-109643055D01* +X120554583Y-109619880D01* +X120562632Y-109597386D01* +X120572846Y-109575788D01* +X120585129Y-109555296D01* +X120599361Y-109536107D01* +X120615405Y-109518405D01* +X120633107Y-109502361D01* +X120652296Y-109488129D01* +X120672788Y-109475846D01* +X120694386Y-109465632D01* +X120716880Y-109457583D01* +X120740055Y-109451778D01* +X120763688Y-109448272D01* +X120787550Y-109447100D01* +X121274450Y-109447100D01* +X121298312Y-109448272D01* +G37* +G36* +X137427312Y-127228272D02* +G01* +X137450945Y-127231778D01* +X137474120Y-127237583D01* +X137496614Y-127245632D01* +X137518212Y-127255846D01* +X137538704Y-127268129D01* +X137557893Y-127282361D01* +X137575595Y-127298405D01* +X137591639Y-127316107D01* +X137605871Y-127335296D01* +X137618154Y-127355788D01* +X137628368Y-127377386D01* +X137636417Y-127399880D01* +X137642222Y-127423055D01* +X137645728Y-127446688D01* +X137646900Y-127470550D01* +X137646900Y-128307450D01* +X137645728Y-128331312D01* +X137642222Y-128354945D01* +X137636417Y-128378120D01* +X137628368Y-128400614D01* +X137618154Y-128422212D01* +X137605871Y-128442704D01* +X137591639Y-128461893D01* +X137575595Y-128479595D01* +X137557893Y-128495639D01* +X137538704Y-128509871D01* +X137518212Y-128522154D01* +X137496614Y-128532368D01* +X137474120Y-128540417D01* +X137450945Y-128546222D01* +X137427312Y-128549728D01* +X137403450Y-128550900D01* +X136916550Y-128550900D01* +X136892688Y-128549728D01* +X136869055Y-128546222D01* +X136845880Y-128540417D01* +X136823386Y-128532368D01* +X136801788Y-128522154D01* +X136781296Y-128509871D01* +X136762107Y-128495639D01* +X136744405Y-128479595D01* +X136728361Y-128461893D01* +X136714129Y-128442704D01* +X136701846Y-128422212D01* +X136691632Y-128400614D01* +X136683583Y-128378120D01* +X136677778Y-128354945D01* +X136674272Y-128331312D01* +X136673100Y-128307450D01* +X136673100Y-127470550D01* +X136674272Y-127446688D01* +X136677778Y-127423055D01* +X136683583Y-127399880D01* +X136691632Y-127377386D01* +X136701846Y-127355788D01* +X136714129Y-127335296D01* +X136728361Y-127316107D01* +X136744405Y-127298405D01* +X136762107Y-127282361D01* +X136781296Y-127268129D01* +X136801788Y-127255846D01* +X136823386Y-127245632D01* +X136845880Y-127237583D01* +X136869055Y-127231778D01* +X136892688Y-127228272D01* +X136916550Y-127227100D01* +X137403450Y-127227100D01* +X137427312Y-127228272D01* +G37* +G36* +X135727312Y-127228272D02* +G01* +X135750945Y-127231778D01* +X135774120Y-127237583D01* +X135796614Y-127245632D01* +X135818212Y-127255846D01* +X135838704Y-127268129D01* +X135857893Y-127282361D01* +X135875595Y-127298405D01* +X135891639Y-127316107D01* +X135905871Y-127335296D01* +X135918154Y-127355788D01* +X135928368Y-127377386D01* +X135936417Y-127399880D01* +X135942222Y-127423055D01* +X135945728Y-127446688D01* +X135946900Y-127470550D01* +X135946900Y-128307450D01* +X135945728Y-128331312D01* +X135942222Y-128354945D01* +X135936417Y-128378120D01* +X135928368Y-128400614D01* +X135918154Y-128422212D01* +X135905871Y-128442704D01* +X135891639Y-128461893D01* +X135875595Y-128479595D01* +X135857893Y-128495639D01* +X135838704Y-128509871D01* +X135818212Y-128522154D01* +X135796614Y-128532368D01* +X135774120Y-128540417D01* +X135750945Y-128546222D01* +X135727312Y-128549728D01* +X135703450Y-128550900D01* +X135216550Y-128550900D01* +X135192688Y-128549728D01* +X135169055Y-128546222D01* +X135145880Y-128540417D01* +X135123386Y-128532368D01* +X135101788Y-128522154D01* +X135081296Y-128509871D01* +X135062107Y-128495639D01* +X135044405Y-128479595D01* +X135028361Y-128461893D01* +X135014129Y-128442704D01* +X135001846Y-128422212D01* +X134991632Y-128400614D01* +X134983583Y-128378120D01* +X134977778Y-128354945D01* +X134974272Y-128331312D01* +X134973100Y-128307450D01* +X134973100Y-127470550D01* +X134974272Y-127446688D01* +X134977778Y-127423055D01* +X134983583Y-127399880D01* +X134991632Y-127377386D01* +X135001846Y-127355788D01* +X135014129Y-127335296D01* +X135028361Y-127316107D01* +X135044405Y-127298405D01* +X135062107Y-127282361D01* +X135081296Y-127268129D01* +X135101788Y-127255846D01* +X135123386Y-127245632D01* +X135145880Y-127237583D01* +X135169055Y-127231778D01* +X135192688Y-127228272D01* +X135216550Y-127227100D01* +X135703450Y-127227100D01* +X135727312Y-127228272D01* +G37* +G36* +X93406312Y-127149272D02* +G01* +X93429945Y-127152778D01* +X93453120Y-127158583D01* +X93475614Y-127166632D01* +X93497212Y-127176846D01* +X93517704Y-127189129D01* +X93536893Y-127203361D01* +X93554595Y-127219405D01* +X93570639Y-127237107D01* +X93584871Y-127256296D01* +X93597154Y-127276788D01* +X93607368Y-127298386D01* +X93615417Y-127320880D01* +X93621222Y-127344055D01* +X93624728Y-127367688D01* +X93625900Y-127391550D01* +X93625900Y-127878450D01* +X93624728Y-127902312D01* +X93621222Y-127925945D01* +X93615417Y-127949120D01* +X93607368Y-127971614D01* +X93597154Y-127993212D01* +X93584871Y-128013704D01* +X93570639Y-128032893D01* +X93554595Y-128050595D01* +X93536893Y-128066639D01* +X93517704Y-128080871D01* +X93497212Y-128093154D01* +X93475614Y-128103368D01* +X93453120Y-128111417D01* +X93429945Y-128117222D01* +X93406312Y-128120728D01* +X93382450Y-128121900D01* +X92545550Y-128121900D01* +X92521688Y-128120728D01* +X92498055Y-128117222D01* +X92474880Y-128111417D01* +X92452386Y-128103368D01* +X92430788Y-128093154D01* +X92410296Y-128080871D01* +X92391107Y-128066639D01* +X92373405Y-128050595D01* +X92357361Y-128032893D01* +X92343129Y-128013704D01* +X92330846Y-127993212D01* +X92320632Y-127971614D01* +X92312583Y-127949120D01* +X92306778Y-127925945D01* +X92303272Y-127902312D01* +X92302100Y-127878450D01* +X92302100Y-127391550D01* +X92303272Y-127367688D01* +X92306778Y-127344055D01* +X92312583Y-127320880D01* +X92320632Y-127298386D01* +X92330846Y-127276788D01* +X92343129Y-127256296D01* +X92357361Y-127237107D01* +X92373405Y-127219405D01* +X92391107Y-127203361D01* +X92410296Y-127189129D01* +X92430788Y-127176846D01* +X92452386Y-127166632D01* +X92474880Y-127158583D01* +X92498055Y-127152778D01* +X92521688Y-127149272D01* +X92545550Y-127148100D01* +X93382450Y-127148100D01* +X93406312Y-127149272D01* +G37* +G36* +X93406312Y-125449272D02* +G01* +X93429945Y-125452778D01* +X93453120Y-125458583D01* +X93475614Y-125466632D01* +X93497212Y-125476846D01* +X93517704Y-125489129D01* +X93536893Y-125503361D01* +X93554595Y-125519405D01* +X93570639Y-125537107D01* +X93584871Y-125556296D01* +X93597154Y-125576788D01* +X93607368Y-125598386D01* +X93615417Y-125620880D01* +X93621222Y-125644055D01* +X93624728Y-125667688D01* +X93625900Y-125691550D01* +X93625900Y-126178450D01* +X93624728Y-126202312D01* +X93621222Y-126225945D01* +X93615417Y-126249120D01* +X93607368Y-126271614D01* +X93597154Y-126293212D01* +X93584871Y-126313704D01* +X93570639Y-126332893D01* +X93554595Y-126350595D01* +X93536893Y-126366639D01* +X93517704Y-126380871D01* +X93497212Y-126393154D01* +X93475614Y-126403368D01* +X93453120Y-126411417D01* +X93429945Y-126417222D01* +X93406312Y-126420728D01* +X93382450Y-126421900D01* +X92545550Y-126421900D01* +X92521688Y-126420728D01* +X92498055Y-126417222D01* +X92474880Y-126411417D01* +X92452386Y-126403368D01* +X92430788Y-126393154D01* +X92410296Y-126380871D01* +X92391107Y-126366639D01* +X92373405Y-126350595D01* +X92357361Y-126332893D01* +X92343129Y-126313704D01* +X92330846Y-126293212D01* +X92320632Y-126271614D01* +X92312583Y-126249120D01* +X92306778Y-126225945D01* +X92303272Y-126202312D01* +X92302100Y-126178450D01* +X92302100Y-125691550D01* +X92303272Y-125667688D01* +X92306778Y-125644055D01* +X92312583Y-125620880D01* +X92320632Y-125598386D01* +X92330846Y-125576788D01* +X92343129Y-125556296D01* +X92357361Y-125537107D01* +X92373405Y-125519405D01* +X92391107Y-125503361D01* +X92410296Y-125489129D01* +X92430788Y-125476846D01* +X92452386Y-125466632D01* +X92474880Y-125458583D01* +X92498055Y-125452778D01* +X92521688Y-125449272D01* +X92545550Y-125448100D01* +X93382450Y-125448100D01* +X93406312Y-125449272D01* +G37* +G36* +X92005785Y-119753731D02* +G01* +X92018497Y-119755616D01* +X92030963Y-119758739D01* +X92043062Y-119763068D01* +X92054679Y-119768562D01* +X92065702Y-119775169D01* +X92076024Y-119782824D01* +X92085546Y-119791454D01* +X92094176Y-119800976D01* +X92101831Y-119811298D01* +X92108438Y-119822321D01* +X92113932Y-119833938D01* +X92118261Y-119846037D01* +X92121384Y-119858503D01* +X92123269Y-119871215D01* +X92123900Y-119884050D01* +X92123900Y-120145950D01* +X92123269Y-120158785D01* +X92121384Y-120171497D01* +X92118261Y-120183963D01* +X92113932Y-120196062D01* +X92108438Y-120207679D01* +X92101831Y-120218702D01* +X92094176Y-120229024D01* +X92085546Y-120238546D01* +X92076024Y-120247176D01* +X92065702Y-120254831D01* +X92054679Y-120261438D01* +X92043062Y-120266932D01* +X92030963Y-120271261D01* +X92018497Y-120274384D01* +X92005785Y-120276269D01* +X91992950Y-120276900D01* +X90281050Y-120276900D01* +X90268215Y-120276269D01* +X90255503Y-120274384D01* +X90243037Y-120271261D01* +X90230938Y-120266932D01* +X90219321Y-120261438D01* +X90208298Y-120254831D01* +X90197976Y-120247176D01* +X90188454Y-120238546D01* +X90179824Y-120229024D01* +X90172169Y-120218702D01* +X90165562Y-120207679D01* +X90160068Y-120196062D01* +X90155739Y-120183963D01* +X90152616Y-120171497D01* +X90150731Y-120158785D01* +X90150100Y-120145950D01* +X90150100Y-119884050D01* +X90150731Y-119871215D01* +X90152616Y-119858503D01* +X90155739Y-119846037D01* +X90160068Y-119833938D01* +X90165562Y-119822321D01* +X90172169Y-119811298D01* +X90179824Y-119800976D01* +X90188454Y-119791454D01* +X90197976Y-119782824D01* +X90208298Y-119775169D01* +X90219321Y-119768562D01* +X90230938Y-119763068D01* +X90243037Y-119758739D01* +X90255503Y-119755616D01* +X90268215Y-119753731D01* +X90281050Y-119753100D01* +X91992950Y-119753100D01* +X92005785Y-119753731D01* +G37* +G36* +X92005785Y-118483731D02* +G01* +X92018497Y-118485616D01* +X92030963Y-118488739D01* +X92043062Y-118493068D01* +X92054679Y-118498562D01* +X92065702Y-118505169D01* +X92076024Y-118512824D01* +X92085546Y-118521454D01* +X92094176Y-118530976D01* +X92101831Y-118541298D01* +X92108438Y-118552321D01* +X92113932Y-118563938D01* +X92118261Y-118576037D01* +X92121384Y-118588503D01* +X92123269Y-118601215D01* +X92123900Y-118614050D01* +X92123900Y-118875950D01* +X92123269Y-118888785D01* +X92121384Y-118901497D01* +X92118261Y-118913963D01* +X92113932Y-118926062D01* +X92108438Y-118937679D01* +X92101831Y-118948702D01* +X92094176Y-118959024D01* +X92085546Y-118968546D01* +X92076024Y-118977176D01* +X92065702Y-118984831D01* +X92054679Y-118991438D01* +X92043062Y-118996932D01* +X92030963Y-119001261D01* +X92018497Y-119004384D01* +X92005785Y-119006269D01* +X91992950Y-119006900D01* +X90281050Y-119006900D01* +X90268215Y-119006269D01* +X90255503Y-119004384D01* +X90243037Y-119001261D01* +X90230938Y-118996932D01* +X90219321Y-118991438D01* +X90208298Y-118984831D01* +X90197976Y-118977176D01* +X90188454Y-118968546D01* +X90179824Y-118959024D01* +X90172169Y-118948702D01* +X90165562Y-118937679D01* +X90160068Y-118926062D01* +X90155739Y-118913963D01* +X90152616Y-118901497D01* +X90150731Y-118888785D01* +X90150100Y-118875950D01* +X90150100Y-118614050D01* +X90150731Y-118601215D01* +X90152616Y-118588503D01* +X90155739Y-118576037D01* +X90160068Y-118563938D01* +X90165562Y-118552321D01* +X90172169Y-118541298D01* +X90179824Y-118530976D01* +X90188454Y-118521454D01* +X90197976Y-118512824D01* +X90208298Y-118505169D01* +X90219321Y-118498562D01* +X90230938Y-118493068D01* +X90243037Y-118488739D01* +X90255503Y-118485616D01* +X90268215Y-118483731D01* +X90281050Y-118483100D01* +X91992950Y-118483100D01* +X92005785Y-118483731D01* +G37* +G36* +X92005785Y-117213731D02* +G01* +X92018497Y-117215616D01* +X92030963Y-117218739D01* +X92043062Y-117223068D01* +X92054679Y-117228562D01* +X92065702Y-117235169D01* +X92076024Y-117242824D01* +X92085546Y-117251454D01* +X92094176Y-117260976D01* +X92101831Y-117271298D01* +X92108438Y-117282321D01* +X92113932Y-117293938D01* +X92118261Y-117306037D01* +X92121384Y-117318503D01* +X92123269Y-117331215D01* +X92123900Y-117344050D01* +X92123900Y-117605950D01* +X92123269Y-117618785D01* +X92121384Y-117631497D01* +X92118261Y-117643963D01* +X92113932Y-117656062D01* +X92108438Y-117667679D01* +X92101831Y-117678702D01* +X92094176Y-117689024D01* +X92085546Y-117698546D01* +X92076024Y-117707176D01* +X92065702Y-117714831D01* +X92054679Y-117721438D01* +X92043062Y-117726932D01* +X92030963Y-117731261D01* +X92018497Y-117734384D01* +X92005785Y-117736269D01* +X91992950Y-117736900D01* +X90281050Y-117736900D01* +X90268215Y-117736269D01* +X90255503Y-117734384D01* +X90243037Y-117731261D01* +X90230938Y-117726932D01* +X90219321Y-117721438D01* +X90208298Y-117714831D01* +X90197976Y-117707176D01* +X90188454Y-117698546D01* +X90179824Y-117689024D01* +X90172169Y-117678702D01* +X90165562Y-117667679D01* +X90160068Y-117656062D01* +X90155739Y-117643963D01* +X90152616Y-117631497D01* +X90150731Y-117618785D01* +X90150100Y-117605950D01* +X90150100Y-117344050D01* +X90150731Y-117331215D01* +X90152616Y-117318503D01* +X90155739Y-117306037D01* +X90160068Y-117293938D01* +X90165562Y-117282321D01* +X90172169Y-117271298D01* +X90179824Y-117260976D01* +X90188454Y-117251454D01* +X90197976Y-117242824D01* +X90208298Y-117235169D01* +X90219321Y-117228562D01* +X90230938Y-117223068D01* +X90243037Y-117218739D01* +X90255503Y-117215616D01* +X90268215Y-117213731D01* +X90281050Y-117213100D01* +X91992950Y-117213100D01* +X92005785Y-117213731D01* +G37* +G36* +X92005785Y-115943731D02* +G01* +X92018497Y-115945616D01* +X92030963Y-115948739D01* +X92043062Y-115953068D01* +X92054679Y-115958562D01* +X92065702Y-115965169D01* +X92076024Y-115972824D01* +X92085546Y-115981454D01* +X92094176Y-115990976D01* +X92101831Y-116001298D01* +X92108438Y-116012321D01* +X92113932Y-116023938D01* +X92118261Y-116036037D01* +X92121384Y-116048503D01* +X92123269Y-116061215D01* +X92123900Y-116074050D01* +X92123900Y-116335950D01* +X92123269Y-116348785D01* +X92121384Y-116361497D01* +X92118261Y-116373963D01* +X92113932Y-116386062D01* +X92108438Y-116397679D01* +X92101831Y-116408702D01* +X92094176Y-116419024D01* +X92085546Y-116428546D01* +X92076024Y-116437176D01* +X92065702Y-116444831D01* +X92054679Y-116451438D01* +X92043062Y-116456932D01* +X92030963Y-116461261D01* +X92018497Y-116464384D01* +X92005785Y-116466269D01* +X91992950Y-116466900D01* +X90281050Y-116466900D01* +X90268215Y-116466269D01* +X90255503Y-116464384D01* +X90243037Y-116461261D01* +X90230938Y-116456932D01* +X90219321Y-116451438D01* +X90208298Y-116444831D01* +X90197976Y-116437176D01* +X90188454Y-116428546D01* +X90179824Y-116419024D01* +X90172169Y-116408702D01* +X90165562Y-116397679D01* +X90160068Y-116386062D01* +X90155739Y-116373963D01* +X90152616Y-116361497D01* +X90150731Y-116348785D01* +X90150100Y-116335950D01* +X90150100Y-116074050D01* +X90150731Y-116061215D01* +X90152616Y-116048503D01* +X90155739Y-116036037D01* +X90160068Y-116023938D01* +X90165562Y-116012321D01* +X90172169Y-116001298D01* +X90179824Y-115990976D01* +X90188454Y-115981454D01* +X90197976Y-115972824D01* +X90208298Y-115965169D01* +X90219321Y-115958562D01* +X90230938Y-115953068D01* +X90243037Y-115948739D01* +X90255503Y-115945616D01* +X90268215Y-115943731D01* +X90281050Y-115943100D01* +X91992950Y-115943100D01* +X92005785Y-115943731D01* +G37* +G36* +X92005785Y-114673731D02* +G01* +X92018497Y-114675616D01* +X92030963Y-114678739D01* +X92043062Y-114683068D01* +X92054679Y-114688562D01* +X92065702Y-114695169D01* +X92076024Y-114702824D01* +X92085546Y-114711454D01* +X92094176Y-114720976D01* +X92101831Y-114731298D01* +X92108438Y-114742321D01* +X92113932Y-114753938D01* +X92118261Y-114766037D01* +X92121384Y-114778503D01* +X92123269Y-114791215D01* +X92123900Y-114804050D01* +X92123900Y-115065950D01* +X92123269Y-115078785D01* +X92121384Y-115091497D01* +X92118261Y-115103963D01* +X92113932Y-115116062D01* +X92108438Y-115127679D01* +X92101831Y-115138702D01* +X92094176Y-115149024D01* +X92085546Y-115158546D01* +X92076024Y-115167176D01* +X92065702Y-115174831D01* +X92054679Y-115181438D01* +X92043062Y-115186932D01* +X92030963Y-115191261D01* +X92018497Y-115194384D01* +X92005785Y-115196269D01* +X91992950Y-115196900D01* +X90281050Y-115196900D01* +X90268215Y-115196269D01* +X90255503Y-115194384D01* +X90243037Y-115191261D01* +X90230938Y-115186932D01* +X90219321Y-115181438D01* +X90208298Y-115174831D01* +X90197976Y-115167176D01* +X90188454Y-115158546D01* +X90179824Y-115149024D01* +X90172169Y-115138702D01* +X90165562Y-115127679D01* +X90160068Y-115116062D01* +X90155739Y-115103963D01* +X90152616Y-115091497D01* +X90150731Y-115078785D01* +X90150100Y-115065950D01* +X90150100Y-114804050D01* +X90150731Y-114791215D01* +X90152616Y-114778503D01* +X90155739Y-114766037D01* +X90160068Y-114753938D01* +X90165562Y-114742321D01* +X90172169Y-114731298D01* +X90179824Y-114720976D01* +X90188454Y-114711454D01* +X90197976Y-114702824D01* +X90208298Y-114695169D01* +X90219321Y-114688562D01* +X90230938Y-114683068D01* +X90243037Y-114678739D01* +X90255503Y-114675616D01* +X90268215Y-114673731D01* +X90281050Y-114673100D01* +X91992950Y-114673100D01* +X92005785Y-114673731D01* +G37* +G36* +X92005785Y-113403731D02* +G01* +X92018497Y-113405616D01* +X92030963Y-113408739D01* +X92043062Y-113413068D01* +X92054679Y-113418562D01* +X92065702Y-113425169D01* +X92076024Y-113432824D01* +X92085546Y-113441454D01* +X92094176Y-113450976D01* +X92101831Y-113461298D01* +X92108438Y-113472321D01* +X92113932Y-113483938D01* +X92118261Y-113496037D01* +X92121384Y-113508503D01* +X92123269Y-113521215D01* +X92123900Y-113534050D01* +X92123900Y-113795950D01* +X92123269Y-113808785D01* +X92121384Y-113821497D01* +X92118261Y-113833963D01* +X92113932Y-113846062D01* +X92108438Y-113857679D01* +X92101831Y-113868702D01* +X92094176Y-113879024D01* +X92085546Y-113888546D01* +X92076024Y-113897176D01* +X92065702Y-113904831D01* +X92054679Y-113911438D01* +X92043062Y-113916932D01* +X92030963Y-113921261D01* +X92018497Y-113924384D01* +X92005785Y-113926269D01* +X91992950Y-113926900D01* +X90281050Y-113926900D01* +X90268215Y-113926269D01* +X90255503Y-113924384D01* +X90243037Y-113921261D01* +X90230938Y-113916932D01* +X90219321Y-113911438D01* +X90208298Y-113904831D01* +X90197976Y-113897176D01* +X90188454Y-113888546D01* +X90179824Y-113879024D01* +X90172169Y-113868702D01* +X90165562Y-113857679D01* +X90160068Y-113846062D01* +X90155739Y-113833963D01* +X90152616Y-113821497D01* +X90150731Y-113808785D01* +X90150100Y-113795950D01* +X90150100Y-113534050D01* +X90150731Y-113521215D01* +X90152616Y-113508503D01* +X90155739Y-113496037D01* +X90160068Y-113483938D01* +X90165562Y-113472321D01* +X90172169Y-113461298D01* +X90179824Y-113450976D01* +X90188454Y-113441454D01* +X90197976Y-113432824D01* +X90208298Y-113425169D01* +X90219321Y-113418562D01* +X90230938Y-113413068D01* +X90243037Y-113408739D01* +X90255503Y-113405616D01* +X90268215Y-113403731D01* +X90281050Y-113403100D01* +X91992950Y-113403100D01* +X92005785Y-113403731D01* +G37* +G36* +X92005785Y-112133731D02* +G01* +X92018497Y-112135616D01* +X92030963Y-112138739D01* +X92043062Y-112143068D01* +X92054679Y-112148562D01* +X92065702Y-112155169D01* +X92076024Y-112162824D01* +X92085546Y-112171454D01* +X92094176Y-112180976D01* +X92101831Y-112191298D01* +X92108438Y-112202321D01* +X92113932Y-112213938D01* +X92118261Y-112226037D01* +X92121384Y-112238503D01* +X92123269Y-112251215D01* +X92123900Y-112264050D01* +X92123900Y-112525950D01* +X92123269Y-112538785D01* +X92121384Y-112551497D01* +X92118261Y-112563963D01* +X92113932Y-112576062D01* +X92108438Y-112587679D01* +X92101831Y-112598702D01* +X92094176Y-112609024D01* +X92085546Y-112618546D01* +X92076024Y-112627176D01* +X92065702Y-112634831D01* +X92054679Y-112641438D01* +X92043062Y-112646932D01* +X92030963Y-112651261D01* +X92018497Y-112654384D01* +X92005785Y-112656269D01* +X91992950Y-112656900D01* +X90281050Y-112656900D01* +X90268215Y-112656269D01* +X90255503Y-112654384D01* +X90243037Y-112651261D01* +X90230938Y-112646932D01* +X90219321Y-112641438D01* +X90208298Y-112634831D01* +X90197976Y-112627176D01* +X90188454Y-112618546D01* +X90179824Y-112609024D01* +X90172169Y-112598702D01* +X90165562Y-112587679D01* +X90160068Y-112576062D01* +X90155739Y-112563963D01* +X90152616Y-112551497D01* +X90150731Y-112538785D01* +X90150100Y-112525950D01* +X90150100Y-112264050D01* +X90150731Y-112251215D01* +X90152616Y-112238503D01* +X90155739Y-112226037D01* +X90160068Y-112213938D01* +X90165562Y-112202321D01* +X90172169Y-112191298D01* +X90179824Y-112180976D01* +X90188454Y-112171454D01* +X90197976Y-112162824D01* +X90208298Y-112155169D01* +X90219321Y-112148562D01* +X90230938Y-112143068D01* +X90243037Y-112138739D01* +X90255503Y-112135616D01* +X90268215Y-112133731D01* +X90281050Y-112133100D01* +X91992950Y-112133100D01* +X92005785Y-112133731D01* +G37* +G36* +X92005785Y-110863731D02* +G01* +X92018497Y-110865616D01* +X92030963Y-110868739D01* +X92043062Y-110873068D01* +X92054679Y-110878562D01* +X92065702Y-110885169D01* +X92076024Y-110892824D01* +X92085546Y-110901454D01* +X92094176Y-110910976D01* +X92101831Y-110921298D01* +X92108438Y-110932321D01* +X92113932Y-110943938D01* +X92118261Y-110956037D01* +X92121384Y-110968503D01* +X92123269Y-110981215D01* +X92123900Y-110994050D01* +X92123900Y-111255950D01* +X92123269Y-111268785D01* +X92121384Y-111281497D01* +X92118261Y-111293963D01* +X92113932Y-111306062D01* +X92108438Y-111317679D01* +X92101831Y-111328702D01* +X92094176Y-111339024D01* +X92085546Y-111348546D01* +X92076024Y-111357176D01* +X92065702Y-111364831D01* +X92054679Y-111371438D01* +X92043062Y-111376932D01* +X92030963Y-111381261D01* +X92018497Y-111384384D01* +X92005785Y-111386269D01* +X91992950Y-111386900D01* +X90281050Y-111386900D01* +X90268215Y-111386269D01* +X90255503Y-111384384D01* +X90243037Y-111381261D01* +X90230938Y-111376932D01* +X90219321Y-111371438D01* +X90208298Y-111364831D01* +X90197976Y-111357176D01* +X90188454Y-111348546D01* +X90179824Y-111339024D01* +X90172169Y-111328702D01* +X90165562Y-111317679D01* +X90160068Y-111306062D01* +X90155739Y-111293963D01* +X90152616Y-111281497D01* +X90150731Y-111268785D01* +X90150100Y-111255950D01* +X90150100Y-110994050D01* +X90150731Y-110981215D01* +X90152616Y-110968503D01* +X90155739Y-110956037D01* +X90160068Y-110943938D01* +X90165562Y-110932321D01* +X90172169Y-110921298D01* +X90179824Y-110910976D01* +X90188454Y-110901454D01* +X90197976Y-110892824D01* +X90208298Y-110885169D01* +X90219321Y-110878562D01* +X90230938Y-110873068D01* +X90243037Y-110868739D01* +X90255503Y-110865616D01* +X90268215Y-110863731D01* +X90281050Y-110863100D01* +X91992950Y-110863100D01* +X92005785Y-110863731D01* +G37* +G36* +X92005785Y-109593731D02* +G01* +X92018497Y-109595616D01* +X92030963Y-109598739D01* +X92043062Y-109603068D01* +X92054679Y-109608562D01* +X92065702Y-109615169D01* +X92076024Y-109622824D01* +X92085546Y-109631454D01* +X92094176Y-109640976D01* +X92101831Y-109651298D01* +X92108438Y-109662321D01* +X92113932Y-109673938D01* +X92118261Y-109686037D01* +X92121384Y-109698503D01* +X92123269Y-109711215D01* +X92123900Y-109724050D01* +X92123900Y-109985950D01* +X92123269Y-109998785D01* +X92121384Y-110011497D01* +X92118261Y-110023963D01* +X92113932Y-110036062D01* +X92108438Y-110047679D01* +X92101831Y-110058702D01* +X92094176Y-110069024D01* +X92085546Y-110078546D01* +X92076024Y-110087176D01* +X92065702Y-110094831D01* +X92054679Y-110101438D01* +X92043062Y-110106932D01* +X92030963Y-110111261D01* +X92018497Y-110114384D01* +X92005785Y-110116269D01* +X91992950Y-110116900D01* +X90281050Y-110116900D01* +X90268215Y-110116269D01* +X90255503Y-110114384D01* +X90243037Y-110111261D01* +X90230938Y-110106932D01* +X90219321Y-110101438D01* +X90208298Y-110094831D01* +X90197976Y-110087176D01* +X90188454Y-110078546D01* +X90179824Y-110069024D01* +X90172169Y-110058702D01* +X90165562Y-110047679D01* +X90160068Y-110036062D01* +X90155739Y-110023963D01* +X90152616Y-110011497D01* +X90150731Y-109998785D01* +X90150100Y-109985950D01* +X90150100Y-109724050D01* +X90150731Y-109711215D01* +X90152616Y-109698503D01* +X90155739Y-109686037D01* +X90160068Y-109673938D01* +X90165562Y-109662321D01* +X90172169Y-109651298D01* +X90179824Y-109640976D01* +X90188454Y-109631454D01* +X90197976Y-109622824D01* +X90208298Y-109615169D01* +X90219321Y-109608562D01* +X90230938Y-109603068D01* +X90243037Y-109598739D01* +X90255503Y-109595616D01* +X90268215Y-109593731D01* +X90281050Y-109593100D01* +X91992950Y-109593100D01* +X92005785Y-109593731D01* +G37* +G36* +X92005785Y-108323731D02* +G01* +X92018497Y-108325616D01* +X92030963Y-108328739D01* +X92043062Y-108333068D01* +X92054679Y-108338562D01* +X92065702Y-108345169D01* +X92076024Y-108352824D01* +X92085546Y-108361454D01* +X92094176Y-108370976D01* +X92101831Y-108381298D01* +X92108438Y-108392321D01* +X92113932Y-108403938D01* +X92118261Y-108416037D01* +X92121384Y-108428503D01* +X92123269Y-108441215D01* +X92123900Y-108454050D01* +X92123900Y-108715950D01* +X92123269Y-108728785D01* +X92121384Y-108741497D01* +X92118261Y-108753963D01* +X92113932Y-108766062D01* +X92108438Y-108777679D01* +X92101831Y-108788702D01* +X92094176Y-108799024D01* +X92085546Y-108808546D01* +X92076024Y-108817176D01* +X92065702Y-108824831D01* +X92054679Y-108831438D01* +X92043062Y-108836932D01* +X92030963Y-108841261D01* +X92018497Y-108844384D01* +X92005785Y-108846269D01* +X91992950Y-108846900D01* +X90281050Y-108846900D01* +X90268215Y-108846269D01* +X90255503Y-108844384D01* +X90243037Y-108841261D01* +X90230938Y-108836932D01* +X90219321Y-108831438D01* +X90208298Y-108824831D01* +X90197976Y-108817176D01* +X90188454Y-108808546D01* +X90179824Y-108799024D01* +X90172169Y-108788702D01* +X90165562Y-108777679D01* +X90160068Y-108766062D01* +X90155739Y-108753963D01* +X90152616Y-108741497D01* +X90150731Y-108728785D01* +X90150100Y-108715950D01* +X90150100Y-108454050D01* +X90150731Y-108441215D01* +X90152616Y-108428503D01* +X90155739Y-108416037D01* +X90160068Y-108403938D01* +X90165562Y-108392321D01* +X90172169Y-108381298D01* +X90179824Y-108370976D01* +X90188454Y-108361454D01* +X90197976Y-108352824D01* +X90208298Y-108345169D01* +X90219321Y-108338562D01* +X90230938Y-108333068D01* +X90243037Y-108328739D01* +X90255503Y-108325616D01* +X90268215Y-108323731D01* +X90281050Y-108323100D01* +X91992950Y-108323100D01* +X92005785Y-108323731D01* +G37* +G36* +X82705785Y-108323731D02* +G01* +X82718497Y-108325616D01* +X82730963Y-108328739D01* +X82743062Y-108333068D01* +X82754679Y-108338562D01* +X82765702Y-108345169D01* +X82776024Y-108352824D01* +X82785546Y-108361454D01* +X82794176Y-108370976D01* +X82801831Y-108381298D01* +X82808438Y-108392321D01* +X82813932Y-108403938D01* +X82818261Y-108416037D01* +X82821384Y-108428503D01* +X82823269Y-108441215D01* +X82823900Y-108454050D01* +X82823900Y-108715950D01* +X82823269Y-108728785D01* +X82821384Y-108741497D01* +X82818261Y-108753963D01* +X82813932Y-108766062D01* +X82808438Y-108777679D01* +X82801831Y-108788702D01* +X82794176Y-108799024D01* +X82785546Y-108808546D01* +X82776024Y-108817176D01* +X82765702Y-108824831D01* +X82754679Y-108831438D01* +X82743062Y-108836932D01* +X82730963Y-108841261D01* +X82718497Y-108844384D01* +X82705785Y-108846269D01* +X82692950Y-108846900D01* +X80981050Y-108846900D01* +X80968215Y-108846269D01* +X80955503Y-108844384D01* +X80943037Y-108841261D01* +X80930938Y-108836932D01* +X80919321Y-108831438D01* +X80908298Y-108824831D01* +X80897976Y-108817176D01* +X80888454Y-108808546D01* +X80879824Y-108799024D01* +X80872169Y-108788702D01* +X80865562Y-108777679D01* +X80860068Y-108766062D01* +X80855739Y-108753963D01* +X80852616Y-108741497D01* +X80850731Y-108728785D01* +X80850100Y-108715950D01* +X80850100Y-108454050D01* +X80850731Y-108441215D01* +X80852616Y-108428503D01* +X80855739Y-108416037D01* +X80860068Y-108403938D01* +X80865562Y-108392321D01* +X80872169Y-108381298D01* +X80879824Y-108370976D01* +X80888454Y-108361454D01* +X80897976Y-108352824D01* +X80908298Y-108345169D01* +X80919321Y-108338562D01* +X80930938Y-108333068D01* +X80943037Y-108328739D01* +X80955503Y-108325616D01* +X80968215Y-108323731D01* +X80981050Y-108323100D01* +X82692950Y-108323100D01* +X82705785Y-108323731D01* +G37* +G36* +X82705785Y-109593731D02* +G01* +X82718497Y-109595616D01* +X82730963Y-109598739D01* +X82743062Y-109603068D01* +X82754679Y-109608562D01* +X82765702Y-109615169D01* +X82776024Y-109622824D01* +X82785546Y-109631454D01* +X82794176Y-109640976D01* +X82801831Y-109651298D01* +X82808438Y-109662321D01* +X82813932Y-109673938D01* +X82818261Y-109686037D01* +X82821384Y-109698503D01* +X82823269Y-109711215D01* +X82823900Y-109724050D01* +X82823900Y-109985950D01* +X82823269Y-109998785D01* +X82821384Y-110011497D01* +X82818261Y-110023963D01* +X82813932Y-110036062D01* +X82808438Y-110047679D01* +X82801831Y-110058702D01* +X82794176Y-110069024D01* +X82785546Y-110078546D01* +X82776024Y-110087176D01* +X82765702Y-110094831D01* +X82754679Y-110101438D01* +X82743062Y-110106932D01* +X82730963Y-110111261D01* +X82718497Y-110114384D01* +X82705785Y-110116269D01* +X82692950Y-110116900D01* +X80981050Y-110116900D01* +X80968215Y-110116269D01* +X80955503Y-110114384D01* +X80943037Y-110111261D01* +X80930938Y-110106932D01* +X80919321Y-110101438D01* +X80908298Y-110094831D01* +X80897976Y-110087176D01* +X80888454Y-110078546D01* +X80879824Y-110069024D01* +X80872169Y-110058702D01* +X80865562Y-110047679D01* +X80860068Y-110036062D01* +X80855739Y-110023963D01* +X80852616Y-110011497D01* +X80850731Y-109998785D01* +X80850100Y-109985950D01* +X80850100Y-109724050D01* +X80850731Y-109711215D01* +X80852616Y-109698503D01* +X80855739Y-109686037D01* +X80860068Y-109673938D01* +X80865562Y-109662321D01* +X80872169Y-109651298D01* +X80879824Y-109640976D01* +X80888454Y-109631454D01* +X80897976Y-109622824D01* +X80908298Y-109615169D01* +X80919321Y-109608562D01* +X80930938Y-109603068D01* +X80943037Y-109598739D01* +X80955503Y-109595616D01* +X80968215Y-109593731D01* +X80981050Y-109593100D01* +X82692950Y-109593100D01* +X82705785Y-109593731D01* +G37* +G36* +X82705785Y-110863731D02* +G01* +X82718497Y-110865616D01* +X82730963Y-110868739D01* +X82743062Y-110873068D01* +X82754679Y-110878562D01* +X82765702Y-110885169D01* +X82776024Y-110892824D01* +X82785546Y-110901454D01* +X82794176Y-110910976D01* +X82801831Y-110921298D01* +X82808438Y-110932321D01* +X82813932Y-110943938D01* +X82818261Y-110956037D01* +X82821384Y-110968503D01* +X82823269Y-110981215D01* +X82823900Y-110994050D01* +X82823900Y-111255950D01* +X82823269Y-111268785D01* +X82821384Y-111281497D01* +X82818261Y-111293963D01* +X82813932Y-111306062D01* +X82808438Y-111317679D01* +X82801831Y-111328702D01* +X82794176Y-111339024D01* +X82785546Y-111348546D01* +X82776024Y-111357176D01* +X82765702Y-111364831D01* +X82754679Y-111371438D01* +X82743062Y-111376932D01* +X82730963Y-111381261D01* +X82718497Y-111384384D01* +X82705785Y-111386269D01* +X82692950Y-111386900D01* +X80981050Y-111386900D01* +X80968215Y-111386269D01* +X80955503Y-111384384D01* +X80943037Y-111381261D01* +X80930938Y-111376932D01* +X80919321Y-111371438D01* +X80908298Y-111364831D01* +X80897976Y-111357176D01* +X80888454Y-111348546D01* +X80879824Y-111339024D01* +X80872169Y-111328702D01* +X80865562Y-111317679D01* +X80860068Y-111306062D01* +X80855739Y-111293963D01* +X80852616Y-111281497D01* +X80850731Y-111268785D01* +X80850100Y-111255950D01* +X80850100Y-110994050D01* +X80850731Y-110981215D01* +X80852616Y-110968503D01* +X80855739Y-110956037D01* +X80860068Y-110943938D01* +X80865562Y-110932321D01* +X80872169Y-110921298D01* +X80879824Y-110910976D01* +X80888454Y-110901454D01* +X80897976Y-110892824D01* +X80908298Y-110885169D01* +X80919321Y-110878562D01* +X80930938Y-110873068D01* +X80943037Y-110868739D01* +X80955503Y-110865616D01* +X80968215Y-110863731D01* +X80981050Y-110863100D01* +X82692950Y-110863100D01* +X82705785Y-110863731D01* +G37* +G36* +X82705785Y-112133731D02* +G01* +X82718497Y-112135616D01* +X82730963Y-112138739D01* +X82743062Y-112143068D01* +X82754679Y-112148562D01* +X82765702Y-112155169D01* +X82776024Y-112162824D01* +X82785546Y-112171454D01* +X82794176Y-112180976D01* +X82801831Y-112191298D01* +X82808438Y-112202321D01* +X82813932Y-112213938D01* +X82818261Y-112226037D01* +X82821384Y-112238503D01* +X82823269Y-112251215D01* +X82823900Y-112264050D01* +X82823900Y-112525950D01* +X82823269Y-112538785D01* +X82821384Y-112551497D01* +X82818261Y-112563963D01* +X82813932Y-112576062D01* +X82808438Y-112587679D01* +X82801831Y-112598702D01* +X82794176Y-112609024D01* +X82785546Y-112618546D01* +X82776024Y-112627176D01* +X82765702Y-112634831D01* +X82754679Y-112641438D01* +X82743062Y-112646932D01* +X82730963Y-112651261D01* +X82718497Y-112654384D01* +X82705785Y-112656269D01* +X82692950Y-112656900D01* +X80981050Y-112656900D01* +X80968215Y-112656269D01* +X80955503Y-112654384D01* +X80943037Y-112651261D01* +X80930938Y-112646932D01* +X80919321Y-112641438D01* +X80908298Y-112634831D01* +X80897976Y-112627176D01* +X80888454Y-112618546D01* +X80879824Y-112609024D01* +X80872169Y-112598702D01* +X80865562Y-112587679D01* +X80860068Y-112576062D01* +X80855739Y-112563963D01* +X80852616Y-112551497D01* +X80850731Y-112538785D01* +X80850100Y-112525950D01* +X80850100Y-112264050D01* +X80850731Y-112251215D01* +X80852616Y-112238503D01* +X80855739Y-112226037D01* +X80860068Y-112213938D01* +X80865562Y-112202321D01* +X80872169Y-112191298D01* +X80879824Y-112180976D01* +X80888454Y-112171454D01* +X80897976Y-112162824D01* +X80908298Y-112155169D01* +X80919321Y-112148562D01* +X80930938Y-112143068D01* +X80943037Y-112138739D01* +X80955503Y-112135616D01* +X80968215Y-112133731D01* +X80981050Y-112133100D01* +X82692950Y-112133100D01* +X82705785Y-112133731D01* +G37* +G36* +X82705785Y-113403731D02* +G01* +X82718497Y-113405616D01* +X82730963Y-113408739D01* +X82743062Y-113413068D01* +X82754679Y-113418562D01* +X82765702Y-113425169D01* +X82776024Y-113432824D01* +X82785546Y-113441454D01* +X82794176Y-113450976D01* +X82801831Y-113461298D01* +X82808438Y-113472321D01* +X82813932Y-113483938D01* +X82818261Y-113496037D01* +X82821384Y-113508503D01* +X82823269Y-113521215D01* +X82823900Y-113534050D01* +X82823900Y-113795950D01* +X82823269Y-113808785D01* +X82821384Y-113821497D01* +X82818261Y-113833963D01* +X82813932Y-113846062D01* +X82808438Y-113857679D01* +X82801831Y-113868702D01* +X82794176Y-113879024D01* +X82785546Y-113888546D01* +X82776024Y-113897176D01* +X82765702Y-113904831D01* +X82754679Y-113911438D01* +X82743062Y-113916932D01* +X82730963Y-113921261D01* +X82718497Y-113924384D01* +X82705785Y-113926269D01* +X82692950Y-113926900D01* +X80981050Y-113926900D01* +X80968215Y-113926269D01* +X80955503Y-113924384D01* +X80943037Y-113921261D01* +X80930938Y-113916932D01* +X80919321Y-113911438D01* +X80908298Y-113904831D01* +X80897976Y-113897176D01* +X80888454Y-113888546D01* +X80879824Y-113879024D01* +X80872169Y-113868702D01* +X80865562Y-113857679D01* +X80860068Y-113846062D01* +X80855739Y-113833963D01* +X80852616Y-113821497D01* +X80850731Y-113808785D01* +X80850100Y-113795950D01* +X80850100Y-113534050D01* +X80850731Y-113521215D01* +X80852616Y-113508503D01* +X80855739Y-113496037D01* +X80860068Y-113483938D01* +X80865562Y-113472321D01* +X80872169Y-113461298D01* +X80879824Y-113450976D01* +X80888454Y-113441454D01* +X80897976Y-113432824D01* +X80908298Y-113425169D01* +X80919321Y-113418562D01* +X80930938Y-113413068D01* +X80943037Y-113408739D01* +X80955503Y-113405616D01* +X80968215Y-113403731D01* +X80981050Y-113403100D01* +X82692950Y-113403100D01* +X82705785Y-113403731D01* +G37* +G36* +X82705785Y-114673731D02* +G01* +X82718497Y-114675616D01* +X82730963Y-114678739D01* +X82743062Y-114683068D01* +X82754679Y-114688562D01* +X82765702Y-114695169D01* +X82776024Y-114702824D01* +X82785546Y-114711454D01* +X82794176Y-114720976D01* +X82801831Y-114731298D01* +X82808438Y-114742321D01* +X82813932Y-114753938D01* +X82818261Y-114766037D01* +X82821384Y-114778503D01* +X82823269Y-114791215D01* +X82823900Y-114804050D01* +X82823900Y-115065950D01* +X82823269Y-115078785D01* +X82821384Y-115091497D01* +X82818261Y-115103963D01* +X82813932Y-115116062D01* +X82808438Y-115127679D01* +X82801831Y-115138702D01* +X82794176Y-115149024D01* +X82785546Y-115158546D01* +X82776024Y-115167176D01* +X82765702Y-115174831D01* +X82754679Y-115181438D01* +X82743062Y-115186932D01* +X82730963Y-115191261D01* +X82718497Y-115194384D01* +X82705785Y-115196269D01* +X82692950Y-115196900D01* +X80981050Y-115196900D01* +X80968215Y-115196269D01* +X80955503Y-115194384D01* +X80943037Y-115191261D01* +X80930938Y-115186932D01* +X80919321Y-115181438D01* +X80908298Y-115174831D01* +X80897976Y-115167176D01* +X80888454Y-115158546D01* +X80879824Y-115149024D01* +X80872169Y-115138702D01* +X80865562Y-115127679D01* +X80860068Y-115116062D01* +X80855739Y-115103963D01* +X80852616Y-115091497D01* +X80850731Y-115078785D01* +X80850100Y-115065950D01* +X80850100Y-114804050D01* +X80850731Y-114791215D01* +X80852616Y-114778503D01* +X80855739Y-114766037D01* +X80860068Y-114753938D01* +X80865562Y-114742321D01* +X80872169Y-114731298D01* +X80879824Y-114720976D01* +X80888454Y-114711454D01* +X80897976Y-114702824D01* +X80908298Y-114695169D01* +X80919321Y-114688562D01* +X80930938Y-114683068D01* +X80943037Y-114678739D01* +X80955503Y-114675616D01* +X80968215Y-114673731D01* +X80981050Y-114673100D01* +X82692950Y-114673100D01* +X82705785Y-114673731D01* +G37* +G36* +X82705785Y-115943731D02* +G01* +X82718497Y-115945616D01* +X82730963Y-115948739D01* +X82743062Y-115953068D01* +X82754679Y-115958562D01* +X82765702Y-115965169D01* +X82776024Y-115972824D01* +X82785546Y-115981454D01* +X82794176Y-115990976D01* +X82801831Y-116001298D01* +X82808438Y-116012321D01* +X82813932Y-116023938D01* +X82818261Y-116036037D01* +X82821384Y-116048503D01* +X82823269Y-116061215D01* +X82823900Y-116074050D01* +X82823900Y-116335950D01* +X82823269Y-116348785D01* +X82821384Y-116361497D01* +X82818261Y-116373963D01* +X82813932Y-116386062D01* +X82808438Y-116397679D01* +X82801831Y-116408702D01* +X82794176Y-116419024D01* +X82785546Y-116428546D01* +X82776024Y-116437176D01* +X82765702Y-116444831D01* +X82754679Y-116451438D01* +X82743062Y-116456932D01* +X82730963Y-116461261D01* +X82718497Y-116464384D01* +X82705785Y-116466269D01* +X82692950Y-116466900D01* +X80981050Y-116466900D01* +X80968215Y-116466269D01* +X80955503Y-116464384D01* +X80943037Y-116461261D01* +X80930938Y-116456932D01* +X80919321Y-116451438D01* +X80908298Y-116444831D01* +X80897976Y-116437176D01* +X80888454Y-116428546D01* +X80879824Y-116419024D01* +X80872169Y-116408702D01* +X80865562Y-116397679D01* +X80860068Y-116386062D01* +X80855739Y-116373963D01* +X80852616Y-116361497D01* +X80850731Y-116348785D01* +X80850100Y-116335950D01* +X80850100Y-116074050D01* +X80850731Y-116061215D01* +X80852616Y-116048503D01* +X80855739Y-116036037D01* +X80860068Y-116023938D01* +X80865562Y-116012321D01* +X80872169Y-116001298D01* +X80879824Y-115990976D01* +X80888454Y-115981454D01* +X80897976Y-115972824D01* +X80908298Y-115965169D01* +X80919321Y-115958562D01* +X80930938Y-115953068D01* +X80943037Y-115948739D01* +X80955503Y-115945616D01* +X80968215Y-115943731D01* +X80981050Y-115943100D01* +X82692950Y-115943100D01* +X82705785Y-115943731D01* +G37* +G36* +X82705785Y-117213731D02* +G01* +X82718497Y-117215616D01* +X82730963Y-117218739D01* +X82743062Y-117223068D01* +X82754679Y-117228562D01* +X82765702Y-117235169D01* +X82776024Y-117242824D01* +X82785546Y-117251454D01* +X82794176Y-117260976D01* +X82801831Y-117271298D01* +X82808438Y-117282321D01* +X82813932Y-117293938D01* +X82818261Y-117306037D01* +X82821384Y-117318503D01* +X82823269Y-117331215D01* +X82823900Y-117344050D01* +X82823900Y-117605950D01* +X82823269Y-117618785D01* +X82821384Y-117631497D01* +X82818261Y-117643963D01* +X82813932Y-117656062D01* +X82808438Y-117667679D01* +X82801831Y-117678702D01* +X82794176Y-117689024D01* +X82785546Y-117698546D01* +X82776024Y-117707176D01* +X82765702Y-117714831D01* +X82754679Y-117721438D01* +X82743062Y-117726932D01* +X82730963Y-117731261D01* +X82718497Y-117734384D01* +X82705785Y-117736269D01* +X82692950Y-117736900D01* +X80981050Y-117736900D01* +X80968215Y-117736269D01* +X80955503Y-117734384D01* +X80943037Y-117731261D01* +X80930938Y-117726932D01* +X80919321Y-117721438D01* +X80908298Y-117714831D01* +X80897976Y-117707176D01* +X80888454Y-117698546D01* +X80879824Y-117689024D01* +X80872169Y-117678702D01* +X80865562Y-117667679D01* +X80860068Y-117656062D01* +X80855739Y-117643963D01* +X80852616Y-117631497D01* +X80850731Y-117618785D01* +X80850100Y-117605950D01* +X80850100Y-117344050D01* +X80850731Y-117331215D01* +X80852616Y-117318503D01* +X80855739Y-117306037D01* +X80860068Y-117293938D01* +X80865562Y-117282321D01* +X80872169Y-117271298D01* +X80879824Y-117260976D01* +X80888454Y-117251454D01* +X80897976Y-117242824D01* +X80908298Y-117235169D01* +X80919321Y-117228562D01* +X80930938Y-117223068D01* +X80943037Y-117218739D01* +X80955503Y-117215616D01* +X80968215Y-117213731D01* +X80981050Y-117213100D01* +X82692950Y-117213100D01* +X82705785Y-117213731D01* +G37* +G36* +X82705785Y-118483731D02* +G01* +X82718497Y-118485616D01* +X82730963Y-118488739D01* +X82743062Y-118493068D01* +X82754679Y-118498562D01* +X82765702Y-118505169D01* +X82776024Y-118512824D01* +X82785546Y-118521454D01* +X82794176Y-118530976D01* +X82801831Y-118541298D01* +X82808438Y-118552321D01* +X82813932Y-118563938D01* +X82818261Y-118576037D01* +X82821384Y-118588503D01* +X82823269Y-118601215D01* +X82823900Y-118614050D01* +X82823900Y-118875950D01* +X82823269Y-118888785D01* +X82821384Y-118901497D01* +X82818261Y-118913963D01* +X82813932Y-118926062D01* +X82808438Y-118937679D01* +X82801831Y-118948702D01* +X82794176Y-118959024D01* +X82785546Y-118968546D01* +X82776024Y-118977176D01* +X82765702Y-118984831D01* +X82754679Y-118991438D01* +X82743062Y-118996932D01* +X82730963Y-119001261D01* +X82718497Y-119004384D01* +X82705785Y-119006269D01* +X82692950Y-119006900D01* +X80981050Y-119006900D01* +X80968215Y-119006269D01* +X80955503Y-119004384D01* +X80943037Y-119001261D01* +X80930938Y-118996932D01* +X80919321Y-118991438D01* +X80908298Y-118984831D01* +X80897976Y-118977176D01* +X80888454Y-118968546D01* +X80879824Y-118959024D01* +X80872169Y-118948702D01* +X80865562Y-118937679D01* +X80860068Y-118926062D01* +X80855739Y-118913963D01* +X80852616Y-118901497D01* +X80850731Y-118888785D01* +X80850100Y-118875950D01* +X80850100Y-118614050D01* +X80850731Y-118601215D01* +X80852616Y-118588503D01* +X80855739Y-118576037D01* +X80860068Y-118563938D01* +X80865562Y-118552321D01* +X80872169Y-118541298D01* +X80879824Y-118530976D01* +X80888454Y-118521454D01* +X80897976Y-118512824D01* +X80908298Y-118505169D01* +X80919321Y-118498562D01* +X80930938Y-118493068D01* +X80943037Y-118488739D01* +X80955503Y-118485616D01* +X80968215Y-118483731D01* +X80981050Y-118483100D01* +X82692950Y-118483100D01* +X82705785Y-118483731D01* +G37* +G36* +X82705785Y-119753731D02* +G01* +X82718497Y-119755616D01* +X82730963Y-119758739D01* +X82743062Y-119763068D01* +X82754679Y-119768562D01* +X82765702Y-119775169D01* +X82776024Y-119782824D01* +X82785546Y-119791454D01* +X82794176Y-119800976D01* +X82801831Y-119811298D01* +X82808438Y-119822321D01* +X82813932Y-119833938D01* +X82818261Y-119846037D01* +X82821384Y-119858503D01* +X82823269Y-119871215D01* +X82823900Y-119884050D01* +X82823900Y-120145950D01* +X82823269Y-120158785D01* +X82821384Y-120171497D01* +X82818261Y-120183963D01* +X82813932Y-120196062D01* +X82808438Y-120207679D01* +X82801831Y-120218702D01* +X82794176Y-120229024D01* +X82785546Y-120238546D01* +X82776024Y-120247176D01* +X82765702Y-120254831D01* +X82754679Y-120261438D01* +X82743062Y-120266932D01* +X82730963Y-120271261D01* +X82718497Y-120274384D01* +X82705785Y-120276269D01* +X82692950Y-120276900D01* +X80981050Y-120276900D01* +X80968215Y-120276269D01* +X80955503Y-120274384D01* +X80943037Y-120271261D01* +X80930938Y-120266932D01* +X80919321Y-120261438D01* +X80908298Y-120254831D01* +X80897976Y-120247176D01* +X80888454Y-120238546D01* +X80879824Y-120229024D01* +X80872169Y-120218702D01* +X80865562Y-120207679D01* +X80860068Y-120196062D01* +X80855739Y-120183963D01* +X80852616Y-120171497D01* +X80850731Y-120158785D01* +X80850100Y-120145950D01* +X80850100Y-119884050D01* +X80850731Y-119871215D01* +X80852616Y-119858503D01* +X80855739Y-119846037D01* +X80860068Y-119833938D01* +X80865562Y-119822321D01* +X80872169Y-119811298D01* +X80879824Y-119800976D01* +X80888454Y-119791454D01* +X80897976Y-119782824D01* +X80908298Y-119775169D01* +X80919321Y-119768562D01* +X80930938Y-119763068D01* +X80943037Y-119758739D01* +X80955503Y-119755616D01* +X80968215Y-119753731D01* +X80981050Y-119753100D01* +X82692950Y-119753100D01* +X82705785Y-119753731D01* +G37* +G36* +X109780785Y-127373731D02* +G01* +X109793497Y-127375616D01* +X109805963Y-127378739D01* +X109818062Y-127383068D01* +X109829679Y-127388562D01* +X109840702Y-127395169D01* +X109851024Y-127402824D01* +X109860546Y-127411454D01* +X109869176Y-127420976D01* +X109876831Y-127431298D01* +X109883438Y-127442321D01* +X109888932Y-127453938D01* +X109893261Y-127466037D01* +X109896384Y-127478503D01* +X109898269Y-127491215D01* +X109898900Y-127504050D01* +X109898900Y-127765950D01* +X109898269Y-127778785D01* +X109896384Y-127791497D01* +X109893261Y-127803963D01* +X109888932Y-127816062D01* +X109883438Y-127827679D01* +X109876831Y-127838702D01* +X109869176Y-127849024D01* +X109860546Y-127858546D01* +X109851024Y-127867176D01* +X109840702Y-127874831D01* +X109829679Y-127881438D01* +X109818062Y-127886932D01* +X109805963Y-127891261D01* +X109793497Y-127894384D01* +X109780785Y-127896269D01* +X109767950Y-127896900D01* +X108056050Y-127896900D01* +X108043215Y-127896269D01* +X108030503Y-127894384D01* +X108018037Y-127891261D01* +X108005938Y-127886932D01* +X107994321Y-127881438D01* +X107983298Y-127874831D01* +X107972976Y-127867176D01* +X107963454Y-127858546D01* +X107954824Y-127849024D01* +X107947169Y-127838702D01* +X107940562Y-127827679D01* +X107935068Y-127816062D01* +X107930739Y-127803963D01* +X107927616Y-127791497D01* +X107925731Y-127778785D01* +X107925100Y-127765950D01* +X107925100Y-127504050D01* +X107925731Y-127491215D01* +X107927616Y-127478503D01* +X107930739Y-127466037D01* +X107935068Y-127453938D01* +X107940562Y-127442321D01* +X107947169Y-127431298D01* +X107954824Y-127420976D01* +X107963454Y-127411454D01* +X107972976Y-127402824D01* +X107983298Y-127395169D01* +X107994321Y-127388562D01* +X108005938Y-127383068D01* +X108018037Y-127378739D01* +X108030503Y-127375616D01* +X108043215Y-127373731D01* +X108056050Y-127373100D01* +X109767950Y-127373100D01* +X109780785Y-127373731D01* +G37* +G36* +X109780785Y-126103731D02* +G01* +X109793497Y-126105616D01* +X109805963Y-126108739D01* +X109818062Y-126113068D01* +X109829679Y-126118562D01* +X109840702Y-126125169D01* +X109851024Y-126132824D01* +X109860546Y-126141454D01* +X109869176Y-126150976D01* +X109876831Y-126161298D01* +X109883438Y-126172321D01* +X109888932Y-126183938D01* +X109893261Y-126196037D01* +X109896384Y-126208503D01* +X109898269Y-126221215D01* +X109898900Y-126234050D01* +X109898900Y-126495950D01* +X109898269Y-126508785D01* +X109896384Y-126521497D01* +X109893261Y-126533963D01* +X109888932Y-126546062D01* +X109883438Y-126557679D01* +X109876831Y-126568702D01* +X109869176Y-126579024D01* +X109860546Y-126588546D01* +X109851024Y-126597176D01* +X109840702Y-126604831D01* +X109829679Y-126611438D01* +X109818062Y-126616932D01* +X109805963Y-126621261D01* +X109793497Y-126624384D01* +X109780785Y-126626269D01* +X109767950Y-126626900D01* +X108056050Y-126626900D01* +X108043215Y-126626269D01* +X108030503Y-126624384D01* +X108018037Y-126621261D01* +X108005938Y-126616932D01* +X107994321Y-126611438D01* +X107983298Y-126604831D01* +X107972976Y-126597176D01* +X107963454Y-126588546D01* +X107954824Y-126579024D01* +X107947169Y-126568702D01* +X107940562Y-126557679D01* +X107935068Y-126546062D01* +X107930739Y-126533963D01* +X107927616Y-126521497D01* +X107925731Y-126508785D01* +X107925100Y-126495950D01* +X107925100Y-126234050D01* +X107925731Y-126221215D01* +X107927616Y-126208503D01* +X107930739Y-126196037D01* +X107935068Y-126183938D01* +X107940562Y-126172321D01* +X107947169Y-126161298D01* +X107954824Y-126150976D01* +X107963454Y-126141454D01* +X107972976Y-126132824D01* +X107983298Y-126125169D01* +X107994321Y-126118562D01* +X108005938Y-126113068D01* +X108018037Y-126108739D01* +X108030503Y-126105616D01* +X108043215Y-126103731D01* +X108056050Y-126103100D01* +X109767950Y-126103100D01* +X109780785Y-126103731D01* +G37* +G36* +X109780785Y-124833731D02* +G01* +X109793497Y-124835616D01* +X109805963Y-124838739D01* +X109818062Y-124843068D01* +X109829679Y-124848562D01* +X109840702Y-124855169D01* +X109851024Y-124862824D01* +X109860546Y-124871454D01* +X109869176Y-124880976D01* +X109876831Y-124891298D01* +X109883438Y-124902321D01* +X109888932Y-124913938D01* +X109893261Y-124926037D01* +X109896384Y-124938503D01* +X109898269Y-124951215D01* +X109898900Y-124964050D01* +X109898900Y-125225950D01* +X109898269Y-125238785D01* +X109896384Y-125251497D01* +X109893261Y-125263963D01* +X109888932Y-125276062D01* +X109883438Y-125287679D01* +X109876831Y-125298702D01* +X109869176Y-125309024D01* +X109860546Y-125318546D01* +X109851024Y-125327176D01* +X109840702Y-125334831D01* +X109829679Y-125341438D01* +X109818062Y-125346932D01* +X109805963Y-125351261D01* +X109793497Y-125354384D01* +X109780785Y-125356269D01* +X109767950Y-125356900D01* +X108056050Y-125356900D01* +X108043215Y-125356269D01* +X108030503Y-125354384D01* +X108018037Y-125351261D01* +X108005938Y-125346932D01* +X107994321Y-125341438D01* +X107983298Y-125334831D01* +X107972976Y-125327176D01* +X107963454Y-125318546D01* +X107954824Y-125309024D01* +X107947169Y-125298702D01* +X107940562Y-125287679D01* +X107935068Y-125276062D01* +X107930739Y-125263963D01* +X107927616Y-125251497D01* +X107925731Y-125238785D01* +X107925100Y-125225950D01* +X107925100Y-124964050D01* +X107925731Y-124951215D01* +X107927616Y-124938503D01* +X107930739Y-124926037D01* +X107935068Y-124913938D01* +X107940562Y-124902321D01* +X107947169Y-124891298D01* +X107954824Y-124880976D01* +X107963454Y-124871454D01* +X107972976Y-124862824D01* +X107983298Y-124855169D01* +X107994321Y-124848562D01* +X108005938Y-124843068D01* +X108018037Y-124838739D01* +X108030503Y-124835616D01* +X108043215Y-124833731D01* +X108056050Y-124833100D01* +X109767950Y-124833100D01* +X109780785Y-124833731D01* +G37* +G36* +X109780785Y-123563731D02* +G01* +X109793497Y-123565616D01* +X109805963Y-123568739D01* +X109818062Y-123573068D01* +X109829679Y-123578562D01* +X109840702Y-123585169D01* +X109851024Y-123592824D01* +X109860546Y-123601454D01* +X109869176Y-123610976D01* +X109876831Y-123621298D01* +X109883438Y-123632321D01* +X109888932Y-123643938D01* +X109893261Y-123656037D01* +X109896384Y-123668503D01* +X109898269Y-123681215D01* +X109898900Y-123694050D01* +X109898900Y-123955950D01* +X109898269Y-123968785D01* +X109896384Y-123981497D01* +X109893261Y-123993963D01* +X109888932Y-124006062D01* +X109883438Y-124017679D01* +X109876831Y-124028702D01* +X109869176Y-124039024D01* +X109860546Y-124048546D01* +X109851024Y-124057176D01* +X109840702Y-124064831D01* +X109829679Y-124071438D01* +X109818062Y-124076932D01* +X109805963Y-124081261D01* +X109793497Y-124084384D01* +X109780785Y-124086269D01* +X109767950Y-124086900D01* +X108056050Y-124086900D01* +X108043215Y-124086269D01* +X108030503Y-124084384D01* +X108018037Y-124081261D01* +X108005938Y-124076932D01* +X107994321Y-124071438D01* +X107983298Y-124064831D01* +X107972976Y-124057176D01* +X107963454Y-124048546D01* +X107954824Y-124039024D01* +X107947169Y-124028702D01* +X107940562Y-124017679D01* +X107935068Y-124006062D01* +X107930739Y-123993963D01* +X107927616Y-123981497D01* +X107925731Y-123968785D01* +X107925100Y-123955950D01* +X107925100Y-123694050D01* +X107925731Y-123681215D01* +X107927616Y-123668503D01* +X107930739Y-123656037D01* +X107935068Y-123643938D01* +X107940562Y-123632321D01* +X107947169Y-123621298D01* +X107954824Y-123610976D01* +X107963454Y-123601454D01* +X107972976Y-123592824D01* +X107983298Y-123585169D01* +X107994321Y-123578562D01* +X108005938Y-123573068D01* +X108018037Y-123568739D01* +X108030503Y-123565616D01* +X108043215Y-123563731D01* +X108056050Y-123563100D01* +X109767950Y-123563100D01* +X109780785Y-123563731D01* +G37* +G36* +X109780785Y-122293731D02* +G01* +X109793497Y-122295616D01* +X109805963Y-122298739D01* +X109818062Y-122303068D01* +X109829679Y-122308562D01* +X109840702Y-122315169D01* +X109851024Y-122322824D01* +X109860546Y-122331454D01* +X109869176Y-122340976D01* +X109876831Y-122351298D01* +X109883438Y-122362321D01* +X109888932Y-122373938D01* +X109893261Y-122386037D01* +X109896384Y-122398503D01* +X109898269Y-122411215D01* +X109898900Y-122424050D01* +X109898900Y-122685950D01* +X109898269Y-122698785D01* +X109896384Y-122711497D01* +X109893261Y-122723963D01* +X109888932Y-122736062D01* +X109883438Y-122747679D01* +X109876831Y-122758702D01* +X109869176Y-122769024D01* +X109860546Y-122778546D01* +X109851024Y-122787176D01* +X109840702Y-122794831D01* +X109829679Y-122801438D01* +X109818062Y-122806932D01* +X109805963Y-122811261D01* +X109793497Y-122814384D01* +X109780785Y-122816269D01* +X109767950Y-122816900D01* +X108056050Y-122816900D01* +X108043215Y-122816269D01* +X108030503Y-122814384D01* +X108018037Y-122811261D01* +X108005938Y-122806932D01* +X107994321Y-122801438D01* +X107983298Y-122794831D01* +X107972976Y-122787176D01* +X107963454Y-122778546D01* +X107954824Y-122769024D01* +X107947169Y-122758702D01* +X107940562Y-122747679D01* +X107935068Y-122736062D01* +X107930739Y-122723963D01* +X107927616Y-122711497D01* +X107925731Y-122698785D01* +X107925100Y-122685950D01* +X107925100Y-122424050D01* +X107925731Y-122411215D01* +X107927616Y-122398503D01* +X107930739Y-122386037D01* +X107935068Y-122373938D01* +X107940562Y-122362321D01* +X107947169Y-122351298D01* +X107954824Y-122340976D01* +X107963454Y-122331454D01* +X107972976Y-122322824D01* +X107983298Y-122315169D01* +X107994321Y-122308562D01* +X108005938Y-122303068D01* +X108018037Y-122298739D01* +X108030503Y-122295616D01* +X108043215Y-122293731D01* +X108056050Y-122293100D01* +X109767950Y-122293100D01* +X109780785Y-122293731D01* +G37* +G36* +X109780785Y-121023731D02* +G01* +X109793497Y-121025616D01* +X109805963Y-121028739D01* +X109818062Y-121033068D01* +X109829679Y-121038562D01* +X109840702Y-121045169D01* +X109851024Y-121052824D01* +X109860546Y-121061454D01* +X109869176Y-121070976D01* +X109876831Y-121081298D01* +X109883438Y-121092321D01* +X109888932Y-121103938D01* +X109893261Y-121116037D01* +X109896384Y-121128503D01* +X109898269Y-121141215D01* +X109898900Y-121154050D01* +X109898900Y-121415950D01* +X109898269Y-121428785D01* +X109896384Y-121441497D01* +X109893261Y-121453963D01* +X109888932Y-121466062D01* +X109883438Y-121477679D01* +X109876831Y-121488702D01* +X109869176Y-121499024D01* +X109860546Y-121508546D01* +X109851024Y-121517176D01* +X109840702Y-121524831D01* +X109829679Y-121531438D01* +X109818062Y-121536932D01* +X109805963Y-121541261D01* +X109793497Y-121544384D01* +X109780785Y-121546269D01* +X109767950Y-121546900D01* +X108056050Y-121546900D01* +X108043215Y-121546269D01* +X108030503Y-121544384D01* +X108018037Y-121541261D01* +X108005938Y-121536932D01* +X107994321Y-121531438D01* +X107983298Y-121524831D01* +X107972976Y-121517176D01* +X107963454Y-121508546D01* +X107954824Y-121499024D01* +X107947169Y-121488702D01* +X107940562Y-121477679D01* +X107935068Y-121466062D01* +X107930739Y-121453963D01* +X107927616Y-121441497D01* +X107925731Y-121428785D01* +X107925100Y-121415950D01* +X107925100Y-121154050D01* +X107925731Y-121141215D01* +X107927616Y-121128503D01* +X107930739Y-121116037D01* +X107935068Y-121103938D01* +X107940562Y-121092321D01* +X107947169Y-121081298D01* +X107954824Y-121070976D01* +X107963454Y-121061454D01* +X107972976Y-121052824D01* +X107983298Y-121045169D01* +X107994321Y-121038562D01* +X108005938Y-121033068D01* +X108018037Y-121028739D01* +X108030503Y-121025616D01* +X108043215Y-121023731D01* +X108056050Y-121023100D01* +X109767950Y-121023100D01* +X109780785Y-121023731D01* +G37* +G36* +X109780785Y-119753731D02* +G01* +X109793497Y-119755616D01* +X109805963Y-119758739D01* +X109818062Y-119763068D01* +X109829679Y-119768562D01* +X109840702Y-119775169D01* +X109851024Y-119782824D01* +X109860546Y-119791454D01* +X109869176Y-119800976D01* +X109876831Y-119811298D01* +X109883438Y-119822321D01* +X109888932Y-119833938D01* +X109893261Y-119846037D01* +X109896384Y-119858503D01* +X109898269Y-119871215D01* +X109898900Y-119884050D01* +X109898900Y-120145950D01* +X109898269Y-120158785D01* +X109896384Y-120171497D01* +X109893261Y-120183963D01* +X109888932Y-120196062D01* +X109883438Y-120207679D01* +X109876831Y-120218702D01* +X109869176Y-120229024D01* +X109860546Y-120238546D01* +X109851024Y-120247176D01* +X109840702Y-120254831D01* +X109829679Y-120261438D01* +X109818062Y-120266932D01* +X109805963Y-120271261D01* +X109793497Y-120274384D01* +X109780785Y-120276269D01* +X109767950Y-120276900D01* +X108056050Y-120276900D01* +X108043215Y-120276269D01* +X108030503Y-120274384D01* +X108018037Y-120271261D01* +X108005938Y-120266932D01* +X107994321Y-120261438D01* +X107983298Y-120254831D01* +X107972976Y-120247176D01* +X107963454Y-120238546D01* +X107954824Y-120229024D01* +X107947169Y-120218702D01* +X107940562Y-120207679D01* +X107935068Y-120196062D01* +X107930739Y-120183963D01* +X107927616Y-120171497D01* +X107925731Y-120158785D01* +X107925100Y-120145950D01* +X107925100Y-119884050D01* +X107925731Y-119871215D01* +X107927616Y-119858503D01* +X107930739Y-119846037D01* +X107935068Y-119833938D01* +X107940562Y-119822321D01* +X107947169Y-119811298D01* +X107954824Y-119800976D01* +X107963454Y-119791454D01* +X107972976Y-119782824D01* +X107983298Y-119775169D01* +X107994321Y-119768562D01* +X108005938Y-119763068D01* +X108018037Y-119758739D01* +X108030503Y-119755616D01* +X108043215Y-119753731D01* +X108056050Y-119753100D01* +X109767950Y-119753100D01* +X109780785Y-119753731D01* +G37* +G36* +X109780785Y-118483731D02* +G01* +X109793497Y-118485616D01* +X109805963Y-118488739D01* +X109818062Y-118493068D01* +X109829679Y-118498562D01* +X109840702Y-118505169D01* +X109851024Y-118512824D01* +X109860546Y-118521454D01* +X109869176Y-118530976D01* +X109876831Y-118541298D01* +X109883438Y-118552321D01* +X109888932Y-118563938D01* +X109893261Y-118576037D01* +X109896384Y-118588503D01* +X109898269Y-118601215D01* +X109898900Y-118614050D01* +X109898900Y-118875950D01* +X109898269Y-118888785D01* +X109896384Y-118901497D01* +X109893261Y-118913963D01* +X109888932Y-118926062D01* +X109883438Y-118937679D01* +X109876831Y-118948702D01* +X109869176Y-118959024D01* +X109860546Y-118968546D01* +X109851024Y-118977176D01* +X109840702Y-118984831D01* +X109829679Y-118991438D01* +X109818062Y-118996932D01* +X109805963Y-119001261D01* +X109793497Y-119004384D01* +X109780785Y-119006269D01* +X109767950Y-119006900D01* +X108056050Y-119006900D01* +X108043215Y-119006269D01* +X108030503Y-119004384D01* +X108018037Y-119001261D01* +X108005938Y-118996932D01* +X107994321Y-118991438D01* +X107983298Y-118984831D01* +X107972976Y-118977176D01* +X107963454Y-118968546D01* +X107954824Y-118959024D01* +X107947169Y-118948702D01* +X107940562Y-118937679D01* +X107935068Y-118926062D01* +X107930739Y-118913963D01* +X107927616Y-118901497D01* +X107925731Y-118888785D01* +X107925100Y-118875950D01* +X107925100Y-118614050D01* +X107925731Y-118601215D01* +X107927616Y-118588503D01* +X107930739Y-118576037D01* +X107935068Y-118563938D01* +X107940562Y-118552321D01* +X107947169Y-118541298D01* +X107954824Y-118530976D01* +X107963454Y-118521454D01* +X107972976Y-118512824D01* +X107983298Y-118505169D01* +X107994321Y-118498562D01* +X108005938Y-118493068D01* +X108018037Y-118488739D01* +X108030503Y-118485616D01* +X108043215Y-118483731D01* +X108056050Y-118483100D01* +X109767950Y-118483100D01* +X109780785Y-118483731D01* +G37* +G36* +X109780785Y-117213731D02* +G01* +X109793497Y-117215616D01* +X109805963Y-117218739D01* +X109818062Y-117223068D01* +X109829679Y-117228562D01* +X109840702Y-117235169D01* +X109851024Y-117242824D01* +X109860546Y-117251454D01* +X109869176Y-117260976D01* +X109876831Y-117271298D01* +X109883438Y-117282321D01* +X109888932Y-117293938D01* +X109893261Y-117306037D01* +X109896384Y-117318503D01* +X109898269Y-117331215D01* +X109898900Y-117344050D01* +X109898900Y-117605950D01* +X109898269Y-117618785D01* +X109896384Y-117631497D01* +X109893261Y-117643963D01* +X109888932Y-117656062D01* +X109883438Y-117667679D01* +X109876831Y-117678702D01* +X109869176Y-117689024D01* +X109860546Y-117698546D01* +X109851024Y-117707176D01* +X109840702Y-117714831D01* +X109829679Y-117721438D01* +X109818062Y-117726932D01* +X109805963Y-117731261D01* +X109793497Y-117734384D01* +X109780785Y-117736269D01* +X109767950Y-117736900D01* +X108056050Y-117736900D01* +X108043215Y-117736269D01* +X108030503Y-117734384D01* +X108018037Y-117731261D01* +X108005938Y-117726932D01* +X107994321Y-117721438D01* +X107983298Y-117714831D01* +X107972976Y-117707176D01* +X107963454Y-117698546D01* +X107954824Y-117689024D01* +X107947169Y-117678702D01* +X107940562Y-117667679D01* +X107935068Y-117656062D01* +X107930739Y-117643963D01* +X107927616Y-117631497D01* +X107925731Y-117618785D01* +X107925100Y-117605950D01* +X107925100Y-117344050D01* +X107925731Y-117331215D01* +X107927616Y-117318503D01* +X107930739Y-117306037D01* +X107935068Y-117293938D01* +X107940562Y-117282321D01* +X107947169Y-117271298D01* +X107954824Y-117260976D01* +X107963454Y-117251454D01* +X107972976Y-117242824D01* +X107983298Y-117235169D01* +X107994321Y-117228562D01* +X108005938Y-117223068D01* +X108018037Y-117218739D01* +X108030503Y-117215616D01* +X108043215Y-117213731D01* +X108056050Y-117213100D01* +X109767950Y-117213100D01* +X109780785Y-117213731D01* +G37* +G36* +X109780785Y-115943731D02* +G01* +X109793497Y-115945616D01* +X109805963Y-115948739D01* +X109818062Y-115953068D01* +X109829679Y-115958562D01* +X109840702Y-115965169D01* +X109851024Y-115972824D01* +X109860546Y-115981454D01* +X109869176Y-115990976D01* +X109876831Y-116001298D01* +X109883438Y-116012321D01* +X109888932Y-116023938D01* +X109893261Y-116036037D01* +X109896384Y-116048503D01* +X109898269Y-116061215D01* +X109898900Y-116074050D01* +X109898900Y-116335950D01* +X109898269Y-116348785D01* +X109896384Y-116361497D01* +X109893261Y-116373963D01* +X109888932Y-116386062D01* +X109883438Y-116397679D01* +X109876831Y-116408702D01* +X109869176Y-116419024D01* +X109860546Y-116428546D01* +X109851024Y-116437176D01* +X109840702Y-116444831D01* +X109829679Y-116451438D01* +X109818062Y-116456932D01* +X109805963Y-116461261D01* +X109793497Y-116464384D01* +X109780785Y-116466269D01* +X109767950Y-116466900D01* +X108056050Y-116466900D01* +X108043215Y-116466269D01* +X108030503Y-116464384D01* +X108018037Y-116461261D01* +X108005938Y-116456932D01* +X107994321Y-116451438D01* +X107983298Y-116444831D01* +X107972976Y-116437176D01* +X107963454Y-116428546D01* +X107954824Y-116419024D01* +X107947169Y-116408702D01* +X107940562Y-116397679D01* +X107935068Y-116386062D01* +X107930739Y-116373963D01* +X107927616Y-116361497D01* +X107925731Y-116348785D01* +X107925100Y-116335950D01* +X107925100Y-116074050D01* +X107925731Y-116061215D01* +X107927616Y-116048503D01* +X107930739Y-116036037D01* +X107935068Y-116023938D01* +X107940562Y-116012321D01* +X107947169Y-116001298D01* +X107954824Y-115990976D01* +X107963454Y-115981454D01* +X107972976Y-115972824D01* +X107983298Y-115965169D01* +X107994321Y-115958562D01* +X108005938Y-115953068D01* +X108018037Y-115948739D01* +X108030503Y-115945616D01* +X108043215Y-115943731D01* +X108056050Y-115943100D01* +X109767950Y-115943100D01* +X109780785Y-115943731D01* +G37* +G36* +X109780785Y-114673731D02* +G01* +X109793497Y-114675616D01* +X109805963Y-114678739D01* +X109818062Y-114683068D01* +X109829679Y-114688562D01* +X109840702Y-114695169D01* +X109851024Y-114702824D01* +X109860546Y-114711454D01* +X109869176Y-114720976D01* +X109876831Y-114731298D01* +X109883438Y-114742321D01* +X109888932Y-114753938D01* +X109893261Y-114766037D01* +X109896384Y-114778503D01* +X109898269Y-114791215D01* +X109898900Y-114804050D01* +X109898900Y-115065950D01* +X109898269Y-115078785D01* +X109896384Y-115091497D01* +X109893261Y-115103963D01* +X109888932Y-115116062D01* +X109883438Y-115127679D01* +X109876831Y-115138702D01* +X109869176Y-115149024D01* +X109860546Y-115158546D01* +X109851024Y-115167176D01* +X109840702Y-115174831D01* +X109829679Y-115181438D01* +X109818062Y-115186932D01* +X109805963Y-115191261D01* +X109793497Y-115194384D01* +X109780785Y-115196269D01* +X109767950Y-115196900D01* +X108056050Y-115196900D01* +X108043215Y-115196269D01* +X108030503Y-115194384D01* +X108018037Y-115191261D01* +X108005938Y-115186932D01* +X107994321Y-115181438D01* +X107983298Y-115174831D01* +X107972976Y-115167176D01* +X107963454Y-115158546D01* +X107954824Y-115149024D01* +X107947169Y-115138702D01* +X107940562Y-115127679D01* +X107935068Y-115116062D01* +X107930739Y-115103963D01* +X107927616Y-115091497D01* +X107925731Y-115078785D01* +X107925100Y-115065950D01* +X107925100Y-114804050D01* +X107925731Y-114791215D01* +X107927616Y-114778503D01* +X107930739Y-114766037D01* +X107935068Y-114753938D01* +X107940562Y-114742321D01* +X107947169Y-114731298D01* +X107954824Y-114720976D01* +X107963454Y-114711454D01* +X107972976Y-114702824D01* +X107983298Y-114695169D01* +X107994321Y-114688562D01* +X108005938Y-114683068D01* +X108018037Y-114678739D01* +X108030503Y-114675616D01* +X108043215Y-114673731D01* +X108056050Y-114673100D01* +X109767950Y-114673100D01* +X109780785Y-114673731D01* +G37* +G36* +X109780785Y-113403731D02* +G01* +X109793497Y-113405616D01* +X109805963Y-113408739D01* +X109818062Y-113413068D01* +X109829679Y-113418562D01* +X109840702Y-113425169D01* +X109851024Y-113432824D01* +X109860546Y-113441454D01* +X109869176Y-113450976D01* +X109876831Y-113461298D01* +X109883438Y-113472321D01* +X109888932Y-113483938D01* +X109893261Y-113496037D01* +X109896384Y-113508503D01* +X109898269Y-113521215D01* +X109898900Y-113534050D01* +X109898900Y-113795950D01* +X109898269Y-113808785D01* +X109896384Y-113821497D01* +X109893261Y-113833963D01* +X109888932Y-113846062D01* +X109883438Y-113857679D01* +X109876831Y-113868702D01* +X109869176Y-113879024D01* +X109860546Y-113888546D01* +X109851024Y-113897176D01* +X109840702Y-113904831D01* +X109829679Y-113911438D01* +X109818062Y-113916932D01* +X109805963Y-113921261D01* +X109793497Y-113924384D01* +X109780785Y-113926269D01* +X109767950Y-113926900D01* +X108056050Y-113926900D01* +X108043215Y-113926269D01* +X108030503Y-113924384D01* +X108018037Y-113921261D01* +X108005938Y-113916932D01* +X107994321Y-113911438D01* +X107983298Y-113904831D01* +X107972976Y-113897176D01* +X107963454Y-113888546D01* +X107954824Y-113879024D01* +X107947169Y-113868702D01* +X107940562Y-113857679D01* +X107935068Y-113846062D01* +X107930739Y-113833963D01* +X107927616Y-113821497D01* +X107925731Y-113808785D01* +X107925100Y-113795950D01* +X107925100Y-113534050D01* +X107925731Y-113521215D01* +X107927616Y-113508503D01* +X107930739Y-113496037D01* +X107935068Y-113483938D01* +X107940562Y-113472321D01* +X107947169Y-113461298D01* +X107954824Y-113450976D01* +X107963454Y-113441454D01* +X107972976Y-113432824D01* +X107983298Y-113425169D01* +X107994321Y-113418562D01* +X108005938Y-113413068D01* +X108018037Y-113408739D01* +X108030503Y-113405616D01* +X108043215Y-113403731D01* +X108056050Y-113403100D01* +X109767950Y-113403100D01* +X109780785Y-113403731D01* +G37* +G36* +X109780785Y-112133731D02* +G01* +X109793497Y-112135616D01* +X109805963Y-112138739D01* +X109818062Y-112143068D01* +X109829679Y-112148562D01* +X109840702Y-112155169D01* +X109851024Y-112162824D01* +X109860546Y-112171454D01* +X109869176Y-112180976D01* +X109876831Y-112191298D01* +X109883438Y-112202321D01* +X109888932Y-112213938D01* +X109893261Y-112226037D01* +X109896384Y-112238503D01* +X109898269Y-112251215D01* +X109898900Y-112264050D01* +X109898900Y-112525950D01* +X109898269Y-112538785D01* +X109896384Y-112551497D01* +X109893261Y-112563963D01* +X109888932Y-112576062D01* +X109883438Y-112587679D01* +X109876831Y-112598702D01* +X109869176Y-112609024D01* +X109860546Y-112618546D01* +X109851024Y-112627176D01* +X109840702Y-112634831D01* +X109829679Y-112641438D01* +X109818062Y-112646932D01* +X109805963Y-112651261D01* +X109793497Y-112654384D01* +X109780785Y-112656269D01* +X109767950Y-112656900D01* +X108056050Y-112656900D01* +X108043215Y-112656269D01* +X108030503Y-112654384D01* +X108018037Y-112651261D01* +X108005938Y-112646932D01* +X107994321Y-112641438D01* +X107983298Y-112634831D01* +X107972976Y-112627176D01* +X107963454Y-112618546D01* +X107954824Y-112609024D01* +X107947169Y-112598702D01* +X107940562Y-112587679D01* +X107935068Y-112576062D01* +X107930739Y-112563963D01* +X107927616Y-112551497D01* +X107925731Y-112538785D01* +X107925100Y-112525950D01* +X107925100Y-112264050D01* +X107925731Y-112251215D01* +X107927616Y-112238503D01* +X107930739Y-112226037D01* +X107935068Y-112213938D01* +X107940562Y-112202321D01* +X107947169Y-112191298D01* +X107954824Y-112180976D01* +X107963454Y-112171454D01* +X107972976Y-112162824D01* +X107983298Y-112155169D01* +X107994321Y-112148562D01* +X108005938Y-112143068D01* +X108018037Y-112138739D01* +X108030503Y-112135616D01* +X108043215Y-112133731D01* +X108056050Y-112133100D01* +X109767950Y-112133100D01* +X109780785Y-112133731D01* +G37* +G36* +X109780785Y-110863731D02* +G01* +X109793497Y-110865616D01* +X109805963Y-110868739D01* +X109818062Y-110873068D01* +X109829679Y-110878562D01* +X109840702Y-110885169D01* +X109851024Y-110892824D01* +X109860546Y-110901454D01* +X109869176Y-110910976D01* +X109876831Y-110921298D01* +X109883438Y-110932321D01* +X109888932Y-110943938D01* +X109893261Y-110956037D01* +X109896384Y-110968503D01* +X109898269Y-110981215D01* +X109898900Y-110994050D01* +X109898900Y-111255950D01* +X109898269Y-111268785D01* +X109896384Y-111281497D01* +X109893261Y-111293963D01* +X109888932Y-111306062D01* +X109883438Y-111317679D01* +X109876831Y-111328702D01* +X109869176Y-111339024D01* +X109860546Y-111348546D01* +X109851024Y-111357176D01* +X109840702Y-111364831D01* +X109829679Y-111371438D01* +X109818062Y-111376932D01* +X109805963Y-111381261D01* +X109793497Y-111384384D01* +X109780785Y-111386269D01* +X109767950Y-111386900D01* +X108056050Y-111386900D01* +X108043215Y-111386269D01* +X108030503Y-111384384D01* +X108018037Y-111381261D01* +X108005938Y-111376932D01* +X107994321Y-111371438D01* +X107983298Y-111364831D01* +X107972976Y-111357176D01* +X107963454Y-111348546D01* +X107954824Y-111339024D01* +X107947169Y-111328702D01* +X107940562Y-111317679D01* +X107935068Y-111306062D01* +X107930739Y-111293963D01* +X107927616Y-111281497D01* +X107925731Y-111268785D01* +X107925100Y-111255950D01* +X107925100Y-110994050D01* +X107925731Y-110981215D01* +X107927616Y-110968503D01* +X107930739Y-110956037D01* +X107935068Y-110943938D01* +X107940562Y-110932321D01* +X107947169Y-110921298D01* +X107954824Y-110910976D01* +X107963454Y-110901454D01* +X107972976Y-110892824D01* +X107983298Y-110885169D01* +X107994321Y-110878562D01* +X108005938Y-110873068D01* +X108018037Y-110868739D01* +X108030503Y-110865616D01* +X108043215Y-110863731D01* +X108056050Y-110863100D01* +X109767950Y-110863100D01* +X109780785Y-110863731D01* +G37* +G36* +X109780785Y-109593731D02* +G01* +X109793497Y-109595616D01* +X109805963Y-109598739D01* +X109818062Y-109603068D01* +X109829679Y-109608562D01* +X109840702Y-109615169D01* +X109851024Y-109622824D01* +X109860546Y-109631454D01* +X109869176Y-109640976D01* +X109876831Y-109651298D01* +X109883438Y-109662321D01* +X109888932Y-109673938D01* +X109893261Y-109686037D01* +X109896384Y-109698503D01* +X109898269Y-109711215D01* +X109898900Y-109724050D01* +X109898900Y-109985950D01* +X109898269Y-109998785D01* +X109896384Y-110011497D01* +X109893261Y-110023963D01* +X109888932Y-110036062D01* +X109883438Y-110047679D01* +X109876831Y-110058702D01* +X109869176Y-110069024D01* +X109860546Y-110078546D01* +X109851024Y-110087176D01* +X109840702Y-110094831D01* +X109829679Y-110101438D01* +X109818062Y-110106932D01* +X109805963Y-110111261D01* +X109793497Y-110114384D01* +X109780785Y-110116269D01* +X109767950Y-110116900D01* +X108056050Y-110116900D01* +X108043215Y-110116269D01* +X108030503Y-110114384D01* +X108018037Y-110111261D01* +X108005938Y-110106932D01* +X107994321Y-110101438D01* +X107983298Y-110094831D01* +X107972976Y-110087176D01* +X107963454Y-110078546D01* +X107954824Y-110069024D01* +X107947169Y-110058702D01* +X107940562Y-110047679D01* +X107935068Y-110036062D01* +X107930739Y-110023963D01* +X107927616Y-110011497D01* +X107925731Y-109998785D01* +X107925100Y-109985950D01* +X107925100Y-109724050D01* +X107925731Y-109711215D01* +X107927616Y-109698503D01* +X107930739Y-109686037D01* +X107935068Y-109673938D01* +X107940562Y-109662321D01* +X107947169Y-109651298D01* +X107954824Y-109640976D01* +X107963454Y-109631454D01* +X107972976Y-109622824D01* +X107983298Y-109615169D01* +X107994321Y-109608562D01* +X108005938Y-109603068D01* +X108018037Y-109598739D01* +X108030503Y-109595616D01* +X108043215Y-109593731D01* +X108056050Y-109593100D01* +X109767950Y-109593100D01* +X109780785Y-109593731D01* +G37* +G36* +X109780785Y-108323731D02* +G01* +X109793497Y-108325616D01* +X109805963Y-108328739D01* +X109818062Y-108333068D01* +X109829679Y-108338562D01* +X109840702Y-108345169D01* +X109851024Y-108352824D01* +X109860546Y-108361454D01* +X109869176Y-108370976D01* +X109876831Y-108381298D01* +X109883438Y-108392321D01* +X109888932Y-108403938D01* +X109893261Y-108416037D01* +X109896384Y-108428503D01* +X109898269Y-108441215D01* +X109898900Y-108454050D01* +X109898900Y-108715950D01* +X109898269Y-108728785D01* +X109896384Y-108741497D01* +X109893261Y-108753963D01* +X109888932Y-108766062D01* +X109883438Y-108777679D01* +X109876831Y-108788702D01* +X109869176Y-108799024D01* +X109860546Y-108808546D01* +X109851024Y-108817176D01* +X109840702Y-108824831D01* +X109829679Y-108831438D01* +X109818062Y-108836932D01* +X109805963Y-108841261D01* +X109793497Y-108844384D01* +X109780785Y-108846269D01* +X109767950Y-108846900D01* +X108056050Y-108846900D01* +X108043215Y-108846269D01* +X108030503Y-108844384D01* +X108018037Y-108841261D01* +X108005938Y-108836932D01* +X107994321Y-108831438D01* +X107983298Y-108824831D01* +X107972976Y-108817176D01* +X107963454Y-108808546D01* +X107954824Y-108799024D01* +X107947169Y-108788702D01* +X107940562Y-108777679D01* +X107935068Y-108766062D01* +X107930739Y-108753963D01* +X107927616Y-108741497D01* +X107925731Y-108728785D01* +X107925100Y-108715950D01* +X107925100Y-108454050D01* +X107925731Y-108441215D01* +X107927616Y-108428503D01* +X107930739Y-108416037D01* +X107935068Y-108403938D01* +X107940562Y-108392321D01* +X107947169Y-108381298D01* +X107954824Y-108370976D01* +X107963454Y-108361454D01* +X107972976Y-108352824D01* +X107983298Y-108345169D01* +X107994321Y-108338562D01* +X108005938Y-108333068D01* +X108018037Y-108328739D01* +X108030503Y-108325616D01* +X108043215Y-108323731D01* +X108056050Y-108323100D01* +X109767950Y-108323100D01* +X109780785Y-108323731D01* +G37* +G36* +X96680785Y-108323731D02* +G01* +X96693497Y-108325616D01* +X96705963Y-108328739D01* +X96718062Y-108333068D01* +X96729679Y-108338562D01* +X96740702Y-108345169D01* +X96751024Y-108352824D01* +X96760546Y-108361454D01* +X96769176Y-108370976D01* +X96776831Y-108381298D01* +X96783438Y-108392321D01* +X96788932Y-108403938D01* +X96793261Y-108416037D01* +X96796384Y-108428503D01* +X96798269Y-108441215D01* +X96798900Y-108454050D01* +X96798900Y-108715950D01* +X96798269Y-108728785D01* +X96796384Y-108741497D01* +X96793261Y-108753963D01* +X96788932Y-108766062D01* +X96783438Y-108777679D01* +X96776831Y-108788702D01* +X96769176Y-108799024D01* +X96760546Y-108808546D01* +X96751024Y-108817176D01* +X96740702Y-108824831D01* +X96729679Y-108831438D01* +X96718062Y-108836932D01* +X96705963Y-108841261D01* +X96693497Y-108844384D01* +X96680785Y-108846269D01* +X96667950Y-108846900D01* +X94956050Y-108846900D01* +X94943215Y-108846269D01* +X94930503Y-108844384D01* +X94918037Y-108841261D01* +X94905938Y-108836932D01* +X94894321Y-108831438D01* +X94883298Y-108824831D01* +X94872976Y-108817176D01* +X94863454Y-108808546D01* +X94854824Y-108799024D01* +X94847169Y-108788702D01* +X94840562Y-108777679D01* +X94835068Y-108766062D01* +X94830739Y-108753963D01* +X94827616Y-108741497D01* +X94825731Y-108728785D01* +X94825100Y-108715950D01* +X94825100Y-108454050D01* +X94825731Y-108441215D01* +X94827616Y-108428503D01* +X94830739Y-108416037D01* +X94835068Y-108403938D01* +X94840562Y-108392321D01* +X94847169Y-108381298D01* +X94854824Y-108370976D01* +X94863454Y-108361454D01* +X94872976Y-108352824D01* +X94883298Y-108345169D01* +X94894321Y-108338562D01* +X94905938Y-108333068D01* +X94918037Y-108328739D01* +X94930503Y-108325616D01* +X94943215Y-108323731D01* +X94956050Y-108323100D01* +X96667950Y-108323100D01* +X96680785Y-108323731D01* +G37* +G36* +X96680785Y-109593731D02* +G01* +X96693497Y-109595616D01* +X96705963Y-109598739D01* +X96718062Y-109603068D01* +X96729679Y-109608562D01* +X96740702Y-109615169D01* +X96751024Y-109622824D01* +X96760546Y-109631454D01* +X96769176Y-109640976D01* +X96776831Y-109651298D01* +X96783438Y-109662321D01* +X96788932Y-109673938D01* +X96793261Y-109686037D01* +X96796384Y-109698503D01* +X96798269Y-109711215D01* +X96798900Y-109724050D01* +X96798900Y-109985950D01* +X96798269Y-109998785D01* +X96796384Y-110011497D01* +X96793261Y-110023963D01* +X96788932Y-110036062D01* +X96783438Y-110047679D01* +X96776831Y-110058702D01* +X96769176Y-110069024D01* +X96760546Y-110078546D01* +X96751024Y-110087176D01* +X96740702Y-110094831D01* +X96729679Y-110101438D01* +X96718062Y-110106932D01* +X96705963Y-110111261D01* +X96693497Y-110114384D01* +X96680785Y-110116269D01* +X96667950Y-110116900D01* +X94956050Y-110116900D01* +X94943215Y-110116269D01* +X94930503Y-110114384D01* +X94918037Y-110111261D01* +X94905938Y-110106932D01* +X94894321Y-110101438D01* +X94883298Y-110094831D01* +X94872976Y-110087176D01* +X94863454Y-110078546D01* +X94854824Y-110069024D01* +X94847169Y-110058702D01* +X94840562Y-110047679D01* +X94835068Y-110036062D01* +X94830739Y-110023963D01* +X94827616Y-110011497D01* +X94825731Y-109998785D01* +X94825100Y-109985950D01* +X94825100Y-109724050D01* +X94825731Y-109711215D01* +X94827616Y-109698503D01* +X94830739Y-109686037D01* +X94835068Y-109673938D01* +X94840562Y-109662321D01* +X94847169Y-109651298D01* +X94854824Y-109640976D01* +X94863454Y-109631454D01* +X94872976Y-109622824D01* +X94883298Y-109615169D01* +X94894321Y-109608562D01* +X94905938Y-109603068D01* +X94918037Y-109598739D01* +X94930503Y-109595616D01* +X94943215Y-109593731D01* +X94956050Y-109593100D01* +X96667950Y-109593100D01* +X96680785Y-109593731D01* +G37* +G36* +X96680785Y-110863731D02* +G01* +X96693497Y-110865616D01* +X96705963Y-110868739D01* +X96718062Y-110873068D01* +X96729679Y-110878562D01* +X96740702Y-110885169D01* +X96751024Y-110892824D01* +X96760546Y-110901454D01* +X96769176Y-110910976D01* +X96776831Y-110921298D01* +X96783438Y-110932321D01* +X96788932Y-110943938D01* +X96793261Y-110956037D01* +X96796384Y-110968503D01* +X96798269Y-110981215D01* +X96798900Y-110994050D01* +X96798900Y-111255950D01* +X96798269Y-111268785D01* +X96796384Y-111281497D01* +X96793261Y-111293963D01* +X96788932Y-111306062D01* +X96783438Y-111317679D01* +X96776831Y-111328702D01* +X96769176Y-111339024D01* +X96760546Y-111348546D01* +X96751024Y-111357176D01* +X96740702Y-111364831D01* +X96729679Y-111371438D01* +X96718062Y-111376932D01* +X96705963Y-111381261D01* +X96693497Y-111384384D01* +X96680785Y-111386269D01* +X96667950Y-111386900D01* +X94956050Y-111386900D01* +X94943215Y-111386269D01* +X94930503Y-111384384D01* +X94918037Y-111381261D01* +X94905938Y-111376932D01* +X94894321Y-111371438D01* +X94883298Y-111364831D01* +X94872976Y-111357176D01* +X94863454Y-111348546D01* +X94854824Y-111339024D01* +X94847169Y-111328702D01* +X94840562Y-111317679D01* +X94835068Y-111306062D01* +X94830739Y-111293963D01* +X94827616Y-111281497D01* +X94825731Y-111268785D01* +X94825100Y-111255950D01* +X94825100Y-110994050D01* +X94825731Y-110981215D01* +X94827616Y-110968503D01* +X94830739Y-110956037D01* +X94835068Y-110943938D01* +X94840562Y-110932321D01* +X94847169Y-110921298D01* +X94854824Y-110910976D01* +X94863454Y-110901454D01* +X94872976Y-110892824D01* +X94883298Y-110885169D01* +X94894321Y-110878562D01* +X94905938Y-110873068D01* +X94918037Y-110868739D01* +X94930503Y-110865616D01* +X94943215Y-110863731D01* +X94956050Y-110863100D01* +X96667950Y-110863100D01* +X96680785Y-110863731D01* +G37* +G36* +X96680785Y-112133731D02* +G01* +X96693497Y-112135616D01* +X96705963Y-112138739D01* +X96718062Y-112143068D01* +X96729679Y-112148562D01* +X96740702Y-112155169D01* +X96751024Y-112162824D01* +X96760546Y-112171454D01* +X96769176Y-112180976D01* +X96776831Y-112191298D01* +X96783438Y-112202321D01* +X96788932Y-112213938D01* +X96793261Y-112226037D01* +X96796384Y-112238503D01* +X96798269Y-112251215D01* +X96798900Y-112264050D01* +X96798900Y-112525950D01* +X96798269Y-112538785D01* +X96796384Y-112551497D01* +X96793261Y-112563963D01* +X96788932Y-112576062D01* +X96783438Y-112587679D01* +X96776831Y-112598702D01* +X96769176Y-112609024D01* +X96760546Y-112618546D01* +X96751024Y-112627176D01* +X96740702Y-112634831D01* +X96729679Y-112641438D01* +X96718062Y-112646932D01* +X96705963Y-112651261D01* +X96693497Y-112654384D01* +X96680785Y-112656269D01* +X96667950Y-112656900D01* +X94956050Y-112656900D01* +X94943215Y-112656269D01* +X94930503Y-112654384D01* +X94918037Y-112651261D01* +X94905938Y-112646932D01* +X94894321Y-112641438D01* +X94883298Y-112634831D01* +X94872976Y-112627176D01* +X94863454Y-112618546D01* +X94854824Y-112609024D01* +X94847169Y-112598702D01* +X94840562Y-112587679D01* +X94835068Y-112576062D01* +X94830739Y-112563963D01* +X94827616Y-112551497D01* +X94825731Y-112538785D01* +X94825100Y-112525950D01* +X94825100Y-112264050D01* +X94825731Y-112251215D01* +X94827616Y-112238503D01* +X94830739Y-112226037D01* +X94835068Y-112213938D01* +X94840562Y-112202321D01* +X94847169Y-112191298D01* +X94854824Y-112180976D01* +X94863454Y-112171454D01* +X94872976Y-112162824D01* +X94883298Y-112155169D01* +X94894321Y-112148562D01* +X94905938Y-112143068D01* +X94918037Y-112138739D01* +X94930503Y-112135616D01* +X94943215Y-112133731D01* +X94956050Y-112133100D01* +X96667950Y-112133100D01* +X96680785Y-112133731D01* +G37* +G36* +X96680785Y-113403731D02* +G01* +X96693497Y-113405616D01* +X96705963Y-113408739D01* +X96718062Y-113413068D01* +X96729679Y-113418562D01* +X96740702Y-113425169D01* +X96751024Y-113432824D01* +X96760546Y-113441454D01* +X96769176Y-113450976D01* +X96776831Y-113461298D01* +X96783438Y-113472321D01* +X96788932Y-113483938D01* +X96793261Y-113496037D01* +X96796384Y-113508503D01* +X96798269Y-113521215D01* +X96798900Y-113534050D01* +X96798900Y-113795950D01* +X96798269Y-113808785D01* +X96796384Y-113821497D01* +X96793261Y-113833963D01* +X96788932Y-113846062D01* +X96783438Y-113857679D01* +X96776831Y-113868702D01* +X96769176Y-113879024D01* +X96760546Y-113888546D01* +X96751024Y-113897176D01* +X96740702Y-113904831D01* +X96729679Y-113911438D01* +X96718062Y-113916932D01* +X96705963Y-113921261D01* +X96693497Y-113924384D01* +X96680785Y-113926269D01* +X96667950Y-113926900D01* +X94956050Y-113926900D01* +X94943215Y-113926269D01* +X94930503Y-113924384D01* +X94918037Y-113921261D01* +X94905938Y-113916932D01* +X94894321Y-113911438D01* +X94883298Y-113904831D01* +X94872976Y-113897176D01* +X94863454Y-113888546D01* +X94854824Y-113879024D01* +X94847169Y-113868702D01* +X94840562Y-113857679D01* +X94835068Y-113846062D01* +X94830739Y-113833963D01* +X94827616Y-113821497D01* +X94825731Y-113808785D01* +X94825100Y-113795950D01* +X94825100Y-113534050D01* +X94825731Y-113521215D01* +X94827616Y-113508503D01* +X94830739Y-113496037D01* +X94835068Y-113483938D01* +X94840562Y-113472321D01* +X94847169Y-113461298D01* +X94854824Y-113450976D01* +X94863454Y-113441454D01* +X94872976Y-113432824D01* +X94883298Y-113425169D01* +X94894321Y-113418562D01* +X94905938Y-113413068D01* +X94918037Y-113408739D01* +X94930503Y-113405616D01* +X94943215Y-113403731D01* +X94956050Y-113403100D01* +X96667950Y-113403100D01* +X96680785Y-113403731D01* +G37* +G36* +X96680785Y-114673731D02* +G01* +X96693497Y-114675616D01* +X96705963Y-114678739D01* +X96718062Y-114683068D01* +X96729679Y-114688562D01* +X96740702Y-114695169D01* +X96751024Y-114702824D01* +X96760546Y-114711454D01* +X96769176Y-114720976D01* +X96776831Y-114731298D01* +X96783438Y-114742321D01* +X96788932Y-114753938D01* +X96793261Y-114766037D01* +X96796384Y-114778503D01* +X96798269Y-114791215D01* +X96798900Y-114804050D01* +X96798900Y-115065950D01* +X96798269Y-115078785D01* +X96796384Y-115091497D01* +X96793261Y-115103963D01* +X96788932Y-115116062D01* +X96783438Y-115127679D01* +X96776831Y-115138702D01* +X96769176Y-115149024D01* +X96760546Y-115158546D01* +X96751024Y-115167176D01* +X96740702Y-115174831D01* +X96729679Y-115181438D01* +X96718062Y-115186932D01* +X96705963Y-115191261D01* +X96693497Y-115194384D01* +X96680785Y-115196269D01* +X96667950Y-115196900D01* +X94956050Y-115196900D01* +X94943215Y-115196269D01* +X94930503Y-115194384D01* +X94918037Y-115191261D01* +X94905938Y-115186932D01* +X94894321Y-115181438D01* +X94883298Y-115174831D01* +X94872976Y-115167176D01* +X94863454Y-115158546D01* +X94854824Y-115149024D01* +X94847169Y-115138702D01* +X94840562Y-115127679D01* +X94835068Y-115116062D01* +X94830739Y-115103963D01* +X94827616Y-115091497D01* +X94825731Y-115078785D01* +X94825100Y-115065950D01* +X94825100Y-114804050D01* +X94825731Y-114791215D01* +X94827616Y-114778503D01* +X94830739Y-114766037D01* +X94835068Y-114753938D01* +X94840562Y-114742321D01* +X94847169Y-114731298D01* +X94854824Y-114720976D01* +X94863454Y-114711454D01* +X94872976Y-114702824D01* +X94883298Y-114695169D01* +X94894321Y-114688562D01* +X94905938Y-114683068D01* +X94918037Y-114678739D01* +X94930503Y-114675616D01* +X94943215Y-114673731D01* +X94956050Y-114673100D01* +X96667950Y-114673100D01* +X96680785Y-114673731D01* +G37* +G36* +X96680785Y-115943731D02* +G01* +X96693497Y-115945616D01* +X96705963Y-115948739D01* +X96718062Y-115953068D01* +X96729679Y-115958562D01* +X96740702Y-115965169D01* +X96751024Y-115972824D01* +X96760546Y-115981454D01* +X96769176Y-115990976D01* +X96776831Y-116001298D01* +X96783438Y-116012321D01* +X96788932Y-116023938D01* +X96793261Y-116036037D01* +X96796384Y-116048503D01* +X96798269Y-116061215D01* +X96798900Y-116074050D01* +X96798900Y-116335950D01* +X96798269Y-116348785D01* +X96796384Y-116361497D01* +X96793261Y-116373963D01* +X96788932Y-116386062D01* +X96783438Y-116397679D01* +X96776831Y-116408702D01* +X96769176Y-116419024D01* +X96760546Y-116428546D01* +X96751024Y-116437176D01* +X96740702Y-116444831D01* +X96729679Y-116451438D01* +X96718062Y-116456932D01* +X96705963Y-116461261D01* +X96693497Y-116464384D01* +X96680785Y-116466269D01* +X96667950Y-116466900D01* +X94956050Y-116466900D01* +X94943215Y-116466269D01* +X94930503Y-116464384D01* +X94918037Y-116461261D01* +X94905938Y-116456932D01* +X94894321Y-116451438D01* +X94883298Y-116444831D01* +X94872976Y-116437176D01* +X94863454Y-116428546D01* +X94854824Y-116419024D01* +X94847169Y-116408702D01* +X94840562Y-116397679D01* +X94835068Y-116386062D01* +X94830739Y-116373963D01* +X94827616Y-116361497D01* +X94825731Y-116348785D01* +X94825100Y-116335950D01* +X94825100Y-116074050D01* +X94825731Y-116061215D01* +X94827616Y-116048503D01* +X94830739Y-116036037D01* +X94835068Y-116023938D01* +X94840562Y-116012321D01* +X94847169Y-116001298D01* +X94854824Y-115990976D01* +X94863454Y-115981454D01* +X94872976Y-115972824D01* +X94883298Y-115965169D01* +X94894321Y-115958562D01* +X94905938Y-115953068D01* +X94918037Y-115948739D01* +X94930503Y-115945616D01* +X94943215Y-115943731D01* +X94956050Y-115943100D01* +X96667950Y-115943100D01* +X96680785Y-115943731D01* +G37* +G36* +X96680785Y-117213731D02* +G01* +X96693497Y-117215616D01* +X96705963Y-117218739D01* +X96718062Y-117223068D01* +X96729679Y-117228562D01* +X96740702Y-117235169D01* +X96751024Y-117242824D01* +X96760546Y-117251454D01* +X96769176Y-117260976D01* +X96776831Y-117271298D01* +X96783438Y-117282321D01* +X96788932Y-117293938D01* +X96793261Y-117306037D01* +X96796384Y-117318503D01* +X96798269Y-117331215D01* +X96798900Y-117344050D01* +X96798900Y-117605950D01* +X96798269Y-117618785D01* +X96796384Y-117631497D01* +X96793261Y-117643963D01* +X96788932Y-117656062D01* +X96783438Y-117667679D01* +X96776831Y-117678702D01* +X96769176Y-117689024D01* +X96760546Y-117698546D01* +X96751024Y-117707176D01* +X96740702Y-117714831D01* +X96729679Y-117721438D01* +X96718062Y-117726932D01* +X96705963Y-117731261D01* +X96693497Y-117734384D01* +X96680785Y-117736269D01* +X96667950Y-117736900D01* +X94956050Y-117736900D01* +X94943215Y-117736269D01* +X94930503Y-117734384D01* +X94918037Y-117731261D01* +X94905938Y-117726932D01* +X94894321Y-117721438D01* +X94883298Y-117714831D01* +X94872976Y-117707176D01* +X94863454Y-117698546D01* +X94854824Y-117689024D01* +X94847169Y-117678702D01* +X94840562Y-117667679D01* +X94835068Y-117656062D01* +X94830739Y-117643963D01* +X94827616Y-117631497D01* +X94825731Y-117618785D01* +X94825100Y-117605950D01* +X94825100Y-117344050D01* +X94825731Y-117331215D01* +X94827616Y-117318503D01* +X94830739Y-117306037D01* +X94835068Y-117293938D01* +X94840562Y-117282321D01* +X94847169Y-117271298D01* +X94854824Y-117260976D01* +X94863454Y-117251454D01* +X94872976Y-117242824D01* +X94883298Y-117235169D01* +X94894321Y-117228562D01* +X94905938Y-117223068D01* +X94918037Y-117218739D01* +X94930503Y-117215616D01* +X94943215Y-117213731D01* +X94956050Y-117213100D01* +X96667950Y-117213100D01* +X96680785Y-117213731D01* +G37* +G36* +X96680785Y-118483731D02* +G01* +X96693497Y-118485616D01* +X96705963Y-118488739D01* +X96718062Y-118493068D01* +X96729679Y-118498562D01* +X96740702Y-118505169D01* +X96751024Y-118512824D01* +X96760546Y-118521454D01* +X96769176Y-118530976D01* +X96776831Y-118541298D01* +X96783438Y-118552321D01* +X96788932Y-118563938D01* +X96793261Y-118576037D01* +X96796384Y-118588503D01* +X96798269Y-118601215D01* +X96798900Y-118614050D01* +X96798900Y-118875950D01* +X96798269Y-118888785D01* +X96796384Y-118901497D01* +X96793261Y-118913963D01* +X96788932Y-118926062D01* +X96783438Y-118937679D01* +X96776831Y-118948702D01* +X96769176Y-118959024D01* +X96760546Y-118968546D01* +X96751024Y-118977176D01* +X96740702Y-118984831D01* +X96729679Y-118991438D01* +X96718062Y-118996932D01* +X96705963Y-119001261D01* +X96693497Y-119004384D01* +X96680785Y-119006269D01* +X96667950Y-119006900D01* +X94956050Y-119006900D01* +X94943215Y-119006269D01* +X94930503Y-119004384D01* +X94918037Y-119001261D01* +X94905938Y-118996932D01* +X94894321Y-118991438D01* +X94883298Y-118984831D01* +X94872976Y-118977176D01* +X94863454Y-118968546D01* +X94854824Y-118959024D01* +X94847169Y-118948702D01* +X94840562Y-118937679D01* +X94835068Y-118926062D01* +X94830739Y-118913963D01* +X94827616Y-118901497D01* +X94825731Y-118888785D01* +X94825100Y-118875950D01* +X94825100Y-118614050D01* +X94825731Y-118601215D01* +X94827616Y-118588503D01* +X94830739Y-118576037D01* +X94835068Y-118563938D01* +X94840562Y-118552321D01* +X94847169Y-118541298D01* +X94854824Y-118530976D01* +X94863454Y-118521454D01* +X94872976Y-118512824D01* +X94883298Y-118505169D01* +X94894321Y-118498562D01* +X94905938Y-118493068D01* +X94918037Y-118488739D01* +X94930503Y-118485616D01* +X94943215Y-118483731D01* +X94956050Y-118483100D01* +X96667950Y-118483100D01* +X96680785Y-118483731D01* +G37* +G36* +X96680785Y-119753731D02* +G01* +X96693497Y-119755616D01* +X96705963Y-119758739D01* +X96718062Y-119763068D01* +X96729679Y-119768562D01* +X96740702Y-119775169D01* +X96751024Y-119782824D01* +X96760546Y-119791454D01* +X96769176Y-119800976D01* +X96776831Y-119811298D01* +X96783438Y-119822321D01* +X96788932Y-119833938D01* +X96793261Y-119846037D01* +X96796384Y-119858503D01* +X96798269Y-119871215D01* +X96798900Y-119884050D01* +X96798900Y-120145950D01* +X96798269Y-120158785D01* +X96796384Y-120171497D01* +X96793261Y-120183963D01* +X96788932Y-120196062D01* +X96783438Y-120207679D01* +X96776831Y-120218702D01* +X96769176Y-120229024D01* +X96760546Y-120238546D01* +X96751024Y-120247176D01* +X96740702Y-120254831D01* +X96729679Y-120261438D01* +X96718062Y-120266932D01* +X96705963Y-120271261D01* +X96693497Y-120274384D01* +X96680785Y-120276269D01* +X96667950Y-120276900D01* +X94956050Y-120276900D01* +X94943215Y-120276269D01* +X94930503Y-120274384D01* +X94918037Y-120271261D01* +X94905938Y-120266932D01* +X94894321Y-120261438D01* +X94883298Y-120254831D01* +X94872976Y-120247176D01* +X94863454Y-120238546D01* +X94854824Y-120229024D01* +X94847169Y-120218702D01* +X94840562Y-120207679D01* +X94835068Y-120196062D01* +X94830739Y-120183963D01* +X94827616Y-120171497D01* +X94825731Y-120158785D01* +X94825100Y-120145950D01* +X94825100Y-119884050D01* +X94825731Y-119871215D01* +X94827616Y-119858503D01* +X94830739Y-119846037D01* +X94835068Y-119833938D01* +X94840562Y-119822321D01* +X94847169Y-119811298D01* +X94854824Y-119800976D01* +X94863454Y-119791454D01* +X94872976Y-119782824D01* +X94883298Y-119775169D01* +X94894321Y-119768562D01* +X94905938Y-119763068D01* +X94918037Y-119758739D01* +X94930503Y-119755616D01* +X94943215Y-119753731D01* +X94956050Y-119753100D01* +X96667950Y-119753100D01* +X96680785Y-119753731D01* +G37* +G36* +X96680785Y-121023731D02* +G01* +X96693497Y-121025616D01* +X96705963Y-121028739D01* +X96718062Y-121033068D01* +X96729679Y-121038562D01* +X96740702Y-121045169D01* +X96751024Y-121052824D01* +X96760546Y-121061454D01* +X96769176Y-121070976D01* +X96776831Y-121081298D01* +X96783438Y-121092321D01* +X96788932Y-121103938D01* +X96793261Y-121116037D01* +X96796384Y-121128503D01* +X96798269Y-121141215D01* +X96798900Y-121154050D01* +X96798900Y-121415950D01* +X96798269Y-121428785D01* +X96796384Y-121441497D01* +X96793261Y-121453963D01* +X96788932Y-121466062D01* +X96783438Y-121477679D01* +X96776831Y-121488702D01* +X96769176Y-121499024D01* +X96760546Y-121508546D01* +X96751024Y-121517176D01* +X96740702Y-121524831D01* +X96729679Y-121531438D01* +X96718062Y-121536932D01* +X96705963Y-121541261D01* +X96693497Y-121544384D01* +X96680785Y-121546269D01* +X96667950Y-121546900D01* +X94956050Y-121546900D01* +X94943215Y-121546269D01* +X94930503Y-121544384D01* +X94918037Y-121541261D01* +X94905938Y-121536932D01* +X94894321Y-121531438D01* +X94883298Y-121524831D01* +X94872976Y-121517176D01* +X94863454Y-121508546D01* +X94854824Y-121499024D01* +X94847169Y-121488702D01* +X94840562Y-121477679D01* +X94835068Y-121466062D01* +X94830739Y-121453963D01* +X94827616Y-121441497D01* +X94825731Y-121428785D01* +X94825100Y-121415950D01* +X94825100Y-121154050D01* +X94825731Y-121141215D01* +X94827616Y-121128503D01* +X94830739Y-121116037D01* +X94835068Y-121103938D01* +X94840562Y-121092321D01* +X94847169Y-121081298D01* +X94854824Y-121070976D01* +X94863454Y-121061454D01* +X94872976Y-121052824D01* +X94883298Y-121045169D01* +X94894321Y-121038562D01* +X94905938Y-121033068D01* +X94918037Y-121028739D01* +X94930503Y-121025616D01* +X94943215Y-121023731D01* +X94956050Y-121023100D01* +X96667950Y-121023100D01* +X96680785Y-121023731D01* +G37* +G36* +X96680785Y-122293731D02* +G01* +X96693497Y-122295616D01* +X96705963Y-122298739D01* +X96718062Y-122303068D01* +X96729679Y-122308562D01* +X96740702Y-122315169D01* +X96751024Y-122322824D01* +X96760546Y-122331454D01* +X96769176Y-122340976D01* +X96776831Y-122351298D01* +X96783438Y-122362321D01* +X96788932Y-122373938D01* +X96793261Y-122386037D01* +X96796384Y-122398503D01* +X96798269Y-122411215D01* +X96798900Y-122424050D01* +X96798900Y-122685950D01* +X96798269Y-122698785D01* +X96796384Y-122711497D01* +X96793261Y-122723963D01* +X96788932Y-122736062D01* +X96783438Y-122747679D01* +X96776831Y-122758702D01* +X96769176Y-122769024D01* +X96760546Y-122778546D01* +X96751024Y-122787176D01* +X96740702Y-122794831D01* +X96729679Y-122801438D01* +X96718062Y-122806932D01* +X96705963Y-122811261D01* +X96693497Y-122814384D01* +X96680785Y-122816269D01* +X96667950Y-122816900D01* +X94956050Y-122816900D01* +X94943215Y-122816269D01* +X94930503Y-122814384D01* +X94918037Y-122811261D01* +X94905938Y-122806932D01* +X94894321Y-122801438D01* +X94883298Y-122794831D01* +X94872976Y-122787176D01* +X94863454Y-122778546D01* +X94854824Y-122769024D01* +X94847169Y-122758702D01* +X94840562Y-122747679D01* +X94835068Y-122736062D01* +X94830739Y-122723963D01* +X94827616Y-122711497D01* +X94825731Y-122698785D01* +X94825100Y-122685950D01* +X94825100Y-122424050D01* +X94825731Y-122411215D01* +X94827616Y-122398503D01* +X94830739Y-122386037D01* +X94835068Y-122373938D01* +X94840562Y-122362321D01* +X94847169Y-122351298D01* +X94854824Y-122340976D01* +X94863454Y-122331454D01* +X94872976Y-122322824D01* +X94883298Y-122315169D01* +X94894321Y-122308562D01* +X94905938Y-122303068D01* +X94918037Y-122298739D01* +X94930503Y-122295616D01* +X94943215Y-122293731D01* +X94956050Y-122293100D01* +X96667950Y-122293100D01* +X96680785Y-122293731D01* +G37* +G36* +X96680785Y-123563731D02* +G01* +X96693497Y-123565616D01* +X96705963Y-123568739D01* +X96718062Y-123573068D01* +X96729679Y-123578562D01* +X96740702Y-123585169D01* +X96751024Y-123592824D01* +X96760546Y-123601454D01* +X96769176Y-123610976D01* +X96776831Y-123621298D01* +X96783438Y-123632321D01* +X96788932Y-123643938D01* +X96793261Y-123656037D01* +X96796384Y-123668503D01* +X96798269Y-123681215D01* +X96798900Y-123694050D01* +X96798900Y-123955950D01* +X96798269Y-123968785D01* +X96796384Y-123981497D01* +X96793261Y-123993963D01* +X96788932Y-124006062D01* +X96783438Y-124017679D01* +X96776831Y-124028702D01* +X96769176Y-124039024D01* +X96760546Y-124048546D01* +X96751024Y-124057176D01* +X96740702Y-124064831D01* +X96729679Y-124071438D01* +X96718062Y-124076932D01* +X96705963Y-124081261D01* +X96693497Y-124084384D01* +X96680785Y-124086269D01* +X96667950Y-124086900D01* +X94956050Y-124086900D01* +X94943215Y-124086269D01* +X94930503Y-124084384D01* +X94918037Y-124081261D01* +X94905938Y-124076932D01* +X94894321Y-124071438D01* +X94883298Y-124064831D01* +X94872976Y-124057176D01* +X94863454Y-124048546D01* +X94854824Y-124039024D01* +X94847169Y-124028702D01* +X94840562Y-124017679D01* +X94835068Y-124006062D01* +X94830739Y-123993963D01* +X94827616Y-123981497D01* +X94825731Y-123968785D01* +X94825100Y-123955950D01* +X94825100Y-123694050D01* +X94825731Y-123681215D01* +X94827616Y-123668503D01* +X94830739Y-123656037D01* +X94835068Y-123643938D01* +X94840562Y-123632321D01* +X94847169Y-123621298D01* +X94854824Y-123610976D01* +X94863454Y-123601454D01* +X94872976Y-123592824D01* +X94883298Y-123585169D01* +X94894321Y-123578562D01* +X94905938Y-123573068D01* +X94918037Y-123568739D01* +X94930503Y-123565616D01* +X94943215Y-123563731D01* +X94956050Y-123563100D01* +X96667950Y-123563100D01* +X96680785Y-123563731D01* +G37* +G36* +X96680785Y-124833731D02* +G01* +X96693497Y-124835616D01* +X96705963Y-124838739D01* +X96718062Y-124843068D01* +X96729679Y-124848562D01* +X96740702Y-124855169D01* +X96751024Y-124862824D01* +X96760546Y-124871454D01* +X96769176Y-124880976D01* +X96776831Y-124891298D01* +X96783438Y-124902321D01* +X96788932Y-124913938D01* +X96793261Y-124926037D01* +X96796384Y-124938503D01* +X96798269Y-124951215D01* +X96798900Y-124964050D01* +X96798900Y-125225950D01* +X96798269Y-125238785D01* +X96796384Y-125251497D01* +X96793261Y-125263963D01* +X96788932Y-125276062D01* +X96783438Y-125287679D01* +X96776831Y-125298702D01* +X96769176Y-125309024D01* +X96760546Y-125318546D01* +X96751024Y-125327176D01* +X96740702Y-125334831D01* +X96729679Y-125341438D01* +X96718062Y-125346932D01* +X96705963Y-125351261D01* +X96693497Y-125354384D01* +X96680785Y-125356269D01* +X96667950Y-125356900D01* +X94956050Y-125356900D01* +X94943215Y-125356269D01* +X94930503Y-125354384D01* +X94918037Y-125351261D01* +X94905938Y-125346932D01* +X94894321Y-125341438D01* +X94883298Y-125334831D01* +X94872976Y-125327176D01* +X94863454Y-125318546D01* +X94854824Y-125309024D01* +X94847169Y-125298702D01* +X94840562Y-125287679D01* +X94835068Y-125276062D01* +X94830739Y-125263963D01* +X94827616Y-125251497D01* +X94825731Y-125238785D01* +X94825100Y-125225950D01* +X94825100Y-124964050D01* +X94825731Y-124951215D01* +X94827616Y-124938503D01* +X94830739Y-124926037D01* +X94835068Y-124913938D01* +X94840562Y-124902321D01* +X94847169Y-124891298D01* +X94854824Y-124880976D01* +X94863454Y-124871454D01* +X94872976Y-124862824D01* +X94883298Y-124855169D01* +X94894321Y-124848562D01* +X94905938Y-124843068D01* +X94918037Y-124838739D01* +X94930503Y-124835616D01* +X94943215Y-124833731D01* +X94956050Y-124833100D01* +X96667950Y-124833100D01* +X96680785Y-124833731D01* +G37* +G36* +X96680785Y-126103731D02* +G01* +X96693497Y-126105616D01* +X96705963Y-126108739D01* +X96718062Y-126113068D01* +X96729679Y-126118562D01* +X96740702Y-126125169D01* +X96751024Y-126132824D01* +X96760546Y-126141454D01* +X96769176Y-126150976D01* +X96776831Y-126161298D01* +X96783438Y-126172321D01* +X96788932Y-126183938D01* +X96793261Y-126196037D01* +X96796384Y-126208503D01* +X96798269Y-126221215D01* +X96798900Y-126234050D01* +X96798900Y-126495950D01* +X96798269Y-126508785D01* +X96796384Y-126521497D01* +X96793261Y-126533963D01* +X96788932Y-126546062D01* +X96783438Y-126557679D01* +X96776831Y-126568702D01* +X96769176Y-126579024D01* +X96760546Y-126588546D01* +X96751024Y-126597176D01* +X96740702Y-126604831D01* +X96729679Y-126611438D01* +X96718062Y-126616932D01* +X96705963Y-126621261D01* +X96693497Y-126624384D01* +X96680785Y-126626269D01* +X96667950Y-126626900D01* +X94956050Y-126626900D01* +X94943215Y-126626269D01* +X94930503Y-126624384D01* +X94918037Y-126621261D01* +X94905938Y-126616932D01* +X94894321Y-126611438D01* +X94883298Y-126604831D01* +X94872976Y-126597176D01* +X94863454Y-126588546D01* +X94854824Y-126579024D01* +X94847169Y-126568702D01* +X94840562Y-126557679D01* +X94835068Y-126546062D01* +X94830739Y-126533963D01* +X94827616Y-126521497D01* +X94825731Y-126508785D01* +X94825100Y-126495950D01* +X94825100Y-126234050D01* +X94825731Y-126221215D01* +X94827616Y-126208503D01* +X94830739Y-126196037D01* +X94835068Y-126183938D01* +X94840562Y-126172321D01* +X94847169Y-126161298D01* +X94854824Y-126150976D01* +X94863454Y-126141454D01* +X94872976Y-126132824D01* +X94883298Y-126125169D01* +X94894321Y-126118562D01* +X94905938Y-126113068D01* +X94918037Y-126108739D01* +X94930503Y-126105616D01* +X94943215Y-126103731D01* +X94956050Y-126103100D01* +X96667950Y-126103100D01* +X96680785Y-126103731D01* +G37* +G36* +X96680785Y-127373731D02* +G01* +X96693497Y-127375616D01* +X96705963Y-127378739D01* +X96718062Y-127383068D01* +X96729679Y-127388562D01* +X96740702Y-127395169D01* +X96751024Y-127402824D01* +X96760546Y-127411454D01* +X96769176Y-127420976D01* +X96776831Y-127431298D01* +X96783438Y-127442321D01* +X96788932Y-127453938D01* +X96793261Y-127466037D01* +X96796384Y-127478503D01* +X96798269Y-127491215D01* +X96798900Y-127504050D01* +X96798900Y-127765950D01* +X96798269Y-127778785D01* +X96796384Y-127791497D01* +X96793261Y-127803963D01* +X96788932Y-127816062D01* +X96783438Y-127827679D01* +X96776831Y-127838702D01* +X96769176Y-127849024D01* +X96760546Y-127858546D01* +X96751024Y-127867176D01* +X96740702Y-127874831D01* +X96729679Y-127881438D01* +X96718062Y-127886932D01* +X96705963Y-127891261D01* +X96693497Y-127894384D01* +X96680785Y-127896269D01* +X96667950Y-127896900D01* +X94956050Y-127896900D01* +X94943215Y-127896269D01* +X94930503Y-127894384D01* +X94918037Y-127891261D01* +X94905938Y-127886932D01* +X94894321Y-127881438D01* +X94883298Y-127874831D01* +X94872976Y-127867176D01* +X94863454Y-127858546D01* +X94854824Y-127849024D01* +X94847169Y-127838702D01* +X94840562Y-127827679D01* +X94835068Y-127816062D01* +X94830739Y-127803963D01* +X94827616Y-127791497D01* +X94825731Y-127778785D01* +X94825100Y-127765950D01* +X94825100Y-127504050D01* +X94825731Y-127491215D01* +X94827616Y-127478503D01* +X94830739Y-127466037D01* +X94835068Y-127453938D01* +X94840562Y-127442321D01* +X94847169Y-127431298D01* +X94854824Y-127420976D01* +X94863454Y-127411454D01* +X94872976Y-127402824D01* +X94883298Y-127395169D01* +X94894321Y-127388562D01* +X94905938Y-127383068D01* +X94918037Y-127378739D01* +X94930503Y-127375616D01* +X94943215Y-127373731D01* +X94956050Y-127373100D01* +X96667950Y-127373100D01* +X96680785Y-127373731D01* +G37* +G36* +X135839812Y-112115272D02* +G01* +X135863445Y-112118778D01* +X135886620Y-112124583D01* +X135909114Y-112132632D01* +X135930712Y-112142846D01* +X135951204Y-112155129D01* +X135970393Y-112169361D01* +X135988095Y-112185405D01* +X136004139Y-112203107D01* +X136018371Y-112222296D01* +X136030654Y-112242788D01* +X136040868Y-112264386D01* +X136048917Y-112286880D01* +X136054722Y-112310055D01* +X136058228Y-112333688D01* +X136059400Y-112357550D01* +X136059400Y-113194450D01* +X136058228Y-113218312D01* +X136054722Y-113241945D01* +X136048917Y-113265120D01* +X136040868Y-113287614D01* +X136030654Y-113309212D01* +X136018371Y-113329704D01* +X136004139Y-113348893D01* +X135988095Y-113366595D01* +X135970393Y-113382639D01* +X135951204Y-113396871D01* +X135930712Y-113409154D01* +X135909114Y-113419368D01* +X135886620Y-113427417D01* +X135863445Y-113433222D01* +X135839812Y-113436728D01* +X135815950Y-113437900D01* +X135329050Y-113437900D01* +X135305188Y-113436728D01* +X135281555Y-113433222D01* +X135258380Y-113427417D01* +X135235886Y-113419368D01* +X135214288Y-113409154D01* +X135193796Y-113396871D01* +X135174607Y-113382639D01* +X135156905Y-113366595D01* +X135140861Y-113348893D01* +X135126629Y-113329704D01* +X135114346Y-113309212D01* +X135104132Y-113287614D01* +X135096083Y-113265120D01* +X135090278Y-113241945D01* +X135086772Y-113218312D01* +X135085600Y-113194450D01* +X135085600Y-112357550D01* +X135086772Y-112333688D01* +X135090278Y-112310055D01* +X135096083Y-112286880D01* +X135104132Y-112264386D01* +X135114346Y-112242788D01* +X135126629Y-112222296D01* +X135140861Y-112203107D01* +X135156905Y-112185405D01* +X135174607Y-112169361D01* +X135193796Y-112155129D01* +X135214288Y-112142846D01* +X135235886Y-112132632D01* +X135258380Y-112124583D01* +X135281555Y-112118778D01* +X135305188Y-112115272D01* +X135329050Y-112114100D01* +X135815950Y-112114100D01* +X135839812Y-112115272D01* +G37* +G36* +X134139812Y-112115272D02* +G01* +X134163445Y-112118778D01* +X134186620Y-112124583D01* +X134209114Y-112132632D01* +X134230712Y-112142846D01* +X134251204Y-112155129D01* +X134270393Y-112169361D01* +X134288095Y-112185405D01* +X134304139Y-112203107D01* +X134318371Y-112222296D01* +X134330654Y-112242788D01* +X134340868Y-112264386D01* +X134348917Y-112286880D01* +X134354722Y-112310055D01* +X134358228Y-112333688D01* +X134359400Y-112357550D01* +X134359400Y-113194450D01* +X134358228Y-113218312D01* +X134354722Y-113241945D01* +X134348917Y-113265120D01* +X134340868Y-113287614D01* +X134330654Y-113309212D01* +X134318371Y-113329704D01* +X134304139Y-113348893D01* +X134288095Y-113366595D01* +X134270393Y-113382639D01* +X134251204Y-113396871D01* +X134230712Y-113409154D01* +X134209114Y-113419368D01* +X134186620Y-113427417D01* +X134163445Y-113433222D01* +X134139812Y-113436728D01* +X134115950Y-113437900D01* +X133629050Y-113437900D01* +X133605188Y-113436728D01* +X133581555Y-113433222D01* +X133558380Y-113427417D01* +X133535886Y-113419368D01* +X133514288Y-113409154D01* +X133493796Y-113396871D01* +X133474607Y-113382639D01* +X133456905Y-113366595D01* +X133440861Y-113348893D01* +X133426629Y-113329704D01* +X133414346Y-113309212D01* +X133404132Y-113287614D01* +X133396083Y-113265120D01* +X133390278Y-113241945D01* +X133386772Y-113218312D01* +X133385600Y-113194450D01* +X133385600Y-112357550D01* +X133386772Y-112333688D01* +X133390278Y-112310055D01* +X133396083Y-112286880D01* +X133404132Y-112264386D01* +X133414346Y-112242788D01* +X133426629Y-112222296D01* +X133440861Y-112203107D01* +X133456905Y-112185405D01* +X133474607Y-112169361D01* +X133493796Y-112155129D01* +X133514288Y-112142846D01* +X133535886Y-112132632D01* +X133558380Y-112124583D01* +X133581555Y-112118778D01* +X133605188Y-112115272D01* +X133629050Y-112114100D01* +X134115950Y-112114100D01* +X134139812Y-112115272D01* +G37* +G36* +X136389785Y-114673731D02* +G01* +X136402497Y-114675616D01* +X136414963Y-114678739D01* +X136427062Y-114683068D01* +X136438679Y-114688562D01* +X136449702Y-114695169D01* +X136460024Y-114702824D01* +X136469546Y-114711454D01* +X136478176Y-114720976D01* +X136485831Y-114731298D01* +X136492438Y-114742321D01* +X136497932Y-114753938D01* +X136502261Y-114766037D01* +X136505384Y-114778503D01* +X136507269Y-114791215D01* +X136507900Y-114804050D01* +X136507900Y-115065950D01* +X136507269Y-115078785D01* +X136505384Y-115091497D01* +X136502261Y-115103963D01* +X136497932Y-115116062D01* +X136492438Y-115127679D01* +X136485831Y-115138702D01* +X136478176Y-115149024D01* +X136469546Y-115158546D01* +X136460024Y-115167176D01* +X136449702Y-115174831D01* +X136438679Y-115181438D01* +X136427062Y-115186932D01* +X136414963Y-115191261D01* +X136402497Y-115194384D01* +X136389785Y-115196269D01* +X136376950Y-115196900D01* +X134765050Y-115196900D01* +X134752215Y-115196269D01* +X134739503Y-115194384D01* +X134727037Y-115191261D01* +X134714938Y-115186932D01* +X134703321Y-115181438D01* +X134692298Y-115174831D01* +X134681976Y-115167176D01* +X134672454Y-115158546D01* +X134663824Y-115149024D01* +X134656169Y-115138702D01* +X134649562Y-115127679D01* +X134644068Y-115116062D01* +X134639739Y-115103963D01* +X134636616Y-115091497D01* +X134634731Y-115078785D01* +X134634100Y-115065950D01* +X134634100Y-114804050D01* +X134634731Y-114791215D01* +X134636616Y-114778503D01* +X134639739Y-114766037D01* +X134644068Y-114753938D01* +X134649562Y-114742321D01* +X134656169Y-114731298D01* +X134663824Y-114720976D01* +X134672454Y-114711454D01* +X134681976Y-114702824D01* +X134692298Y-114695169D01* +X134703321Y-114688562D01* +X134714938Y-114683068D01* +X134727037Y-114678739D01* +X134739503Y-114675616D01* +X134752215Y-114673731D01* +X134765050Y-114673100D01* +X136376950Y-114673100D01* +X136389785Y-114673731D01* +G37* +G36* +X131439785Y-122293731D02* +G01* +X131452497Y-122295616D01* +X131464963Y-122298739D01* +X131477062Y-122303068D01* +X131488679Y-122308562D01* +X131499702Y-122315169D01* +X131510024Y-122322824D01* +X131519546Y-122331454D01* +X131528176Y-122340976D01* +X131535831Y-122351298D01* +X131542438Y-122362321D01* +X131547932Y-122373938D01* +X131552261Y-122386037D01* +X131555384Y-122398503D01* +X131557269Y-122411215D01* +X131557900Y-122424050D01* +X131557900Y-122685950D01* +X131557269Y-122698785D01* +X131555384Y-122711497D01* +X131552261Y-122723963D01* +X131547932Y-122736062D01* +X131542438Y-122747679D01* +X131535831Y-122758702D01* +X131528176Y-122769024D01* +X131519546Y-122778546D01* +X131510024Y-122787176D01* +X131499702Y-122794831D01* +X131488679Y-122801438D01* +X131477062Y-122806932D01* +X131464963Y-122811261D01* +X131452497Y-122814384D01* +X131439785Y-122816269D01* +X131426950Y-122816900D01* +X129815050Y-122816900D01* +X129802215Y-122816269D01* +X129789503Y-122814384D01* +X129777037Y-122811261D01* +X129764938Y-122806932D01* +X129753321Y-122801438D01* +X129742298Y-122794831D01* +X129731976Y-122787176D01* +X129722454Y-122778546D01* +X129713824Y-122769024D01* +X129706169Y-122758702D01* +X129699562Y-122747679D01* +X129694068Y-122736062D01* +X129689739Y-122723963D01* +X129686616Y-122711497D01* +X129684731Y-122698785D01* +X129684100Y-122685950D01* +X129684100Y-122424050D01* +X129684731Y-122411215D01* +X129686616Y-122398503D01* +X129689739Y-122386037D01* +X129694068Y-122373938D01* +X129699562Y-122362321D01* +X129706169Y-122351298D01* +X129713824Y-122340976D01* +X129722454Y-122331454D01* +X129731976Y-122322824D01* +X129742298Y-122315169D01* +X129753321Y-122308562D01* +X129764938Y-122303068D01* +X129777037Y-122298739D01* +X129789503Y-122295616D01* +X129802215Y-122293731D01* +X129815050Y-122293100D01* +X131426950Y-122293100D01* +X131439785Y-122293731D01* +G37* +G36* +X136389785Y-117213731D02* +G01* +X136402497Y-117215616D01* +X136414963Y-117218739D01* +X136427062Y-117223068D01* +X136438679Y-117228562D01* +X136449702Y-117235169D01* +X136460024Y-117242824D01* +X136469546Y-117251454D01* +X136478176Y-117260976D01* +X136485831Y-117271298D01* +X136492438Y-117282321D01* +X136497932Y-117293938D01* +X136502261Y-117306037D01* +X136505384Y-117318503D01* +X136507269Y-117331215D01* +X136507900Y-117344050D01* +X136507900Y-117605950D01* +X136507269Y-117618785D01* +X136505384Y-117631497D01* +X136502261Y-117643963D01* +X136497932Y-117656062D01* +X136492438Y-117667679D01* +X136485831Y-117678702D01* +X136478176Y-117689024D01* +X136469546Y-117698546D01* +X136460024Y-117707176D01* +X136449702Y-117714831D01* +X136438679Y-117721438D01* +X136427062Y-117726932D01* +X136414963Y-117731261D01* +X136402497Y-117734384D01* +X136389785Y-117736269D01* +X136376950Y-117736900D01* +X134765050Y-117736900D01* +X134752215Y-117736269D01* +X134739503Y-117734384D01* +X134727037Y-117731261D01* +X134714938Y-117726932D01* +X134703321Y-117721438D01* +X134692298Y-117714831D01* +X134681976Y-117707176D01* +X134672454Y-117698546D01* +X134663824Y-117689024D01* +X134656169Y-117678702D01* +X134649562Y-117667679D01* +X134644068Y-117656062D01* +X134639739Y-117643963D01* +X134636616Y-117631497D01* +X134634731Y-117618785D01* +X134634100Y-117605950D01* +X134634100Y-117344050D01* +X134634731Y-117331215D01* +X134636616Y-117318503D01* +X134639739Y-117306037D01* +X134644068Y-117293938D01* +X134649562Y-117282321D01* +X134656169Y-117271298D01* +X134663824Y-117260976D01* +X134672454Y-117251454D01* +X134681976Y-117242824D01* +X134692298Y-117235169D01* +X134703321Y-117228562D01* +X134714938Y-117223068D01* +X134727037Y-117218739D01* +X134739503Y-117215616D01* +X134752215Y-117213731D01* +X134765050Y-117213100D01* +X136376950Y-117213100D01* +X136389785Y-117213731D01* +G37* +G36* +X136389785Y-122293731D02* +G01* +X136402497Y-122295616D01* +X136414963Y-122298739D01* +X136427062Y-122303068D01* +X136438679Y-122308562D01* +X136449702Y-122315169D01* +X136460024Y-122322824D01* +X136469546Y-122331454D01* +X136478176Y-122340976D01* +X136485831Y-122351298D01* +X136492438Y-122362321D01* +X136497932Y-122373938D01* +X136502261Y-122386037D01* +X136505384Y-122398503D01* +X136507269Y-122411215D01* +X136507900Y-122424050D01* +X136507900Y-122685950D01* +X136507269Y-122698785D01* +X136505384Y-122711497D01* +X136502261Y-122723963D01* +X136497932Y-122736062D01* +X136492438Y-122747679D01* +X136485831Y-122758702D01* +X136478176Y-122769024D01* +X136469546Y-122778546D01* +X136460024Y-122787176D01* +X136449702Y-122794831D01* +X136438679Y-122801438D01* +X136427062Y-122806932D01* +X136414963Y-122811261D01* +X136402497Y-122814384D01* +X136389785Y-122816269D01* +X136376950Y-122816900D01* +X134765050Y-122816900D01* +X134752215Y-122816269D01* +X134739503Y-122814384D01* +X134727037Y-122811261D01* +X134714938Y-122806932D01* +X134703321Y-122801438D01* +X134692298Y-122794831D01* +X134681976Y-122787176D01* +X134672454Y-122778546D01* +X134663824Y-122769024D01* +X134656169Y-122758702D01* +X134649562Y-122747679D01* +X134644068Y-122736062D01* +X134639739Y-122723963D01* +X134636616Y-122711497D01* +X134634731Y-122698785D01* +X134634100Y-122685950D01* +X134634100Y-122424050D01* +X134634731Y-122411215D01* +X134636616Y-122398503D01* +X134639739Y-122386037D01* +X134644068Y-122373938D01* +X134649562Y-122362321D01* +X134656169Y-122351298D01* +X134663824Y-122340976D01* +X134672454Y-122331454D01* +X134681976Y-122322824D01* +X134692298Y-122315169D01* +X134703321Y-122308562D01* +X134714938Y-122303068D01* +X134727037Y-122298739D01* +X134739503Y-122295616D01* +X134752215Y-122293731D01* +X134765050Y-122293100D01* +X136376950Y-122293100D01* +X136389785Y-122293731D01* +G37* +G36* +X136389785Y-115943731D02* +G01* +X136402497Y-115945616D01* +X136414963Y-115948739D01* +X136427062Y-115953068D01* +X136438679Y-115958562D01* +X136449702Y-115965169D01* +X136460024Y-115972824D01* +X136469546Y-115981454D01* +X136478176Y-115990976D01* +X136485831Y-116001298D01* +X136492438Y-116012321D01* +X136497932Y-116023938D01* +X136502261Y-116036037D01* +X136505384Y-116048503D01* +X136507269Y-116061215D01* +X136507900Y-116074050D01* +X136507900Y-116335950D01* +X136507269Y-116348785D01* +X136505384Y-116361497D01* +X136502261Y-116373963D01* +X136497932Y-116386062D01* +X136492438Y-116397679D01* +X136485831Y-116408702D01* +X136478176Y-116419024D01* +X136469546Y-116428546D01* +X136460024Y-116437176D01* +X136449702Y-116444831D01* +X136438679Y-116451438D01* +X136427062Y-116456932D01* +X136414963Y-116461261D01* +X136402497Y-116464384D01* +X136389785Y-116466269D01* +X136376950Y-116466900D01* +X134765050Y-116466900D01* +X134752215Y-116466269D01* +X134739503Y-116464384D01* +X134727037Y-116461261D01* +X134714938Y-116456932D01* +X134703321Y-116451438D01* +X134692298Y-116444831D01* +X134681976Y-116437176D01* +X134672454Y-116428546D01* +X134663824Y-116419024D01* +X134656169Y-116408702D01* +X134649562Y-116397679D01* +X134644068Y-116386062D01* +X134639739Y-116373963D01* +X134636616Y-116361497D01* +X134634731Y-116348785D01* +X134634100Y-116335950D01* +X134634100Y-116074050D01* +X134634731Y-116061215D01* +X134636616Y-116048503D01* +X134639739Y-116036037D01* +X134644068Y-116023938D01* +X134649562Y-116012321D01* +X134656169Y-116001298D01* +X134663824Y-115990976D01* +X134672454Y-115981454D01* +X134681976Y-115972824D01* +X134692298Y-115965169D01* +X134703321Y-115958562D01* +X134714938Y-115953068D01* +X134727037Y-115948739D01* +X134739503Y-115945616D01* +X134752215Y-115943731D01* +X134765050Y-115943100D01* +X136376950Y-115943100D01* +X136389785Y-115943731D01* +G37* +G36* +X131439785Y-121023731D02* +G01* +X131452497Y-121025616D01* +X131464963Y-121028739D01* +X131477062Y-121033068D01* +X131488679Y-121038562D01* +X131499702Y-121045169D01* +X131510024Y-121052824D01* +X131519546Y-121061454D01* +X131528176Y-121070976D01* +X131535831Y-121081298D01* +X131542438Y-121092321D01* +X131547932Y-121103938D01* +X131552261Y-121116037D01* +X131555384Y-121128503D01* +X131557269Y-121141215D01* +X131557900Y-121154050D01* +X131557900Y-121415950D01* +X131557269Y-121428785D01* +X131555384Y-121441497D01* +X131552261Y-121453963D01* +X131547932Y-121466062D01* +X131542438Y-121477679D01* +X131535831Y-121488702D01* +X131528176Y-121499024D01* +X131519546Y-121508546D01* +X131510024Y-121517176D01* +X131499702Y-121524831D01* +X131488679Y-121531438D01* +X131477062Y-121536932D01* +X131464963Y-121541261D01* +X131452497Y-121544384D01* +X131439785Y-121546269D01* +X131426950Y-121546900D01* +X129815050Y-121546900D01* +X129802215Y-121546269D01* +X129789503Y-121544384D01* +X129777037Y-121541261D01* +X129764938Y-121536932D01* +X129753321Y-121531438D01* +X129742298Y-121524831D01* +X129731976Y-121517176D01* +X129722454Y-121508546D01* +X129713824Y-121499024D01* +X129706169Y-121488702D01* +X129699562Y-121477679D01* +X129694068Y-121466062D01* +X129689739Y-121453963D01* +X129686616Y-121441497D01* +X129684731Y-121428785D01* +X129684100Y-121415950D01* +X129684100Y-121154050D01* +X129684731Y-121141215D01* +X129686616Y-121128503D01* +X129689739Y-121116037D01* +X129694068Y-121103938D01* +X129699562Y-121092321D01* +X129706169Y-121081298D01* +X129713824Y-121070976D01* +X129722454Y-121061454D01* +X129731976Y-121052824D01* +X129742298Y-121045169D01* +X129753321Y-121038562D01* +X129764938Y-121033068D01* +X129777037Y-121028739D01* +X129789503Y-121025616D01* +X129802215Y-121023731D01* +X129815050Y-121023100D01* +X131426950Y-121023100D01* +X131439785Y-121023731D01* +G37* +G36* +X136389785Y-121023731D02* +G01* +X136402497Y-121025616D01* +X136414963Y-121028739D01* +X136427062Y-121033068D01* +X136438679Y-121038562D01* +X136449702Y-121045169D01* +X136460024Y-121052824D01* +X136469546Y-121061454D01* +X136478176Y-121070976D01* +X136485831Y-121081298D01* +X136492438Y-121092321D01* +X136497932Y-121103938D01* +X136502261Y-121116037D01* +X136505384Y-121128503D01* +X136507269Y-121141215D01* +X136507900Y-121154050D01* +X136507900Y-121415950D01* +X136507269Y-121428785D01* +X136505384Y-121441497D01* +X136502261Y-121453963D01* +X136497932Y-121466062D01* +X136492438Y-121477679D01* +X136485831Y-121488702D01* +X136478176Y-121499024D01* +X136469546Y-121508546D01* +X136460024Y-121517176D01* +X136449702Y-121524831D01* +X136438679Y-121531438D01* +X136427062Y-121536932D01* +X136414963Y-121541261D01* +X136402497Y-121544384D01* +X136389785Y-121546269D01* +X136376950Y-121546900D01* +X134765050Y-121546900D01* +X134752215Y-121546269D01* +X134739503Y-121544384D01* +X134727037Y-121541261D01* +X134714938Y-121536932D01* +X134703321Y-121531438D01* +X134692298Y-121524831D01* +X134681976Y-121517176D01* +X134672454Y-121508546D01* +X134663824Y-121499024D01* +X134656169Y-121488702D01* +X134649562Y-121477679D01* +X134644068Y-121466062D01* +X134639739Y-121453963D01* +X134636616Y-121441497D01* +X134634731Y-121428785D01* +X134634100Y-121415950D01* +X134634100Y-121154050D01* +X134634731Y-121141215D01* +X134636616Y-121128503D01* +X134639739Y-121116037D01* +X134644068Y-121103938D01* +X134649562Y-121092321D01* +X134656169Y-121081298D01* +X134663824Y-121070976D01* +X134672454Y-121061454D01* +X134681976Y-121052824D01* +X134692298Y-121045169D01* +X134703321Y-121038562D01* +X134714938Y-121033068D01* +X134727037Y-121028739D01* +X134739503Y-121025616D01* +X134752215Y-121023731D01* +X134765050Y-121023100D01* +X136376950Y-121023100D01* +X136389785Y-121023731D01* +G37* +G36* +X136389785Y-119753731D02* +G01* +X136402497Y-119755616D01* +X136414963Y-119758739D01* +X136427062Y-119763068D01* +X136438679Y-119768562D01* +X136449702Y-119775169D01* +X136460024Y-119782824D01* +X136469546Y-119791454D01* +X136478176Y-119800976D01* +X136485831Y-119811298D01* +X136492438Y-119822321D01* +X136497932Y-119833938D01* +X136502261Y-119846037D01* +X136505384Y-119858503D01* +X136507269Y-119871215D01* +X136507900Y-119884050D01* +X136507900Y-120145950D01* +X136507269Y-120158785D01* +X136505384Y-120171497D01* +X136502261Y-120183963D01* +X136497932Y-120196062D01* +X136492438Y-120207679D01* +X136485831Y-120218702D01* +X136478176Y-120229024D01* +X136469546Y-120238546D01* +X136460024Y-120247176D01* +X136449702Y-120254831D01* +X136438679Y-120261438D01* +X136427062Y-120266932D01* +X136414963Y-120271261D01* +X136402497Y-120274384D01* +X136389785Y-120276269D01* +X136376950Y-120276900D01* +X134765050Y-120276900D01* +X134752215Y-120276269D01* +X134739503Y-120274384D01* +X134727037Y-120271261D01* +X134714938Y-120266932D01* +X134703321Y-120261438D01* +X134692298Y-120254831D01* +X134681976Y-120247176D01* +X134672454Y-120238546D01* +X134663824Y-120229024D01* +X134656169Y-120218702D01* +X134649562Y-120207679D01* +X134644068Y-120196062D01* +X134639739Y-120183963D01* +X134636616Y-120171497D01* +X134634731Y-120158785D01* +X134634100Y-120145950D01* +X134634100Y-119884050D01* +X134634731Y-119871215D01* +X134636616Y-119858503D01* +X134639739Y-119846037D01* +X134644068Y-119833938D01* +X134649562Y-119822321D01* +X134656169Y-119811298D01* +X134663824Y-119800976D01* +X134672454Y-119791454D01* +X134681976Y-119782824D01* +X134692298Y-119775169D01* +X134703321Y-119768562D01* +X134714938Y-119763068D01* +X134727037Y-119758739D01* +X134739503Y-119755616D01* +X134752215Y-119753731D01* +X134765050Y-119753100D01* +X136376950Y-119753100D01* +X136389785Y-119753731D01* +G37* +G36* +X136389785Y-118483731D02* +G01* +X136402497Y-118485616D01* +X136414963Y-118488739D01* +X136427062Y-118493068D01* +X136438679Y-118498562D01* +X136449702Y-118505169D01* +X136460024Y-118512824D01* +X136469546Y-118521454D01* +X136478176Y-118530976D01* +X136485831Y-118541298D01* +X136492438Y-118552321D01* +X136497932Y-118563938D01* +X136502261Y-118576037D01* +X136505384Y-118588503D01* +X136507269Y-118601215D01* +X136507900Y-118614050D01* +X136507900Y-118875950D01* +X136507269Y-118888785D01* +X136505384Y-118901497D01* +X136502261Y-118913963D01* +X136497932Y-118926062D01* +X136492438Y-118937679D01* +X136485831Y-118948702D01* +X136478176Y-118959024D01* +X136469546Y-118968546D01* +X136460024Y-118977176D01* +X136449702Y-118984831D01* +X136438679Y-118991438D01* +X136427062Y-118996932D01* +X136414963Y-119001261D01* +X136402497Y-119004384D01* +X136389785Y-119006269D01* +X136376950Y-119006900D01* +X134765050Y-119006900D01* +X134752215Y-119006269D01* +X134739503Y-119004384D01* +X134727037Y-119001261D01* +X134714938Y-118996932D01* +X134703321Y-118991438D01* +X134692298Y-118984831D01* +X134681976Y-118977176D01* +X134672454Y-118968546D01* +X134663824Y-118959024D01* +X134656169Y-118948702D01* +X134649562Y-118937679D01* +X134644068Y-118926062D01* +X134639739Y-118913963D01* +X134636616Y-118901497D01* +X134634731Y-118888785D01* +X134634100Y-118875950D01* +X134634100Y-118614050D01* +X134634731Y-118601215D01* +X134636616Y-118588503D01* +X134639739Y-118576037D01* +X134644068Y-118563938D01* +X134649562Y-118552321D01* +X134656169Y-118541298D01* +X134663824Y-118530976D01* +X134672454Y-118521454D01* +X134681976Y-118512824D01* +X134692298Y-118505169D01* +X134703321Y-118498562D01* +X134714938Y-118493068D01* +X134727037Y-118488739D01* +X134739503Y-118485616D01* +X134752215Y-118483731D01* +X134765050Y-118483100D01* +X136376950Y-118483100D01* +X136389785Y-118483731D01* +G37* +G36* +X131439785Y-115943731D02* +G01* +X131452497Y-115945616D01* +X131464963Y-115948739D01* +X131477062Y-115953068D01* +X131488679Y-115958562D01* +X131499702Y-115965169D01* +X131510024Y-115972824D01* +X131519546Y-115981454D01* +X131528176Y-115990976D01* +X131535831Y-116001298D01* +X131542438Y-116012321D01* +X131547932Y-116023938D01* +X131552261Y-116036037D01* +X131555384Y-116048503D01* +X131557269Y-116061215D01* +X131557900Y-116074050D01* +X131557900Y-116335950D01* +X131557269Y-116348785D01* +X131555384Y-116361497D01* +X131552261Y-116373963D01* +X131547932Y-116386062D01* +X131542438Y-116397679D01* +X131535831Y-116408702D01* +X131528176Y-116419024D01* +X131519546Y-116428546D01* +X131510024Y-116437176D01* +X131499702Y-116444831D01* +X131488679Y-116451438D01* +X131477062Y-116456932D01* +X131464963Y-116461261D01* +X131452497Y-116464384D01* +X131439785Y-116466269D01* +X131426950Y-116466900D01* +X129815050Y-116466900D01* +X129802215Y-116466269D01* +X129789503Y-116464384D01* +X129777037Y-116461261D01* +X129764938Y-116456932D01* +X129753321Y-116451438D01* +X129742298Y-116444831D01* +X129731976Y-116437176D01* +X129722454Y-116428546D01* +X129713824Y-116419024D01* +X129706169Y-116408702D01* +X129699562Y-116397679D01* +X129694068Y-116386062D01* +X129689739Y-116373963D01* +X129686616Y-116361497D01* +X129684731Y-116348785D01* +X129684100Y-116335950D01* +X129684100Y-116074050D01* +X129684731Y-116061215D01* +X129686616Y-116048503D01* +X129689739Y-116036037D01* +X129694068Y-116023938D01* +X129699562Y-116012321D01* +X129706169Y-116001298D01* +X129713824Y-115990976D01* +X129722454Y-115981454D01* +X129731976Y-115972824D01* +X129742298Y-115965169D01* +X129753321Y-115958562D01* +X129764938Y-115953068D01* +X129777037Y-115948739D01* +X129789503Y-115945616D01* +X129802215Y-115943731D01* +X129815050Y-115943100D01* +X131426950Y-115943100D01* +X131439785Y-115943731D01* +G37* +G36* +X131439785Y-114673731D02* +G01* +X131452497Y-114675616D01* +X131464963Y-114678739D01* +X131477062Y-114683068D01* +X131488679Y-114688562D01* +X131499702Y-114695169D01* +X131510024Y-114702824D01* +X131519546Y-114711454D01* +X131528176Y-114720976D01* +X131535831Y-114731298D01* +X131542438Y-114742321D01* +X131547932Y-114753938D01* +X131552261Y-114766037D01* +X131555384Y-114778503D01* +X131557269Y-114791215D01* +X131557900Y-114804050D01* +X131557900Y-115065950D01* +X131557269Y-115078785D01* +X131555384Y-115091497D01* +X131552261Y-115103963D01* +X131547932Y-115116062D01* +X131542438Y-115127679D01* +X131535831Y-115138702D01* +X131528176Y-115149024D01* +X131519546Y-115158546D01* +X131510024Y-115167176D01* +X131499702Y-115174831D01* +X131488679Y-115181438D01* +X131477062Y-115186932D01* +X131464963Y-115191261D01* +X131452497Y-115194384D01* +X131439785Y-115196269D01* +X131426950Y-115196900D01* +X129815050Y-115196900D01* +X129802215Y-115196269D01* +X129789503Y-115194384D01* +X129777037Y-115191261D01* +X129764938Y-115186932D01* +X129753321Y-115181438D01* +X129742298Y-115174831D01* +X129731976Y-115167176D01* +X129722454Y-115158546D01* +X129713824Y-115149024D01* +X129706169Y-115138702D01* +X129699562Y-115127679D01* +X129694068Y-115116062D01* +X129689739Y-115103963D01* +X129686616Y-115091497D01* +X129684731Y-115078785D01* +X129684100Y-115065950D01* +X129684100Y-114804050D01* +X129684731Y-114791215D01* +X129686616Y-114778503D01* +X129689739Y-114766037D01* +X129694068Y-114753938D01* +X129699562Y-114742321D01* +X129706169Y-114731298D01* +X129713824Y-114720976D01* +X129722454Y-114711454D01* +X129731976Y-114702824D01* +X129742298Y-114695169D01* +X129753321Y-114688562D01* +X129764938Y-114683068D01* +X129777037Y-114678739D01* +X129789503Y-114675616D01* +X129802215Y-114673731D01* +X129815050Y-114673100D01* +X131426950Y-114673100D01* +X131439785Y-114673731D01* +G37* +G36* +X131439785Y-118483731D02* +G01* +X131452497Y-118485616D01* +X131464963Y-118488739D01* +X131477062Y-118493068D01* +X131488679Y-118498562D01* +X131499702Y-118505169D01* +X131510024Y-118512824D01* +X131519546Y-118521454D01* +X131528176Y-118530976D01* +X131535831Y-118541298D01* +X131542438Y-118552321D01* +X131547932Y-118563938D01* +X131552261Y-118576037D01* +X131555384Y-118588503D01* +X131557269Y-118601215D01* +X131557900Y-118614050D01* +X131557900Y-118875950D01* +X131557269Y-118888785D01* +X131555384Y-118901497D01* +X131552261Y-118913963D01* +X131547932Y-118926062D01* +X131542438Y-118937679D01* +X131535831Y-118948702D01* +X131528176Y-118959024D01* +X131519546Y-118968546D01* +X131510024Y-118977176D01* +X131499702Y-118984831D01* +X131488679Y-118991438D01* +X131477062Y-118996932D01* +X131464963Y-119001261D01* +X131452497Y-119004384D01* +X131439785Y-119006269D01* +X131426950Y-119006900D01* +X129815050Y-119006900D01* +X129802215Y-119006269D01* +X129789503Y-119004384D01* +X129777037Y-119001261D01* +X129764938Y-118996932D01* +X129753321Y-118991438D01* +X129742298Y-118984831D01* +X129731976Y-118977176D01* +X129722454Y-118968546D01* +X129713824Y-118959024D01* +X129706169Y-118948702D01* +X129699562Y-118937679D01* +X129694068Y-118926062D01* +X129689739Y-118913963D01* +X129686616Y-118901497D01* +X129684731Y-118888785D01* +X129684100Y-118875950D01* +X129684100Y-118614050D01* +X129684731Y-118601215D01* +X129686616Y-118588503D01* +X129689739Y-118576037D01* +X129694068Y-118563938D01* +X129699562Y-118552321D01* +X129706169Y-118541298D01* +X129713824Y-118530976D01* +X129722454Y-118521454D01* +X129731976Y-118512824D01* +X129742298Y-118505169D01* +X129753321Y-118498562D01* +X129764938Y-118493068D01* +X129777037Y-118488739D01* +X129789503Y-118485616D01* +X129802215Y-118483731D01* +X129815050Y-118483100D01* +X131426950Y-118483100D01* +X131439785Y-118483731D01* +G37* +G36* +X131439785Y-117213731D02* +G01* +X131452497Y-117215616D01* +X131464963Y-117218739D01* +X131477062Y-117223068D01* +X131488679Y-117228562D01* +X131499702Y-117235169D01* +X131510024Y-117242824D01* +X131519546Y-117251454D01* +X131528176Y-117260976D01* +X131535831Y-117271298D01* +X131542438Y-117282321D01* +X131547932Y-117293938D01* +X131552261Y-117306037D01* +X131555384Y-117318503D01* +X131557269Y-117331215D01* +X131557900Y-117344050D01* +X131557900Y-117605950D01* +X131557269Y-117618785D01* +X131555384Y-117631497D01* +X131552261Y-117643963D01* +X131547932Y-117656062D01* +X131542438Y-117667679D01* +X131535831Y-117678702D01* +X131528176Y-117689024D01* +X131519546Y-117698546D01* +X131510024Y-117707176D01* +X131499702Y-117714831D01* +X131488679Y-117721438D01* +X131477062Y-117726932D01* +X131464963Y-117731261D01* +X131452497Y-117734384D01* +X131439785Y-117736269D01* +X131426950Y-117736900D01* +X129815050Y-117736900D01* +X129802215Y-117736269D01* +X129789503Y-117734384D01* +X129777037Y-117731261D01* +X129764938Y-117726932D01* +X129753321Y-117721438D01* +X129742298Y-117714831D01* +X129731976Y-117707176D01* +X129722454Y-117698546D01* +X129713824Y-117689024D01* +X129706169Y-117678702D01* +X129699562Y-117667679D01* +X129694068Y-117656062D01* +X129689739Y-117643963D01* +X129686616Y-117631497D01* +X129684731Y-117618785D01* +X129684100Y-117605950D01* +X129684100Y-117344050D01* +X129684731Y-117331215D01* +X129686616Y-117318503D01* +X129689739Y-117306037D01* +X129694068Y-117293938D01* +X129699562Y-117282321D01* +X129706169Y-117271298D01* +X129713824Y-117260976D01* +X129722454Y-117251454D01* +X129731976Y-117242824D01* +X129742298Y-117235169D01* +X129753321Y-117228562D01* +X129764938Y-117223068D01* +X129777037Y-117218739D01* +X129789503Y-117215616D01* +X129802215Y-117213731D01* +X129815050Y-117213100D01* +X131426950Y-117213100D01* +X131439785Y-117213731D01* +G37* +G36* +X131439785Y-119753731D02* +G01* +X131452497Y-119755616D01* +X131464963Y-119758739D01* +X131477062Y-119763068D01* +X131488679Y-119768562D01* +X131499702Y-119775169D01* +X131510024Y-119782824D01* +X131519546Y-119791454D01* +X131528176Y-119800976D01* +X131535831Y-119811298D01* +X131542438Y-119822321D01* +X131547932Y-119833938D01* +X131552261Y-119846037D01* +X131555384Y-119858503D01* +X131557269Y-119871215D01* +X131557900Y-119884050D01* +X131557900Y-120145950D01* +X131557269Y-120158785D01* +X131555384Y-120171497D01* +X131552261Y-120183963D01* +X131547932Y-120196062D01* +X131542438Y-120207679D01* +X131535831Y-120218702D01* +X131528176Y-120229024D01* +X131519546Y-120238546D01* +X131510024Y-120247176D01* +X131499702Y-120254831D01* +X131488679Y-120261438D01* +X131477062Y-120266932D01* +X131464963Y-120271261D01* +X131452497Y-120274384D01* +X131439785Y-120276269D01* +X131426950Y-120276900D01* +X129815050Y-120276900D01* +X129802215Y-120276269D01* +X129789503Y-120274384D01* +X129777037Y-120271261D01* +X129764938Y-120266932D01* +X129753321Y-120261438D01* +X129742298Y-120254831D01* +X129731976Y-120247176D01* +X129722454Y-120238546D01* +X129713824Y-120229024D01* +X129706169Y-120218702D01* +X129699562Y-120207679D01* +X129694068Y-120196062D01* +X129689739Y-120183963D01* +X129686616Y-120171497D01* +X129684731Y-120158785D01* +X129684100Y-120145950D01* +X129684100Y-119884050D01* +X129684731Y-119871215D01* +X129686616Y-119858503D01* +X129689739Y-119846037D01* +X129694068Y-119833938D01* +X129699562Y-119822321D01* +X129706169Y-119811298D01* +X129713824Y-119800976D01* +X129722454Y-119791454D01* +X129731976Y-119782824D01* +X129742298Y-119775169D01* +X129753321Y-119768562D01* +X129764938Y-119763068D01* +X129777037Y-119758739D01* +X129789503Y-119755616D01* +X129802215Y-119753731D01* +X129815050Y-119753100D01* +X131426950Y-119753100D01* +X131439785Y-119753731D01* +G37* +G36* +X124032411Y-128879032D02* +G01* +X124051190Y-128881817D01* +X124069606Y-128886430D01* +X124087480Y-128892826D01* +X124104642Y-128900942D01* +X124120925Y-128910702D01* +X124136173Y-128922011D01* +X124150240Y-128934760D01* +X124162989Y-128948827D01* +X124174298Y-128964075D01* +X124184058Y-128980358D01* +X124192174Y-128997520D01* +X124198570Y-129015394D01* +X124203183Y-129033810D01* +X124205968Y-129052589D01* +X124206900Y-129071550D01* +X124206900Y-130008450D01* +X124205968Y-130027411D01* +X124203183Y-130046190D01* +X124198570Y-130064606D01* +X124192174Y-130082480D01* +X124184058Y-130099642D01* +X124174298Y-130115925D01* +X124162989Y-130131173D01* +X124150240Y-130145240D01* +X124136173Y-130157989D01* +X124120925Y-130169298D01* +X124104642Y-130179058D01* +X124087480Y-130187174D01* +X124069606Y-130193570D01* +X124051190Y-130198183D01* +X124032411Y-130200968D01* +X124013450Y-130201900D01* +X123626550Y-130201900D01* +X123607589Y-130200968D01* +X123588810Y-130198183D01* +X123570394Y-130193570D01* +X123552520Y-130187174D01* +X123535358Y-130179058D01* +X123519075Y-130169298D01* +X123503827Y-130157989D01* +X123489760Y-130145240D01* +X123477011Y-130131173D01* +X123465702Y-130115925D01* +X123455942Y-130099642D01* +X123447826Y-130082480D01* +X123441430Y-130064606D01* +X123436817Y-130046190D01* +X123434032Y-130027411D01* +X123433100Y-130008450D01* +X123433100Y-129071550D01* +X123434032Y-129052589D01* +X123436817Y-129033810D01* +X123441430Y-129015394D01* +X123447826Y-128997520D01* +X123455942Y-128980358D01* +X123465702Y-128964075D01* +X123477011Y-128948827D01* +X123489760Y-128934760D01* +X123503827Y-128922011D01* +X123519075Y-128910702D01* +X123535358Y-128900942D01* +X123552520Y-128892826D01* +X123570394Y-128886430D01* +X123588810Y-128881817D01* +X123607589Y-128879032D01* +X123626550Y-128878100D01* +X124013450Y-128878100D01* +X124032411Y-128879032D01* +G37* +G36* +X122132411Y-128879032D02* +G01* +X122151190Y-128881817D01* +X122169606Y-128886430D01* +X122187480Y-128892826D01* +X122204642Y-128900942D01* +X122220925Y-128910702D01* +X122236173Y-128922011D01* +X122250240Y-128934760D01* +X122262989Y-128948827D01* +X122274298Y-128964075D01* +X122284058Y-128980358D01* +X122292174Y-128997520D01* +X122298570Y-129015394D01* +X122303183Y-129033810D01* +X122305968Y-129052589D01* +X122306900Y-129071550D01* +X122306900Y-130008450D01* +X122305968Y-130027411D01* +X122303183Y-130046190D01* +X122298570Y-130064606D01* +X122292174Y-130082480D01* +X122284058Y-130099642D01* +X122274298Y-130115925D01* +X122262989Y-130131173D01* +X122250240Y-130145240D01* +X122236173Y-130157989D01* +X122220925Y-130169298D01* +X122204642Y-130179058D01* +X122187480Y-130187174D01* +X122169606Y-130193570D01* +X122151190Y-130198183D01* +X122132411Y-130200968D01* +X122113450Y-130201900D01* +X121726550Y-130201900D01* +X121707589Y-130200968D01* +X121688810Y-130198183D01* +X121670394Y-130193570D01* +X121652520Y-130187174D01* +X121635358Y-130179058D01* +X121619075Y-130169298D01* +X121603827Y-130157989D01* +X121589760Y-130145240D01* +X121577011Y-130131173D01* +X121565702Y-130115925D01* +X121555942Y-130099642D01* +X121547826Y-130082480D01* +X121541430Y-130064606D01* +X121536817Y-130046190D01* +X121534032Y-130027411D01* +X121533100Y-130008450D01* +X121533100Y-129071550D01* +X121534032Y-129052589D01* +X121536817Y-129033810D01* +X121541430Y-129015394D01* +X121547826Y-128997520D01* +X121555942Y-128980358D01* +X121565702Y-128964075D01* +X121577011Y-128948827D01* +X121589760Y-128934760D01* +X121603827Y-128922011D01* +X121619075Y-128910702D01* +X121635358Y-128900942D01* +X121652520Y-128892826D01* +X121670394Y-128886430D01* +X121688810Y-128881817D01* +X121707589Y-128879032D01* +X121726550Y-128878100D01* +X122113450Y-128878100D01* +X122132411Y-128879032D01* +G37* +G36* +X79309312Y-119529272D02* +G01* +X79332945Y-119532778D01* +X79356120Y-119538583D01* +X79378614Y-119546632D01* +X79400212Y-119556846D01* +X79420704Y-119569129D01* +X79439893Y-119583361D01* +X79457595Y-119599405D01* +X79473639Y-119617107D01* +X79487871Y-119636296D01* +X79500154Y-119656788D01* +X79510368Y-119678386D01* +X79518417Y-119700880D01* +X79524222Y-119724055D01* +X79527728Y-119747688D01* +X79528900Y-119771550D01* +X79528900Y-120258450D01* +X79527728Y-120282312D01* +X79524222Y-120305945D01* +X79518417Y-120329120D01* +X79510368Y-120351614D01* +X79500154Y-120373212D01* +X79487871Y-120393704D01* +X79473639Y-120412893D01* +X79457595Y-120430595D01* +X79439893Y-120446639D01* +X79420704Y-120460871D01* +X79400212Y-120473154D01* +X79378614Y-120483368D01* +X79356120Y-120491417D01* +X79332945Y-120497222D01* +X79309312Y-120500728D01* +X79285450Y-120501900D01* +X78448550Y-120501900D01* +X78424688Y-120500728D01* +X78401055Y-120497222D01* +X78377880Y-120491417D01* +X78355386Y-120483368D01* +X78333788Y-120473154D01* +X78313296Y-120460871D01* +X78294107Y-120446639D01* +X78276405Y-120430595D01* +X78260361Y-120412893D01* +X78246129Y-120393704D01* +X78233846Y-120373212D01* +X78223632Y-120351614D01* +X78215583Y-120329120D01* +X78209778Y-120305945D01* +X78206272Y-120282312D01* +X78205100Y-120258450D01* +X78205100Y-119771550D01* +X78206272Y-119747688D01* +X78209778Y-119724055D01* +X78215583Y-119700880D01* +X78223632Y-119678386D01* +X78233846Y-119656788D01* +X78246129Y-119636296D01* +X78260361Y-119617107D01* +X78276405Y-119599405D01* +X78294107Y-119583361D01* +X78313296Y-119569129D01* +X78333788Y-119556846D01* +X78355386Y-119546632D01* +X78377880Y-119538583D01* +X78401055Y-119532778D01* +X78424688Y-119529272D01* +X78448550Y-119528100D01* +X79285450Y-119528100D01* +X79309312Y-119529272D01* +G37* +G36* +X79309312Y-117829272D02* +G01* +X79332945Y-117832778D01* +X79356120Y-117838583D01* +X79378614Y-117846632D01* +X79400212Y-117856846D01* +X79420704Y-117869129D01* +X79439893Y-117883361D01* +X79457595Y-117899405D01* +X79473639Y-117917107D01* +X79487871Y-117936296D01* +X79500154Y-117956788D01* +X79510368Y-117978386D01* +X79518417Y-118000880D01* +X79524222Y-118024055D01* +X79527728Y-118047688D01* +X79528900Y-118071550D01* +X79528900Y-118558450D01* +X79527728Y-118582312D01* +X79524222Y-118605945D01* +X79518417Y-118629120D01* +X79510368Y-118651614D01* +X79500154Y-118673212D01* +X79487871Y-118693704D01* +X79473639Y-118712893D01* +X79457595Y-118730595D01* +X79439893Y-118746639D01* +X79420704Y-118760871D01* +X79400212Y-118773154D01* +X79378614Y-118783368D01* +X79356120Y-118791417D01* +X79332945Y-118797222D01* +X79309312Y-118800728D01* +X79285450Y-118801900D01* +X78448550Y-118801900D01* +X78424688Y-118800728D01* +X78401055Y-118797222D01* +X78377880Y-118791417D01* +X78355386Y-118783368D01* +X78333788Y-118773154D01* +X78313296Y-118760871D01* +X78294107Y-118746639D01* +X78276405Y-118730595D01* +X78260361Y-118712893D01* +X78246129Y-118693704D01* +X78233846Y-118673212D01* +X78223632Y-118651614D01* +X78215583Y-118629120D01* +X78209778Y-118605945D01* +X78206272Y-118582312D01* +X78205100Y-118558450D01* +X78205100Y-118071550D01* +X78206272Y-118047688D01* +X78209778Y-118024055D01* +X78215583Y-118000880D01* +X78223632Y-117978386D01* +X78233846Y-117956788D01* +X78246129Y-117936296D01* +X78260361Y-117917107D01* +X78276405Y-117899405D01* +X78294107Y-117883361D01* +X78313296Y-117869129D01* +X78333788Y-117856846D01* +X78355386Y-117846632D01* +X78377880Y-117838583D01* +X78401055Y-117832778D01* +X78424688Y-117829272D01* +X78448550Y-117828100D01* +X79285450Y-117828100D01* +X79309312Y-117829272D01* +G37* +M02* diff --git a/gerber/Voyager128-F_SilkS.gto b/gerber/Voyager128-F_SilkS.gto new file mode 100644 index 0000000..5903f51 --- /dev/null +++ b/gerber/Voyager128-F_SilkS.gto @@ -0,0 +1,10071 @@ +G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(5.1.5-0-10_14)* +G04 #@! TF.CreationDate,2020-04-11T03:56:32-04:00* +G04 #@! TF.ProjectId,Voyager128,566f7961-6765-4723-9132-382e6b696361,rev?* +G04 #@! TF.SameCoordinates,Original* +G04 #@! TF.FileFunction,Legend,Top* +G04 #@! TF.FilePolarity,Positive* +%FSLAX46Y46*% +G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)* +G04 Created by KiCad (PCBNEW (5.1.5-0-10_14)) date 2020-04-11 03:56:32* +%MOMM*% +%LPD*% +G04 APERTURE LIST* +%ADD10C,0.225000*% +%ADD11C,0.300000*% +%ADD12C,0.152400*% +%ADD13C,0.120000*% +%ADD14C,0.203200*% +%ADD15C,0.100000*% +%ADD16C,2.000000*% +%ADD17C,2.152400*% +G04 APERTURE END LIST* +D10* +X70256000Y-121932000D02* +X70113142Y-121860571D01* +X69898857Y-121860571D01* +X69684571Y-121932000D01* +X69541714Y-122074857D01* +X69470285Y-122217714D01* +X69398857Y-122503428D01* +X69398857Y-122717714D01* +X69470285Y-123003428D01* +X69541714Y-123146285D01* +X69684571Y-123289142D01* +X69898857Y-123360571D01* +X70041714Y-123360571D01* +X70256000Y-123289142D01* +X70327428Y-123217714D01* +X70327428Y-122717714D01* +X70041714Y-122717714D01* +X71613142Y-123360571D02* +X71613142Y-122574857D01* +X71541714Y-122432000D01* +X71398857Y-122360571D01* +X71113142Y-122360571D01* +X70970285Y-122432000D01* +X71613142Y-123289142D02* +X71470285Y-123360571D01* +X71113142Y-123360571D01* +X70970285Y-123289142D01* +X70898857Y-123146285D01* +X70898857Y-123003428D01* +X70970285Y-122860571D01* +X71113142Y-122789142D01* +X71470285Y-122789142D01* +X71613142Y-122717714D01* +X72327428Y-123360571D02* +X72327428Y-122360571D01* +X72327428Y-122646285D02* +X72398857Y-122503428D01* +X72470285Y-122432000D01* +X72613142Y-122360571D01* +X72756000Y-122360571D01* +X73256000Y-123360571D02* +X73256000Y-122360571D01* +X73256000Y-122646285D02* +X73327428Y-122503428D01* +X73398857Y-122432000D01* +X73541714Y-122360571D01* +X73684571Y-122360571D01* +X74756000Y-123289142D02* +X74613142Y-123360571D01* +X74327428Y-123360571D01* +X74184571Y-123289142D01* +X74113142Y-123146285D01* +X74113142Y-122574857D01* +X74184571Y-122432000D01* +X74327428Y-122360571D01* +X74613142Y-122360571D01* +X74756000Y-122432000D01* +X74827428Y-122574857D01* +X74827428Y-122717714D01* +X74113142Y-122860571D01* +X75256000Y-122360571D02* +X75827428Y-122360571D01* +X75470285Y-121860571D02* +X75470285Y-123146285D01* +X75541714Y-123289142D01* +X75684571Y-123360571D01* +X75827428Y-123360571D01* +X76113142Y-122360571D02* +X76684571Y-122360571D01* +X76327428Y-121860571D02* +X76327428Y-123146285D01* +X76398857Y-123289142D01* +X76541714Y-123360571D01* +X76684571Y-123360571D01* +X77256000Y-121860571D02* +X77256000Y-121932000D01* +X77184571Y-122074857D01* +X77113142Y-122146285D01* +X77827428Y-123289142D02* +X77970285Y-123360571D01* +X78256000Y-123360571D01* +X78398857Y-123289142D01* +X78470285Y-123146285D01* +X78470285Y-123074857D01* +X78398857Y-122932000D01* +X78256000Y-122860571D01* +X78041714Y-122860571D01* +X77898857Y-122789142D01* +X77827428Y-122646285D01* +X77827428Y-122574857D01* +X77898857Y-122432000D01* +X78041714Y-122360571D01* +X78256000Y-122360571D01* +X78398857Y-122432000D01* +X80113142Y-121860571D02* +X80470285Y-123360571D01* +X80756000Y-122289142D01* +X81041714Y-123360571D01* +X81398857Y-121860571D01* +X82184571Y-123360571D02* +X82041714Y-123289142D01* +X81970285Y-123217714D01* +X81898857Y-123074857D01* +X81898857Y-122646285D01* +X81970285Y-122503428D01* +X82041714Y-122432000D01* +X82184571Y-122360571D01* +X82398857Y-122360571D01* +X82541714Y-122432000D01* +X82613142Y-122503428D01* +X82684571Y-122646285D01* +X82684571Y-123074857D01* +X82613142Y-123217714D01* +X82541714Y-123289142D01* +X82398857Y-123360571D01* +X82184571Y-123360571D01* +X83327428Y-123360571D02* +X83327428Y-122360571D01* +X83327428Y-122646285D02* +X83398857Y-122503428D01* +X83470285Y-122432000D01* +X83613142Y-122360571D01* +X83756000Y-122360571D01* +X84256000Y-123360571D02* +X84256000Y-121860571D01* +X84398857Y-122789142D02* +X84827428Y-123360571D01* +X84827428Y-122360571D02* +X84256000Y-122932000D01* +X85398857Y-123289142D02* +X85541714Y-123360571D01* +X85827428Y-123360571D01* +X85970285Y-123289142D01* +X86041714Y-123146285D01* +X86041714Y-123074857D01* +X85970285Y-122932000D01* +X85827428Y-122860571D01* +X85613142Y-122860571D01* +X85470285Y-122789142D01* +X85398857Y-122646285D01* +X85398857Y-122574857D01* +X85470285Y-122432000D01* +X85613142Y-122360571D01* +X85827428Y-122360571D01* +X85970285Y-122432000D01* +X86684571Y-123360571D02* +X86684571Y-121860571D01* +X87327428Y-123360571D02* +X87327428Y-122574857D01* +X87256000Y-122432000D01* +X87113142Y-122360571D01* +X86898857Y-122360571D01* +X86756000Y-122432000D01* +X86684571Y-122503428D01* +X88256000Y-123360571D02* +X88113142Y-123289142D01* +X88041714Y-123217714D01* +X87970285Y-123074857D01* +X87970285Y-122646285D01* +X88041714Y-122503428D01* +X88113142Y-122432000D01* +X88256000Y-122360571D01* +X88470285Y-122360571D01* +X88613142Y-122432000D01* +X88684571Y-122503428D01* +X88756000Y-122646285D01* +X88756000Y-123074857D01* +X88684571Y-123217714D01* +X88613142Y-123289142D01* +X88470285Y-123360571D01* +X88256000Y-123360571D01* +X89398857Y-122360571D02* +X89398857Y-123860571D01* +X89398857Y-122432000D02* +X89541714Y-122360571D01* +X89827428Y-122360571D01* +X89970285Y-122432000D01* +X90041714Y-122503428D01* +X90113142Y-122646285D01* +X90113142Y-123074857D01* +X90041714Y-123217714D01* +X89970285Y-123289142D01* +X89827428Y-123360571D01* +X89541714Y-123360571D01* +X89398857Y-123289142D01* +D11* +X75474285Y-124460000D02* +X75329142Y-124387428D01* +X75111428Y-124387428D01* +X74893714Y-124460000D01* +X74748571Y-124605142D01* +X74676000Y-124750285D01* +X74603428Y-125040571D01* +X74603428Y-125258285D01* +X74676000Y-125548571D01* +X74748571Y-125693714D01* +X74893714Y-125838857D01* +X75111428Y-125911428D01* +X75256571Y-125911428D01* +X75474285Y-125838857D01* +X75546857Y-125766285D01* +X75546857Y-125258285D01* +X75256571Y-125258285D01* +X76054857Y-124387428D02* +X76417714Y-125911428D01* +X76708000Y-124822857D01* +X76998285Y-125911428D01* +X77361142Y-124387428D01* +X78994000Y-124895428D02* +X78994000Y-125911428D01* +X78631142Y-124314857D02* +X78268285Y-125403428D01* +X79211714Y-125403428D01* +X79719714Y-124532571D02* +X79792285Y-124460000D01* +X79937428Y-124387428D01* +X80300285Y-124387428D01* +X80445428Y-124460000D01* +X80518000Y-124532571D01* +X80590571Y-124677714D01* +X80590571Y-124822857D01* +X80518000Y-125040571D01* +X79647142Y-125911428D01* +X80590571Y-125911428D01* +X81534000Y-124387428D02* +X81679142Y-124387428D01* +X81824285Y-124460000D01* +X81896857Y-124532571D01* +X81969428Y-124677714D01* +X82042000Y-124968000D01* +X82042000Y-125330857D01* +X81969428Y-125621142D01* +X81896857Y-125766285D01* +X81824285Y-125838857D01* +X81679142Y-125911428D01* +X81534000Y-125911428D01* +X81388857Y-125838857D01* +X81316285Y-125766285D01* +X81243714Y-125621142D01* +X81171142Y-125330857D01* +X81171142Y-124968000D01* +X81243714Y-124677714D01* +X81316285Y-124532571D01* +X81388857Y-124460000D01* +X81534000Y-124387428D01* +X82912857Y-125040571D02* +X82767714Y-124968000D01* +X82695142Y-124895428D01* +X82622571Y-124750285D01* +X82622571Y-124677714D01* +X82695142Y-124532571D01* +X82767714Y-124460000D01* +X82912857Y-124387428D01* +X83203142Y-124387428D01* +X83348285Y-124460000D01* +X83420857Y-124532571D01* +X83493428Y-124677714D01* +X83493428Y-124750285D01* +X83420857Y-124895428D01* +X83348285Y-124968000D01* +X83203142Y-125040571D01* +X82912857Y-125040571D01* +X82767714Y-125113142D01* +X82695142Y-125185714D01* +X82622571Y-125330857D01* +X82622571Y-125621142D01* +X82695142Y-125766285D01* +X82767714Y-125838857D01* +X82912857Y-125911428D01* +X83203142Y-125911428D01* +X83348285Y-125838857D01* +X83420857Y-125766285D01* +X83493428Y-125621142D01* +X83493428Y-125330857D01* +X83420857Y-125185714D01* +X83348285Y-125113142D01* +X83203142Y-125040571D01* +X84074000Y-125476000D02* +X84799714Y-125476000D01* +X83928857Y-125911428D02* +X84436857Y-124387428D01* +X84944857Y-125911428D01* +D12* +X76643600Y-128689000D02* +X77456400Y-128689000D01* +X76643600Y-127089000D02* +X77456400Y-127089000D01* +X124131000Y-112920000D02* +X125586000Y-112920000D01* +X125586000Y-112920000D02* +X125586000Y-114375000D01* +X115391000Y-124570000D02* +X113936000Y-124570000D01* +X113936000Y-124570000D02* +X113936000Y-123115000D01* +X124131000Y-124570000D02* +X125586000Y-124570000D01* +X125586000Y-124570000D02* +X125586000Y-123115000D01* +X115391000Y-112920000D02* +X115108218Y-112920000D01* +X115108218Y-112920000D02* +X113936000Y-114092218D01* +X113936000Y-114092218D02* +X113936000Y-114375000D01* +X120587400Y-109309000D02* +X119774600Y-109309000D01* +X120587400Y-110909000D02* +X119774600Y-110909000D01* +X136716400Y-128689000D02* +X135903600Y-128689000D01* +X136716400Y-127089000D02* +X135903600Y-127089000D01* +X92164000Y-127191400D02* +X92164000Y-126378600D01* +X93764000Y-127191400D02* +X93764000Y-126378600D01* +D13* +X86487000Y-107790000D02* +X82627000Y-107790000D01* +X82627000Y-107790000D02* +X82627000Y-108025000D01* +X86487000Y-107790000D02* +X90347000Y-107790000D01* +X90347000Y-107790000D02* +X90347000Y-108025000D01* +X86487000Y-120810000D02* +X82627000Y-120810000D01* +X82627000Y-120810000D02* +X82627000Y-120575000D01* +X86487000Y-120810000D02* +X90347000Y-120810000D01* +X90347000Y-120810000D02* +X90347000Y-120575000D01* +X90347000Y-120575000D02* +X92162000Y-120575000D01* +X102362000Y-107752500D02* +X96709500Y-107752500D01* +X102362000Y-107752500D02* +X108014500Y-107752500D01* +X102362000Y-128467500D02* +X96709500Y-128467500D01* +X102362000Y-128467500D02* +X109937000Y-128467500D01* +D12* +X135128900Y-113576000D02* +X134316100Y-113576000D01* +X135128900Y-111976000D02* +X134316100Y-111976000D01* +D13* +X133096000Y-123170000D02* +X135046000Y-123170000D01* +X133096000Y-123170000D02* +X131146000Y-123170000D01* +X133096000Y-114320000D02* +X135046000Y-114320000D01* +X133096000Y-114320000D02* +X129646000Y-114320000D01* +D12* +X123276400Y-130340000D02* +X122463600Y-130340000D01* +X123276400Y-128740000D02* +X122463600Y-128740000D01* +X78067000Y-119571400D02* +X78067000Y-118758600D01* +X79667000Y-119571400D02* +X79667000Y-118758600D01* +D14* +X119141723Y-118299895D02* +X119141723Y-118957876D01* +X119180428Y-119035285D01* +X119219133Y-119073990D01* +X119296542Y-119112695D01* +X119451361Y-119112695D01* +X119528771Y-119073990D01* +X119567476Y-119035285D01* +X119606180Y-118957876D01* +X119606180Y-118299895D01* +X119915819Y-118299895D02* +X120418980Y-118299895D01* +X120148047Y-118609533D01* +X120264161Y-118609533D01* +X120341571Y-118648238D01* +X120380276Y-118686942D01* +X120418980Y-118764352D01* +X120418980Y-118957876D01* +X120380276Y-119035285D01* +X120341571Y-119073990D01* +X120264161Y-119112695D01* +X120031933Y-119112695D01* +X119954523Y-119073990D01* +X119915819Y-119035285D01* +X85867723Y-113851938D02* +X85867723Y-114514290D01* +X85906428Y-114592214D01* +X85945133Y-114631176D01* +X86022542Y-114670138D01* +X86177361Y-114670138D01* +X86254771Y-114631176D01* +X86293476Y-114592214D01* +X86332180Y-114514290D01* +X86332180Y-113851938D01* +X87144980Y-114670138D02* +X86680523Y-114670138D01* +X86912752Y-114670138D02* +X86912752Y-113851938D01* +X86835342Y-113968823D01* +X86757933Y-114046747D01* +X86680523Y-114085709D01* +X100218723Y-117664895D02* +X100218723Y-118322876D01* +X100257428Y-118400285D01* +X100296133Y-118438990D01* +X100373542Y-118477695D01* +X100528361Y-118477695D01* +X100605771Y-118438990D01* +X100644476Y-118400285D01* +X100683180Y-118322876D01* +X100683180Y-117664895D01* +X101031523Y-117742304D02* +X101070228Y-117703600D01* +X101147638Y-117664895D01* +X101341161Y-117664895D01* +X101418571Y-117703600D01* +X101457276Y-117742304D01* +X101495980Y-117819714D01* +X101495980Y-117897123D01* +X101457276Y-118013238D01* +X100992819Y-118477695D01* +X101495980Y-118477695D01* +X132650895Y-119364276D02* +X133308876Y-119364276D01* +X133386285Y-119325571D01* +X133424990Y-119286866D01* +X133463695Y-119209457D01* +X133463695Y-119054638D01* +X133424990Y-118977228D01* +X133386285Y-118938523D01* +X133308876Y-118899819D01* +X132650895Y-118899819D01* +X132921828Y-118164428D02* +X133463695Y-118164428D01* +X132612190Y-118357952D02* +X133192761Y-118551476D01* +X133192761Y-118048314D01* +%LPC*% +D15* +G36* +X139700000Y-139446000D02* +G01* +X139192000Y-139954000D01* +X74168000Y-139954000D01* +X73660000Y-139446000D01* +X73660000Y-132080000D01* +X139700000Y-132080000D01* +X139700000Y-139446000D01* +G37* +G36* +X137620179Y-131537818D02* +G01* +X137660862Y-131543853D01* +X137700758Y-131553846D01* +X137739483Y-131567702D01* +X137776662Y-131585287D01* +X137811939Y-131606431D01* +X137844974Y-131630931D01* +X137875448Y-131658552D01* +X137903069Y-131689026D01* +X137927569Y-131722061D01* +X137948713Y-131757338D01* +X137966298Y-131794517D01* +X137980154Y-131833242D01* +X137990147Y-131873138D01* +X137996182Y-131913821D01* +X137998200Y-131954900D01* +X137998200Y-138609100D01* +X137996182Y-138650179D01* +X137990147Y-138690862D01* +X137980154Y-138730758D01* +X137966298Y-138769483D01* +X137948713Y-138806662D01* +X137927569Y-138841939D01* +X137903069Y-138874974D01* +X137875448Y-138905448D01* +X137844974Y-138933069D01* +X137811939Y-138957569D01* +X137776662Y-138978713D01* +X137739483Y-138996298D01* +X137700758Y-139010154D01* +X137660862Y-139020147D01* +X137620179Y-139026182D01* +X137579100Y-139028200D01* +X136740900Y-139028200D01* +X136699821Y-139026182D01* +X136659138Y-139020147D01* +X136619242Y-139010154D01* +X136580517Y-138996298D01* +X136543338Y-138978713D01* +X136508061Y-138957569D01* +X136475026Y-138933069D01* +X136444552Y-138905448D01* +X136416931Y-138874974D01* +X136392431Y-138841939D01* +X136371287Y-138806662D01* +X136353702Y-138769483D01* +X136339846Y-138730758D01* +X136329853Y-138690862D01* +X136323818Y-138650179D01* +X136321800Y-138609100D01* +X136321800Y-131954900D01* +X136323818Y-131913821D01* +X136329853Y-131873138D01* +X136339846Y-131833242D01* +X136353702Y-131794517D01* +X136371287Y-131757338D01* +X136392431Y-131722061D01* +X136416931Y-131689026D01* +X136444552Y-131658552D01* +X136475026Y-131630931D01* +X136508061Y-131606431D01* +X136543338Y-131585287D01* +X136580517Y-131567702D01* +X136619242Y-131553846D01* +X136659138Y-131543853D01* +X136699821Y-131537818D01* +X136740900Y-131535800D01* +X137579100Y-131535800D01* +X137620179Y-131537818D01* +G37* +G36* +X135080179Y-131537818D02* +G01* +X135120862Y-131543853D01* +X135160758Y-131553846D01* +X135199483Y-131567702D01* +X135236662Y-131585287D01* +X135271939Y-131606431D01* +X135304974Y-131630931D01* +X135335448Y-131658552D01* +X135363069Y-131689026D01* +X135387569Y-131722061D01* +X135408713Y-131757338D01* +X135426298Y-131794517D01* +X135440154Y-131833242D01* +X135450147Y-131873138D01* +X135456182Y-131913821D01* +X135458200Y-131954900D01* +X135458200Y-138609100D01* +X135456182Y-138650179D01* +X135450147Y-138690862D01* +X135440154Y-138730758D01* +X135426298Y-138769483D01* +X135408713Y-138806662D01* +X135387569Y-138841939D01* +X135363069Y-138874974D01* +X135335448Y-138905448D01* +X135304974Y-138933069D01* +X135271939Y-138957569D01* +X135236662Y-138978713D01* +X135199483Y-138996298D01* +X135160758Y-139010154D01* +X135120862Y-139020147D01* +X135080179Y-139026182D01* +X135039100Y-139028200D01* +X134200900Y-139028200D01* +X134159821Y-139026182D01* +X134119138Y-139020147D01* +X134079242Y-139010154D01* +X134040517Y-138996298D01* +X134003338Y-138978713D01* +X133968061Y-138957569D01* +X133935026Y-138933069D01* +X133904552Y-138905448D01* +X133876931Y-138874974D01* +X133852431Y-138841939D01* +X133831287Y-138806662D01* +X133813702Y-138769483D01* +X133799846Y-138730758D01* +X133789853Y-138690862D01* +X133783818Y-138650179D01* +X133781800Y-138609100D01* +X133781800Y-131954900D01* +X133783818Y-131913821D01* +X133789853Y-131873138D01* +X133799846Y-131833242D01* +X133813702Y-131794517D01* +X133831287Y-131757338D01* +X133852431Y-131722061D01* +X133876931Y-131689026D01* +X133904552Y-131658552D01* +X133935026Y-131630931D01* +X133968061Y-131606431D01* +X134003338Y-131585287D01* +X134040517Y-131567702D01* +X134079242Y-131553846D01* +X134119138Y-131543853D01* +X134159821Y-131537818D01* +X134200900Y-131535800D01* +X135039100Y-131535800D01* +X135080179Y-131537818D01* +G37* +G36* +X132540179Y-131537818D02* +G01* +X132580862Y-131543853D01* +X132620758Y-131553846D01* +X132659483Y-131567702D01* +X132696662Y-131585287D01* +X132731939Y-131606431D01* +X132764974Y-131630931D01* +X132795448Y-131658552D01* +X132823069Y-131689026D01* +X132847569Y-131722061D01* +X132868713Y-131757338D01* +X132886298Y-131794517D01* +X132900154Y-131833242D01* +X132910147Y-131873138D01* +X132916182Y-131913821D01* +X132918200Y-131954900D01* +X132918200Y-138609100D01* +X132916182Y-138650179D01* +X132910147Y-138690862D01* +X132900154Y-138730758D01* +X132886298Y-138769483D01* +X132868713Y-138806662D01* +X132847569Y-138841939D01* +X132823069Y-138874974D01* +X132795448Y-138905448D01* +X132764974Y-138933069D01* +X132731939Y-138957569D01* +X132696662Y-138978713D01* +X132659483Y-138996298D01* +X132620758Y-139010154D01* +X132580862Y-139020147D01* +X132540179Y-139026182D01* +X132499100Y-139028200D01* +X131660900Y-139028200D01* +X131619821Y-139026182D01* +X131579138Y-139020147D01* +X131539242Y-139010154D01* +X131500517Y-138996298D01* +X131463338Y-138978713D01* +X131428061Y-138957569D01* +X131395026Y-138933069D01* +X131364552Y-138905448D01* +X131336931Y-138874974D01* +X131312431Y-138841939D01* +X131291287Y-138806662D01* +X131273702Y-138769483D01* +X131259846Y-138730758D01* +X131249853Y-138690862D01* +X131243818Y-138650179D01* +X131241800Y-138609100D01* +X131241800Y-131954900D01* +X131243818Y-131913821D01* +X131249853Y-131873138D01* +X131259846Y-131833242D01* +X131273702Y-131794517D01* +X131291287Y-131757338D01* +X131312431Y-131722061D01* +X131336931Y-131689026D01* +X131364552Y-131658552D01* +X131395026Y-131630931D01* +X131428061Y-131606431D01* +X131463338Y-131585287D01* +X131500517Y-131567702D01* +X131539242Y-131553846D01* +X131579138Y-131543853D01* +X131619821Y-131537818D01* +X131660900Y-131535800D01* +X132499100Y-131535800D01* +X132540179Y-131537818D01* +G37* +G36* +X130000179Y-131537818D02* +G01* +X130040862Y-131543853D01* +X130080758Y-131553846D01* +X130119483Y-131567702D01* +X130156662Y-131585287D01* +X130191939Y-131606431D01* +X130224974Y-131630931D01* +X130255448Y-131658552D01* +X130283069Y-131689026D01* +X130307569Y-131722061D01* +X130328713Y-131757338D01* +X130346298Y-131794517D01* +X130360154Y-131833242D01* +X130370147Y-131873138D01* +X130376182Y-131913821D01* +X130378200Y-131954900D01* +X130378200Y-138609100D01* +X130376182Y-138650179D01* +X130370147Y-138690862D01* +X130360154Y-138730758D01* +X130346298Y-138769483D01* +X130328713Y-138806662D01* +X130307569Y-138841939D01* +X130283069Y-138874974D01* +X130255448Y-138905448D01* +X130224974Y-138933069D01* +X130191939Y-138957569D01* +X130156662Y-138978713D01* +X130119483Y-138996298D01* +X130080758Y-139010154D01* +X130040862Y-139020147D01* +X130000179Y-139026182D01* +X129959100Y-139028200D01* +X129120900Y-139028200D01* +X129079821Y-139026182D01* +X129039138Y-139020147D01* +X128999242Y-139010154D01* +X128960517Y-138996298D01* +X128923338Y-138978713D01* +X128888061Y-138957569D01* +X128855026Y-138933069D01* +X128824552Y-138905448D01* +X128796931Y-138874974D01* +X128772431Y-138841939D01* +X128751287Y-138806662D01* +X128733702Y-138769483D01* +X128719846Y-138730758D01* +X128709853Y-138690862D01* +X128703818Y-138650179D01* +X128701800Y-138609100D01* +X128701800Y-131954900D01* +X128703818Y-131913821D01* +X128709853Y-131873138D01* +X128719846Y-131833242D01* +X128733702Y-131794517D01* +X128751287Y-131757338D01* +X128772431Y-131722061D01* +X128796931Y-131689026D01* +X128824552Y-131658552D01* +X128855026Y-131630931D01* +X128888061Y-131606431D01* +X128923338Y-131585287D01* +X128960517Y-131567702D01* +X128999242Y-131553846D01* +X129039138Y-131543853D01* +X129079821Y-131537818D01* +X129120900Y-131535800D01* +X129959100Y-131535800D01* +X130000179Y-131537818D01* +G37* +G36* +X127460179Y-131537818D02* +G01* +X127500862Y-131543853D01* +X127540758Y-131553846D01* +X127579483Y-131567702D01* +X127616662Y-131585287D01* +X127651939Y-131606431D01* +X127684974Y-131630931D01* +X127715448Y-131658552D01* +X127743069Y-131689026D01* +X127767569Y-131722061D01* +X127788713Y-131757338D01* +X127806298Y-131794517D01* +X127820154Y-131833242D01* +X127830147Y-131873138D01* +X127836182Y-131913821D01* +X127838200Y-131954900D01* +X127838200Y-138609100D01* +X127836182Y-138650179D01* +X127830147Y-138690862D01* +X127820154Y-138730758D01* +X127806298Y-138769483D01* +X127788713Y-138806662D01* +X127767569Y-138841939D01* +X127743069Y-138874974D01* +X127715448Y-138905448D01* +X127684974Y-138933069D01* +X127651939Y-138957569D01* +X127616662Y-138978713D01* +X127579483Y-138996298D01* +X127540758Y-139010154D01* +X127500862Y-139020147D01* +X127460179Y-139026182D01* +X127419100Y-139028200D01* +X126580900Y-139028200D01* +X126539821Y-139026182D01* +X126499138Y-139020147D01* +X126459242Y-139010154D01* +X126420517Y-138996298D01* +X126383338Y-138978713D01* +X126348061Y-138957569D01* +X126315026Y-138933069D01* +X126284552Y-138905448D01* +X126256931Y-138874974D01* +X126232431Y-138841939D01* +X126211287Y-138806662D01* +X126193702Y-138769483D01* +X126179846Y-138730758D01* +X126169853Y-138690862D01* +X126163818Y-138650179D01* +X126161800Y-138609100D01* +X126161800Y-131954900D01* +X126163818Y-131913821D01* +X126169853Y-131873138D01* +X126179846Y-131833242D01* +X126193702Y-131794517D01* +X126211287Y-131757338D01* +X126232431Y-131722061D01* +X126256931Y-131689026D01* +X126284552Y-131658552D01* +X126315026Y-131630931D01* +X126348061Y-131606431D01* +X126383338Y-131585287D01* +X126420517Y-131567702D01* +X126459242Y-131553846D01* +X126499138Y-131543853D01* +X126539821Y-131537818D01* +X126580900Y-131535800D01* +X127419100Y-131535800D01* +X127460179Y-131537818D01* +G37* +G36* +X124920179Y-131537818D02* +G01* +X124960862Y-131543853D01* +X125000758Y-131553846D01* +X125039483Y-131567702D01* +X125076662Y-131585287D01* +X125111939Y-131606431D01* +X125144974Y-131630931D01* +X125175448Y-131658552D01* +X125203069Y-131689026D01* +X125227569Y-131722061D01* +X125248713Y-131757338D01* +X125266298Y-131794517D01* +X125280154Y-131833242D01* +X125290147Y-131873138D01* +X125296182Y-131913821D01* +X125298200Y-131954900D01* +X125298200Y-138609100D01* +X125296182Y-138650179D01* +X125290147Y-138690862D01* +X125280154Y-138730758D01* +X125266298Y-138769483D01* +X125248713Y-138806662D01* +X125227569Y-138841939D01* +X125203069Y-138874974D01* +X125175448Y-138905448D01* +X125144974Y-138933069D01* +X125111939Y-138957569D01* +X125076662Y-138978713D01* +X125039483Y-138996298D01* +X125000758Y-139010154D01* +X124960862Y-139020147D01* +X124920179Y-139026182D01* +X124879100Y-139028200D01* +X124040900Y-139028200D01* +X123999821Y-139026182D01* +X123959138Y-139020147D01* +X123919242Y-139010154D01* +X123880517Y-138996298D01* +X123843338Y-138978713D01* +X123808061Y-138957569D01* +X123775026Y-138933069D01* +X123744552Y-138905448D01* +X123716931Y-138874974D01* +X123692431Y-138841939D01* +X123671287Y-138806662D01* +X123653702Y-138769483D01* +X123639846Y-138730758D01* +X123629853Y-138690862D01* +X123623818Y-138650179D01* +X123621800Y-138609100D01* +X123621800Y-131954900D01* +X123623818Y-131913821D01* +X123629853Y-131873138D01* +X123639846Y-131833242D01* +X123653702Y-131794517D01* +X123671287Y-131757338D01* +X123692431Y-131722061D01* +X123716931Y-131689026D01* +X123744552Y-131658552D01* +X123775026Y-131630931D01* +X123808061Y-131606431D01* +X123843338Y-131585287D01* +X123880517Y-131567702D01* +X123919242Y-131553846D01* +X123959138Y-131543853D01* +X123999821Y-131537818D01* +X124040900Y-131535800D01* +X124879100Y-131535800D01* +X124920179Y-131537818D01* +G37* +G36* +X122380179Y-131537818D02* +G01* +X122420862Y-131543853D01* +X122460758Y-131553846D01* +X122499483Y-131567702D01* +X122536662Y-131585287D01* +X122571939Y-131606431D01* +X122604974Y-131630931D01* +X122635448Y-131658552D01* +X122663069Y-131689026D01* +X122687569Y-131722061D01* +X122708713Y-131757338D01* +X122726298Y-131794517D01* +X122740154Y-131833242D01* +X122750147Y-131873138D01* +X122756182Y-131913821D01* +X122758200Y-131954900D01* +X122758200Y-138609100D01* +X122756182Y-138650179D01* +X122750147Y-138690862D01* +X122740154Y-138730758D01* +X122726298Y-138769483D01* +X122708713Y-138806662D01* +X122687569Y-138841939D01* +X122663069Y-138874974D01* +X122635448Y-138905448D01* +X122604974Y-138933069D01* +X122571939Y-138957569D01* +X122536662Y-138978713D01* +X122499483Y-138996298D01* +X122460758Y-139010154D01* +X122420862Y-139020147D01* +X122380179Y-139026182D01* +X122339100Y-139028200D01* +X121500900Y-139028200D01* +X121459821Y-139026182D01* +X121419138Y-139020147D01* +X121379242Y-139010154D01* +X121340517Y-138996298D01* +X121303338Y-138978713D01* +X121268061Y-138957569D01* +X121235026Y-138933069D01* +X121204552Y-138905448D01* +X121176931Y-138874974D01* +X121152431Y-138841939D01* +X121131287Y-138806662D01* +X121113702Y-138769483D01* +X121099846Y-138730758D01* +X121089853Y-138690862D01* +X121083818Y-138650179D01* +X121081800Y-138609100D01* +X121081800Y-131954900D01* +X121083818Y-131913821D01* +X121089853Y-131873138D01* +X121099846Y-131833242D01* +X121113702Y-131794517D01* +X121131287Y-131757338D01* +X121152431Y-131722061D01* +X121176931Y-131689026D01* +X121204552Y-131658552D01* +X121235026Y-131630931D01* +X121268061Y-131606431D01* +X121303338Y-131585287D01* +X121340517Y-131567702D01* +X121379242Y-131553846D01* +X121419138Y-131543853D01* +X121459821Y-131537818D01* +X121500900Y-131535800D01* +X122339100Y-131535800D01* +X122380179Y-131537818D01* +G37* +G36* +X119840179Y-131537818D02* +G01* +X119880862Y-131543853D01* +X119920758Y-131553846D01* +X119959483Y-131567702D01* +X119996662Y-131585287D01* +X120031939Y-131606431D01* +X120064974Y-131630931D01* +X120095448Y-131658552D01* +X120123069Y-131689026D01* +X120147569Y-131722061D01* +X120168713Y-131757338D01* +X120186298Y-131794517D01* +X120200154Y-131833242D01* +X120210147Y-131873138D01* +X120216182Y-131913821D01* +X120218200Y-131954900D01* +X120218200Y-138609100D01* +X120216182Y-138650179D01* +X120210147Y-138690862D01* +X120200154Y-138730758D01* +X120186298Y-138769483D01* +X120168713Y-138806662D01* +X120147569Y-138841939D01* +X120123069Y-138874974D01* +X120095448Y-138905448D01* +X120064974Y-138933069D01* +X120031939Y-138957569D01* +X119996662Y-138978713D01* +X119959483Y-138996298D01* +X119920758Y-139010154D01* +X119880862Y-139020147D01* +X119840179Y-139026182D01* +X119799100Y-139028200D01* +X118960900Y-139028200D01* +X118919821Y-139026182D01* +X118879138Y-139020147D01* +X118839242Y-139010154D01* +X118800517Y-138996298D01* +X118763338Y-138978713D01* +X118728061Y-138957569D01* +X118695026Y-138933069D01* +X118664552Y-138905448D01* +X118636931Y-138874974D01* +X118612431Y-138841939D01* +X118591287Y-138806662D01* +X118573702Y-138769483D01* +X118559846Y-138730758D01* +X118549853Y-138690862D01* +X118543818Y-138650179D01* +X118541800Y-138609100D01* +X118541800Y-131954900D01* +X118543818Y-131913821D01* +X118549853Y-131873138D01* +X118559846Y-131833242D01* +X118573702Y-131794517D01* +X118591287Y-131757338D01* +X118612431Y-131722061D01* +X118636931Y-131689026D01* +X118664552Y-131658552D01* +X118695026Y-131630931D01* +X118728061Y-131606431D01* +X118763338Y-131585287D01* +X118800517Y-131567702D01* +X118839242Y-131553846D01* +X118879138Y-131543853D01* +X118919821Y-131537818D01* +X118960900Y-131535800D01* +X119799100Y-131535800D01* +X119840179Y-131537818D01* +G37* +G36* +X117300179Y-131537818D02* +G01* +X117340862Y-131543853D01* +X117380758Y-131553846D01* +X117419483Y-131567702D01* +X117456662Y-131585287D01* +X117491939Y-131606431D01* +X117524974Y-131630931D01* +X117555448Y-131658552D01* +X117583069Y-131689026D01* +X117607569Y-131722061D01* +X117628713Y-131757338D01* +X117646298Y-131794517D01* +X117660154Y-131833242D01* +X117670147Y-131873138D01* +X117676182Y-131913821D01* +X117678200Y-131954900D01* +X117678200Y-138609100D01* +X117676182Y-138650179D01* +X117670147Y-138690862D01* +X117660154Y-138730758D01* +X117646298Y-138769483D01* +X117628713Y-138806662D01* +X117607569Y-138841939D01* +X117583069Y-138874974D01* +X117555448Y-138905448D01* +X117524974Y-138933069D01* +X117491939Y-138957569D01* +X117456662Y-138978713D01* +X117419483Y-138996298D01* +X117380758Y-139010154D01* +X117340862Y-139020147D01* +X117300179Y-139026182D01* +X117259100Y-139028200D01* +X116420900Y-139028200D01* +X116379821Y-139026182D01* +X116339138Y-139020147D01* +X116299242Y-139010154D01* +X116260517Y-138996298D01* +X116223338Y-138978713D01* +X116188061Y-138957569D01* +X116155026Y-138933069D01* +X116124552Y-138905448D01* +X116096931Y-138874974D01* +X116072431Y-138841939D01* +X116051287Y-138806662D01* +X116033702Y-138769483D01* +X116019846Y-138730758D01* +X116009853Y-138690862D01* +X116003818Y-138650179D01* +X116001800Y-138609100D01* +X116001800Y-131954900D01* +X116003818Y-131913821D01* +X116009853Y-131873138D01* +X116019846Y-131833242D01* +X116033702Y-131794517D01* +X116051287Y-131757338D01* +X116072431Y-131722061D01* +X116096931Y-131689026D01* +X116124552Y-131658552D01* +X116155026Y-131630931D01* +X116188061Y-131606431D01* +X116223338Y-131585287D01* +X116260517Y-131567702D01* +X116299242Y-131553846D01* +X116339138Y-131543853D01* +X116379821Y-131537818D01* +X116420900Y-131535800D01* +X117259100Y-131535800D01* +X117300179Y-131537818D01* +G37* +G36* +X114760179Y-131537818D02* +G01* +X114800862Y-131543853D01* +X114840758Y-131553846D01* +X114879483Y-131567702D01* +X114916662Y-131585287D01* +X114951939Y-131606431D01* +X114984974Y-131630931D01* +X115015448Y-131658552D01* +X115043069Y-131689026D01* +X115067569Y-131722061D01* +X115088713Y-131757338D01* +X115106298Y-131794517D01* +X115120154Y-131833242D01* +X115130147Y-131873138D01* +X115136182Y-131913821D01* +X115138200Y-131954900D01* +X115138200Y-138609100D01* +X115136182Y-138650179D01* +X115130147Y-138690862D01* +X115120154Y-138730758D01* +X115106298Y-138769483D01* +X115088713Y-138806662D01* +X115067569Y-138841939D01* +X115043069Y-138874974D01* +X115015448Y-138905448D01* +X114984974Y-138933069D01* +X114951939Y-138957569D01* +X114916662Y-138978713D01* +X114879483Y-138996298D01* +X114840758Y-139010154D01* +X114800862Y-139020147D01* +X114760179Y-139026182D01* +X114719100Y-139028200D01* +X113880900Y-139028200D01* +X113839821Y-139026182D01* +X113799138Y-139020147D01* +X113759242Y-139010154D01* +X113720517Y-138996298D01* +X113683338Y-138978713D01* +X113648061Y-138957569D01* +X113615026Y-138933069D01* +X113584552Y-138905448D01* +X113556931Y-138874974D01* +X113532431Y-138841939D01* +X113511287Y-138806662D01* +X113493702Y-138769483D01* +X113479846Y-138730758D01* +X113469853Y-138690862D01* +X113463818Y-138650179D01* +X113461800Y-138609100D01* +X113461800Y-131954900D01* +X113463818Y-131913821D01* +X113469853Y-131873138D01* +X113479846Y-131833242D01* +X113493702Y-131794517D01* +X113511287Y-131757338D01* +X113532431Y-131722061D01* +X113556931Y-131689026D01* +X113584552Y-131658552D01* +X113615026Y-131630931D01* +X113648061Y-131606431D01* +X113683338Y-131585287D01* +X113720517Y-131567702D01* +X113759242Y-131553846D01* +X113799138Y-131543853D01* +X113839821Y-131537818D01* +X113880900Y-131535800D01* +X114719100Y-131535800D01* +X114760179Y-131537818D01* +G37* +G36* +X112220179Y-131537818D02* +G01* +X112260862Y-131543853D01* +X112300758Y-131553846D01* +X112339483Y-131567702D01* +X112376662Y-131585287D01* +X112411939Y-131606431D01* +X112444974Y-131630931D01* +X112475448Y-131658552D01* +X112503069Y-131689026D01* +X112527569Y-131722061D01* +X112548713Y-131757338D01* +X112566298Y-131794517D01* +X112580154Y-131833242D01* +X112590147Y-131873138D01* +X112596182Y-131913821D01* +X112598200Y-131954900D01* +X112598200Y-138609100D01* +X112596182Y-138650179D01* +X112590147Y-138690862D01* +X112580154Y-138730758D01* +X112566298Y-138769483D01* +X112548713Y-138806662D01* +X112527569Y-138841939D01* +X112503069Y-138874974D01* +X112475448Y-138905448D01* +X112444974Y-138933069D01* +X112411939Y-138957569D01* +X112376662Y-138978713D01* +X112339483Y-138996298D01* +X112300758Y-139010154D01* +X112260862Y-139020147D01* +X112220179Y-139026182D01* +X112179100Y-139028200D01* +X111340900Y-139028200D01* +X111299821Y-139026182D01* +X111259138Y-139020147D01* +X111219242Y-139010154D01* +X111180517Y-138996298D01* +X111143338Y-138978713D01* +X111108061Y-138957569D01* +X111075026Y-138933069D01* +X111044552Y-138905448D01* +X111016931Y-138874974D01* +X110992431Y-138841939D01* +X110971287Y-138806662D01* +X110953702Y-138769483D01* +X110939846Y-138730758D01* +X110929853Y-138690862D01* +X110923818Y-138650179D01* +X110921800Y-138609100D01* +X110921800Y-131954900D01* +X110923818Y-131913821D01* +X110929853Y-131873138D01* +X110939846Y-131833242D01* +X110953702Y-131794517D01* +X110971287Y-131757338D01* +X110992431Y-131722061D01* +X111016931Y-131689026D01* +X111044552Y-131658552D01* +X111075026Y-131630931D01* +X111108061Y-131606431D01* +X111143338Y-131585287D01* +X111180517Y-131567702D01* +X111219242Y-131553846D01* +X111259138Y-131543853D01* +X111299821Y-131537818D01* +X111340900Y-131535800D01* +X112179100Y-131535800D01* +X112220179Y-131537818D01* +G37* +G36* +X109680179Y-131537818D02* +G01* +X109720862Y-131543853D01* +X109760758Y-131553846D01* +X109799483Y-131567702D01* +X109836662Y-131585287D01* +X109871939Y-131606431D01* +X109904974Y-131630931D01* +X109935448Y-131658552D01* +X109963069Y-131689026D01* +X109987569Y-131722061D01* +X110008713Y-131757338D01* +X110026298Y-131794517D01* +X110040154Y-131833242D01* +X110050147Y-131873138D01* +X110056182Y-131913821D01* +X110058200Y-131954900D01* +X110058200Y-138609100D01* +X110056182Y-138650179D01* +X110050147Y-138690862D01* +X110040154Y-138730758D01* +X110026298Y-138769483D01* +X110008713Y-138806662D01* +X109987569Y-138841939D01* +X109963069Y-138874974D01* +X109935448Y-138905448D01* +X109904974Y-138933069D01* +X109871939Y-138957569D01* +X109836662Y-138978713D01* +X109799483Y-138996298D01* +X109760758Y-139010154D01* +X109720862Y-139020147D01* +X109680179Y-139026182D01* +X109639100Y-139028200D01* +X108800900Y-139028200D01* +X108759821Y-139026182D01* +X108719138Y-139020147D01* +X108679242Y-139010154D01* +X108640517Y-138996298D01* +X108603338Y-138978713D01* +X108568061Y-138957569D01* +X108535026Y-138933069D01* +X108504552Y-138905448D01* +X108476931Y-138874974D01* +X108452431Y-138841939D01* +X108431287Y-138806662D01* +X108413702Y-138769483D01* +X108399846Y-138730758D01* +X108389853Y-138690862D01* +X108383818Y-138650179D01* +X108381800Y-138609100D01* +X108381800Y-131954900D01* +X108383818Y-131913821D01* +X108389853Y-131873138D01* +X108399846Y-131833242D01* +X108413702Y-131794517D01* +X108431287Y-131757338D01* +X108452431Y-131722061D01* +X108476931Y-131689026D01* +X108504552Y-131658552D01* +X108535026Y-131630931D01* +X108568061Y-131606431D01* +X108603338Y-131585287D01* +X108640517Y-131567702D01* +X108679242Y-131553846D01* +X108719138Y-131543853D01* +X108759821Y-131537818D01* +X108800900Y-131535800D01* +X109639100Y-131535800D01* +X109680179Y-131537818D01* +G37* +G36* +X107140179Y-131537818D02* +G01* +X107180862Y-131543853D01* +X107220758Y-131553846D01* +X107259483Y-131567702D01* +X107296662Y-131585287D01* +X107331939Y-131606431D01* +X107364974Y-131630931D01* +X107395448Y-131658552D01* +X107423069Y-131689026D01* +X107447569Y-131722061D01* +X107468713Y-131757338D01* +X107486298Y-131794517D01* +X107500154Y-131833242D01* +X107510147Y-131873138D01* +X107516182Y-131913821D01* +X107518200Y-131954900D01* +X107518200Y-138609100D01* +X107516182Y-138650179D01* +X107510147Y-138690862D01* +X107500154Y-138730758D01* +X107486298Y-138769483D01* +X107468713Y-138806662D01* +X107447569Y-138841939D01* +X107423069Y-138874974D01* +X107395448Y-138905448D01* +X107364974Y-138933069D01* +X107331939Y-138957569D01* +X107296662Y-138978713D01* +X107259483Y-138996298D01* +X107220758Y-139010154D01* +X107180862Y-139020147D01* +X107140179Y-139026182D01* +X107099100Y-139028200D01* +X106260900Y-139028200D01* +X106219821Y-139026182D01* +X106179138Y-139020147D01* +X106139242Y-139010154D01* +X106100517Y-138996298D01* +X106063338Y-138978713D01* +X106028061Y-138957569D01* +X105995026Y-138933069D01* +X105964552Y-138905448D01* +X105936931Y-138874974D01* +X105912431Y-138841939D01* +X105891287Y-138806662D01* +X105873702Y-138769483D01* +X105859846Y-138730758D01* +X105849853Y-138690862D01* +X105843818Y-138650179D01* +X105841800Y-138609100D01* +X105841800Y-131954900D01* +X105843818Y-131913821D01* +X105849853Y-131873138D01* +X105859846Y-131833242D01* +X105873702Y-131794517D01* +X105891287Y-131757338D01* +X105912431Y-131722061D01* +X105936931Y-131689026D01* +X105964552Y-131658552D01* +X105995026Y-131630931D01* +X106028061Y-131606431D01* +X106063338Y-131585287D01* +X106100517Y-131567702D01* +X106139242Y-131553846D01* +X106179138Y-131543853D01* +X106219821Y-131537818D01* +X106260900Y-131535800D01* +X107099100Y-131535800D01* +X107140179Y-131537818D01* +G37* +G36* +X104600179Y-131537818D02* +G01* +X104640862Y-131543853D01* +X104680758Y-131553846D01* +X104719483Y-131567702D01* +X104756662Y-131585287D01* +X104791939Y-131606431D01* +X104824974Y-131630931D01* +X104855448Y-131658552D01* +X104883069Y-131689026D01* +X104907569Y-131722061D01* +X104928713Y-131757338D01* +X104946298Y-131794517D01* +X104960154Y-131833242D01* +X104970147Y-131873138D01* +X104976182Y-131913821D01* +X104978200Y-131954900D01* +X104978200Y-138609100D01* +X104976182Y-138650179D01* +X104970147Y-138690862D01* +X104960154Y-138730758D01* +X104946298Y-138769483D01* +X104928713Y-138806662D01* +X104907569Y-138841939D01* +X104883069Y-138874974D01* +X104855448Y-138905448D01* +X104824974Y-138933069D01* +X104791939Y-138957569D01* +X104756662Y-138978713D01* +X104719483Y-138996298D01* +X104680758Y-139010154D01* +X104640862Y-139020147D01* +X104600179Y-139026182D01* +X104559100Y-139028200D01* +X103720900Y-139028200D01* +X103679821Y-139026182D01* +X103639138Y-139020147D01* +X103599242Y-139010154D01* +X103560517Y-138996298D01* +X103523338Y-138978713D01* +X103488061Y-138957569D01* +X103455026Y-138933069D01* +X103424552Y-138905448D01* +X103396931Y-138874974D01* +X103372431Y-138841939D01* +X103351287Y-138806662D01* +X103333702Y-138769483D01* +X103319846Y-138730758D01* +X103309853Y-138690862D01* +X103303818Y-138650179D01* +X103301800Y-138609100D01* +X103301800Y-131954900D01* +X103303818Y-131913821D01* +X103309853Y-131873138D01* +X103319846Y-131833242D01* +X103333702Y-131794517D01* +X103351287Y-131757338D01* +X103372431Y-131722061D01* +X103396931Y-131689026D01* +X103424552Y-131658552D01* +X103455026Y-131630931D01* +X103488061Y-131606431D01* +X103523338Y-131585287D01* +X103560517Y-131567702D01* +X103599242Y-131553846D01* +X103639138Y-131543853D01* +X103679821Y-131537818D01* +X103720900Y-131535800D01* +X104559100Y-131535800D01* +X104600179Y-131537818D01* +G37* +G36* +X102060179Y-131537818D02* +G01* +X102100862Y-131543853D01* +X102140758Y-131553846D01* +X102179483Y-131567702D01* +X102216662Y-131585287D01* +X102251939Y-131606431D01* +X102284974Y-131630931D01* +X102315448Y-131658552D01* +X102343069Y-131689026D01* +X102367569Y-131722061D01* +X102388713Y-131757338D01* +X102406298Y-131794517D01* +X102420154Y-131833242D01* +X102430147Y-131873138D01* +X102436182Y-131913821D01* +X102438200Y-131954900D01* +X102438200Y-138609100D01* +X102436182Y-138650179D01* +X102430147Y-138690862D01* +X102420154Y-138730758D01* +X102406298Y-138769483D01* +X102388713Y-138806662D01* +X102367569Y-138841939D01* +X102343069Y-138874974D01* +X102315448Y-138905448D01* +X102284974Y-138933069D01* +X102251939Y-138957569D01* +X102216662Y-138978713D01* +X102179483Y-138996298D01* +X102140758Y-139010154D01* +X102100862Y-139020147D01* +X102060179Y-139026182D01* +X102019100Y-139028200D01* +X101180900Y-139028200D01* +X101139821Y-139026182D01* +X101099138Y-139020147D01* +X101059242Y-139010154D01* +X101020517Y-138996298D01* +X100983338Y-138978713D01* +X100948061Y-138957569D01* +X100915026Y-138933069D01* +X100884552Y-138905448D01* +X100856931Y-138874974D01* +X100832431Y-138841939D01* +X100811287Y-138806662D01* +X100793702Y-138769483D01* +X100779846Y-138730758D01* +X100769853Y-138690862D01* +X100763818Y-138650179D01* +X100761800Y-138609100D01* +X100761800Y-131954900D01* +X100763818Y-131913821D01* +X100769853Y-131873138D01* +X100779846Y-131833242D01* +X100793702Y-131794517D01* +X100811287Y-131757338D01* +X100832431Y-131722061D01* +X100856931Y-131689026D01* +X100884552Y-131658552D01* +X100915026Y-131630931D01* +X100948061Y-131606431D01* +X100983338Y-131585287D01* +X101020517Y-131567702D01* +X101059242Y-131553846D01* +X101099138Y-131543853D01* +X101139821Y-131537818D01* +X101180900Y-131535800D01* +X102019100Y-131535800D01* +X102060179Y-131537818D01* +G37* +G36* +X99520179Y-131537818D02* +G01* +X99560862Y-131543853D01* +X99600758Y-131553846D01* +X99639483Y-131567702D01* +X99676662Y-131585287D01* +X99711939Y-131606431D01* +X99744974Y-131630931D01* +X99775448Y-131658552D01* +X99803069Y-131689026D01* +X99827569Y-131722061D01* +X99848713Y-131757338D01* +X99866298Y-131794517D01* +X99880154Y-131833242D01* +X99890147Y-131873138D01* +X99896182Y-131913821D01* +X99898200Y-131954900D01* +X99898200Y-138609100D01* +X99896182Y-138650179D01* +X99890147Y-138690862D01* +X99880154Y-138730758D01* +X99866298Y-138769483D01* +X99848713Y-138806662D01* +X99827569Y-138841939D01* +X99803069Y-138874974D01* +X99775448Y-138905448D01* +X99744974Y-138933069D01* +X99711939Y-138957569D01* +X99676662Y-138978713D01* +X99639483Y-138996298D01* +X99600758Y-139010154D01* +X99560862Y-139020147D01* +X99520179Y-139026182D01* +X99479100Y-139028200D01* +X98640900Y-139028200D01* +X98599821Y-139026182D01* +X98559138Y-139020147D01* +X98519242Y-139010154D01* +X98480517Y-138996298D01* +X98443338Y-138978713D01* +X98408061Y-138957569D01* +X98375026Y-138933069D01* +X98344552Y-138905448D01* +X98316931Y-138874974D01* +X98292431Y-138841939D01* +X98271287Y-138806662D01* +X98253702Y-138769483D01* +X98239846Y-138730758D01* +X98229853Y-138690862D01* +X98223818Y-138650179D01* +X98221800Y-138609100D01* +X98221800Y-131954900D01* +X98223818Y-131913821D01* +X98229853Y-131873138D01* +X98239846Y-131833242D01* +X98253702Y-131794517D01* +X98271287Y-131757338D01* +X98292431Y-131722061D01* +X98316931Y-131689026D01* +X98344552Y-131658552D01* +X98375026Y-131630931D01* +X98408061Y-131606431D01* +X98443338Y-131585287D01* +X98480517Y-131567702D01* +X98519242Y-131553846D01* +X98559138Y-131543853D01* +X98599821Y-131537818D01* +X98640900Y-131535800D01* +X99479100Y-131535800D01* +X99520179Y-131537818D01* +G37* +G36* +X96980179Y-131537818D02* +G01* +X97020862Y-131543853D01* +X97060758Y-131553846D01* +X97099483Y-131567702D01* +X97136662Y-131585287D01* +X97171939Y-131606431D01* +X97204974Y-131630931D01* +X97235448Y-131658552D01* +X97263069Y-131689026D01* +X97287569Y-131722061D01* +X97308713Y-131757338D01* +X97326298Y-131794517D01* +X97340154Y-131833242D01* +X97350147Y-131873138D01* +X97356182Y-131913821D01* +X97358200Y-131954900D01* +X97358200Y-138609100D01* +X97356182Y-138650179D01* +X97350147Y-138690862D01* +X97340154Y-138730758D01* +X97326298Y-138769483D01* +X97308713Y-138806662D01* +X97287569Y-138841939D01* +X97263069Y-138874974D01* +X97235448Y-138905448D01* +X97204974Y-138933069D01* +X97171939Y-138957569D01* +X97136662Y-138978713D01* +X97099483Y-138996298D01* +X97060758Y-139010154D01* +X97020862Y-139020147D01* +X96980179Y-139026182D01* +X96939100Y-139028200D01* +X96100900Y-139028200D01* +X96059821Y-139026182D01* +X96019138Y-139020147D01* +X95979242Y-139010154D01* +X95940517Y-138996298D01* +X95903338Y-138978713D01* +X95868061Y-138957569D01* +X95835026Y-138933069D01* +X95804552Y-138905448D01* +X95776931Y-138874974D01* +X95752431Y-138841939D01* +X95731287Y-138806662D01* +X95713702Y-138769483D01* +X95699846Y-138730758D01* +X95689853Y-138690862D01* +X95683818Y-138650179D01* +X95681800Y-138609100D01* +X95681800Y-131954900D01* +X95683818Y-131913821D01* +X95689853Y-131873138D01* +X95699846Y-131833242D01* +X95713702Y-131794517D01* +X95731287Y-131757338D01* +X95752431Y-131722061D01* +X95776931Y-131689026D01* +X95804552Y-131658552D01* +X95835026Y-131630931D01* +X95868061Y-131606431D01* +X95903338Y-131585287D01* +X95940517Y-131567702D01* +X95979242Y-131553846D01* +X96019138Y-131543853D01* +X96059821Y-131537818D01* +X96100900Y-131535800D01* +X96939100Y-131535800D01* +X96980179Y-131537818D01* +G37* +G36* +X94440179Y-131537818D02* +G01* +X94480862Y-131543853D01* +X94520758Y-131553846D01* +X94559483Y-131567702D01* +X94596662Y-131585287D01* +X94631939Y-131606431D01* +X94664974Y-131630931D01* +X94695448Y-131658552D01* +X94723069Y-131689026D01* +X94747569Y-131722061D01* +X94768713Y-131757338D01* +X94786298Y-131794517D01* +X94800154Y-131833242D01* +X94810147Y-131873138D01* +X94816182Y-131913821D01* +X94818200Y-131954900D01* +X94818200Y-138609100D01* +X94816182Y-138650179D01* +X94810147Y-138690862D01* +X94800154Y-138730758D01* +X94786298Y-138769483D01* +X94768713Y-138806662D01* +X94747569Y-138841939D01* +X94723069Y-138874974D01* +X94695448Y-138905448D01* +X94664974Y-138933069D01* +X94631939Y-138957569D01* +X94596662Y-138978713D01* +X94559483Y-138996298D01* +X94520758Y-139010154D01* +X94480862Y-139020147D01* +X94440179Y-139026182D01* +X94399100Y-139028200D01* +X93560900Y-139028200D01* +X93519821Y-139026182D01* +X93479138Y-139020147D01* +X93439242Y-139010154D01* +X93400517Y-138996298D01* +X93363338Y-138978713D01* +X93328061Y-138957569D01* +X93295026Y-138933069D01* +X93264552Y-138905448D01* +X93236931Y-138874974D01* +X93212431Y-138841939D01* +X93191287Y-138806662D01* +X93173702Y-138769483D01* +X93159846Y-138730758D01* +X93149853Y-138690862D01* +X93143818Y-138650179D01* +X93141800Y-138609100D01* +X93141800Y-131954900D01* +X93143818Y-131913821D01* +X93149853Y-131873138D01* +X93159846Y-131833242D01* +X93173702Y-131794517D01* +X93191287Y-131757338D01* +X93212431Y-131722061D01* +X93236931Y-131689026D01* +X93264552Y-131658552D01* +X93295026Y-131630931D01* +X93328061Y-131606431D01* +X93363338Y-131585287D01* +X93400517Y-131567702D01* +X93439242Y-131553846D01* +X93479138Y-131543853D01* +X93519821Y-131537818D01* +X93560900Y-131535800D01* +X94399100Y-131535800D01* +X94440179Y-131537818D01* +G37* +G36* +X91900179Y-131537818D02* +G01* +X91940862Y-131543853D01* +X91980758Y-131553846D01* +X92019483Y-131567702D01* +X92056662Y-131585287D01* +X92091939Y-131606431D01* +X92124974Y-131630931D01* +X92155448Y-131658552D01* +X92183069Y-131689026D01* +X92207569Y-131722061D01* +X92228713Y-131757338D01* +X92246298Y-131794517D01* +X92260154Y-131833242D01* +X92270147Y-131873138D01* +X92276182Y-131913821D01* +X92278200Y-131954900D01* +X92278200Y-138609100D01* +X92276182Y-138650179D01* +X92270147Y-138690862D01* +X92260154Y-138730758D01* +X92246298Y-138769483D01* +X92228713Y-138806662D01* +X92207569Y-138841939D01* +X92183069Y-138874974D01* +X92155448Y-138905448D01* +X92124974Y-138933069D01* +X92091939Y-138957569D01* +X92056662Y-138978713D01* +X92019483Y-138996298D01* +X91980758Y-139010154D01* +X91940862Y-139020147D01* +X91900179Y-139026182D01* +X91859100Y-139028200D01* +X91020900Y-139028200D01* +X90979821Y-139026182D01* +X90939138Y-139020147D01* +X90899242Y-139010154D01* +X90860517Y-138996298D01* +X90823338Y-138978713D01* +X90788061Y-138957569D01* +X90755026Y-138933069D01* +X90724552Y-138905448D01* +X90696931Y-138874974D01* +X90672431Y-138841939D01* +X90651287Y-138806662D01* +X90633702Y-138769483D01* +X90619846Y-138730758D01* +X90609853Y-138690862D01* +X90603818Y-138650179D01* +X90601800Y-138609100D01* +X90601800Y-131954900D01* +X90603818Y-131913821D01* +X90609853Y-131873138D01* +X90619846Y-131833242D01* +X90633702Y-131794517D01* +X90651287Y-131757338D01* +X90672431Y-131722061D01* +X90696931Y-131689026D01* +X90724552Y-131658552D01* +X90755026Y-131630931D01* +X90788061Y-131606431D01* +X90823338Y-131585287D01* +X90860517Y-131567702D01* +X90899242Y-131553846D01* +X90939138Y-131543853D01* +X90979821Y-131537818D01* +X91020900Y-131535800D01* +X91859100Y-131535800D01* +X91900179Y-131537818D01* +G37* +G36* +X89360179Y-131537818D02* +G01* +X89400862Y-131543853D01* +X89440758Y-131553846D01* +X89479483Y-131567702D01* +X89516662Y-131585287D01* +X89551939Y-131606431D01* +X89584974Y-131630931D01* +X89615448Y-131658552D01* +X89643069Y-131689026D01* +X89667569Y-131722061D01* +X89688713Y-131757338D01* +X89706298Y-131794517D01* +X89720154Y-131833242D01* +X89730147Y-131873138D01* +X89736182Y-131913821D01* +X89738200Y-131954900D01* +X89738200Y-138609100D01* +X89736182Y-138650179D01* +X89730147Y-138690862D01* +X89720154Y-138730758D01* +X89706298Y-138769483D01* +X89688713Y-138806662D01* +X89667569Y-138841939D01* +X89643069Y-138874974D01* +X89615448Y-138905448D01* +X89584974Y-138933069D01* +X89551939Y-138957569D01* +X89516662Y-138978713D01* +X89479483Y-138996298D01* +X89440758Y-139010154D01* +X89400862Y-139020147D01* +X89360179Y-139026182D01* +X89319100Y-139028200D01* +X88480900Y-139028200D01* +X88439821Y-139026182D01* +X88399138Y-139020147D01* +X88359242Y-139010154D01* +X88320517Y-138996298D01* +X88283338Y-138978713D01* +X88248061Y-138957569D01* +X88215026Y-138933069D01* +X88184552Y-138905448D01* +X88156931Y-138874974D01* +X88132431Y-138841939D01* +X88111287Y-138806662D01* +X88093702Y-138769483D01* +X88079846Y-138730758D01* +X88069853Y-138690862D01* +X88063818Y-138650179D01* +X88061800Y-138609100D01* +X88061800Y-131954900D01* +X88063818Y-131913821D01* +X88069853Y-131873138D01* +X88079846Y-131833242D01* +X88093702Y-131794517D01* +X88111287Y-131757338D01* +X88132431Y-131722061D01* +X88156931Y-131689026D01* +X88184552Y-131658552D01* +X88215026Y-131630931D01* +X88248061Y-131606431D01* +X88283338Y-131585287D01* +X88320517Y-131567702D01* +X88359242Y-131553846D01* +X88399138Y-131543853D01* +X88439821Y-131537818D01* +X88480900Y-131535800D01* +X89319100Y-131535800D01* +X89360179Y-131537818D01* +G37* +G36* +X86820179Y-131537818D02* +G01* +X86860862Y-131543853D01* +X86900758Y-131553846D01* +X86939483Y-131567702D01* +X86976662Y-131585287D01* +X87011939Y-131606431D01* +X87044974Y-131630931D01* +X87075448Y-131658552D01* +X87103069Y-131689026D01* +X87127569Y-131722061D01* +X87148713Y-131757338D01* +X87166298Y-131794517D01* +X87180154Y-131833242D01* +X87190147Y-131873138D01* +X87196182Y-131913821D01* +X87198200Y-131954900D01* +X87198200Y-138609100D01* +X87196182Y-138650179D01* +X87190147Y-138690862D01* +X87180154Y-138730758D01* +X87166298Y-138769483D01* +X87148713Y-138806662D01* +X87127569Y-138841939D01* +X87103069Y-138874974D01* +X87075448Y-138905448D01* +X87044974Y-138933069D01* +X87011939Y-138957569D01* +X86976662Y-138978713D01* +X86939483Y-138996298D01* +X86900758Y-139010154D01* +X86860862Y-139020147D01* +X86820179Y-139026182D01* +X86779100Y-139028200D01* +X85940900Y-139028200D01* +X85899821Y-139026182D01* +X85859138Y-139020147D01* +X85819242Y-139010154D01* +X85780517Y-138996298D01* +X85743338Y-138978713D01* +X85708061Y-138957569D01* +X85675026Y-138933069D01* +X85644552Y-138905448D01* +X85616931Y-138874974D01* +X85592431Y-138841939D01* +X85571287Y-138806662D01* +X85553702Y-138769483D01* +X85539846Y-138730758D01* +X85529853Y-138690862D01* +X85523818Y-138650179D01* +X85521800Y-138609100D01* +X85521800Y-131954900D01* +X85523818Y-131913821D01* +X85529853Y-131873138D01* +X85539846Y-131833242D01* +X85553702Y-131794517D01* +X85571287Y-131757338D01* +X85592431Y-131722061D01* +X85616931Y-131689026D01* +X85644552Y-131658552D01* +X85675026Y-131630931D01* +X85708061Y-131606431D01* +X85743338Y-131585287D01* +X85780517Y-131567702D01* +X85819242Y-131553846D01* +X85859138Y-131543853D01* +X85899821Y-131537818D01* +X85940900Y-131535800D01* +X86779100Y-131535800D01* +X86820179Y-131537818D01* +G37* +G36* +X84280179Y-131537818D02* +G01* +X84320862Y-131543853D01* +X84360758Y-131553846D01* +X84399483Y-131567702D01* +X84436662Y-131585287D01* +X84471939Y-131606431D01* +X84504974Y-131630931D01* +X84535448Y-131658552D01* +X84563069Y-131689026D01* +X84587569Y-131722061D01* +X84608713Y-131757338D01* +X84626298Y-131794517D01* +X84640154Y-131833242D01* +X84650147Y-131873138D01* +X84656182Y-131913821D01* +X84658200Y-131954900D01* +X84658200Y-138609100D01* +X84656182Y-138650179D01* +X84650147Y-138690862D01* +X84640154Y-138730758D01* +X84626298Y-138769483D01* +X84608713Y-138806662D01* +X84587569Y-138841939D01* +X84563069Y-138874974D01* +X84535448Y-138905448D01* +X84504974Y-138933069D01* +X84471939Y-138957569D01* +X84436662Y-138978713D01* +X84399483Y-138996298D01* +X84360758Y-139010154D01* +X84320862Y-139020147D01* +X84280179Y-139026182D01* +X84239100Y-139028200D01* +X83400900Y-139028200D01* +X83359821Y-139026182D01* +X83319138Y-139020147D01* +X83279242Y-139010154D01* +X83240517Y-138996298D01* +X83203338Y-138978713D01* +X83168061Y-138957569D01* +X83135026Y-138933069D01* +X83104552Y-138905448D01* +X83076931Y-138874974D01* +X83052431Y-138841939D01* +X83031287Y-138806662D01* +X83013702Y-138769483D01* +X82999846Y-138730758D01* +X82989853Y-138690862D01* +X82983818Y-138650179D01* +X82981800Y-138609100D01* +X82981800Y-131954900D01* +X82983818Y-131913821D01* +X82989853Y-131873138D01* +X82999846Y-131833242D01* +X83013702Y-131794517D01* +X83031287Y-131757338D01* +X83052431Y-131722061D01* +X83076931Y-131689026D01* +X83104552Y-131658552D01* +X83135026Y-131630931D01* +X83168061Y-131606431D01* +X83203338Y-131585287D01* +X83240517Y-131567702D01* +X83279242Y-131553846D01* +X83319138Y-131543853D01* +X83359821Y-131537818D01* +X83400900Y-131535800D01* +X84239100Y-131535800D01* +X84280179Y-131537818D01* +G37* +G36* +X81740179Y-131537818D02* +G01* +X81780862Y-131543853D01* +X81820758Y-131553846D01* +X81859483Y-131567702D01* +X81896662Y-131585287D01* +X81931939Y-131606431D01* +X81964974Y-131630931D01* +X81995448Y-131658552D01* +X82023069Y-131689026D01* +X82047569Y-131722061D01* +X82068713Y-131757338D01* +X82086298Y-131794517D01* +X82100154Y-131833242D01* +X82110147Y-131873138D01* +X82116182Y-131913821D01* +X82118200Y-131954900D01* +X82118200Y-138609100D01* +X82116182Y-138650179D01* +X82110147Y-138690862D01* +X82100154Y-138730758D01* +X82086298Y-138769483D01* +X82068713Y-138806662D01* +X82047569Y-138841939D01* +X82023069Y-138874974D01* +X81995448Y-138905448D01* +X81964974Y-138933069D01* +X81931939Y-138957569D01* +X81896662Y-138978713D01* +X81859483Y-138996298D01* +X81820758Y-139010154D01* +X81780862Y-139020147D01* +X81740179Y-139026182D01* +X81699100Y-139028200D01* +X80860900Y-139028200D01* +X80819821Y-139026182D01* +X80779138Y-139020147D01* +X80739242Y-139010154D01* +X80700517Y-138996298D01* +X80663338Y-138978713D01* +X80628061Y-138957569D01* +X80595026Y-138933069D01* +X80564552Y-138905448D01* +X80536931Y-138874974D01* +X80512431Y-138841939D01* +X80491287Y-138806662D01* +X80473702Y-138769483D01* +X80459846Y-138730758D01* +X80449853Y-138690862D01* +X80443818Y-138650179D01* +X80441800Y-138609100D01* +X80441800Y-131954900D01* +X80443818Y-131913821D01* +X80449853Y-131873138D01* +X80459846Y-131833242D01* +X80473702Y-131794517D01* +X80491287Y-131757338D01* +X80512431Y-131722061D01* +X80536931Y-131689026D01* +X80564552Y-131658552D01* +X80595026Y-131630931D01* +X80628061Y-131606431D01* +X80663338Y-131585287D01* +X80700517Y-131567702D01* +X80739242Y-131553846D01* +X80779138Y-131543853D01* +X80819821Y-131537818D01* +X80860900Y-131535800D01* +X81699100Y-131535800D01* +X81740179Y-131537818D01* +G37* +G36* +X79200179Y-131537818D02* +G01* +X79240862Y-131543853D01* +X79280758Y-131553846D01* +X79319483Y-131567702D01* +X79356662Y-131585287D01* +X79391939Y-131606431D01* +X79424974Y-131630931D01* +X79455448Y-131658552D01* +X79483069Y-131689026D01* +X79507569Y-131722061D01* +X79528713Y-131757338D01* +X79546298Y-131794517D01* +X79560154Y-131833242D01* +X79570147Y-131873138D01* +X79576182Y-131913821D01* +X79578200Y-131954900D01* +X79578200Y-138609100D01* +X79576182Y-138650179D01* +X79570147Y-138690862D01* +X79560154Y-138730758D01* +X79546298Y-138769483D01* +X79528713Y-138806662D01* +X79507569Y-138841939D01* +X79483069Y-138874974D01* +X79455448Y-138905448D01* +X79424974Y-138933069D01* +X79391939Y-138957569D01* +X79356662Y-138978713D01* +X79319483Y-138996298D01* +X79280758Y-139010154D01* +X79240862Y-139020147D01* +X79200179Y-139026182D01* +X79159100Y-139028200D01* +X78320900Y-139028200D01* +X78279821Y-139026182D01* +X78239138Y-139020147D01* +X78199242Y-139010154D01* +X78160517Y-138996298D01* +X78123338Y-138978713D01* +X78088061Y-138957569D01* +X78055026Y-138933069D01* +X78024552Y-138905448D01* +X77996931Y-138874974D01* +X77972431Y-138841939D01* +X77951287Y-138806662D01* +X77933702Y-138769483D01* +X77919846Y-138730758D01* +X77909853Y-138690862D01* +X77903818Y-138650179D01* +X77901800Y-138609100D01* +X77901800Y-131954900D01* +X77903818Y-131913821D01* +X77909853Y-131873138D01* +X77919846Y-131833242D01* +X77933702Y-131794517D01* +X77951287Y-131757338D01* +X77972431Y-131722061D01* +X77996931Y-131689026D01* +X78024552Y-131658552D01* +X78055026Y-131630931D01* +X78088061Y-131606431D01* +X78123338Y-131585287D01* +X78160517Y-131567702D01* +X78199242Y-131553846D01* +X78239138Y-131543853D01* +X78279821Y-131537818D01* +X78320900Y-131535800D01* +X79159100Y-131535800D01* +X79200179Y-131537818D01* +G37* +G36* +X76660179Y-131537818D02* +G01* +X76700862Y-131543853D01* +X76740758Y-131553846D01* +X76779483Y-131567702D01* +X76816662Y-131585287D01* +X76851939Y-131606431D01* +X76884974Y-131630931D01* +X76915448Y-131658552D01* +X76943069Y-131689026D01* +X76967569Y-131722061D01* +X76988713Y-131757338D01* +X77006298Y-131794517D01* +X77020154Y-131833242D01* +X77030147Y-131873138D01* +X77036182Y-131913821D01* +X77038200Y-131954900D01* +X77038200Y-138609100D01* +X77036182Y-138650179D01* +X77030147Y-138690862D01* +X77020154Y-138730758D01* +X77006298Y-138769483D01* +X76988713Y-138806662D01* +X76967569Y-138841939D01* +X76943069Y-138874974D01* +X76915448Y-138905448D01* +X76884974Y-138933069D01* +X76851939Y-138957569D01* +X76816662Y-138978713D01* +X76779483Y-138996298D01* +X76740758Y-139010154D01* +X76700862Y-139020147D01* +X76660179Y-139026182D01* +X76619100Y-139028200D01* +X75780900Y-139028200D01* +X75739821Y-139026182D01* +X75699138Y-139020147D01* +X75659242Y-139010154D01* +X75620517Y-138996298D01* +X75583338Y-138978713D01* +X75548061Y-138957569D01* +X75515026Y-138933069D01* +X75484552Y-138905448D01* +X75456931Y-138874974D01* +X75432431Y-138841939D01* +X75411287Y-138806662D01* +X75393702Y-138769483D01* +X75379846Y-138730758D01* +X75369853Y-138690862D01* +X75363818Y-138650179D01* +X75361800Y-138609100D01* +X75361800Y-131954900D01* +X75363818Y-131913821D01* +X75369853Y-131873138D01* +X75379846Y-131833242D01* +X75393702Y-131794517D01* +X75411287Y-131757338D01* +X75432431Y-131722061D01* +X75456931Y-131689026D01* +X75484552Y-131658552D01* +X75515026Y-131630931D01* +X75548061Y-131606431D01* +X75583338Y-131585287D01* +X75620517Y-131567702D01* +X75659242Y-131553846D01* +X75699138Y-131543853D01* +X75739821Y-131537818D01* +X75780900Y-131535800D01* +X76619100Y-131535800D01* +X76660179Y-131537818D01* +G37* +G36* +X78230064Y-127114247D02* +G01* +X78259244Y-127118576D01* +X78287860Y-127125744D01* +X78315635Y-127135682D01* +X78342302Y-127148294D01* +X78367604Y-127163460D01* +X78391299Y-127181033D01* +X78413156Y-127200844D01* +X78432967Y-127222701D01* +X78450540Y-127246396D01* +X78465706Y-127271698D01* +X78478318Y-127298365D01* +X78488256Y-127326140D01* +X78495424Y-127354756D01* +X78499753Y-127383936D01* +X78501200Y-127413400D01* +X78501200Y-128364600D01* +X78499753Y-128394064D01* +X78495424Y-128423244D01* +X78488256Y-128451860D01* +X78478318Y-128479635D01* +X78465706Y-128506302D01* +X78450540Y-128531604D01* +X78432967Y-128555299D01* +X78413156Y-128577156D01* +X78391299Y-128596967D01* +X78367604Y-128614540D01* +X78342302Y-128629706D01* +X78315635Y-128642318D01* +X78287860Y-128652256D01* +X78259244Y-128659424D01* +X78230064Y-128663753D01* +X78200600Y-128665200D01* +X77599400Y-128665200D01* +X77569936Y-128663753D01* +X77540756Y-128659424D01* +X77512140Y-128652256D01* +X77484365Y-128642318D01* +X77457698Y-128629706D01* +X77432396Y-128614540D01* +X77408701Y-128596967D01* +X77386844Y-128577156D01* +X77367033Y-128555299D01* +X77349460Y-128531604D01* +X77334294Y-128506302D01* +X77321682Y-128479635D01* +X77311744Y-128451860D01* +X77304576Y-128423244D01* +X77300247Y-128394064D01* +X77298800Y-128364600D01* +X77298800Y-127413400D01* +X77300247Y-127383936D01* +X77304576Y-127354756D01* +X77311744Y-127326140D01* +X77321682Y-127298365D01* +X77334294Y-127271698D01* +X77349460Y-127246396D01* +X77367033Y-127222701D01* +X77386844Y-127200844D01* +X77408701Y-127181033D01* +X77432396Y-127163460D01* +X77457698Y-127148294D01* +X77484365Y-127135682D01* +X77512140Y-127125744D01* +X77540756Y-127118576D01* +X77569936Y-127114247D01* +X77599400Y-127112800D01* +X78200600Y-127112800D01* +X78230064Y-127114247D01* +G37* +G36* +X76530064Y-127114247D02* +G01* +X76559244Y-127118576D01* +X76587860Y-127125744D01* +X76615635Y-127135682D01* +X76642302Y-127148294D01* +X76667604Y-127163460D01* +X76691299Y-127181033D01* +X76713156Y-127200844D01* +X76732967Y-127222701D01* +X76750540Y-127246396D01* +X76765706Y-127271698D01* +X76778318Y-127298365D01* +X76788256Y-127326140D01* +X76795424Y-127354756D01* +X76799753Y-127383936D01* +X76801200Y-127413400D01* +X76801200Y-128364600D01* +X76799753Y-128394064D01* +X76795424Y-128423244D01* +X76788256Y-128451860D01* +X76778318Y-128479635D01* +X76765706Y-128506302D01* +X76750540Y-128531604D01* +X76732967Y-128555299D01* +X76713156Y-128577156D01* +X76691299Y-128596967D01* +X76667604Y-128614540D01* +X76642302Y-128629706D01* +X76615635Y-128642318D01* +X76587860Y-128652256D01* +X76559244Y-128659424D01* +X76530064Y-128663753D01* +X76500600Y-128665200D01* +X75899400Y-128665200D01* +X75869936Y-128663753D01* +X75840756Y-128659424D01* +X75812140Y-128652256D01* +X75784365Y-128642318D01* +X75757698Y-128629706D01* +X75732396Y-128614540D01* +X75708701Y-128596967D01* +X75686844Y-128577156D01* +X75667033Y-128555299D01* +X75649460Y-128531604D01* +X75634294Y-128506302D01* +X75621682Y-128479635D01* +X75611744Y-128451860D01* +X75604576Y-128423244D01* +X75600247Y-128394064D01* +X75598800Y-128364600D01* +X75598800Y-127413400D01* +X75600247Y-127383936D01* +X75604576Y-127354756D01* +X75611744Y-127326140D01* +X75621682Y-127298365D01* +X75634294Y-127271698D01* +X75649460Y-127246396D01* +X75667033Y-127222701D01* +X75686844Y-127200844D01* +X75708701Y-127181033D01* +X75732396Y-127163460D01* +X75757698Y-127148294D01* +X75784365Y-127135682D01* +X75812140Y-127125744D01* +X75840756Y-127118576D01* +X75869936Y-127114247D01* +X75899400Y-127112800D01* +X76500600Y-127112800D01* +X76530064Y-127114247D01* +G37* +G36* +X119967537Y-112364706D02* +G01* +X119985796Y-112367414D01* +X120003703Y-112371900D01* +X120021083Y-112378118D01* +X120037770Y-112386011D01* +X120053603Y-112395501D01* +X120068429Y-112406497D01* +X120082107Y-112418893D01* +X120094503Y-112432571D01* +X120105499Y-112447397D01* +X120114989Y-112463230D01* +X120122882Y-112479917D01* +X120129100Y-112497297D01* +X120133586Y-112515204D01* +X120136294Y-112533463D01* +X120137200Y-112551900D01* +X120137200Y-113803100D01* +X120136294Y-113821537D01* +X120133586Y-113839796D01* +X120129100Y-113857703D01* +X120122882Y-113875083D01* +X120114989Y-113891770D01* +X120105499Y-113907603D01* +X120094503Y-113922429D01* +X120082107Y-113936107D01* +X120068429Y-113948503D01* +X120053603Y-113959499D01* +X120037770Y-113968989D01* +X120021083Y-113976882D01* +X120003703Y-113983100D01* +X119985796Y-113987586D01* +X119967537Y-113990294D01* +X119949100Y-113991200D01* +X119572900Y-113991200D01* +X119554463Y-113990294D01* +X119536204Y-113987586D01* +X119518297Y-113983100D01* +X119500917Y-113976882D01* +X119484230Y-113968989D01* +X119468397Y-113959499D01* +X119453571Y-113948503D01* +X119439893Y-113936107D01* +X119427497Y-113922429D01* +X119416501Y-113907603D01* +X119407011Y-113891770D01* +X119399118Y-113875083D01* +X119392900Y-113857703D01* +X119388414Y-113839796D01* +X119385706Y-113821537D01* +X119384800Y-113803100D01* +X119384800Y-112551900D01* +X119385706Y-112533463D01* +X119388414Y-112515204D01* +X119392900Y-112497297D01* +X119399118Y-112479917D01* +X119407011Y-112463230D01* +X119416501Y-112447397D01* +X119427497Y-112432571D01* +X119439893Y-112418893D01* +X119453571Y-112406497D01* +X119468397Y-112395501D01* +X119484230Y-112386011D01* +X119500917Y-112378118D01* +X119518297Y-112371900D01* +X119536204Y-112367414D01* +X119554463Y-112364706D01* +X119572900Y-112363800D01* +X119949100Y-112363800D01* +X119967537Y-112364706D01* +G37* +G36* +X118697537Y-112364706D02* +G01* +X118715796Y-112367414D01* +X118733703Y-112371900D01* +X118751083Y-112378118D01* +X118767770Y-112386011D01* +X118783603Y-112395501D01* +X118798429Y-112406497D01* +X118812107Y-112418893D01* +X118824503Y-112432571D01* +X118835499Y-112447397D01* +X118844989Y-112463230D01* +X118852882Y-112479917D01* +X118859100Y-112497297D01* +X118863586Y-112515204D01* +X118866294Y-112533463D01* +X118867200Y-112551900D01* +X118867200Y-113803100D01* +X118866294Y-113821537D01* +X118863586Y-113839796D01* +X118859100Y-113857703D01* +X118852882Y-113875083D01* +X118844989Y-113891770D01* +X118835499Y-113907603D01* +X118824503Y-113922429D01* +X118812107Y-113936107D01* +X118798429Y-113948503D01* +X118783603Y-113959499D01* +X118767770Y-113968989D01* +X118751083Y-113976882D01* +X118733703Y-113983100D01* +X118715796Y-113987586D01* +X118697537Y-113990294D01* +X118679100Y-113991200D01* +X118302900Y-113991200D01* +X118284463Y-113990294D01* +X118266204Y-113987586D01* +X118248297Y-113983100D01* +X118230917Y-113976882D01* +X118214230Y-113968989D01* +X118198397Y-113959499D01* +X118183571Y-113948503D01* +X118169893Y-113936107D01* +X118157497Y-113922429D01* +X118146501Y-113907603D01* +X118137011Y-113891770D01* +X118129118Y-113875083D01* +X118122900Y-113857703D01* +X118118414Y-113839796D01* +X118115706Y-113821537D01* +X118114800Y-113803100D01* +X118114800Y-112551900D01* +X118115706Y-112533463D01* +X118118414Y-112515204D01* +X118122900Y-112497297D01* +X118129118Y-112479917D01* +X118137011Y-112463230D01* +X118146501Y-112447397D01* +X118157497Y-112432571D01* +X118169893Y-112418893D01* +X118183571Y-112406497D01* +X118198397Y-112395501D01* +X118214230Y-112386011D01* +X118230917Y-112378118D01* +X118248297Y-112371900D01* +X118266204Y-112367414D01* +X118284463Y-112364706D01* +X118302900Y-112363800D01* +X118679100Y-112363800D01* +X118697537Y-112364706D01* +G37* +G36* +X117427537Y-112364706D02* +G01* +X117445796Y-112367414D01* +X117463703Y-112371900D01* +X117481083Y-112378118D01* +X117497770Y-112386011D01* +X117513603Y-112395501D01* +X117528429Y-112406497D01* +X117542107Y-112418893D01* +X117554503Y-112432571D01* +X117565499Y-112447397D01* +X117574989Y-112463230D01* +X117582882Y-112479917D01* +X117589100Y-112497297D01* +X117593586Y-112515204D01* +X117596294Y-112533463D01* +X117597200Y-112551900D01* +X117597200Y-113803100D01* +X117596294Y-113821537D01* +X117593586Y-113839796D01* +X117589100Y-113857703D01* +X117582882Y-113875083D01* +X117574989Y-113891770D01* +X117565499Y-113907603D01* +X117554503Y-113922429D01* +X117542107Y-113936107D01* +X117528429Y-113948503D01* +X117513603Y-113959499D01* +X117497770Y-113968989D01* +X117481083Y-113976882D01* +X117463703Y-113983100D01* +X117445796Y-113987586D01* +X117427537Y-113990294D01* +X117409100Y-113991200D01* +X117032900Y-113991200D01* +X117014463Y-113990294D01* +X116996204Y-113987586D01* +X116978297Y-113983100D01* +X116960917Y-113976882D01* +X116944230Y-113968989D01* +X116928397Y-113959499D01* +X116913571Y-113948503D01* +X116899893Y-113936107D01* +X116887497Y-113922429D01* +X116876501Y-113907603D01* +X116867011Y-113891770D01* +X116859118Y-113875083D01* +X116852900Y-113857703D01* +X116848414Y-113839796D01* +X116845706Y-113821537D01* +X116844800Y-113803100D01* +X116844800Y-112551900D01* +X116845706Y-112533463D01* +X116848414Y-112515204D01* +X116852900Y-112497297D01* +X116859118Y-112479917D01* +X116867011Y-112463230D01* +X116876501Y-112447397D01* +X116887497Y-112432571D01* +X116899893Y-112418893D01* +X116913571Y-112406497D01* +X116928397Y-112395501D01* +X116944230Y-112386011D01* +X116960917Y-112378118D01* +X116978297Y-112371900D01* +X116996204Y-112367414D01* +X117014463Y-112364706D01* +X117032900Y-112363800D01* +X117409100Y-112363800D01* +X117427537Y-112364706D01* +G37* +G36* +X116157537Y-112364706D02* +G01* +X116175796Y-112367414D01* +X116193703Y-112371900D01* +X116211083Y-112378118D01* +X116227770Y-112386011D01* +X116243603Y-112395501D01* +X116258429Y-112406497D01* +X116272107Y-112418893D01* +X116284503Y-112432571D01* +X116295499Y-112447397D01* +X116304989Y-112463230D01* +X116312882Y-112479917D01* +X116319100Y-112497297D01* +X116323586Y-112515204D01* +X116326294Y-112533463D01* +X116327200Y-112551900D01* +X116327200Y-113803100D01* +X116326294Y-113821537D01* +X116323586Y-113839796D01* +X116319100Y-113857703D01* +X116312882Y-113875083D01* +X116304989Y-113891770D01* +X116295499Y-113907603D01* +X116284503Y-113922429D01* +X116272107Y-113936107D01* +X116258429Y-113948503D01* +X116243603Y-113959499D01* +X116227770Y-113968989D01* +X116211083Y-113976882D01* +X116193703Y-113983100D01* +X116175796Y-113987586D01* +X116157537Y-113990294D01* +X116139100Y-113991200D01* +X115762900Y-113991200D01* +X115744463Y-113990294D01* +X115726204Y-113987586D01* +X115708297Y-113983100D01* +X115690917Y-113976882D01* +X115674230Y-113968989D01* +X115658397Y-113959499D01* +X115643571Y-113948503D01* +X115629893Y-113936107D01* +X115617497Y-113922429D01* +X115606501Y-113907603D01* +X115597011Y-113891770D01* +X115589118Y-113875083D01* +X115582900Y-113857703D01* +X115578414Y-113839796D01* +X115575706Y-113821537D01* +X115574800Y-113803100D01* +X115574800Y-112551900D01* +X115575706Y-112533463D01* +X115578414Y-112515204D01* +X115582900Y-112497297D01* +X115589118Y-112479917D01* +X115597011Y-112463230D01* +X115606501Y-112447397D01* +X115617497Y-112432571D01* +X115629893Y-112418893D01* +X115643571Y-112406497D01* +X115658397Y-112395501D01* +X115674230Y-112386011D01* +X115690917Y-112378118D01* +X115708297Y-112371900D01* +X115726204Y-112367414D01* +X115744463Y-112364706D01* +X115762900Y-112363800D01* +X116139100Y-112363800D01* +X116157537Y-112364706D01* +G37* +G36* +X114842537Y-114559706D02* +G01* +X114860796Y-114562414D01* +X114878703Y-114566900D01* +X114896083Y-114573118D01* +X114912770Y-114581011D01* +X114928603Y-114590501D01* +X114943429Y-114601497D01* +X114957107Y-114613893D01* +X114969503Y-114627571D01* +X114980499Y-114642397D01* +X114989989Y-114658230D01* +X114997882Y-114674917D01* +X115004100Y-114692297D01* +X115008586Y-114710204D01* +X115011294Y-114728463D01* +X115012200Y-114746900D01* +X115012200Y-115123100D01* +X115011294Y-115141537D01* +X115008586Y-115159796D01* +X115004100Y-115177703D01* +X114997882Y-115195083D01* +X114989989Y-115211770D01* +X114980499Y-115227603D01* +X114969503Y-115242429D01* +X114957107Y-115256107D01* +X114943429Y-115268503D01* +X114928603Y-115279499D01* +X114912770Y-115288989D01* +X114896083Y-115296882D01* +X114878703Y-115303100D01* +X114860796Y-115307586D01* +X114842537Y-115310294D01* +X114824100Y-115311200D01* +X113572900Y-115311200D01* +X113554463Y-115310294D01* +X113536204Y-115307586D01* +X113518297Y-115303100D01* +X113500917Y-115296882D01* +X113484230Y-115288989D01* +X113468397Y-115279499D01* +X113453571Y-115268503D01* +X113439893Y-115256107D01* +X113427497Y-115242429D01* +X113416501Y-115227603D01* +X113407011Y-115211770D01* +X113399118Y-115195083D01* +X113392900Y-115177703D01* +X113388414Y-115159796D01* +X113385706Y-115141537D01* +X113384800Y-115123100D01* +X113384800Y-114746900D01* +X113385706Y-114728463D01* +X113388414Y-114710204D01* +X113392900Y-114692297D01* +X113399118Y-114674917D01* +X113407011Y-114658230D01* +X113416501Y-114642397D01* +X113427497Y-114627571D01* +X113439893Y-114613893D01* +X113453571Y-114601497D01* +X113468397Y-114590501D01* +X113484230Y-114581011D01* +X113500917Y-114573118D01* +X113518297Y-114566900D01* +X113536204Y-114562414D01* +X113554463Y-114559706D01* +X113572900Y-114558800D01* +X114824100Y-114558800D01* +X114842537Y-114559706D01* +G37* +G36* +X114842537Y-115829706D02* +G01* +X114860796Y-115832414D01* +X114878703Y-115836900D01* +X114896083Y-115843118D01* +X114912770Y-115851011D01* +X114928603Y-115860501D01* +X114943429Y-115871497D01* +X114957107Y-115883893D01* +X114969503Y-115897571D01* +X114980499Y-115912397D01* +X114989989Y-115928230D01* +X114997882Y-115944917D01* +X115004100Y-115962297D01* +X115008586Y-115980204D01* +X115011294Y-115998463D01* +X115012200Y-116016900D01* +X115012200Y-116393100D01* +X115011294Y-116411537D01* +X115008586Y-116429796D01* +X115004100Y-116447703D01* +X114997882Y-116465083D01* +X114989989Y-116481770D01* +X114980499Y-116497603D01* +X114969503Y-116512429D01* +X114957107Y-116526107D01* +X114943429Y-116538503D01* +X114928603Y-116549499D01* +X114912770Y-116558989D01* +X114896083Y-116566882D01* +X114878703Y-116573100D01* +X114860796Y-116577586D01* +X114842537Y-116580294D01* +X114824100Y-116581200D01* +X113572900Y-116581200D01* +X113554463Y-116580294D01* +X113536204Y-116577586D01* +X113518297Y-116573100D01* +X113500917Y-116566882D01* +X113484230Y-116558989D01* +X113468397Y-116549499D01* +X113453571Y-116538503D01* +X113439893Y-116526107D01* +X113427497Y-116512429D01* +X113416501Y-116497603D01* +X113407011Y-116481770D01* +X113399118Y-116465083D01* +X113392900Y-116447703D01* +X113388414Y-116429796D01* +X113385706Y-116411537D01* +X113384800Y-116393100D01* +X113384800Y-116016900D01* +X113385706Y-115998463D01* +X113388414Y-115980204D01* +X113392900Y-115962297D01* +X113399118Y-115944917D01* +X113407011Y-115928230D01* +X113416501Y-115912397D01* +X113427497Y-115897571D01* +X113439893Y-115883893D01* +X113453571Y-115871497D01* +X113468397Y-115860501D01* +X113484230Y-115851011D01* +X113500917Y-115843118D01* +X113518297Y-115836900D01* +X113536204Y-115832414D01* +X113554463Y-115829706D01* +X113572900Y-115828800D01* +X114824100Y-115828800D01* +X114842537Y-115829706D01* +G37* +G36* +X114842537Y-117099706D02* +G01* +X114860796Y-117102414D01* +X114878703Y-117106900D01* +X114896083Y-117113118D01* +X114912770Y-117121011D01* +X114928603Y-117130501D01* +X114943429Y-117141497D01* +X114957107Y-117153893D01* +X114969503Y-117167571D01* +X114980499Y-117182397D01* +X114989989Y-117198230D01* +X114997882Y-117214917D01* +X115004100Y-117232297D01* +X115008586Y-117250204D01* +X115011294Y-117268463D01* +X115012200Y-117286900D01* +X115012200Y-117663100D01* +X115011294Y-117681537D01* +X115008586Y-117699796D01* +X115004100Y-117717703D01* +X114997882Y-117735083D01* +X114989989Y-117751770D01* +X114980499Y-117767603D01* +X114969503Y-117782429D01* +X114957107Y-117796107D01* +X114943429Y-117808503D01* +X114928603Y-117819499D01* +X114912770Y-117828989D01* +X114896083Y-117836882D01* +X114878703Y-117843100D01* +X114860796Y-117847586D01* +X114842537Y-117850294D01* +X114824100Y-117851200D01* +X113572900Y-117851200D01* +X113554463Y-117850294D01* +X113536204Y-117847586D01* +X113518297Y-117843100D01* +X113500917Y-117836882D01* +X113484230Y-117828989D01* +X113468397Y-117819499D01* +X113453571Y-117808503D01* +X113439893Y-117796107D01* +X113427497Y-117782429D01* +X113416501Y-117767603D01* +X113407011Y-117751770D01* +X113399118Y-117735083D01* +X113392900Y-117717703D01* +X113388414Y-117699796D01* +X113385706Y-117681537D01* +X113384800Y-117663100D01* +X113384800Y-117286900D01* +X113385706Y-117268463D01* +X113388414Y-117250204D01* +X113392900Y-117232297D01* +X113399118Y-117214917D01* +X113407011Y-117198230D01* +X113416501Y-117182397D01* +X113427497Y-117167571D01* +X113439893Y-117153893D01* +X113453571Y-117141497D01* +X113468397Y-117130501D01* +X113484230Y-117121011D01* +X113500917Y-117113118D01* +X113518297Y-117106900D01* +X113536204Y-117102414D01* +X113554463Y-117099706D01* +X113572900Y-117098800D01* +X114824100Y-117098800D01* +X114842537Y-117099706D01* +G37* +G36* +X114842537Y-118369706D02* +G01* +X114860796Y-118372414D01* +X114878703Y-118376900D01* +X114896083Y-118383118D01* +X114912770Y-118391011D01* +X114928603Y-118400501D01* +X114943429Y-118411497D01* +X114957107Y-118423893D01* +X114969503Y-118437571D01* +X114980499Y-118452397D01* +X114989989Y-118468230D01* +X114997882Y-118484917D01* +X115004100Y-118502297D01* +X115008586Y-118520204D01* +X115011294Y-118538463D01* +X115012200Y-118556900D01* +X115012200Y-118933100D01* +X115011294Y-118951537D01* +X115008586Y-118969796D01* +X115004100Y-118987703D01* +X114997882Y-119005083D01* +X114989989Y-119021770D01* +X114980499Y-119037603D01* +X114969503Y-119052429D01* +X114957107Y-119066107D01* +X114943429Y-119078503D01* +X114928603Y-119089499D01* +X114912770Y-119098989D01* +X114896083Y-119106882D01* +X114878703Y-119113100D01* +X114860796Y-119117586D01* +X114842537Y-119120294D01* +X114824100Y-119121200D01* +X113572900Y-119121200D01* +X113554463Y-119120294D01* +X113536204Y-119117586D01* +X113518297Y-119113100D01* +X113500917Y-119106882D01* +X113484230Y-119098989D01* +X113468397Y-119089499D01* +X113453571Y-119078503D01* +X113439893Y-119066107D01* +X113427497Y-119052429D01* +X113416501Y-119037603D01* +X113407011Y-119021770D01* +X113399118Y-119005083D01* +X113392900Y-118987703D01* +X113388414Y-118969796D01* +X113385706Y-118951537D01* +X113384800Y-118933100D01* +X113384800Y-118556900D01* +X113385706Y-118538463D01* +X113388414Y-118520204D01* +X113392900Y-118502297D01* +X113399118Y-118484917D01* +X113407011Y-118468230D01* +X113416501Y-118452397D01* +X113427497Y-118437571D01* +X113439893Y-118423893D01* +X113453571Y-118411497D01* +X113468397Y-118400501D01* +X113484230Y-118391011D01* +X113500917Y-118383118D01* +X113518297Y-118376900D01* +X113536204Y-118372414D01* +X113554463Y-118369706D01* +X113572900Y-118368800D01* +X114824100Y-118368800D01* +X114842537Y-118369706D01* +G37* +G36* +X114842537Y-119639706D02* +G01* +X114860796Y-119642414D01* +X114878703Y-119646900D01* +X114896083Y-119653118D01* +X114912770Y-119661011D01* +X114928603Y-119670501D01* +X114943429Y-119681497D01* +X114957107Y-119693893D01* +X114969503Y-119707571D01* +X114980499Y-119722397D01* +X114989989Y-119738230D01* +X114997882Y-119754917D01* +X115004100Y-119772297D01* +X115008586Y-119790204D01* +X115011294Y-119808463D01* +X115012200Y-119826900D01* +X115012200Y-120203100D01* +X115011294Y-120221537D01* +X115008586Y-120239796D01* +X115004100Y-120257703D01* +X114997882Y-120275083D01* +X114989989Y-120291770D01* +X114980499Y-120307603D01* +X114969503Y-120322429D01* +X114957107Y-120336107D01* +X114943429Y-120348503D01* +X114928603Y-120359499D01* +X114912770Y-120368989D01* +X114896083Y-120376882D01* +X114878703Y-120383100D01* +X114860796Y-120387586D01* +X114842537Y-120390294D01* +X114824100Y-120391200D01* +X113572900Y-120391200D01* +X113554463Y-120390294D01* +X113536204Y-120387586D01* +X113518297Y-120383100D01* +X113500917Y-120376882D01* +X113484230Y-120368989D01* +X113468397Y-120359499D01* +X113453571Y-120348503D01* +X113439893Y-120336107D01* +X113427497Y-120322429D01* +X113416501Y-120307603D01* +X113407011Y-120291770D01* +X113399118Y-120275083D01* +X113392900Y-120257703D01* +X113388414Y-120239796D01* +X113385706Y-120221537D01* +X113384800Y-120203100D01* +X113384800Y-119826900D01* +X113385706Y-119808463D01* +X113388414Y-119790204D01* +X113392900Y-119772297D01* +X113399118Y-119754917D01* +X113407011Y-119738230D01* +X113416501Y-119722397D01* +X113427497Y-119707571D01* +X113439893Y-119693893D01* +X113453571Y-119681497D01* +X113468397Y-119670501D01* +X113484230Y-119661011D01* +X113500917Y-119653118D01* +X113518297Y-119646900D01* +X113536204Y-119642414D01* +X113554463Y-119639706D01* +X113572900Y-119638800D01* +X114824100Y-119638800D01* +X114842537Y-119639706D01* +G37* +G36* +X114842537Y-120909706D02* +G01* +X114860796Y-120912414D01* +X114878703Y-120916900D01* +X114896083Y-120923118D01* +X114912770Y-120931011D01* +X114928603Y-120940501D01* +X114943429Y-120951497D01* +X114957107Y-120963893D01* +X114969503Y-120977571D01* +X114980499Y-120992397D01* +X114989989Y-121008230D01* +X114997882Y-121024917D01* +X115004100Y-121042297D01* +X115008586Y-121060204D01* +X115011294Y-121078463D01* +X115012200Y-121096900D01* +X115012200Y-121473100D01* +X115011294Y-121491537D01* +X115008586Y-121509796D01* +X115004100Y-121527703D01* +X114997882Y-121545083D01* +X114989989Y-121561770D01* +X114980499Y-121577603D01* +X114969503Y-121592429D01* +X114957107Y-121606107D01* +X114943429Y-121618503D01* +X114928603Y-121629499D01* +X114912770Y-121638989D01* +X114896083Y-121646882D01* +X114878703Y-121653100D01* +X114860796Y-121657586D01* +X114842537Y-121660294D01* +X114824100Y-121661200D01* +X113572900Y-121661200D01* +X113554463Y-121660294D01* +X113536204Y-121657586D01* +X113518297Y-121653100D01* +X113500917Y-121646882D01* +X113484230Y-121638989D01* +X113468397Y-121629499D01* +X113453571Y-121618503D01* +X113439893Y-121606107D01* +X113427497Y-121592429D01* +X113416501Y-121577603D01* +X113407011Y-121561770D01* +X113399118Y-121545083D01* +X113392900Y-121527703D01* +X113388414Y-121509796D01* +X113385706Y-121491537D01* +X113384800Y-121473100D01* +X113384800Y-121096900D01* +X113385706Y-121078463D01* +X113388414Y-121060204D01* +X113392900Y-121042297D01* +X113399118Y-121024917D01* +X113407011Y-121008230D01* +X113416501Y-120992397D01* +X113427497Y-120977571D01* +X113439893Y-120963893D01* +X113453571Y-120951497D01* +X113468397Y-120940501D01* +X113484230Y-120931011D01* +X113500917Y-120923118D01* +X113518297Y-120916900D01* +X113536204Y-120912414D01* +X113554463Y-120909706D01* +X113572900Y-120908800D01* +X114824100Y-120908800D01* +X114842537Y-120909706D01* +G37* +G36* +X114842537Y-122179706D02* +G01* +X114860796Y-122182414D01* +X114878703Y-122186900D01* +X114896083Y-122193118D01* +X114912770Y-122201011D01* +X114928603Y-122210501D01* +X114943429Y-122221497D01* +X114957107Y-122233893D01* +X114969503Y-122247571D01* +X114980499Y-122262397D01* +X114989989Y-122278230D01* +X114997882Y-122294917D01* +X115004100Y-122312297D01* +X115008586Y-122330204D01* +X115011294Y-122348463D01* +X115012200Y-122366900D01* +X115012200Y-122743100D01* +X115011294Y-122761537D01* +X115008586Y-122779796D01* +X115004100Y-122797703D01* +X114997882Y-122815083D01* +X114989989Y-122831770D01* +X114980499Y-122847603D01* +X114969503Y-122862429D01* +X114957107Y-122876107D01* +X114943429Y-122888503D01* +X114928603Y-122899499D01* +X114912770Y-122908989D01* +X114896083Y-122916882D01* +X114878703Y-122923100D01* +X114860796Y-122927586D01* +X114842537Y-122930294D01* +X114824100Y-122931200D01* +X113572900Y-122931200D01* +X113554463Y-122930294D01* +X113536204Y-122927586D01* +X113518297Y-122923100D01* +X113500917Y-122916882D01* +X113484230Y-122908989D01* +X113468397Y-122899499D01* +X113453571Y-122888503D01* +X113439893Y-122876107D01* +X113427497Y-122862429D01* +X113416501Y-122847603D01* +X113407011Y-122831770D01* +X113399118Y-122815083D01* +X113392900Y-122797703D01* +X113388414Y-122779796D01* +X113385706Y-122761537D01* +X113384800Y-122743100D01* +X113384800Y-122366900D01* +X113385706Y-122348463D01* +X113388414Y-122330204D01* +X113392900Y-122312297D01* +X113399118Y-122294917D01* +X113407011Y-122278230D01* +X113416501Y-122262397D01* +X113427497Y-122247571D01* +X113439893Y-122233893D01* +X113453571Y-122221497D01* +X113468397Y-122210501D01* +X113484230Y-122201011D01* +X113500917Y-122193118D01* +X113518297Y-122186900D01* +X113536204Y-122182414D01* +X113554463Y-122179706D01* +X113572900Y-122178800D01* +X114824100Y-122178800D01* +X114842537Y-122179706D01* +G37* +G36* +X116157537Y-123499706D02* +G01* +X116175796Y-123502414D01* +X116193703Y-123506900D01* +X116211083Y-123513118D01* +X116227770Y-123521011D01* +X116243603Y-123530501D01* +X116258429Y-123541497D01* +X116272107Y-123553893D01* +X116284503Y-123567571D01* +X116295499Y-123582397D01* +X116304989Y-123598230D01* +X116312882Y-123614917D01* +X116319100Y-123632297D01* +X116323586Y-123650204D01* +X116326294Y-123668463D01* +X116327200Y-123686900D01* +X116327200Y-124938100D01* +X116326294Y-124956537D01* +X116323586Y-124974796D01* +X116319100Y-124992703D01* +X116312882Y-125010083D01* +X116304989Y-125026770D01* +X116295499Y-125042603D01* +X116284503Y-125057429D01* +X116272107Y-125071107D01* +X116258429Y-125083503D01* +X116243603Y-125094499D01* +X116227770Y-125103989D01* +X116211083Y-125111882D01* +X116193703Y-125118100D01* +X116175796Y-125122586D01* +X116157537Y-125125294D01* +X116139100Y-125126200D01* +X115762900Y-125126200D01* +X115744463Y-125125294D01* +X115726204Y-125122586D01* +X115708297Y-125118100D01* +X115690917Y-125111882D01* +X115674230Y-125103989D01* +X115658397Y-125094499D01* +X115643571Y-125083503D01* +X115629893Y-125071107D01* +X115617497Y-125057429D01* +X115606501Y-125042603D01* +X115597011Y-125026770D01* +X115589118Y-125010083D01* +X115582900Y-124992703D01* +X115578414Y-124974796D01* +X115575706Y-124956537D01* +X115574800Y-124938100D01* +X115574800Y-123686900D01* +X115575706Y-123668463D01* +X115578414Y-123650204D01* +X115582900Y-123632297D01* +X115589118Y-123614917D01* +X115597011Y-123598230D01* +X115606501Y-123582397D01* +X115617497Y-123567571D01* +X115629893Y-123553893D01* +X115643571Y-123541497D01* +X115658397Y-123530501D01* +X115674230Y-123521011D01* +X115690917Y-123513118D01* +X115708297Y-123506900D01* +X115726204Y-123502414D01* +X115744463Y-123499706D01* +X115762900Y-123498800D01* +X116139100Y-123498800D01* +X116157537Y-123499706D01* +G37* +G36* +X117427537Y-123499706D02* +G01* +X117445796Y-123502414D01* +X117463703Y-123506900D01* +X117481083Y-123513118D01* +X117497770Y-123521011D01* +X117513603Y-123530501D01* +X117528429Y-123541497D01* +X117542107Y-123553893D01* +X117554503Y-123567571D01* +X117565499Y-123582397D01* +X117574989Y-123598230D01* +X117582882Y-123614917D01* +X117589100Y-123632297D01* +X117593586Y-123650204D01* +X117596294Y-123668463D01* +X117597200Y-123686900D01* +X117597200Y-124938100D01* +X117596294Y-124956537D01* +X117593586Y-124974796D01* +X117589100Y-124992703D01* +X117582882Y-125010083D01* +X117574989Y-125026770D01* +X117565499Y-125042603D01* +X117554503Y-125057429D01* +X117542107Y-125071107D01* +X117528429Y-125083503D01* +X117513603Y-125094499D01* +X117497770Y-125103989D01* +X117481083Y-125111882D01* +X117463703Y-125118100D01* +X117445796Y-125122586D01* +X117427537Y-125125294D01* +X117409100Y-125126200D01* +X117032900Y-125126200D01* +X117014463Y-125125294D01* +X116996204Y-125122586D01* +X116978297Y-125118100D01* +X116960917Y-125111882D01* +X116944230Y-125103989D01* +X116928397Y-125094499D01* +X116913571Y-125083503D01* +X116899893Y-125071107D01* +X116887497Y-125057429D01* +X116876501Y-125042603D01* +X116867011Y-125026770D01* +X116859118Y-125010083D01* +X116852900Y-124992703D01* +X116848414Y-124974796D01* +X116845706Y-124956537D01* +X116844800Y-124938100D01* +X116844800Y-123686900D01* +X116845706Y-123668463D01* +X116848414Y-123650204D01* +X116852900Y-123632297D01* +X116859118Y-123614917D01* +X116867011Y-123598230D01* +X116876501Y-123582397D01* +X116887497Y-123567571D01* +X116899893Y-123553893D01* +X116913571Y-123541497D01* +X116928397Y-123530501D01* +X116944230Y-123521011D01* +X116960917Y-123513118D01* +X116978297Y-123506900D01* +X116996204Y-123502414D01* +X117014463Y-123499706D01* +X117032900Y-123498800D01* +X117409100Y-123498800D01* +X117427537Y-123499706D01* +G37* +G36* +X118697537Y-123499706D02* +G01* +X118715796Y-123502414D01* +X118733703Y-123506900D01* +X118751083Y-123513118D01* +X118767770Y-123521011D01* +X118783603Y-123530501D01* +X118798429Y-123541497D01* +X118812107Y-123553893D01* +X118824503Y-123567571D01* +X118835499Y-123582397D01* +X118844989Y-123598230D01* +X118852882Y-123614917D01* +X118859100Y-123632297D01* +X118863586Y-123650204D01* +X118866294Y-123668463D01* +X118867200Y-123686900D01* +X118867200Y-124938100D01* +X118866294Y-124956537D01* +X118863586Y-124974796D01* +X118859100Y-124992703D01* +X118852882Y-125010083D01* +X118844989Y-125026770D01* +X118835499Y-125042603D01* +X118824503Y-125057429D01* +X118812107Y-125071107D01* +X118798429Y-125083503D01* +X118783603Y-125094499D01* +X118767770Y-125103989D01* +X118751083Y-125111882D01* +X118733703Y-125118100D01* +X118715796Y-125122586D01* +X118697537Y-125125294D01* +X118679100Y-125126200D01* +X118302900Y-125126200D01* +X118284463Y-125125294D01* +X118266204Y-125122586D01* +X118248297Y-125118100D01* +X118230917Y-125111882D01* +X118214230Y-125103989D01* +X118198397Y-125094499D01* +X118183571Y-125083503D01* +X118169893Y-125071107D01* +X118157497Y-125057429D01* +X118146501Y-125042603D01* +X118137011Y-125026770D01* +X118129118Y-125010083D01* +X118122900Y-124992703D01* +X118118414Y-124974796D01* +X118115706Y-124956537D01* +X118114800Y-124938100D01* +X118114800Y-123686900D01* +X118115706Y-123668463D01* +X118118414Y-123650204D01* +X118122900Y-123632297D01* +X118129118Y-123614917D01* +X118137011Y-123598230D01* +X118146501Y-123582397D01* +X118157497Y-123567571D01* +X118169893Y-123553893D01* +X118183571Y-123541497D01* +X118198397Y-123530501D01* +X118214230Y-123521011D01* +X118230917Y-123513118D01* +X118248297Y-123506900D01* +X118266204Y-123502414D01* +X118284463Y-123499706D01* +X118302900Y-123498800D01* +X118679100Y-123498800D01* +X118697537Y-123499706D01* +G37* +G36* +X119967537Y-123499706D02* +G01* +X119985796Y-123502414D01* +X120003703Y-123506900D01* +X120021083Y-123513118D01* +X120037770Y-123521011D01* +X120053603Y-123530501D01* +X120068429Y-123541497D01* +X120082107Y-123553893D01* +X120094503Y-123567571D01* +X120105499Y-123582397D01* +X120114989Y-123598230D01* +X120122882Y-123614917D01* +X120129100Y-123632297D01* +X120133586Y-123650204D01* +X120136294Y-123668463D01* +X120137200Y-123686900D01* +X120137200Y-124938100D01* +X120136294Y-124956537D01* +X120133586Y-124974796D01* +X120129100Y-124992703D01* +X120122882Y-125010083D01* +X120114989Y-125026770D01* +X120105499Y-125042603D01* +X120094503Y-125057429D01* +X120082107Y-125071107D01* +X120068429Y-125083503D01* +X120053603Y-125094499D01* +X120037770Y-125103989D01* +X120021083Y-125111882D01* +X120003703Y-125118100D01* +X119985796Y-125122586D01* +X119967537Y-125125294D01* +X119949100Y-125126200D01* +X119572900Y-125126200D01* +X119554463Y-125125294D01* +X119536204Y-125122586D01* +X119518297Y-125118100D01* +X119500917Y-125111882D01* +X119484230Y-125103989D01* +X119468397Y-125094499D01* +X119453571Y-125083503D01* +X119439893Y-125071107D01* +X119427497Y-125057429D01* +X119416501Y-125042603D01* +X119407011Y-125026770D01* +X119399118Y-125010083D01* +X119392900Y-124992703D01* +X119388414Y-124974796D01* +X119385706Y-124956537D01* +X119384800Y-124938100D01* +X119384800Y-123686900D01* +X119385706Y-123668463D01* +X119388414Y-123650204D01* +X119392900Y-123632297D01* +X119399118Y-123614917D01* +X119407011Y-123598230D01* +X119416501Y-123582397D01* +X119427497Y-123567571D01* +X119439893Y-123553893D01* +X119453571Y-123541497D01* +X119468397Y-123530501D01* +X119484230Y-123521011D01* +X119500917Y-123513118D01* +X119518297Y-123506900D01* +X119536204Y-123502414D01* +X119554463Y-123499706D01* +X119572900Y-123498800D01* +X119949100Y-123498800D01* +X119967537Y-123499706D01* +G37* +G36* +X121237537Y-123499706D02* +G01* +X121255796Y-123502414D01* +X121273703Y-123506900D01* +X121291083Y-123513118D01* +X121307770Y-123521011D01* +X121323603Y-123530501D01* +X121338429Y-123541497D01* +X121352107Y-123553893D01* +X121364503Y-123567571D01* +X121375499Y-123582397D01* +X121384989Y-123598230D01* +X121392882Y-123614917D01* +X121399100Y-123632297D01* +X121403586Y-123650204D01* +X121406294Y-123668463D01* +X121407200Y-123686900D01* +X121407200Y-124938100D01* +X121406294Y-124956537D01* +X121403586Y-124974796D01* +X121399100Y-124992703D01* +X121392882Y-125010083D01* +X121384989Y-125026770D01* +X121375499Y-125042603D01* +X121364503Y-125057429D01* +X121352107Y-125071107D01* +X121338429Y-125083503D01* +X121323603Y-125094499D01* +X121307770Y-125103989D01* +X121291083Y-125111882D01* +X121273703Y-125118100D01* +X121255796Y-125122586D01* +X121237537Y-125125294D01* +X121219100Y-125126200D01* +X120842900Y-125126200D01* +X120824463Y-125125294D01* +X120806204Y-125122586D01* +X120788297Y-125118100D01* +X120770917Y-125111882D01* +X120754230Y-125103989D01* +X120738397Y-125094499D01* +X120723571Y-125083503D01* +X120709893Y-125071107D01* +X120697497Y-125057429D01* +X120686501Y-125042603D01* +X120677011Y-125026770D01* +X120669118Y-125010083D01* +X120662900Y-124992703D01* +X120658414Y-124974796D01* +X120655706Y-124956537D01* +X120654800Y-124938100D01* +X120654800Y-123686900D01* +X120655706Y-123668463D01* +X120658414Y-123650204D01* +X120662900Y-123632297D01* +X120669118Y-123614917D01* +X120677011Y-123598230D01* +X120686501Y-123582397D01* +X120697497Y-123567571D01* +X120709893Y-123553893D01* +X120723571Y-123541497D01* +X120738397Y-123530501D01* +X120754230Y-123521011D01* +X120770917Y-123513118D01* +X120788297Y-123506900D01* +X120806204Y-123502414D01* +X120824463Y-123499706D01* +X120842900Y-123498800D01* +X121219100Y-123498800D01* +X121237537Y-123499706D01* +G37* +G36* +X122507537Y-123499706D02* +G01* +X122525796Y-123502414D01* +X122543703Y-123506900D01* +X122561083Y-123513118D01* +X122577770Y-123521011D01* +X122593603Y-123530501D01* +X122608429Y-123541497D01* +X122622107Y-123553893D01* +X122634503Y-123567571D01* +X122645499Y-123582397D01* +X122654989Y-123598230D01* +X122662882Y-123614917D01* +X122669100Y-123632297D01* +X122673586Y-123650204D01* +X122676294Y-123668463D01* +X122677200Y-123686900D01* +X122677200Y-124938100D01* +X122676294Y-124956537D01* +X122673586Y-124974796D01* +X122669100Y-124992703D01* +X122662882Y-125010083D01* +X122654989Y-125026770D01* +X122645499Y-125042603D01* +X122634503Y-125057429D01* +X122622107Y-125071107D01* +X122608429Y-125083503D01* +X122593603Y-125094499D01* +X122577770Y-125103989D01* +X122561083Y-125111882D01* +X122543703Y-125118100D01* +X122525796Y-125122586D01* +X122507537Y-125125294D01* +X122489100Y-125126200D01* +X122112900Y-125126200D01* +X122094463Y-125125294D01* +X122076204Y-125122586D01* +X122058297Y-125118100D01* +X122040917Y-125111882D01* +X122024230Y-125103989D01* +X122008397Y-125094499D01* +X121993571Y-125083503D01* +X121979893Y-125071107D01* +X121967497Y-125057429D01* +X121956501Y-125042603D01* +X121947011Y-125026770D01* +X121939118Y-125010083D01* +X121932900Y-124992703D01* +X121928414Y-124974796D01* +X121925706Y-124956537D01* +X121924800Y-124938100D01* +X121924800Y-123686900D01* +X121925706Y-123668463D01* +X121928414Y-123650204D01* +X121932900Y-123632297D01* +X121939118Y-123614917D01* +X121947011Y-123598230D01* +X121956501Y-123582397D01* +X121967497Y-123567571D01* +X121979893Y-123553893D01* +X121993571Y-123541497D01* +X122008397Y-123530501D01* +X122024230Y-123521011D01* +X122040917Y-123513118D01* +X122058297Y-123506900D01* +X122076204Y-123502414D01* +X122094463Y-123499706D01* +X122112900Y-123498800D01* +X122489100Y-123498800D01* +X122507537Y-123499706D01* +G37* +G36* +X123777537Y-123499706D02* +G01* +X123795796Y-123502414D01* +X123813703Y-123506900D01* +X123831083Y-123513118D01* +X123847770Y-123521011D01* +X123863603Y-123530501D01* +X123878429Y-123541497D01* +X123892107Y-123553893D01* +X123904503Y-123567571D01* +X123915499Y-123582397D01* +X123924989Y-123598230D01* +X123932882Y-123614917D01* +X123939100Y-123632297D01* +X123943586Y-123650204D01* +X123946294Y-123668463D01* +X123947200Y-123686900D01* +X123947200Y-124938100D01* +X123946294Y-124956537D01* +X123943586Y-124974796D01* +X123939100Y-124992703D01* +X123932882Y-125010083D01* +X123924989Y-125026770D01* +X123915499Y-125042603D01* +X123904503Y-125057429D01* +X123892107Y-125071107D01* +X123878429Y-125083503D01* +X123863603Y-125094499D01* +X123847770Y-125103989D01* +X123831083Y-125111882D01* +X123813703Y-125118100D01* +X123795796Y-125122586D01* +X123777537Y-125125294D01* +X123759100Y-125126200D01* +X123382900Y-125126200D01* +X123364463Y-125125294D01* +X123346204Y-125122586D01* +X123328297Y-125118100D01* +X123310917Y-125111882D01* +X123294230Y-125103989D01* +X123278397Y-125094499D01* +X123263571Y-125083503D01* +X123249893Y-125071107D01* +X123237497Y-125057429D01* +X123226501Y-125042603D01* +X123217011Y-125026770D01* +X123209118Y-125010083D01* +X123202900Y-124992703D01* +X123198414Y-124974796D01* +X123195706Y-124956537D01* +X123194800Y-124938100D01* +X123194800Y-123686900D01* +X123195706Y-123668463D01* +X123198414Y-123650204D01* +X123202900Y-123632297D01* +X123209118Y-123614917D01* +X123217011Y-123598230D01* +X123226501Y-123582397D01* +X123237497Y-123567571D01* +X123249893Y-123553893D01* +X123263571Y-123541497D01* +X123278397Y-123530501D01* +X123294230Y-123521011D01* +X123310917Y-123513118D01* +X123328297Y-123506900D01* +X123346204Y-123502414D01* +X123364463Y-123499706D01* +X123382900Y-123498800D01* +X123759100Y-123498800D01* +X123777537Y-123499706D01* +G37* +G36* +X125967537Y-122179706D02* +G01* +X125985796Y-122182414D01* +X126003703Y-122186900D01* +X126021083Y-122193118D01* +X126037770Y-122201011D01* +X126053603Y-122210501D01* +X126068429Y-122221497D01* +X126082107Y-122233893D01* +X126094503Y-122247571D01* +X126105499Y-122262397D01* +X126114989Y-122278230D01* +X126122882Y-122294917D01* +X126129100Y-122312297D01* +X126133586Y-122330204D01* +X126136294Y-122348463D01* +X126137200Y-122366900D01* +X126137200Y-122743100D01* +X126136294Y-122761537D01* +X126133586Y-122779796D01* +X126129100Y-122797703D01* +X126122882Y-122815083D01* +X126114989Y-122831770D01* +X126105499Y-122847603D01* +X126094503Y-122862429D01* +X126082107Y-122876107D01* +X126068429Y-122888503D01* +X126053603Y-122899499D01* +X126037770Y-122908989D01* +X126021083Y-122916882D01* +X126003703Y-122923100D01* +X125985796Y-122927586D01* +X125967537Y-122930294D01* +X125949100Y-122931200D01* +X124697900Y-122931200D01* +X124679463Y-122930294D01* +X124661204Y-122927586D01* +X124643297Y-122923100D01* +X124625917Y-122916882D01* +X124609230Y-122908989D01* +X124593397Y-122899499D01* +X124578571Y-122888503D01* +X124564893Y-122876107D01* +X124552497Y-122862429D01* +X124541501Y-122847603D01* +X124532011Y-122831770D01* +X124524118Y-122815083D01* +X124517900Y-122797703D01* +X124513414Y-122779796D01* +X124510706Y-122761537D01* +X124509800Y-122743100D01* +X124509800Y-122366900D01* +X124510706Y-122348463D01* +X124513414Y-122330204D01* +X124517900Y-122312297D01* +X124524118Y-122294917D01* +X124532011Y-122278230D01* +X124541501Y-122262397D01* +X124552497Y-122247571D01* +X124564893Y-122233893D01* +X124578571Y-122221497D01* +X124593397Y-122210501D01* +X124609230Y-122201011D01* +X124625917Y-122193118D01* +X124643297Y-122186900D01* +X124661204Y-122182414D01* +X124679463Y-122179706D01* +X124697900Y-122178800D01* +X125949100Y-122178800D01* +X125967537Y-122179706D01* +G37* +G36* +X125967537Y-120909706D02* +G01* +X125985796Y-120912414D01* +X126003703Y-120916900D01* +X126021083Y-120923118D01* +X126037770Y-120931011D01* +X126053603Y-120940501D01* +X126068429Y-120951497D01* +X126082107Y-120963893D01* +X126094503Y-120977571D01* +X126105499Y-120992397D01* +X126114989Y-121008230D01* +X126122882Y-121024917D01* +X126129100Y-121042297D01* +X126133586Y-121060204D01* +X126136294Y-121078463D01* +X126137200Y-121096900D01* +X126137200Y-121473100D01* +X126136294Y-121491537D01* +X126133586Y-121509796D01* +X126129100Y-121527703D01* +X126122882Y-121545083D01* +X126114989Y-121561770D01* +X126105499Y-121577603D01* +X126094503Y-121592429D01* +X126082107Y-121606107D01* +X126068429Y-121618503D01* +X126053603Y-121629499D01* +X126037770Y-121638989D01* +X126021083Y-121646882D01* +X126003703Y-121653100D01* +X125985796Y-121657586D01* +X125967537Y-121660294D01* +X125949100Y-121661200D01* +X124697900Y-121661200D01* +X124679463Y-121660294D01* +X124661204Y-121657586D01* +X124643297Y-121653100D01* +X124625917Y-121646882D01* +X124609230Y-121638989D01* +X124593397Y-121629499D01* +X124578571Y-121618503D01* +X124564893Y-121606107D01* +X124552497Y-121592429D01* +X124541501Y-121577603D01* +X124532011Y-121561770D01* +X124524118Y-121545083D01* +X124517900Y-121527703D01* +X124513414Y-121509796D01* +X124510706Y-121491537D01* +X124509800Y-121473100D01* +X124509800Y-121096900D01* +X124510706Y-121078463D01* +X124513414Y-121060204D01* +X124517900Y-121042297D01* +X124524118Y-121024917D01* +X124532011Y-121008230D01* +X124541501Y-120992397D01* +X124552497Y-120977571D01* +X124564893Y-120963893D01* +X124578571Y-120951497D01* +X124593397Y-120940501D01* +X124609230Y-120931011D01* +X124625917Y-120923118D01* +X124643297Y-120916900D01* +X124661204Y-120912414D01* +X124679463Y-120909706D01* +X124697900Y-120908800D01* +X125949100Y-120908800D01* +X125967537Y-120909706D01* +G37* +G36* +X125967537Y-119639706D02* +G01* +X125985796Y-119642414D01* +X126003703Y-119646900D01* +X126021083Y-119653118D01* +X126037770Y-119661011D01* +X126053603Y-119670501D01* +X126068429Y-119681497D01* +X126082107Y-119693893D01* +X126094503Y-119707571D01* +X126105499Y-119722397D01* +X126114989Y-119738230D01* +X126122882Y-119754917D01* +X126129100Y-119772297D01* +X126133586Y-119790204D01* +X126136294Y-119808463D01* +X126137200Y-119826900D01* +X126137200Y-120203100D01* +X126136294Y-120221537D01* +X126133586Y-120239796D01* +X126129100Y-120257703D01* +X126122882Y-120275083D01* +X126114989Y-120291770D01* +X126105499Y-120307603D01* +X126094503Y-120322429D01* +X126082107Y-120336107D01* +X126068429Y-120348503D01* +X126053603Y-120359499D01* +X126037770Y-120368989D01* +X126021083Y-120376882D01* +X126003703Y-120383100D01* +X125985796Y-120387586D01* +X125967537Y-120390294D01* +X125949100Y-120391200D01* +X124697900Y-120391200D01* +X124679463Y-120390294D01* +X124661204Y-120387586D01* +X124643297Y-120383100D01* +X124625917Y-120376882D01* +X124609230Y-120368989D01* +X124593397Y-120359499D01* +X124578571Y-120348503D01* +X124564893Y-120336107D01* +X124552497Y-120322429D01* +X124541501Y-120307603D01* +X124532011Y-120291770D01* +X124524118Y-120275083D01* +X124517900Y-120257703D01* +X124513414Y-120239796D01* +X124510706Y-120221537D01* +X124509800Y-120203100D01* +X124509800Y-119826900D01* +X124510706Y-119808463D01* +X124513414Y-119790204D01* +X124517900Y-119772297D01* +X124524118Y-119754917D01* +X124532011Y-119738230D01* +X124541501Y-119722397D01* +X124552497Y-119707571D01* +X124564893Y-119693893D01* +X124578571Y-119681497D01* +X124593397Y-119670501D01* +X124609230Y-119661011D01* +X124625917Y-119653118D01* +X124643297Y-119646900D01* +X124661204Y-119642414D01* +X124679463Y-119639706D01* +X124697900Y-119638800D01* +X125949100Y-119638800D01* +X125967537Y-119639706D01* +G37* +G36* +X125967537Y-118369706D02* +G01* +X125985796Y-118372414D01* +X126003703Y-118376900D01* +X126021083Y-118383118D01* +X126037770Y-118391011D01* +X126053603Y-118400501D01* +X126068429Y-118411497D01* +X126082107Y-118423893D01* +X126094503Y-118437571D01* +X126105499Y-118452397D01* +X126114989Y-118468230D01* +X126122882Y-118484917D01* +X126129100Y-118502297D01* +X126133586Y-118520204D01* +X126136294Y-118538463D01* +X126137200Y-118556900D01* +X126137200Y-118933100D01* +X126136294Y-118951537D01* +X126133586Y-118969796D01* +X126129100Y-118987703D01* +X126122882Y-119005083D01* +X126114989Y-119021770D01* +X126105499Y-119037603D01* +X126094503Y-119052429D01* +X126082107Y-119066107D01* +X126068429Y-119078503D01* +X126053603Y-119089499D01* +X126037770Y-119098989D01* +X126021083Y-119106882D01* +X126003703Y-119113100D01* +X125985796Y-119117586D01* +X125967537Y-119120294D01* +X125949100Y-119121200D01* +X124697900Y-119121200D01* +X124679463Y-119120294D01* +X124661204Y-119117586D01* +X124643297Y-119113100D01* +X124625917Y-119106882D01* +X124609230Y-119098989D01* +X124593397Y-119089499D01* +X124578571Y-119078503D01* +X124564893Y-119066107D01* +X124552497Y-119052429D01* +X124541501Y-119037603D01* +X124532011Y-119021770D01* +X124524118Y-119005083D01* +X124517900Y-118987703D01* +X124513414Y-118969796D01* +X124510706Y-118951537D01* +X124509800Y-118933100D01* +X124509800Y-118556900D01* +X124510706Y-118538463D01* +X124513414Y-118520204D01* +X124517900Y-118502297D01* +X124524118Y-118484917D01* +X124532011Y-118468230D01* +X124541501Y-118452397D01* +X124552497Y-118437571D01* +X124564893Y-118423893D01* +X124578571Y-118411497D01* +X124593397Y-118400501D01* +X124609230Y-118391011D01* +X124625917Y-118383118D01* +X124643297Y-118376900D01* +X124661204Y-118372414D01* +X124679463Y-118369706D01* +X124697900Y-118368800D01* +X125949100Y-118368800D01* +X125967537Y-118369706D01* +G37* +G36* +X125967537Y-117099706D02* +G01* +X125985796Y-117102414D01* +X126003703Y-117106900D01* +X126021083Y-117113118D01* +X126037770Y-117121011D01* +X126053603Y-117130501D01* +X126068429Y-117141497D01* +X126082107Y-117153893D01* +X126094503Y-117167571D01* +X126105499Y-117182397D01* +X126114989Y-117198230D01* +X126122882Y-117214917D01* +X126129100Y-117232297D01* +X126133586Y-117250204D01* +X126136294Y-117268463D01* +X126137200Y-117286900D01* +X126137200Y-117663100D01* +X126136294Y-117681537D01* +X126133586Y-117699796D01* +X126129100Y-117717703D01* +X126122882Y-117735083D01* +X126114989Y-117751770D01* +X126105499Y-117767603D01* +X126094503Y-117782429D01* +X126082107Y-117796107D01* +X126068429Y-117808503D01* +X126053603Y-117819499D01* +X126037770Y-117828989D01* +X126021083Y-117836882D01* +X126003703Y-117843100D01* +X125985796Y-117847586D01* +X125967537Y-117850294D01* +X125949100Y-117851200D01* +X124697900Y-117851200D01* +X124679463Y-117850294D01* +X124661204Y-117847586D01* +X124643297Y-117843100D01* +X124625917Y-117836882D01* +X124609230Y-117828989D01* +X124593397Y-117819499D01* +X124578571Y-117808503D01* +X124564893Y-117796107D01* +X124552497Y-117782429D01* +X124541501Y-117767603D01* +X124532011Y-117751770D01* +X124524118Y-117735083D01* +X124517900Y-117717703D01* +X124513414Y-117699796D01* +X124510706Y-117681537D01* +X124509800Y-117663100D01* +X124509800Y-117286900D01* +X124510706Y-117268463D01* +X124513414Y-117250204D01* +X124517900Y-117232297D01* +X124524118Y-117214917D01* +X124532011Y-117198230D01* +X124541501Y-117182397D01* +X124552497Y-117167571D01* +X124564893Y-117153893D01* +X124578571Y-117141497D01* +X124593397Y-117130501D01* +X124609230Y-117121011D01* +X124625917Y-117113118D01* +X124643297Y-117106900D01* +X124661204Y-117102414D01* +X124679463Y-117099706D01* +X124697900Y-117098800D01* +X125949100Y-117098800D01* +X125967537Y-117099706D01* +G37* +G36* +X125967537Y-115829706D02* +G01* +X125985796Y-115832414D01* +X126003703Y-115836900D01* +X126021083Y-115843118D01* +X126037770Y-115851011D01* +X126053603Y-115860501D01* +X126068429Y-115871497D01* +X126082107Y-115883893D01* +X126094503Y-115897571D01* +X126105499Y-115912397D01* +X126114989Y-115928230D01* +X126122882Y-115944917D01* +X126129100Y-115962297D01* +X126133586Y-115980204D01* +X126136294Y-115998463D01* +X126137200Y-116016900D01* +X126137200Y-116393100D01* +X126136294Y-116411537D01* +X126133586Y-116429796D01* +X126129100Y-116447703D01* +X126122882Y-116465083D01* +X126114989Y-116481770D01* +X126105499Y-116497603D01* +X126094503Y-116512429D01* +X126082107Y-116526107D01* +X126068429Y-116538503D01* +X126053603Y-116549499D01* +X126037770Y-116558989D01* +X126021083Y-116566882D01* +X126003703Y-116573100D01* +X125985796Y-116577586D01* +X125967537Y-116580294D01* +X125949100Y-116581200D01* +X124697900Y-116581200D01* +X124679463Y-116580294D01* +X124661204Y-116577586D01* +X124643297Y-116573100D01* +X124625917Y-116566882D01* +X124609230Y-116558989D01* +X124593397Y-116549499D01* +X124578571Y-116538503D01* +X124564893Y-116526107D01* +X124552497Y-116512429D01* +X124541501Y-116497603D01* +X124532011Y-116481770D01* +X124524118Y-116465083D01* +X124517900Y-116447703D01* +X124513414Y-116429796D01* +X124510706Y-116411537D01* +X124509800Y-116393100D01* +X124509800Y-116016900D01* +X124510706Y-115998463D01* +X124513414Y-115980204D01* +X124517900Y-115962297D01* +X124524118Y-115944917D01* +X124532011Y-115928230D01* +X124541501Y-115912397D01* +X124552497Y-115897571D01* +X124564893Y-115883893D01* +X124578571Y-115871497D01* +X124593397Y-115860501D01* +X124609230Y-115851011D01* +X124625917Y-115843118D01* +X124643297Y-115836900D01* +X124661204Y-115832414D01* +X124679463Y-115829706D01* +X124697900Y-115828800D01* +X125949100Y-115828800D01* +X125967537Y-115829706D01* +G37* +G36* +X125967537Y-114559706D02* +G01* +X125985796Y-114562414D01* +X126003703Y-114566900D01* +X126021083Y-114573118D01* +X126037770Y-114581011D01* +X126053603Y-114590501D01* +X126068429Y-114601497D01* +X126082107Y-114613893D01* +X126094503Y-114627571D01* +X126105499Y-114642397D01* +X126114989Y-114658230D01* +X126122882Y-114674917D01* +X126129100Y-114692297D01* +X126133586Y-114710204D01* +X126136294Y-114728463D01* +X126137200Y-114746900D01* +X126137200Y-115123100D01* +X126136294Y-115141537D01* +X126133586Y-115159796D01* +X126129100Y-115177703D01* +X126122882Y-115195083D01* +X126114989Y-115211770D01* +X126105499Y-115227603D01* +X126094503Y-115242429D01* +X126082107Y-115256107D01* +X126068429Y-115268503D01* +X126053603Y-115279499D01* +X126037770Y-115288989D01* +X126021083Y-115296882D01* +X126003703Y-115303100D01* +X125985796Y-115307586D01* +X125967537Y-115310294D01* +X125949100Y-115311200D01* +X124697900Y-115311200D01* +X124679463Y-115310294D01* +X124661204Y-115307586D01* +X124643297Y-115303100D01* +X124625917Y-115296882D01* +X124609230Y-115288989D01* +X124593397Y-115279499D01* +X124578571Y-115268503D01* +X124564893Y-115256107D01* +X124552497Y-115242429D01* +X124541501Y-115227603D01* +X124532011Y-115211770D01* +X124524118Y-115195083D01* +X124517900Y-115177703D01* +X124513414Y-115159796D01* +X124510706Y-115141537D01* +X124509800Y-115123100D01* +X124509800Y-114746900D01* +X124510706Y-114728463D01* +X124513414Y-114710204D01* +X124517900Y-114692297D01* +X124524118Y-114674917D01* +X124532011Y-114658230D01* +X124541501Y-114642397D01* +X124552497Y-114627571D01* +X124564893Y-114613893D01* +X124578571Y-114601497D01* +X124593397Y-114590501D01* +X124609230Y-114581011D01* +X124625917Y-114573118D01* +X124643297Y-114566900D01* +X124661204Y-114562414D01* +X124679463Y-114559706D01* +X124697900Y-114558800D01* +X125949100Y-114558800D01* +X125967537Y-114559706D01* +G37* +G36* +X123777537Y-112364706D02* +G01* +X123795796Y-112367414D01* +X123813703Y-112371900D01* +X123831083Y-112378118D01* +X123847770Y-112386011D01* +X123863603Y-112395501D01* +X123878429Y-112406497D01* +X123892107Y-112418893D01* +X123904503Y-112432571D01* +X123915499Y-112447397D01* +X123924989Y-112463230D01* +X123932882Y-112479917D01* +X123939100Y-112497297D01* +X123943586Y-112515204D01* +X123946294Y-112533463D01* +X123947200Y-112551900D01* +X123947200Y-113803100D01* +X123946294Y-113821537D01* +X123943586Y-113839796D01* +X123939100Y-113857703D01* +X123932882Y-113875083D01* +X123924989Y-113891770D01* +X123915499Y-113907603D01* +X123904503Y-113922429D01* +X123892107Y-113936107D01* +X123878429Y-113948503D01* +X123863603Y-113959499D01* +X123847770Y-113968989D01* +X123831083Y-113976882D01* +X123813703Y-113983100D01* +X123795796Y-113987586D01* +X123777537Y-113990294D01* +X123759100Y-113991200D01* +X123382900Y-113991200D01* +X123364463Y-113990294D01* +X123346204Y-113987586D01* +X123328297Y-113983100D01* +X123310917Y-113976882D01* +X123294230Y-113968989D01* +X123278397Y-113959499D01* +X123263571Y-113948503D01* +X123249893Y-113936107D01* +X123237497Y-113922429D01* +X123226501Y-113907603D01* +X123217011Y-113891770D01* +X123209118Y-113875083D01* +X123202900Y-113857703D01* +X123198414Y-113839796D01* +X123195706Y-113821537D01* +X123194800Y-113803100D01* +X123194800Y-112551900D01* +X123195706Y-112533463D01* +X123198414Y-112515204D01* +X123202900Y-112497297D01* +X123209118Y-112479917D01* +X123217011Y-112463230D01* +X123226501Y-112447397D01* +X123237497Y-112432571D01* +X123249893Y-112418893D01* +X123263571Y-112406497D01* +X123278397Y-112395501D01* +X123294230Y-112386011D01* +X123310917Y-112378118D01* +X123328297Y-112371900D01* +X123346204Y-112367414D01* +X123364463Y-112364706D01* +X123382900Y-112363800D01* +X123759100Y-112363800D01* +X123777537Y-112364706D01* +G37* +G36* +X122507537Y-112364706D02* +G01* +X122525796Y-112367414D01* +X122543703Y-112371900D01* +X122561083Y-112378118D01* +X122577770Y-112386011D01* +X122593603Y-112395501D01* +X122608429Y-112406497D01* +X122622107Y-112418893D01* +X122634503Y-112432571D01* +X122645499Y-112447397D01* +X122654989Y-112463230D01* +X122662882Y-112479917D01* +X122669100Y-112497297D01* +X122673586Y-112515204D01* +X122676294Y-112533463D01* +X122677200Y-112551900D01* +X122677200Y-113803100D01* +X122676294Y-113821537D01* +X122673586Y-113839796D01* +X122669100Y-113857703D01* +X122662882Y-113875083D01* +X122654989Y-113891770D01* +X122645499Y-113907603D01* +X122634503Y-113922429D01* +X122622107Y-113936107D01* +X122608429Y-113948503D01* +X122593603Y-113959499D01* +X122577770Y-113968989D01* +X122561083Y-113976882D01* +X122543703Y-113983100D01* +X122525796Y-113987586D01* +X122507537Y-113990294D01* +X122489100Y-113991200D01* +X122112900Y-113991200D01* +X122094463Y-113990294D01* +X122076204Y-113987586D01* +X122058297Y-113983100D01* +X122040917Y-113976882D01* +X122024230Y-113968989D01* +X122008397Y-113959499D01* +X121993571Y-113948503D01* +X121979893Y-113936107D01* +X121967497Y-113922429D01* +X121956501Y-113907603D01* +X121947011Y-113891770D01* +X121939118Y-113875083D01* +X121932900Y-113857703D01* +X121928414Y-113839796D01* +X121925706Y-113821537D01* +X121924800Y-113803100D01* +X121924800Y-112551900D01* +X121925706Y-112533463D01* +X121928414Y-112515204D01* +X121932900Y-112497297D01* +X121939118Y-112479917D01* +X121947011Y-112463230D01* +X121956501Y-112447397D01* +X121967497Y-112432571D01* +X121979893Y-112418893D01* +X121993571Y-112406497D01* +X122008397Y-112395501D01* +X122024230Y-112386011D01* +X122040917Y-112378118D01* +X122058297Y-112371900D01* +X122076204Y-112367414D01* +X122094463Y-112364706D01* +X122112900Y-112363800D01* +X122489100Y-112363800D01* +X122507537Y-112364706D01* +G37* +G36* +X121237537Y-112364706D02* +G01* +X121255796Y-112367414D01* +X121273703Y-112371900D01* +X121291083Y-112378118D01* +X121307770Y-112386011D01* +X121323603Y-112395501D01* +X121338429Y-112406497D01* +X121352107Y-112418893D01* +X121364503Y-112432571D01* +X121375499Y-112447397D01* +X121384989Y-112463230D01* +X121392882Y-112479917D01* +X121399100Y-112497297D01* +X121403586Y-112515204D01* +X121406294Y-112533463D01* +X121407200Y-112551900D01* +X121407200Y-113803100D01* +X121406294Y-113821537D01* +X121403586Y-113839796D01* +X121399100Y-113857703D01* +X121392882Y-113875083D01* +X121384989Y-113891770D01* +X121375499Y-113907603D01* +X121364503Y-113922429D01* +X121352107Y-113936107D01* +X121338429Y-113948503D01* +X121323603Y-113959499D01* +X121307770Y-113968989D01* +X121291083Y-113976882D01* +X121273703Y-113983100D01* +X121255796Y-113987586D01* +X121237537Y-113990294D01* +X121219100Y-113991200D01* +X120842900Y-113991200D01* +X120824463Y-113990294D01* +X120806204Y-113987586D01* +X120788297Y-113983100D01* +X120770917Y-113976882D01* +X120754230Y-113968989D01* +X120738397Y-113959499D01* +X120723571Y-113948503D01* +X120709893Y-113936107D01* +X120697497Y-113922429D01* +X120686501Y-113907603D01* +X120677011Y-113891770D01* +X120669118Y-113875083D01* +X120662900Y-113857703D01* +X120658414Y-113839796D01* +X120655706Y-113821537D01* +X120654800Y-113803100D01* +X120654800Y-112551900D01* +X120655706Y-112533463D01* +X120658414Y-112515204D01* +X120662900Y-112497297D01* +X120669118Y-112479917D01* +X120677011Y-112463230D01* +X120686501Y-112447397D01* +X120697497Y-112432571D01* +X120709893Y-112418893D01* +X120723571Y-112406497D01* +X120738397Y-112395501D01* +X120754230Y-112386011D01* +X120770917Y-112378118D01* +X120788297Y-112371900D01* +X120806204Y-112367414D01* +X120824463Y-112364706D01* +X120842900Y-112363800D01* +X121219100Y-112363800D01* +X121237537Y-112364706D01* +G37* +D16* +X78994000Y-106553000D03* +D17* +X81534000Y-106553000D03* +D16* +X70358000Y-115189000D03* +X136906000Y-106553000D03* +X70358000Y-129540000D03* +X136906000Y-124841000D03* +D17* +X70358000Y-117729000D03* +X134366000Y-106553000D03* +X133350000Y-129540000D03* +X72898000Y-129540000D03* +D15* +G36* +X119661064Y-109334247D02* +G01* +X119690244Y-109338576D01* +X119718860Y-109345744D01* +X119746635Y-109355682D01* +X119773302Y-109368294D01* +X119798604Y-109383460D01* +X119822299Y-109401033D01* +X119844156Y-109420844D01* +X119863967Y-109442701D01* +X119881540Y-109466396D01* +X119896706Y-109491698D01* +X119909318Y-109518365D01* +X119919256Y-109546140D01* +X119926424Y-109574756D01* +X119930753Y-109603936D01* +X119932200Y-109633400D01* +X119932200Y-110584600D01* +X119930753Y-110614064D01* +X119926424Y-110643244D01* +X119919256Y-110671860D01* +X119909318Y-110699635D01* +X119896706Y-110726302D01* +X119881540Y-110751604D01* +X119863967Y-110775299D01* +X119844156Y-110797156D01* +X119822299Y-110816967D01* +X119798604Y-110834540D01* +X119773302Y-110849706D01* +X119746635Y-110862318D01* +X119718860Y-110872256D01* +X119690244Y-110879424D01* +X119661064Y-110883753D01* +X119631600Y-110885200D01* +X119030400Y-110885200D01* +X119000936Y-110883753D01* +X118971756Y-110879424D01* +X118943140Y-110872256D01* +X118915365Y-110862318D01* +X118888698Y-110849706D01* +X118863396Y-110834540D01* +X118839701Y-110816967D01* +X118817844Y-110797156D01* +X118798033Y-110775299D01* +X118780460Y-110751604D01* +X118765294Y-110726302D01* +X118752682Y-110699635D01* +X118742744Y-110671860D01* +X118735576Y-110643244D01* +X118731247Y-110614064D01* +X118729800Y-110584600D01* +X118729800Y-109633400D01* +X118731247Y-109603936D01* +X118735576Y-109574756D01* +X118742744Y-109546140D01* +X118752682Y-109518365D01* +X118765294Y-109491698D01* +X118780460Y-109466396D01* +X118798033Y-109442701D01* +X118817844Y-109420844D01* +X118839701Y-109401033D01* +X118863396Y-109383460D01* +X118888698Y-109368294D01* +X118915365Y-109355682D01* +X118943140Y-109345744D01* +X118971756Y-109338576D01* +X119000936Y-109334247D01* +X119030400Y-109332800D01* +X119631600Y-109332800D01* +X119661064Y-109334247D01* +G37* +G36* +X121361064Y-109334247D02* +G01* +X121390244Y-109338576D01* +X121418860Y-109345744D01* +X121446635Y-109355682D01* +X121473302Y-109368294D01* +X121498604Y-109383460D01* +X121522299Y-109401033D01* +X121544156Y-109420844D01* +X121563967Y-109442701D01* +X121581540Y-109466396D01* +X121596706Y-109491698D01* +X121609318Y-109518365D01* +X121619256Y-109546140D01* +X121626424Y-109574756D01* +X121630753Y-109603936D01* +X121632200Y-109633400D01* +X121632200Y-110584600D01* +X121630753Y-110614064D01* +X121626424Y-110643244D01* +X121619256Y-110671860D01* +X121609318Y-110699635D01* +X121596706Y-110726302D01* +X121581540Y-110751604D01* +X121563967Y-110775299D01* +X121544156Y-110797156D01* +X121522299Y-110816967D01* +X121498604Y-110834540D01* +X121473302Y-110849706D01* +X121446635Y-110862318D01* +X121418860Y-110872256D01* +X121390244Y-110879424D01* +X121361064Y-110883753D01* +X121331600Y-110885200D01* +X120730400Y-110885200D01* +X120700936Y-110883753D01* +X120671756Y-110879424D01* +X120643140Y-110872256D01* +X120615365Y-110862318D01* +X120588698Y-110849706D01* +X120563396Y-110834540D01* +X120539701Y-110816967D01* +X120517844Y-110797156D01* +X120498033Y-110775299D01* +X120480460Y-110751604D01* +X120465294Y-110726302D01* +X120452682Y-110699635D01* +X120442744Y-110671860D01* +X120435576Y-110643244D01* +X120431247Y-110614064D01* +X120429800Y-110584600D01* +X120429800Y-109633400D01* +X120431247Y-109603936D01* +X120435576Y-109574756D01* +X120442744Y-109546140D01* +X120452682Y-109518365D01* +X120465294Y-109491698D01* +X120480460Y-109466396D01* +X120498033Y-109442701D01* +X120517844Y-109420844D01* +X120539701Y-109401033D01* +X120563396Y-109383460D01* +X120588698Y-109368294D01* +X120615365Y-109355682D01* +X120643140Y-109345744D01* +X120671756Y-109338576D01* +X120700936Y-109334247D01* +X120730400Y-109332800D01* +X121331600Y-109332800D01* +X121361064Y-109334247D01* +G37* +G36* +X137490064Y-127114247D02* +G01* +X137519244Y-127118576D01* +X137547860Y-127125744D01* +X137575635Y-127135682D01* +X137602302Y-127148294D01* +X137627604Y-127163460D01* +X137651299Y-127181033D01* +X137673156Y-127200844D01* +X137692967Y-127222701D01* +X137710540Y-127246396D01* +X137725706Y-127271698D01* +X137738318Y-127298365D01* +X137748256Y-127326140D01* +X137755424Y-127354756D01* +X137759753Y-127383936D01* +X137761200Y-127413400D01* +X137761200Y-128364600D01* +X137759753Y-128394064D01* +X137755424Y-128423244D01* +X137748256Y-128451860D01* +X137738318Y-128479635D01* +X137725706Y-128506302D01* +X137710540Y-128531604D01* +X137692967Y-128555299D01* +X137673156Y-128577156D01* +X137651299Y-128596967D01* +X137627604Y-128614540D01* +X137602302Y-128629706D01* +X137575635Y-128642318D01* +X137547860Y-128652256D01* +X137519244Y-128659424D01* +X137490064Y-128663753D01* +X137460600Y-128665200D01* +X136859400Y-128665200D01* +X136829936Y-128663753D01* +X136800756Y-128659424D01* +X136772140Y-128652256D01* +X136744365Y-128642318D01* +X136717698Y-128629706D01* +X136692396Y-128614540D01* +X136668701Y-128596967D01* +X136646844Y-128577156D01* +X136627033Y-128555299D01* +X136609460Y-128531604D01* +X136594294Y-128506302D01* +X136581682Y-128479635D01* +X136571744Y-128451860D01* +X136564576Y-128423244D01* +X136560247Y-128394064D01* +X136558800Y-128364600D01* +X136558800Y-127413400D01* +X136560247Y-127383936D01* +X136564576Y-127354756D01* +X136571744Y-127326140D01* +X136581682Y-127298365D01* +X136594294Y-127271698D01* +X136609460Y-127246396D01* +X136627033Y-127222701D01* +X136646844Y-127200844D01* +X136668701Y-127181033D01* +X136692396Y-127163460D01* +X136717698Y-127148294D01* +X136744365Y-127135682D01* +X136772140Y-127125744D01* +X136800756Y-127118576D01* +X136829936Y-127114247D01* +X136859400Y-127112800D01* +X137460600Y-127112800D01* +X137490064Y-127114247D01* +G37* +G36* +X135790064Y-127114247D02* +G01* +X135819244Y-127118576D01* +X135847860Y-127125744D01* +X135875635Y-127135682D01* +X135902302Y-127148294D01* +X135927604Y-127163460D01* +X135951299Y-127181033D01* +X135973156Y-127200844D01* +X135992967Y-127222701D01* +X136010540Y-127246396D01* +X136025706Y-127271698D01* +X136038318Y-127298365D01* +X136048256Y-127326140D01* +X136055424Y-127354756D01* +X136059753Y-127383936D01* +X136061200Y-127413400D01* +X136061200Y-128364600D01* +X136059753Y-128394064D01* +X136055424Y-128423244D01* +X136048256Y-128451860D01* +X136038318Y-128479635D01* +X136025706Y-128506302D01* +X136010540Y-128531604D01* +X135992967Y-128555299D01* +X135973156Y-128577156D01* +X135951299Y-128596967D01* +X135927604Y-128614540D01* +X135902302Y-128629706D01* +X135875635Y-128642318D01* +X135847860Y-128652256D01* +X135819244Y-128659424D01* +X135790064Y-128663753D01* +X135760600Y-128665200D01* +X135159400Y-128665200D01* +X135129936Y-128663753D01* +X135100756Y-128659424D01* +X135072140Y-128652256D01* +X135044365Y-128642318D01* +X135017698Y-128629706D01* +X134992396Y-128614540D01* +X134968701Y-128596967D01* +X134946844Y-128577156D01* +X134927033Y-128555299D01* +X134909460Y-128531604D01* +X134894294Y-128506302D01* +X134881682Y-128479635D01* +X134871744Y-128451860D01* +X134864576Y-128423244D01* +X134860247Y-128394064D01* +X134858800Y-128364600D01* +X134858800Y-127413400D01* +X134860247Y-127383936D01* +X134864576Y-127354756D01* +X134871744Y-127326140D01* +X134881682Y-127298365D01* +X134894294Y-127271698D01* +X134909460Y-127246396D01* +X134927033Y-127222701D01* +X134946844Y-127200844D01* +X134968701Y-127181033D01* +X134992396Y-127163460D01* +X135017698Y-127148294D01* +X135044365Y-127135682D01* +X135072140Y-127125744D01* +X135100756Y-127118576D01* +X135129936Y-127114247D01* +X135159400Y-127112800D01* +X135760600Y-127112800D01* +X135790064Y-127114247D01* +G37* +G36* +X93469064Y-127035247D02* +G01* +X93498244Y-127039576D01* +X93526860Y-127046744D01* +X93554635Y-127056682D01* +X93581302Y-127069294D01* +X93606604Y-127084460D01* +X93630299Y-127102033D01* +X93652156Y-127121844D01* +X93671967Y-127143701D01* +X93689540Y-127167396D01* +X93704706Y-127192698D01* +X93717318Y-127219365D01* +X93727256Y-127247140D01* +X93734424Y-127275756D01* +X93738753Y-127304936D01* +X93740200Y-127334400D01* +X93740200Y-127935600D01* +X93738753Y-127965064D01* +X93734424Y-127994244D01* +X93727256Y-128022860D01* +X93717318Y-128050635D01* +X93704706Y-128077302D01* +X93689540Y-128102604D01* +X93671967Y-128126299D01* +X93652156Y-128148156D01* +X93630299Y-128167967D01* +X93606604Y-128185540D01* +X93581302Y-128200706D01* +X93554635Y-128213318D01* +X93526860Y-128223256D01* +X93498244Y-128230424D01* +X93469064Y-128234753D01* +X93439600Y-128236200D01* +X92488400Y-128236200D01* +X92458936Y-128234753D01* +X92429756Y-128230424D01* +X92401140Y-128223256D01* +X92373365Y-128213318D01* +X92346698Y-128200706D01* +X92321396Y-128185540D01* +X92297701Y-128167967D01* +X92275844Y-128148156D01* +X92256033Y-128126299D01* +X92238460Y-128102604D01* +X92223294Y-128077302D01* +X92210682Y-128050635D01* +X92200744Y-128022860D01* +X92193576Y-127994244D01* +X92189247Y-127965064D01* +X92187800Y-127935600D01* +X92187800Y-127334400D01* +X92189247Y-127304936D01* +X92193576Y-127275756D01* +X92200744Y-127247140D01* +X92210682Y-127219365D01* +X92223294Y-127192698D01* +X92238460Y-127167396D01* +X92256033Y-127143701D01* +X92275844Y-127121844D01* +X92297701Y-127102033D01* +X92321396Y-127084460D01* +X92346698Y-127069294D01* +X92373365Y-127056682D01* +X92401140Y-127046744D01* +X92429756Y-127039576D01* +X92458936Y-127035247D01* +X92488400Y-127033800D01* +X93439600Y-127033800D01* +X93469064Y-127035247D01* +G37* +G36* +X93469064Y-125335247D02* +G01* +X93498244Y-125339576D01* +X93526860Y-125346744D01* +X93554635Y-125356682D01* +X93581302Y-125369294D01* +X93606604Y-125384460D01* +X93630299Y-125402033D01* +X93652156Y-125421844D01* +X93671967Y-125443701D01* +X93689540Y-125467396D01* +X93704706Y-125492698D01* +X93717318Y-125519365D01* +X93727256Y-125547140D01* +X93734424Y-125575756D01* +X93738753Y-125604936D01* +X93740200Y-125634400D01* +X93740200Y-126235600D01* +X93738753Y-126265064D01* +X93734424Y-126294244D01* +X93727256Y-126322860D01* +X93717318Y-126350635D01* +X93704706Y-126377302D01* +X93689540Y-126402604D01* +X93671967Y-126426299D01* +X93652156Y-126448156D01* +X93630299Y-126467967D01* +X93606604Y-126485540D01* +X93581302Y-126500706D01* +X93554635Y-126513318D01* +X93526860Y-126523256D01* +X93498244Y-126530424D01* +X93469064Y-126534753D01* +X93439600Y-126536200D01* +X92488400Y-126536200D01* +X92458936Y-126534753D01* +X92429756Y-126530424D01* +X92401140Y-126523256D01* +X92373365Y-126513318D01* +X92346698Y-126500706D01* +X92321396Y-126485540D01* +X92297701Y-126467967D01* +X92275844Y-126448156D01* +X92256033Y-126426299D01* +X92238460Y-126402604D01* +X92223294Y-126377302D01* +X92210682Y-126350635D01* +X92200744Y-126322860D01* +X92193576Y-126294244D01* +X92189247Y-126265064D01* +X92187800Y-126235600D01* +X92187800Y-125634400D01* +X92189247Y-125604936D01* +X92193576Y-125575756D01* +X92200744Y-125547140D01* +X92210682Y-125519365D01* +X92223294Y-125492698D01* +X92238460Y-125467396D01* +X92256033Y-125443701D01* +X92275844Y-125421844D01* +X92297701Y-125402033D01* +X92321396Y-125384460D01* +X92346698Y-125369294D01* +X92373365Y-125356682D01* +X92401140Y-125346744D01* +X92429756Y-125339576D01* +X92458936Y-125335247D01* +X92488400Y-125333800D01* +X93439600Y-125333800D01* +X93469064Y-125335247D01* +G37* +G36* +X92068537Y-119639706D02* +G01* +X92086796Y-119642414D01* +X92104703Y-119646900D01* +X92122083Y-119653118D01* +X92138770Y-119661011D01* +X92154603Y-119670501D01* +X92169429Y-119681497D01* +X92183107Y-119693893D01* +X92195503Y-119707571D01* +X92206499Y-119722397D01* +X92215989Y-119738230D01* +X92223882Y-119754917D01* +X92230100Y-119772297D01* +X92234586Y-119790204D01* +X92237294Y-119808463D01* +X92238200Y-119826900D01* +X92238200Y-120203100D01* +X92237294Y-120221537D01* +X92234586Y-120239796D01* +X92230100Y-120257703D01* +X92223882Y-120275083D01* +X92215989Y-120291770D01* +X92206499Y-120307603D01* +X92195503Y-120322429D01* +X92183107Y-120336107D01* +X92169429Y-120348503D01* +X92154603Y-120359499D01* +X92138770Y-120368989D01* +X92122083Y-120376882D01* +X92104703Y-120383100D01* +X92086796Y-120387586D01* +X92068537Y-120390294D01* +X92050100Y-120391200D01* +X90223900Y-120391200D01* +X90205463Y-120390294D01* +X90187204Y-120387586D01* +X90169297Y-120383100D01* +X90151917Y-120376882D01* +X90135230Y-120368989D01* +X90119397Y-120359499D01* +X90104571Y-120348503D01* +X90090893Y-120336107D01* +X90078497Y-120322429D01* +X90067501Y-120307603D01* +X90058011Y-120291770D01* +X90050118Y-120275083D01* +X90043900Y-120257703D01* +X90039414Y-120239796D01* +X90036706Y-120221537D01* +X90035800Y-120203100D01* +X90035800Y-119826900D01* +X90036706Y-119808463D01* +X90039414Y-119790204D01* +X90043900Y-119772297D01* +X90050118Y-119754917D01* +X90058011Y-119738230D01* +X90067501Y-119722397D01* +X90078497Y-119707571D01* +X90090893Y-119693893D01* +X90104571Y-119681497D01* +X90119397Y-119670501D01* +X90135230Y-119661011D01* +X90151917Y-119653118D01* +X90169297Y-119646900D01* +X90187204Y-119642414D01* +X90205463Y-119639706D01* +X90223900Y-119638800D01* +X92050100Y-119638800D01* +X92068537Y-119639706D01* +G37* +G36* +X92068537Y-118369706D02* +G01* +X92086796Y-118372414D01* +X92104703Y-118376900D01* +X92122083Y-118383118D01* +X92138770Y-118391011D01* +X92154603Y-118400501D01* +X92169429Y-118411497D01* +X92183107Y-118423893D01* +X92195503Y-118437571D01* +X92206499Y-118452397D01* +X92215989Y-118468230D01* +X92223882Y-118484917D01* +X92230100Y-118502297D01* +X92234586Y-118520204D01* +X92237294Y-118538463D01* +X92238200Y-118556900D01* +X92238200Y-118933100D01* +X92237294Y-118951537D01* +X92234586Y-118969796D01* +X92230100Y-118987703D01* +X92223882Y-119005083D01* +X92215989Y-119021770D01* +X92206499Y-119037603D01* +X92195503Y-119052429D01* +X92183107Y-119066107D01* +X92169429Y-119078503D01* +X92154603Y-119089499D01* +X92138770Y-119098989D01* +X92122083Y-119106882D01* +X92104703Y-119113100D01* +X92086796Y-119117586D01* +X92068537Y-119120294D01* +X92050100Y-119121200D01* +X90223900Y-119121200D01* +X90205463Y-119120294D01* +X90187204Y-119117586D01* +X90169297Y-119113100D01* +X90151917Y-119106882D01* +X90135230Y-119098989D01* +X90119397Y-119089499D01* +X90104571Y-119078503D01* +X90090893Y-119066107D01* +X90078497Y-119052429D01* +X90067501Y-119037603D01* +X90058011Y-119021770D01* +X90050118Y-119005083D01* +X90043900Y-118987703D01* +X90039414Y-118969796D01* +X90036706Y-118951537D01* +X90035800Y-118933100D01* +X90035800Y-118556900D01* +X90036706Y-118538463D01* +X90039414Y-118520204D01* +X90043900Y-118502297D01* +X90050118Y-118484917D01* +X90058011Y-118468230D01* +X90067501Y-118452397D01* +X90078497Y-118437571D01* +X90090893Y-118423893D01* +X90104571Y-118411497D01* +X90119397Y-118400501D01* +X90135230Y-118391011D01* +X90151917Y-118383118D01* +X90169297Y-118376900D01* +X90187204Y-118372414D01* +X90205463Y-118369706D01* +X90223900Y-118368800D01* +X92050100Y-118368800D01* +X92068537Y-118369706D01* +G37* +G36* +X92068537Y-117099706D02* +G01* +X92086796Y-117102414D01* +X92104703Y-117106900D01* +X92122083Y-117113118D01* +X92138770Y-117121011D01* +X92154603Y-117130501D01* +X92169429Y-117141497D01* +X92183107Y-117153893D01* +X92195503Y-117167571D01* +X92206499Y-117182397D01* +X92215989Y-117198230D01* +X92223882Y-117214917D01* +X92230100Y-117232297D01* +X92234586Y-117250204D01* +X92237294Y-117268463D01* +X92238200Y-117286900D01* +X92238200Y-117663100D01* +X92237294Y-117681537D01* +X92234586Y-117699796D01* +X92230100Y-117717703D01* +X92223882Y-117735083D01* +X92215989Y-117751770D01* +X92206499Y-117767603D01* +X92195503Y-117782429D01* +X92183107Y-117796107D01* +X92169429Y-117808503D01* +X92154603Y-117819499D01* +X92138770Y-117828989D01* +X92122083Y-117836882D01* +X92104703Y-117843100D01* +X92086796Y-117847586D01* +X92068537Y-117850294D01* +X92050100Y-117851200D01* +X90223900Y-117851200D01* +X90205463Y-117850294D01* +X90187204Y-117847586D01* +X90169297Y-117843100D01* +X90151917Y-117836882D01* +X90135230Y-117828989D01* +X90119397Y-117819499D01* +X90104571Y-117808503D01* +X90090893Y-117796107D01* +X90078497Y-117782429D01* +X90067501Y-117767603D01* +X90058011Y-117751770D01* +X90050118Y-117735083D01* +X90043900Y-117717703D01* +X90039414Y-117699796D01* +X90036706Y-117681537D01* +X90035800Y-117663100D01* +X90035800Y-117286900D01* +X90036706Y-117268463D01* +X90039414Y-117250204D01* +X90043900Y-117232297D01* +X90050118Y-117214917D01* +X90058011Y-117198230D01* +X90067501Y-117182397D01* +X90078497Y-117167571D01* +X90090893Y-117153893D01* +X90104571Y-117141497D01* +X90119397Y-117130501D01* +X90135230Y-117121011D01* +X90151917Y-117113118D01* +X90169297Y-117106900D01* +X90187204Y-117102414D01* +X90205463Y-117099706D01* +X90223900Y-117098800D01* +X92050100Y-117098800D01* +X92068537Y-117099706D01* +G37* +G36* +X92068537Y-115829706D02* +G01* +X92086796Y-115832414D01* +X92104703Y-115836900D01* +X92122083Y-115843118D01* +X92138770Y-115851011D01* +X92154603Y-115860501D01* +X92169429Y-115871497D01* +X92183107Y-115883893D01* +X92195503Y-115897571D01* +X92206499Y-115912397D01* +X92215989Y-115928230D01* +X92223882Y-115944917D01* +X92230100Y-115962297D01* +X92234586Y-115980204D01* +X92237294Y-115998463D01* +X92238200Y-116016900D01* +X92238200Y-116393100D01* +X92237294Y-116411537D01* +X92234586Y-116429796D01* +X92230100Y-116447703D01* +X92223882Y-116465083D01* +X92215989Y-116481770D01* +X92206499Y-116497603D01* +X92195503Y-116512429D01* +X92183107Y-116526107D01* +X92169429Y-116538503D01* +X92154603Y-116549499D01* +X92138770Y-116558989D01* +X92122083Y-116566882D01* +X92104703Y-116573100D01* +X92086796Y-116577586D01* +X92068537Y-116580294D01* +X92050100Y-116581200D01* +X90223900Y-116581200D01* +X90205463Y-116580294D01* +X90187204Y-116577586D01* +X90169297Y-116573100D01* +X90151917Y-116566882D01* +X90135230Y-116558989D01* +X90119397Y-116549499D01* +X90104571Y-116538503D01* +X90090893Y-116526107D01* +X90078497Y-116512429D01* +X90067501Y-116497603D01* +X90058011Y-116481770D01* +X90050118Y-116465083D01* +X90043900Y-116447703D01* +X90039414Y-116429796D01* +X90036706Y-116411537D01* +X90035800Y-116393100D01* +X90035800Y-116016900D01* +X90036706Y-115998463D01* +X90039414Y-115980204D01* +X90043900Y-115962297D01* +X90050118Y-115944917D01* +X90058011Y-115928230D01* +X90067501Y-115912397D01* +X90078497Y-115897571D01* +X90090893Y-115883893D01* +X90104571Y-115871497D01* +X90119397Y-115860501D01* +X90135230Y-115851011D01* +X90151917Y-115843118D01* +X90169297Y-115836900D01* +X90187204Y-115832414D01* +X90205463Y-115829706D01* +X90223900Y-115828800D01* +X92050100Y-115828800D01* +X92068537Y-115829706D01* +G37* +G36* +X92068537Y-114559706D02* +G01* +X92086796Y-114562414D01* +X92104703Y-114566900D01* +X92122083Y-114573118D01* +X92138770Y-114581011D01* +X92154603Y-114590501D01* +X92169429Y-114601497D01* +X92183107Y-114613893D01* +X92195503Y-114627571D01* +X92206499Y-114642397D01* +X92215989Y-114658230D01* +X92223882Y-114674917D01* +X92230100Y-114692297D01* +X92234586Y-114710204D01* +X92237294Y-114728463D01* +X92238200Y-114746900D01* +X92238200Y-115123100D01* +X92237294Y-115141537D01* +X92234586Y-115159796D01* +X92230100Y-115177703D01* +X92223882Y-115195083D01* +X92215989Y-115211770D01* +X92206499Y-115227603D01* +X92195503Y-115242429D01* +X92183107Y-115256107D01* +X92169429Y-115268503D01* +X92154603Y-115279499D01* +X92138770Y-115288989D01* +X92122083Y-115296882D01* +X92104703Y-115303100D01* +X92086796Y-115307586D01* +X92068537Y-115310294D01* +X92050100Y-115311200D01* +X90223900Y-115311200D01* +X90205463Y-115310294D01* +X90187204Y-115307586D01* +X90169297Y-115303100D01* +X90151917Y-115296882D01* +X90135230Y-115288989D01* +X90119397Y-115279499D01* +X90104571Y-115268503D01* +X90090893Y-115256107D01* +X90078497Y-115242429D01* +X90067501Y-115227603D01* +X90058011Y-115211770D01* +X90050118Y-115195083D01* +X90043900Y-115177703D01* +X90039414Y-115159796D01* +X90036706Y-115141537D01* +X90035800Y-115123100D01* +X90035800Y-114746900D01* +X90036706Y-114728463D01* +X90039414Y-114710204D01* +X90043900Y-114692297D01* +X90050118Y-114674917D01* +X90058011Y-114658230D01* +X90067501Y-114642397D01* +X90078497Y-114627571D01* +X90090893Y-114613893D01* +X90104571Y-114601497D01* +X90119397Y-114590501D01* +X90135230Y-114581011D01* +X90151917Y-114573118D01* +X90169297Y-114566900D01* +X90187204Y-114562414D01* +X90205463Y-114559706D01* +X90223900Y-114558800D01* +X92050100Y-114558800D01* +X92068537Y-114559706D01* +G37* +G36* +X92068537Y-113289706D02* +G01* +X92086796Y-113292414D01* +X92104703Y-113296900D01* +X92122083Y-113303118D01* +X92138770Y-113311011D01* +X92154603Y-113320501D01* +X92169429Y-113331497D01* +X92183107Y-113343893D01* +X92195503Y-113357571D01* +X92206499Y-113372397D01* +X92215989Y-113388230D01* +X92223882Y-113404917D01* +X92230100Y-113422297D01* +X92234586Y-113440204D01* +X92237294Y-113458463D01* +X92238200Y-113476900D01* +X92238200Y-113853100D01* +X92237294Y-113871537D01* +X92234586Y-113889796D01* +X92230100Y-113907703D01* +X92223882Y-113925083D01* +X92215989Y-113941770D01* +X92206499Y-113957603D01* +X92195503Y-113972429D01* +X92183107Y-113986107D01* +X92169429Y-113998503D01* +X92154603Y-114009499D01* +X92138770Y-114018989D01* +X92122083Y-114026882D01* +X92104703Y-114033100D01* +X92086796Y-114037586D01* +X92068537Y-114040294D01* +X92050100Y-114041200D01* +X90223900Y-114041200D01* +X90205463Y-114040294D01* +X90187204Y-114037586D01* +X90169297Y-114033100D01* +X90151917Y-114026882D01* +X90135230Y-114018989D01* +X90119397Y-114009499D01* +X90104571Y-113998503D01* +X90090893Y-113986107D01* +X90078497Y-113972429D01* +X90067501Y-113957603D01* +X90058011Y-113941770D01* +X90050118Y-113925083D01* +X90043900Y-113907703D01* +X90039414Y-113889796D01* +X90036706Y-113871537D01* +X90035800Y-113853100D01* +X90035800Y-113476900D01* +X90036706Y-113458463D01* +X90039414Y-113440204D01* +X90043900Y-113422297D01* +X90050118Y-113404917D01* +X90058011Y-113388230D01* +X90067501Y-113372397D01* +X90078497Y-113357571D01* +X90090893Y-113343893D01* +X90104571Y-113331497D01* +X90119397Y-113320501D01* +X90135230Y-113311011D01* +X90151917Y-113303118D01* +X90169297Y-113296900D01* +X90187204Y-113292414D01* +X90205463Y-113289706D01* +X90223900Y-113288800D01* +X92050100Y-113288800D01* +X92068537Y-113289706D01* +G37* +G36* +X92068537Y-112019706D02* +G01* +X92086796Y-112022414D01* +X92104703Y-112026900D01* +X92122083Y-112033118D01* +X92138770Y-112041011D01* +X92154603Y-112050501D01* +X92169429Y-112061497D01* +X92183107Y-112073893D01* +X92195503Y-112087571D01* +X92206499Y-112102397D01* +X92215989Y-112118230D01* +X92223882Y-112134917D01* +X92230100Y-112152297D01* +X92234586Y-112170204D01* +X92237294Y-112188463D01* +X92238200Y-112206900D01* +X92238200Y-112583100D01* +X92237294Y-112601537D01* +X92234586Y-112619796D01* +X92230100Y-112637703D01* +X92223882Y-112655083D01* +X92215989Y-112671770D01* +X92206499Y-112687603D01* +X92195503Y-112702429D01* +X92183107Y-112716107D01* +X92169429Y-112728503D01* +X92154603Y-112739499D01* +X92138770Y-112748989D01* +X92122083Y-112756882D01* +X92104703Y-112763100D01* +X92086796Y-112767586D01* +X92068537Y-112770294D01* +X92050100Y-112771200D01* +X90223900Y-112771200D01* +X90205463Y-112770294D01* +X90187204Y-112767586D01* +X90169297Y-112763100D01* +X90151917Y-112756882D01* +X90135230Y-112748989D01* +X90119397Y-112739499D01* +X90104571Y-112728503D01* +X90090893Y-112716107D01* +X90078497Y-112702429D01* +X90067501Y-112687603D01* +X90058011Y-112671770D01* +X90050118Y-112655083D01* +X90043900Y-112637703D01* +X90039414Y-112619796D01* +X90036706Y-112601537D01* +X90035800Y-112583100D01* +X90035800Y-112206900D01* +X90036706Y-112188463D01* +X90039414Y-112170204D01* +X90043900Y-112152297D01* +X90050118Y-112134917D01* +X90058011Y-112118230D01* +X90067501Y-112102397D01* +X90078497Y-112087571D01* +X90090893Y-112073893D01* +X90104571Y-112061497D01* +X90119397Y-112050501D01* +X90135230Y-112041011D01* +X90151917Y-112033118D01* +X90169297Y-112026900D01* +X90187204Y-112022414D01* +X90205463Y-112019706D01* +X90223900Y-112018800D01* +X92050100Y-112018800D01* +X92068537Y-112019706D01* +G37* +G36* +X92068537Y-110749706D02* +G01* +X92086796Y-110752414D01* +X92104703Y-110756900D01* +X92122083Y-110763118D01* +X92138770Y-110771011D01* +X92154603Y-110780501D01* +X92169429Y-110791497D01* +X92183107Y-110803893D01* +X92195503Y-110817571D01* +X92206499Y-110832397D01* +X92215989Y-110848230D01* +X92223882Y-110864917D01* +X92230100Y-110882297D01* +X92234586Y-110900204D01* +X92237294Y-110918463D01* +X92238200Y-110936900D01* +X92238200Y-111313100D01* +X92237294Y-111331537D01* +X92234586Y-111349796D01* +X92230100Y-111367703D01* +X92223882Y-111385083D01* +X92215989Y-111401770D01* +X92206499Y-111417603D01* +X92195503Y-111432429D01* +X92183107Y-111446107D01* +X92169429Y-111458503D01* +X92154603Y-111469499D01* +X92138770Y-111478989D01* +X92122083Y-111486882D01* +X92104703Y-111493100D01* +X92086796Y-111497586D01* +X92068537Y-111500294D01* +X92050100Y-111501200D01* +X90223900Y-111501200D01* +X90205463Y-111500294D01* +X90187204Y-111497586D01* +X90169297Y-111493100D01* +X90151917Y-111486882D01* +X90135230Y-111478989D01* +X90119397Y-111469499D01* +X90104571Y-111458503D01* +X90090893Y-111446107D01* +X90078497Y-111432429D01* +X90067501Y-111417603D01* +X90058011Y-111401770D01* +X90050118Y-111385083D01* +X90043900Y-111367703D01* +X90039414Y-111349796D01* +X90036706Y-111331537D01* +X90035800Y-111313100D01* +X90035800Y-110936900D01* +X90036706Y-110918463D01* +X90039414Y-110900204D01* +X90043900Y-110882297D01* +X90050118Y-110864917D01* +X90058011Y-110848230D01* +X90067501Y-110832397D01* +X90078497Y-110817571D01* +X90090893Y-110803893D01* +X90104571Y-110791497D01* +X90119397Y-110780501D01* +X90135230Y-110771011D01* +X90151917Y-110763118D01* +X90169297Y-110756900D01* +X90187204Y-110752414D01* +X90205463Y-110749706D01* +X90223900Y-110748800D01* +X92050100Y-110748800D01* +X92068537Y-110749706D01* +G37* +G36* +X92068537Y-109479706D02* +G01* +X92086796Y-109482414D01* +X92104703Y-109486900D01* +X92122083Y-109493118D01* +X92138770Y-109501011D01* +X92154603Y-109510501D01* +X92169429Y-109521497D01* +X92183107Y-109533893D01* +X92195503Y-109547571D01* +X92206499Y-109562397D01* +X92215989Y-109578230D01* +X92223882Y-109594917D01* +X92230100Y-109612297D01* +X92234586Y-109630204D01* +X92237294Y-109648463D01* +X92238200Y-109666900D01* +X92238200Y-110043100D01* +X92237294Y-110061537D01* +X92234586Y-110079796D01* +X92230100Y-110097703D01* +X92223882Y-110115083D01* +X92215989Y-110131770D01* +X92206499Y-110147603D01* +X92195503Y-110162429D01* +X92183107Y-110176107D01* +X92169429Y-110188503D01* +X92154603Y-110199499D01* +X92138770Y-110208989D01* +X92122083Y-110216882D01* +X92104703Y-110223100D01* +X92086796Y-110227586D01* +X92068537Y-110230294D01* +X92050100Y-110231200D01* +X90223900Y-110231200D01* +X90205463Y-110230294D01* +X90187204Y-110227586D01* +X90169297Y-110223100D01* +X90151917Y-110216882D01* +X90135230Y-110208989D01* +X90119397Y-110199499D01* +X90104571Y-110188503D01* +X90090893Y-110176107D01* +X90078497Y-110162429D01* +X90067501Y-110147603D01* +X90058011Y-110131770D01* +X90050118Y-110115083D01* +X90043900Y-110097703D01* +X90039414Y-110079796D01* +X90036706Y-110061537D01* +X90035800Y-110043100D01* +X90035800Y-109666900D01* +X90036706Y-109648463D01* +X90039414Y-109630204D01* +X90043900Y-109612297D01* +X90050118Y-109594917D01* +X90058011Y-109578230D01* +X90067501Y-109562397D01* +X90078497Y-109547571D01* +X90090893Y-109533893D01* +X90104571Y-109521497D01* +X90119397Y-109510501D01* +X90135230Y-109501011D01* +X90151917Y-109493118D01* +X90169297Y-109486900D01* +X90187204Y-109482414D01* +X90205463Y-109479706D01* +X90223900Y-109478800D01* +X92050100Y-109478800D01* +X92068537Y-109479706D01* +G37* +G36* +X92068537Y-108209706D02* +G01* +X92086796Y-108212414D01* +X92104703Y-108216900D01* +X92122083Y-108223118D01* +X92138770Y-108231011D01* +X92154603Y-108240501D01* +X92169429Y-108251497D01* +X92183107Y-108263893D01* +X92195503Y-108277571D01* +X92206499Y-108292397D01* +X92215989Y-108308230D01* +X92223882Y-108324917D01* +X92230100Y-108342297D01* +X92234586Y-108360204D01* +X92237294Y-108378463D01* +X92238200Y-108396900D01* +X92238200Y-108773100D01* +X92237294Y-108791537D01* +X92234586Y-108809796D01* +X92230100Y-108827703D01* +X92223882Y-108845083D01* +X92215989Y-108861770D01* +X92206499Y-108877603D01* +X92195503Y-108892429D01* +X92183107Y-108906107D01* +X92169429Y-108918503D01* +X92154603Y-108929499D01* +X92138770Y-108938989D01* +X92122083Y-108946882D01* +X92104703Y-108953100D01* +X92086796Y-108957586D01* +X92068537Y-108960294D01* +X92050100Y-108961200D01* +X90223900Y-108961200D01* +X90205463Y-108960294D01* +X90187204Y-108957586D01* +X90169297Y-108953100D01* +X90151917Y-108946882D01* +X90135230Y-108938989D01* +X90119397Y-108929499D01* +X90104571Y-108918503D01* +X90090893Y-108906107D01* +X90078497Y-108892429D01* +X90067501Y-108877603D01* +X90058011Y-108861770D01* +X90050118Y-108845083D01* +X90043900Y-108827703D01* +X90039414Y-108809796D01* +X90036706Y-108791537D01* +X90035800Y-108773100D01* +X90035800Y-108396900D01* +X90036706Y-108378463D01* +X90039414Y-108360204D01* +X90043900Y-108342297D01* +X90050118Y-108324917D01* +X90058011Y-108308230D01* +X90067501Y-108292397D01* +X90078497Y-108277571D01* +X90090893Y-108263893D01* +X90104571Y-108251497D01* +X90119397Y-108240501D01* +X90135230Y-108231011D01* +X90151917Y-108223118D01* +X90169297Y-108216900D01* +X90187204Y-108212414D01* +X90205463Y-108209706D01* +X90223900Y-108208800D01* +X92050100Y-108208800D01* +X92068537Y-108209706D01* +G37* +G36* +X82768537Y-108209706D02* +G01* +X82786796Y-108212414D01* +X82804703Y-108216900D01* +X82822083Y-108223118D01* +X82838770Y-108231011D01* +X82854603Y-108240501D01* +X82869429Y-108251497D01* +X82883107Y-108263893D01* +X82895503Y-108277571D01* +X82906499Y-108292397D01* +X82915989Y-108308230D01* +X82923882Y-108324917D01* +X82930100Y-108342297D01* +X82934586Y-108360204D01* +X82937294Y-108378463D01* +X82938200Y-108396900D01* +X82938200Y-108773100D01* +X82937294Y-108791537D01* +X82934586Y-108809796D01* +X82930100Y-108827703D01* +X82923882Y-108845083D01* +X82915989Y-108861770D01* +X82906499Y-108877603D01* +X82895503Y-108892429D01* +X82883107Y-108906107D01* +X82869429Y-108918503D01* +X82854603Y-108929499D01* +X82838770Y-108938989D01* +X82822083Y-108946882D01* +X82804703Y-108953100D01* +X82786796Y-108957586D01* +X82768537Y-108960294D01* +X82750100Y-108961200D01* +X80923900Y-108961200D01* +X80905463Y-108960294D01* +X80887204Y-108957586D01* +X80869297Y-108953100D01* +X80851917Y-108946882D01* +X80835230Y-108938989D01* +X80819397Y-108929499D01* +X80804571Y-108918503D01* +X80790893Y-108906107D01* +X80778497Y-108892429D01* +X80767501Y-108877603D01* +X80758011Y-108861770D01* +X80750118Y-108845083D01* +X80743900Y-108827703D01* +X80739414Y-108809796D01* +X80736706Y-108791537D01* +X80735800Y-108773100D01* +X80735800Y-108396900D01* +X80736706Y-108378463D01* +X80739414Y-108360204D01* +X80743900Y-108342297D01* +X80750118Y-108324917D01* +X80758011Y-108308230D01* +X80767501Y-108292397D01* +X80778497Y-108277571D01* +X80790893Y-108263893D01* +X80804571Y-108251497D01* +X80819397Y-108240501D01* +X80835230Y-108231011D01* +X80851917Y-108223118D01* +X80869297Y-108216900D01* +X80887204Y-108212414D01* +X80905463Y-108209706D01* +X80923900Y-108208800D01* +X82750100Y-108208800D01* +X82768537Y-108209706D01* +G37* +G36* +X82768537Y-109479706D02* +G01* +X82786796Y-109482414D01* +X82804703Y-109486900D01* +X82822083Y-109493118D01* +X82838770Y-109501011D01* +X82854603Y-109510501D01* +X82869429Y-109521497D01* +X82883107Y-109533893D01* +X82895503Y-109547571D01* +X82906499Y-109562397D01* +X82915989Y-109578230D01* +X82923882Y-109594917D01* +X82930100Y-109612297D01* +X82934586Y-109630204D01* +X82937294Y-109648463D01* +X82938200Y-109666900D01* +X82938200Y-110043100D01* +X82937294Y-110061537D01* +X82934586Y-110079796D01* +X82930100Y-110097703D01* +X82923882Y-110115083D01* +X82915989Y-110131770D01* +X82906499Y-110147603D01* +X82895503Y-110162429D01* +X82883107Y-110176107D01* +X82869429Y-110188503D01* +X82854603Y-110199499D01* +X82838770Y-110208989D01* +X82822083Y-110216882D01* +X82804703Y-110223100D01* +X82786796Y-110227586D01* +X82768537Y-110230294D01* +X82750100Y-110231200D01* +X80923900Y-110231200D01* +X80905463Y-110230294D01* +X80887204Y-110227586D01* +X80869297Y-110223100D01* +X80851917Y-110216882D01* +X80835230Y-110208989D01* +X80819397Y-110199499D01* +X80804571Y-110188503D01* +X80790893Y-110176107D01* +X80778497Y-110162429D01* +X80767501Y-110147603D01* +X80758011Y-110131770D01* +X80750118Y-110115083D01* +X80743900Y-110097703D01* +X80739414Y-110079796D01* +X80736706Y-110061537D01* +X80735800Y-110043100D01* +X80735800Y-109666900D01* +X80736706Y-109648463D01* +X80739414Y-109630204D01* +X80743900Y-109612297D01* +X80750118Y-109594917D01* +X80758011Y-109578230D01* +X80767501Y-109562397D01* +X80778497Y-109547571D01* +X80790893Y-109533893D01* +X80804571Y-109521497D01* +X80819397Y-109510501D01* +X80835230Y-109501011D01* +X80851917Y-109493118D01* +X80869297Y-109486900D01* +X80887204Y-109482414D01* +X80905463Y-109479706D01* +X80923900Y-109478800D01* +X82750100Y-109478800D01* +X82768537Y-109479706D01* +G37* +G36* +X82768537Y-110749706D02* +G01* +X82786796Y-110752414D01* +X82804703Y-110756900D01* +X82822083Y-110763118D01* +X82838770Y-110771011D01* +X82854603Y-110780501D01* +X82869429Y-110791497D01* +X82883107Y-110803893D01* +X82895503Y-110817571D01* +X82906499Y-110832397D01* +X82915989Y-110848230D01* +X82923882Y-110864917D01* +X82930100Y-110882297D01* +X82934586Y-110900204D01* +X82937294Y-110918463D01* +X82938200Y-110936900D01* +X82938200Y-111313100D01* +X82937294Y-111331537D01* +X82934586Y-111349796D01* +X82930100Y-111367703D01* +X82923882Y-111385083D01* +X82915989Y-111401770D01* +X82906499Y-111417603D01* +X82895503Y-111432429D01* +X82883107Y-111446107D01* +X82869429Y-111458503D01* +X82854603Y-111469499D01* +X82838770Y-111478989D01* +X82822083Y-111486882D01* +X82804703Y-111493100D01* +X82786796Y-111497586D01* +X82768537Y-111500294D01* +X82750100Y-111501200D01* +X80923900Y-111501200D01* +X80905463Y-111500294D01* +X80887204Y-111497586D01* +X80869297Y-111493100D01* +X80851917Y-111486882D01* +X80835230Y-111478989D01* +X80819397Y-111469499D01* +X80804571Y-111458503D01* +X80790893Y-111446107D01* +X80778497Y-111432429D01* +X80767501Y-111417603D01* +X80758011Y-111401770D01* +X80750118Y-111385083D01* +X80743900Y-111367703D01* +X80739414Y-111349796D01* +X80736706Y-111331537D01* +X80735800Y-111313100D01* +X80735800Y-110936900D01* +X80736706Y-110918463D01* +X80739414Y-110900204D01* +X80743900Y-110882297D01* +X80750118Y-110864917D01* +X80758011Y-110848230D01* +X80767501Y-110832397D01* +X80778497Y-110817571D01* +X80790893Y-110803893D01* +X80804571Y-110791497D01* +X80819397Y-110780501D01* +X80835230Y-110771011D01* +X80851917Y-110763118D01* +X80869297Y-110756900D01* +X80887204Y-110752414D01* +X80905463Y-110749706D01* +X80923900Y-110748800D01* +X82750100Y-110748800D01* +X82768537Y-110749706D01* +G37* +G36* +X82768537Y-112019706D02* +G01* +X82786796Y-112022414D01* +X82804703Y-112026900D01* +X82822083Y-112033118D01* +X82838770Y-112041011D01* +X82854603Y-112050501D01* +X82869429Y-112061497D01* +X82883107Y-112073893D01* +X82895503Y-112087571D01* +X82906499Y-112102397D01* +X82915989Y-112118230D01* +X82923882Y-112134917D01* +X82930100Y-112152297D01* +X82934586Y-112170204D01* +X82937294Y-112188463D01* +X82938200Y-112206900D01* +X82938200Y-112583100D01* +X82937294Y-112601537D01* +X82934586Y-112619796D01* +X82930100Y-112637703D01* +X82923882Y-112655083D01* +X82915989Y-112671770D01* +X82906499Y-112687603D01* +X82895503Y-112702429D01* +X82883107Y-112716107D01* +X82869429Y-112728503D01* +X82854603Y-112739499D01* +X82838770Y-112748989D01* +X82822083Y-112756882D01* +X82804703Y-112763100D01* +X82786796Y-112767586D01* +X82768537Y-112770294D01* +X82750100Y-112771200D01* +X80923900Y-112771200D01* +X80905463Y-112770294D01* +X80887204Y-112767586D01* +X80869297Y-112763100D01* +X80851917Y-112756882D01* +X80835230Y-112748989D01* +X80819397Y-112739499D01* +X80804571Y-112728503D01* +X80790893Y-112716107D01* +X80778497Y-112702429D01* +X80767501Y-112687603D01* +X80758011Y-112671770D01* +X80750118Y-112655083D01* +X80743900Y-112637703D01* +X80739414Y-112619796D01* +X80736706Y-112601537D01* +X80735800Y-112583100D01* +X80735800Y-112206900D01* +X80736706Y-112188463D01* +X80739414Y-112170204D01* +X80743900Y-112152297D01* +X80750118Y-112134917D01* +X80758011Y-112118230D01* +X80767501Y-112102397D01* +X80778497Y-112087571D01* +X80790893Y-112073893D01* +X80804571Y-112061497D01* +X80819397Y-112050501D01* +X80835230Y-112041011D01* +X80851917Y-112033118D01* +X80869297Y-112026900D01* +X80887204Y-112022414D01* +X80905463Y-112019706D01* +X80923900Y-112018800D01* +X82750100Y-112018800D01* +X82768537Y-112019706D01* +G37* +G36* +X82768537Y-113289706D02* +G01* +X82786796Y-113292414D01* +X82804703Y-113296900D01* +X82822083Y-113303118D01* +X82838770Y-113311011D01* +X82854603Y-113320501D01* +X82869429Y-113331497D01* +X82883107Y-113343893D01* +X82895503Y-113357571D01* +X82906499Y-113372397D01* +X82915989Y-113388230D01* +X82923882Y-113404917D01* +X82930100Y-113422297D01* +X82934586Y-113440204D01* +X82937294Y-113458463D01* +X82938200Y-113476900D01* +X82938200Y-113853100D01* +X82937294Y-113871537D01* +X82934586Y-113889796D01* +X82930100Y-113907703D01* +X82923882Y-113925083D01* +X82915989Y-113941770D01* +X82906499Y-113957603D01* +X82895503Y-113972429D01* +X82883107Y-113986107D01* +X82869429Y-113998503D01* +X82854603Y-114009499D01* +X82838770Y-114018989D01* +X82822083Y-114026882D01* +X82804703Y-114033100D01* +X82786796Y-114037586D01* +X82768537Y-114040294D01* +X82750100Y-114041200D01* +X80923900Y-114041200D01* +X80905463Y-114040294D01* +X80887204Y-114037586D01* +X80869297Y-114033100D01* +X80851917Y-114026882D01* +X80835230Y-114018989D01* +X80819397Y-114009499D01* +X80804571Y-113998503D01* +X80790893Y-113986107D01* +X80778497Y-113972429D01* +X80767501Y-113957603D01* +X80758011Y-113941770D01* +X80750118Y-113925083D01* +X80743900Y-113907703D01* +X80739414Y-113889796D01* +X80736706Y-113871537D01* +X80735800Y-113853100D01* +X80735800Y-113476900D01* +X80736706Y-113458463D01* +X80739414Y-113440204D01* +X80743900Y-113422297D01* +X80750118Y-113404917D01* +X80758011Y-113388230D01* +X80767501Y-113372397D01* +X80778497Y-113357571D01* +X80790893Y-113343893D01* +X80804571Y-113331497D01* +X80819397Y-113320501D01* +X80835230Y-113311011D01* +X80851917Y-113303118D01* +X80869297Y-113296900D01* +X80887204Y-113292414D01* +X80905463Y-113289706D01* +X80923900Y-113288800D01* +X82750100Y-113288800D01* +X82768537Y-113289706D01* +G37* +G36* +X82768537Y-114559706D02* +G01* +X82786796Y-114562414D01* +X82804703Y-114566900D01* +X82822083Y-114573118D01* +X82838770Y-114581011D01* +X82854603Y-114590501D01* +X82869429Y-114601497D01* +X82883107Y-114613893D01* +X82895503Y-114627571D01* +X82906499Y-114642397D01* +X82915989Y-114658230D01* +X82923882Y-114674917D01* +X82930100Y-114692297D01* +X82934586Y-114710204D01* +X82937294Y-114728463D01* +X82938200Y-114746900D01* +X82938200Y-115123100D01* +X82937294Y-115141537D01* +X82934586Y-115159796D01* +X82930100Y-115177703D01* +X82923882Y-115195083D01* +X82915989Y-115211770D01* +X82906499Y-115227603D01* +X82895503Y-115242429D01* +X82883107Y-115256107D01* +X82869429Y-115268503D01* +X82854603Y-115279499D01* +X82838770Y-115288989D01* +X82822083Y-115296882D01* +X82804703Y-115303100D01* +X82786796Y-115307586D01* +X82768537Y-115310294D01* +X82750100Y-115311200D01* +X80923900Y-115311200D01* +X80905463Y-115310294D01* +X80887204Y-115307586D01* +X80869297Y-115303100D01* +X80851917Y-115296882D01* +X80835230Y-115288989D01* +X80819397Y-115279499D01* +X80804571Y-115268503D01* +X80790893Y-115256107D01* +X80778497Y-115242429D01* +X80767501Y-115227603D01* +X80758011Y-115211770D01* +X80750118Y-115195083D01* +X80743900Y-115177703D01* +X80739414Y-115159796D01* +X80736706Y-115141537D01* +X80735800Y-115123100D01* +X80735800Y-114746900D01* +X80736706Y-114728463D01* +X80739414Y-114710204D01* +X80743900Y-114692297D01* +X80750118Y-114674917D01* +X80758011Y-114658230D01* +X80767501Y-114642397D01* +X80778497Y-114627571D01* +X80790893Y-114613893D01* +X80804571Y-114601497D01* +X80819397Y-114590501D01* +X80835230Y-114581011D01* +X80851917Y-114573118D01* +X80869297Y-114566900D01* +X80887204Y-114562414D01* +X80905463Y-114559706D01* +X80923900Y-114558800D01* +X82750100Y-114558800D01* +X82768537Y-114559706D01* +G37* +G36* +X82768537Y-115829706D02* +G01* +X82786796Y-115832414D01* +X82804703Y-115836900D01* +X82822083Y-115843118D01* +X82838770Y-115851011D01* +X82854603Y-115860501D01* +X82869429Y-115871497D01* +X82883107Y-115883893D01* +X82895503Y-115897571D01* +X82906499Y-115912397D01* +X82915989Y-115928230D01* +X82923882Y-115944917D01* +X82930100Y-115962297D01* +X82934586Y-115980204D01* +X82937294Y-115998463D01* +X82938200Y-116016900D01* +X82938200Y-116393100D01* +X82937294Y-116411537D01* +X82934586Y-116429796D01* +X82930100Y-116447703D01* +X82923882Y-116465083D01* +X82915989Y-116481770D01* +X82906499Y-116497603D01* +X82895503Y-116512429D01* +X82883107Y-116526107D01* +X82869429Y-116538503D01* +X82854603Y-116549499D01* +X82838770Y-116558989D01* +X82822083Y-116566882D01* +X82804703Y-116573100D01* +X82786796Y-116577586D01* +X82768537Y-116580294D01* +X82750100Y-116581200D01* +X80923900Y-116581200D01* +X80905463Y-116580294D01* +X80887204Y-116577586D01* +X80869297Y-116573100D01* +X80851917Y-116566882D01* +X80835230Y-116558989D01* +X80819397Y-116549499D01* +X80804571Y-116538503D01* +X80790893Y-116526107D01* +X80778497Y-116512429D01* +X80767501Y-116497603D01* +X80758011Y-116481770D01* +X80750118Y-116465083D01* +X80743900Y-116447703D01* +X80739414Y-116429796D01* +X80736706Y-116411537D01* +X80735800Y-116393100D01* +X80735800Y-116016900D01* +X80736706Y-115998463D01* +X80739414Y-115980204D01* +X80743900Y-115962297D01* +X80750118Y-115944917D01* +X80758011Y-115928230D01* +X80767501Y-115912397D01* +X80778497Y-115897571D01* +X80790893Y-115883893D01* +X80804571Y-115871497D01* +X80819397Y-115860501D01* +X80835230Y-115851011D01* +X80851917Y-115843118D01* +X80869297Y-115836900D01* +X80887204Y-115832414D01* +X80905463Y-115829706D01* +X80923900Y-115828800D01* +X82750100Y-115828800D01* +X82768537Y-115829706D01* +G37* +G36* +X82768537Y-117099706D02* +G01* +X82786796Y-117102414D01* +X82804703Y-117106900D01* +X82822083Y-117113118D01* +X82838770Y-117121011D01* +X82854603Y-117130501D01* +X82869429Y-117141497D01* +X82883107Y-117153893D01* +X82895503Y-117167571D01* +X82906499Y-117182397D01* +X82915989Y-117198230D01* +X82923882Y-117214917D01* +X82930100Y-117232297D01* +X82934586Y-117250204D01* +X82937294Y-117268463D01* +X82938200Y-117286900D01* +X82938200Y-117663100D01* +X82937294Y-117681537D01* +X82934586Y-117699796D01* +X82930100Y-117717703D01* +X82923882Y-117735083D01* +X82915989Y-117751770D01* +X82906499Y-117767603D01* +X82895503Y-117782429D01* +X82883107Y-117796107D01* +X82869429Y-117808503D01* +X82854603Y-117819499D01* +X82838770Y-117828989D01* +X82822083Y-117836882D01* +X82804703Y-117843100D01* +X82786796Y-117847586D01* +X82768537Y-117850294D01* +X82750100Y-117851200D01* +X80923900Y-117851200D01* +X80905463Y-117850294D01* +X80887204Y-117847586D01* +X80869297Y-117843100D01* +X80851917Y-117836882D01* +X80835230Y-117828989D01* +X80819397Y-117819499D01* +X80804571Y-117808503D01* +X80790893Y-117796107D01* +X80778497Y-117782429D01* +X80767501Y-117767603D01* +X80758011Y-117751770D01* +X80750118Y-117735083D01* +X80743900Y-117717703D01* +X80739414Y-117699796D01* +X80736706Y-117681537D01* +X80735800Y-117663100D01* +X80735800Y-117286900D01* +X80736706Y-117268463D01* +X80739414Y-117250204D01* +X80743900Y-117232297D01* +X80750118Y-117214917D01* +X80758011Y-117198230D01* +X80767501Y-117182397D01* +X80778497Y-117167571D01* +X80790893Y-117153893D01* +X80804571Y-117141497D01* +X80819397Y-117130501D01* +X80835230Y-117121011D01* +X80851917Y-117113118D01* +X80869297Y-117106900D01* +X80887204Y-117102414D01* +X80905463Y-117099706D01* +X80923900Y-117098800D01* +X82750100Y-117098800D01* +X82768537Y-117099706D01* +G37* +G36* +X82768537Y-118369706D02* +G01* +X82786796Y-118372414D01* +X82804703Y-118376900D01* +X82822083Y-118383118D01* +X82838770Y-118391011D01* +X82854603Y-118400501D01* +X82869429Y-118411497D01* +X82883107Y-118423893D01* +X82895503Y-118437571D01* +X82906499Y-118452397D01* +X82915989Y-118468230D01* +X82923882Y-118484917D01* +X82930100Y-118502297D01* +X82934586Y-118520204D01* +X82937294Y-118538463D01* +X82938200Y-118556900D01* +X82938200Y-118933100D01* +X82937294Y-118951537D01* +X82934586Y-118969796D01* +X82930100Y-118987703D01* +X82923882Y-119005083D01* +X82915989Y-119021770D01* +X82906499Y-119037603D01* +X82895503Y-119052429D01* +X82883107Y-119066107D01* +X82869429Y-119078503D01* +X82854603Y-119089499D01* +X82838770Y-119098989D01* +X82822083Y-119106882D01* +X82804703Y-119113100D01* +X82786796Y-119117586D01* +X82768537Y-119120294D01* +X82750100Y-119121200D01* +X80923900Y-119121200D01* +X80905463Y-119120294D01* +X80887204Y-119117586D01* +X80869297Y-119113100D01* +X80851917Y-119106882D01* +X80835230Y-119098989D01* +X80819397Y-119089499D01* +X80804571Y-119078503D01* +X80790893Y-119066107D01* +X80778497Y-119052429D01* +X80767501Y-119037603D01* +X80758011Y-119021770D01* +X80750118Y-119005083D01* +X80743900Y-118987703D01* +X80739414Y-118969796D01* +X80736706Y-118951537D01* +X80735800Y-118933100D01* +X80735800Y-118556900D01* +X80736706Y-118538463D01* +X80739414Y-118520204D01* +X80743900Y-118502297D01* +X80750118Y-118484917D01* +X80758011Y-118468230D01* +X80767501Y-118452397D01* +X80778497Y-118437571D01* +X80790893Y-118423893D01* +X80804571Y-118411497D01* +X80819397Y-118400501D01* +X80835230Y-118391011D01* +X80851917Y-118383118D01* +X80869297Y-118376900D01* +X80887204Y-118372414D01* +X80905463Y-118369706D01* +X80923900Y-118368800D01* +X82750100Y-118368800D01* +X82768537Y-118369706D01* +G37* +G36* +X82768537Y-119639706D02* +G01* +X82786796Y-119642414D01* +X82804703Y-119646900D01* +X82822083Y-119653118D01* +X82838770Y-119661011D01* +X82854603Y-119670501D01* +X82869429Y-119681497D01* +X82883107Y-119693893D01* +X82895503Y-119707571D01* +X82906499Y-119722397D01* +X82915989Y-119738230D01* +X82923882Y-119754917D01* +X82930100Y-119772297D01* +X82934586Y-119790204D01* +X82937294Y-119808463D01* +X82938200Y-119826900D01* +X82938200Y-120203100D01* +X82937294Y-120221537D01* +X82934586Y-120239796D01* +X82930100Y-120257703D01* +X82923882Y-120275083D01* +X82915989Y-120291770D01* +X82906499Y-120307603D01* +X82895503Y-120322429D01* +X82883107Y-120336107D01* +X82869429Y-120348503D01* +X82854603Y-120359499D01* +X82838770Y-120368989D01* +X82822083Y-120376882D01* +X82804703Y-120383100D01* +X82786796Y-120387586D01* +X82768537Y-120390294D01* +X82750100Y-120391200D01* +X80923900Y-120391200D01* +X80905463Y-120390294D01* +X80887204Y-120387586D01* +X80869297Y-120383100D01* +X80851917Y-120376882D01* +X80835230Y-120368989D01* +X80819397Y-120359499D01* +X80804571Y-120348503D01* +X80790893Y-120336107D01* +X80778497Y-120322429D01* +X80767501Y-120307603D01* +X80758011Y-120291770D01* +X80750118Y-120275083D01* +X80743900Y-120257703D01* +X80739414Y-120239796D01* +X80736706Y-120221537D01* +X80735800Y-120203100D01* +X80735800Y-119826900D01* +X80736706Y-119808463D01* +X80739414Y-119790204D01* +X80743900Y-119772297D01* +X80750118Y-119754917D01* +X80758011Y-119738230D01* +X80767501Y-119722397D01* +X80778497Y-119707571D01* +X80790893Y-119693893D01* +X80804571Y-119681497D01* +X80819397Y-119670501D01* +X80835230Y-119661011D01* +X80851917Y-119653118D01* +X80869297Y-119646900D01* +X80887204Y-119642414D01* +X80905463Y-119639706D01* +X80923900Y-119638800D01* +X82750100Y-119638800D01* +X82768537Y-119639706D01* +G37* +G36* +X109843537Y-127259706D02* +G01* +X109861796Y-127262414D01* +X109879703Y-127266900D01* +X109897083Y-127273118D01* +X109913770Y-127281011D01* +X109929603Y-127290501D01* +X109944429Y-127301497D01* +X109958107Y-127313893D01* +X109970503Y-127327571D01* +X109981499Y-127342397D01* +X109990989Y-127358230D01* +X109998882Y-127374917D01* +X110005100Y-127392297D01* +X110009586Y-127410204D01* +X110012294Y-127428463D01* +X110013200Y-127446900D01* +X110013200Y-127823100D01* +X110012294Y-127841537D01* +X110009586Y-127859796D01* +X110005100Y-127877703D01* +X109998882Y-127895083D01* +X109990989Y-127911770D01* +X109981499Y-127927603D01* +X109970503Y-127942429D01* +X109958107Y-127956107D01* +X109944429Y-127968503D01* +X109929603Y-127979499D01* +X109913770Y-127988989D01* +X109897083Y-127996882D01* +X109879703Y-128003100D01* +X109861796Y-128007586D01* +X109843537Y-128010294D01* +X109825100Y-128011200D01* +X107998900Y-128011200D01* +X107980463Y-128010294D01* +X107962204Y-128007586D01* +X107944297Y-128003100D01* +X107926917Y-127996882D01* +X107910230Y-127988989D01* +X107894397Y-127979499D01* +X107879571Y-127968503D01* +X107865893Y-127956107D01* +X107853497Y-127942429D01* +X107842501Y-127927603D01* +X107833011Y-127911770D01* +X107825118Y-127895083D01* +X107818900Y-127877703D01* +X107814414Y-127859796D01* +X107811706Y-127841537D01* +X107810800Y-127823100D01* +X107810800Y-127446900D01* +X107811706Y-127428463D01* +X107814414Y-127410204D01* +X107818900Y-127392297D01* +X107825118Y-127374917D01* +X107833011Y-127358230D01* +X107842501Y-127342397D01* +X107853497Y-127327571D01* +X107865893Y-127313893D01* +X107879571Y-127301497D01* +X107894397Y-127290501D01* +X107910230Y-127281011D01* +X107926917Y-127273118D01* +X107944297Y-127266900D01* +X107962204Y-127262414D01* +X107980463Y-127259706D01* +X107998900Y-127258800D01* +X109825100Y-127258800D01* +X109843537Y-127259706D01* +G37* +G36* +X109843537Y-125989706D02* +G01* +X109861796Y-125992414D01* +X109879703Y-125996900D01* +X109897083Y-126003118D01* +X109913770Y-126011011D01* +X109929603Y-126020501D01* +X109944429Y-126031497D01* +X109958107Y-126043893D01* +X109970503Y-126057571D01* +X109981499Y-126072397D01* +X109990989Y-126088230D01* +X109998882Y-126104917D01* +X110005100Y-126122297D01* +X110009586Y-126140204D01* +X110012294Y-126158463D01* +X110013200Y-126176900D01* +X110013200Y-126553100D01* +X110012294Y-126571537D01* +X110009586Y-126589796D01* +X110005100Y-126607703D01* +X109998882Y-126625083D01* +X109990989Y-126641770D01* +X109981499Y-126657603D01* +X109970503Y-126672429D01* +X109958107Y-126686107D01* +X109944429Y-126698503D01* +X109929603Y-126709499D01* +X109913770Y-126718989D01* +X109897083Y-126726882D01* +X109879703Y-126733100D01* +X109861796Y-126737586D01* +X109843537Y-126740294D01* +X109825100Y-126741200D01* +X107998900Y-126741200D01* +X107980463Y-126740294D01* +X107962204Y-126737586D01* +X107944297Y-126733100D01* +X107926917Y-126726882D01* +X107910230Y-126718989D01* +X107894397Y-126709499D01* +X107879571Y-126698503D01* +X107865893Y-126686107D01* +X107853497Y-126672429D01* +X107842501Y-126657603D01* +X107833011Y-126641770D01* +X107825118Y-126625083D01* +X107818900Y-126607703D01* +X107814414Y-126589796D01* +X107811706Y-126571537D01* +X107810800Y-126553100D01* +X107810800Y-126176900D01* +X107811706Y-126158463D01* +X107814414Y-126140204D01* +X107818900Y-126122297D01* +X107825118Y-126104917D01* +X107833011Y-126088230D01* +X107842501Y-126072397D01* +X107853497Y-126057571D01* +X107865893Y-126043893D01* +X107879571Y-126031497D01* +X107894397Y-126020501D01* +X107910230Y-126011011D01* +X107926917Y-126003118D01* +X107944297Y-125996900D01* +X107962204Y-125992414D01* +X107980463Y-125989706D01* +X107998900Y-125988800D01* +X109825100Y-125988800D01* +X109843537Y-125989706D01* +G37* +G36* +X109843537Y-124719706D02* +G01* +X109861796Y-124722414D01* +X109879703Y-124726900D01* +X109897083Y-124733118D01* +X109913770Y-124741011D01* +X109929603Y-124750501D01* +X109944429Y-124761497D01* +X109958107Y-124773893D01* +X109970503Y-124787571D01* +X109981499Y-124802397D01* +X109990989Y-124818230D01* +X109998882Y-124834917D01* +X110005100Y-124852297D01* +X110009586Y-124870204D01* +X110012294Y-124888463D01* +X110013200Y-124906900D01* +X110013200Y-125283100D01* +X110012294Y-125301537D01* +X110009586Y-125319796D01* +X110005100Y-125337703D01* +X109998882Y-125355083D01* +X109990989Y-125371770D01* +X109981499Y-125387603D01* +X109970503Y-125402429D01* +X109958107Y-125416107D01* +X109944429Y-125428503D01* +X109929603Y-125439499D01* +X109913770Y-125448989D01* +X109897083Y-125456882D01* +X109879703Y-125463100D01* +X109861796Y-125467586D01* +X109843537Y-125470294D01* +X109825100Y-125471200D01* +X107998900Y-125471200D01* +X107980463Y-125470294D01* +X107962204Y-125467586D01* +X107944297Y-125463100D01* +X107926917Y-125456882D01* +X107910230Y-125448989D01* +X107894397Y-125439499D01* +X107879571Y-125428503D01* +X107865893Y-125416107D01* +X107853497Y-125402429D01* +X107842501Y-125387603D01* +X107833011Y-125371770D01* +X107825118Y-125355083D01* +X107818900Y-125337703D01* +X107814414Y-125319796D01* +X107811706Y-125301537D01* +X107810800Y-125283100D01* +X107810800Y-124906900D01* +X107811706Y-124888463D01* +X107814414Y-124870204D01* +X107818900Y-124852297D01* +X107825118Y-124834917D01* +X107833011Y-124818230D01* +X107842501Y-124802397D01* +X107853497Y-124787571D01* +X107865893Y-124773893D01* +X107879571Y-124761497D01* +X107894397Y-124750501D01* +X107910230Y-124741011D01* +X107926917Y-124733118D01* +X107944297Y-124726900D01* +X107962204Y-124722414D01* +X107980463Y-124719706D01* +X107998900Y-124718800D01* +X109825100Y-124718800D01* +X109843537Y-124719706D01* +G37* +G36* +X109843537Y-123449706D02* +G01* +X109861796Y-123452414D01* +X109879703Y-123456900D01* +X109897083Y-123463118D01* +X109913770Y-123471011D01* +X109929603Y-123480501D01* +X109944429Y-123491497D01* +X109958107Y-123503893D01* +X109970503Y-123517571D01* +X109981499Y-123532397D01* +X109990989Y-123548230D01* +X109998882Y-123564917D01* +X110005100Y-123582297D01* +X110009586Y-123600204D01* +X110012294Y-123618463D01* +X110013200Y-123636900D01* +X110013200Y-124013100D01* +X110012294Y-124031537D01* +X110009586Y-124049796D01* +X110005100Y-124067703D01* +X109998882Y-124085083D01* +X109990989Y-124101770D01* +X109981499Y-124117603D01* +X109970503Y-124132429D01* +X109958107Y-124146107D01* +X109944429Y-124158503D01* +X109929603Y-124169499D01* +X109913770Y-124178989D01* +X109897083Y-124186882D01* +X109879703Y-124193100D01* +X109861796Y-124197586D01* +X109843537Y-124200294D01* +X109825100Y-124201200D01* +X107998900Y-124201200D01* +X107980463Y-124200294D01* +X107962204Y-124197586D01* +X107944297Y-124193100D01* +X107926917Y-124186882D01* +X107910230Y-124178989D01* +X107894397Y-124169499D01* +X107879571Y-124158503D01* +X107865893Y-124146107D01* +X107853497Y-124132429D01* +X107842501Y-124117603D01* +X107833011Y-124101770D01* +X107825118Y-124085083D01* +X107818900Y-124067703D01* +X107814414Y-124049796D01* +X107811706Y-124031537D01* +X107810800Y-124013100D01* +X107810800Y-123636900D01* +X107811706Y-123618463D01* +X107814414Y-123600204D01* +X107818900Y-123582297D01* +X107825118Y-123564917D01* +X107833011Y-123548230D01* +X107842501Y-123532397D01* +X107853497Y-123517571D01* +X107865893Y-123503893D01* +X107879571Y-123491497D01* +X107894397Y-123480501D01* +X107910230Y-123471011D01* +X107926917Y-123463118D01* +X107944297Y-123456900D01* +X107962204Y-123452414D01* +X107980463Y-123449706D01* +X107998900Y-123448800D01* +X109825100Y-123448800D01* +X109843537Y-123449706D01* +G37* +G36* +X109843537Y-122179706D02* +G01* +X109861796Y-122182414D01* +X109879703Y-122186900D01* +X109897083Y-122193118D01* +X109913770Y-122201011D01* +X109929603Y-122210501D01* +X109944429Y-122221497D01* +X109958107Y-122233893D01* +X109970503Y-122247571D01* +X109981499Y-122262397D01* +X109990989Y-122278230D01* +X109998882Y-122294917D01* +X110005100Y-122312297D01* +X110009586Y-122330204D01* +X110012294Y-122348463D01* +X110013200Y-122366900D01* +X110013200Y-122743100D01* +X110012294Y-122761537D01* +X110009586Y-122779796D01* +X110005100Y-122797703D01* +X109998882Y-122815083D01* +X109990989Y-122831770D01* +X109981499Y-122847603D01* +X109970503Y-122862429D01* +X109958107Y-122876107D01* +X109944429Y-122888503D01* +X109929603Y-122899499D01* +X109913770Y-122908989D01* +X109897083Y-122916882D01* +X109879703Y-122923100D01* +X109861796Y-122927586D01* +X109843537Y-122930294D01* +X109825100Y-122931200D01* +X107998900Y-122931200D01* +X107980463Y-122930294D01* +X107962204Y-122927586D01* +X107944297Y-122923100D01* +X107926917Y-122916882D01* +X107910230Y-122908989D01* +X107894397Y-122899499D01* +X107879571Y-122888503D01* +X107865893Y-122876107D01* +X107853497Y-122862429D01* +X107842501Y-122847603D01* +X107833011Y-122831770D01* +X107825118Y-122815083D01* +X107818900Y-122797703D01* +X107814414Y-122779796D01* +X107811706Y-122761537D01* +X107810800Y-122743100D01* +X107810800Y-122366900D01* +X107811706Y-122348463D01* +X107814414Y-122330204D01* +X107818900Y-122312297D01* +X107825118Y-122294917D01* +X107833011Y-122278230D01* +X107842501Y-122262397D01* +X107853497Y-122247571D01* +X107865893Y-122233893D01* +X107879571Y-122221497D01* +X107894397Y-122210501D01* +X107910230Y-122201011D01* +X107926917Y-122193118D01* +X107944297Y-122186900D01* +X107962204Y-122182414D01* +X107980463Y-122179706D01* +X107998900Y-122178800D01* +X109825100Y-122178800D01* +X109843537Y-122179706D01* +G37* +G36* +X109843537Y-120909706D02* +G01* +X109861796Y-120912414D01* +X109879703Y-120916900D01* +X109897083Y-120923118D01* +X109913770Y-120931011D01* +X109929603Y-120940501D01* +X109944429Y-120951497D01* +X109958107Y-120963893D01* +X109970503Y-120977571D01* +X109981499Y-120992397D01* +X109990989Y-121008230D01* +X109998882Y-121024917D01* +X110005100Y-121042297D01* +X110009586Y-121060204D01* +X110012294Y-121078463D01* +X110013200Y-121096900D01* +X110013200Y-121473100D01* +X110012294Y-121491537D01* +X110009586Y-121509796D01* +X110005100Y-121527703D01* +X109998882Y-121545083D01* +X109990989Y-121561770D01* +X109981499Y-121577603D01* +X109970503Y-121592429D01* +X109958107Y-121606107D01* +X109944429Y-121618503D01* +X109929603Y-121629499D01* +X109913770Y-121638989D01* +X109897083Y-121646882D01* +X109879703Y-121653100D01* +X109861796Y-121657586D01* +X109843537Y-121660294D01* +X109825100Y-121661200D01* +X107998900Y-121661200D01* +X107980463Y-121660294D01* +X107962204Y-121657586D01* +X107944297Y-121653100D01* +X107926917Y-121646882D01* +X107910230Y-121638989D01* +X107894397Y-121629499D01* +X107879571Y-121618503D01* +X107865893Y-121606107D01* +X107853497Y-121592429D01* +X107842501Y-121577603D01* +X107833011Y-121561770D01* +X107825118Y-121545083D01* +X107818900Y-121527703D01* +X107814414Y-121509796D01* +X107811706Y-121491537D01* +X107810800Y-121473100D01* +X107810800Y-121096900D01* +X107811706Y-121078463D01* +X107814414Y-121060204D01* +X107818900Y-121042297D01* +X107825118Y-121024917D01* +X107833011Y-121008230D01* +X107842501Y-120992397D01* +X107853497Y-120977571D01* +X107865893Y-120963893D01* +X107879571Y-120951497D01* +X107894397Y-120940501D01* +X107910230Y-120931011D01* +X107926917Y-120923118D01* +X107944297Y-120916900D01* +X107962204Y-120912414D01* +X107980463Y-120909706D01* +X107998900Y-120908800D01* +X109825100Y-120908800D01* +X109843537Y-120909706D01* +G37* +G36* +X109843537Y-119639706D02* +G01* +X109861796Y-119642414D01* +X109879703Y-119646900D01* +X109897083Y-119653118D01* +X109913770Y-119661011D01* +X109929603Y-119670501D01* +X109944429Y-119681497D01* +X109958107Y-119693893D01* +X109970503Y-119707571D01* +X109981499Y-119722397D01* +X109990989Y-119738230D01* +X109998882Y-119754917D01* +X110005100Y-119772297D01* +X110009586Y-119790204D01* +X110012294Y-119808463D01* +X110013200Y-119826900D01* +X110013200Y-120203100D01* +X110012294Y-120221537D01* +X110009586Y-120239796D01* +X110005100Y-120257703D01* +X109998882Y-120275083D01* +X109990989Y-120291770D01* +X109981499Y-120307603D01* +X109970503Y-120322429D01* +X109958107Y-120336107D01* +X109944429Y-120348503D01* +X109929603Y-120359499D01* +X109913770Y-120368989D01* +X109897083Y-120376882D01* +X109879703Y-120383100D01* +X109861796Y-120387586D01* +X109843537Y-120390294D01* +X109825100Y-120391200D01* +X107998900Y-120391200D01* +X107980463Y-120390294D01* +X107962204Y-120387586D01* +X107944297Y-120383100D01* +X107926917Y-120376882D01* +X107910230Y-120368989D01* +X107894397Y-120359499D01* +X107879571Y-120348503D01* +X107865893Y-120336107D01* +X107853497Y-120322429D01* +X107842501Y-120307603D01* +X107833011Y-120291770D01* +X107825118Y-120275083D01* +X107818900Y-120257703D01* +X107814414Y-120239796D01* +X107811706Y-120221537D01* +X107810800Y-120203100D01* +X107810800Y-119826900D01* +X107811706Y-119808463D01* +X107814414Y-119790204D01* +X107818900Y-119772297D01* +X107825118Y-119754917D01* +X107833011Y-119738230D01* +X107842501Y-119722397D01* +X107853497Y-119707571D01* +X107865893Y-119693893D01* +X107879571Y-119681497D01* +X107894397Y-119670501D01* +X107910230Y-119661011D01* +X107926917Y-119653118D01* +X107944297Y-119646900D01* +X107962204Y-119642414D01* +X107980463Y-119639706D01* +X107998900Y-119638800D01* +X109825100Y-119638800D01* +X109843537Y-119639706D01* +G37* +G36* +X109843537Y-118369706D02* +G01* +X109861796Y-118372414D01* +X109879703Y-118376900D01* +X109897083Y-118383118D01* +X109913770Y-118391011D01* +X109929603Y-118400501D01* +X109944429Y-118411497D01* +X109958107Y-118423893D01* +X109970503Y-118437571D01* +X109981499Y-118452397D01* +X109990989Y-118468230D01* +X109998882Y-118484917D01* +X110005100Y-118502297D01* +X110009586Y-118520204D01* +X110012294Y-118538463D01* +X110013200Y-118556900D01* +X110013200Y-118933100D01* +X110012294Y-118951537D01* +X110009586Y-118969796D01* +X110005100Y-118987703D01* +X109998882Y-119005083D01* +X109990989Y-119021770D01* +X109981499Y-119037603D01* +X109970503Y-119052429D01* +X109958107Y-119066107D01* +X109944429Y-119078503D01* +X109929603Y-119089499D01* +X109913770Y-119098989D01* +X109897083Y-119106882D01* +X109879703Y-119113100D01* +X109861796Y-119117586D01* +X109843537Y-119120294D01* +X109825100Y-119121200D01* +X107998900Y-119121200D01* +X107980463Y-119120294D01* +X107962204Y-119117586D01* +X107944297Y-119113100D01* +X107926917Y-119106882D01* +X107910230Y-119098989D01* +X107894397Y-119089499D01* +X107879571Y-119078503D01* +X107865893Y-119066107D01* +X107853497Y-119052429D01* +X107842501Y-119037603D01* +X107833011Y-119021770D01* +X107825118Y-119005083D01* +X107818900Y-118987703D01* +X107814414Y-118969796D01* +X107811706Y-118951537D01* +X107810800Y-118933100D01* +X107810800Y-118556900D01* +X107811706Y-118538463D01* +X107814414Y-118520204D01* +X107818900Y-118502297D01* +X107825118Y-118484917D01* +X107833011Y-118468230D01* +X107842501Y-118452397D01* +X107853497Y-118437571D01* +X107865893Y-118423893D01* +X107879571Y-118411497D01* +X107894397Y-118400501D01* +X107910230Y-118391011D01* +X107926917Y-118383118D01* +X107944297Y-118376900D01* +X107962204Y-118372414D01* +X107980463Y-118369706D01* +X107998900Y-118368800D01* +X109825100Y-118368800D01* +X109843537Y-118369706D01* +G37* +G36* +X109843537Y-117099706D02* +G01* +X109861796Y-117102414D01* +X109879703Y-117106900D01* +X109897083Y-117113118D01* +X109913770Y-117121011D01* +X109929603Y-117130501D01* +X109944429Y-117141497D01* +X109958107Y-117153893D01* +X109970503Y-117167571D01* +X109981499Y-117182397D01* +X109990989Y-117198230D01* +X109998882Y-117214917D01* +X110005100Y-117232297D01* +X110009586Y-117250204D01* +X110012294Y-117268463D01* +X110013200Y-117286900D01* +X110013200Y-117663100D01* +X110012294Y-117681537D01* +X110009586Y-117699796D01* +X110005100Y-117717703D01* +X109998882Y-117735083D01* +X109990989Y-117751770D01* +X109981499Y-117767603D01* +X109970503Y-117782429D01* +X109958107Y-117796107D01* +X109944429Y-117808503D01* +X109929603Y-117819499D01* +X109913770Y-117828989D01* +X109897083Y-117836882D01* +X109879703Y-117843100D01* +X109861796Y-117847586D01* +X109843537Y-117850294D01* +X109825100Y-117851200D01* +X107998900Y-117851200D01* +X107980463Y-117850294D01* +X107962204Y-117847586D01* +X107944297Y-117843100D01* +X107926917Y-117836882D01* +X107910230Y-117828989D01* +X107894397Y-117819499D01* +X107879571Y-117808503D01* +X107865893Y-117796107D01* +X107853497Y-117782429D01* +X107842501Y-117767603D01* +X107833011Y-117751770D01* +X107825118Y-117735083D01* +X107818900Y-117717703D01* +X107814414Y-117699796D01* +X107811706Y-117681537D01* +X107810800Y-117663100D01* +X107810800Y-117286900D01* +X107811706Y-117268463D01* +X107814414Y-117250204D01* +X107818900Y-117232297D01* +X107825118Y-117214917D01* +X107833011Y-117198230D01* +X107842501Y-117182397D01* +X107853497Y-117167571D01* +X107865893Y-117153893D01* +X107879571Y-117141497D01* +X107894397Y-117130501D01* +X107910230Y-117121011D01* +X107926917Y-117113118D01* +X107944297Y-117106900D01* +X107962204Y-117102414D01* +X107980463Y-117099706D01* +X107998900Y-117098800D01* +X109825100Y-117098800D01* +X109843537Y-117099706D01* +G37* +G36* +X109843537Y-115829706D02* +G01* +X109861796Y-115832414D01* +X109879703Y-115836900D01* +X109897083Y-115843118D01* +X109913770Y-115851011D01* +X109929603Y-115860501D01* +X109944429Y-115871497D01* +X109958107Y-115883893D01* +X109970503Y-115897571D01* +X109981499Y-115912397D01* +X109990989Y-115928230D01* +X109998882Y-115944917D01* +X110005100Y-115962297D01* +X110009586Y-115980204D01* +X110012294Y-115998463D01* +X110013200Y-116016900D01* +X110013200Y-116393100D01* +X110012294Y-116411537D01* +X110009586Y-116429796D01* +X110005100Y-116447703D01* +X109998882Y-116465083D01* +X109990989Y-116481770D01* +X109981499Y-116497603D01* +X109970503Y-116512429D01* +X109958107Y-116526107D01* +X109944429Y-116538503D01* +X109929603Y-116549499D01* +X109913770Y-116558989D01* +X109897083Y-116566882D01* +X109879703Y-116573100D01* +X109861796Y-116577586D01* +X109843537Y-116580294D01* +X109825100Y-116581200D01* +X107998900Y-116581200D01* +X107980463Y-116580294D01* +X107962204Y-116577586D01* +X107944297Y-116573100D01* +X107926917Y-116566882D01* +X107910230Y-116558989D01* +X107894397Y-116549499D01* +X107879571Y-116538503D01* +X107865893Y-116526107D01* +X107853497Y-116512429D01* +X107842501Y-116497603D01* +X107833011Y-116481770D01* +X107825118Y-116465083D01* +X107818900Y-116447703D01* +X107814414Y-116429796D01* +X107811706Y-116411537D01* +X107810800Y-116393100D01* +X107810800Y-116016900D01* +X107811706Y-115998463D01* +X107814414Y-115980204D01* +X107818900Y-115962297D01* +X107825118Y-115944917D01* +X107833011Y-115928230D01* +X107842501Y-115912397D01* +X107853497Y-115897571D01* +X107865893Y-115883893D01* +X107879571Y-115871497D01* +X107894397Y-115860501D01* +X107910230Y-115851011D01* +X107926917Y-115843118D01* +X107944297Y-115836900D01* +X107962204Y-115832414D01* +X107980463Y-115829706D01* +X107998900Y-115828800D01* +X109825100Y-115828800D01* +X109843537Y-115829706D01* +G37* +G36* +X109843537Y-114559706D02* +G01* +X109861796Y-114562414D01* +X109879703Y-114566900D01* +X109897083Y-114573118D01* +X109913770Y-114581011D01* +X109929603Y-114590501D01* +X109944429Y-114601497D01* +X109958107Y-114613893D01* +X109970503Y-114627571D01* +X109981499Y-114642397D01* +X109990989Y-114658230D01* +X109998882Y-114674917D01* +X110005100Y-114692297D01* +X110009586Y-114710204D01* +X110012294Y-114728463D01* +X110013200Y-114746900D01* +X110013200Y-115123100D01* +X110012294Y-115141537D01* +X110009586Y-115159796D01* +X110005100Y-115177703D01* +X109998882Y-115195083D01* +X109990989Y-115211770D01* +X109981499Y-115227603D01* +X109970503Y-115242429D01* +X109958107Y-115256107D01* +X109944429Y-115268503D01* +X109929603Y-115279499D01* +X109913770Y-115288989D01* +X109897083Y-115296882D01* +X109879703Y-115303100D01* +X109861796Y-115307586D01* +X109843537Y-115310294D01* +X109825100Y-115311200D01* +X107998900Y-115311200D01* +X107980463Y-115310294D01* +X107962204Y-115307586D01* +X107944297Y-115303100D01* +X107926917Y-115296882D01* +X107910230Y-115288989D01* +X107894397Y-115279499D01* +X107879571Y-115268503D01* +X107865893Y-115256107D01* +X107853497Y-115242429D01* +X107842501Y-115227603D01* +X107833011Y-115211770D01* +X107825118Y-115195083D01* +X107818900Y-115177703D01* +X107814414Y-115159796D01* +X107811706Y-115141537D01* +X107810800Y-115123100D01* +X107810800Y-114746900D01* +X107811706Y-114728463D01* +X107814414Y-114710204D01* +X107818900Y-114692297D01* +X107825118Y-114674917D01* +X107833011Y-114658230D01* +X107842501Y-114642397D01* +X107853497Y-114627571D01* +X107865893Y-114613893D01* +X107879571Y-114601497D01* +X107894397Y-114590501D01* +X107910230Y-114581011D01* +X107926917Y-114573118D01* +X107944297Y-114566900D01* +X107962204Y-114562414D01* +X107980463Y-114559706D01* +X107998900Y-114558800D01* +X109825100Y-114558800D01* +X109843537Y-114559706D01* +G37* +G36* +X109843537Y-113289706D02* +G01* +X109861796Y-113292414D01* +X109879703Y-113296900D01* +X109897083Y-113303118D01* +X109913770Y-113311011D01* +X109929603Y-113320501D01* +X109944429Y-113331497D01* +X109958107Y-113343893D01* +X109970503Y-113357571D01* +X109981499Y-113372397D01* +X109990989Y-113388230D01* +X109998882Y-113404917D01* +X110005100Y-113422297D01* +X110009586Y-113440204D01* +X110012294Y-113458463D01* +X110013200Y-113476900D01* +X110013200Y-113853100D01* +X110012294Y-113871537D01* +X110009586Y-113889796D01* +X110005100Y-113907703D01* +X109998882Y-113925083D01* +X109990989Y-113941770D01* +X109981499Y-113957603D01* +X109970503Y-113972429D01* +X109958107Y-113986107D01* +X109944429Y-113998503D01* +X109929603Y-114009499D01* +X109913770Y-114018989D01* +X109897083Y-114026882D01* +X109879703Y-114033100D01* +X109861796Y-114037586D01* +X109843537Y-114040294D01* +X109825100Y-114041200D01* +X107998900Y-114041200D01* +X107980463Y-114040294D01* +X107962204Y-114037586D01* +X107944297Y-114033100D01* +X107926917Y-114026882D01* +X107910230Y-114018989D01* +X107894397Y-114009499D01* +X107879571Y-113998503D01* +X107865893Y-113986107D01* +X107853497Y-113972429D01* +X107842501Y-113957603D01* +X107833011Y-113941770D01* +X107825118Y-113925083D01* +X107818900Y-113907703D01* +X107814414Y-113889796D01* +X107811706Y-113871537D01* +X107810800Y-113853100D01* +X107810800Y-113476900D01* +X107811706Y-113458463D01* +X107814414Y-113440204D01* +X107818900Y-113422297D01* +X107825118Y-113404917D01* +X107833011Y-113388230D01* +X107842501Y-113372397D01* +X107853497Y-113357571D01* +X107865893Y-113343893D01* +X107879571Y-113331497D01* +X107894397Y-113320501D01* +X107910230Y-113311011D01* +X107926917Y-113303118D01* +X107944297Y-113296900D01* +X107962204Y-113292414D01* +X107980463Y-113289706D01* +X107998900Y-113288800D01* +X109825100Y-113288800D01* +X109843537Y-113289706D01* +G37* +G36* +X109843537Y-112019706D02* +G01* +X109861796Y-112022414D01* +X109879703Y-112026900D01* +X109897083Y-112033118D01* +X109913770Y-112041011D01* +X109929603Y-112050501D01* +X109944429Y-112061497D01* +X109958107Y-112073893D01* +X109970503Y-112087571D01* +X109981499Y-112102397D01* +X109990989Y-112118230D01* +X109998882Y-112134917D01* +X110005100Y-112152297D01* +X110009586Y-112170204D01* +X110012294Y-112188463D01* +X110013200Y-112206900D01* +X110013200Y-112583100D01* +X110012294Y-112601537D01* +X110009586Y-112619796D01* +X110005100Y-112637703D01* +X109998882Y-112655083D01* +X109990989Y-112671770D01* +X109981499Y-112687603D01* +X109970503Y-112702429D01* +X109958107Y-112716107D01* +X109944429Y-112728503D01* +X109929603Y-112739499D01* +X109913770Y-112748989D01* +X109897083Y-112756882D01* +X109879703Y-112763100D01* +X109861796Y-112767586D01* +X109843537Y-112770294D01* +X109825100Y-112771200D01* +X107998900Y-112771200D01* +X107980463Y-112770294D01* +X107962204Y-112767586D01* +X107944297Y-112763100D01* +X107926917Y-112756882D01* +X107910230Y-112748989D01* +X107894397Y-112739499D01* +X107879571Y-112728503D01* +X107865893Y-112716107D01* +X107853497Y-112702429D01* +X107842501Y-112687603D01* +X107833011Y-112671770D01* +X107825118Y-112655083D01* +X107818900Y-112637703D01* +X107814414Y-112619796D01* +X107811706Y-112601537D01* +X107810800Y-112583100D01* +X107810800Y-112206900D01* +X107811706Y-112188463D01* +X107814414Y-112170204D01* +X107818900Y-112152297D01* +X107825118Y-112134917D01* +X107833011Y-112118230D01* +X107842501Y-112102397D01* +X107853497Y-112087571D01* +X107865893Y-112073893D01* +X107879571Y-112061497D01* +X107894397Y-112050501D01* +X107910230Y-112041011D01* +X107926917Y-112033118D01* +X107944297Y-112026900D01* +X107962204Y-112022414D01* +X107980463Y-112019706D01* +X107998900Y-112018800D01* +X109825100Y-112018800D01* +X109843537Y-112019706D01* +G37* +G36* +X109843537Y-110749706D02* +G01* +X109861796Y-110752414D01* +X109879703Y-110756900D01* +X109897083Y-110763118D01* +X109913770Y-110771011D01* +X109929603Y-110780501D01* +X109944429Y-110791497D01* +X109958107Y-110803893D01* +X109970503Y-110817571D01* +X109981499Y-110832397D01* +X109990989Y-110848230D01* +X109998882Y-110864917D01* +X110005100Y-110882297D01* +X110009586Y-110900204D01* +X110012294Y-110918463D01* +X110013200Y-110936900D01* +X110013200Y-111313100D01* +X110012294Y-111331537D01* +X110009586Y-111349796D01* +X110005100Y-111367703D01* +X109998882Y-111385083D01* +X109990989Y-111401770D01* +X109981499Y-111417603D01* +X109970503Y-111432429D01* +X109958107Y-111446107D01* +X109944429Y-111458503D01* +X109929603Y-111469499D01* +X109913770Y-111478989D01* +X109897083Y-111486882D01* +X109879703Y-111493100D01* +X109861796Y-111497586D01* +X109843537Y-111500294D01* +X109825100Y-111501200D01* +X107998900Y-111501200D01* +X107980463Y-111500294D01* +X107962204Y-111497586D01* +X107944297Y-111493100D01* +X107926917Y-111486882D01* +X107910230Y-111478989D01* +X107894397Y-111469499D01* +X107879571Y-111458503D01* +X107865893Y-111446107D01* +X107853497Y-111432429D01* +X107842501Y-111417603D01* +X107833011Y-111401770D01* +X107825118Y-111385083D01* +X107818900Y-111367703D01* +X107814414Y-111349796D01* +X107811706Y-111331537D01* +X107810800Y-111313100D01* +X107810800Y-110936900D01* +X107811706Y-110918463D01* +X107814414Y-110900204D01* +X107818900Y-110882297D01* +X107825118Y-110864917D01* +X107833011Y-110848230D01* +X107842501Y-110832397D01* +X107853497Y-110817571D01* +X107865893Y-110803893D01* +X107879571Y-110791497D01* +X107894397Y-110780501D01* +X107910230Y-110771011D01* +X107926917Y-110763118D01* +X107944297Y-110756900D01* +X107962204Y-110752414D01* +X107980463Y-110749706D01* +X107998900Y-110748800D01* +X109825100Y-110748800D01* +X109843537Y-110749706D01* +G37* +G36* +X109843537Y-109479706D02* +G01* +X109861796Y-109482414D01* +X109879703Y-109486900D01* +X109897083Y-109493118D01* +X109913770Y-109501011D01* +X109929603Y-109510501D01* +X109944429Y-109521497D01* +X109958107Y-109533893D01* +X109970503Y-109547571D01* +X109981499Y-109562397D01* +X109990989Y-109578230D01* +X109998882Y-109594917D01* +X110005100Y-109612297D01* +X110009586Y-109630204D01* +X110012294Y-109648463D01* +X110013200Y-109666900D01* +X110013200Y-110043100D01* +X110012294Y-110061537D01* +X110009586Y-110079796D01* +X110005100Y-110097703D01* +X109998882Y-110115083D01* +X109990989Y-110131770D01* +X109981499Y-110147603D01* +X109970503Y-110162429D01* +X109958107Y-110176107D01* +X109944429Y-110188503D01* +X109929603Y-110199499D01* +X109913770Y-110208989D01* +X109897083Y-110216882D01* +X109879703Y-110223100D01* +X109861796Y-110227586D01* +X109843537Y-110230294D01* +X109825100Y-110231200D01* +X107998900Y-110231200D01* +X107980463Y-110230294D01* +X107962204Y-110227586D01* +X107944297Y-110223100D01* +X107926917Y-110216882D01* +X107910230Y-110208989D01* +X107894397Y-110199499D01* +X107879571Y-110188503D01* +X107865893Y-110176107D01* +X107853497Y-110162429D01* +X107842501Y-110147603D01* +X107833011Y-110131770D01* +X107825118Y-110115083D01* +X107818900Y-110097703D01* +X107814414Y-110079796D01* +X107811706Y-110061537D01* +X107810800Y-110043100D01* +X107810800Y-109666900D01* +X107811706Y-109648463D01* +X107814414Y-109630204D01* +X107818900Y-109612297D01* +X107825118Y-109594917D01* +X107833011Y-109578230D01* +X107842501Y-109562397D01* +X107853497Y-109547571D01* +X107865893Y-109533893D01* +X107879571Y-109521497D01* +X107894397Y-109510501D01* +X107910230Y-109501011D01* +X107926917Y-109493118D01* +X107944297Y-109486900D01* +X107962204Y-109482414D01* +X107980463Y-109479706D01* +X107998900Y-109478800D01* +X109825100Y-109478800D01* +X109843537Y-109479706D01* +G37* +G36* +X109843537Y-108209706D02* +G01* +X109861796Y-108212414D01* +X109879703Y-108216900D01* +X109897083Y-108223118D01* +X109913770Y-108231011D01* +X109929603Y-108240501D01* +X109944429Y-108251497D01* +X109958107Y-108263893D01* +X109970503Y-108277571D01* +X109981499Y-108292397D01* +X109990989Y-108308230D01* +X109998882Y-108324917D01* +X110005100Y-108342297D01* +X110009586Y-108360204D01* +X110012294Y-108378463D01* +X110013200Y-108396900D01* +X110013200Y-108773100D01* +X110012294Y-108791537D01* +X110009586Y-108809796D01* +X110005100Y-108827703D01* +X109998882Y-108845083D01* +X109990989Y-108861770D01* +X109981499Y-108877603D01* +X109970503Y-108892429D01* +X109958107Y-108906107D01* +X109944429Y-108918503D01* +X109929603Y-108929499D01* +X109913770Y-108938989D01* +X109897083Y-108946882D01* +X109879703Y-108953100D01* +X109861796Y-108957586D01* +X109843537Y-108960294D01* +X109825100Y-108961200D01* +X107998900Y-108961200D01* +X107980463Y-108960294D01* +X107962204Y-108957586D01* +X107944297Y-108953100D01* +X107926917Y-108946882D01* +X107910230Y-108938989D01* +X107894397Y-108929499D01* +X107879571Y-108918503D01* +X107865893Y-108906107D01* +X107853497Y-108892429D01* +X107842501Y-108877603D01* +X107833011Y-108861770D01* +X107825118Y-108845083D01* +X107818900Y-108827703D01* +X107814414Y-108809796D01* +X107811706Y-108791537D01* +X107810800Y-108773100D01* +X107810800Y-108396900D01* +X107811706Y-108378463D01* +X107814414Y-108360204D01* +X107818900Y-108342297D01* +X107825118Y-108324917D01* +X107833011Y-108308230D01* +X107842501Y-108292397D01* +X107853497Y-108277571D01* +X107865893Y-108263893D01* +X107879571Y-108251497D01* +X107894397Y-108240501D01* +X107910230Y-108231011D01* +X107926917Y-108223118D01* +X107944297Y-108216900D01* +X107962204Y-108212414D01* +X107980463Y-108209706D01* +X107998900Y-108208800D01* +X109825100Y-108208800D01* +X109843537Y-108209706D01* +G37* +G36* +X96743537Y-108209706D02* +G01* +X96761796Y-108212414D01* +X96779703Y-108216900D01* +X96797083Y-108223118D01* +X96813770Y-108231011D01* +X96829603Y-108240501D01* +X96844429Y-108251497D01* +X96858107Y-108263893D01* +X96870503Y-108277571D01* +X96881499Y-108292397D01* +X96890989Y-108308230D01* +X96898882Y-108324917D01* +X96905100Y-108342297D01* +X96909586Y-108360204D01* +X96912294Y-108378463D01* +X96913200Y-108396900D01* +X96913200Y-108773100D01* +X96912294Y-108791537D01* +X96909586Y-108809796D01* +X96905100Y-108827703D01* +X96898882Y-108845083D01* +X96890989Y-108861770D01* +X96881499Y-108877603D01* +X96870503Y-108892429D01* +X96858107Y-108906107D01* +X96844429Y-108918503D01* +X96829603Y-108929499D01* +X96813770Y-108938989D01* +X96797083Y-108946882D01* +X96779703Y-108953100D01* +X96761796Y-108957586D01* +X96743537Y-108960294D01* +X96725100Y-108961200D01* +X94898900Y-108961200D01* +X94880463Y-108960294D01* +X94862204Y-108957586D01* +X94844297Y-108953100D01* +X94826917Y-108946882D01* +X94810230Y-108938989D01* +X94794397Y-108929499D01* +X94779571Y-108918503D01* +X94765893Y-108906107D01* +X94753497Y-108892429D01* +X94742501Y-108877603D01* +X94733011Y-108861770D01* +X94725118Y-108845083D01* +X94718900Y-108827703D01* +X94714414Y-108809796D01* +X94711706Y-108791537D01* +X94710800Y-108773100D01* +X94710800Y-108396900D01* +X94711706Y-108378463D01* +X94714414Y-108360204D01* +X94718900Y-108342297D01* +X94725118Y-108324917D01* +X94733011Y-108308230D01* +X94742501Y-108292397D01* +X94753497Y-108277571D01* +X94765893Y-108263893D01* +X94779571Y-108251497D01* +X94794397Y-108240501D01* +X94810230Y-108231011D01* +X94826917Y-108223118D01* +X94844297Y-108216900D01* +X94862204Y-108212414D01* +X94880463Y-108209706D01* +X94898900Y-108208800D01* +X96725100Y-108208800D01* +X96743537Y-108209706D01* +G37* +G36* +X96743537Y-109479706D02* +G01* +X96761796Y-109482414D01* +X96779703Y-109486900D01* +X96797083Y-109493118D01* +X96813770Y-109501011D01* +X96829603Y-109510501D01* +X96844429Y-109521497D01* +X96858107Y-109533893D01* +X96870503Y-109547571D01* +X96881499Y-109562397D01* +X96890989Y-109578230D01* +X96898882Y-109594917D01* +X96905100Y-109612297D01* +X96909586Y-109630204D01* +X96912294Y-109648463D01* +X96913200Y-109666900D01* +X96913200Y-110043100D01* +X96912294Y-110061537D01* +X96909586Y-110079796D01* +X96905100Y-110097703D01* +X96898882Y-110115083D01* +X96890989Y-110131770D01* +X96881499Y-110147603D01* +X96870503Y-110162429D01* +X96858107Y-110176107D01* +X96844429Y-110188503D01* +X96829603Y-110199499D01* +X96813770Y-110208989D01* +X96797083Y-110216882D01* +X96779703Y-110223100D01* +X96761796Y-110227586D01* +X96743537Y-110230294D01* +X96725100Y-110231200D01* +X94898900Y-110231200D01* +X94880463Y-110230294D01* +X94862204Y-110227586D01* +X94844297Y-110223100D01* +X94826917Y-110216882D01* +X94810230Y-110208989D01* +X94794397Y-110199499D01* +X94779571Y-110188503D01* +X94765893Y-110176107D01* +X94753497Y-110162429D01* +X94742501Y-110147603D01* +X94733011Y-110131770D01* +X94725118Y-110115083D01* +X94718900Y-110097703D01* +X94714414Y-110079796D01* +X94711706Y-110061537D01* +X94710800Y-110043100D01* +X94710800Y-109666900D01* +X94711706Y-109648463D01* +X94714414Y-109630204D01* +X94718900Y-109612297D01* +X94725118Y-109594917D01* +X94733011Y-109578230D01* +X94742501Y-109562397D01* +X94753497Y-109547571D01* +X94765893Y-109533893D01* +X94779571Y-109521497D01* +X94794397Y-109510501D01* +X94810230Y-109501011D01* +X94826917Y-109493118D01* +X94844297Y-109486900D01* +X94862204Y-109482414D01* +X94880463Y-109479706D01* +X94898900Y-109478800D01* +X96725100Y-109478800D01* +X96743537Y-109479706D01* +G37* +G36* +X96743537Y-110749706D02* +G01* +X96761796Y-110752414D01* +X96779703Y-110756900D01* +X96797083Y-110763118D01* +X96813770Y-110771011D01* +X96829603Y-110780501D01* +X96844429Y-110791497D01* +X96858107Y-110803893D01* +X96870503Y-110817571D01* +X96881499Y-110832397D01* +X96890989Y-110848230D01* +X96898882Y-110864917D01* +X96905100Y-110882297D01* +X96909586Y-110900204D01* +X96912294Y-110918463D01* +X96913200Y-110936900D01* +X96913200Y-111313100D01* +X96912294Y-111331537D01* +X96909586Y-111349796D01* +X96905100Y-111367703D01* +X96898882Y-111385083D01* +X96890989Y-111401770D01* +X96881499Y-111417603D01* +X96870503Y-111432429D01* +X96858107Y-111446107D01* +X96844429Y-111458503D01* +X96829603Y-111469499D01* +X96813770Y-111478989D01* +X96797083Y-111486882D01* +X96779703Y-111493100D01* +X96761796Y-111497586D01* +X96743537Y-111500294D01* +X96725100Y-111501200D01* +X94898900Y-111501200D01* +X94880463Y-111500294D01* +X94862204Y-111497586D01* +X94844297Y-111493100D01* +X94826917Y-111486882D01* +X94810230Y-111478989D01* +X94794397Y-111469499D01* +X94779571Y-111458503D01* +X94765893Y-111446107D01* +X94753497Y-111432429D01* +X94742501Y-111417603D01* +X94733011Y-111401770D01* +X94725118Y-111385083D01* +X94718900Y-111367703D01* +X94714414Y-111349796D01* +X94711706Y-111331537D01* +X94710800Y-111313100D01* +X94710800Y-110936900D01* +X94711706Y-110918463D01* +X94714414Y-110900204D01* +X94718900Y-110882297D01* +X94725118Y-110864917D01* +X94733011Y-110848230D01* +X94742501Y-110832397D01* +X94753497Y-110817571D01* +X94765893Y-110803893D01* +X94779571Y-110791497D01* +X94794397Y-110780501D01* +X94810230Y-110771011D01* +X94826917Y-110763118D01* +X94844297Y-110756900D01* +X94862204Y-110752414D01* +X94880463Y-110749706D01* +X94898900Y-110748800D01* +X96725100Y-110748800D01* +X96743537Y-110749706D01* +G37* +G36* +X96743537Y-112019706D02* +G01* +X96761796Y-112022414D01* +X96779703Y-112026900D01* +X96797083Y-112033118D01* +X96813770Y-112041011D01* +X96829603Y-112050501D01* +X96844429Y-112061497D01* +X96858107Y-112073893D01* +X96870503Y-112087571D01* +X96881499Y-112102397D01* +X96890989Y-112118230D01* +X96898882Y-112134917D01* +X96905100Y-112152297D01* +X96909586Y-112170204D01* +X96912294Y-112188463D01* +X96913200Y-112206900D01* +X96913200Y-112583100D01* +X96912294Y-112601537D01* +X96909586Y-112619796D01* +X96905100Y-112637703D01* +X96898882Y-112655083D01* +X96890989Y-112671770D01* +X96881499Y-112687603D01* +X96870503Y-112702429D01* +X96858107Y-112716107D01* +X96844429Y-112728503D01* +X96829603Y-112739499D01* +X96813770Y-112748989D01* +X96797083Y-112756882D01* +X96779703Y-112763100D01* +X96761796Y-112767586D01* +X96743537Y-112770294D01* +X96725100Y-112771200D01* +X94898900Y-112771200D01* +X94880463Y-112770294D01* +X94862204Y-112767586D01* +X94844297Y-112763100D01* +X94826917Y-112756882D01* +X94810230Y-112748989D01* +X94794397Y-112739499D01* +X94779571Y-112728503D01* +X94765893Y-112716107D01* +X94753497Y-112702429D01* +X94742501Y-112687603D01* +X94733011Y-112671770D01* +X94725118Y-112655083D01* +X94718900Y-112637703D01* +X94714414Y-112619796D01* +X94711706Y-112601537D01* +X94710800Y-112583100D01* +X94710800Y-112206900D01* +X94711706Y-112188463D01* +X94714414Y-112170204D01* +X94718900Y-112152297D01* +X94725118Y-112134917D01* +X94733011Y-112118230D01* +X94742501Y-112102397D01* +X94753497Y-112087571D01* +X94765893Y-112073893D01* +X94779571Y-112061497D01* +X94794397Y-112050501D01* +X94810230Y-112041011D01* +X94826917Y-112033118D01* +X94844297Y-112026900D01* +X94862204Y-112022414D01* +X94880463Y-112019706D01* +X94898900Y-112018800D01* +X96725100Y-112018800D01* +X96743537Y-112019706D01* +G37* +G36* +X96743537Y-113289706D02* +G01* +X96761796Y-113292414D01* +X96779703Y-113296900D01* +X96797083Y-113303118D01* +X96813770Y-113311011D01* +X96829603Y-113320501D01* +X96844429Y-113331497D01* +X96858107Y-113343893D01* +X96870503Y-113357571D01* +X96881499Y-113372397D01* +X96890989Y-113388230D01* +X96898882Y-113404917D01* +X96905100Y-113422297D01* +X96909586Y-113440204D01* +X96912294Y-113458463D01* +X96913200Y-113476900D01* +X96913200Y-113853100D01* +X96912294Y-113871537D01* +X96909586Y-113889796D01* +X96905100Y-113907703D01* +X96898882Y-113925083D01* +X96890989Y-113941770D01* +X96881499Y-113957603D01* +X96870503Y-113972429D01* +X96858107Y-113986107D01* +X96844429Y-113998503D01* +X96829603Y-114009499D01* +X96813770Y-114018989D01* +X96797083Y-114026882D01* +X96779703Y-114033100D01* +X96761796Y-114037586D01* +X96743537Y-114040294D01* +X96725100Y-114041200D01* +X94898900Y-114041200D01* +X94880463Y-114040294D01* +X94862204Y-114037586D01* +X94844297Y-114033100D01* +X94826917Y-114026882D01* +X94810230Y-114018989D01* +X94794397Y-114009499D01* +X94779571Y-113998503D01* +X94765893Y-113986107D01* +X94753497Y-113972429D01* +X94742501Y-113957603D01* +X94733011Y-113941770D01* +X94725118Y-113925083D01* +X94718900Y-113907703D01* +X94714414Y-113889796D01* +X94711706Y-113871537D01* +X94710800Y-113853100D01* +X94710800Y-113476900D01* +X94711706Y-113458463D01* +X94714414Y-113440204D01* +X94718900Y-113422297D01* +X94725118Y-113404917D01* +X94733011Y-113388230D01* +X94742501Y-113372397D01* +X94753497Y-113357571D01* +X94765893Y-113343893D01* +X94779571Y-113331497D01* +X94794397Y-113320501D01* +X94810230Y-113311011D01* +X94826917Y-113303118D01* +X94844297Y-113296900D01* +X94862204Y-113292414D01* +X94880463Y-113289706D01* +X94898900Y-113288800D01* +X96725100Y-113288800D01* +X96743537Y-113289706D01* +G37* +G36* +X96743537Y-114559706D02* +G01* +X96761796Y-114562414D01* +X96779703Y-114566900D01* +X96797083Y-114573118D01* +X96813770Y-114581011D01* +X96829603Y-114590501D01* +X96844429Y-114601497D01* +X96858107Y-114613893D01* +X96870503Y-114627571D01* +X96881499Y-114642397D01* +X96890989Y-114658230D01* +X96898882Y-114674917D01* +X96905100Y-114692297D01* +X96909586Y-114710204D01* +X96912294Y-114728463D01* +X96913200Y-114746900D01* +X96913200Y-115123100D01* +X96912294Y-115141537D01* +X96909586Y-115159796D01* +X96905100Y-115177703D01* +X96898882Y-115195083D01* +X96890989Y-115211770D01* +X96881499Y-115227603D01* +X96870503Y-115242429D01* +X96858107Y-115256107D01* +X96844429Y-115268503D01* +X96829603Y-115279499D01* +X96813770Y-115288989D01* +X96797083Y-115296882D01* +X96779703Y-115303100D01* +X96761796Y-115307586D01* +X96743537Y-115310294D01* +X96725100Y-115311200D01* +X94898900Y-115311200D01* +X94880463Y-115310294D01* +X94862204Y-115307586D01* +X94844297Y-115303100D01* +X94826917Y-115296882D01* +X94810230Y-115288989D01* +X94794397Y-115279499D01* +X94779571Y-115268503D01* +X94765893Y-115256107D01* +X94753497Y-115242429D01* +X94742501Y-115227603D01* +X94733011Y-115211770D01* +X94725118Y-115195083D01* +X94718900Y-115177703D01* +X94714414Y-115159796D01* +X94711706Y-115141537D01* +X94710800Y-115123100D01* +X94710800Y-114746900D01* +X94711706Y-114728463D01* +X94714414Y-114710204D01* +X94718900Y-114692297D01* +X94725118Y-114674917D01* +X94733011Y-114658230D01* +X94742501Y-114642397D01* +X94753497Y-114627571D01* +X94765893Y-114613893D01* +X94779571Y-114601497D01* +X94794397Y-114590501D01* +X94810230Y-114581011D01* +X94826917Y-114573118D01* +X94844297Y-114566900D01* +X94862204Y-114562414D01* +X94880463Y-114559706D01* +X94898900Y-114558800D01* +X96725100Y-114558800D01* +X96743537Y-114559706D01* +G37* +G36* +X96743537Y-115829706D02* +G01* +X96761796Y-115832414D01* +X96779703Y-115836900D01* +X96797083Y-115843118D01* +X96813770Y-115851011D01* +X96829603Y-115860501D01* +X96844429Y-115871497D01* +X96858107Y-115883893D01* +X96870503Y-115897571D01* +X96881499Y-115912397D01* +X96890989Y-115928230D01* +X96898882Y-115944917D01* +X96905100Y-115962297D01* +X96909586Y-115980204D01* +X96912294Y-115998463D01* +X96913200Y-116016900D01* +X96913200Y-116393100D01* +X96912294Y-116411537D01* +X96909586Y-116429796D01* +X96905100Y-116447703D01* +X96898882Y-116465083D01* +X96890989Y-116481770D01* +X96881499Y-116497603D01* +X96870503Y-116512429D01* +X96858107Y-116526107D01* +X96844429Y-116538503D01* +X96829603Y-116549499D01* +X96813770Y-116558989D01* +X96797083Y-116566882D01* +X96779703Y-116573100D01* +X96761796Y-116577586D01* +X96743537Y-116580294D01* +X96725100Y-116581200D01* +X94898900Y-116581200D01* +X94880463Y-116580294D01* +X94862204Y-116577586D01* +X94844297Y-116573100D01* +X94826917Y-116566882D01* +X94810230Y-116558989D01* +X94794397Y-116549499D01* +X94779571Y-116538503D01* +X94765893Y-116526107D01* +X94753497Y-116512429D01* +X94742501Y-116497603D01* +X94733011Y-116481770D01* +X94725118Y-116465083D01* +X94718900Y-116447703D01* +X94714414Y-116429796D01* +X94711706Y-116411537D01* +X94710800Y-116393100D01* +X94710800Y-116016900D01* +X94711706Y-115998463D01* +X94714414Y-115980204D01* +X94718900Y-115962297D01* +X94725118Y-115944917D01* +X94733011Y-115928230D01* +X94742501Y-115912397D01* +X94753497Y-115897571D01* +X94765893Y-115883893D01* +X94779571Y-115871497D01* +X94794397Y-115860501D01* +X94810230Y-115851011D01* +X94826917Y-115843118D01* +X94844297Y-115836900D01* +X94862204Y-115832414D01* +X94880463Y-115829706D01* +X94898900Y-115828800D01* +X96725100Y-115828800D01* +X96743537Y-115829706D01* +G37* +G36* +X96743537Y-117099706D02* +G01* +X96761796Y-117102414D01* +X96779703Y-117106900D01* +X96797083Y-117113118D01* +X96813770Y-117121011D01* +X96829603Y-117130501D01* +X96844429Y-117141497D01* +X96858107Y-117153893D01* +X96870503Y-117167571D01* +X96881499Y-117182397D01* +X96890989Y-117198230D01* +X96898882Y-117214917D01* +X96905100Y-117232297D01* +X96909586Y-117250204D01* +X96912294Y-117268463D01* +X96913200Y-117286900D01* +X96913200Y-117663100D01* +X96912294Y-117681537D01* +X96909586Y-117699796D01* +X96905100Y-117717703D01* +X96898882Y-117735083D01* +X96890989Y-117751770D01* +X96881499Y-117767603D01* +X96870503Y-117782429D01* +X96858107Y-117796107D01* +X96844429Y-117808503D01* +X96829603Y-117819499D01* +X96813770Y-117828989D01* +X96797083Y-117836882D01* +X96779703Y-117843100D01* +X96761796Y-117847586D01* +X96743537Y-117850294D01* +X96725100Y-117851200D01* +X94898900Y-117851200D01* +X94880463Y-117850294D01* +X94862204Y-117847586D01* +X94844297Y-117843100D01* +X94826917Y-117836882D01* +X94810230Y-117828989D01* +X94794397Y-117819499D01* +X94779571Y-117808503D01* +X94765893Y-117796107D01* +X94753497Y-117782429D01* +X94742501Y-117767603D01* +X94733011Y-117751770D01* +X94725118Y-117735083D01* +X94718900Y-117717703D01* +X94714414Y-117699796D01* +X94711706Y-117681537D01* +X94710800Y-117663100D01* +X94710800Y-117286900D01* +X94711706Y-117268463D01* +X94714414Y-117250204D01* +X94718900Y-117232297D01* +X94725118Y-117214917D01* +X94733011Y-117198230D01* +X94742501Y-117182397D01* +X94753497Y-117167571D01* +X94765893Y-117153893D01* +X94779571Y-117141497D01* +X94794397Y-117130501D01* +X94810230Y-117121011D01* +X94826917Y-117113118D01* +X94844297Y-117106900D01* +X94862204Y-117102414D01* +X94880463Y-117099706D01* +X94898900Y-117098800D01* +X96725100Y-117098800D01* +X96743537Y-117099706D01* +G37* +G36* +X96743537Y-118369706D02* +G01* +X96761796Y-118372414D01* +X96779703Y-118376900D01* +X96797083Y-118383118D01* +X96813770Y-118391011D01* +X96829603Y-118400501D01* +X96844429Y-118411497D01* +X96858107Y-118423893D01* +X96870503Y-118437571D01* +X96881499Y-118452397D01* +X96890989Y-118468230D01* +X96898882Y-118484917D01* +X96905100Y-118502297D01* +X96909586Y-118520204D01* +X96912294Y-118538463D01* +X96913200Y-118556900D01* +X96913200Y-118933100D01* +X96912294Y-118951537D01* +X96909586Y-118969796D01* +X96905100Y-118987703D01* +X96898882Y-119005083D01* +X96890989Y-119021770D01* +X96881499Y-119037603D01* +X96870503Y-119052429D01* +X96858107Y-119066107D01* +X96844429Y-119078503D01* +X96829603Y-119089499D01* +X96813770Y-119098989D01* +X96797083Y-119106882D01* +X96779703Y-119113100D01* +X96761796Y-119117586D01* +X96743537Y-119120294D01* +X96725100Y-119121200D01* +X94898900Y-119121200D01* +X94880463Y-119120294D01* +X94862204Y-119117586D01* +X94844297Y-119113100D01* +X94826917Y-119106882D01* +X94810230Y-119098989D01* +X94794397Y-119089499D01* +X94779571Y-119078503D01* +X94765893Y-119066107D01* +X94753497Y-119052429D01* +X94742501Y-119037603D01* +X94733011Y-119021770D01* +X94725118Y-119005083D01* +X94718900Y-118987703D01* +X94714414Y-118969796D01* +X94711706Y-118951537D01* +X94710800Y-118933100D01* +X94710800Y-118556900D01* +X94711706Y-118538463D01* +X94714414Y-118520204D01* +X94718900Y-118502297D01* +X94725118Y-118484917D01* +X94733011Y-118468230D01* +X94742501Y-118452397D01* +X94753497Y-118437571D01* +X94765893Y-118423893D01* +X94779571Y-118411497D01* +X94794397Y-118400501D01* +X94810230Y-118391011D01* +X94826917Y-118383118D01* +X94844297Y-118376900D01* +X94862204Y-118372414D01* +X94880463Y-118369706D01* +X94898900Y-118368800D01* +X96725100Y-118368800D01* +X96743537Y-118369706D01* +G37* +G36* +X96743537Y-119639706D02* +G01* +X96761796Y-119642414D01* +X96779703Y-119646900D01* +X96797083Y-119653118D01* +X96813770Y-119661011D01* +X96829603Y-119670501D01* +X96844429Y-119681497D01* +X96858107Y-119693893D01* +X96870503Y-119707571D01* +X96881499Y-119722397D01* +X96890989Y-119738230D01* +X96898882Y-119754917D01* +X96905100Y-119772297D01* +X96909586Y-119790204D01* +X96912294Y-119808463D01* +X96913200Y-119826900D01* +X96913200Y-120203100D01* +X96912294Y-120221537D01* +X96909586Y-120239796D01* +X96905100Y-120257703D01* +X96898882Y-120275083D01* +X96890989Y-120291770D01* +X96881499Y-120307603D01* +X96870503Y-120322429D01* +X96858107Y-120336107D01* +X96844429Y-120348503D01* +X96829603Y-120359499D01* +X96813770Y-120368989D01* +X96797083Y-120376882D01* +X96779703Y-120383100D01* +X96761796Y-120387586D01* +X96743537Y-120390294D01* +X96725100Y-120391200D01* +X94898900Y-120391200D01* +X94880463Y-120390294D01* +X94862204Y-120387586D01* +X94844297Y-120383100D01* +X94826917Y-120376882D01* +X94810230Y-120368989D01* +X94794397Y-120359499D01* +X94779571Y-120348503D01* +X94765893Y-120336107D01* +X94753497Y-120322429D01* +X94742501Y-120307603D01* +X94733011Y-120291770D01* +X94725118Y-120275083D01* +X94718900Y-120257703D01* +X94714414Y-120239796D01* +X94711706Y-120221537D01* +X94710800Y-120203100D01* +X94710800Y-119826900D01* +X94711706Y-119808463D01* +X94714414Y-119790204D01* +X94718900Y-119772297D01* +X94725118Y-119754917D01* +X94733011Y-119738230D01* +X94742501Y-119722397D01* +X94753497Y-119707571D01* +X94765893Y-119693893D01* +X94779571Y-119681497D01* +X94794397Y-119670501D01* +X94810230Y-119661011D01* +X94826917Y-119653118D01* +X94844297Y-119646900D01* +X94862204Y-119642414D01* +X94880463Y-119639706D01* +X94898900Y-119638800D01* +X96725100Y-119638800D01* +X96743537Y-119639706D01* +G37* +G36* +X96743537Y-120909706D02* +G01* +X96761796Y-120912414D01* +X96779703Y-120916900D01* +X96797083Y-120923118D01* +X96813770Y-120931011D01* +X96829603Y-120940501D01* +X96844429Y-120951497D01* +X96858107Y-120963893D01* +X96870503Y-120977571D01* +X96881499Y-120992397D01* +X96890989Y-121008230D01* +X96898882Y-121024917D01* +X96905100Y-121042297D01* +X96909586Y-121060204D01* +X96912294Y-121078463D01* +X96913200Y-121096900D01* +X96913200Y-121473100D01* +X96912294Y-121491537D01* +X96909586Y-121509796D01* +X96905100Y-121527703D01* +X96898882Y-121545083D01* +X96890989Y-121561770D01* +X96881499Y-121577603D01* +X96870503Y-121592429D01* +X96858107Y-121606107D01* +X96844429Y-121618503D01* +X96829603Y-121629499D01* +X96813770Y-121638989D01* +X96797083Y-121646882D01* +X96779703Y-121653100D01* +X96761796Y-121657586D01* +X96743537Y-121660294D01* +X96725100Y-121661200D01* +X94898900Y-121661200D01* +X94880463Y-121660294D01* +X94862204Y-121657586D01* +X94844297Y-121653100D01* +X94826917Y-121646882D01* +X94810230Y-121638989D01* +X94794397Y-121629499D01* +X94779571Y-121618503D01* +X94765893Y-121606107D01* +X94753497Y-121592429D01* +X94742501Y-121577603D01* +X94733011Y-121561770D01* +X94725118Y-121545083D01* +X94718900Y-121527703D01* +X94714414Y-121509796D01* +X94711706Y-121491537D01* +X94710800Y-121473100D01* +X94710800Y-121096900D01* +X94711706Y-121078463D01* +X94714414Y-121060204D01* +X94718900Y-121042297D01* +X94725118Y-121024917D01* +X94733011Y-121008230D01* +X94742501Y-120992397D01* +X94753497Y-120977571D01* +X94765893Y-120963893D01* +X94779571Y-120951497D01* +X94794397Y-120940501D01* +X94810230Y-120931011D01* +X94826917Y-120923118D01* +X94844297Y-120916900D01* +X94862204Y-120912414D01* +X94880463Y-120909706D01* +X94898900Y-120908800D01* +X96725100Y-120908800D01* +X96743537Y-120909706D01* +G37* +G36* +X96743537Y-122179706D02* +G01* +X96761796Y-122182414D01* +X96779703Y-122186900D01* +X96797083Y-122193118D01* +X96813770Y-122201011D01* +X96829603Y-122210501D01* +X96844429Y-122221497D01* +X96858107Y-122233893D01* +X96870503Y-122247571D01* +X96881499Y-122262397D01* +X96890989Y-122278230D01* +X96898882Y-122294917D01* +X96905100Y-122312297D01* +X96909586Y-122330204D01* +X96912294Y-122348463D01* +X96913200Y-122366900D01* +X96913200Y-122743100D01* +X96912294Y-122761537D01* +X96909586Y-122779796D01* +X96905100Y-122797703D01* +X96898882Y-122815083D01* +X96890989Y-122831770D01* +X96881499Y-122847603D01* +X96870503Y-122862429D01* +X96858107Y-122876107D01* +X96844429Y-122888503D01* +X96829603Y-122899499D01* +X96813770Y-122908989D01* +X96797083Y-122916882D01* +X96779703Y-122923100D01* +X96761796Y-122927586D01* +X96743537Y-122930294D01* +X96725100Y-122931200D01* +X94898900Y-122931200D01* +X94880463Y-122930294D01* +X94862204Y-122927586D01* +X94844297Y-122923100D01* +X94826917Y-122916882D01* +X94810230Y-122908989D01* +X94794397Y-122899499D01* +X94779571Y-122888503D01* +X94765893Y-122876107D01* +X94753497Y-122862429D01* +X94742501Y-122847603D01* +X94733011Y-122831770D01* +X94725118Y-122815083D01* +X94718900Y-122797703D01* +X94714414Y-122779796D01* +X94711706Y-122761537D01* +X94710800Y-122743100D01* +X94710800Y-122366900D01* +X94711706Y-122348463D01* +X94714414Y-122330204D01* +X94718900Y-122312297D01* +X94725118Y-122294917D01* +X94733011Y-122278230D01* +X94742501Y-122262397D01* +X94753497Y-122247571D01* +X94765893Y-122233893D01* +X94779571Y-122221497D01* +X94794397Y-122210501D01* +X94810230Y-122201011D01* +X94826917Y-122193118D01* +X94844297Y-122186900D01* +X94862204Y-122182414D01* +X94880463Y-122179706D01* +X94898900Y-122178800D01* +X96725100Y-122178800D01* +X96743537Y-122179706D01* +G37* +G36* +X96743537Y-123449706D02* +G01* +X96761796Y-123452414D01* +X96779703Y-123456900D01* +X96797083Y-123463118D01* +X96813770Y-123471011D01* +X96829603Y-123480501D01* +X96844429Y-123491497D01* +X96858107Y-123503893D01* +X96870503Y-123517571D01* +X96881499Y-123532397D01* +X96890989Y-123548230D01* +X96898882Y-123564917D01* +X96905100Y-123582297D01* +X96909586Y-123600204D01* +X96912294Y-123618463D01* +X96913200Y-123636900D01* +X96913200Y-124013100D01* +X96912294Y-124031537D01* +X96909586Y-124049796D01* +X96905100Y-124067703D01* +X96898882Y-124085083D01* +X96890989Y-124101770D01* +X96881499Y-124117603D01* +X96870503Y-124132429D01* +X96858107Y-124146107D01* +X96844429Y-124158503D01* +X96829603Y-124169499D01* +X96813770Y-124178989D01* +X96797083Y-124186882D01* +X96779703Y-124193100D01* +X96761796Y-124197586D01* +X96743537Y-124200294D01* +X96725100Y-124201200D01* +X94898900Y-124201200D01* +X94880463Y-124200294D01* +X94862204Y-124197586D01* +X94844297Y-124193100D01* +X94826917Y-124186882D01* +X94810230Y-124178989D01* +X94794397Y-124169499D01* +X94779571Y-124158503D01* +X94765893Y-124146107D01* +X94753497Y-124132429D01* +X94742501Y-124117603D01* +X94733011Y-124101770D01* +X94725118Y-124085083D01* +X94718900Y-124067703D01* +X94714414Y-124049796D01* +X94711706Y-124031537D01* +X94710800Y-124013100D01* +X94710800Y-123636900D01* +X94711706Y-123618463D01* +X94714414Y-123600204D01* +X94718900Y-123582297D01* +X94725118Y-123564917D01* +X94733011Y-123548230D01* +X94742501Y-123532397D01* +X94753497Y-123517571D01* +X94765893Y-123503893D01* +X94779571Y-123491497D01* +X94794397Y-123480501D01* +X94810230Y-123471011D01* +X94826917Y-123463118D01* +X94844297Y-123456900D01* +X94862204Y-123452414D01* +X94880463Y-123449706D01* +X94898900Y-123448800D01* +X96725100Y-123448800D01* +X96743537Y-123449706D01* +G37* +G36* +X96743537Y-124719706D02* +G01* +X96761796Y-124722414D01* +X96779703Y-124726900D01* +X96797083Y-124733118D01* +X96813770Y-124741011D01* +X96829603Y-124750501D01* +X96844429Y-124761497D01* +X96858107Y-124773893D01* +X96870503Y-124787571D01* +X96881499Y-124802397D01* +X96890989Y-124818230D01* +X96898882Y-124834917D01* +X96905100Y-124852297D01* +X96909586Y-124870204D01* +X96912294Y-124888463D01* +X96913200Y-124906900D01* +X96913200Y-125283100D01* +X96912294Y-125301537D01* +X96909586Y-125319796D01* +X96905100Y-125337703D01* +X96898882Y-125355083D01* +X96890989Y-125371770D01* +X96881499Y-125387603D01* +X96870503Y-125402429D01* +X96858107Y-125416107D01* +X96844429Y-125428503D01* +X96829603Y-125439499D01* +X96813770Y-125448989D01* +X96797083Y-125456882D01* +X96779703Y-125463100D01* +X96761796Y-125467586D01* +X96743537Y-125470294D01* +X96725100Y-125471200D01* +X94898900Y-125471200D01* +X94880463Y-125470294D01* +X94862204Y-125467586D01* +X94844297Y-125463100D01* +X94826917Y-125456882D01* +X94810230Y-125448989D01* +X94794397Y-125439499D01* +X94779571Y-125428503D01* +X94765893Y-125416107D01* +X94753497Y-125402429D01* +X94742501Y-125387603D01* +X94733011Y-125371770D01* +X94725118Y-125355083D01* +X94718900Y-125337703D01* +X94714414Y-125319796D01* +X94711706Y-125301537D01* +X94710800Y-125283100D01* +X94710800Y-124906900D01* +X94711706Y-124888463D01* +X94714414Y-124870204D01* +X94718900Y-124852297D01* +X94725118Y-124834917D01* +X94733011Y-124818230D01* +X94742501Y-124802397D01* +X94753497Y-124787571D01* +X94765893Y-124773893D01* +X94779571Y-124761497D01* +X94794397Y-124750501D01* +X94810230Y-124741011D01* +X94826917Y-124733118D01* +X94844297Y-124726900D01* +X94862204Y-124722414D01* +X94880463Y-124719706D01* +X94898900Y-124718800D01* +X96725100Y-124718800D01* +X96743537Y-124719706D01* +G37* +G36* +X96743537Y-125989706D02* +G01* +X96761796Y-125992414D01* +X96779703Y-125996900D01* +X96797083Y-126003118D01* +X96813770Y-126011011D01* +X96829603Y-126020501D01* +X96844429Y-126031497D01* +X96858107Y-126043893D01* +X96870503Y-126057571D01* +X96881499Y-126072397D01* +X96890989Y-126088230D01* +X96898882Y-126104917D01* +X96905100Y-126122297D01* +X96909586Y-126140204D01* +X96912294Y-126158463D01* +X96913200Y-126176900D01* +X96913200Y-126553100D01* +X96912294Y-126571537D01* +X96909586Y-126589796D01* +X96905100Y-126607703D01* +X96898882Y-126625083D01* +X96890989Y-126641770D01* +X96881499Y-126657603D01* +X96870503Y-126672429D01* +X96858107Y-126686107D01* +X96844429Y-126698503D01* +X96829603Y-126709499D01* +X96813770Y-126718989D01* +X96797083Y-126726882D01* +X96779703Y-126733100D01* +X96761796Y-126737586D01* +X96743537Y-126740294D01* +X96725100Y-126741200D01* +X94898900Y-126741200D01* +X94880463Y-126740294D01* +X94862204Y-126737586D01* +X94844297Y-126733100D01* +X94826917Y-126726882D01* +X94810230Y-126718989D01* +X94794397Y-126709499D01* +X94779571Y-126698503D01* +X94765893Y-126686107D01* +X94753497Y-126672429D01* +X94742501Y-126657603D01* +X94733011Y-126641770D01* +X94725118Y-126625083D01* +X94718900Y-126607703D01* +X94714414Y-126589796D01* +X94711706Y-126571537D01* +X94710800Y-126553100D01* +X94710800Y-126176900D01* +X94711706Y-126158463D01* +X94714414Y-126140204D01* +X94718900Y-126122297D01* +X94725118Y-126104917D01* +X94733011Y-126088230D01* +X94742501Y-126072397D01* +X94753497Y-126057571D01* +X94765893Y-126043893D01* +X94779571Y-126031497D01* +X94794397Y-126020501D01* +X94810230Y-126011011D01* +X94826917Y-126003118D01* +X94844297Y-125996900D01* +X94862204Y-125992414D01* +X94880463Y-125989706D01* +X94898900Y-125988800D01* +X96725100Y-125988800D01* +X96743537Y-125989706D01* +G37* +G36* +X96743537Y-127259706D02* +G01* +X96761796Y-127262414D01* +X96779703Y-127266900D01* +X96797083Y-127273118D01* +X96813770Y-127281011D01* +X96829603Y-127290501D01* +X96844429Y-127301497D01* +X96858107Y-127313893D01* +X96870503Y-127327571D01* +X96881499Y-127342397D01* +X96890989Y-127358230D01* +X96898882Y-127374917D01* +X96905100Y-127392297D01* +X96909586Y-127410204D01* +X96912294Y-127428463D01* +X96913200Y-127446900D01* +X96913200Y-127823100D01* +X96912294Y-127841537D01* +X96909586Y-127859796D01* +X96905100Y-127877703D01* +X96898882Y-127895083D01* +X96890989Y-127911770D01* +X96881499Y-127927603D01* +X96870503Y-127942429D01* +X96858107Y-127956107D01* +X96844429Y-127968503D01* +X96829603Y-127979499D01* +X96813770Y-127988989D01* +X96797083Y-127996882D01* +X96779703Y-128003100D01* +X96761796Y-128007586D01* +X96743537Y-128010294D01* +X96725100Y-128011200D01* +X94898900Y-128011200D01* +X94880463Y-128010294D01* +X94862204Y-128007586D01* +X94844297Y-128003100D01* +X94826917Y-127996882D01* +X94810230Y-127988989D01* +X94794397Y-127979499D01* +X94779571Y-127968503D01* +X94765893Y-127956107D01* +X94753497Y-127942429D01* +X94742501Y-127927603D01* +X94733011Y-127911770D01* +X94725118Y-127895083D01* +X94718900Y-127877703D01* +X94714414Y-127859796D01* +X94711706Y-127841537D01* +X94710800Y-127823100D01* +X94710800Y-127446900D01* +X94711706Y-127428463D01* +X94714414Y-127410204D01* +X94718900Y-127392297D01* +X94725118Y-127374917D01* +X94733011Y-127358230D01* +X94742501Y-127342397D01* +X94753497Y-127327571D01* +X94765893Y-127313893D01* +X94779571Y-127301497D01* +X94794397Y-127290501D01* +X94810230Y-127281011D01* +X94826917Y-127273118D01* +X94844297Y-127266900D01* +X94862204Y-127262414D01* +X94880463Y-127259706D01* +X94898900Y-127258800D01* +X96725100Y-127258800D01* +X96743537Y-127259706D01* +G37* +G36* +X135902564Y-112001247D02* +G01* +X135931744Y-112005576D01* +X135960360Y-112012744D01* +X135988135Y-112022682D01* +X136014802Y-112035294D01* +X136040104Y-112050460D01* +X136063799Y-112068033D01* +X136085656Y-112087844D01* +X136105467Y-112109701D01* +X136123040Y-112133396D01* +X136138206Y-112158698D01* +X136150818Y-112185365D01* +X136160756Y-112213140D01* +X136167924Y-112241756D01* +X136172253Y-112270936D01* +X136173700Y-112300400D01* +X136173700Y-113251600D01* +X136172253Y-113281064D01* +X136167924Y-113310244D01* +X136160756Y-113338860D01* +X136150818Y-113366635D01* +X136138206Y-113393302D01* +X136123040Y-113418604D01* +X136105467Y-113442299D01* +X136085656Y-113464156D01* +X136063799Y-113483967D01* +X136040104Y-113501540D01* +X136014802Y-113516706D01* +X135988135Y-113529318D01* +X135960360Y-113539256D01* +X135931744Y-113546424D01* +X135902564Y-113550753D01* +X135873100Y-113552200D01* +X135271900Y-113552200D01* +X135242436Y-113550753D01* +X135213256Y-113546424D01* +X135184640Y-113539256D01* +X135156865Y-113529318D01* +X135130198Y-113516706D01* +X135104896Y-113501540D01* +X135081201Y-113483967D01* +X135059344Y-113464156D01* +X135039533Y-113442299D01* +X135021960Y-113418604D01* +X135006794Y-113393302D01* +X134994182Y-113366635D01* +X134984244Y-113338860D01* +X134977076Y-113310244D01* +X134972747Y-113281064D01* +X134971300Y-113251600D01* +X134971300Y-112300400D01* +X134972747Y-112270936D01* +X134977076Y-112241756D01* +X134984244Y-112213140D01* +X134994182Y-112185365D01* +X135006794Y-112158698D01* +X135021960Y-112133396D01* +X135039533Y-112109701D01* +X135059344Y-112087844D01* +X135081201Y-112068033D01* +X135104896Y-112050460D01* +X135130198Y-112035294D01* +X135156865Y-112022682D01* +X135184640Y-112012744D01* +X135213256Y-112005576D01* +X135242436Y-112001247D01* +X135271900Y-111999800D01* +X135873100Y-111999800D01* +X135902564Y-112001247D01* +G37* +G36* +X134202564Y-112001247D02* +G01* +X134231744Y-112005576D01* +X134260360Y-112012744D01* +X134288135Y-112022682D01* +X134314802Y-112035294D01* +X134340104Y-112050460D01* +X134363799Y-112068033D01* +X134385656Y-112087844D01* +X134405467Y-112109701D01* +X134423040Y-112133396D01* +X134438206Y-112158698D01* +X134450818Y-112185365D01* +X134460756Y-112213140D01* +X134467924Y-112241756D01* +X134472253Y-112270936D01* +X134473700Y-112300400D01* +X134473700Y-113251600D01* +X134472253Y-113281064D01* +X134467924Y-113310244D01* +X134460756Y-113338860D01* +X134450818Y-113366635D01* +X134438206Y-113393302D01* +X134423040Y-113418604D01* +X134405467Y-113442299D01* +X134385656Y-113464156D01* +X134363799Y-113483967D01* +X134340104Y-113501540D01* +X134314802Y-113516706D01* +X134288135Y-113529318D01* +X134260360Y-113539256D01* +X134231744Y-113546424D01* +X134202564Y-113550753D01* +X134173100Y-113552200D01* +X133571900Y-113552200D01* +X133542436Y-113550753D01* +X133513256Y-113546424D01* +X133484640Y-113539256D01* +X133456865Y-113529318D01* +X133430198Y-113516706D01* +X133404896Y-113501540D01* +X133381201Y-113483967D01* +X133359344Y-113464156D01* +X133339533Y-113442299D01* +X133321960Y-113418604D01* +X133306794Y-113393302D01* +X133294182Y-113366635D01* +X133284244Y-113338860D01* +X133277076Y-113310244D01* +X133272747Y-113281064D01* +X133271300Y-113251600D01* +X133271300Y-112300400D01* +X133272747Y-112270936D01* +X133277076Y-112241756D01* +X133284244Y-112213140D01* +X133294182Y-112185365D01* +X133306794Y-112158698D01* +X133321960Y-112133396D01* +X133339533Y-112109701D01* +X133359344Y-112087844D01* +X133381201Y-112068033D01* +X133404896Y-112050460D01* +X133430198Y-112035294D01* +X133456865Y-112022682D01* +X133484640Y-112012744D01* +X133513256Y-112005576D01* +X133542436Y-112001247D01* +X133571900Y-111999800D01* +X134173100Y-111999800D01* +X134202564Y-112001247D01* +G37* +G36* +X136452537Y-114559706D02* +G01* +X136470796Y-114562414D01* +X136488703Y-114566900D01* +X136506083Y-114573118D01* +X136522770Y-114581011D01* +X136538603Y-114590501D01* +X136553429Y-114601497D01* +X136567107Y-114613893D01* +X136579503Y-114627571D01* +X136590499Y-114642397D01* +X136599989Y-114658230D01* +X136607882Y-114674917D01* +X136614100Y-114692297D01* +X136618586Y-114710204D01* +X136621294Y-114728463D01* +X136622200Y-114746900D01* +X136622200Y-115123100D01* +X136621294Y-115141537D01* +X136618586Y-115159796D01* +X136614100Y-115177703D01* +X136607882Y-115195083D01* +X136599989Y-115211770D01* +X136590499Y-115227603D01* +X136579503Y-115242429D01* +X136567107Y-115256107D01* +X136553429Y-115268503D01* +X136538603Y-115279499D01* +X136522770Y-115288989D01* +X136506083Y-115296882D01* +X136488703Y-115303100D01* +X136470796Y-115307586D01* +X136452537Y-115310294D01* +X136434100Y-115311200D01* +X134707900Y-115311200D01* +X134689463Y-115310294D01* +X134671204Y-115307586D01* +X134653297Y-115303100D01* +X134635917Y-115296882D01* +X134619230Y-115288989D01* +X134603397Y-115279499D01* +X134588571Y-115268503D01* +X134574893Y-115256107D01* +X134562497Y-115242429D01* +X134551501Y-115227603D01* +X134542011Y-115211770D01* +X134534118Y-115195083D01* +X134527900Y-115177703D01* +X134523414Y-115159796D01* +X134520706Y-115141537D01* +X134519800Y-115123100D01* +X134519800Y-114746900D01* +X134520706Y-114728463D01* +X134523414Y-114710204D01* +X134527900Y-114692297D01* +X134534118Y-114674917D01* +X134542011Y-114658230D01* +X134551501Y-114642397D01* +X134562497Y-114627571D01* +X134574893Y-114613893D01* +X134588571Y-114601497D01* +X134603397Y-114590501D01* +X134619230Y-114581011D01* +X134635917Y-114573118D01* +X134653297Y-114566900D01* +X134671204Y-114562414D01* +X134689463Y-114559706D01* +X134707900Y-114558800D01* +X136434100Y-114558800D01* +X136452537Y-114559706D01* +G37* +G36* +X131502537Y-122179706D02* +G01* +X131520796Y-122182414D01* +X131538703Y-122186900D01* +X131556083Y-122193118D01* +X131572770Y-122201011D01* +X131588603Y-122210501D01* +X131603429Y-122221497D01* +X131617107Y-122233893D01* +X131629503Y-122247571D01* +X131640499Y-122262397D01* +X131649989Y-122278230D01* +X131657882Y-122294917D01* +X131664100Y-122312297D01* +X131668586Y-122330204D01* +X131671294Y-122348463D01* +X131672200Y-122366900D01* +X131672200Y-122743100D01* +X131671294Y-122761537D01* +X131668586Y-122779796D01* +X131664100Y-122797703D01* +X131657882Y-122815083D01* +X131649989Y-122831770D01* +X131640499Y-122847603D01* +X131629503Y-122862429D01* +X131617107Y-122876107D01* +X131603429Y-122888503D01* +X131588603Y-122899499D01* +X131572770Y-122908989D01* +X131556083Y-122916882D01* +X131538703Y-122923100D01* +X131520796Y-122927586D01* +X131502537Y-122930294D01* +X131484100Y-122931200D01* +X129757900Y-122931200D01* +X129739463Y-122930294D01* +X129721204Y-122927586D01* +X129703297Y-122923100D01* +X129685917Y-122916882D01* +X129669230Y-122908989D01* +X129653397Y-122899499D01* +X129638571Y-122888503D01* +X129624893Y-122876107D01* +X129612497Y-122862429D01* +X129601501Y-122847603D01* +X129592011Y-122831770D01* +X129584118Y-122815083D01* +X129577900Y-122797703D01* +X129573414Y-122779796D01* +X129570706Y-122761537D01* +X129569800Y-122743100D01* +X129569800Y-122366900D01* +X129570706Y-122348463D01* +X129573414Y-122330204D01* +X129577900Y-122312297D01* +X129584118Y-122294917D01* +X129592011Y-122278230D01* +X129601501Y-122262397D01* +X129612497Y-122247571D01* +X129624893Y-122233893D01* +X129638571Y-122221497D01* +X129653397Y-122210501D01* +X129669230Y-122201011D01* +X129685917Y-122193118D01* +X129703297Y-122186900D01* +X129721204Y-122182414D01* +X129739463Y-122179706D01* +X129757900Y-122178800D01* +X131484100Y-122178800D01* +X131502537Y-122179706D01* +G37* +G36* +X136452537Y-117099706D02* +G01* +X136470796Y-117102414D01* +X136488703Y-117106900D01* +X136506083Y-117113118D01* +X136522770Y-117121011D01* +X136538603Y-117130501D01* +X136553429Y-117141497D01* +X136567107Y-117153893D01* +X136579503Y-117167571D01* +X136590499Y-117182397D01* +X136599989Y-117198230D01* +X136607882Y-117214917D01* +X136614100Y-117232297D01* +X136618586Y-117250204D01* +X136621294Y-117268463D01* +X136622200Y-117286900D01* +X136622200Y-117663100D01* +X136621294Y-117681537D01* +X136618586Y-117699796D01* +X136614100Y-117717703D01* +X136607882Y-117735083D01* +X136599989Y-117751770D01* +X136590499Y-117767603D01* +X136579503Y-117782429D01* +X136567107Y-117796107D01* +X136553429Y-117808503D01* +X136538603Y-117819499D01* +X136522770Y-117828989D01* +X136506083Y-117836882D01* +X136488703Y-117843100D01* +X136470796Y-117847586D01* +X136452537Y-117850294D01* +X136434100Y-117851200D01* +X134707900Y-117851200D01* +X134689463Y-117850294D01* +X134671204Y-117847586D01* +X134653297Y-117843100D01* +X134635917Y-117836882D01* +X134619230Y-117828989D01* +X134603397Y-117819499D01* +X134588571Y-117808503D01* +X134574893Y-117796107D01* +X134562497Y-117782429D01* +X134551501Y-117767603D01* +X134542011Y-117751770D01* +X134534118Y-117735083D01* +X134527900Y-117717703D01* +X134523414Y-117699796D01* +X134520706Y-117681537D01* +X134519800Y-117663100D01* +X134519800Y-117286900D01* +X134520706Y-117268463D01* +X134523414Y-117250204D01* +X134527900Y-117232297D01* +X134534118Y-117214917D01* +X134542011Y-117198230D01* +X134551501Y-117182397D01* +X134562497Y-117167571D01* +X134574893Y-117153893D01* +X134588571Y-117141497D01* +X134603397Y-117130501D01* +X134619230Y-117121011D01* +X134635917Y-117113118D01* +X134653297Y-117106900D01* +X134671204Y-117102414D01* +X134689463Y-117099706D01* +X134707900Y-117098800D01* +X136434100Y-117098800D01* +X136452537Y-117099706D01* +G37* +G36* +X136452537Y-122179706D02* +G01* +X136470796Y-122182414D01* +X136488703Y-122186900D01* +X136506083Y-122193118D01* +X136522770Y-122201011D01* +X136538603Y-122210501D01* +X136553429Y-122221497D01* +X136567107Y-122233893D01* +X136579503Y-122247571D01* +X136590499Y-122262397D01* +X136599989Y-122278230D01* +X136607882Y-122294917D01* +X136614100Y-122312297D01* +X136618586Y-122330204D01* +X136621294Y-122348463D01* +X136622200Y-122366900D01* +X136622200Y-122743100D01* +X136621294Y-122761537D01* +X136618586Y-122779796D01* +X136614100Y-122797703D01* +X136607882Y-122815083D01* +X136599989Y-122831770D01* +X136590499Y-122847603D01* +X136579503Y-122862429D01* +X136567107Y-122876107D01* +X136553429Y-122888503D01* +X136538603Y-122899499D01* +X136522770Y-122908989D01* +X136506083Y-122916882D01* +X136488703Y-122923100D01* +X136470796Y-122927586D01* +X136452537Y-122930294D01* +X136434100Y-122931200D01* +X134707900Y-122931200D01* +X134689463Y-122930294D01* +X134671204Y-122927586D01* +X134653297Y-122923100D01* +X134635917Y-122916882D01* +X134619230Y-122908989D01* +X134603397Y-122899499D01* +X134588571Y-122888503D01* +X134574893Y-122876107D01* +X134562497Y-122862429D01* +X134551501Y-122847603D01* +X134542011Y-122831770D01* +X134534118Y-122815083D01* +X134527900Y-122797703D01* +X134523414Y-122779796D01* +X134520706Y-122761537D01* +X134519800Y-122743100D01* +X134519800Y-122366900D01* +X134520706Y-122348463D01* +X134523414Y-122330204D01* +X134527900Y-122312297D01* +X134534118Y-122294917D01* +X134542011Y-122278230D01* +X134551501Y-122262397D01* +X134562497Y-122247571D01* +X134574893Y-122233893D01* +X134588571Y-122221497D01* +X134603397Y-122210501D01* +X134619230Y-122201011D01* +X134635917Y-122193118D01* +X134653297Y-122186900D01* +X134671204Y-122182414D01* +X134689463Y-122179706D01* +X134707900Y-122178800D01* +X136434100Y-122178800D01* +X136452537Y-122179706D01* +G37* +G36* +X136452537Y-115829706D02* +G01* +X136470796Y-115832414D01* +X136488703Y-115836900D01* +X136506083Y-115843118D01* +X136522770Y-115851011D01* +X136538603Y-115860501D01* +X136553429Y-115871497D01* +X136567107Y-115883893D01* +X136579503Y-115897571D01* +X136590499Y-115912397D01* +X136599989Y-115928230D01* +X136607882Y-115944917D01* +X136614100Y-115962297D01* +X136618586Y-115980204D01* +X136621294Y-115998463D01* +X136622200Y-116016900D01* +X136622200Y-116393100D01* +X136621294Y-116411537D01* +X136618586Y-116429796D01* +X136614100Y-116447703D01* +X136607882Y-116465083D01* +X136599989Y-116481770D01* +X136590499Y-116497603D01* +X136579503Y-116512429D01* +X136567107Y-116526107D01* +X136553429Y-116538503D01* +X136538603Y-116549499D01* +X136522770Y-116558989D01* +X136506083Y-116566882D01* +X136488703Y-116573100D01* +X136470796Y-116577586D01* +X136452537Y-116580294D01* +X136434100Y-116581200D01* +X134707900Y-116581200D01* +X134689463Y-116580294D01* +X134671204Y-116577586D01* +X134653297Y-116573100D01* +X134635917Y-116566882D01* +X134619230Y-116558989D01* +X134603397Y-116549499D01* +X134588571Y-116538503D01* +X134574893Y-116526107D01* +X134562497Y-116512429D01* +X134551501Y-116497603D01* +X134542011Y-116481770D01* +X134534118Y-116465083D01* +X134527900Y-116447703D01* +X134523414Y-116429796D01* +X134520706Y-116411537D01* +X134519800Y-116393100D01* +X134519800Y-116016900D01* +X134520706Y-115998463D01* +X134523414Y-115980204D01* +X134527900Y-115962297D01* +X134534118Y-115944917D01* +X134542011Y-115928230D01* +X134551501Y-115912397D01* +X134562497Y-115897571D01* +X134574893Y-115883893D01* +X134588571Y-115871497D01* +X134603397Y-115860501D01* +X134619230Y-115851011D01* +X134635917Y-115843118D01* +X134653297Y-115836900D01* +X134671204Y-115832414D01* +X134689463Y-115829706D01* +X134707900Y-115828800D01* +X136434100Y-115828800D01* +X136452537Y-115829706D01* +G37* +G36* +X131502537Y-120909706D02* +G01* +X131520796Y-120912414D01* +X131538703Y-120916900D01* +X131556083Y-120923118D01* +X131572770Y-120931011D01* +X131588603Y-120940501D01* +X131603429Y-120951497D01* +X131617107Y-120963893D01* +X131629503Y-120977571D01* +X131640499Y-120992397D01* +X131649989Y-121008230D01* +X131657882Y-121024917D01* +X131664100Y-121042297D01* +X131668586Y-121060204D01* +X131671294Y-121078463D01* +X131672200Y-121096900D01* +X131672200Y-121473100D01* +X131671294Y-121491537D01* +X131668586Y-121509796D01* +X131664100Y-121527703D01* +X131657882Y-121545083D01* +X131649989Y-121561770D01* +X131640499Y-121577603D01* +X131629503Y-121592429D01* +X131617107Y-121606107D01* +X131603429Y-121618503D01* +X131588603Y-121629499D01* +X131572770Y-121638989D01* +X131556083Y-121646882D01* +X131538703Y-121653100D01* +X131520796Y-121657586D01* +X131502537Y-121660294D01* +X131484100Y-121661200D01* +X129757900Y-121661200D01* +X129739463Y-121660294D01* +X129721204Y-121657586D01* +X129703297Y-121653100D01* +X129685917Y-121646882D01* +X129669230Y-121638989D01* +X129653397Y-121629499D01* +X129638571Y-121618503D01* +X129624893Y-121606107D01* +X129612497Y-121592429D01* +X129601501Y-121577603D01* +X129592011Y-121561770D01* +X129584118Y-121545083D01* +X129577900Y-121527703D01* +X129573414Y-121509796D01* +X129570706Y-121491537D01* +X129569800Y-121473100D01* +X129569800Y-121096900D01* +X129570706Y-121078463D01* +X129573414Y-121060204D01* +X129577900Y-121042297D01* +X129584118Y-121024917D01* +X129592011Y-121008230D01* +X129601501Y-120992397D01* +X129612497Y-120977571D01* +X129624893Y-120963893D01* +X129638571Y-120951497D01* +X129653397Y-120940501D01* +X129669230Y-120931011D01* +X129685917Y-120923118D01* +X129703297Y-120916900D01* +X129721204Y-120912414D01* +X129739463Y-120909706D01* +X129757900Y-120908800D01* +X131484100Y-120908800D01* +X131502537Y-120909706D01* +G37* +G36* +X136452537Y-120909706D02* +G01* +X136470796Y-120912414D01* +X136488703Y-120916900D01* +X136506083Y-120923118D01* +X136522770Y-120931011D01* +X136538603Y-120940501D01* +X136553429Y-120951497D01* +X136567107Y-120963893D01* +X136579503Y-120977571D01* +X136590499Y-120992397D01* +X136599989Y-121008230D01* +X136607882Y-121024917D01* +X136614100Y-121042297D01* +X136618586Y-121060204D01* +X136621294Y-121078463D01* +X136622200Y-121096900D01* +X136622200Y-121473100D01* +X136621294Y-121491537D01* +X136618586Y-121509796D01* +X136614100Y-121527703D01* +X136607882Y-121545083D01* +X136599989Y-121561770D01* +X136590499Y-121577603D01* +X136579503Y-121592429D01* +X136567107Y-121606107D01* +X136553429Y-121618503D01* +X136538603Y-121629499D01* +X136522770Y-121638989D01* +X136506083Y-121646882D01* +X136488703Y-121653100D01* +X136470796Y-121657586D01* +X136452537Y-121660294D01* +X136434100Y-121661200D01* +X134707900Y-121661200D01* +X134689463Y-121660294D01* +X134671204Y-121657586D01* +X134653297Y-121653100D01* +X134635917Y-121646882D01* +X134619230Y-121638989D01* +X134603397Y-121629499D01* +X134588571Y-121618503D01* +X134574893Y-121606107D01* +X134562497Y-121592429D01* +X134551501Y-121577603D01* +X134542011Y-121561770D01* +X134534118Y-121545083D01* +X134527900Y-121527703D01* +X134523414Y-121509796D01* +X134520706Y-121491537D01* +X134519800Y-121473100D01* +X134519800Y-121096900D01* +X134520706Y-121078463D01* +X134523414Y-121060204D01* +X134527900Y-121042297D01* +X134534118Y-121024917D01* +X134542011Y-121008230D01* +X134551501Y-120992397D01* +X134562497Y-120977571D01* +X134574893Y-120963893D01* +X134588571Y-120951497D01* +X134603397Y-120940501D01* +X134619230Y-120931011D01* +X134635917Y-120923118D01* +X134653297Y-120916900D01* +X134671204Y-120912414D01* +X134689463Y-120909706D01* +X134707900Y-120908800D01* +X136434100Y-120908800D01* +X136452537Y-120909706D01* +G37* +G36* +X136452537Y-119639706D02* +G01* +X136470796Y-119642414D01* +X136488703Y-119646900D01* +X136506083Y-119653118D01* +X136522770Y-119661011D01* +X136538603Y-119670501D01* +X136553429Y-119681497D01* +X136567107Y-119693893D01* +X136579503Y-119707571D01* +X136590499Y-119722397D01* +X136599989Y-119738230D01* +X136607882Y-119754917D01* +X136614100Y-119772297D01* +X136618586Y-119790204D01* +X136621294Y-119808463D01* +X136622200Y-119826900D01* +X136622200Y-120203100D01* +X136621294Y-120221537D01* +X136618586Y-120239796D01* +X136614100Y-120257703D01* +X136607882Y-120275083D01* +X136599989Y-120291770D01* +X136590499Y-120307603D01* +X136579503Y-120322429D01* +X136567107Y-120336107D01* +X136553429Y-120348503D01* +X136538603Y-120359499D01* +X136522770Y-120368989D01* +X136506083Y-120376882D01* +X136488703Y-120383100D01* +X136470796Y-120387586D01* +X136452537Y-120390294D01* +X136434100Y-120391200D01* +X134707900Y-120391200D01* +X134689463Y-120390294D01* +X134671204Y-120387586D01* +X134653297Y-120383100D01* +X134635917Y-120376882D01* +X134619230Y-120368989D01* +X134603397Y-120359499D01* +X134588571Y-120348503D01* +X134574893Y-120336107D01* +X134562497Y-120322429D01* +X134551501Y-120307603D01* +X134542011Y-120291770D01* +X134534118Y-120275083D01* +X134527900Y-120257703D01* +X134523414Y-120239796D01* +X134520706Y-120221537D01* +X134519800Y-120203100D01* +X134519800Y-119826900D01* +X134520706Y-119808463D01* +X134523414Y-119790204D01* +X134527900Y-119772297D01* +X134534118Y-119754917D01* +X134542011Y-119738230D01* +X134551501Y-119722397D01* +X134562497Y-119707571D01* +X134574893Y-119693893D01* +X134588571Y-119681497D01* +X134603397Y-119670501D01* +X134619230Y-119661011D01* +X134635917Y-119653118D01* +X134653297Y-119646900D01* +X134671204Y-119642414D01* +X134689463Y-119639706D01* +X134707900Y-119638800D01* +X136434100Y-119638800D01* +X136452537Y-119639706D01* +G37* +G36* +X136452537Y-118369706D02* +G01* +X136470796Y-118372414D01* +X136488703Y-118376900D01* +X136506083Y-118383118D01* +X136522770Y-118391011D01* +X136538603Y-118400501D01* +X136553429Y-118411497D01* +X136567107Y-118423893D01* +X136579503Y-118437571D01* +X136590499Y-118452397D01* +X136599989Y-118468230D01* +X136607882Y-118484917D01* +X136614100Y-118502297D01* +X136618586Y-118520204D01* +X136621294Y-118538463D01* +X136622200Y-118556900D01* +X136622200Y-118933100D01* +X136621294Y-118951537D01* +X136618586Y-118969796D01* +X136614100Y-118987703D01* +X136607882Y-119005083D01* +X136599989Y-119021770D01* +X136590499Y-119037603D01* +X136579503Y-119052429D01* +X136567107Y-119066107D01* +X136553429Y-119078503D01* +X136538603Y-119089499D01* +X136522770Y-119098989D01* +X136506083Y-119106882D01* +X136488703Y-119113100D01* +X136470796Y-119117586D01* +X136452537Y-119120294D01* +X136434100Y-119121200D01* +X134707900Y-119121200D01* +X134689463Y-119120294D01* +X134671204Y-119117586D01* +X134653297Y-119113100D01* +X134635917Y-119106882D01* +X134619230Y-119098989D01* +X134603397Y-119089499D01* +X134588571Y-119078503D01* +X134574893Y-119066107D01* +X134562497Y-119052429D01* +X134551501Y-119037603D01* +X134542011Y-119021770D01* +X134534118Y-119005083D01* +X134527900Y-118987703D01* +X134523414Y-118969796D01* +X134520706Y-118951537D01* +X134519800Y-118933100D01* +X134519800Y-118556900D01* +X134520706Y-118538463D01* +X134523414Y-118520204D01* +X134527900Y-118502297D01* +X134534118Y-118484917D01* +X134542011Y-118468230D01* +X134551501Y-118452397D01* +X134562497Y-118437571D01* +X134574893Y-118423893D01* +X134588571Y-118411497D01* +X134603397Y-118400501D01* +X134619230Y-118391011D01* +X134635917Y-118383118D01* +X134653297Y-118376900D01* +X134671204Y-118372414D01* +X134689463Y-118369706D01* +X134707900Y-118368800D01* +X136434100Y-118368800D01* +X136452537Y-118369706D01* +G37* +G36* +X131502537Y-115829706D02* +G01* +X131520796Y-115832414D01* +X131538703Y-115836900D01* +X131556083Y-115843118D01* +X131572770Y-115851011D01* +X131588603Y-115860501D01* +X131603429Y-115871497D01* +X131617107Y-115883893D01* +X131629503Y-115897571D01* +X131640499Y-115912397D01* +X131649989Y-115928230D01* +X131657882Y-115944917D01* +X131664100Y-115962297D01* +X131668586Y-115980204D01* +X131671294Y-115998463D01* +X131672200Y-116016900D01* +X131672200Y-116393100D01* +X131671294Y-116411537D01* +X131668586Y-116429796D01* +X131664100Y-116447703D01* +X131657882Y-116465083D01* +X131649989Y-116481770D01* +X131640499Y-116497603D01* +X131629503Y-116512429D01* +X131617107Y-116526107D01* +X131603429Y-116538503D01* +X131588603Y-116549499D01* +X131572770Y-116558989D01* +X131556083Y-116566882D01* +X131538703Y-116573100D01* +X131520796Y-116577586D01* +X131502537Y-116580294D01* +X131484100Y-116581200D01* +X129757900Y-116581200D01* +X129739463Y-116580294D01* +X129721204Y-116577586D01* +X129703297Y-116573100D01* +X129685917Y-116566882D01* +X129669230Y-116558989D01* +X129653397Y-116549499D01* +X129638571Y-116538503D01* +X129624893Y-116526107D01* +X129612497Y-116512429D01* +X129601501Y-116497603D01* +X129592011Y-116481770D01* +X129584118Y-116465083D01* +X129577900Y-116447703D01* +X129573414Y-116429796D01* +X129570706Y-116411537D01* +X129569800Y-116393100D01* +X129569800Y-116016900D01* +X129570706Y-115998463D01* +X129573414Y-115980204D01* +X129577900Y-115962297D01* +X129584118Y-115944917D01* +X129592011Y-115928230D01* +X129601501Y-115912397D01* +X129612497Y-115897571D01* +X129624893Y-115883893D01* +X129638571Y-115871497D01* +X129653397Y-115860501D01* +X129669230Y-115851011D01* +X129685917Y-115843118D01* +X129703297Y-115836900D01* +X129721204Y-115832414D01* +X129739463Y-115829706D01* +X129757900Y-115828800D01* +X131484100Y-115828800D01* +X131502537Y-115829706D01* +G37* +G36* +X131502537Y-114559706D02* +G01* +X131520796Y-114562414D01* +X131538703Y-114566900D01* +X131556083Y-114573118D01* +X131572770Y-114581011D01* +X131588603Y-114590501D01* +X131603429Y-114601497D01* +X131617107Y-114613893D01* +X131629503Y-114627571D01* +X131640499Y-114642397D01* +X131649989Y-114658230D01* +X131657882Y-114674917D01* +X131664100Y-114692297D01* +X131668586Y-114710204D01* +X131671294Y-114728463D01* +X131672200Y-114746900D01* +X131672200Y-115123100D01* +X131671294Y-115141537D01* +X131668586Y-115159796D01* +X131664100Y-115177703D01* +X131657882Y-115195083D01* +X131649989Y-115211770D01* +X131640499Y-115227603D01* +X131629503Y-115242429D01* +X131617107Y-115256107D01* +X131603429Y-115268503D01* +X131588603Y-115279499D01* +X131572770Y-115288989D01* +X131556083Y-115296882D01* +X131538703Y-115303100D01* +X131520796Y-115307586D01* +X131502537Y-115310294D01* +X131484100Y-115311200D01* +X129757900Y-115311200D01* +X129739463Y-115310294D01* +X129721204Y-115307586D01* +X129703297Y-115303100D01* +X129685917Y-115296882D01* +X129669230Y-115288989D01* +X129653397Y-115279499D01* +X129638571Y-115268503D01* +X129624893Y-115256107D01* +X129612497Y-115242429D01* +X129601501Y-115227603D01* +X129592011Y-115211770D01* +X129584118Y-115195083D01* +X129577900Y-115177703D01* +X129573414Y-115159796D01* +X129570706Y-115141537D01* +X129569800Y-115123100D01* +X129569800Y-114746900D01* +X129570706Y-114728463D01* +X129573414Y-114710204D01* +X129577900Y-114692297D01* +X129584118Y-114674917D01* +X129592011Y-114658230D01* +X129601501Y-114642397D01* +X129612497Y-114627571D01* +X129624893Y-114613893D01* +X129638571Y-114601497D01* +X129653397Y-114590501D01* +X129669230Y-114581011D01* +X129685917Y-114573118D01* +X129703297Y-114566900D01* +X129721204Y-114562414D01* +X129739463Y-114559706D01* +X129757900Y-114558800D01* +X131484100Y-114558800D01* +X131502537Y-114559706D01* +G37* +G36* +X131502537Y-118369706D02* +G01* +X131520796Y-118372414D01* +X131538703Y-118376900D01* +X131556083Y-118383118D01* +X131572770Y-118391011D01* +X131588603Y-118400501D01* +X131603429Y-118411497D01* +X131617107Y-118423893D01* +X131629503Y-118437571D01* +X131640499Y-118452397D01* +X131649989Y-118468230D01* +X131657882Y-118484917D01* +X131664100Y-118502297D01* +X131668586Y-118520204D01* +X131671294Y-118538463D01* +X131672200Y-118556900D01* +X131672200Y-118933100D01* +X131671294Y-118951537D01* +X131668586Y-118969796D01* +X131664100Y-118987703D01* +X131657882Y-119005083D01* +X131649989Y-119021770D01* +X131640499Y-119037603D01* +X131629503Y-119052429D01* +X131617107Y-119066107D01* +X131603429Y-119078503D01* +X131588603Y-119089499D01* +X131572770Y-119098989D01* +X131556083Y-119106882D01* +X131538703Y-119113100D01* +X131520796Y-119117586D01* +X131502537Y-119120294D01* +X131484100Y-119121200D01* +X129757900Y-119121200D01* +X129739463Y-119120294D01* +X129721204Y-119117586D01* +X129703297Y-119113100D01* +X129685917Y-119106882D01* +X129669230Y-119098989D01* +X129653397Y-119089499D01* +X129638571Y-119078503D01* +X129624893Y-119066107D01* +X129612497Y-119052429D01* +X129601501Y-119037603D01* +X129592011Y-119021770D01* +X129584118Y-119005083D01* +X129577900Y-118987703D01* +X129573414Y-118969796D01* +X129570706Y-118951537D01* +X129569800Y-118933100D01* +X129569800Y-118556900D01* +X129570706Y-118538463D01* +X129573414Y-118520204D01* +X129577900Y-118502297D01* +X129584118Y-118484917D01* +X129592011Y-118468230D01* +X129601501Y-118452397D01* +X129612497Y-118437571D01* +X129624893Y-118423893D01* +X129638571Y-118411497D01* +X129653397Y-118400501D01* +X129669230Y-118391011D01* +X129685917Y-118383118D01* +X129703297Y-118376900D01* +X129721204Y-118372414D01* +X129739463Y-118369706D01* +X129757900Y-118368800D01* +X131484100Y-118368800D01* +X131502537Y-118369706D01* +G37* +G36* +X131502537Y-117099706D02* +G01* +X131520796Y-117102414D01* +X131538703Y-117106900D01* +X131556083Y-117113118D01* +X131572770Y-117121011D01* +X131588603Y-117130501D01* +X131603429Y-117141497D01* +X131617107Y-117153893D01* +X131629503Y-117167571D01* +X131640499Y-117182397D01* +X131649989Y-117198230D01* +X131657882Y-117214917D01* +X131664100Y-117232297D01* +X131668586Y-117250204D01* +X131671294Y-117268463D01* +X131672200Y-117286900D01* +X131672200Y-117663100D01* +X131671294Y-117681537D01* +X131668586Y-117699796D01* +X131664100Y-117717703D01* +X131657882Y-117735083D01* +X131649989Y-117751770D01* +X131640499Y-117767603D01* +X131629503Y-117782429D01* +X131617107Y-117796107D01* +X131603429Y-117808503D01* +X131588603Y-117819499D01* +X131572770Y-117828989D01* +X131556083Y-117836882D01* +X131538703Y-117843100D01* +X131520796Y-117847586D01* +X131502537Y-117850294D01* +X131484100Y-117851200D01* +X129757900Y-117851200D01* +X129739463Y-117850294D01* +X129721204Y-117847586D01* +X129703297Y-117843100D01* +X129685917Y-117836882D01* +X129669230Y-117828989D01* +X129653397Y-117819499D01* +X129638571Y-117808503D01* +X129624893Y-117796107D01* +X129612497Y-117782429D01* +X129601501Y-117767603D01* +X129592011Y-117751770D01* +X129584118Y-117735083D01* +X129577900Y-117717703D01* +X129573414Y-117699796D01* +X129570706Y-117681537D01* +X129569800Y-117663100D01* +X129569800Y-117286900D01* +X129570706Y-117268463D01* +X129573414Y-117250204D01* +X129577900Y-117232297D01* +X129584118Y-117214917D01* +X129592011Y-117198230D01* +X129601501Y-117182397D01* +X129612497Y-117167571D01* +X129624893Y-117153893D01* +X129638571Y-117141497D01* +X129653397Y-117130501D01* +X129669230Y-117121011D01* +X129685917Y-117113118D01* +X129703297Y-117106900D01* +X129721204Y-117102414D01* +X129739463Y-117099706D01* +X129757900Y-117098800D01* +X131484100Y-117098800D01* +X131502537Y-117099706D01* +G37* +G36* +X131502537Y-119639706D02* +G01* +X131520796Y-119642414D01* +X131538703Y-119646900D01* +X131556083Y-119653118D01* +X131572770Y-119661011D01* +X131588603Y-119670501D01* +X131603429Y-119681497D01* +X131617107Y-119693893D01* +X131629503Y-119707571D01* +X131640499Y-119722397D01* +X131649989Y-119738230D01* +X131657882Y-119754917D01* +X131664100Y-119772297D01* +X131668586Y-119790204D01* +X131671294Y-119808463D01* +X131672200Y-119826900D01* +X131672200Y-120203100D01* +X131671294Y-120221537D01* +X131668586Y-120239796D01* +X131664100Y-120257703D01* +X131657882Y-120275083D01* +X131649989Y-120291770D01* +X131640499Y-120307603D01* +X131629503Y-120322429D01* +X131617107Y-120336107D01* +X131603429Y-120348503D01* +X131588603Y-120359499D01* +X131572770Y-120368989D01* +X131556083Y-120376882D01* +X131538703Y-120383100D01* +X131520796Y-120387586D01* +X131502537Y-120390294D01* +X131484100Y-120391200D01* +X129757900Y-120391200D01* +X129739463Y-120390294D01* +X129721204Y-120387586D01* +X129703297Y-120383100D01* +X129685917Y-120376882D01* +X129669230Y-120368989D01* +X129653397Y-120359499D01* +X129638571Y-120348503D01* +X129624893Y-120336107D01* +X129612497Y-120322429D01* +X129601501Y-120307603D01* +X129592011Y-120291770D01* +X129584118Y-120275083D01* +X129577900Y-120257703D01* +X129573414Y-120239796D01* +X129570706Y-120221537D01* +X129569800Y-120203100D01* +X129569800Y-119826900D01* +X129570706Y-119808463D01* +X129573414Y-119790204D01* +X129577900Y-119772297D01* +X129584118Y-119754917D01* +X129592011Y-119738230D01* +X129601501Y-119722397D01* +X129612497Y-119707571D01* +X129624893Y-119693893D01* +X129638571Y-119681497D01* +X129653397Y-119670501D01* +X129669230Y-119661011D01* +X129685917Y-119653118D01* +X129703297Y-119646900D01* +X129721204Y-119642414D01* +X129739463Y-119639706D01* +X129757900Y-119638800D01* +X131484100Y-119638800D01* +X131502537Y-119639706D01* +G37* +G36* +X124095163Y-128765007D02* +G01* +X124119490Y-128768615D01* +X124143345Y-128774591D01* +X124166500Y-128782876D01* +X124188732Y-128793391D01* +X124209826Y-128806034D01* +X124229579Y-128820684D01* +X124247801Y-128837199D01* +X124264316Y-128855421D01* +X124278966Y-128875174D01* +X124291609Y-128896268D01* +X124302124Y-128918500D01* +X124310409Y-128941655D01* +X124316385Y-128965510D01* +X124319993Y-128989837D01* +X124321200Y-129014400D01* +X124321200Y-130065600D01* +X124319993Y-130090163D01* +X124316385Y-130114490D01* +X124310409Y-130138345D01* +X124302124Y-130161500D01* +X124291609Y-130183732D01* +X124278966Y-130204826D01* +X124264316Y-130224579D01* +X124247801Y-130242801D01* +X124229579Y-130259316D01* +X124209826Y-130273966D01* +X124188732Y-130286609D01* +X124166500Y-130297124D01* +X124143345Y-130305409D01* +X124119490Y-130311385D01* +X124095163Y-130314993D01* +X124070600Y-130316200D01* +X123569400Y-130316200D01* +X123544837Y-130314993D01* +X123520510Y-130311385D01* +X123496655Y-130305409D01* +X123473500Y-130297124D01* +X123451268Y-130286609D01* +X123430174Y-130273966D01* +X123410421Y-130259316D01* +X123392199Y-130242801D01* +X123375684Y-130224579D01* +X123361034Y-130204826D01* +X123348391Y-130183732D01* +X123337876Y-130161500D01* +X123329591Y-130138345D01* +X123323615Y-130114490D01* +X123320007Y-130090163D01* +X123318800Y-130065600D01* +X123318800Y-129014400D01* +X123320007Y-128989837D01* +X123323615Y-128965510D01* +X123329591Y-128941655D01* +X123337876Y-128918500D01* +X123348391Y-128896268D01* +X123361034Y-128875174D01* +X123375684Y-128855421D01* +X123392199Y-128837199D01* +X123410421Y-128820684D01* +X123430174Y-128806034D01* +X123451268Y-128793391D01* +X123473500Y-128782876D01* +X123496655Y-128774591D01* +X123520510Y-128768615D01* +X123544837Y-128765007D01* +X123569400Y-128763800D01* +X124070600Y-128763800D01* +X124095163Y-128765007D01* +G37* +G36* +X122195163Y-128765007D02* +G01* +X122219490Y-128768615D01* +X122243345Y-128774591D01* +X122266500Y-128782876D01* +X122288732Y-128793391D01* +X122309826Y-128806034D01* +X122329579Y-128820684D01* +X122347801Y-128837199D01* +X122364316Y-128855421D01* +X122378966Y-128875174D01* +X122391609Y-128896268D01* +X122402124Y-128918500D01* +X122410409Y-128941655D01* +X122416385Y-128965510D01* +X122419993Y-128989837D01* +X122421200Y-129014400D01* +X122421200Y-130065600D01* +X122419993Y-130090163D01* +X122416385Y-130114490D01* +X122410409Y-130138345D01* +X122402124Y-130161500D01* +X122391609Y-130183732D01* +X122378966Y-130204826D01* +X122364316Y-130224579D01* +X122347801Y-130242801D01* +X122329579Y-130259316D01* +X122309826Y-130273966D01* +X122288732Y-130286609D01* +X122266500Y-130297124D01* +X122243345Y-130305409D01* +X122219490Y-130311385D01* +X122195163Y-130314993D01* +X122170600Y-130316200D01* +X121669400Y-130316200D01* +X121644837Y-130314993D01* +X121620510Y-130311385D01* +X121596655Y-130305409D01* +X121573500Y-130297124D01* +X121551268Y-130286609D01* +X121530174Y-130273966D01* +X121510421Y-130259316D01* +X121492199Y-130242801D01* +X121475684Y-130224579D01* +X121461034Y-130204826D01* +X121448391Y-130183732D01* +X121437876Y-130161500D01* +X121429591Y-130138345D01* +X121423615Y-130114490D01* +X121420007Y-130090163D01* +X121418800Y-130065600D01* +X121418800Y-129014400D01* +X121420007Y-128989837D01* +X121423615Y-128965510D01* +X121429591Y-128941655D01* +X121437876Y-128918500D01* +X121448391Y-128896268D01* +X121461034Y-128875174D01* +X121475684Y-128855421D01* +X121492199Y-128837199D01* +X121510421Y-128820684D01* +X121530174Y-128806034D01* +X121551268Y-128793391D01* +X121573500Y-128782876D01* +X121596655Y-128774591D01* +X121620510Y-128768615D01* +X121644837Y-128765007D01* +X121669400Y-128763800D01* +X122170600Y-128763800D01* +X122195163Y-128765007D01* +G37* +G36* +X79372064Y-119415247D02* +G01* +X79401244Y-119419576D01* +X79429860Y-119426744D01* +X79457635Y-119436682D01* +X79484302Y-119449294D01* +X79509604Y-119464460D01* +X79533299Y-119482033D01* +X79555156Y-119501844D01* +X79574967Y-119523701D01* +X79592540Y-119547396D01* +X79607706Y-119572698D01* +X79620318Y-119599365D01* +X79630256Y-119627140D01* +X79637424Y-119655756D01* +X79641753Y-119684936D01* +X79643200Y-119714400D01* +X79643200Y-120315600D01* +X79641753Y-120345064D01* +X79637424Y-120374244D01* +X79630256Y-120402860D01* +X79620318Y-120430635D01* +X79607706Y-120457302D01* +X79592540Y-120482604D01* +X79574967Y-120506299D01* +X79555156Y-120528156D01* +X79533299Y-120547967D01* +X79509604Y-120565540D01* +X79484302Y-120580706D01* +X79457635Y-120593318D01* +X79429860Y-120603256D01* +X79401244Y-120610424D01* +X79372064Y-120614753D01* +X79342600Y-120616200D01* +X78391400Y-120616200D01* +X78361936Y-120614753D01* +X78332756Y-120610424D01* +X78304140Y-120603256D01* +X78276365Y-120593318D01* +X78249698Y-120580706D01* +X78224396Y-120565540D01* +X78200701Y-120547967D01* +X78178844Y-120528156D01* +X78159033Y-120506299D01* +X78141460Y-120482604D01* +X78126294Y-120457302D01* +X78113682Y-120430635D01* +X78103744Y-120402860D01* +X78096576Y-120374244D01* +X78092247Y-120345064D01* +X78090800Y-120315600D01* +X78090800Y-119714400D01* +X78092247Y-119684936D01* +X78096576Y-119655756D01* +X78103744Y-119627140D01* +X78113682Y-119599365D01* +X78126294Y-119572698D01* +X78141460Y-119547396D01* +X78159033Y-119523701D01* +X78178844Y-119501844D01* +X78200701Y-119482033D01* +X78224396Y-119464460D01* +X78249698Y-119449294D01* +X78276365Y-119436682D01* +X78304140Y-119426744D01* +X78332756Y-119419576D01* +X78361936Y-119415247D01* +X78391400Y-119413800D01* +X79342600Y-119413800D01* +X79372064Y-119415247D01* +G37* +G36* +X79372064Y-117715247D02* +G01* +X79401244Y-117719576D01* +X79429860Y-117726744D01* +X79457635Y-117736682D01* +X79484302Y-117749294D01* +X79509604Y-117764460D01* +X79533299Y-117782033D01* +X79555156Y-117801844D01* +X79574967Y-117823701D01* +X79592540Y-117847396D01* +X79607706Y-117872698D01* +X79620318Y-117899365D01* +X79630256Y-117927140D01* +X79637424Y-117955756D01* +X79641753Y-117984936D01* +X79643200Y-118014400D01* +X79643200Y-118615600D01* +X79641753Y-118645064D01* +X79637424Y-118674244D01* +X79630256Y-118702860D01* +X79620318Y-118730635D01* +X79607706Y-118757302D01* +X79592540Y-118782604D01* +X79574967Y-118806299D01* +X79555156Y-118828156D01* +X79533299Y-118847967D01* +X79509604Y-118865540D01* +X79484302Y-118880706D01* +X79457635Y-118893318D01* +X79429860Y-118903256D01* +X79401244Y-118910424D01* +X79372064Y-118914753D01* +X79342600Y-118916200D01* +X78391400Y-118916200D01* +X78361936Y-118914753D01* +X78332756Y-118910424D01* +X78304140Y-118903256D01* +X78276365Y-118893318D01* +X78249698Y-118880706D01* +X78224396Y-118865540D01* +X78200701Y-118847967D01* +X78178844Y-118828156D01* +X78159033Y-118806299D01* +X78141460Y-118782604D01* +X78126294Y-118757302D01* +X78113682Y-118730635D01* +X78103744Y-118702860D01* +X78096576Y-118674244D01* +X78092247Y-118645064D01* +X78090800Y-118615600D01* +X78090800Y-118014400D01* +X78092247Y-117984936D01* +X78096576Y-117955756D01* +X78103744Y-117927140D01* +X78113682Y-117899365D01* +X78126294Y-117872698D01* +X78141460Y-117847396D01* +X78159033Y-117823701D01* +X78178844Y-117801844D01* +X78200701Y-117782033D01* +X78224396Y-117764460D01* +X78249698Y-117749294D01* +X78276365Y-117736682D01* +X78304140Y-117726744D01* +X78332756Y-117719576D01* +X78361936Y-117715247D01* +X78391400Y-117713800D01* +X79342600Y-117713800D01* +X79372064Y-117715247D01* +G37* +M02* diff --git a/gerber/Voyager128-bottom.pos b/gerber/Voyager128-bottom.pos new file mode 100644 index 0000000..660dc48 --- /dev/null +++ b/gerber/Voyager128-bottom.pos @@ -0,0 +1,6 @@ +### Module positions - created on Saturday, April 11, 2020 at 03:56:36 AM ### +### Printed by Pcbnew version kicad (5.1.5-0-10_14) +## Unit = mm, Angle = deg. +## Side : bottom +# Ref Val Package PosX PosY Rot Side +## End diff --git a/gerber/Voyager128-drl_map.ps b/gerber/Voyager128-drl_map.ps new file mode 100644 index 0000000..f854eaf --- /dev/null +++ b/gerber/Voyager128-drl_map.ps @@ -0,0 +1,2756 @@ +%!PS-Adobe-3.0 +%%Creator: PCBNEW +%%CreationDate: Sat Apr 11 03:56:33 2020 +%%Title: /Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/Voyager128/gerber/Voyager128-drl_map.ps +%%Pages: 1 +%%PageOrder: Ascend +%%BoundingBox: 0 0 596 842 +%%DocumentMedia: A4 595 842 0 () () +%%Orientation: Landscape +%%EndComments +%%BeginProlog +/line { newpath moveto lineto stroke } bind def +/cir0 { newpath 0 360 arc stroke } bind def +/cir1 { newpath 0 360 arc gsave fill grestore stroke } bind def +/cir2 { newpath 0 360 arc gsave fill grestore stroke } bind def +/arc0 { newpath arc stroke } bind def +/arc1 { newpath 4 index 4 index moveto arc closepath gsave fill + grestore stroke } bind def +/arc2 { newpath 4 index 4 index moveto arc closepath gsave fill + grestore stroke } bind def +/poly0 { stroke } bind def +/poly1 { closepath gsave fill grestore stroke } bind def +/poly2 { closepath gsave fill grestore stroke } bind def +/rect0 { rectstroke } bind def +/rect1 { rectfill } bind def +/rect2 { rectfill } bind def +/linemode0 { 0 setlinecap 0 setlinejoin 0 setlinewidth } bind def +/linemode1 { 1 setlinecap 1 setlinejoin } bind def +/dashedline { [200] 100 setdash } bind def +/solidline { [] 0 setdash } bind def +/phantomshow { moveto + /KicadFont findfont 0.000001 scalefont setfont + show } bind def +/textshow { gsave + findfont exch scalefont setfont concat 1 scale 0 0 moveto show + } bind def +/reencodefont { + findfont dup length dict begin + { 1 index /FID ne + { def } + { pop pop } ifelse + } forall + /Encoding ISOLatin1Encoding def + currentdict + end } bind def +/KicadFont /Helvetica reencodefont definefont pop +/KicadFont-Bold /Helvetica-Bold reencodefont definefont pop +/KicadFont-Oblique /Helvetica-Oblique reencodefont definefont pop +/KicadFont-BoldOblique /Helvetica-BoldOblique reencodefont definefont pop +%%EndProlog +%%Page: 1 1 +%%BeginPageSetup +gsave +0.0072 0.0072 scale +linemode1 +82680 0 translate 90 rotate +147.898 setlinewidth +%%EndPageSetup +0 0 0 setrgbcolor +174.683 setlinewidth +newpath +100172 75697.7 moveto +100172 45970.2 lineto +stroke +0 0 0 setrgbcolor +newpath +17349.4 67415.4 moveto +27998 78064.1 lineto +stroke +0 0 0 setrgbcolor +newpath +16757.8 65640.6 moveto +16757.8 48928.2 lineto +stroke +0 0 0 setrgbcolor +29772.8 75697.7 2957.96 90 126.87 arc0 +0 0 0 setrgbcolor +newpath +29772.8 78655.7 moveto +97214.2 78655.7 lineto +stroke +0 0 0 setrgbcolor +newpath +19715.8 45970.2 moveto +23856.9 45970.2 lineto +stroke +0 0 0 setrgbcolor +19715.8 65640.6 2957.96 143.13 180 arc0 +0 0 0 setrgbcolor +97214.2 75697.7 2957.96 -0 90 arc0 +0 0 0 setrgbcolor +newpath +100172 45970.2 moveto +100172 37687.9 lineto +stroke +0 0 0 setrgbcolor +19715.8 48928.2 2957.96 180 270 arc0 +0 0 0 setrgbcolor +newpath +23856.9 45970.2 moveto +23856.9 37687.9 lineto +stroke +0 0 0 setrgbcolor +99580.6 37687.9 591.591 -90 -0 arc0 +0 0 0 setrgbcolor +24448.5 37687.9 591.591 180 270 arc0 +0 0 0 setrgbcolor +newpath +99580.6 37096.4 moveto +24448.5 37096.4 lineto +stroke +78.7399 setlinewidth +newpath +24865.4 47032.3 moveto +25214.8 46682.9 lineto +stroke +newpath +25214.8 47032.3 moveto +24865.4 46682.9 lineto +stroke +newpath +27823.4 47032.3 moveto +28172.7 46682.9 lineto +stroke +newpath +28172.7 47032.3 moveto +27823.4 46682.9 lineto +stroke +newpath +30337.6 56202 moveto +30687 55852.6 lineto +stroke +newpath +30687 56202 moveto +30337.6 55852.6 lineto +stroke +newpath +30781.3 47032.3 moveto +31130.7 46682.9 lineto +stroke +newpath +31130.7 47032.3 moveto +30781.3 46682.9 lineto +stroke +newpath +31003.2 50507.9 moveto +31352.5 50158.5 lineto +stroke +newpath +31352.5 50507.9 moveto +31003.2 50158.5 lineto +stroke +newpath +31077.1 73506 moveto +31426.5 73156.6 lineto +stroke +newpath +31426.5 73506 moveto +31077.1 73156.6 lineto +stroke +newpath +31077.1 72027 moveto +31426.5 71677.7 lineto +stroke +newpath +31426.5 72027 moveto +31077.1 71677.7 lineto +stroke +newpath +31077.1 70548.1 moveto +31426.5 70198.7 lineto +stroke +newpath +31426.5 70548.1 moveto +31077.1 70198.7 lineto +stroke +newpath +31077.1 69069.1 moveto +31426.5 68719.7 lineto +stroke +newpath +31426.5 69069.1 moveto +31077.1 68719.7 lineto +stroke +newpath +31077.1 67590.1 moveto +31426.5 67240.7 lineto +stroke +newpath +31426.5 67590.1 moveto +31077.1 67240.7 lineto +stroke +newpath +31077.1 66111.1 moveto +31426.5 65761.8 lineto +stroke +newpath +31426.5 66111.1 moveto +31077.1 65761.8 lineto +stroke +newpath +31077.1 64632.1 moveto +31426.5 64282.8 lineto +stroke +newpath +31426.5 64632.1 moveto +31077.1 64282.8 lineto +stroke +newpath +31077.1 63153.2 moveto +31426.5 62803.8 lineto +stroke +newpath +31426.5 63153.2 moveto +31077.1 62803.8 lineto +stroke +newpath +32334.2 49694.5 moveto +32683.6 49345.1 lineto +stroke +newpath +32683.6 49694.5 moveto +32334.2 49345.1 lineto +stroke +newpath +33739.3 47032.3 moveto +34088.6 46682.9 lineto +stroke +newpath +34088.6 47032.3 moveto +33739.3 46682.9 lineto +stroke +newpath +35366.1 57976.7 moveto +35715.5 57627.4 lineto +stroke +newpath +35715.5 57976.7 moveto +35366.1 57627.4 lineto +stroke +newpath +35957.7 62413.7 moveto +36307.1 62064.3 lineto +stroke +newpath +36307.1 62413.7 moveto +35957.7 62064.3 lineto +stroke +newpath +35957.7 60934.7 moveto +36307.1 60585.3 lineto +stroke +newpath +36307.1 60934.7 moveto +35957.7 60585.3 lineto +stroke +newpath +36697.2 49990.2 moveto +37046.6 49640.9 lineto +stroke +newpath +37046.6 49990.2 moveto +36697.2 49640.9 lineto +stroke +newpath +38324.1 62413.7 moveto +38673.5 62064.3 lineto +stroke +newpath +38673.5 62413.7 moveto +38324.1 62064.3 lineto +stroke +newpath +38693.9 66850.6 moveto +39043.2 66501.2 lineto +stroke +newpath +39043.2 66850.6 moveto +38693.9 66501.2 lineto +stroke +newpath +39655.2 47032.3 moveto +40004.6 46682.9 lineto +stroke +newpath +40004.6 47032.3 moveto +39655.2 46682.9 lineto +stroke +newpath +40246.8 53096.1 moveto +40596.1 52746.7 lineto +stroke +newpath +40596.1 53096.1 moveto +40246.8 52746.7 lineto +stroke +newpath +40468.6 49916.3 moveto +40818 49566.9 lineto +stroke +newpath +40818 49916.3 moveto +40468.6 49566.9 lineto +stroke +newpath +41134.2 61674.2 moveto +41483.5 61324.8 lineto +stroke +newpath +41483.5 61674.2 moveto +41134.2 61324.8 lineto +stroke +newpath +41282.1 75132.9 moveto +41631.4 74783.5 lineto +stroke +newpath +41631.4 75132.9 moveto +41282.1 74783.5 lineto +stroke +newpath +41873.7 54723 moveto +42223 54373.6 lineto +stroke +newpath +42223 54723 moveto +41873.7 54373.6 lineto +stroke +newpath +42169.5 51173.4 moveto +42518.8 50824.1 lineto +stroke +newpath +42518.8 51173.4 moveto +42169.5 50824.1 lineto +stroke +newpath +42613.1 47032.3 moveto +42962.5 46682.9 lineto +stroke +newpath +42962.5 47032.3 moveto +42613.1 46682.9 lineto +stroke +newpath +45571.1 47032.3 moveto +45920.5 46682.9 lineto +stroke +newpath +45920.5 47032.3 moveto +45571.1 46682.9 lineto +stroke +newpath +46162.7 59012 moveto +46512.1 58662.7 lineto +stroke +newpath +46512.1 59012 moveto +46162.7 58662.7 lineto +stroke +newpath +46458.5 66850.6 moveto +46807.9 66501.2 lineto +stroke +newpath +46807.9 66850.6 moveto +46458.5 66501.2 lineto +stroke +newpath +46458.5 55980.1 moveto +46807.9 55630.7 lineto +stroke +newpath +46807.9 55980.1 moveto +46458.5 55630.7 lineto +stroke +newpath +46488.1 48570.4 moveto +46837.4 48221.1 lineto +stroke +newpath +46837.4 48570.4 moveto +46488.1 48221.1 lineto +stroke +newpath +46931.8 61851.7 moveto +47281.1 61502.3 lineto +stroke +newpath +47281.1 61851.7 moveto +46931.8 61502.3 lineto +stroke +newpath +47345.9 63153.2 moveto +47695.2 62803.8 lineto +stroke +newpath +47695.2 63153.2 moveto +47345.9 62803.8 lineto +stroke +newpath +48529.1 47032.3 moveto +48878.4 46682.9 lineto +stroke +newpath +48878.4 47032.3 moveto +48529.1 46682.9 lineto +stroke +newpath +51043.3 63153.2 moveto +51392.7 62803.8 lineto +stroke +newpath +51392.7 63153.2 moveto +51043.3 62803.8 lineto +stroke +newpath +51043.3 61674.2 moveto +51392.7 61324.8 lineto +stroke +newpath +51392.7 61674.2 moveto +51043.3 61324.8 lineto +stroke +newpath +51043.3 60195.2 moveto +51392.7 59845.8 lineto +stroke +newpath +51392.7 60195.2 moveto +51043.3 59845.8 lineto +stroke +newpath +51043.3 58716.2 moveto +51392.7 58366.9 lineto +stroke +newpath +51392.7 58716.2 moveto +51043.3 58366.9 lineto +stroke +newpath +51043.3 57237.2 moveto +51392.7 56887.9 lineto +stroke +newpath +51392.7 57237.2 moveto +51043.3 56887.9 lineto +stroke +newpath +51043.3 55758.3 moveto +51392.7 55408.9 lineto +stroke +newpath +51392.7 55758.3 moveto +51043.3 55408.9 lineto +stroke +newpath +51043.3 51321.3 moveto +51392.7 50972 lineto +stroke +newpath +51392.7 51321.3 moveto +51043.3 50972 lineto +stroke +newpath +51487 47032.3 moveto +51836.4 46682.9 lineto +stroke +newpath +51836.4 47032.3 moveto +51487 46682.9 lineto +stroke +newpath +52226.5 72027 moveto +52575.9 71677.7 lineto +stroke +newpath +52575.9 72027 moveto +52226.5 71677.7 lineto +stroke +newpath +52226.5 70548.1 moveto +52575.9 70198.7 lineto +stroke +newpath +52575.9 70548.1 moveto +52226.5 70198.7 lineto +stroke +newpath +52226.5 69069.1 moveto +52575.9 68719.7 lineto +stroke +newpath +52575.9 69069.1 moveto +52226.5 68719.7 lineto +stroke +newpath +52300.5 56054.1 moveto +52649.8 55704.7 lineto +stroke +newpath +52649.8 56054.1 moveto +52300.5 55704.7 lineto +stroke +newpath +53853.4 60343.1 moveto +54202.7 59993.7 lineto +stroke +newpath +54202.7 60343.1 moveto +53853.4 59993.7 lineto +stroke +newpath +54297.1 68329.6 moveto +54646.4 67980.2 lineto +stroke +newpath +54646.4 68329.6 moveto +54297.1 67980.2 lineto +stroke +newpath +54445 47032.3 moveto +54794.3 46682.9 lineto +stroke +newpath +54794.3 47032.3 moveto +54445 46682.9 lineto +stroke +newpath +55776.1 69808.6 moveto +56125.4 69459.2 lineto +stroke +newpath +56125.4 69808.6 moveto +55776.1 69459.2 lineto +stroke +newpath +56515.5 68329.6 moveto +56864.9 67980.2 lineto +stroke +newpath +56864.9 68329.6 moveto +56515.5 67980.2 lineto +stroke +newpath +57255 71287.5 moveto +57604.4 70938.2 lineto +stroke +newpath +57604.4 71287.5 moveto +57255 70938.2 lineto +stroke +newpath +57255 63892.6 moveto +57604.4 63543.3 lineto +stroke +newpath +57604.4 63892.6 moveto +57255 63543.3 lineto +stroke +newpath +57402.9 55018.8 moveto +57752.3 54669.4 lineto +stroke +newpath +57752.3 55018.8 moveto +57402.9 54669.4 lineto +stroke +newpath +57402.9 51321.3 moveto +57752.3 50972 lineto +stroke +newpath +57752.3 51321.3 moveto +57402.9 50972 lineto +stroke +newpath +57402.9 49842.4 moveto +57752.3 49493 lineto +stroke +newpath +57752.3 49842.4 moveto +57402.9 49493 lineto +stroke +newpath +57402.9 47032.3 moveto +57752.3 46682.9 lineto +stroke +newpath +57752.3 47032.3 moveto +57402.9 46682.9 lineto +stroke +newpath +57994.5 69808.6 moveto +58343.9 69459.2 lineto +stroke +newpath +58343.9 69808.6 moveto +57994.5 69459.2 lineto +stroke +newpath +57994.5 67590.1 moveto +58343.9 67240.7 lineto +stroke +newpath +58343.9 67590.1 moveto +57994.5 67240.7 lineto +stroke +newpath +57994.5 66111.1 moveto +58343.9 65761.8 lineto +stroke +newpath +58343.9 66111.1 moveto +57994.5 65761.8 lineto +stroke +newpath +58142.4 57533 moveto +58491.8 57183.7 lineto +stroke +newpath +58491.8 57533 moveto +58142.4 57183.7 lineto +stroke +newpath +60360.9 56497.8 moveto +60710.3 56148.4 lineto +stroke +newpath +60710.3 56497.8 moveto +60360.9 56148.4 lineto +stroke +newpath +60360.9 53539.8 moveto +60710.3 53190.4 lineto +stroke +newpath +60710.3 53539.8 moveto +60360.9 53190.4 lineto +stroke +newpath +60360.9 47032.3 moveto +60710.3 46682.9 lineto +stroke +newpath +60710.3 47032.3 moveto +60360.9 46682.9 lineto +stroke +newpath +61174.3 59159.9 moveto +61523.7 58810.6 lineto +stroke +newpath +61523.7 59159.9 moveto +61174.3 58810.6 lineto +stroke +newpath +61692 67590.1 moveto +62041.3 67240.7 lineto +stroke +newpath +62041.3 67590.1 moveto +61692 67240.7 lineto +stroke +newpath +63318.8 47032.3 moveto +63668.2 46682.9 lineto +stroke +newpath +63668.2 47032.3 moveto +63318.8 46682.9 lineto +stroke +newpath +66276.8 58716.2 moveto +66626.2 58366.9 lineto +stroke +newpath +66626.2 58716.2 moveto +66276.8 58366.9 lineto +stroke +newpath +66276.8 57237.2 moveto +66626.2 56887.9 lineto +stroke +newpath +66626.2 57237.2 moveto +66276.8 56887.9 lineto +stroke +newpath +66276.8 55758.3 moveto +66626.2 55408.9 lineto +stroke +newpath +66626.2 55758.3 moveto +66276.8 55408.9 lineto +stroke +newpath +66276.8 54279.3 moveto +66626.2 53929.9 lineto +stroke +newpath +66626.2 54279.3 moveto +66276.8 53929.9 lineto +stroke +newpath +66276.8 52800.3 moveto +66626.2 52450.9 lineto +stroke +newpath +66626.2 52800.3 moveto +66276.8 52450.9 lineto +stroke +newpath +66276.8 47032.3 moveto +66626.2 46682.9 lineto +stroke +newpath +66626.2 47032.3 moveto +66276.8 46682.9 lineto +stroke +newpath +66424.7 72027 moveto +66774.1 71677.7 lineto +stroke +newpath +66774.1 72027 moveto +66424.7 71677.7 lineto +stroke +newpath +66424.7 70548.1 moveto +66774.1 70198.7 lineto +stroke +newpath +66774.1 70548.1 moveto +66424.7 70198.7 lineto +stroke +newpath +66424.7 69069.1 moveto +66774.1 68719.7 lineto +stroke +newpath +66774.1 69069.1 moveto +66424.7 68719.7 lineto +stroke +newpath +66424.7 67590.1 moveto +66774.1 67240.7 lineto +stroke +newpath +66774.1 67590.1 moveto +66424.7 67240.7 lineto +stroke +newpath +66424.7 66111.1 moveto +66774.1 65761.8 lineto +stroke +newpath +66774.1 66111.1 moveto +66424.7 65761.8 lineto +stroke +newpath +66424.7 64632.1 moveto +66774.1 64282.8 lineto +stroke +newpath +66774.1 64632.1 moveto +66424.7 64282.8 lineto +stroke +newpath +67312.1 57976.7 moveto +67661.5 57627.4 lineto +stroke +newpath +67661.5 57976.7 moveto +67312.1 57627.4 lineto +stroke +newpath +67312.1 56497.8 moveto +67661.5 56148.4 lineto +stroke +newpath +67661.5 56497.8 moveto +67312.1 56148.4 lineto +stroke +newpath +68421.3 49842.4 moveto +68770.7 49493 lineto +stroke +newpath +68770.7 49842.4 moveto +68421.3 49493 lineto +stroke +newpath +69086.9 66111.1 moveto +69436.2 65761.8 lineto +stroke +newpath +69436.2 66111.1 moveto +69086.9 65761.8 lineto +stroke +newpath +69086.9 64632.1 moveto +69436.2 64282.8 lineto +stroke +newpath +69436.2 64632.1 moveto +69086.9 64282.8 lineto +stroke +newpath +69086.9 63153.2 moveto +69436.2 62803.8 lineto +stroke +newpath +69436.2 63153.2 moveto +69086.9 62803.8 lineto +stroke +newpath +69086.9 58716.2 moveto +69436.2 58366.9 lineto +stroke +newpath +69436.2 58716.2 moveto +69086.9 58366.9 lineto +stroke +newpath +69234.8 47032.3 moveto +69584.1 46682.9 lineto +stroke +newpath +69584.1 47032.3 moveto +69234.8 46682.9 lineto +stroke +newpath +69900.3 52504.5 moveto +70249.7 52155.1 lineto +stroke +newpath +70249.7 52504.5 moveto +69900.3 52155.1 lineto +stroke +newpath +70713.7 70548.1 moveto +71063.1 70198.7 lineto +stroke +newpath +71063.1 70548.1 moveto +70713.7 70198.7 lineto +stroke +newpath +71896.9 52504.5 moveto +72246.3 52155.1 lineto +stroke +newpath +72246.3 52504.5 moveto +71896.9 52155.1 lineto +stroke +newpath +72192.7 72027 moveto +72542.1 71677.7 lineto +stroke +newpath +72542.1 72027 moveto +72192.7 71677.7 lineto +stroke +newpath +72192.7 47032.3 moveto +72542.1 46682.9 lineto +stroke +newpath +72542.1 47032.3 moveto +72192.7 46682.9 lineto +stroke +newpath +72636.4 69660.7 moveto +72985.8 69311.3 lineto +stroke +newpath +72985.8 69660.7 moveto +72636.4 69311.3 lineto +stroke +newpath +73375.9 50286 moveto +73725.3 49936.7 lineto +stroke +newpath +73725.3 50286 moveto +73375.9 49936.7 lineto +stroke +newpath +74115.4 69660.7 moveto +74464.8 69311.3 lineto +stroke +newpath +74464.8 69660.7 moveto +74115.4 69311.3 lineto +stroke +newpath +74115.4 53687.7 moveto +74464.8 53338.3 lineto +stroke +newpath +74464.8 53687.7 moveto +74115.4 53338.3 lineto +stroke +newpath +75150.7 47032.3 moveto +75500 46682.9 lineto +stroke +newpath +75500 47032.3 moveto +75150.7 46682.9 lineto +stroke +newpath +75446.5 74541.3 moveto +75795.8 74191.9 lineto +stroke +newpath +75795.8 74541.3 moveto +75446.5 74191.9 lineto +stroke +newpath +75594.4 69660.7 moveto +75943.7 69311.3 lineto +stroke +newpath +75943.7 69660.7 moveto +75594.4 69311.3 lineto +stroke +newpath +75594.4 56571.7 moveto +75943.7 56222.3 lineto +stroke +newpath +75943.7 56571.7 moveto +75594.4 56222.3 lineto +stroke +newpath +78108.6 47032.3 moveto +78458 46682.9 lineto +stroke +newpath +78458 47032.3 moveto +78108.6 46682.9 lineto +stroke +newpath +78552.3 53687.7 moveto +78901.7 53338.3 lineto +stroke +newpath +78901.7 53687.7 moveto +78552.3 53338.3 lineto +stroke +newpath +79587.6 47771.8 moveto +79937 47422.4 lineto +stroke +newpath +79937 47771.8 moveto +79587.6 47422.4 lineto +stroke +newpath +80031.3 53687.7 moveto +80380.7 53338.3 lineto +stroke +newpath +80380.7 53687.7 moveto +80031.3 53338.3 lineto +stroke +newpath +81066.6 47032.3 moveto +81416 46682.9 lineto +stroke +newpath +81416 47032.3 moveto +81066.6 46682.9 lineto +stroke +newpath +81214.5 52060.8 moveto +81563.9 51711.5 lineto +stroke +newpath +81563.9 52060.8 moveto +81214.5 51711.5 lineto +stroke +newpath +81510.3 53687.7 moveto +81859.6 53338.3 lineto +stroke +newpath +81859.6 53687.7 moveto +81510.3 53338.3 lineto +stroke +newpath +81658.2 74541.3 moveto +82007.5 74191.9 lineto +stroke +newpath +82007.5 74541.3 moveto +81658.2 74191.9 lineto +stroke +newpath +83876.6 49102.9 moveto +84226 48753.5 lineto +stroke +newpath +84226 49102.9 moveto +83876.6 48753.5 lineto +stroke +newpath +84024.5 47032.3 moveto +84373.9 46682.9 lineto +stroke +newpath +84373.9 47032.3 moveto +84024.5 46682.9 lineto +stroke +newpath +85059.8 60195.2 moveto +85409.2 59845.8 lineto +stroke +newpath +85409.2 60195.2 moveto +85059.8 59845.8 lineto +stroke +newpath +85059.8 57237.2 moveto +85409.2 56887.9 lineto +stroke +newpath +85409.2 57237.2 moveto +85059.8 56887.9 lineto +stroke +newpath +86538.8 52060.8 moveto +86888.2 51711.5 lineto +stroke +newpath +86888.2 52060.8 moveto +86538.8 51711.5 lineto +stroke +newpath +86982.5 47032.3 moveto +87331.9 46682.9 lineto +stroke +newpath +87331.9 47032.3 moveto +86982.5 46682.9 lineto +stroke +newpath +88017.8 61674.2 moveto +88367.2 61324.8 lineto +stroke +newpath +88367.2 61674.2 moveto +88017.8 61324.8 lineto +stroke +newpath +89940.5 47032.3 moveto +90289.8 46682.9 lineto +stroke +newpath +90289.8 47032.3 moveto +89940.5 46682.9 lineto +stroke +newpath +91419.4 61674.2 moveto +91768.8 61324.8 lineto +stroke +newpath +91768.8 61674.2 moveto +91419.4 61324.8 lineto +stroke +newpath +91419.4 47328.1 moveto +91768.8 46978.7 lineto +stroke +newpath +91768.8 47328.1 moveto +91419.4 46978.7 lineto +stroke +newpath +92898.4 47032.3 moveto +93247.8 46682.9 lineto +stroke +newpath +93247.8 47032.3 moveto +92898.4 46682.9 lineto +stroke +newpath +93490 64632.1 moveto +93839.4 64282.8 lineto +stroke +newpath +93839.4 64632.1 moveto +93490 64282.8 lineto +stroke +newpath +93785.8 58716.2 moveto +94135.2 58366.9 lineto +stroke +newpath +94135.2 58716.2 moveto +93785.8 58366.9 lineto +stroke +newpath +94377.4 47328.1 moveto +94726.8 46978.7 lineto +stroke +newpath +94726.8 47328.1 moveto +94377.4 46978.7 lineto +stroke +newpath +95856.4 47032.3 moveto +96205.7 46682.9 lineto +stroke +newpath +96205.7 47032.3 moveto +95856.4 46682.9 lineto +stroke +newpath +97335.4 52504.5 moveto +97684.7 52155.1 lineto +stroke +newpath +97684.7 52504.5 moveto +97335.4 52155.1 lineto +stroke +newpath +98814.3 47032.3 moveto +99163.7 46682.9 lineto +stroke +newpath +99163.7 47032.3 moveto +98814.3 46682.9 lineto +stroke +17941 66232.2 232.91 cir0 +17941 60316.3 232.91 cir0 +17941 54400.4 232.91 cir0 +17941 48484.5 232.91 cir0 +19272.1 47153.4 232.91 cir0 +20898.9 51442.4 232.91 cir0 +21638.4 69929.7 232.91 cir0 +22377.9 63422.2 232.91 cir0 +25483.8 73775 232.91 cir0 +26519.1 62017.1 232.91 cir0 +28441.7 49371.9 232.91 cir0 +28589.6 52329.8 232.91 cir0 +29181.2 77472.5 232.91 cir0 +29772.8 50850.9 232.91 cir0 +31251.8 60020.5 232.91 cir0 +33100.5 58985.2 232.91 cir0 +33766.1 77472.5 232.91 cir0 +34949.2 60020.5 232.91 cir0 +39682 77472.5 232.91 cir0 +42048.3 73331.3 232.91 cir0 +43897.1 74366.6 232.91 cir0 +44562.6 53069.3 232.91 cir0 +44562.6 51146.6 232.91 cir0 +45597.9 77472.5 232.91 cir0 +45745.8 73331.3 232.91 cir0 +46041.6 54400.4 232.91 cir0 +47224.8 72591.8 232.91 cir0 +47520.6 51146.6 232.91 cir0 +51513.8 77472.5 232.91 cir0 +57429.7 77472.5 232.91 cir0 +62754 73331.3 232.91 cir0 +63345.6 77472.5 232.91 cir0 +64602.8 74366.6 232.91 cir0 +66599.4 73331.3 232.91 cir0 +69261.5 77472.5 232.91 cir0 +75177.5 77472.5 232.91 cir0 +75473.3 71556.6 232.91 cir0 +75769 53365.1 232.91 cir0 +76804.3 73035.5 232.91 cir0 +78727 73035.5 232.91 cir0 +78727 70077.6 232.91 cir0 +78727 66380.1 232.91 cir0 +80058.1 71556.6 232.91 cir0 +81093.4 77472.5 232.91 cir0 +87009.3 77472.5 232.91 cir0 +88044.6 65936.4 232.91 cir0 +88044.6 57062.6 232.91 cir0 +89893.3 66971.7 232.91 cir0 +89893.3 56027.3 232.91 cir0 +91742 65936.4 232.91 cir0 +91742 57062.6 232.91 cir0 +92407.6 68450.7 232.91 cir0 +92925.2 77472.5 232.91 cir0 +93664.7 69929.7 232.91 cir0 +93812.6 65936.4 232.91 cir0 +94256.3 50850.9 232.91 cir0 +95587.4 52329.8 232.91 cir0 +95587.4 49371.9 232.91 cir0 +95661.3 69929.7 232.91 cir0 +95661.3 66971.7 232.91 cir0 +96918.4 68450.7 232.91 cir0 +97510 65936.4 232.91 cir0 +97657.9 77472.5 232.91 cir0 +98989 76141.4 232.91 cir0 +98989 71408.7 232.91 cir0 +98989 65492.7 232.91 cir0 +98989 59576.8 232.91 cir0 +98989 53660.9 232.91 cir0 +newpath +26519.1 49371.9 moveto +26519.1 48484.5 lineto +stroke +newpath +26075.4 48928.2 moveto +26962.8 48928.2 lineto +stroke +newpath +97510 49371.9 moveto +97510 48484.5 lineto +stroke +newpath +97066.3 48928.2 moveto +97953.7 48928.2 lineto +stroke +newpath +94709.2 75244.8 moveto +94709.2 76150.6 lineto +93803.4 76150.6 lineto +93803.4 75244.8 lineto +94709.2 75244.8 lineto +poly0 +newpath +33183.7 75244.8 moveto +33183.7 76150.6 lineto +32277.9 76150.6 lineto +32277.9 75244.8 lineto +33183.7 75244.8 lineto +poly0 +newpath +20168.7 62229.8 moveto +20168.7 63135.6 lineto +19262.9 63135.6 lineto +19262.9 62229.8 lineto +20168.7 62229.8 lineto +poly0 +newpath +93526 48475.3 moveto +93526 49381.1 lineto +92620.2 49381.1 lineto +92620.2 48475.3 lineto +93526 48475.3 lineto +poly0 +newpath +23126.6 48475.3 moveto +23126.6 49381.1 lineto +22220.8 49381.1 lineto +22220.8 48475.3 lineto +23126.6 48475.3 lineto +poly0 +118.11 setlinewidth +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +17798.1 35175.5 moveto +17798.1 36356.6 lineto +18079.3 36356.6 lineto +18248.1 36300.3 lineto +18360.6 36187.9 lineto +18416.8 36075.4 lineto +18473 35850.4 lineto +18473 35681.7 lineto +18416.8 35456.7 lineto +18360.6 35344.2 lineto +18248.1 35231.7 lineto +18079.3 35175.5 lineto +17798.1 35175.5 lineto +stroke +newpath +18979.2 35175.5 moveto +18979.2 35962.9 lineto +stroke +newpath +18979.2 35737.9 moveto +19035.5 35850.4 lineto +19091.7 35906.6 lineto +19204.2 35962.9 lineto +19316.7 35962.9 lineto +stroke +newpath +19710.4 35175.5 moveto +19710.4 35962.9 lineto +stroke +newpath +19710.4 36356.6 moveto +19654.1 36300.3 lineto +19710.4 36244.1 lineto +19766.6 36300.3 lineto +19710.4 36356.6 lineto +19710.4 36244.1 lineto +stroke +newpath +20441.5 35175.5 moveto +20329.1 35231.7 lineto +20272.8 35344.2 lineto +20272.8 36356.6 lineto +stroke +newpath +21060.2 35175.5 moveto +20947.7 35231.7 lineto +20891.5 35344.2 lineto +20891.5 36356.6 lineto +stroke +newpath +22410.1 35175.5 moveto +22410.1 36356.6 lineto +22803.8 35512.9 lineto +23197.5 36356.6 lineto +23197.5 35175.5 lineto +stroke +newpath +24266.1 35175.5 moveto +24266.1 35794.2 lineto +24209.8 35906.6 lineto +24097.3 35962.9 lineto +23872.4 35962.9 lineto +23759.9 35906.6 lineto +stroke +newpath +24266.1 35231.7 moveto +24153.6 35175.5 lineto +23872.4 35175.5 lineto +23759.9 35231.7 lineto +23703.6 35344.2 lineto +23703.6 35456.7 lineto +23759.9 35569.2 lineto +23872.4 35625.4 lineto +24153.6 35625.4 lineto +24266.1 35681.7 lineto +stroke +newpath +24828.5 35962.9 moveto +24828.5 34781.8 lineto +stroke +newpath +24828.5 35906.6 moveto +24941 35962.9 lineto +25166 35962.9 lineto +25278.4 35906.6 lineto +25334.7 35850.4 lineto +25390.9 35737.9 lineto +25390.9 35400.5 lineto +25334.7 35288 lineto +25278.4 35231.7 lineto +25166 35175.5 lineto +24941 35175.5 lineto +24828.5 35231.7 lineto +stroke +newpath +25897.1 35288 moveto +25953.4 35231.7 lineto +25897.1 35175.5 lineto +25840.9 35231.7 lineto +25897.1 35288 lineto +25897.1 35175.5 lineto +stroke +newpath +25897.1 35906.6 moveto +25953.4 35850.4 lineto +25897.1 35794.2 lineto +25840.9 35850.4 lineto +25897.1 35906.6 lineto +25897.1 35794.2 lineto +stroke +newpath +16321.1 33404.2 moveto +16670.5 33054.8 lineto +stroke +newpath +16670.5 33404.2 moveto +16321.1 33054.8 lineto +stroke +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +18023.1 33876.3 moveto +18135.6 33876.3 lineto +18248.1 33820 lineto +18304.3 33763.8 lineto +18360.6 33651.3 lineto +18416.8 33426.3 lineto +18416.8 33145.1 lineto +18360.6 32920.1 lineto +18304.3 32807.7 lineto +18248.1 32751.4 lineto +18135.6 32695.2 lineto +18023.1 32695.2 lineto +17910.6 32751.4 lineto +17854.4 32807.7 lineto +17798.1 32920.1 lineto +17741.9 33145.1 lineto +17741.9 33426.3 lineto +17798.1 33651.3 lineto +17854.4 33763.8 lineto +17910.6 33820 lineto +18023.1 33876.3 lineto +stroke +newpath +18923 32807.7 moveto +18979.2 32751.4 lineto +18923 32695.2 lineto +18866.7 32751.4 lineto +18923 32807.7 lineto +18923 32695.2 lineto +stroke +newpath +19372.9 33876.3 moveto +20104.1 33876.3 lineto +19710.4 33426.3 lineto +19879.1 33426.3 lineto +19991.6 33370.1 lineto +20047.8 33313.8 lineto +20104.1 33201.4 lineto +20104.1 32920.1 lineto +20047.8 32807.7 lineto +19991.6 32751.4 lineto +19879.1 32695.2 lineto +19541.7 32695.2 lineto +19429.2 32751.4 lineto +19372.9 32807.7 lineto +stroke +newpath +20835.2 33876.3 moveto +20947.7 33876.3 lineto +21060.2 33820 lineto +21116.5 33763.8 lineto +21172.7 33651.3 lineto +21229 33426.3 lineto +21229 33145.1 lineto +21172.7 32920.1 lineto +21116.5 32807.7 lineto +21060.2 32751.4 lineto +20947.7 32695.2 lineto +20835.2 32695.2 lineto +20722.8 32751.4 lineto +20666.5 32807.7 lineto +20610.3 32920.1 lineto +20554 33145.1 lineto +20554 33426.3 lineto +20610.3 33651.3 lineto +20666.5 33763.8 lineto +20722.8 33820 lineto +20835.2 33876.3 lineto +stroke +newpath +21735.1 32695.2 moveto +21735.1 33482.6 lineto +stroke +newpath +21735.1 33370.1 moveto +21791.4 33426.3 lineto +21903.9 33482.6 lineto +22072.6 33482.6 lineto +22185.1 33426.3 lineto +22241.3 33313.8 lineto +22241.3 32695.2 lineto +stroke +newpath +22241.3 33313.8 moveto +22297.6 33426.3 lineto +22410.1 33482.6 lineto +22578.8 33482.6 lineto +22691.3 33426.3 lineto +22747.5 33313.8 lineto +22747.5 32695.2 lineto +stroke +newpath +23309.9 32695.2 moveto +23309.9 33482.6 lineto +stroke +newpath +23309.9 33370.1 moveto +23366.2 33426.3 lineto +23478.7 33482.6 lineto +23647.4 33482.6 lineto +23759.9 33426.3 lineto +23816.1 33313.8 lineto +23816.1 32695.2 lineto +stroke +newpath +23816.1 33313.8 moveto +23872.4 33426.3 lineto +23984.9 33482.6 lineto +24153.6 33482.6 lineto +24266.1 33426.3 lineto +24322.3 33313.8 lineto +24322.3 32695.2 lineto +stroke +newpath +26628.3 33932.5 moveto +25615.9 32414 lineto +stroke +newpath +28146.8 33876.3 moveto +28259.3 33876.3 lineto +28371.8 33820 lineto +28428 33763.8 lineto +28484.3 33651.3 lineto +28540.5 33426.3 lineto +28540.5 33145.1 lineto +28484.3 32920.1 lineto +28428 32807.7 lineto +28371.8 32751.4 lineto +28259.3 32695.2 lineto +28146.8 32695.2 lineto +28034.3 32751.4 lineto +27978.1 32807.7 lineto +27921.9 32920.1 lineto +27865.6 33145.1 lineto +27865.6 33426.3 lineto +27921.9 33651.3 lineto +27978.1 33763.8 lineto +28034.3 33820 lineto +28146.8 33876.3 lineto +stroke +newpath +29046.7 32807.7 moveto +29103 32751.4 lineto +29046.7 32695.2 lineto +28990.5 32751.4 lineto +29046.7 32807.7 lineto +29046.7 32695.2 lineto +stroke +newpath +29834.1 33876.3 moveto +29946.6 33876.3 lineto +30059.1 33820 lineto +30115.3 33763.8 lineto +30171.6 33651.3 lineto +30227.8 33426.3 lineto +30227.8 33145.1 lineto +30171.6 32920.1 lineto +30115.3 32807.7 lineto +30059.1 32751.4 lineto +29946.6 32695.2 lineto +29834.1 32695.2 lineto +29721.6 32751.4 lineto +29665.4 32807.7 lineto +29609.1 32920.1 lineto +29552.9 33145.1 lineto +29552.9 33426.3 lineto +29609.1 33651.3 lineto +29665.4 33763.8 lineto +29721.6 33820 lineto +29834.1 33876.3 lineto +stroke +newpath +31352.7 32695.2 moveto +30677.8 32695.2 lineto +stroke +newpath +31015.2 32695.2 moveto +31015.2 33876.3 lineto +30902.7 33707.5 lineto +30790.3 33595.1 lineto +30677.8 33538.8 lineto +stroke +newpath +31802.6 33763.8 moveto +31858.9 33820 lineto +31971.4 33876.3 lineto +32252.6 33876.3 lineto +32365.1 33820 lineto +32421.3 33763.8 lineto +32477.5 33651.3 lineto +32477.5 33538.8 lineto +32421.3 33370.1 lineto +31746.4 32695.2 lineto +32477.5 32695.2 lineto +stroke +newpath +32927.5 33876.3 moveto +32927.5 33651.3 lineto +stroke +newpath +33377.4 33876.3 moveto +33377.4 33651.3 lineto +stroke +newpath +35121 32245.2 moveto +35064.7 32301.5 lineto +34952.2 32470.2 lineto +34896 32582.7 lineto +34839.7 32751.4 lineto +34783.5 33032.6 lineto +34783.5 33257.6 lineto +34839.7 33538.8 lineto +34896 33707.5 lineto +34952.2 33820 lineto +35064.7 33988.8 lineto +35121 34045 lineto +stroke +newpath +36189.6 32695.2 moveto +35514.7 32695.2 lineto +stroke +newpath +35852.1 32695.2 moveto +35852.1 33876.3 lineto +35739.6 33707.5 lineto +35627.1 33595.1 lineto +35514.7 33538.8 lineto +stroke +newpath +36639.5 33763.8 moveto +36695.8 33820 lineto +36808.2 33876.3 lineto +37089.5 33876.3 lineto +37201.9 33820 lineto +37258.2 33763.8 lineto +37314.4 33651.3 lineto +37314.4 33538.8 lineto +37258.2 33370.1 lineto +36583.3 32695.2 lineto +37314.4 32695.2 lineto +stroke +newpath +37989.3 33370.1 moveto +37876.9 33426.3 lineto +37820.6 33482.6 lineto +37764.4 33595.1 lineto +37764.4 33651.3 lineto +37820.6 33763.8 lineto +37876.9 33820 lineto +37989.3 33876.3 lineto +38214.3 33876.3 lineto +38326.8 33820 lineto +38383 33763.8 lineto +38439.3 33651.3 lineto +38439.3 33595.1 lineto +38383 33482.6 lineto +38326.8 33426.3 lineto +38214.3 33370.1 lineto +37989.3 33370.1 lineto +37876.9 33313.8 lineto +37820.6 33257.6 lineto +37764.4 33145.1 lineto +37764.4 32920.1 lineto +37820.6 32807.7 lineto +37876.9 32751.4 lineto +37989.3 32695.2 lineto +38214.3 32695.2 lineto +38326.8 32751.4 lineto +38383 32807.7 lineto +38439.3 32920.1 lineto +38439.3 33145.1 lineto +38383 33257.6 lineto +38326.8 33313.8 lineto +38214.3 33370.1 lineto +stroke +newpath +39845.4 32695.2 moveto +39845.4 33876.3 lineto +stroke +newpath +40351.6 32695.2 moveto +40351.6 33313.8 lineto +40295.3 33426.3 lineto +40182.8 33482.6 lineto +40014.1 33482.6 lineto +39901.6 33426.3 lineto +39845.4 33370.1 lineto +stroke +newpath +41082.7 32695.2 moveto +40970.2 32751.4 lineto +40914 32807.7 lineto +40857.7 32920.1 lineto +40857.7 33257.6 lineto +40914 33370.1 lineto +40970.2 33426.3 lineto +41082.7 33482.6 lineto +41251.4 33482.6 lineto +41363.9 33426.3 lineto +41420.2 33370.1 lineto +41476.4 33257.6 lineto +41476.4 32920.1 lineto +41420.2 32807.7 lineto +41363.9 32751.4 lineto +41251.4 32695.2 lineto +41082.7 32695.2 lineto +stroke +newpath +42151.3 32695.2 moveto +42038.8 32751.4 lineto +41982.6 32863.9 lineto +41982.6 33876.3 lineto +stroke +newpath +43051.2 32751.4 moveto +42938.7 32695.2 lineto +42713.8 32695.2 lineto +42601.3 32751.4 lineto +42545 32863.9 lineto +42545 33313.8 lineto +42601.3 33426.3 lineto +42713.8 33482.6 lineto +42938.7 33482.6 lineto +43051.2 33426.3 lineto +43107.5 33313.8 lineto +43107.5 33201.4 lineto +42545 33088.9 lineto +stroke +newpath +43557.4 32751.4 moveto +43669.9 32695.2 lineto +43894.9 32695.2 lineto +44007.3 32751.4 lineto +44063.6 32863.9 lineto +44063.6 32920.1 lineto +44007.3 33032.6 lineto +43894.9 33088.9 lineto +43726.1 33088.9 lineto +43613.6 33145.1 lineto +43557.4 33257.6 lineto +43557.4 33313.8 lineto +43613.6 33426.3 lineto +43726.1 33482.6 lineto +43894.9 33482.6 lineto +44007.3 33426.3 lineto +stroke +newpath +44457.3 32245.2 moveto +44513.5 32301.5 lineto +44626 32470.2 lineto +44682.3 32582.7 lineto +44738.5 32751.4 lineto +44794.7 33032.6 lineto +44794.7 33257.6 lineto +44738.5 33538.8 lineto +44682.3 33707.5 lineto +44626 33820 lineto +44513.5 33988.8 lineto +44457.3 34045 lineto +stroke +16437.6 31670.4 232.91 cir0 +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +18023.1 32317.2 moveto +18135.6 32317.2 lineto +18248.1 32261 lineto +18304.3 32204.7 lineto +18360.6 32092.3 lineto +18416.8 31867.3 lineto +18416.8 31586.1 lineto +18360.6 31361.1 lineto +18304.3 31248.6 lineto +18248.1 31192.4 lineto +18135.6 31136.1 lineto +18023.1 31136.1 lineto +17910.6 31192.4 lineto +17854.4 31248.6 lineto +17798.1 31361.1 lineto +17741.9 31586.1 lineto +17741.9 31867.3 lineto +17798.1 32092.3 lineto +17854.4 32204.7 lineto +17910.6 32261 lineto +18023.1 32317.2 lineto +stroke +newpath +18923 31248.6 moveto +18979.2 31192.4 lineto +18923 31136.1 lineto +18866.7 31192.4 lineto +18923 31248.6 lineto +18923 31136.1 lineto +stroke +newpath +19991.6 31923.5 moveto +19991.6 31136.1 lineto +stroke +newpath +19710.4 32373.5 moveto +19429.2 31529.8 lineto +20160.3 31529.8 lineto +stroke +newpath +20835.2 32317.2 moveto +20947.7 32317.2 lineto +21060.2 32261 lineto +21116.5 32204.7 lineto +21172.7 32092.3 lineto +21229 31867.3 lineto +21229 31586.1 lineto +21172.7 31361.1 lineto +21116.5 31248.6 lineto +21060.2 31192.4 lineto +20947.7 31136.1 lineto +20835.2 31136.1 lineto +20722.8 31192.4 lineto +20666.5 31248.6 lineto +20610.3 31361.1 lineto +20554 31586.1 lineto +20554 31867.3 lineto +20610.3 32092.3 lineto +20666.5 32204.7 lineto +20722.8 32261 lineto +20835.2 32317.2 lineto +stroke +newpath +21735.1 31136.1 moveto +21735.1 31923.5 lineto +stroke +newpath +21735.1 31811 moveto +21791.4 31867.3 lineto +21903.9 31923.5 lineto +22072.6 31923.5 lineto +22185.1 31867.3 lineto +22241.3 31754.8 lineto +22241.3 31136.1 lineto +stroke +newpath +22241.3 31754.8 moveto +22297.6 31867.3 lineto +22410.1 31923.5 lineto +22578.8 31923.5 lineto +22691.3 31867.3 lineto +22747.5 31754.8 lineto +22747.5 31136.1 lineto +stroke +newpath +23309.9 31136.1 moveto +23309.9 31923.5 lineto +stroke +newpath +23309.9 31811 moveto +23366.2 31867.3 lineto +23478.7 31923.5 lineto +23647.4 31923.5 lineto +23759.9 31867.3 lineto +23816.1 31754.8 lineto +23816.1 31136.1 lineto +stroke +newpath +23816.1 31754.8 moveto +23872.4 31867.3 lineto +23984.9 31923.5 lineto +24153.6 31923.5 lineto +24266.1 31867.3 lineto +24322.3 31754.8 lineto +24322.3 31136.1 lineto +stroke +newpath +26628.3 32373.5 moveto +25615.9 30854.9 lineto +stroke +newpath +28146.8 32317.2 moveto +28259.3 32317.2 lineto +28371.8 32261 lineto +28428 32204.7 lineto +28484.3 32092.3 lineto +28540.5 31867.3 lineto +28540.5 31586.1 lineto +28484.3 31361.1 lineto +28428 31248.6 lineto +28371.8 31192.4 lineto +28259.3 31136.1 lineto +28146.8 31136.1 lineto +28034.3 31192.4 lineto +27978.1 31248.6 lineto +27921.9 31361.1 lineto +27865.6 31586.1 lineto +27865.6 31867.3 lineto +27921.9 32092.3 lineto +27978.1 32204.7 lineto +28034.3 32261 lineto +28146.8 32317.2 lineto +stroke +newpath +29046.7 31248.6 moveto +29103 31192.4 lineto +29046.7 31136.1 lineto +28990.5 31192.4 lineto +29046.7 31248.6 lineto +29046.7 31136.1 lineto +stroke +newpath +29834.1 32317.2 moveto +29946.6 32317.2 lineto +30059.1 32261 lineto +30115.3 32204.7 lineto +30171.6 32092.3 lineto +30227.8 31867.3 lineto +30227.8 31586.1 lineto +30171.6 31361.1 lineto +30115.3 31248.6 lineto +30059.1 31192.4 lineto +29946.6 31136.1 lineto +29834.1 31136.1 lineto +29721.6 31192.4 lineto +29665.4 31248.6 lineto +29609.1 31361.1 lineto +29552.9 31586.1 lineto +29552.9 31867.3 lineto +29609.1 32092.3 lineto +29665.4 32204.7 lineto +29721.6 32261 lineto +29834.1 32317.2 lineto +stroke +newpath +31352.7 31136.1 moveto +30677.8 31136.1 lineto +stroke +newpath +31015.2 31136.1 moveto +31015.2 32317.2 lineto +30902.7 32148.5 lineto +30790.3 32036 lineto +30677.8 31979.8 lineto +stroke +newpath +32365.1 32317.2 moveto +32140.1 32317.2 lineto +32027.6 32261 lineto +31971.4 32204.7 lineto +31858.9 32036 lineto +31802.6 31811 lineto +31802.6 31361.1 lineto +31858.9 31248.6 lineto +31915.1 31192.4 lineto +32027.6 31136.1 lineto +32252.6 31136.1 lineto +32365.1 31192.4 lineto +32421.3 31248.6 lineto +32477.5 31361.1 lineto +32477.5 31642.3 lineto +32421.3 31754.8 lineto +32365.1 31811 lineto +32252.6 31867.3 lineto +32027.6 31867.3 lineto +31915.1 31811 lineto +31858.9 31754.8 lineto +31802.6 31642.3 lineto +stroke +newpath +32927.5 32317.2 moveto +32927.5 32092.3 lineto +stroke +newpath +33377.4 32317.2 moveto +33377.4 32092.3 lineto +stroke +newpath +35121 30686.2 moveto +35064.7 30742.4 lineto +34952.2 30911.1 lineto +34896 31023.6 lineto +34839.7 31192.4 lineto +34783.5 31473.6 lineto +34783.5 31698.6 lineto +34839.7 31979.8 lineto +34896 32148.5 lineto +34952.2 32261 lineto +35064.7 32429.7 lineto +35121 32486 lineto +stroke +newpath +36077.1 32317.2 moveto +35852.1 32317.2 lineto +35739.6 32261 lineto +35683.4 32204.7 lineto +35570.9 32036 lineto +35514.7 31811 lineto +35514.7 31361.1 lineto +35570.9 31248.6 lineto +35627.1 31192.4 lineto +35739.6 31136.1 lineto +35964.6 31136.1 lineto +36077.1 31192.4 lineto +36133.3 31248.6 lineto +36189.6 31361.1 lineto +36189.6 31642.3 lineto +36133.3 31754.8 lineto +36077.1 31811 lineto +35964.6 31867.3 lineto +35739.6 31867.3 lineto +35627.1 31811 lineto +35570.9 31754.8 lineto +35514.7 31642.3 lineto +stroke +newpath +36864.5 31811 moveto +36752 31867.3 lineto +36695.8 31923.5 lineto +36639.5 32036 lineto +36639.5 32092.3 lineto +36695.8 32204.7 lineto +36752 32261 lineto +36864.5 32317.2 lineto +37089.5 32317.2 lineto +37201.9 32261 lineto +37258.2 32204.7 lineto +37314.4 32092.3 lineto +37314.4 32036 lineto +37258.2 31923.5 lineto +37201.9 31867.3 lineto +37089.5 31811 lineto +36864.5 31811 lineto +36752 31754.8 lineto +36695.8 31698.6 lineto +36639.5 31586.1 lineto +36639.5 31361.1 lineto +36695.8 31248.6 lineto +36752 31192.4 lineto +36864.5 31136.1 lineto +37089.5 31136.1 lineto +37201.9 31192.4 lineto +37258.2 31248.6 lineto +37314.4 31361.1 lineto +37314.4 31586.1 lineto +37258.2 31698.6 lineto +37201.9 31754.8 lineto +37089.5 31811 lineto +stroke +newpath +38720.5 31136.1 moveto +38720.5 32317.2 lineto +stroke +newpath +39226.7 31136.1 moveto +39226.7 31754.8 lineto +39170.4 31867.3 lineto +39058 31923.5 lineto +38889.2 31923.5 lineto +38776.7 31867.3 lineto +38720.5 31811 lineto +stroke +newpath +39957.9 31136.1 moveto +39845.4 31192.4 lineto +39789.1 31248.6 lineto +39732.9 31361.1 lineto +39732.9 31698.6 lineto +39789.1 31811 lineto +39845.4 31867.3 lineto +39957.9 31923.5 lineto +40126.6 31923.5 lineto +40239.1 31867.3 lineto +40295.3 31811 lineto +40351.6 31698.6 lineto +40351.6 31361.1 lineto +40295.3 31248.6 lineto +40239.1 31192.4 lineto +40126.6 31136.1 lineto +39957.9 31136.1 lineto +stroke +newpath +41026.5 31136.1 moveto +40914 31192.4 lineto +40857.7 31304.8 lineto +40857.7 32317.2 lineto +stroke +newpath +41926.4 31192.4 moveto +41813.9 31136.1 lineto +41588.9 31136.1 lineto +41476.4 31192.4 lineto +41420.2 31304.8 lineto +41420.2 31754.8 lineto +41476.4 31867.3 lineto +41588.9 31923.5 lineto +41813.9 31923.5 lineto +41926.4 31867.3 lineto +41982.6 31754.8 lineto +41982.6 31642.3 lineto +41420.2 31529.8 lineto +stroke +newpath +42432.5 31192.4 moveto +42545 31136.1 lineto +42770 31136.1 lineto +42882.5 31192.4 lineto +42938.7 31304.8 lineto +42938.7 31361.1 lineto +42882.5 31473.6 lineto +42770 31529.8 lineto +42601.3 31529.8 lineto +42488.8 31586.1 lineto +42432.5 31698.6 lineto +42432.5 31754.8 lineto +42488.8 31867.3 lineto +42601.3 31923.5 lineto +42770 31923.5 lineto +42882.5 31867.3 lineto +stroke +newpath +43332.4 30686.2 moveto +43388.7 30742.4 lineto +43501.2 30911.1 lineto +43557.4 31023.6 lineto +43613.6 31192.4 lineto +43669.9 31473.6 lineto +43669.9 31698.6 lineto +43613.6 31979.8 lineto +43557.4 32148.5 lineto +43501.2 32261 lineto +43388.7 32429.7 lineto +43332.4 32486 lineto +stroke +newpath +16226.8 30555.1 moveto +16226.8 29667.7 lineto +stroke +newpath +15783.1 30111.4 moveto +16670.5 30111.4 lineto +stroke +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +18023.1 30758.2 moveto +18135.6 30758.2 lineto +18248.1 30701.9 lineto +18304.3 30645.7 lineto +18360.6 30533.2 lineto +18416.8 30308.2 lineto +18416.8 30027 lineto +18360.6 29802 lineto +18304.3 29689.6 lineto +18248.1 29633.3 lineto +18135.6 29577.1 lineto +18023.1 29577.1 lineto +17910.6 29633.3 lineto +17854.4 29689.6 lineto +17798.1 29802 lineto +17741.9 30027 lineto +17741.9 30308.2 lineto +17798.1 30533.2 lineto +17854.4 30645.7 lineto +17910.6 30701.9 lineto +18023.1 30758.2 lineto +stroke +newpath +18923 29689.6 moveto +18979.2 29633.3 lineto +18923 29577.1 lineto +18866.7 29633.3 lineto +18923 29689.6 lineto +18923 29577.1 lineto +stroke +newpath +19372.9 30758.2 moveto +20160.3 30758.2 lineto +19654.1 29577.1 lineto +stroke +newpath +21116.5 30758.2 moveto +20891.5 30758.2 lineto +20779 30701.9 lineto +20722.8 30645.7 lineto +20610.3 30477 lineto +20554 30252 lineto +20554 29802 lineto +20610.3 29689.6 lineto +20666.5 29633.3 lineto +20779 29577.1 lineto +21004 29577.1 lineto +21116.5 29633.3 lineto +21172.7 29689.6 lineto +21229 29802 lineto +21229 30083.3 lineto +21172.7 30195.7 lineto +21116.5 30252 lineto +21004 30308.2 lineto +20779 30308.2 lineto +20666.5 30252 lineto +20610.3 30195.7 lineto +20554 30083.3 lineto +stroke +newpath +21735.1 29577.1 moveto +21735.1 30364.5 lineto +stroke +newpath +21735.1 30252 moveto +21791.4 30308.2 lineto +21903.9 30364.5 lineto +22072.6 30364.5 lineto +22185.1 30308.2 lineto +22241.3 30195.7 lineto +22241.3 29577.1 lineto +stroke +newpath +22241.3 30195.7 moveto +22297.6 30308.2 lineto +22410.1 30364.5 lineto +22578.8 30364.5 lineto +22691.3 30308.2 lineto +22747.5 30195.7 lineto +22747.5 29577.1 lineto +stroke +newpath +23309.9 29577.1 moveto +23309.9 30364.5 lineto +stroke +newpath +23309.9 30252 moveto +23366.2 30308.2 lineto +23478.7 30364.5 lineto +23647.4 30364.5 lineto +23759.9 30308.2 lineto +23816.1 30195.7 lineto +23816.1 29577.1 lineto +stroke +newpath +23816.1 30195.7 moveto +23872.4 30308.2 lineto +23984.9 30364.5 lineto +24153.6 30364.5 lineto +24266.1 30308.2 lineto +24322.3 30195.7 lineto +24322.3 29577.1 lineto +stroke +newpath +26628.3 30814.4 moveto +25615.9 29295.9 lineto +stroke +newpath +28146.8 30758.2 moveto +28259.3 30758.2 lineto +28371.8 30701.9 lineto +28428 30645.7 lineto +28484.3 30533.2 lineto +28540.5 30308.2 lineto +28540.5 30027 lineto +28484.3 29802 lineto +28428 29689.6 lineto +28371.8 29633.3 lineto +28259.3 29577.1 lineto +28146.8 29577.1 lineto +28034.3 29633.3 lineto +27978.1 29689.6 lineto +27921.9 29802 lineto +27865.6 30027 lineto +27865.6 30308.2 lineto +27921.9 30533.2 lineto +27978.1 30645.7 lineto +28034.3 30701.9 lineto +28146.8 30758.2 lineto +stroke +newpath +29046.7 29689.6 moveto +29103 29633.3 lineto +29046.7 29577.1 lineto +28990.5 29633.3 lineto +29046.7 29689.6 lineto +29046.7 29577.1 lineto +stroke +newpath +29834.1 30758.2 moveto +29946.6 30758.2 lineto +30059.1 30701.9 lineto +30115.3 30645.7 lineto +30171.6 30533.2 lineto +30227.8 30308.2 lineto +30227.8 30027 lineto +30171.6 29802 lineto +30115.3 29689.6 lineto +30059.1 29633.3 lineto +29946.6 29577.1 lineto +29834.1 29577.1 lineto +29721.6 29633.3 lineto +29665.4 29689.6 lineto +29609.1 29802 lineto +29552.9 30027 lineto +29552.9 30308.2 lineto +29609.1 30533.2 lineto +29665.4 30645.7 lineto +29721.6 30701.9 lineto +29834.1 30758.2 lineto +stroke +newpath +30621.5 30758.2 moveto +31352.7 30758.2 lineto +30959 30308.2 lineto +31127.7 30308.2 lineto +31240.2 30252 lineto +31296.4 30195.7 lineto +31352.7 30083.3 lineto +31352.7 29802 lineto +31296.4 29689.6 lineto +31240.2 29633.3 lineto +31127.7 29577.1 lineto +30790.3 29577.1 lineto +30677.8 29633.3 lineto +30621.5 29689.6 lineto +stroke +newpath +32083.8 30758.2 moveto +32196.3 30758.2 lineto +32308.8 30701.9 lineto +32365.1 30645.7 lineto +32421.3 30533.2 lineto +32477.5 30308.2 lineto +32477.5 30027 lineto +32421.3 29802 lineto +32365.1 29689.6 lineto +32308.8 29633.3 lineto +32196.3 29577.1 lineto +32083.8 29577.1 lineto +31971.4 29633.3 lineto +31915.1 29689.6 lineto +31858.9 29802 lineto +31802.6 30027 lineto +31802.6 30308.2 lineto +31858.9 30533.2 lineto +31915.1 30645.7 lineto +31971.4 30701.9 lineto +32083.8 30758.2 lineto +stroke +newpath +32927.5 30758.2 moveto +32927.5 30533.2 lineto +stroke +newpath +33377.4 30758.2 moveto +33377.4 30533.2 lineto +stroke +newpath +35121 29127.1 moveto +35064.7 29183.4 lineto +34952.2 29352.1 lineto +34896 29464.6 lineto +34839.7 29633.3 lineto +34783.5 29914.5 lineto +34783.5 30139.5 lineto +34839.7 30420.7 lineto +34896 30589.4 lineto +34952.2 30701.9 lineto +35064.7 30870.7 lineto +35121 30926.9 lineto +stroke +newpath +35514.7 30645.7 moveto +35570.9 30701.9 lineto +35683.4 30758.2 lineto +35964.6 30758.2 lineto +36077.1 30701.9 lineto +36133.3 30645.7 lineto +36189.6 30533.2 lineto +36189.6 30420.7 lineto +36133.3 30252 lineto +35458.4 29577.1 lineto +36189.6 29577.1 lineto +stroke +newpath +37595.6 29577.1 moveto +37595.6 30758.2 lineto +stroke +newpath +38101.8 29577.1 moveto +38101.8 30195.7 lineto +38045.6 30308.2 lineto +37933.1 30364.5 lineto +37764.4 30364.5 lineto +37651.9 30308.2 lineto +37595.6 30252 lineto +stroke +newpath +38833 29577.1 moveto +38720.5 29633.3 lineto +38664.3 29689.6 lineto +38608 29802 lineto +38608 30139.5 lineto +38664.3 30252 lineto +38720.5 30308.2 lineto +38833 30364.5 lineto +39001.7 30364.5 lineto +39114.2 30308.2 lineto +39170.4 30252 lineto +39226.7 30139.5 lineto +39226.7 29802 lineto +39170.4 29689.6 lineto +39114.2 29633.3 lineto +39001.7 29577.1 lineto +38833 29577.1 lineto +stroke +newpath +39901.6 29577.1 moveto +39789.1 29633.3 lineto +39732.9 29745.8 lineto +39732.9 30758.2 lineto +stroke +newpath +40801.5 29633.3 moveto +40689 29577.1 lineto +40464 29577.1 lineto +40351.6 29633.3 lineto +40295.3 29745.8 lineto +40295.3 30195.7 lineto +40351.6 30308.2 lineto +40464 30364.5 lineto +40689 30364.5 lineto +40801.5 30308.2 lineto +40857.7 30195.7 lineto +40857.7 30083.3 lineto +40295.3 29970.8 lineto +stroke +newpath +41307.7 29633.3 moveto +41420.2 29577.1 lineto +41645.1 29577.1 lineto +41757.6 29633.3 lineto +41813.9 29745.8 lineto +41813.9 29802 lineto +41757.6 29914.5 lineto +41645.1 29970.8 lineto +41476.4 29970.8 lineto +41363.9 30027 lineto +41307.7 30139.5 lineto +41307.7 30195.7 lineto +41363.9 30308.2 lineto +41476.4 30364.5 lineto +41645.1 30364.5 lineto +41757.6 30308.2 lineto +stroke +newpath +42207.6 29127.1 moveto +42263.8 29183.4 lineto +42376.3 29352.1 lineto +42432.5 29464.6 lineto +42488.8 29633.3 lineto +42545 29914.5 lineto +42545 30139.5 lineto +42488.8 30420.7 lineto +42432.5 30589.4 lineto +42376.3 30701.9 lineto +42263.8 30870.7 lineto +42207.6 30926.9 lineto +stroke +newpath +16482.9 28099.4 moveto +16482.9 29005.2 lineto +15577.1 29005.2 lineto +15577.1 28099.4 lineto +16482.9 28099.4 lineto +poly0 +0 0 0 setrgbcolor +0 0 0 setrgbcolor +newpath +18416.8 28018 moveto +17741.9 28018 lineto +stroke +newpath +18079.3 28018 moveto +18079.3 29199.1 lineto +17966.9 29030.4 lineto +17854.4 28917.9 lineto +17741.9 28861.7 lineto +stroke +newpath +18923 28130.5 moveto +18979.2 28074.3 lineto +18923 28018 lineto +18866.7 28074.3 lineto +18923 28130.5 lineto +18923 28018 lineto +stroke +newpath +20104.1 28018 moveto +19429.2 28018 lineto +stroke +newpath +19766.6 28018 moveto +19766.6 29199.1 lineto +19654.1 29030.4 lineto +19541.7 28917.9 lineto +19429.2 28861.7 lineto +stroke +newpath +20835.2 29199.1 moveto +20947.7 29199.1 lineto +21060.2 29142.9 lineto +21116.5 29086.6 lineto +21172.7 28974.1 lineto +21229 28749.2 lineto +21229 28468 lineto +21172.7 28243 lineto +21116.5 28130.5 lineto +21060.2 28074.3 lineto +20947.7 28018 lineto +20835.2 28018 lineto +20722.8 28074.3 lineto +20666.5 28130.5 lineto +20610.3 28243 lineto +20554 28468 lineto +20554 28749.2 lineto +20610.3 28974.1 lineto +20666.5 29086.6 lineto +20722.8 29142.9 lineto +20835.2 29199.1 lineto +stroke +newpath +21735.1 28018 moveto +21735.1 28805.4 lineto +stroke +newpath +21735.1 28692.9 moveto +21791.4 28749.2 lineto +21903.9 28805.4 lineto +22072.6 28805.4 lineto +22185.1 28749.2 lineto +22241.3 28636.7 lineto +22241.3 28018 lineto +stroke +newpath +22241.3 28636.7 moveto +22297.6 28749.2 lineto +22410.1 28805.4 lineto +22578.8 28805.4 lineto +22691.3 28749.2 lineto +22747.5 28636.7 lineto +22747.5 28018 lineto +stroke +newpath +23309.9 28018 moveto +23309.9 28805.4 lineto +stroke +newpath +23309.9 28692.9 moveto +23366.2 28749.2 lineto +23478.7 28805.4 lineto +23647.4 28805.4 lineto +23759.9 28749.2 lineto +23816.1 28636.7 lineto +23816.1 28018 lineto +stroke +newpath +23816.1 28636.7 moveto +23872.4 28749.2 lineto +23984.9 28805.4 lineto +24153.6 28805.4 lineto +24266.1 28749.2 lineto +24322.3 28636.7 lineto +24322.3 28018 lineto +stroke +newpath +26628.3 29255.4 moveto +25615.9 27736.8 lineto +stroke +newpath +28146.8 29199.1 moveto +28259.3 29199.1 lineto +28371.8 29142.9 lineto +28428 29086.6 lineto +28484.3 28974.1 lineto +28540.5 28749.2 lineto +28540.5 28468 lineto +28484.3 28243 lineto +28428 28130.5 lineto +28371.8 28074.3 lineto +28259.3 28018 lineto +28146.8 28018 lineto +28034.3 28074.3 lineto +27978.1 28130.5 lineto +27921.9 28243 lineto +27865.6 28468 lineto +27865.6 28749.2 lineto +27921.9 28974.1 lineto +27978.1 29086.6 lineto +28034.3 29142.9 lineto +28146.8 29199.1 lineto +stroke +newpath +29046.7 28130.5 moveto +29103 28074.3 lineto +29046.7 28018 lineto +28990.5 28074.3 lineto +29046.7 28130.5 lineto +29046.7 28018 lineto +stroke +newpath +29834.1 29199.1 moveto +29946.6 29199.1 lineto +30059.1 29142.9 lineto +30115.3 29086.6 lineto +30171.6 28974.1 lineto +30227.8 28749.2 lineto +30227.8 28468 lineto +30171.6 28243 lineto +30115.3 28130.5 lineto +30059.1 28074.3 lineto +29946.6 28018 lineto +29834.1 28018 lineto +29721.6 28074.3 lineto +29665.4 28130.5 lineto +29609.1 28243 lineto +29552.9 28468 lineto +29552.9 28749.2 lineto +29609.1 28974.1 lineto +29665.4 29086.6 lineto +29721.6 29142.9 lineto +29834.1 29199.1 lineto +stroke +newpath +31240.2 28805.4 moveto +31240.2 28018 lineto +stroke +newpath +30959 29255.4 moveto +30677.8 28411.7 lineto +31408.9 28411.7 lineto +stroke +newpath +31746.4 29199.1 moveto +32477.5 29199.1 lineto +32083.8 28749.2 lineto +32252.6 28749.2 lineto +32365.1 28692.9 lineto +32421.3 28636.7 lineto +32477.5 28524.2 lineto +32477.5 28243 lineto +32421.3 28130.5 lineto +32365.1 28074.3 lineto +32252.6 28018 lineto +31915.1 28018 lineto +31802.6 28074.3 lineto +31746.4 28130.5 lineto +stroke +newpath +32927.5 29199.1 moveto +32927.5 28974.1 lineto +stroke +newpath +33377.4 29199.1 moveto +33377.4 28974.1 lineto +stroke +newpath +35121 27568.1 moveto +35064.7 27624.3 lineto +34952.2 27793 lineto +34896 27905.5 lineto +34839.7 28074.3 lineto +34783.5 28355.5 lineto +34783.5 28580.4 lineto +34839.7 28861.7 lineto +34896 29030.4 lineto +34952.2 29142.9 lineto +35064.7 29311.6 lineto +35121 29367.8 lineto +stroke +newpath +36133.3 29199.1 moveto +35570.9 29199.1 lineto +35514.7 28636.7 lineto +35570.9 28692.9 lineto +35683.4 28749.2 lineto +35964.6 28749.2 lineto +36077.1 28692.9 lineto +36133.3 28636.7 lineto +36189.6 28524.2 lineto +36189.6 28243 lineto +36133.3 28130.5 lineto +36077.1 28074.3 lineto +35964.6 28018 lineto +35683.4 28018 lineto +35570.9 28074.3 lineto +35514.7 28130.5 lineto +stroke +newpath +37595.6 28018 moveto +37595.6 29199.1 lineto +stroke +newpath +38101.8 28018 moveto +38101.8 28636.7 lineto +38045.6 28749.2 lineto +37933.1 28805.4 lineto +37764.4 28805.4 lineto +37651.9 28749.2 lineto +37595.6 28692.9 lineto +stroke +newpath +38833 28018 moveto +38720.5 28074.3 lineto +38664.3 28130.5 lineto +38608 28243 lineto +38608 28580.4 lineto +38664.3 28692.9 lineto +38720.5 28749.2 lineto +38833 28805.4 lineto +39001.7 28805.4 lineto +39114.2 28749.2 lineto +39170.4 28692.9 lineto +39226.7 28580.4 lineto +39226.7 28243 lineto +39170.4 28130.5 lineto +39114.2 28074.3 lineto +39001.7 28018 lineto +38833 28018 lineto +stroke +newpath +39901.6 28018 moveto +39789.1 28074.3 lineto +39732.9 28186.7 lineto +39732.9 29199.1 lineto +stroke +newpath +40801.5 28074.3 moveto +40689 28018 lineto +40464 28018 lineto +40351.6 28074.3 lineto +40295.3 28186.7 lineto +40295.3 28636.7 lineto +40351.6 28749.2 lineto +40464 28805.4 lineto +40689 28805.4 lineto +40801.5 28749.2 lineto +40857.7 28636.7 lineto +40857.7 28524.2 lineto +40295.3 28411.7 lineto +stroke +newpath +41307.7 28074.3 moveto +41420.2 28018 lineto +41645.1 28018 lineto +41757.6 28074.3 lineto +41813.9 28186.7 lineto +41813.9 28243 lineto +41757.6 28355.5 lineto +41645.1 28411.7 lineto +41476.4 28411.7 lineto +41363.9 28468 lineto +41307.7 28580.4 lineto +41307.7 28636.7 lineto +41363.9 28749.2 lineto +41476.4 28805.4 lineto +41645.1 28805.4 lineto +41757.6 28749.2 lineto +stroke +newpath +42207.6 27568.1 moveto +42263.8 27624.3 lineto +42376.3 27793 lineto +42432.5 27905.5 lineto +42488.8 28074.3 lineto +42545 28355.5 lineto +42545 28580.4 lineto +42488.8 28861.7 lineto +42432.5 29030.4 lineto +42376.3 29142.9 lineto +42263.8 29311.6 lineto +42207.6 29367.8 lineto +stroke +showpage +grestore +%%EOF diff --git a/gerber/Voyager128-top.pos b/gerber/Voyager128-top.pos new file mode 100644 index 0000000..4c5827d --- /dev/null +++ b/gerber/Voyager128-top.pos @@ -0,0 +1,22 @@ +### Module positions - created on Saturday, April 11, 2020 at 03:56:36 AM ### +### Printed by Pcbnew version kicad (5.1.5-0-10_14) +## Unit = mm, Angle = deg. +## Side : top +# Ref Val Package PosX PosY Rot Side +C1 2u2 C_0805 136.3100 -127.8890 180.0000 top +C2 2u2 C_0805 92.9640 -126.7850 90.0000 top +C3 2u2 C_0805 120.1810 -110.1090 180.0000 top +C4 2u2 C_0805 134.7225 -112.7760 180.0000 top +C5 2u2 C_0805 77.0500 -127.8890 0.0000 top +C6 2u2 C_0805 78.8670 -119.1650 90.0000 top +FID1 Fiducial Fiducial 136.9060 -106.5530 270.0000 top +FID2 Fiducial Fiducial 70.3580 -115.1890 90.0000 top +FID3 Fiducial Fiducial 78.9940 -106.5530 180.0000 top +FID4 Fiducial Fiducial 136.9060 -124.8410 0.0000 top +FID5 Fiducial Fiducial 70.3580 -129.5400 0.0000 top +R1 1k R_0805 122.8700 -129.5400 180.0000 top +U1 74HCT245 SOIC-20W_7.5mm 86.4870 -114.3000 180.0000 top +U2 LY621024 SOP-32_P1.27mm 102.3620 -118.1100 180.0000 top +U3 GAL22V10 PLCC-28 119.7610 -118.7450 0.0000 top +U4 74LS125 SOIC-14_3.9mm 133.0960 -118.7450 0.0000 top +## End diff --git a/gerber/Voyager128.drl b/gerber/Voyager128.drl new file mode 100644 index 0000000..b5c6d5d --- /dev/null +++ b/gerber/Voyager128.drl @@ -0,0 +1,223 @@ +M48 +; DRILL file {KiCad (5.1.5-0-10_14)} date Saturday, April 11, 2020 at 03:56:32 AM +; FORMAT={-:-/ absolute / inch / decimal} +; #@! TF.CreationDate,2020-04-11T03:56:32-04:00 +; #@! TF.GenerationSoftware,Kicad,Pcbnew,(5.1.5-0-10_14) +FMAT,2 +INCH +T1C0.0118 +T2C0.0157 +T3C0.0300 +T4C0.0433 +% +G90 +G05 +T1 +X2.95Y-5.17 +X3.05Y-5.17 +X3.135Y-4.86 +X3.15Y-5.17 +X3.1575Y-5.0525 +X3.16Y-4.275 +X3.16Y-4.325 +X3.16Y-4.375 +X3.16Y-4.425 +X3.16Y-4.475 +X3.16Y-4.525 +X3.16Y-4.575 +X3.16Y-4.625 +X3.2025Y-5.08 +X3.25Y-5.17 +X3.305Y-4.8 +X3.325Y-4.65 +X3.325Y-4.7 +X3.35Y-5.07 +X3.405Y-4.65 +X3.4175Y-4.5 +X3.45Y-5.17 +X3.47Y-4.965 +X3.4775Y-5.0725 +X3.5Y-4.675 +X3.505Y-4.22 +X3.525Y-4.91 +X3.535Y-5.03 +X3.55Y-5.17 +X3.65Y-5.17 +X3.67Y-4.765 +X3.68Y-4.5 +X3.68Y-4.8675 +X3.681Y-5.118 +X3.696Y-4.669 +X3.71Y-4.625 +X3.75Y-5.17 +X3.835Y-4.625 +X3.835Y-4.675 +X3.835Y-4.725 +X3.835Y-4.775 +X3.835Y-4.825 +X3.835Y-4.875 +X3.835Y-5.025 +X3.85Y-5.17 +X3.875Y-4.325 +X3.875Y-4.375 +X3.875Y-4.425 +X3.8775Y-4.865 +X3.93Y-4.72 +X3.945Y-4.45 +X3.95Y-5.17 +X3.995Y-4.4 +X4.02Y-4.45 +X4.045Y-4.35 +X4.045Y-4.6 +X4.05Y-4.9 +X4.05Y-5.025 +X4.05Y-5.075 +X4.05Y-5.17 +X4.07Y-4.4 +X4.07Y-4.475 +X4.07Y-4.525 +X4.075Y-4.815 +X4.15Y-4.85 +X4.15Y-4.95 +X4.15Y-5.17 +X4.1775Y-4.76 +X4.195Y-4.475 +X4.25Y-5.17 +X4.35Y-4.775 +X4.35Y-4.825 +X4.35Y-4.875 +X4.35Y-4.925 +X4.35Y-4.975 +X4.35Y-5.17 +X4.355Y-4.325 +X4.355Y-4.375 +X4.355Y-4.425 +X4.355Y-4.475 +X4.355Y-4.525 +X4.355Y-4.575 +X4.385Y-4.8 +X4.385Y-4.85 +X4.4225Y-5.075 +X4.445Y-4.525 +X4.445Y-4.575 +X4.445Y-4.625 +X4.445Y-4.775 +X4.45Y-5.17 +X4.4725Y-4.985 +X4.5Y-4.375 +X4.54Y-4.985 +X4.55Y-4.325 +X4.55Y-5.17 +X4.565Y-4.405 +X4.59Y-5.06 +X4.615Y-4.405 +X4.615Y-4.945 +X4.65Y-5.17 +X4.66Y-4.24 +X4.665Y-4.405 +X4.665Y-4.8475 +X4.75Y-5.17 +X4.765Y-4.945 +X4.8Y-5.145 +X4.815Y-4.945 +X4.85Y-5.17 +X4.855Y-5.0 +X4.865Y-4.945 +X4.87Y-4.24 +X4.945Y-5.1 +X4.95Y-5.17 +X4.985Y-4.725 +X4.985Y-4.825 +X5.035Y-5.0 +X5.05Y-5.17 +X5.085Y-4.675 +X5.15Y-5.17 +X5.2Y-4.675 +X5.2Y-5.16 +X5.25Y-5.17 +X5.27Y-4.575 +X5.28Y-4.775 +X5.3Y-5.16 +X5.35Y-5.17 +X5.4Y-4.985 +X5.45Y-5.17 +T2 +X2.71Y-4.515 +X2.71Y-4.715 +X2.71Y-4.915 +X2.71Y-5.115 +X2.755Y-5.16 +X2.81Y-5.015 +X2.835Y-4.39 +X2.86Y-4.61 +X2.965Y-4.26 +X3.0Y-4.6575 +X3.065Y-5.085 +X3.07Y-4.985 +X3.09Y-4.135 +X3.11Y-5.035 +X3.16Y-4.725 +X3.2225Y-4.76 +X3.245Y-4.135 +X3.285Y-4.725 +X3.445Y-4.135 +X3.525Y-4.275 +X3.5875Y-4.24 +X3.61Y-4.96 +X3.61Y-5.025 +X3.645Y-4.135 +X3.65Y-4.275 +X3.66Y-4.915 +X3.7Y-4.3 +X3.71Y-5.025 +X3.845Y-4.135 +X4.045Y-4.135 +X4.225Y-4.275 +X4.245Y-4.135 +X4.2875Y-4.24 +X4.355Y-4.275 +X4.445Y-4.135 +X4.645Y-4.135 +X4.655Y-4.335 +X4.665Y-4.95 +X4.7Y-4.285 +X4.765Y-4.285 +X4.765Y-4.385 +X4.765Y-4.51 +X4.81Y-4.335 +X4.845Y-4.135 +X5.045Y-4.135 +X5.08Y-4.525 +X5.08Y-4.825 +X5.1425Y-4.49 +X5.1425Y-4.86 +X5.205Y-4.525 +X5.205Y-4.825 +X5.2275Y-4.44 +X5.245Y-4.135 +X5.27Y-4.39 +X5.275Y-4.525 +X5.29Y-5.035 +X5.335Y-4.985 +X5.335Y-5.085 +X5.3375Y-4.39 +X5.3375Y-4.49 +X5.38Y-4.44 +X5.4Y-4.525 +X5.405Y-4.135 +X5.45Y-4.18 +X5.45Y-4.34 +X5.45Y-4.54 +X5.45Y-4.74 +X5.45Y-4.94 +T3 +X3.0Y-5.1 +X5.4Y-5.1 +T4 +X5.29Y-4.195 +X3.21Y-4.195 +X2.77Y-4.635 +X5.25Y-5.1 +X2.87Y-5.1 +T0 +M30 diff --git a/pal/GW4208-UES-GW4208A0.JED b/pal/GW4208-UES-GW4208A0.JED new file mode 100755 index 0000000..ff2e025 --- /dev/null +++ b/pal/GW4208-UES-GW4208A0.JED @@ -0,0 +1,176 @@ + +PALASM4 PAL ASSEMBLER - MARKET RELEASE 1.5a (8-20-92) + (C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1992 + + +TITLE :GW4208 AUTHOR :Garrett's Workshop +PATTERN : COMPANY:02/18/20 +REVISION:Zane Kaminski DATE :02/18/20 + + +Device G22V10 converted from PAL22V10 JEDEC map. +PAL to GAL JEDEC conversion utility - paltogal v3.12 17 November 1992 +Copyright (c) by LATTICE Semiconductor Corp. 1990, 1991, 1992. +Input File: \\vmware-host\shared folders\repos\voyager128\pal\gw4208.jed +Output File: gw4208-g.jed +The Date: Tue May 05 22:24:31 2020 + + +PAL22V10 +_GW4208* +QP24* +QF5892* +G0*F0* + +L00000 11111111111111111111111111111111111111111110* + +L00044 11111111111111111111111111111111111111111111* +L00088 11111011111011111111111101111111011101110111* +L00132 11111011111011111111111111110111011101110111* +L00176 11111010111111111111111101111111011101111011* +L00220 11111010111111111111111111110111011101111011* +L00264 11111010111011111111111101111111011101111111* +L00308 11111010111011111111111111110111011101111111* +L00352 00000000000000000000000000000000000000000000* +L00396 00000000000000000000000000000000000000000000* + +L00440 11111111111111111111111111111111111111111111* +L00484 11111110111111110111111111111111111111111111* +L00528 11111111011111111011111111101111111111111111* +L00572 00000000000000000000000000000000000000000000* +L00616 00000000000000000000000000000000000000000000* +L00660 00000000000000000000000000000000000000000000* +L00704 00000000000000000000000000000000000000000000* +L00748 00000000000000000000000000000000000000000000* +L00792 00000000000000000000000000000000000000000000* +L00836 00000000000000000000000000000000000000000000* +L00880 00000000000000000000000000000000000000000000* + +L00924 11111111111111111111111111111111111111111111* +L00968 11111111111011110111111111111111111111111111* +L01012 11111111101110111011111111111111111111111111* +L01056 11111111011101111011111111111111111111111111* +L01100 00000000000000000000000000000000000000000000* +L01144 00000000000000000000000000000000000000000000* +L01188 00000000000000000000000000000000000000000000* +L01232 00000000000000000000000000000000000000000000* +L01276 00000000000000000000000000000000000000000000* +L01320 00000000000000000000000000000000000000000000* +L01364 00000000000000000000000000000000000000000000* +L01408 00000000000000000000000000000000000000000000* +L01452 00000000000000000000000000000000000000000000* + +L01496 11111111111111111111111111111111111111111111* +L01540 11111111111111100111111111111111111111111111* +L01584 11111111111111111011011111111111111111111111* +L01628 00000000000000000000000000000000000000000000* +L01672 00000000000000000000000000000000000000000000* +L01716 00000000000000000000000000000000000000000000* +L01760 00000000000000000000000000000000000000000000* +L01804 00000000000000000000000000000000000000000000* +L01848 00000000000000000000000000000000000000000000* +L01892 00000000000000000000000000000000000000000000* +L01936 00000000000000000000000000000000000000000000* +L01980 00000000000000000000000000000000000000000000* +L02024 00000000000000000000000000000000000000000000* +L02068 00000000000000000000000000000000000000000000* +L02112 00000000000000000000000000000000000000000000* + +L02156 11111111111111111111111111111111111111111111* +L02200 11111111111011111111111101111111011101110111* +L02244 11111111111011111111111111110111011101110111* +L02288 11111110111111111111111101111111011101111011* +L02332 11111110111111111111111111110111011101111011* +L02376 11111110111011111111111101111111011101111111* +L02420 11111110111011111111111111110111011101111111* +L02464 00000000000000000000000000000000000000000000* +L02508 00000000000000000000000000000000000000000000* +L02552 00000000000000000000000000000000000000000000* +L02596 00000000000000000000000000000000000000000000* +L02640 00000000000000000000000000000000000000000000* +L02684 00000000000000000000000000000000000000000000* +L02728 00000000000000000000000000000000000000000000* +L02772 00000000000000000000000000000000000000000000* +L02816 00000000000000000000000000000000000000000000* +L02860 00000000000000000000000000000000000000000000* + +L02904 11111111111111111111111111111111111111111111* +L02948 11111111111111101111111111111011111111111111* +L02992 11111111111111111111111101110111111111111111* +L03036 00000000000000000000000000000000000000000000* +L03080 00000000000000000000000000000000000000000000* +L03124 00000000000000000000000000000000000000000000* +L03168 00000000000000000000000000000000000000000000* +L03212 00000000000000000000000000000000000000000000* +L03256 00000000000000000000000000000000000000000000* +L03300 00000000000000000000000000000000000000000000* +L03344 00000000000000000000000000000000000000000000* +L03388 00000000000000000000000000000000000000000000* +L03432 00000000000000000000000000000000000000000000* +L03476 00000000000000000000000000000000000000000000* +L03520 00000000000000000000000000000000000000000000* +L03564 00000000000000000000000000000000000000000000* +L03608 00000000000000000000000000000000000000000000* + +L03652 11111111111111111111111111111111111111111111* +L03696 11111111111111110111111111101111111111111111* +L03740 11111111011111111011111111111111111111111111* +L03784 00000000000000000000000000000000000000000000* +L03828 00000000000000000000000000000000000000000000* +L03872 00000000000000000000000000000000000000000000* +L03916 00000000000000000000000000000000000000000000* +L03960 00000000000000000000000000000000000000000000* +L04004 00000000000000000000000000000000000000000000* +L04048 00000000000000000000000000000000000000000000* +L04092 00000000000000000000000000000000000000000000* +L04136 00000000000000000000000000000000000000000000* +L04180 00000000000000000000000000000000000000000000* +L04224 00000000000000000000000000000000000000000000* +L04268 00000000000000000000000000000000000000000000* + +L04312 11111111111111111111111111111111111111111111* +L04356 11111111011111110111111111111111111111111111* +L04400 11111111111111111011111111111110111111111111* +L04444 00000000000000000000000000000000000000000000* +L04488 00000000000000000000000000000000000000000000* +L04532 00000000000000000000000000000000000000000000* +L04576 00000000000000000000000000000000000000000000* +L04620 00000000000000000000000000000000000000000000* +L04664 00000000000000000000000000000000000000000000* +L04708 00000000000000000000000000000000000000000000* +L04752 00000000000000000000000000000000000000000000* +L04796 00000000000000000000000000000000000000000000* +L04840 00000000000000000000000000000000000000000000* + +L04884 11111111111111111111111111111111111111111111* +L04928 11111111111101110111111111111111111111111111* +L04972 11111111111111111011111111111111111011111111* +L05016 00000000000000000000000000000000000000000000* +L05060 00000000000000000000000000000000000000000000* +L05104 00000000000000000000000000000000000000000000* +L05148 00000000000000000000000000000000000000000000* +L05192 00000000000000000000000000000000000000000000* +L05236 00000000000000000000000000000000000000000000* +L05280 00000000000000000000000000000000000000000000* +L05324 00000000000000000000000000000000000000000000* + +L05368 11111111111111111111111111111111111111111111* +L05412 11111111111111110111011111111111111111111111* +L05456 11111111111111111011111111111111111111101111* +L05500 00000000000000000000000000000000000000000000* +L05544 00000000000000000000000000000000000000000000* +L05588 00000000000000000000000000000000000000000000* +L05632 00000000000000000000000000000000000000000000* +L05676 00000000000000000000000000000000000000000000* +L05720 00000000000000000000000000000000000000000000* + +L05764 00000000000000000000000000000000000000000000* + +N ==> Architecture Control * +L05808 01101010011110101010* +N ==> Signature is "GW4208A0" * +L05828 01000111010101110011010000110010001100000011* +L05872 10000100000100110000* +CD6F6* + +0000 diff --git a/pal/GW4208.JED b/pal/GW4208.JED new file mode 100644 index 0000000..2644047 --- /dev/null +++ b/pal/GW4208.JED @@ -0,0 +1,150 @@ + +PALASM4 PAL ASSEMBLER - MARKET RELEASE 1.5a (8-20-92) + (C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1992 + + +TITLE :GW4208 AUTHOR :Garrett's Workshop +PATTERN : COMPANY:02/18/20 +REVISION:Zane Kaminski DATE :02/18/20 + + +PAL22V10 +_GW4208* +QP24* +QF5828* +G0*F0* +L0000 11111111111111111111111111111111111111111110* +L0044 11111111111111111111111111111111111111111111* +L0088 11111011111011111111111101111111011101110111* +L0132 11111011111011111111111111110111011101110111* +L0176 11111010111111111111111101111111011101111011* +L0220 11111010111111111111111111110111011101111011* +L0264 11111010111011111111111101111111011101111111* +L0308 11111010111011111111111111110111011101111111* +L0352 00000000000000000000000000000000000000000000* +L0396 00000000000000000000000000000000000000000000* +L0440 11111111111111111111111111111111111111111111* +L0484 11111110111111110111111111111111111111111111* +L0528 11111111011111111011111111101111111111111111* +L0572 00000000000000000000000000000000000000000000* +L0616 00000000000000000000000000000000000000000000* +L0660 00000000000000000000000000000000000000000000* +L0704 00000000000000000000000000000000000000000000* +L0748 00000000000000000000000000000000000000000000* +L0792 00000000000000000000000000000000000000000000* +L0836 00000000000000000000000000000000000000000000* +L0880 00000000000000000000000000000000000000000000* +L0924 11111111111111111111111111111111111111111111* +L0968 11111111111011110111111111111111111111111111* +L1012 11111111101110111011111111111111111111111111* +L1056 11111111011101111011111111111111111111111111* +L1100 00000000000000000000000000000000000000000000* +L1144 00000000000000000000000000000000000000000000* +L1188 00000000000000000000000000000000000000000000* +L1232 00000000000000000000000000000000000000000000* +L1276 00000000000000000000000000000000000000000000* +L1320 00000000000000000000000000000000000000000000* +L1364 00000000000000000000000000000000000000000000* +L1408 00000000000000000000000000000000000000000000* +L1452 00000000000000000000000000000000000000000000* +L1496 11111111111111111111111111111111111111111111* +L1540 11111111111111100111111111111111111111111111* +L1584 11111111111111111011011111111111111111111111* +L1628 00000000000000000000000000000000000000000000* +L1672 00000000000000000000000000000000000000000000* +L1716 00000000000000000000000000000000000000000000* +L1760 00000000000000000000000000000000000000000000* +L1804 00000000000000000000000000000000000000000000* +L1848 00000000000000000000000000000000000000000000* +L1892 00000000000000000000000000000000000000000000* +L1936 00000000000000000000000000000000000000000000* +L1980 00000000000000000000000000000000000000000000* +L2024 00000000000000000000000000000000000000000000* +L2068 00000000000000000000000000000000000000000000* +L2112 00000000000000000000000000000000000000000000* +L2156 11111111111111111111111111111111111111111111* +L2200 11111111111011111111111101111111011101110111* +L2244 11111111111011111111111111110111011101110111* +L2288 11111110111111111111111101111111011101111011* +L2332 11111110111111111111111111110111011101111011* +L2376 11111110111011111111111101111111011101111111* +L2420 11111110111011111111111111110111011101111111* +L2464 00000000000000000000000000000000000000000000* +L2508 00000000000000000000000000000000000000000000* +L2552 00000000000000000000000000000000000000000000* +L2596 00000000000000000000000000000000000000000000* +L2640 00000000000000000000000000000000000000000000* +L2684 00000000000000000000000000000000000000000000* +L2728 00000000000000000000000000000000000000000000* +L2772 00000000000000000000000000000000000000000000* +L2816 00000000000000000000000000000000000000000000* +L2860 00000000000000000000000000000000000000000000* +L2904 11111111111111111111111111111111111111111111* +L2948 11111111111111101111111111111011111111111111* +L2992 11111111111111111111111101110111111111111111* +L3036 00000000000000000000000000000000000000000000* +L3080 00000000000000000000000000000000000000000000* +L3124 00000000000000000000000000000000000000000000* +L3168 00000000000000000000000000000000000000000000* +L3212 00000000000000000000000000000000000000000000* +L3256 00000000000000000000000000000000000000000000* +L3300 00000000000000000000000000000000000000000000* +L3344 00000000000000000000000000000000000000000000* +L3388 00000000000000000000000000000000000000000000* +L3432 00000000000000000000000000000000000000000000* +L3476 00000000000000000000000000000000000000000000* +L3520 00000000000000000000000000000000000000000000* +L3564 00000000000000000000000000000000000000000000* +L3608 00000000000000000000000000000000000000000000* +L3652 11111111111111111111111111111111111111111111* +L3696 11111111111111110111111111101111111111111111* +L3740 11111111011111111011111111111111111111111111* +L3784 00000000000000000000000000000000000000000000* +L3828 00000000000000000000000000000000000000000000* +L3872 00000000000000000000000000000000000000000000* +L3916 00000000000000000000000000000000000000000000* +L3960 00000000000000000000000000000000000000000000* +L4004 00000000000000000000000000000000000000000000* +L4048 00000000000000000000000000000000000000000000* +L4092 00000000000000000000000000000000000000000000* +L4136 00000000000000000000000000000000000000000000* +L4180 00000000000000000000000000000000000000000000* +L4224 00000000000000000000000000000000000000000000* +L4268 00000000000000000000000000000000000000000000* +L4312 11111111111111111111111111111111111111111111* +L4356 11111111011111110111111111111111111111111111* +L4400 11111111111111111011111111111110111111111111* +L4444 00000000000000000000000000000000000000000000* +L4488 00000000000000000000000000000000000000000000* +L4532 00000000000000000000000000000000000000000000* +L4576 00000000000000000000000000000000000000000000* +L4620 00000000000000000000000000000000000000000000* +L4664 00000000000000000000000000000000000000000000* +L4708 00000000000000000000000000000000000000000000* +L4752 00000000000000000000000000000000000000000000* +L4796 00000000000000000000000000000000000000000000* +L4840 00000000000000000000000000000000000000000000* +L4884 11111111111111111111111111111111111111111111* +L4928 11111111111101110111111111111111111111111111* +L4972 11111111111111111011111111111111111011111111* +L5016 00000000000000000000000000000000000000000000* +L5060 00000000000000000000000000000000000000000000* +L5104 00000000000000000000000000000000000000000000* +L5148 00000000000000000000000000000000000000000000* +L5192 00000000000000000000000000000000000000000000* +L5236 00000000000000000000000000000000000000000000* +L5280 00000000000000000000000000000000000000000000* +L5324 00000000000000000000000000000000000000000000* +L5368 11111111111111111111111111111111111111111111* +L5412 11111111111111110111011111111111111111111111* +L5456 11111111111111111011111111111111111111101111* +L5500 00000000000000000000000000000000000000000000* +L5544 00000000000000000000000000000000000000000000* +L5588 00000000000000000000000000000000000000000000* +L5632 00000000000000000000000000000000000000000000* +L5676 00000000000000000000000000000000000000000000* +L5720 00000000000000000000000000000000000000000000* +L5764 00000000000000000000000000000000000000000000* +L5808 01101010011110101010* +CD22B* +1AB5 diff --git a/pal/GW4208.SIM b/pal/GW4208.SIM new file mode 100644 index 0000000..ac1cda9 --- /dev/null +++ b/pal/GW4208.SIM @@ -0,0 +1,124 @@ +SIMULATION + +TRACE_ON PHI1 /DEVSEL A0 A1 A2 A3 A12 A13 A14 A15 /WE /RES RA16 RA15 RA14 RA12 /INH /RCS BankAB ENRD ENWR ENWR0 + +SETF PHI1 /DEVSEL /A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE RES +SETF PHI1 /DEVSEL /A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE RES + +SETF PHI1 /DEVSEL /A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL /A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL /A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL /A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES + + +SETF PHI1 /DEVSEL /A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL /A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL /A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL /A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL /A3 A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL /A3 A2 A1 A0 A12 A13 /A14 /A15 /WE /RES + + +SETF PHI1 /DEVSEL /A3 A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL A3 /A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL A3 /A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL A3 /A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES + + +SETF PHI1 /DEVSEL A3 /A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL A3 A2 /A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL A3 A2 /A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES + +SETF PHI1 /DEVSEL A3 A2 A1 /A0 A12 A13 /A14 /A15 /WE /RES +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 A1 A0 A12 A13 /A14 /A15 /WE /RES +SETF /PHI1 DEVSEL A3 A2 A1 A0 A12 A13 /A14 /A15 /WE /RES + + +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES + +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 WE /RES +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 WE /RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 WE /RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 WE /RES + +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE RES +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE RES +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE RES + +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES +SETF /PHI1 /DEVSEL A3 A2 A1 A0 A12 A13 A14 A15 /WE /RES + + + + +TRACE_OFF \ No newline at end of file diff --git a/pal/GW4208.TRF b/pal/GW4208.TRF new file mode 100644 index 0000000..4b47760 --- /dev/null +++ b/pal/GW4208.TRF @@ -0,0 +1,62 @@ +PALASM4 PLDSIM - MARKET RELEASE 1.5 (7-10-92) + (C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1992 + +PALASM SIMULATION SELECTIVE TRACE LISTING + +Title : GW4208 Author : Garrett's Worksho +Pattern : Company : 02/18/20 +Revision : Zane Kaminski Date : 02/18/20 + +PAL22V10 +Page : 1 + + gggggggggggggggggggggggggggggggggggggggggggggggggggggggggggg + PHI1 HHHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHH +/DEVSEL HHHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHHLLHH + A0 LLLLLLLHHHHLLLLHHHHHHHHLLLLHHHHLLLLHHHHLLLLHHHHLLLLHHHHLLLLH + A1 LLLLLLLLLLLHHHHHHHHHHHHLLLLLLLLHHHHHHHHLLLLLLLLHHHHHHHHLLLLL + A2 LLLLLLLLLLLLLLLLLLLLLLLHHHHHHHHHHHHHHHHLLLLLLLLLLLLLLLLHHHHH + A3 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHHHHHHHHHHHHHHHHHHHHH + A12 HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + A13 HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + A14 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL + A15 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL +/WE HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH +/RES LLHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + RA16 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHH + RA15 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHHHHHHHHHHHHHHHHHHHHHHHHLL + RA14 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHHHHLLLLHHHHHHHHHHHHHHHHHHHHLL + RA12 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLL +/INH HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH +/RCS HHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + BANKAB LLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLLHHHHHHHHHHHHHHHHHH + ENRD LLLLLLHHHHLLLLLLLLHHHHHHHHHHHHHHHHHHHHHHHHHHHHLLLLLLLLHHHHHH + ENWR LLLLLLLLLLLLLLLLLLLLLLHHHHHHHHHHHHHHHHHHHHLLLLLLLLLLLLLLLLLL + ENWR0 LLLLLLLLLLHHHHLLLLHHHHHHHHHHHHHHHHHHHHHHHHLLLLHHHHLLLLHHHHHH + +PAL22V10 +Page : 2 + gggggggggggggggggggggggggggggg + PHI1 LLHHLLHHLLHHLLHHLLHHLLHHLLHHLL +/DEVSEL LLHHLLHHLLHHHHHHHHHHHHHHHHHHHH + A0 HHHLLLLHHHHHHHHHHHHHHHHHHHHHHH + A1 LLLHHHHHHHHHHHHHHHHHHHHHHHHHHH + A2 HHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + A3 HHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + A12 HHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + A13 HHHHHHHHHHHHHHHHHHHHHHHHHHHHHH + A14 LLLLLLLLLLHHHHHHHHHHHHHHHHHHHH + A15 LLLLLLLLLLHHHHHHHHHHHHHHHHHHHH +/WE HHHHHHHHHHHHHHLLLLHHHHHHHHHHHH +/RES HHHHHHHHHHHHHHHHHHHHLLLLLLHHHH + RA16 HHHHHHHHHHHHHHHHHHHHLLLLLLLLLL + RA15 LLLLLLHHHHHHHHHHHHHHLLLLLLLLLL + RA14 LLHHHHLLLLHHHHHHHHHHLLLLLLLLLL + RA12 LLLLLLLLLLLLLLLLLLLLLLLLLLLLLL +/INH HHHHHHHHHHLLLLHHHHLLHHHHHHHHHH +/RCS HHHHHHHHHHHHLLHHHHHHHHHHHHHHHH + BANKAB HHHHHHHHHHHHHHHHHHHHLLLLLLLLLL + ENRD HHHHHHHHHHHHHHHHHHHHLLLLLLLLLL + ENWR LLLLLLLLLLLLLLLLLLLLLLLLLLLLLL + ENWR0 HHHHHHHHHHHHHHHHHHHHLLLLLLLLLL + diff --git a/pal/GW4208.XPT b/pal/GW4208.XPT new file mode 100644 index 0000000..96eebdf --- /dev/null +++ b/pal/GW4208.XPT @@ -0,0 +1,172 @@ + +PALASM4 PAL ASSEMBLER - MARKET RELEASE 1.5a (8-20-92) + (C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1992 + + +TITLE :GW4208 AUTHOR :Garrett's Workshop +PATTERN : COMPANY:02/18/20 +REVISION:Zane Kaminski DATE :02/18/20 + +PAL22V10 +_GW4208 + + 11 1111 1111 2222 2222 2233 3333 3333 4444 + 0123 4567 8901 2345 6789 0123 4567 8901 2345 6789 0123 + +0 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---X + +1 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +2 ---- -X-- ---X ---- ---- ---- X--- ---- X--- X--- X--- +3 ---- -X-- ---X ---- ---- ---- ---- X--- X--- X--- X--- +4 ---- -X-X ---- ---- ---- ---- X--- ---- X--- X--- -X-- +5 ---- -X-X ---- ---- ---- ---- ---- X--- X--- X--- -X-- +6 ---- -X-X ---X ---- ---- ---- X--- ---- X--- X--- ---- +7 ---- -X-X ---X ---- ---- ---- ---- X--- X--- X--- ---- +8 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +9 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +10 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +11 ---- ---X ---- ---- X--- ---- ---- ---- ---- ---- ---- +12 ---- ---- X--- ---- -X-- ---- ---X ---- ---- ---- ---- +13 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +14 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +15 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +16 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +17 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +18 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +19 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +20 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +21 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +22 ---- ---- ---X ---- X--- ---- ---- ---- ---- ---- ---- +23 ---- ---- -X-- -X-- -X-- ---- ---- ---- ---- ---- ---- +24 ---- ---- X--- X--- -X-- ---- ---- ---- ---- ---- ---- +25 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +26 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +27 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +28 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +29 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +30 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +31 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +32 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +33 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +34 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +35 ---- ---- ---- ---X X--- ---- ---- ---- ---- ---- ---- +36 ---- ---- ---- ---- -X-- X--- ---- ---- ---- ---- ---- +37 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +38 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +39 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +40 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +41 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +42 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +43 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +44 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +45 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +46 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +47 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +48 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +49 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +50 ---- ---- ---X ---- ---- ---- X--- ---- X--- X--- X--- +51 ---- ---- ---X ---- ---- ---- ---- X--- X--- X--- X--- +52 ---- ---X ---- ---- ---- ---- X--- ---- X--- X--- -X-- +53 ---- ---X ---- ---- ---- ---- ---- X--- X--- X--- -X-- +54 ---- ---X ---X ---- ---- ---- X--- ---- X--- X--- ---- +55 ---- ---X ---X ---- ---- ---- ---- X--- X--- X--- ---- +56 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +57 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +58 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +59 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +60 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +61 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +62 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +63 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +64 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +65 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +66 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +67 ---- ---- ---- ---X ---- ---- ---- -X-- ---- ---- ---- +68 ---- ---- ---- ---- ---- ---- X--- X--- ---- ---- ---- +69 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +70 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +71 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +72 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +73 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +74 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +75 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +76 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +77 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +78 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +79 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +80 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +81 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +82 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +83 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +84 ---- ---- ---- ---- X--- ---- ---X ---- ---- ---- ---- +85 ---- ---- X--- ---- -X-- ---- ---- ---- ---- ---- ---- +86 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +87 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +88 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +89 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +90 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +91 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +92 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +93 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +94 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +95 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +96 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +97 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +98 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +99 ---- ---- X--- ---- X--- ---- ---- ---- ---- ---- ---- +100 ---- ---- ---- ---- -X-- ---- ---- ---X ---- ---- ---- +101 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +102 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +103 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +104 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +105 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +106 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +107 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +108 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +109 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +110 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +111 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +112 ---- ---- ---- X--- X--- ---- ---- ---- ---- ---- ---- +113 ---- ---- ---- ---- -X-- ---- ---- ---- ---X ---- ---- +114 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +115 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +116 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +117 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +118 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +119 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +120 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +121 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +122 ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- ---- +123 ---- ---- ---- ---- X--- X--- ---- ---- ---- ---- ---- +124 ---- ---- ---- ---- -X-- ---- ---- ---- ---- ---X ---- +125 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +126 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +127 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +128 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +129 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX +130 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + +131 XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX XXXX + + SUMMARY + ------- + + OUTPUT PINS: 1111112222 + 4567890123 + POLARITY FUSES: -----X---X + + OUTPUT PINS: 1111112222 + 4567890123 + REG BYPASS FUSES: XXXX--XXX- + + TOTAL FUSES BLOWN = 1667 + diff --git a/pal/GW4208.pds b/pal/GW4208.pds new file mode 100644 index 0000000..d7256bb --- /dev/null +++ b/pal/GW4208.pds @@ -0,0 +1,78 @@ +;PALASM Design Description + +;---------------------------------- Declaration Segment ------------ +TITLE GW4208 +PATTERN +REVISION Zane Kaminski +AUTHOR Garrett's Workshop +COMPANY 02/18/20 +DATE 02/18/20 + +CHIP _GW4208 PAL22V10 + +;---------------------------------- PIN Declarations --------------- +NODE 1 GLOBAL ; +PIN 1 /DEVSEL ; +PIN 2 PHI1 ; +PIN 3 A0 ; +PIN 4 A1 ; +PIN 5 A2 ; +PIN 6 A3 ; +PIN 7 A12 ; +PIN 8 A13 ; +PIN 9 A14 ; +PIN 10 A15 ; +PIN 11 /WE ; +PIN 13 /RES ; +PIN 14 RA16 REGISTERED ; +PIN 15 RA15 REGISTERED ; +PIN 16 RA14 REGISTERED ; +PIN 18 RA12 COMBINATORIAL ; +PIN 19 /INH COMBINATORIAL ; +PIN 23 /RCS COMBINATORIAL ; +PIN 20 BankAB REGISTERED ; +PIN 21 ENRD REGISTERED ; +PIN 22 ENWR REGISTERED ; +PIN 17 PREWR REGISTERED ; + +;----------------------------------- Boolean Equation Segment ------ +EQUATIONS + +; Registered +GLOBAL.RSTF = RES + +; if A2... +RA16 = (A2 * A3) + (/A2 * RA16) +RA15 = (A2 * A1) + (/A2 * RA15) +RA14 = (A2 * A0) + (/A2 * RA14) + +; if /A2... +BankAB = ( A2 * BankAB) + + (/A2 * A3) + +ENRD = ( A2 * ENRD) + + (/A2 * /A0 * /A1) + + (/A2 * A0 * A1) + +PREWR = ( A2 * PREWR) + + (/A2 * A0) + +ENWR = ( A2 * ENWR) + + (/A2 * A0 * PREWR) + +; Combinational +INH = A15 * A14 * A12 * ENRD * /WE + + A15 * A14 * A13 * ENRD * /WE + + A15 * A14 * A12 * ENWR * WE + + A15 * A14 * A13 * ENWR * WE + + A15 * A14 * A12 * ENRD * ENWR + + A15 * A14 * A13 * ENRD * ENWR + +RCS = /PHI1 * A15 * A14 * A12 * ENRD * /WE + + /PHI1 * A15 * A14 * A13 * ENRD * /WE + + /PHI1 * A15 * A14 * A12 * ENWR * WE + + /PHI1 * A15 * A14 * A13 * ENWR * WE + + /PHI1 * A15 * A14 * A12 * ENRD * ENWR + + /PHI1 * A15 * A14 * A13 * ENRD * ENWR + +RA12 = /A13 * BankAB + A13 * A12 diff --git a/pal/PALASM.LOG b/pal/PALASM.LOG new file mode 100644 index 0000000..3ffe71a --- /dev/null +++ b/pal/PALASM.LOG @@ -0,0 +1,116 @@ +Gw4208.pds + +PALASM4 PARSER - MARKET RELEASE 1.5 (7-10-92) + (C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1992 + + + *********************************** + * PALASM PARSER LISTING * + *********************************** + +LINE # |----+----1----+----2----+----3----+----4----+----5----+----6----+ + 1 |;PALASM Design Description + 2 | + 3 |;---------------------------------- Declaration Segment ------------ + 4 |TITLE GW4208 + 5 |PATTERN + 6 |REVISION Zane Kaminski + 7 |AUTHOR Garrett's Workshop + 8 |COMPANY 02/18/20 + 9 |DATE 02/18/20 + 10 | + 11 |CHIP _GW4208 PAL22V10 + 12 | + 13 |;---------------------------------- PIN Declarations --------------- + 14 |NODE 1 GLOBAL ; + 15 |PIN 1 /DEVSEL ; + 16 |PIN 2 PHI1 ; + 17 |PIN 3 A0 ; + 18 |PIN 4 A1 ; + 19 |PIN 5 A2 ; + 20 |PIN 6 A3 ; + 21 |PIN 7 A12 ; + 22 |PIN 8 A13 ; + 23 |PIN 9 A14 ; + 24 |PIN 10 A15 ; + 25 |PIN 11 /WE ; + 26 |PIN 13 /RES ; + 27 |PIN 14 RA16 REGISTERED ; + 28 |PIN 15 RA15 REGISTERED ; + 29 |PIN 16 RA14 REGISTERED ; + 30 |PIN 18 RA12 COMBINATORIAL ; + 31 |PIN 19 /INH COMBINATORIAL ; + 32 |PIN 23 /RCS COMBINATORIAL ; + 33 |PIN 20 BankAB REGISTERED ; + 34 |PIN 21 ENRD REGISTERED ; + 35 |PIN 22 ENWR REGISTERED ; + 36 |PIN 17 PREWR REGISTERED ; + 37 | + 38 |;----------------------------------- Boolean Equation Segment ------ + 39 |EQUATIONS + 40 | + 41 |; Registered + 42 |GLOBAL.RSTF = RES + 43 | + 44 |; if A2... + 45 |RA16 = (A2 * A3) + (/A2 * RA16) + 46 |RA15 = (A2 * A1) + (/A2 * RA15) + 47 |RA14 = (A2 * A0) + (/A2 * RA14) + 48 | + 49 |; if /A2... + 50 |BankAB = ( A2 * BankAB) + + 51 | (/A2 * A3) + 52 | + 53 |ENRD = ( A2 * ENRD) + + 54 | (/A2 * /A0 * /A1) + + 55 | (/A2 * A0 * A1) + 56 | + 57 |PREWR = ( A2 * PREWR) + + 58 | (/A2 * A0) + 59 | + 60 |ENWR = ( A2 * ENWR) + + 61 | (/A2 * A0 * PREWR) + 62 | + 63 |; Combinational + 64 |INH = A15 * A14 * A12 * ENRD * /WE + + 65 | A15 * A14 * A13 * ENRD * /WE + + 66 | A15 * A14 * A12 * ENWR * WE + + 67 | A15 * A14 * A13 * ENWR * WE + + 68 | A15 * A14 * A12 * ENRD * ENWR + + 69 | A15 * A14 * A13 * ENRD * ENWR + 70 | + 71 |RCS = /PHI1 * A15 * A14 * A12 * ENRD * /WE + + 72 | /PHI1 * A15 * A14 * A13 * ENRD * /WE + + 73 | /PHI1 * A15 * A14 * A12 * ENWR * WE + + 74 | /PHI1 * A15 * A14 * A13 * ENWR * WE + + 75 | /PHI1 * A15 * A14 * A12 * ENRD * ENWR + + 76 | /PHI1 * A15 * A14 * A13 * ENRD * ENWR + 77 | + 78 |RA12 = /A13 * BankAB + A13 * A12 + + %% PARSE %% No errors. No warnings. + + %% PARSE %% File processed successfully. File: Gw4208.pds +PALASM4 PAL ASSEMBLER - MARKET RELEASE 1.5a (8-20-92) + (C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1992 + +FILE Gw4208.pds +TITLE GW4208 +Equation being processed for output ==>> RA16 +Equation being processed for output ==>> RA15 +Equation being processed for output ==>> RA14 +Equation being processed for output ==>> PREWR +Equation being processed for output ==>> RA12 +Equation being processed for output ==>> INH +Equation being processed for output ==>> BANKAB +Equation being processed for output ==>> ENRD +Equation being processed for output ==>> ENWR +Equation being processed for output ==>> RCS +Equation being processed for output ==>> GLOBAL.RSTF +The fuse plot is stored in ===>Gw4208.XPT +The JEDEC is stored in ===>Gw4208.JED + + %% PAL ASSEMBLER %% Maximum memory allocated was: 18346 bytes. + + %% PAL ASSEMBLER %% File Processed Successfully. File: Gw4208.pds. + %% PAL ASSEMBLER %% ERROR count: 0 WARNING count: 0 diff --git a/pal/PALASM2.TRE b/pal/PALASM2.TRE new file mode 100644 index 0000000..0ef8376 --- /dev/null +++ b/pal/PALASM2.TRE @@ -0,0 +1,297 @@ +Gw4208.pds NNNNNN +1 223 +1 85 GW4208 +1 86 +1 87 Zane Kaminski +1 88 Garrett's Workshop +1 89 02/18/20 +1 90 02/18/20 +-2 -2 +1 60 +2 1 _GW4208 +2 166 24 +2 18 +3 1 DEVSEL +2 1 PHI1 +2 1 A0 +2 1 A1 +2 1 A2 +2 1 A3 +2 1 A12 +2 1 A13 +2 1 A14 +2 1 A15 +2 18 +3 1 WE +2 75 +2 18 +3 1 RES +2 1 RA16 +3 10 +2 1 RA15 +3 10 +2 1 RA14 +3 10 +2 1 PREWR +3 10 +2 1 RA12 +3 24 +2 18 +3 1 INH +4 24 +2 1 BANKAB +3 10 +2 1 ENRD +3 10 +2 1 ENWR +3 10 +2 18 +3 1 RCS +4 24 +2 74 +2 1 GLOBAL +-3 -3 +3 65 +3 24 +4 51 +5 1 GLOBAL +4 1 RES +3 10 +4 1 RA16 +4 16 +5 17 +6 1 A2 +6 1 A3 +5 17 +6 18 +7 1 A2 +6 1 RA16 +3 10 +4 1 RA15 +4 16 +5 17 +6 1 A2 +6 1 A1 +5 17 +6 18 +7 1 A2 +6 1 RA15 +3 10 +4 1 RA14 +4 16 +5 17 +6 1 A2 +6 1 A0 +5 17 +6 18 +7 1 A2 +6 1 RA14 +3 10 +4 1 BANKAB +4 16 +5 17 +6 1 A2 +6 1 BANKAB +5 17 +6 18 +7 1 A2 +6 1 A3 +3 10 +4 1 ENRD +4 16 +5 17 +6 1 A2 +6 1 ENRD +5 16 +6 17 +7 18 +8 1 A2 +7 17 +8 18 +9 1 A0 +8 18 +9 1 A1 +6 17 +7 18 +8 1 A2 +7 17 +8 1 A0 +8 1 A1 +3 10 +4 1 PREWR +4 16 +5 17 +6 1 A2 +6 1 PREWR +5 17 +6 18 +7 1 A2 +6 1 A0 +3 10 +4 1 ENWR +4 16 +5 17 +6 1 A2 +6 1 ENWR +5 17 +6 18 +7 1 A2 +6 17 +7 1 A0 +7 1 PREWR +3 24 +4 1 INH +4 16 +5 17 +6 1 A15 +6 17 +7 1 A14 +7 17 +8 1 A12 +8 17 +9 1 ENRD +9 18 +10 1 WE +5 16 +6 17 +7 1 A15 +7 17 +8 1 A14 +8 17 +9 1 A13 +9 17 +10 1 ENRD +10 18 +11 1 WE +6 16 +7 17 +8 1 A15 +8 17 +9 1 A14 +9 17 +10 1 A12 +10 17 +11 1 ENWR +11 1 WE +7 16 +8 17 +9 1 A15 +9 17 +10 1 A14 +10 17 +11 1 A13 +11 17 +12 1 ENWR +12 1 WE +8 16 +9 17 +10 1 A15 +10 17 +11 1 A14 +11 17 +12 1 A12 +12 17 +13 1 ENRD +13 1 ENWR +9 17 +10 1 A15 +10 17 +11 1 A14 +11 17 +12 1 A13 +12 17 +13 1 ENRD +13 1 ENWR +3 24 +4 1 RCS +4 16 +5 17 +6 18 +7 1 PHI1 +6 17 +7 1 A15 +7 17 +8 1 A14 +8 17 +9 1 A12 +9 17 +10 1 ENRD +10 18 +11 1 WE +5 16 +6 17 +7 18 +8 1 PHI1 +7 17 +8 1 A15 +8 17 +9 1 A14 +9 17 +10 1 A13 +10 17 +11 1 ENRD +11 18 +12 1 WE +6 16 +7 17 +8 18 +9 1 PHI1 +8 17 +9 1 A15 +9 17 +10 1 A14 +10 17 +11 1 A12 +11 17 +12 1 ENWR +12 1 WE +7 16 +8 17 +9 18 +10 1 PHI1 +9 17 +10 1 A15 +10 17 +11 1 A14 +11 17 +12 1 A13 +12 17 +13 1 ENWR +13 1 WE +8 16 +9 17 +10 18 +11 1 PHI1 +10 17 +11 1 A15 +11 17 +12 1 A14 +12 17 +13 1 A12 +13 17 +14 1 ENRD +14 1 ENWR +9 17 +10 18 +11 1 PHI1 +10 17 +11 1 A15 +11 17 +12 1 A14 +12 17 +13 1 A13 +13 17 +14 1 ENRD +14 1 ENWR +3 24 +4 1 RA12 +4 16 +5 17 +6 18 +7 1 A13 +6 1 BANKAB +5 17 +6 1 A13 +6 1 A12 +-5 -5 +-9 -9 diff --git a/pal/SP.@@1 b/pal/SP.@@1 new file mode 100644 index 0000000..c4c1c41 --- /dev/null +++ b/pal/SP.@@1 @@ -0,0 +1,13 @@ + +PALASM4 PARSER - MARKET RELEASE 1.5 (7-10-92) + (C) - COPYRIGHT ADVANCED MICRO DEVICES INC., 1992 + + + + %% PARSE %% Processing design file.......Gw4208.pds + + %% PARSE %% Listing file.................sp.@@2 + + %% PARSE %% No errors. No warnings. + + %% PARSE %% File processed successfully. File: Gw4208.pds diff --git a/pal/TMP_FILE.@@1 b/pal/TMP_FILE.@@1 new file mode 100644 index 0000000..fae8608 --- /dev/null +++ b/pal/TMP_FILE.@@1 @@ -0,0 +1 @@ +GW4208.PDS diff --git a/sym-lib-table b/sym-lib-table new file mode 100644 index 0000000..d2f3b53 --- /dev/null +++ b/sym-lib-table @@ -0,0 +1,4 @@ +(sym_lib_table + (lib (name GW_RAM)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_RAM.lib)(options "")(descr "")) + (lib (name GW_PLD)(type Legacy)(uri ${KIPRJMOD}/../GW_Parts/GW_PLD.lib)(options "")(descr "")) +)